>LPERR01G00010.1 pep chromosome:Lperr_V1.4:1:5300:10998:1 gene:LPERR01G00010 transcript:LPERR01G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSAAAAQTPFGLFSARSPRQSLLLPPLARKPTLSLLEPRRPHRLLHNYSSPWTCYAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMICDAEEKGLITPGKSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPNAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPEIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAITVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKMQPEP >LPERR01G00020.1 pep chromosome:Lperr_V1.4:1:11149:21882:1 gene:LPERR01G00020 transcript:LPERR01G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDTAAGDYIKWMCGAGGRAGGAMANLHRGVGSLVRDIGEPCLNPSPVKGSKMLKPEKWHTCFDSDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQYLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHNGEVSTSHEASQNTAGDSLEDSKLNYGCGGTPQSQKRKSCSKSAELVGYVHNDTSLYDSSNFIMSSTEVNSCSKDSRDYNDMGEPRYDTETFDDYPSLPVTNLFSNGGVGSNGVDKNRCSFSVPEDRLRHRDERMHSFQINNNIDLVIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNCYNREIIDSLRISDAPEADFADGTKSNSLVDSKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMETTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDVILHLEENCLEPLLVDMRNDLSCISCEVKEEHRMNSYARRKSKSRKPYDKNGEMRCNLPVKPNTRNPLCGLSGATIWARHQQMSHISTNVFSKNGDDDLPIFCVAAILMFNDNVLKINVKRCVRMALKLRKKYIYKGNLYIKHRYILENNRQSGGKIIEKAAMLVVLPTPLHSLHWPEPPPMDLESVRRRRRGSGLLLLVVVVVVAQAGDPYAYYEWEVSYLKGKSGAAIGINGQLPGPALNVTTNWNLVVNVHNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCAIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGPITINNRDVIPIPFPLPDGGDILLFLADSWSWGDADHRALRRALDAGQPLGPPVAVLINGLGPYRYNDTLVPPGVVYERINVDPGRTYRLRVHNVGVSTSFNFRIQGHNLLLVEAEGSYTSQLNYTNMDIHVGQSYSFLVTMDQNASSDYYVVASARFLPDALAAGVAVLHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGRMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVYPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNTIFCGALSSLQKEQSHRFQYSEASRIAQLWKKVFFMAFLALW >LPERR01G00020.2 pep chromosome:Lperr_V1.4:1:11136:18968:1 gene:LPERR01G00020 transcript:LPERR01G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDTAAGDYIKWMCGAGGRAGGAMANLHRGVGSLVRDIGEPCLNPSPVKGSKMLKPEKWHTCFDSDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQYLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHNGEVSTSHEASQNTAGDSLEDSKLNYGCGGTPQSQKRKSCSKSAELVGYVHNDTSLYDSSNFIMSSTEVNSCSKDSRDYNDMGEPRYDTETFDDYPSLPVTNLFSNGGVGSNGVDKNRCSFSVPEDRLRHRDERMHSFQINNNIDLVIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNCYNREIIDSLRISDAPEADFADGTKSNSLVDSKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMETTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDVILHLEENCLEPLLVDMRNDLSCISCEVKEEHRMNSYARRKSKSRKPYDKNGEMRCNLPVKPNTRNPLCGLSGATIWARHQQMSHISTNVFSKNGDDDLPIFCVAAILVINRHKIIRETHSIDDAIKMFNDNVLKINVKRCVRMALKLRKKYIYKLLKGSSEYEKGETGVQESPWRTKS >LPERR01G00020.3 pep chromosome:Lperr_V1.4:1:11136:18547:1 gene:LPERR01G00020 transcript:LPERR01G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDTAAGDYIKWMCGAGGRAGGAMANLHRGVGSLVRDIGEPCLNPSPVKGSKMLKPEKWHTCFDSDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQYLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHNGEVSTSHEASQNTAGDSLEDSKLNYGCGGTPQSQKRKSCSKSAELVGYVHNDTSLYDSSNFIMSSTEVNSCSKDSRDYNDMGEPRYDTETFDDYPSLPVTNLFSNGGVGSNGVDKNRCSFSVPEDRLRHRDERMHSFQINNNIDLVIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNCYNREIIDSLRISDAPEADFADGTKSNSLVDSKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMETTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDVILHLEENCLEPLLVDMRNDLSCISCEVKEEHRMNSYARRKSKSRKPYDKNGEMRCNLPVKPNTRNPLCGLSGATIWARHQQMSHISTNVFSKNGDDDLPIFCVAAILVINRHKIIRETHSIDDAIKMFNDNVLKINVKRCVRMALKLRKKYIYKLLKGSSEYEKGETGVQESPWRTKS >LPERR01G00030.1 pep chromosome:Lperr_V1.4:1:23164:27404:1 gene:LPERR01G00030 transcript:LPERR01G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACTAARMFAWNATLCACEPGFYLSAVNGSCLRLPAGDWQVGSVGASRNQSFYFLAPVLSLDVVRRLTQSQALLLEAAIAALLSWIAFCAFARFAGRDPTGNKRLFRARFWVSRLDCIYDNTHWADDQQVLRKRKTELGGMCSVASLILFVGLVTVLLYQAIQRRSIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLIAPSTIAMGIPGSMDFRLMPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDNSHMSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVSSLNASLQNPVDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQCEARIKKLRDEDSRMLKILRKRRARQNWDKVRKFVMYTWGPSNLDPSDRSGKWPEGSVMDSLHGSFHKKRKPNRRSNSNRNKPRDPADAGVIDIERVGEMQQSNSSRQA >LPERR01G00040.1 pep chromosome:Lperr_V1.4:1:29005:33375:1 gene:LPERR01G00040 transcript:LPERR01G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATQFAMVEELASLIKDNLYSKHLILSTEEILVGILQNQYQDSDDDDTLASSRRTNRNVIELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPSVLVSDVLWEYDNKDTLTSVVAKRLYTDLEETWKEDAQGNIPAVSSYLKNDADLKGLQQSAALPALSLKEREAAYRAARERIFSTHDAKGKDTEVVKPRHVPAVAQRMIAHALGKKVDSLTETAAMKNCKGKGPTESSGNKLNRGTTGSKEDSRYIENGRTRSPTWNPSKQSWRTTNSRAASSVSPEELKKEQVGAAKRMFAHALRLPGVEGSDGPMRKGK >LPERR01G00050.1 pep chromosome:Lperr_V1.4:1:33568:35397:1 gene:LPERR01G00050 transcript:LPERR01G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEQQQQDVKLFNRWSFEEVQVSDISVNDYIAVNPNKHATFLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKILAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >LPERR01G00060.1 pep chromosome:Lperr_V1.4:1:36544:46887:1 gene:LPERR01G00060 transcript:LPERR01G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARRLRDAANEHLARCEPLVLLIAPLLALLAARTLHAAAAAVADRGLLALAMAAIKLLPGVSAYIDAEKRKVVDQLQSGGTSTKSSLRTELPTVGLSNEVISDLETLKARDVTWQGKCSGTVYIAGSESEGHFALVNKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDMVDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHTSVYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMIRELLVEFMDTSC >LPERR01G00060.2 pep chromosome:Lperr_V1.4:1:36139:46887:1 gene:LPERR01G00060 transcript:LPERR01G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSKVKSMKRDEEESYRRDEEATDLPWRTRTGESGPSRQRFDEQSNAKEGNEHRPALLARGRSGAGKRVPNPSCPDDRAGVRRPVAAAMVLGKIAIVIGSGIVGTILTSGDSKIALPDFRGVLSGALKFVTKQDKKDGPSTSSPHTDHLLSQVNHLREELQQLARSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKGWKLSDLMFVTKRGLSDACNVVGSQLDQVSENVNAAKKHLAGRIDRVDCTLDECQEITEATRKEVTVIHGDISAFQEEMQSVHLVVRTLETKLGRLAYTQVLPSTPLPAIESPERLTRAASLPPNSEPESSGTRSPVTEAPKVVRSPTAMSASGLSMLIETSMPPKRGAFSRASSMKEGSQEPSNRASSSGEPIIERHVSNSRLREEKRREKRMDSAARRLRDAANEHLARCEPLVLLIAPLLALLAARTLHAAAAAVADRGLLALAMAAIKLLPGVSAYIDAEKRKVVDQLQSGGTSTKSSLRTELPTVGLSNEVISDLETLKARDVTWQGKCSGTVYIAGSESEGHFALVNKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDMVDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHTSVYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMIRELLVEFMDTSC >LPERR01G00060.3 pep chromosome:Lperr_V1.4:1:36139:41394:1 gene:LPERR01G00060 transcript:LPERR01G00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSKVKSMKRDEEESYRRDEEATDLPWRTRTGESGPSRQRFDEQSNAKEGNEHRPALLARGRSGAGKRVPNPSCPDDRAGVRRPVAAAMVLGKIAIVIGSGIVGTILTSGDSKIALPDFRGVLSGALKFVTKQDKKDGPSTSSPHTDHLLSQVNHLREELQQLARSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKGWKLSDLMFVTKRGLSDACNVVGSQLDQVSENVNAAKKHLAGRIDRVDCTLDECQEITEATRKEVTVIHGDISAFQEEMQSVHLVVRTLETKLGRLAYTQVLPSTPLPAIESPERLTRAASLPPNSEPESSGTRSPVTEAPKVVRSPTAMSASGLSMLIETSMPPKRGAFSRASSMKEGSQEPSNRASSSGEPIIERHQSARIGL >LPERR01G00060.4 pep chromosome:Lperr_V1.4:1:41331:46887:1 gene:LPERR01G00060 transcript:LPERR01G00060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARRLRDAANEHLARCEPLVLLIAPLLALLAARTLHAAAAAVADRGLLALAMAAIKLLPGVSAYIDAEKRKVVDQLQSGGTSTKSSLRTELPTVGLSNEVISDLETLKARDVTWQGKCSGTVYIAGSESEGHFALVNKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDMVDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHTSVYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMIRELLVEFMDTSC >LPERR01G00070.1 pep chromosome:Lperr_V1.4:1:47893:51858:1 gene:LPERR01G00070 transcript:LPERR01G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMQVQVQATTFVSRSSSCSGPWTRGKSKKRGLRAVMAMASSELPDLSAIERVVLDIEGTTTPISFVAEVLFPYARDNVRRHLAETYASSEETRADVALLRAQVDDDLAQGVTGAVPIPLPPEGEGEAVMMLEAVAANVEAMIGADRKVTALKQLQGRVWRRGFESGELRSEVYEDVAEALRRRWRAKPYIYSSGSREAQRLIFAHTATHGDLRHHLCGFFDTTVGPKRAPASYHEIWLTLGTHHPSHILFLTDVYQEATAAKAAGLEVIISLRPGNAPLPDNHGFPTVTSFDQISI >LPERR01G00080.1 pep chromosome:Lperr_V1.4:1:48331:51794:-1 gene:LPERR01G00080 transcript:LPERR01G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAHRAYKRPAISDQQRRRDLALQAQSSRRADAQARARSLANSLLSPSSQTDTTAQSEGEGDDHGEPTVADVATAASKLRGSEARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSSNGITISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLTETTAGYPPSTYHRYRFSAVPVYECTLEGLHAAYSGSTPYVKDGLLFYNKHAHYQAGITPLALVWKDEACSQYVIDTDSKGQVPTEQHVVLELQEDGKLTTSDDPPIVFGSLDNEFIQKSNLRPGNLLRFAVRDERVKLVDGKMEISELQFVGRPNRARAFADSHSKALFQYAARNAPLRIEDLVVSIQSNNMEVESTDVRKLGGGHGHDCSEPTLLAFAPCPRPAAAAASADEGGSLHLHLHLHLHLYGMEWN >LPERR01G00080.2 pep chromosome:Lperr_V1.4:1:49537:51794:-1 gene:LPERR01G00080 transcript:LPERR01G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAHRAYKRPAISDQQRRRDLALQAQSSRRADAQARARSLANSLLSPSSQTDTTAQSEGEGDDHGEPTVADVATAASKLRGSEARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSSNGITISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLTETTAGYPPSTYHRYRFSAVPVYECTLEGLHAAYSGSTPYVKDGLLFYNKHAHYQAGITPLALVWKDEACSQYVIDTDSKGQVPTEQHVVLELQEDGKLTTSDDPPIVFGSLDNEFIQKSNLRPGNLLRFAVRDERVKLVDGKMEISELQFVGRPNRARAFADSHSKALFQYAARNAPLRIEDLVVSIQSNNMEVESTDVEMQG >LPERR01G00090.1 pep chromosome:Lperr_V1.4:1:51861:60617:1 gene:LPERR01G00090 transcript:LPERR01G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAAAADDLDHSNDDDPHRLSSPPQASRDADSDDDDDHDHHRPHAPPGPVDPSKSSAAGPGIAGGSAAAPATFTVVAKDRDSRRVLTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATIATTFPASSVPTAVSSSAYPNMVNQTMPNMPNYAGALSGAFPSLLGLIPGASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLSHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKALGAEASGSTDKADKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTIADSKHIAYVEYSKQGEATAALGLNNMDVGGRPLNVEMAKSLPPKTSLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDAKGKSRSPLPSGRRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSHRDDRDKYSRSGRRESDRSRDHYSSSSRRNRSRSISPRYKKSSRSDSRSPKRQREDSLSPSKSRRSTRTGSRSPRQHKGSKSSPTRDHRSSHRSRRSRSRSQEKNRSSDKKDSKRSKEDSKRRSDKGNRGEKDEKHLKYPNEDNKVNASSAAHKRSSSASEDEMLNSNSKKSKHDATLEYDERKDEECTEDAYGVIGDRRDLGSGGSKSDKRNLGNGDHKKRNHDTSRKTDNKHHDRDDSSRKDS >LPERR01G00090.2 pep chromosome:Lperr_V1.4:1:51861:57600:1 gene:LPERR01G00090 transcript:LPERR01G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAAAADDLDHSNDDDPHRLSSPPQASRDADSDDDDDHDHHRPHAPPGPVDPSKSSAAGPGIAGGSAAAPATFTVVAKDRDSRRVLTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATIATTFPASSVPTAVSSSAYPNMVNQTMPNMPNYAGALSGAFPSLLGLIPGASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLSHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKALGAEASGSTDKADKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTIADSKHIAYVEYSKQGEATAALGLNNMDVGGRPLNVEMAKSLPPKTSLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDAKGKSRSPLPSGRRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSHRDDRDKYSRSGRRESDRSRDHYSSSSRRNRSRSISPRYKKSSRSDSRSPKRQREDSLSPSKSRRSTRTGSRSPRQHKGSKSSPTRDHRSSHRSRRSRSRSQEKNRSSDKKDSKRSKEDSKRRSDKGNRGEKDEKHLKYPNEDNKVNASSAAHKRSSSASEDEMLNSNSKKSKHDATLEYDERKDEECTEDAYGVIGDRRDLGSGGSKSDKRNLGNGDHKKRNHDTSRKTDNKHHDRDDSSRCTHEANGRIGGIGWSINGDVKLRGKAGLRWILSMVLPTRLADDQHQIQW >LPERR01G00090.3 pep chromosome:Lperr_V1.4:1:51861:57660:1 gene:LPERR01G00090 transcript:LPERR01G00090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAAAADDLDHSNDDDPHRLSSPPQASRDADSDDDDDHDHHRPHAPPGPVDPSKSSAAGPGIAGGSAAAPATFTVVAKDRDSRRVLTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATIATTFPASSVPTAVSSSAYPNMVNQTMPNMPNYAGALSGAFPSLLGLIPGASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLSHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKALGAEASGSTDKADKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTIADSKHIAYVEYSKQGEATAALGLNNMDVGGRPLNVEMAKSLPPKTSLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDAKGKSRSPLPSGRRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSHRDDRDKYSRSGRRESDRSRDHYSSSSRRNRSRSISPRYKKSSRSDSRSPKRQREDSLSPSKSRRSTRTGSRSPRQHKGSKSSPTRDHRSSHRSRRSRSRSQEKNRSSDKKDSKRSKEDSKRRSDKGNRGEKDEKHLKYPNEDNKVNASSAAHKRSSSASEDEMLNSNSKKSKHDATLEYDERKDEECTEDAYGVIGDRRDLGSGGSKSDKRNLGNGDHKKRNHDTSRKTDNKHHDRDDSSRCTHEANGRIGGIGWSINGDVKLRGKAGLRWILSMVLPTRLADDQHQIQW >LPERR01G00100.1 pep chromosome:Lperr_V1.4:1:63270:67256:1 gene:LPERR01G00100 transcript:LPERR01G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNRAPQMTSRRHAELPGQDGSAAVKDLRLRRVVPPASAPLHHDSTPVKPGSAETTPPEAQDRKPPASLVQRSKLVRDPASFGYRRLLPFLNQLANQESQSPSCKDKSSSEFTASPLQPVGECADSEAEAGPVDCSISAKGETDSAPSHLSSTNVCLSRCQRSRFVHHPSSFSYKRMLPFLMENEISCQEGHRAKIPRLVQANQSSTDDTDSLTTRQHHFVMSENSAEDCKTVQVERLAEEEQSKSDWAYLLDGNLLQPAISEAPHLEVSTVEVQKLTQERVLASDVHLLSSDKGESTLKWNDVLPAGQHQLAASEDCSEGSNKADFETVLEENESVPDGNSVLDGRQLQTHVSKASLPEGTTQIKAATQKQAVTSVGDENPLPSGKGGSLAKEWPLLPATEELSVKDNAGSDEIQQCQSSKLGSSDVCFGGSSKVVMPSVNFHSALVQSDSIASPDEPLLDVGMACIPFGPRATGLPYSPEETLAGVRYTSEHCSTGTFLTEEEMSGSCSVVNTEPASSKVSPVRQRGAPCLEKRGLSPKKLSPRKGILKKNTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQQEQPTQTACQRASQVEEVARERRRQMLMELNSHCRIPGPRVRFAQYVEERMASSPSPGRKR >LPERR01G00110.1 pep chromosome:Lperr_V1.4:1:67028:67246:-1 gene:LPERR01G00110 transcript:LPERR01G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDWGPVLIALLFFVLLTPGLLCQIPGSNGRVAEFHSMRTSFVSILVHSLIFFGFCAIFMVAVGVHLYAG >LPERR01G00120.1 pep chromosome:Lperr_V1.4:1:67816:69414:-1 gene:LPERR01G00120 transcript:LPERR01G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAHRRSPAGAILVLIMLVAAEAAGTKKSPIKNVVVLALENRSFDHMLGWMRTMLGLPIDGLTGAECNPAGIGWRCVSPDAELVVPDDPAHAFEDVLEQLLGFRPNSSLDAYASDMSGFVRSADGVSAVLSEAVMRGFRPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAAAGLDYRVYFKTIPTTLFYRRLRTIANFDRFRRYDSSFLDDARRGLLPALTVIEPRYFHLTGTPADDDHPAHDVGNGQRLVKEVYEALRGGPQWNQTLLIITYDEHGGFYDHVPPPNVGVPNPDGIRGPFPFFFNFDRLGVRVPTIMVSPWIRKQTVVGRPPHGSGAEYEHSSIPATIKAIFNLSSDFLTRRDAWAGTFHHIFDELDEPRADCPEKLPDVPPPPSGGASSAKGNGGFLSDFQRELVQLAAFLNGDYMLTTSAAVSSKQDRRMTVKEADAYVKRAVKSFLEASKRAKRLGANDSAIVTMRPSLTTASASASTSTDCCS >LPERR01G00130.1 pep chromosome:Lperr_V1.4:1:71807:73171:1 gene:LPERR01G00130 transcript:LPERR01G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSASAAAACCKTSTSNPLPPAAPHPSSSSRRKLLVLSAAAAAGVIGLQVGAGGQAKALGGSRPPPPSTPYSQSQQLFGLDDKGRIRACPSTNPGCVSTNPTVGASCSLASPLIIPANTPKDKAAASLRAAILKTQRNAVIKVDEETAYGHYIQAEVDGGFSSRDVMEFLLKEQQQEGLEMLAAYRCVATKVTFVYPFTTAVGDSKGQIQRIAAVSQELGWDAPDLLNAAATDAT >LPERR01G00140.1 pep chromosome:Lperr_V1.4:1:77551:86417:1 gene:LPERR01G00140 transcript:LPERR01G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSPAAAVAVAVAEALPSSPSPSPAPAAPLLTWPSPEPTTPTSWTSVAALEDQQRRRLHRIWERGVAWKPSSPLQPLVFRLDHAGEVDADGNCLFTAARRAAAAKPDARDLRHRVVRRFAHVYAAADAADRDAVDAAVRHLYDPDLKAGWGVHVVQELKLLAPKAHRDALDAAIQDLVDIGVQREMAAETIYKERCIAVNDGDSWAKYMSVSGSAEDEHDIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEENCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLVATVLQNVTPDKAAVVL >LPERR01G00140.2 pep chromosome:Lperr_V1.4:1:77551:86417:1 gene:LPERR01G00140 transcript:LPERR01G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSPAAAVAVAVAEALPSSPSPSPAPAAPLLTWPSPEPTTPTSWTSVAALEDQQRRRLHRIWERGVAWKPSSPLQPLVFRLDHAGEVDADGNCLFTAARRAAAAKPDARDLRHRVVRRFAHVYAAADAADRDAVDAAVRHLYDPDLKAGWGVHVVQELKLLAPKAHRDALDAAIQDLVDIGVQREMAAETIYKERCIAVNDGDSWAKYMSVSGSAEDEHDIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEENCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLVATVLQNVTPDKAAVVL >LPERR01G00140.3 pep chromosome:Lperr_V1.4:1:77551:86417:1 gene:LPERR01G00140 transcript:LPERR01G00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSPAAAVAVAVAEALPSSPSPSPAPAAPLLTWPSPEPTTPTSWTSVAALEDQQRRRLHRIWERGVAWKPSSPLQPLVFRLDHAGEVDADGNCLFTAARRAAAAKPDARDLRHRVVRRFAHVYAAADAADRDAVDAAVRHLYDPDLKAGWGVHVVQELKLLAPKAHRDALDAAIQDLVDIGVQREMAAETIYKERCIAVNDGDSWAKYMSVSGSAEDEHDIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEENCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLVATVLQNVTPDKAAVVL >LPERR01G00150.1 pep chromosome:Lperr_V1.4:1:87700:92746:1 gene:LPERR01G00150 transcript:LPERR01G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEDDDDDQRLLHSLGVTSADATTDPDGPAQSDSGHTIQQQPDDPLTKLHQQLRSVQLEIDAVASTIKGAKLAHKSQEDKGKNHVGIAAHGGDLQQALAADRLRSLKKAKVQIQKEILHLDPSPSAASNKKDKVLAMLVEEEPRPKKLLKPPVGPKKTVKRKVKTVTYDDDNDFDAVLDGASSAGFMETEREELIRKGLLTPFHKLKGFEKRVEISEPSHRQEDSAWQTEEAMEASRIARVAQSLKQIAQNRPTTKLLDADSLPKMDAPAAPFQRLGTPLKRPVSPSSDEQEKKRPRNKTKRPLPGKKWRKANSKKESLLDDNNVGEATESVSEDNEDQVTEGSDELPDVTLEGGLRIPGTIYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSVKKSKRHSDSDSEASWDSDQEEEVVRAKPAKKWDDLISHVVNSGSGLLLTTYEQLRILAEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNARLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFAQTQQMLDIMQNFLTACDYQYRRMDGVTPAKQRMALIDEFNNTDDIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQPPETSNGRGQSLQVGALNGKALSSAELLSRIRGTREGAASDALEHQLNLRSASNHTVSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQNGGSANSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGAAWVLKPDYQ >LPERR01G00160.1 pep chromosome:Lperr_V1.4:1:97802:98541:-1 gene:LPERR01G00160 transcript:LPERR01G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKTSIKLSKKKKKKKMQAAASSVVGFSAVLPAAVKGRSLQIQPPRRRVAGTRALTVRVRAVAVEAAEVDYSSNISVFPMEACDLIGGETCNVQMYPEAKLSSSAAVAASRAAAEEVDREYLSYDEPRTVFPEEACDDLGGEFCEAPYQAGVSST >LPERR01G00170.1 pep chromosome:Lperr_V1.4:1:98770:101615:-1 gene:LPERR01G00170 transcript:LPERR01G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNKDPPPSIQDATDRINKRGESVDDKIKKLDEELARYKDQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFASDGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVR >LPERR01G00180.1 pep chromosome:Lperr_V1.4:1:103171:105087:1 gene:LPERR01G00180 transcript:LPERR01G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEESSPAPAAATPKKKTRKKLSSHFLGDEDVEKHPWSPEGTGTTPVDIRGSAMGPAELARTGGWVAAGFILGNEMAERMAYYGLSVNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPELQAPGQDDCDGFARLLGKCQQPRPWQMAYLYAALYTTALGAAGIRPCVSSFGADQLEERSPAYRRRLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALGMGASNALFFLGTPLYRHRLPGGSPLTRVAQVLVAAYRKRAVMTPRSSVGLYEVSGARSAVRGSGKMEHTEQLRWLDKAAVMLQGEDPNDPWRVCTVTQVEEVKMMVRLAPVAACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRFTGHPHGASQLQRVGLGLLLSVLSVAWAGLFERYRRGYAVRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAEVFCLVALLEFLYQEAPDAMRSLASAYAALAGGLGCFFATAINTAIDSITGDVVNGRPSWLAQNINVGRFDSLYWLLAVLSTINLIVFIYIANNYSYRIKHADHQHDTNNQGRPIQGLGNSIDTTTTTT >LPERR01G00190.1 pep chromosome:Lperr_V1.4:1:105949:108813:-1 gene:LPERR01G00190 transcript:LPERR01G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFLLLRMLIIVVAAPLPSSADTDDLAALLALKDAVTRDPDSVLAAWSNSTTANYCLWRGVSCRPNSTSVAAIDLPSASLSGFLPNSLPPRLHRLDLSGNNFSGAIPATYLAASSTLRSLDLTSNRLSGPLPAACRSQSLTYLRLAGNLITAQIPADLAQCRSLRLLDLSRNILEGAIPSSLAALAALRVLDVSRNSLTDRIPPQLSACRDLAVLVLSATSPGEFNAFLGDLPPQLLAIPALQILWAPGANIDGRLPSSRNGSSSSCALRAVNLAQNYISGSLPPWLKDCPDLAYLDLSSNSFSGPMPAGLKMGCITYLNVSRNSLSGHLLPTASSVETDRRCPSRLINDNDDIVMQYYQSLVSGATMVFSPSSAMNAAIHDFSNNSFSGPLPSIALHLDGNFSYILLLNNNMFNSMLSAGFFGFCKGASGVSVNLSDNQLSGSLDTLSSCPPLWYFDAGYNMLDGSISNAVADLHFLRSLILRGNNLTGLIPGVFGDLAALEVLDLSRNYLTGTIPPNLAHASHLQALMLGHNSLSGGIPASFSELDQLVVLDVSFNNLSGDIPRLRHSTDCGSFVGNPLLHPCLGPNGTTGSTEHTQQDGEMSKSRSVMVIVIGTAIAMVSFLAVFLLFVVCERRKRAKIANLRAKLVVTFGDAPPELTYDNLVQATNNFSIQNLIGTGGFGSTYKAELAPGFLVALKRLAMGRFQGLEQFDVEIRTLGRIQHKNLVTLIGYHIGGSETFLIYNYLSGGNLDTFIHEMGSRTVSWTEVQKIAEDVAEALAFLHCSCTPRIIHRDIKPSNILLDEELNAYLSDFGLARLMEVSQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLEMMSGKRSLDPSFSQFSDGFTIVGWARMLAEEGNTGEFFSAGLLDIVPMDKLSEMLNIALSCTSESLADRPSMRQVAAKLKQLRNEQ >LPERR01G00200.1 pep chromosome:Lperr_V1.4:1:113541:115083:-1 gene:LPERR01G00200 transcript:LPERR01G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPYVPAELHLPGFVPLQLSQTQILVPYLGTSVFVVLAVWLISGRCGGRISKTDRWLMCWWAFTGLTHIIIEGTFVFAPKFFANKNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFYFWASPFYFWAYFIGANSSWVVIPTLIAVRSWKRICAAFQVEKVKKTKLG >LPERR01G00210.1 pep chromosome:Lperr_V1.4:1:115363:125308:1 gene:LPERR01G00210 transcript:LPERR01G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPAAASAMQWSIDLGRGLRSRHPATRLRAIDDVAPRLRDLGASPAVPAAVASAIGVLPSEPRLFAQTILLRLATEFRAADNAVRARILRAFLLQYTDLAAKGAPYDGALARPRVAEPDQVLRRITAVYHAGCPRARALALRMFGCLAHLAHDSAHVRSLILSNFTSSNVTEVKAALFAAGCFCRLSVDFSYITLEALRRLISLPRSQAQVGKQIVLGTMEDNFKADMLMSLSRLASKSVILFGDQVELLLLFLGHESSLCLKTVSLKCLGFMFHGSIFHCPVLRTGFGTLLQLIDDDGLPLECKRDAFAVLQKMSCGKGPIVHHVDTSELSKLVLAAESYLHSSSWEMQGSSLTCGVCQGVTDNVLSAHVENIEDKFVHKIVTSVMNHSISLVNQVIYTGNKEGARQHTYMSSELKLYRSMLSSMLELVLCYPSSATVALDKLRWMIKELTLVDDNNCSEVSVTNAESFETNSALEVPETSNDCMKASHMETDLDKLNLDSTEFSNKKEVLHSIILWALNFANACHNMLCKTPDDSCNLYDNIKELVECVQQNASQYCSTYESFHLIMCACISWNACKTREGNQESGDSKDLPDIFFSPSVWLTQELHAIRITKMLFRQQKYWDAYRSAMYCCREGLWFAASFVFRKLADAFGSGSFSFWFKSLLLISAGEIEIKLLLFPSAIIKLVNEIKTDRDLYENFFCIETNVDSSLPSSSELHEFQAKITDVCSRTFLEIDPLLSNTHSNCELFFQRWFISLRASFLEILADFLGILTAYFSAQGDVSHREAIGDHSSVHSSVEDCKFPERFSHASVIQDINERVGRTDSQIVSELQQLMPNCCDEVHSIQMVTRMNCSGILEKDSYLLCKFAVAYLLGFRGDAKGIAIGEDSLFPFHGGMQFLSSILQRVMEMPFVVPKYFFRVRPCFGAELDIYDSNPANRGGISVASGFQLSLTLCLQWKCVLERSDIDISKLYCILAASSASCLDDVTVTGRRSKELEIQKKTAGMVGLNSKLMQYIEDDLRKSSDKRKKKRKKVHVGEGELVTAFARFEASESGMGFSSCLLDVSEFGEGSYKIKWHACCIDKAGAYFSLLPLNDGAAFSIRKS >LPERR01G00210.2 pep chromosome:Lperr_V1.4:1:115363:125308:1 gene:LPERR01G00210 transcript:LPERR01G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPAAASAMQWSIDLGRGLRSRHPATRLRAIDDVAPRLRDLGASPAVPAAVASAIGVLPSEPRLFAQTILLRLATEFRAADNAVRARILRAFLLQYTDLAAKGAPYDGALARPRVAEPDQVLRRITAVYHAGCPRARALALRMFGCLAHLAHDSAHVRSLILSNFTSSNVTEVKAALFAAGCFCRLSVDFSYITLEALRRLISLPRSQAQVGKQIVLGTMEDNFKADMLMSLSRLASKSVILFGDQVELLLLFLGHESSLCLKTVSLKCLGFMFHGSIFHCPVLRTGFGTLLQLIDDDGLPLECKRDAFAVLQKMSCGKGPIVHHVDTSELSKLVLAAESYLHSSSWEMQGTALKVLVGTLCFLQRSSLHQNMVNQEGSSLTCGVCQGVTDNVLSAHVENIEDKFVHKIVTSVMNHSISLVNQVIYTGNKEGARQHTYMSSELKLYRSMLSSMLELVLCYPSSATVALDKLRWMIKELTLVDDNNCSEVSVTNAESFETNSALEVPETSNDCMKASHMETDLDKLNLDSTEFSNKKEVLHSIILWALNFANACHNMLCKTPDDSCNLYDNIKELVECVQQNASQYCSTYESFHLIMCACISWNACKTREGNQESGDSKDLPDIFFSPSVWLTQELHAIRITKMLFRQQKYWDAYRSAMYCCREGLWFAASFVFRKLADAFGSGSFSFWFKSLLLISAGEIEIKLLLFPSAIIKLVNEIKTDRDLYENFFCIETNVDSSLPSSSELHEFQAKITDVCSRTFLEIDPLLSNTHSNCELFFQRWFISLRASFLEILADFLGILTAYFSAQGDVSHREAIGDHSSVHSSVEDCKFPERFSHASVIQDINERVGRTDSQIVSELQQLMPNCCDEVHSIQMVTRMNCSGILEKDSYLLCKFAVAYLLGFRGDAKGIAIGEDSLFPFHGGMQFLSSILQRVMEMPFVVPKYFFRVRPCFGAELDIYDSNPANRGGISVASGFQLSLTLCLQWKCVLERSDIDISKLYCILAASSASCLDDVTVTGRRSKELEIQKKTAGMVGLNSKLMQYIEDDLRKSSDKRKKKRKKVHVGEGELVTAFARFEASESGMGFSSCLLDVSEFGEGSYKIKWHACCIDKAGAYFSLLPLNDGAAFSIRKS >LPERR01G00220.1 pep chromosome:Lperr_V1.4:1:125439:130689:1 gene:LPERR01G00220 transcript:LPERR01G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLRLLKQCLLHLANLYAAIPSPSASASTAADLPDRLALCYIHPAAAASPPQLKVVYRPGDNFNLRDFHHAVNNLPIDAFRPNQHGSLHATGDVSLAELFSNRAIYSWATDDTSKKVIALCMSAQNTEALRRSLMDAAEQCITVEFVMLETGAAFICDGVSENSNGFTDTICDLENCVVRRYSPEAQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSIYASANHIIDGFPPCQICRCHGRPIDLVNSNKAKLMCPISSRQLTASDITDTAVKIGEQTVIFLPDSEGGSNLRRASSSISFDVIERTELASLNEGLIMGIPHVVVPSSNDVEVALNDECSDQNTQLFYGLCETLFKLDQGLVCSSDCNTETMRIGSLQCYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPSNSTVTKEVKNLIETSLSKIALKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGVKIPRHLDSFSEPQTSAFQGPEENRLLSPCREEAGDADHLHSFSEPQAPSSRGPKEKASPSITEEWEQLIIIDDDFTSAVTCSRAVAKPQTQSCKLPSPVKPLDEKTSRILERLEPPKAKKQRASKPSISTGIGSGNSNKTLPASSLGAGTQIKKPLLPFEPSASQPLRPTFNRLRRKPTAAT >LPERR01G00230.1 pep chromosome:Lperr_V1.4:1:129617:132554:-1 gene:LPERR01G00230 transcript:LPERR01G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSFILLALSLTAPAPLLARTASSCPDGWQITPAQDKCFFYISTPLSWDRSEALCRNNFTAHLAALSSLQDLTFARSLCEPSPSASGCWVGGRRYNASALGWKWSDDSSSWNNTAFPDEPLRASCSGAGCALATSNDTCTLVTNTHAQFTAKRCSESHGLICMINHEDRCYHDHCHKEYFVVLLVVSGFILLTTLSVVVWLLVYRRSKRRRRSREASSASATALVPPMWKVFTGEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQDLPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >LPERR01G00240.1 pep chromosome:Lperr_V1.4:1:132820:137428:1 gene:LPERR01G00240 transcript:LPERR01G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGGTCPVKSDKNTAAASCPVNSNNNSAGVCPVTGKNHNAADHKEASDVNGNENNTDPRMVPAKCPFGYDSYTFKLGPLSCMVCHALLHESSRCTPCSHKFCKACILRFKDCPLCGADIEGIEPDDELQALVDRFIDGHARIKRSLAAGDGEVVGDKSKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSLDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLSKKDLEVLVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAVKENSAVASQVIDLATSLAKVADVDRNLGNESMAVEGFEEAIKCLEKLKLDSEQTSLEQRRLSVLDFLHKQLDDK >LPERR01G00240.2 pep chromosome:Lperr_V1.4:1:132820:137428:1 gene:LPERR01G00240 transcript:LPERR01G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGGTCPVKSDKNTAAASCPVNSNNNSAGVCPVTGKNHNAADHKEASDVNGNENNTDPRMVPAKCPFGYDSYTFKLGPLSCMVCHALLHESSRCTPCSHKFCKACILRFKDCPLCGADIEGIEPDDELQALVDRFIDGHARIKRSLAAGDGEVVGDKSKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSLDNQELCSQLGAVLGMLGDCWYDNFLLGTRGYLVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAVKENSAVASQVIDLATSLAKVADVDRNLGNESMAVEGFEEAIKCLEKLKLDSEQTSLEQRRLSVLDFLHKQLDDK >LPERR01G00250.1 pep chromosome:Lperr_V1.4:1:138094:139368:1 gene:LPERR01G00250 transcript:LPERR01G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLPAGFRFHPTDEELLLHYLGKRAAAAPCPAAVIAEVDIYKFNPWELPAMAVFGEAEWYFFSPRDRKYPNGARPNRSAGSGYWKATGTDKPISDAGQMLLGVKKALVFYRGRPPKGTKTSWIMHEYRLAATTAIPAVSSSSSMRLDDLVLCRIYKKANQQLHYSSPSMDDDDDDQQYAQLPQHQHIKQDESISFRIPRPPSISDYLVDYSAAVSTDQLFVDNLIPAAETASLQLGLTPNDDDSSSSRNKRQKMDDYYSTSEMTTTLLQTSNHNQRQQLPASTNCTFISSMFEPAGQLMPSHSQDDTSII >LPERR01G00260.1 pep chromosome:Lperr_V1.4:1:146888:149020:1 gene:LPERR01G00260 transcript:LPERR01G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHGHGRRDDDDRRRQPPPAGYGHEAPPPPYGHEAPPPPYGYGQPPPPDPYGAPRPHSYAPPPPSYGSGGGGYGNVVHVSHEVPDQHGPQYGGGGGSEYISPVHGGHASGGGAPGSEYISPAHEGARPHHGGGGAPPVRQQTYRIYCKAGEDNYSLAARDGKTNLIPLCLKSQICVGMQHWVKDMKYSTRVKDEEGYPAMALVNKATGEALKHSLGQSHPVRLARYNSEYMDESVLWTESRDVGGGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWCKSNFFFFFFFFVLLTSS >LPERR01G00270.1 pep chromosome:Lperr_V1.4:1:153439:154490:1 gene:LPERR01G00270 transcript:LPERR01G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQAERQPDLPPGFRFHPTDEEIITFYLTPKVLDSRGFSVIAIGEVDLNKSEPWELPGKAKMIGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRHNHLLVGMKKTLVFYKGRAPKGDKTNWVMHEYRLADGHPAAAAATEPVVVNNSSNNPPMPRHDDWAVCRIFHKSSGIKKPVQMPVQAPPTTYQQQMNMVAAGIVPVPMQMVPDMQQAMDDFSGGVALQQMNPLMASSSYSTLPAFPGAATGLPMMNPPPPMPQFYQQMEMGGGFMVDDPASGPSSQDAENAGDLSGMDAAMWKY >LPERR01G00280.1 pep chromosome:Lperr_V1.4:1:158512:162054:1 gene:LPERR01G00280 transcript:LPERR01G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYTEPLLRLTTTHHQSPSSSPRHTAADMVVAVHQDDETGALVAAGEEEDAGDAPVVRSVRGAWDVFTAESRRLWAIGAPIAFNIVCLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVSMLGIYMQRSWIILTVSAALLSPLYIFASPILRLLGQEEAIAAAAGEFTIRIIPQMFALAINFPTQKFLQAQSKVAALAWIGFAALVAHVALLALFVSVLGWGIAGAAAAYDVSSWVTALAQVGYVVGWCRGDGGGWTGLSRKAFNELFAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMVFIGLNAAISVRVSNELGSGRPRATMQAVVVVLLQSLALGMVAMALILATRNHFAVIFTGDRHLQKAVANIAYLLAVTMLLNSIQPVISGVAVGGGWQGVVAYINLACYYAFGLPLGFIFGYLFRWGVQGIWAGMLCGTAMQTAILMYMVCKTDWEAEASQALERVRLWGGQHQHEHDKLPTSEQETI >LPERR01G00290.1 pep chromosome:Lperr_V1.4:1:160933:166222:-1 gene:LPERR01G00290 transcript:LPERR01G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFRTANLASRVFDRQFLSPRPGTAVNTVRQLYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWPTFSCNDACDSNDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARGVSFLPGIKQRLLSYIFRKTWNEESDQSLRVQHLKKKFYFHFQDYVDLIIWKGAYNMIVEQVQFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHANPQNSSHGNFISSHSNNFHALDQLRAIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVNQPNVVKFKIKPAPAAHMACIVSTDGVLVGLTLEIILYTSKEMIKNIPASFRSNLPMHRMNSRY >LPERR01G00300.1 pep chromosome:Lperr_V1.4:1:175161:178759:-1 gene:LPERR01G00300 transcript:LPERR01G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPGECEYRSSPEMVRPSKPTPRRTLYLSNLDDQRFLRFSIKYLYVFPAAAAVVADDLKAALARALVDYYPLAGRLRPSDEDDGKLLVDCNAEGALFAEAFMPGLTAGDFLNGNAKPHKSWRKLLYRVDAASFVAVPPLVVQVTQLGCGGMVLCTAICHCLCDGIASANFLHAWARDAANPIADEVLVLHDRRALRPRCPTRVAFTHPEYAADAAGGGAPLLLAQLIGQPLSPVSLTFTAAHLRHLKTKLRQCTSFEALAAHVWRAWVLSLSPPPSTKVKLLFSVNVRRRLKPELPETYSGNAFVLACAESTAADLVNGCGLRRVQEAKDRVNDDYVRSMVDLLEERRGAKPDLAATLVISAWTRLGLEDLDFGNGKPAHMGPLTSEIYCVFLPVVGQPDAVTVLVSVPQAAADAFHHHCCSFPILRDDADESPAAAAADGDDPIIPMISSIVSNNKQMDSNKTKQHQQLQGSDHLLARHDILA >LPERR01G00310.1 pep chromosome:Lperr_V1.4:1:187552:191572:1 gene:LPERR01G00310 transcript:LPERR01G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSLCDHLSLQDDLPWPSMPFAPALHAPIGVNHQWSHQQPLMLDQFSSSYELEPAVSVQNHLASASTLSPLQAQLSTVLMMQELGFQWSSCALAAADHHTTATSMNNVMEEEQLRNPRSSSSCGTATTYTDMLPPAPPTMAANLDGNVLPSINVSRLQKTAAGGDSPLPQTMLAASISCKSQAASSAAASVVGHMSYGPPAHLIHGPSIDDIHSLQIMKRNTQRRGDRNGDATKQRSAVAPSPSSKKPRLESRSSSAILPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSKNSKKACRAAQQRKGASNGGAEAASKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >LPERR01G00320.1 pep chromosome:Lperr_V1.4:1:191876:193415:-1 gene:LPERR01G00320 transcript:LPERR01G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSALRAAAQRAGPAARKQALSLTDAAASRIRQLLSIRQRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVIGTKMDYVDDPLRSEFVFINPNSKGECGCGESFMTTSSKGSTS >LPERR01G00330.1 pep chromosome:Lperr_V1.4:1:194479:198076:-1 gene:LPERR01G00330 transcript:LPERR01G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEHRTTTPSRRIHADADADASPAVLGLQLSALIDHVARVDWSLLDRIPGDRGGSQQVSIEELNHILAEVNAQIRPSHDELSPIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGDLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANDFKKEDFKGSKWLVVRYASQNMEQIHEAIRIAKQEGLSVSLDLASFEMVRDSRTQLIDLLETGNIDLCFANEDEARELIGEGPTFDPEEALAFLAKHCKWAVVTLASKGCMAKHGKQVVKVAATGERNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLDN >LPERR01G00340.1 pep chromosome:Lperr_V1.4:1:199690:199968:1 gene:LPERR01G00340 transcript:LPERR01G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWVGTLAVAXGAVGSGEAGRRGSGEASAACRRRRRPAAAAAWVRTPRADGRQHERG >LPERR01G00350.1 pep chromosome:Lperr_V1.4:1:203977:206681:1 gene:LPERR01G00350 transcript:LPERR01G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIMHMIKYKYVPFSIGKQKYGGKKNVGSSSSKD >LPERR01G00360.1 pep chromosome:Lperr_V1.4:1:206374:207971:-1 gene:LPERR01G00360 transcript:LPERR01G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGEETTAKSRILVVGATGHIGRHIVAAAARLGHPTTALVRDLSPSDQAKSQLLQSFRDAGVNLLHGDLYDHSSLVAAVRDADVVISALRAPQIPDQTNLIAAIKEATGGDVTRRIRFVPSEFGMDPERGGGGAVEPVRSIYAGKAAIRRAVEAAGIPHTYVACNYFAGFALPTIGQFLPMSPPVDSVVIIGDGSTKVVFVEEADIGTLTVRAAVDPHAENKAVHIRPAANTVSHEELIALWEKKTGKKMERVYVPEDVLLTKIQAYIKGGMTTPLDPASDVEATQLYPDVKYTTVDDYLDRLL >LPERR01G00370.1 pep chromosome:Lperr_V1.4:1:210991:212650:-1 gene:LPERR01G00370 transcript:LPERR01G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGEEKKSRILVVGATGYIGKHVVAAAARLGHPTTALVRDLSPSDQAKSQLLQSLRDAGVNLLHGDLYDHASLLAAVRDADVVISTLGALQIADQTKLIAAIKEAGNVSRFIPSEFGLDPDHTGAVEPVKSIFAGKAAVRRAVEEAGIPYTYVVSNYFAGYSLPVLGQNLPPAPPVDRVVILGDGKTKVVFVEEDDIGTLTVRAAVDPRAENKAVHIRPAANTVSHEELIAVWEKKTGKKLERVYVPEDAVLKQIQESPIPLNILLSIAHAAYIRGETTTPLDPATDLEATELYPDVKYTTVDEYLDRLLSKST >LPERR01G00380.1 pep chromosome:Lperr_V1.4:1:212729:215066:-1 gene:LPERR01G00380 transcript:LPERR01G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSEETTAATVTPKKSKILVVGGTGYIGKHVVFASARLGYPTVVLVRDLAPSDPAKAQLLQSFRDAGVTHLHGDLYDHDSLVRTIRDADVIISTLGAMQIADQTNLIAGIKEAVRPRPDHTGAVEAAKSIFAGKAVIRRAVEAAGIPHTYVVSNYSLRYILKYGGSTSPASYTLPAVGRNLPPAPPVDRVVIIGDGKTKVVFVEEEDIGTFTVLAAADPWTENKAMHIRPAANTMSHDELVSLWEKKTGKKLERVHLPEEAVLKQIHESPIPLNILLSIAHAAYIRGEMTTPLDPASDMEATELFPDY >LPERR01G00390.1 pep chromosome:Lperr_V1.4:1:217690:218253:-1 gene:LPERR01G00390 transcript:LPERR01G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVVSSKRCTLAKAANILTQFVENSASILPSSDAATYLRTAADATHHHRLFRLDLRRRYTHGDLPPPASPSASREKMIKHSKEAAATHVKHEVEDIMACADLVAQEDTDKDRRRRRMKLQGIVEQGIASPEKKRNNRKKHPEEVEIHASADLGSEKKRKKKRERGDDNENEQAEHTRKKPRN >LPERR01G00400.1 pep chromosome:Lperr_V1.4:1:219179:221099:-1 gene:LPERR01G00400 transcript:LPERR01G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPSSPATPGINSTARLHTLALPPLHPQFDNTSPPDRAAATGRTDLFALRRWRAYNIT >LPERR01G00410.1 pep chromosome:Lperr_V1.4:1:222237:223115:-1 gene:LPERR01G00410 transcript:LPERR01G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQEQPPQRYWFPYWTSPPPPPPPPHRPPSRRRPPSPPEEDKDVVVVVPTTTMQQQQHRKQSPPIHQQHKGGGDVRKDIKTGIAGMLSAASLQEQQGTSIITLAGENKGASMKGTSPAAGGQSSIGGGEKQRGGGGVRAMINSNVQSINNSLLLHSSCTGGDLGVHLNLKLKLKAKNKSKSKEGHEHVAADTHTGTIPTSAAKAAADVSSKEIKKEDTDHSSGATKLATSKPAVDSSKEKKKEMDTSATKSNKPAAVDSSKEKKKEADTSATKGSKASAAKPTGAAATKK >LPERR01G00420.1 pep chromosome:Lperr_V1.4:1:225202:260536:1 gene:LPERR01G00420 transcript:LPERR01G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDVDEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPGHIPGSATWPFLVMALTKCVIADISAKKRGAPKSAAARMLRLAVQCAEDFRLSGRSLLLISVVKKLFSHIWEVVKDAPSFLVEYSIILRQLLTVKEYQYQMKPRTYSSFVVLYMKKVATGFDEKFGNQTSSKEESFRCTLTLHVLLENPPGDYPDMMREEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAIQDFMFHSWPVTHDKALKSLFITYAKVQLKLARAIPKILEKLLDVIVKELDQNFNTGAGLVWCEAPRDEKSVSLRCFQEELIDLSATVLYLVYKCTPRTSNREKRLKTEHVMTIVMDSLPKGSLVWTGSICYLINKYGYRVDKTMLISWFEATCKGLKSVLSNANAVRFQDSLLWIIRVLKEFSALLMFNARDEPSFLLTKGEVSIFEGHWQDTWNSLIHALPLFSTTALVADSVLRLLGEMIMRDQVHASFVSEDTWDLQIFKQLPSSSALYFIACYFSKIGFQGFSLLNERNVLMIPETIFSLCAGFSSPVINSADTSQLFGECKNFSKDEFWFHEEELGYSVEALSEINLENPTKAMSDKCSRAHLPRHIQQPLLLELLEFTKGFVSSNEQFEKVDLPNLLYACSLVCNLIHCSLLSRVIDEKSSFLQAMLDYVTNAIKHIVSVVMKERAELSHSLTNLGSSFDTTGSALSSFKSFMCSPIFSLWRVNNKTNSVLGVTELLDELLVAISQLFSHLSSLMNNFDGDNPSKMLPLSFVSLSEDLNPDSRSSFVDMDLDMTGSGEVDTVTAGGSGSMGISPRPLERKLELVYIISSFFSVVPIHTWEVLYNLAEKEVDVKVGHAILLELCTNISASSKSLSSVDIIGHLLSMLHDTDYRVSSNIGVKMVQFSYENPVKAREVLAIGPQSVPLIETSLITLAHLSLQSEDVEVECVFMISAVAALELSQRELAYALFDSVSKRLNYASRSKYLNQLIGQILFRWFACELSLVSLVEVKEMFGFKSADPKNFIEHCCSWLLPFLILRGDTADLNWLSKILSKPLSDVIKGHFVPIFGLCITARCGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSISSSSRQPEIPYFSTETVAHSVKTVVDGFMDAMDDNLAETVVIDKISIFRSDRVFKWGVTYGDNHCKANAAIFYLSCWLLSNQTLLLKLLLHLASSFSNEKEGRGAFADSSRVLSLLRQLTIDADPLLYDYIRALEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSHATRAISVEAGICDVHQVIFHVPNFTGKYPIQLHIPNEDKLCLDYGISDDILVGLLKLLKTCLSDESVEIIDVTSRTLRGILSTARGLNALHCLDSPDRSLLMVHSRGINSQLVEQTLLGMEKISGVSLEDPDLWRTDGRTYKQWLCTLAGSLICHCDDIILRSLAFHKAEAAELLLASALVNIVGNLDSNAGMCRIISTMVEEIIFSDCNHLMKSDGRSVRSKSRSPTATPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVAAFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENLGSLTGITLPGPSDMPSKSEEKMPNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDIQYEAAWRAGNWDFSYFVPYSSQPSTRSRSYCLFNENLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVLKLQMLDHLTMVWDLRWKFYPNQTSKSYVGTKDFSITPTVPTMMQLELLNKEWTSILCQTERSLDLLEPFLAFRSAVLKILGCEEHLREHLFQSASALRKGVRFSLAAAVLYELKELCCHMDHQAMPDSYFSFKLEEAKLLRAQGQHDMAISLGKYILKNHPDKKTISDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDLTGFNTSSDKKYKSRQCRTYFHLAHYTDGLFKSYEERLLSNEWQAALRLRNYKSEKTDYSVKIQELQKQLALDREEAEKIQDDRDKFLSLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQTYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDRQKSRSSFIVDMDKKLAAENLLKDLSSCHGSLIRQMKQMVEIYIKLAELETKKEDTNKRIPLPRELRSICQLELVPVVTATIPVDPNCQYEEGSFPHFNGLADSIMIMNGINAPKVVECIGSDGNRYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLLGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFLKVCNNFRPVMHYFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIVEVFVHDPLYKWALSPLKALQRQKEADVMDSCLDESQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >LPERR01G00420.2 pep chromosome:Lperr_V1.4:1:225202:260536:1 gene:LPERR01G00420 transcript:LPERR01G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDVDEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPGHIPGSATWPFLVMALTKCVIADISAKKRGAPKSAAARMLRLAVQCAEDFRLSGRSLLLISVVKKLFSHIWEVVKDAPSFLVEYSIILRQLLTVKEYQYQMKPRTYSSFVVLYMKKVATGFDEKFGNQTSSKEESFRCTLTLHVLLENPPGDYPDMMREESLFITYAKVQLKLARAIPKILEKLLDVIVKELDQNFNTGAGLVWCEAPRDEKSVSLRCFQEELIDLSATVLYLVYKCTPRTSNREKRLKTEHVMTIVMDSLPKGSLVWTGSICYLINKYGYRVDKTMLISWFEATCKGLKSVLSNANAVRFQDSLLWIIRVLKEFSALLMFNARDEPSFLLTKGEVSIFEGHWQDTWNSLIHALPLFSTTALVADSVLRLLGEMIMRDQVHASFVSEDTWDLQIFKQLPSSSALYFIACYFSKIGFQGFSLLNERNVLMIPETIFSLCAGFSSPVINSADTSQLFGECKNFSKDEFWFHEEELGYSVEALSEINLENPTKAMSDKCSRAHLPRHIQQPLLLELLEFTKGFVSSNEQFEKVDLPNLLYACSLVCNLIHCSLLSSLSEDLNPDSRSSFVDMDLDMTGSGEVDTVTAGGSGSMGISPRPLERKLELVYIISSFFSVVPIHTWEVLYNLAEKEVDVKDIIGHLLSMLHDTDYRVSSNIGVKMVQFSYENPVKAREVLAIGPQSVPLIETSLITLAHLSLQSEDVEVECVFMISAVAALELSQRELAYALFDSVSKRLNYASRSKYLNQLIGQILFRWFACELSLVSLVEVKEMFGFKSADPKNFIEHCCSWLLPFLILRGDTADLNWLSKILSKPLSDVIKGHFVPIFGLCITARCGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSISSSSRQPEIPYFSTETVAHSVKTVVDGFMDAMDDNLAETVVIDKISIFRSDRVFKWGVTYGDNHCKANAAIFYLSCWLLSNQTLLLKLLLHLASSFSNEKEGRGAFADSSRVLSLLRQLTIDADPLLYDYIRALEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSHATRAISVEAGICDVHQVIFHVPNFTGKYPIQLHIPNEDKLCLDYGISDDILVGLLKLLKTCLSDESVEIIDVTSRTLRGILSTARGLNALHCLDSPDRSLLMVHSRGINSQLVEQTLLGMEKISGVSLEDPDLWRTDGRTYKQWLCTLAGSLICHCDDIILRSLAFHKAEAAELLLASALVNIVGNLDSNAGMCRIISTMVEEIIFSDCNHLMKSDGRSVRSKSRSPTATPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVAAFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENLGSLTGITLPGPSDMPSKSEEKMPNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDIQYEAAWRAGNWDFSYFVPYSSQPSTRSRSYCLFNENLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVLKLQMLDHLTMVWDLRWKFYPNQTSKSYVGTKDFSITPTVPTMMQLELLNKEWTSILCQTERSLDLLEPFLAFRSAVLKILGCEEHLREHLFQSASALRKGVRFSLAAAVLYELKELCCHMDHQAMPDSYFSFKLEEAKLLRAQGQHDMAISLGKYILKNHPDKKTISDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDLTGFNTSSDKKYKSRQCRTYFHLAHYTDGLFKSYEERLLSNEWQAALRLRNYKSEKTDYSVKIQELQKQLALDREEAEKIQDDRDKFLSLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQTYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDRQKSRSSFIVDMDKKLAAENLLKDLSSCHGSLIRQMKQMVEIYIKLAELETKKEDTNKRIPLPRELRSICQLELVPVVTATIPVDPNCQYEEGSFPHFNGLADSIMIMNGINAPKVVECIGSDGNRYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLLGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFLKVCNNFRPVMHYFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIVEVFVHDPLYKWALSPLKALQRQKEADVMDSCLDESQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >LPERR01G00430.1 pep chromosome:Lperr_V1.4:1:261858:268831:1 gene:LPERR01G00430 transcript:LPERR01G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRREGGSVGWIPYENRVRGTRGARSTGRRLGRVSGSLNRFSEQKSPVPIHPLPLPLPPWLLAPIDPSIEIRRGCLPWRPTSTRRRPPPPPARAPPPPPVPPPPPASPTSASRSRSGTPSRSGPGISSSTTAPSAATTSWIYASSARQTRPAPPARNALSLGVSVIMLFTSIASAGGSRLAKCAH >LPERR01G00440.1 pep chromosome:Lperr_V1.4:1:267129:272497:-1 gene:LPERR01G00440 transcript:LPERR01G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVSFSGELAPAASSFLDSKRGAFNQLKVDFSFQRRDRRATSLRRTCCSMQQAPPPAWPGRAVVEPAKRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDEKPEIIPGEQGIIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVERLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKSPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIEYLDIFKVVELTCNAHQNELVKSPSLEEIIHYDLWAREYAASLQPSSGLSPVPA >LPERR01G00450.1 pep chromosome:Lperr_V1.4:1:275135:278528:-1 gene:LPERR01G00450 transcript:LPERR01G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSSPSPQDGSGGGEFSDNLVLQAPQPMREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRDPQPNSTDVAAVGSQQASIPNQSAGTQPYKTVQSPQAVTSSVSTLPIVPQIQPQLSWPRTLIGVGVFLGVGASAAVVLKKWFIPRLKSWTQRAHAEGAENSENELTSKLYEEIKEAIQASASAFSDIAKTNQELLASKDEDKKILTKMAQAFDSQAEAFRSLSESLNRISENRFSQYNIVEDHFQSAPWNGPTNNSWRASQQINTYNTSPRSDFDSGRHPYMPVPGEPTSGAFPSRSYVEQQQQRMQRPGYGYQPQMSNDRWSPGTPLTNYHGSPPPYQYHHGANTIDEAPAPAPAPALAPPAESPFQRHWVPPQPPGVVMPEAAAAIRQPRQQGSAAPRSPENAATEQPQPQPESTDDVAGGVAMASAGNGEAEQEREAAA >LPERR01G00460.1 pep chromosome:Lperr_V1.4:1:279272:279825:1 gene:LPERR01G00460 transcript:LPERR01G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSTTSSFREQLLVLGEALLDLAADVSAAARAMDHSTVISLACAAVTVAIVLLCYVDICARLAAIHPPPPSPEKEADPAPPPLTEEEEKKASIDRIVISDDDDADAGKERSVTSSESPRVSFAAAFHVKEEPFAVAVEVKVKVKVKVKQPCVVGELKLVVSLLPLNR >LPERR01G00470.1 pep chromosome:Lperr_V1.4:1:280638:284265:-1 gene:LPERR01G00470 transcript:LPERR01G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYGGREVAIKMVSQPEEDAALAAELERQFASEVVLLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLALEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCRSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKNARPPLPPSCPLAISHLITQCWATNPDRRPQATKKLKKRTHPSCCHIYRLLLRCTITITITITTMSIITSRAFSGVSLAAETFDDLHLLEYDVD >LPERR01G00480.1 pep chromosome:Lperr_V1.4:1:298073:299414:1 gene:LPERR01G00480 transcript:LPERR01G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDAHRSRNRCAACYREFNKKEHLVEHMRTSLHSPHDPRCGACGKHCRSLDALRDHLTGAIPKPACAAAFAARGCPRCLHLLPSQSPHSCPNSPPLAGGTIAVALGCKMVGAGSDGSLDVCARVCLVDEYERVVFEAFVKPSIPVTHYRYETTGIRPEHLRDGAAVTAKQAARRVLDHLLNGEAAWKARSFRGRARILVGHGLDHDLESLGIDYPDYLKRDTARYPPLMKTSNSRLSNSLKYLTAAYLGYQIQPTAGGGRHHHPYDDCVAALRLYRRMRGAGQQQHHRSRRDDEAGPNAPAAVAAEAFPAWRQKELERMSPEELLQMSTSDYYCWCLDNNAAAAMD >LPERR01G00490.1 pep chromosome:Lperr_V1.4:1:301442:304883:1 gene:LPERR01G00490 transcript:LPERR01G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPAPLPCKLLTRRRAVAAAAVLAAAALLLAATQDPTAHPYLVGETTSKDLVVDDSSASGRFEDDASSSSSFSPSEPPLPSLLETNFPSTITTAPSMLLAPSPSPAENSDDGLMEETEPPDLKPISSGSPIRRPDINVGHDSNARAMLPPRLQVDALRSYTADGELIYAKKEISNAPLVSGDPDLHAPLFRNVSVFRRTKGADHFFVACHDWGPYTTKLHEELRKNTIKALCNADLSEGVFVHGRDVSLPETFIRSPKRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMKIYDSLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALNWSAFSVVIPEKDVPKLKQILLEIPEDQYVAMQLNVKRVQKHFLWHQNPIKYDIFHMILHSIWFSRVNQIPIE >LPERR01G00500.1 pep chromosome:Lperr_V1.4:1:305509:309199:-1 gene:LPERR01G00500 transcript:LPERR01G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACASSPAAARPPLHVPLRSPPSAAHLPHAAAATTRRASSSAACRCTASAPSSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEAAKLKKAILEATGSDAVAQVMSELKNAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQVVHMRPTKGTSSVSSSLSSTTTESPTKAEDESSLESSAISEGVTDEANTDTTLKGNEDVEDKEQDVGSAKDSSVEGFKSVLNFFKSRIPEFKVQVINVDVSEEAELGSDSSEEFVQDDVKSTSENSLEDPTTEELQQDDVPDGDSDSAEDGKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGADRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTDNTLFNRIITDTNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKFKQESPRGTKLVHGTLRALGAKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >LPERR01G00500.2 pep chromosome:Lperr_V1.4:1:305509:309199:-1 gene:LPERR01G00500 transcript:LPERR01G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACASSPAAARPPLHVPLRSPPSAAHLPHAAAATTRRASSSAACRCTASAPSSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEAAKLKKAILEATGSDAVAQVMSELKNAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQVVHMRPTKGTSSVSSSLSSTTTESPTKAEDESSLESSAISEGVTDEANTDTTLKGNEDVEDKEQDVGSAKDSSVEGFKSVLNFFKSRIPEFKVQVINVDVSEEAELGSDSSEEFVQDDVKSTSENSLEDPTTEELQQDDVPDGDSDSAEDGKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGADRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTDNTLFNRIITDTNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >LPERR01G00510.1 pep chromosome:Lperr_V1.4:1:311839:321137:1 gene:LPERR01G00510 transcript:LPERR01G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGRLAAASVSDRPSPAADGPDHLLHVMRAVEGAEATIRNQDLTAKVKENEEEIAQLRKHLADYSVKAFDQQQQDLIDAASKALSYRQDIIEENIRLTYAVQAAHQERTTFISSLLPLLSEYENLQPSVLDAQSIVTNLKVLFKHLQEQLILTENKNNLDIVPQTPYPHIQSPISSPVQVRGDWDVAGNKNRQVIPTDVAPRNVNHDDMGRNSLSSSNKFRRDVSAEVSQPDFRSAQLDFETQSQNPPFKHLSRSDVSDVSEGAEAQHVQEHSAHWGHGNTPNLVSGIEDTNPSYPYLPTVLEEPGSSFSEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEFVRVYANDQRKITCDPETKELIKRTLEIGHVSYEVQLPINIPNGRPTEFLITSADGVEYNLKPAENTQPRDTIVLVLRLFRIMAVEKRRGRKKILFFK >LPERR01G00520.1 pep chromosome:Lperr_V1.4:1:326877:328549:1 gene:LPERR01G00520 transcript:LPERR01G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGSSSSSRRRSRATVSTSSGSVSSTAAAAERKEMERRRRQDMKGLCLKLASLIPKEHYSKGGMRTQLSSVDEAAAYIKKLKERVDELPHRRSIAMGNGGVAISPSTSGSGGSSEDVITGEKTVLEVRQHVQEGSSSLDVVLICSVERPVKFHEVITVLEEEGAEIVHANFSVAAHKIYYTIFSRAFSSRIGIEASRITERLRALVIQ >LPERR01G00530.1 pep chromosome:Lperr_V1.4:1:337093:340525:1 gene:LPERR01G00530 transcript:LPERR01G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKNTSTSSNSGGKCYSSSSGGGGQSKMERKDVEKNRRLHMKGLCLKLSSLIPPPAAATSPRRHHQHHQNLYSTSSPPSSTKETATQLDHLEQAAAYIKQLKGRIDDLKKRKQQATSLTSSSNGGKQAGGMPVVEVRCQEGTLDVVVVREAAAGDGERSVRLHEVIAVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRIGLDASRVSHRLRSLLLI >LPERR01G00540.1 pep chromosome:Lperr_V1.4:1:341977:343886:1 gene:LPERR01G00540 transcript:LPERR01G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLEGMKTGAAKPIGEHRTALLQVTDIVPADLDEKDLLPRHGKFYIKLSDSSHSIYATLPLAQADLVLTNTLQLGQFLHLDRLDPGSPVPVLVGARPLPGRHPLVVSTPHPSAAKSKPAAPRRGSWGPENHHVAIKPTTLNFDDRTPVKERPAAAAPSPPRSTVSSVRKSSSVLPRMMTRSKSFVAADRGDNHPKIPKSPFPTEKSSVSCYTPSRAMSSRRAAPKPKEEEPSSPSSDDELCSSATSSKKRPSTSTRVPVPGKLNLLGKASTSFIDCNQIRLIFCRLLISFIDCLVQEAMEQREQAQKAALEALRNASATDNVVRIYKMFAELSKTARPDAPATCFESFLSFHQEAVQAVTDIEAIHAATSMAAAADEPVLQEIAQNTNRSSLSKRRAAAMGGVSKSVSFAPGTLDDGKSRSSNASRKCLVLDKIGEEDGGEKRSSSSCAAATGALGSSLKLAKQMQSEAGSWFMDFVEAALETGLKKKAKASATADGRKQSSCCCPQSLILRVINWVEMEQSGDGSSSSRKPGHPRAAAIARKLRIKAKNP >LPERR01G00550.1 pep chromosome:Lperr_V1.4:1:345575:355723:1 gene:LPERR01G00550 transcript:LPERR01G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCGGGGAAAEEDPAMALVTPLPTNNSNSNYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRMYQGEELGIDRVDVQTVKLAGLLHDIGHGPFSHLFEHEFLPHVVPGSTWCHEDMSTLLLDSIVDKHAIDIEADHLKIVKEMIVASSKSATTKSAKEKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGCNFQYWRLLQGMQVMGDEICYPAKDYLSIHKLFMTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPTDFWKFCNEYSVPKDKLEHFKNITAKDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLGSIKFFKDFGCDEKFPITDERVSHLLPAINQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >LPERR01G00550.2 pep chromosome:Lperr_V1.4:1:345913:355723:1 gene:LPERR01G00550 transcript:LPERR01G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWLVSLWIPRNFRGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRMYQGEELGIDRVDVQTVKLAGLLHDIGHGPFSHLFEHEFLPHVVPGSTWCHEDMSTLLLDSIVDKHAIDIEADHLKIVKEMIVASSKSATTKSAKEKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGCNFQYWRLLQGMQVMGDEICYPAKDYLSIHKLFMTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPTDFWKFCNEYSVPKDKLEHFKNITAKDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLGSIKFFKDFGCDEKFPITDERVSHLLPAINQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >LPERR01G00560.1 pep chromosome:Lperr_V1.4:1:352729:362207:-1 gene:LPERR01G00560 transcript:LPERR01G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTGQPQHNPLGGSASGATPPPQAAGAQPPAAGGGTAGQKLTTNDALVYLKAVKDKFLDKREKYDEFLEVMRDFKSERIDTNGVIARVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKSFLDILNMYRKDNKSIQDVYHEVAVLFAEHKDLLQEFQHFLPDTSVAPPAVASSRGPLVRRDDRTSLMPPTSRNLHNEKRDRAHTSHAERDLSVDRPDLDHDRQRRRLEKEKERKVERDRRDYERDEKDGEHDSKDLDIVRKRKPFPRKIEDPGAADAHQGPLENHGIHSASASSYDNKDTLKSVYTAEFHFLEKVKDKLEPDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQQPDLMDAFNEFLEHCESIESSVNTNYVDEGQTGRIYPMPPAGNKTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDIPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNAGVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIIKYSCGEVCSSSDQLDKVMRIWTTFLEPILGVQPRTHGAEDADQVKANSRTAKSGLATVGEVNATAAGAVAKQGHDENIPQEQTPSALARLVNGVAADTQNGFHDADRTARRAEEPSNTTVNEKVQGAAPGANEMPAVSTQNMSTERSAENIPVARTEQHGHAHAKANLESTSGVNASRSSHAGNDAATDARAGNEILPSVEGGETARSGSALNGGGTSEGNKGRPFNEAAAPPHNTPKVEREEGELSPNGDFEEDNFVPFEDGAVDGIPKAKDVSSNRPFQGRPGEAEPSCAEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTIDTHDVEGGISLPLSERFLYSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFGEYLSSVSDRKLSEGVFLERNKRKHSNNDEPSDSMKAMDGIKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRLSHVGNVPQPSKKCRVLDLRLD >LPERR01G00560.2 pep chromosome:Lperr_V1.4:1:353142:362207:-1 gene:LPERR01G00560 transcript:LPERR01G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTGQPQHNPLGGSASGATPPPQAAGAQPPAAGGGTAGQKLTTNDALVYLKAVKDKFLDKREKYDEFLEVMRDFKSERIDTNGVIARVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKSFLDILNMYRKDNKSIQDVYHEVAVLFAEHKDLLQEFQHFLPDTSVAPPAVASSRGPLVRRDDRTSLMPPTSRNLHNEKRDRAHTSHAERDLSVDRPDLDHDRQRRRLEKEKERKVERDRRDYERDEKDGEHDSKDLDIVRKRKPFPRKIEDPGAADAHQGPLENHGIHSASASSYDNKDTLKSVYTAEFHFLEKVKDKLEPDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQQPDLMDAFNEFLEHCESIGQTGRIVKTEDKERDKEREREERDREREKEREKERERIEKGSAYKEVASQKPVFSSKEKYNLYKPVSELDLSNCQRCTPSYRLLPKNYPMPPAGNKTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDIPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNAGVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIIKYSCGEVCSSSDQLDKVMRIWTTFLEPILGVQPRTHGAEDADQVKANSRTAKSGLATVGEVNATAAGAVAKQGHDENIPQEQTPSALARLVNGVAADTQNGFHDADRTARRAEEPSNTTVNEKVQGAAPGANEMPAVSTQNMSTERSAENIPVARTEQHGHAHAKANLESTSGVNASRSSHAGNDAATDARAGNEILPSVEGGETARSGSALNGGGTSEGNKGRPFNEAAAPPHNTPKVEREEGELSPNGDFEEDNFVPFEDGAVDGIPKAKDVSSNRPFQGRPGEAEPSCAEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTIDTHDVEGGISLPLSERFLYSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFGEYLSSVSDRKLSEGVFLERNKRKHSNNDEPSDSMKAMDGIKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRLSHVGNVPQPSKKGKYR >LPERR01G00560.3 pep chromosome:Lperr_V1.4:1:353142:362207:-1 gene:LPERR01G00560 transcript:LPERR01G00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTGQPQHNPLGGSASGATPPPQAAGAQPPAAGGGTAGQKLTTNDALVYLKAVKDKFLDKREKYDEFLEVMRDFKSERIDTNGVIARVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKSFLDILNMYRKDNKSIQDVYHEVAVLFAEHKDLLQEFQHFLPDTSVAPPAVASSRGPLVRRDDRTSLMPPTSRNLHNEKRDRAHTSHAERDLSVDRPDLDHDRQRRRLEKEKERKVERDRRDYERDEKDGEHDSKDLDIVRKRKPFPRKIEDPGAADAHQGPLENHGIHSASASSYDNKDTLKSVYTAEFHFLEKVKDKLEPDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQQPDLMDAFNEFLEHCESIESSVNTNYVDEGQTGRIVKTEDKERDKEREREERDREREKEREKERERIEKGSAYKEVASQKPVFSSKEKYNLYKPVSELDLSNCQRCTPSYRLLPKNYPMPPAGNKTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDIPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNAGVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIIKYSCGEVCSSSDQLDKVMRIWTTFLEPILGVQPRTHGAEDADQVKANSRTAKSGLATVGEVNATAAGAVAKQGHDENIPQEQTPSALARLVNGVAADTQNGFHDADRTARRAEEPSNTTVNEKVQGAAPGANEMPAVSTQNMSTERSAENIPVARTEQHGHAHAKANLESTSGVNASRSSHAGNDAATDARAGNEILPSVEGGETARSGSALNGGGTSEGNKGRPFNEAAAPPHNTPKVEREEGELSPNGDFEEDNFVPFEDGAVDGIPKAKDVSSNRPFQGRPGEAEPSCAEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTIDTHDVEGGISLPLSERFLYSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFGEYLSSVSDRKLSEGVFLERNKRKHSNNDEPSDSMKAMDGIKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRLSHVGNVPQPSKKGKYR >LPERR01G00570.1 pep chromosome:Lperr_V1.4:1:364172:367303:-1 gene:LPERR01G00570 transcript:LPERR01G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYFIDVIARGRRMYIYLIERFAAYPDKRADSMGTIMTAVVSVIASQDDLALASVDEAKSFGDWKIIACHHINCALTSLDTARAAIAVVQALARKTALLKYDLKSRPERLGVTMARANEMVRPDSRMMVVFSALASKSEPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSQPEAYQELQLLFRNHADLCEELEKFRPLVPIKHAANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >LPERR01G00570.2 pep chromosome:Lperr_V1.4:1:364172:366157:-1 gene:LPERR01G00570 transcript:LPERR01G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHNPFPFRPERLGVTMARANEMVRPDSRMMVVFSALASKSEPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSQPEAYQELQLLFRNHADLCEELEKFRPLVPIKHAANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >LPERR01G00580.1 pep chromosome:Lperr_V1.4:1:375407:382063:1 gene:LPERR01G00580 transcript:LPERR01G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKNAFVDYSLLKKDLKRMQHDHDHSSQVTRITTTLQTTLDHHYQQPIAPSSCLSHCKLLLHKLPFFGSNNNADHAGVIQVHRRLSREEMYETEVTTTETEMTTAAREFFAKLDAQLNKVNQFYKVKEEEFLERGDSLRKQMDILLDLKARASSLSGHHLAGDDPSLSSSSAMFTEDKSTRYVTSATDSDENQQETISIRDPNDLSQGLEGSGSFGRQECPEPKSLGRPVSSCQRKNLKINIPLTTPSRTISALTDLLWDDLVSQSKNKCDSDAGSMNNNKQSINKTKLRHAEKMIKGAFIELYKGLGYLTTYRNLNMTAFVKILKKFEKVTGKQVVSIYLRAVESSYFNSSGEALKLMDEVEDMFVRHFAGDNRRKAMKYLKPMQRKESHTVTFFIGLLTGCFVALFMGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNMVAWRKTRINYSFIFEFAPSRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGYHAQSIPGFLLLGFLLLLFCPFNVVYRSCRFQFHKILRNIVLSPLYKVVMVDFFMADQLCSQVPMLRSLEYVACYYISGSYKTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTGHLVNLGKYVSAMLAAGTKVAYEKDKSLGSLSLLVIVSSSATVYQLYWDFVKDWGLLQLNSKNPWLRNELILKRKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLASLEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFYEADEED >LPERR01G00590.1 pep chromosome:Lperr_V1.4:1:376492:376836:-1 gene:LPERR01G00590 transcript:LPERR01G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIVGAKEGELVQQELAVRQTT >LPERR01G00600.1 pep chromosome:Lperr_V1.4:1:381862:382417:-1 gene:LPERR01G00600 transcript:LPERR01G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPMQCHGGLAVAALLALHLAVAAAQSSPAAAPATSTPVPTAPAKSPPTPATPAPTATPTPPVAPAKSPPNGSNRQYGQWGKIVLQTVMAVLLLSLAL >LPERR01G00610.1 pep chromosome:Lperr_V1.4:1:385070:388612:1 gene:LPERR01G00610 transcript:LPERR01G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDYSDLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIHAALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTAINKVCSSGMKAIMIAAQTIQLGIHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDRYAIQSNERAIAARDSGAFAWEIAPVEISAGRGKPPVIVDKDESLAKFDPAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYFEINEAFSVVALANQKLLGIPSEKLNLSGGGVSLGHPIGCSGARIVVTLLGILRHKHGKIGVAGVCNGGGGASALVVELMQPSVFTRSSL >LPERR01G00610.2 pep chromosome:Lperr_V1.4:1:385070:388094:1 gene:LPERR01G00610 transcript:LPERR01G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDYSDLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIHAALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTAINKVCSSGMKAIMIAAQTIQLGIHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDRYAIQSNERAIAARDSGAFAWEIAPVEISAGRGKPPVIVDKDESLAKFDPAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYFEINEAFSVVALANQKLLGIPSEKLNLSGGGVSLGHPIGCSGARIVVTLLGILRHKHGKIGVAGVCNGGGGASALVVELM >LPERR01G00620.1 pep chromosome:Lperr_V1.4:1:389715:392689:-1 gene:LPERR01G00620 transcript:LPERR01G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAPGSDTPVATPSTPGFGPPSPAGTAPATAADRSNKSLSPGAQDSSASPSDGGISSGAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVIGKTNYSAGSPDYKESMSEYSMGNCRFFSYEELHQITNGFSAQNLLGEGGFGSVYKGRLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLALDAATHVTTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRKPVDSSNPMGDESLVEWARPLLTQALETGSVGELIDPRLDKNFNEAEMFRMIEAAAACIRHSASRRPKMSQVVRVLDSLADVDLTNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDADASGSRPL >LPERR01G00630.1 pep chromosome:Lperr_V1.4:1:397467:406048:-1 gene:LPERR01G00630 transcript:LPERR01G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITVLAQSAVNMRAAAMDDTAALVEKQLETELAAMSLDDSLCLARAFSHHLNLMSIADTYHRVRKARNFADLAKSCDDTFDQLIKSGVCPEKLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSQWMAIDLYVRELDTLSFELSIKKSSEKLANLANDILLKESASEDQKTNNWSQTGAQNNLKPQHNSALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKMVLSITEKREESPLPSPSHRPMGRTPSGGQLRKMFSESQTGRSSFRKLLEPSISERPGITPYRVVLGDVKEKLMRTRRRLELLLEDLPCDHDTSEYYETSNQLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDAVTSYLDIGVYSEWDEEKKLDFLTRELKGKRPLVPPYIEVTPDVQEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPAGTLRVVPLFETVKDLREAGSAIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGQQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDAKWRHVMEEISRVSCAQYRSTVYENPEFITYFQEATPQAELGYLNIGSRPAKRKATPGINNLRAIPWSTIDLIEMVVAKADAPMAKHYDDVLVHDACRRALGKELREELARTENCVLAVSGHKKLSANNRSLRKLIESRLTYLNPMNMLQVEVLRRLRRDDDNRKLRDALLITINGIAAGMRNTG >LPERR01G00640.1 pep chromosome:Lperr_V1.4:1:410628:413499:-1 gene:LPERR01G00640 transcript:LPERR01G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCSKILPFSLLLLFIGCFHGCSGQGGDASASAPMEEKEKRALYAAIEGFVGKGWNGSGLYPDPCGWSPIQGVSCDLFNGLWYPTVMSIGPVLDNSLHCSSDANFTPHLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGPIPANLGRLSSLQSLVLVENNLTGAIPAELGALVNLRRLVLSGNGLSGQIPASLGNNNDHLRLTAGDDRHQLLILDLSNNSLTGSLPSSLGGLKGLLKMDLSNNLLQGSLPPELAGLSSLTLLDLRNNSFAGGLPSFLQSMSSLQDLLLSNNPLGGELGQVGWEKLIDLVTLDLSNLGLAGAIPESMAALTRLRFLALDHNRLSGNVPAKLAEIPSIGAMYLNGNNLTGMLRFSPAFYGKMGRRFASWDNPGLCYNNVAVDAANAPPGVTVCKDVEVAGDGGGGGVRDKVDGKRKPEVSSSLMATSFGMSASKVNGFWFLVLVQGMVATSLLFIFL >LPERR01G00650.1 pep chromosome:Lperr_V1.4:1:419137:419682:1 gene:LPERR01G00650 transcript:LPERR01G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMLLLAVAAVVMSTAATLLPTATGDAVFLSSICNKTHNDKCAAVLNSSPDTADAATVGDLATIALDLAVAAAGVINDKASSYDPSSPEYNALRVCGGAYFDAVNDLDIDARDGLNSGDYATAVNLVSGAGAAADDCENAVANGKVASVMADVDQKMKDRCGVARDVINLLIPPKSN >LPERR01G00660.1 pep chromosome:Lperr_V1.4:1:420710:421757:-1 gene:LPERR01G00660 transcript:LPERR01G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHPILTPGTNPTLPSSPPQPHNHNPPPPKLGRRAAAALAIAAAPAAILSVVKPLPSIAAAQEQAPATAPCIADLPVTSTAFLDISIGGEPAGRITIGLFGDAAPTAASRFLSLVTGVGYRRKEFIKIVPGYVQHGGVVSYPPVTPALADRLAAEADAVAASCGGTGRIASAAGAVAIVVRDPSLPPPKPKLVARGGKLEVDQEQVGVVPNATGFVITTGGAGAGDASPELDASALVIGRVVDGMDVVDKIAAVPTVRDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILVTNCGVLEQKQQQ >LPERR01G00670.1 pep chromosome:Lperr_V1.4:1:423152:435969:1 gene:LPERR01G00670 transcript:LPERR01G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMSPLFTPPVPSVGATLPLASLPTSIAESSATTAHNFGSLITNKTLDIPGPTLAYQSASQAISLTVASSSSAQMDMPVPLLASSGQLHQNAPSMLSSSQSMQTPLQMSSKDFTAVESKTRVEEPLLPDPPSRALPDNNEPILSLPRQTPQKYNGAGSRNHHSFRGRGRGRGSASEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALSDLSSQAAHYGLQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALLVPPMYWQGYYPPGGLPPHLQQPLLQPTPGFVPQGLQYTGLNPNLSSGPQKLSELQPPLLQPPGTSQGPSSGILPTTTAPSSASLLAPETSKPLLPNMGTLFTPPVPSVGATLPLASLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAKSQSRDKDGDQGDDVFDEDLEDEETKNPELAAKPVYVKDDFFDSLTSGTFGRGGGQSGRTRFSEQRKLDTEFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGSY >LPERR01G00670.2 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMSPLFTPPVPSVGATLPLASLPTSIAESSATTAHNFGSLITNKTLDIPGPTLAYQSASQAISLTVASSSSAQMDMPVPLLASSGQLHQNAPSMLSSSQSMQTPLQMSSKDFTAVESKTRVEEPLLPDPPSRALPDNNEPILSLPRQTPQKYNGAGSRNHHSFRGRGRGRGSASEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALSDLSSQAAHYGLQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALLVPPMYWQGYYPPGGLPPHLQQPLLQPTPGFVPQGLQYTGLNPNLSSGPQKLSELQPPLLQPPGTSQGPSSGILPTTTAPSSASLLAPETSKPLLPNMGTLFTPPVPSVGATLPLASLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.3 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMSPLFTPPVPSVGATLPLASLPTSIAESSATTAHNFGSLITNKTLDIPGPTLAYQSASQAISLTVASSSSAQMDMPVPLLASSGQLHQNAPSMLSSSQSMQTPLQMSSKDFTAVESKTRVEEPLLPDPPSRALPDNNEPILSLPRQTPQKYNGAGSRNHHSFRGRGRGRGSASEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALSDLSSQAAHYGLQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALLVPPMYWQGYYPPGGLPPHLQQPLLQPTPGFVPQGLQYTGLNPNLSSGPQKLSELQPPLLQPPGTSQGPSSGILPTTTAPSSASLLAPETSKPLLPNMGTLFTPPVPSVGATLPLASLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAKSQSRDKDGDQGDDVFDEDLEDEETKNPELAAKPVYVKDDFFDSLTSGTFGRGGGQSGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.4 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMTSLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.5 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMSPLFTPPVPSVGATLPLASLPTSIAESSATTAHNFGSLITNKTLDIPGPTLAYQSASQAISLTVASSSSAQMDMPVPLLASSGQLHQNAPSMLSSSQSMQTPLQMSSKDFTAVESKTRVEEPLLPDPPSRALPDNNEPILSLPRQTPQKYNGAGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.6 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALPDLSSQAAQYGFQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALSVPPMYWQGYYPAGGLPPHFQQPSLLQPTPGLSIGQGVQYGGLNPNLSSGPQKLSELQPSLLQPPDTCQGTSSGILPTTTTPSSASLLAPETSKPLLPNMSPLFTPPVPSVGATLPLASLPTSIAESSATTAHNFGSLITNKTLDIPGPTLAYQSASQAISLTVASSSSAQMDMPVPLLASSGQLHQNAPSMLSSSQSMQTPLQMSSKDFTAVESKTRVEEPLLPDPPSRALPDNNEPILSLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.7 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALSDLSSQAAHYGLQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALLVPPMYWQGYYPPGGLPPHLQQPLLQPTPGFVPQGLQYTGLNPNLSSGPQKLSELQPPLLQPPGTSQGPSSGILPTTTAPSSASLLAPETSKPLLPNMGTLFTPPVPSVGATLPLASLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00670.8 pep chromosome:Lperr_V1.4:1:423152:436001:1 gene:LPERR01G00670 transcript:LPERR01G00670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPSSSSSSAAAPRPPAAAAGSSGGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSTGSAALSDLSSQAAHYGLQRPNFQSNIPLYQPGSAPWGSSAPPSAGNASALLVPPMYWQGYYPPGGLPPHLQQPLLQPTPGFVPQGLQYTGLNPNLSSGPQKLSELQPPLLQPPGTSQGPSSGILPTTTAPSSASLLAPETSKPLLPNMGTLFTPPVPSVGATLPLASLPTSIAESSATAAHNFGSLISNKTLDVPGPTLAYQTVSQAISSSFASSSSAQMDTPVPLLASSGQLLQNAPSMLSSSQSMQTPLQISSKDFTAVESKTKVVEPLLPDPPSRALPDNKEPILPLPRQTPQKYNGGGSRNHHSFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGDQGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGGQNGRTRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRMYGNMGGRGAQGNSYTHCGTIVWKASVSVMILVGSAAGVDYTGVFSS >LPERR01G00680.1 pep chromosome:Lperr_V1.4:1:437740:439585:1 gene:LPERR01G00680 transcript:LPERR01G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEEVAAARAALQWAVHNFIRAGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFKELCNGIAEAKVEIVVREGEVGETVVATVNQLTATTLVVGLHDKSFLYKSTSPYERMRRLGCRVLGIRQHATARDGSFNAELTQIETINLHVPPPKIPFPMFTLPLGVLWGRKSKAKKRK >LPERR01G00690.1 pep chromosome:Lperr_V1.4:1:446323:447714:-1 gene:LPERR01G00690 transcript:LPERR01G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASSKRAMAAPREMMYDDDEASMPLELFGYHGGNGGCVDADYYYSWSGSSSSSSSSVLSFDQAAAGGGCGRQQLAFHHSITGAGDDECAMWMDAAAGMVVDHHPSVAGHNFGFVSSPAGSPAAEMGIEIHDSSVVSKPPATAKTAQKRSCPPGGEVRAAGKKQCRKGSKGSKAASAPAPAPALTKDKDQPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >LPERR01G00700.1 pep chromosome:Lperr_V1.4:1:454507:458003:1 gene:LPERR01G00700 transcript:LPERR01G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVKPSAAEYPPLVRISGRRNDLYTLIMTDPDAPSPSDPSMREYLHWIVVNIPGGTDAFKGEEMMEYMGPRPPVGIHRYVLVLFQQKSRFVDGALMPPAERPNFNTRAFAAAHQLGLPTAVVYFNSQREPANRRR >LPERR01G00710.1 pep chromosome:Lperr_V1.4:1:459461:459946:-1 gene:LPERR01G00710 transcript:LPERR01G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALILLQLHYSDHQPAPLLLLVAVAVAVALLVVSLCTSSKQRAAGSSPQLKTMEESGGGGGRKQLVATLSGVGGKAAAMAKMVSWNRRSSRPPGCSSDDDDDEGMAVEEEEELWRKTIMMGDKCRPLQFSGQIAYDSDGNKLPATAIGKGGDAADATNN >LPERR01G00720.1 pep chromosome:Lperr_V1.4:1:462683:462946:-1 gene:LPERR01G00720 transcript:LPERR01G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAGDGSAQGNGCVRLPGGGFFGQNGNGDAVASGGDGIPPSAGSMSATTPAANHSDTTTATASEIGGGDGIFAAVLEEFVPKVV >LPERR01G00730.1 pep chromosome:Lperr_V1.4:1:464506:465018:1 gene:LPERR01G00730 transcript:LPERR01G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPQLPQSFLLILLIVAATAAVAVAADDEKCTACGLPSPCGTTCVYSSPPPPELPTPVYYPPPPPVYYPPPSPPPVVYPPPTTPTTNCPPPPYGGYEPTPGYNPTPGYNPTPGYNPTPSGWFTPPNLPSYLTPPGTLYPQDPGFRPNAAAGGAAVSWLVAVAGAFLAL >LPERR01G00740.1 pep chromosome:Lperr_V1.4:1:471792:472250:1 gene:LPERR01G00740 transcript:LPERR01G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKLLVILVFSARLRLTAGDSSSSYPSDCPYPCLLPPPTPVTTNCPPPPSSSTPATYSYPPPSSSYWNYPPPQGGGGGGSYIPYYQPPAGGGGGFGYPAPPPPNPIVPWYPWYYRSPPSSSPATAVTVARGRSFLGSVAVVTVAALIILF >LPERR01G00750.1 pep chromosome:Lperr_V1.4:1:476845:477972:-1 gene:LPERR01G00750 transcript:LPERR01G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPPSSSSAAASTNPIVLTPGAAPPPPTSSALPTLIPPSDWSLSPSNPTLATAASFLSASLSSSSSSLSLPRFTSLLSSFLTTLSTSLSLPSPSPNLPAAIRAVASYFPSALASPVASIAASLAEYEVVLALADCGLLRHPPPNLLASLAEVGRVELVCAVVRKAADLRSSELVATLRCFLSPASHAAYDAMVSVKGQWKEAAVAAVNRCGKGKKVDAVARQAAMLLMMGYDGFTSPEVCLHYLFASGSNVDNVVLGAAVAELDGGEVARLMRYLTKWIGKYQRFPEAQACPEAVGMPGMELCDNVPSFGVVARALGLVLDQHFSHLVLNAELKEDLKTAEMMVKQLAAEAESAGPILDLLRRLQQNA >LPERR01G00760.1 pep chromosome:Lperr_V1.4:1:478730:479683:-1 gene:LPERR01G00760 transcript:LPERR01G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGKKLDAVVVSNVDGEPVDVDQAEPAADVSRGLAIGLLIREVMVEMVATFVVIFWSCVAVVMQETYQTLTLPLTCLVVAMTVAFVLSWVGPAHFNPAVTITFAAYRRFPAWPNLPLYIAAQLSGSLLACLAVNAIMDPPNGVFYGTAPMVGGRRLPFVMEMTASAVLMVVIAGVATDVTVGKTAGGIAIGAAVGGLGLVIGPVSGGSMNPVRSLGPAIVLGRYDGVWIYMVAPVVGMIIGAFCSRIVRRSHRIVAFLCGGGGGGGSTPAGVAGAHAVAAVAV >LPERR01G00770.1 pep chromosome:Lperr_V1.4:1:481142:484324:-1 gene:LPERR01G00770 transcript:LPERR01G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPEASPSRHTTTAAAAERPSEAAALRALVDRVRAGEVEAAREVRRLTRASSRHRRKLAAAVEPLVAMLRSAAPDAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTITDNLQTILSVQPIPSLIELLKSGKRSSKTADKCCALLESLLAFDQGRVALTSEEGGVLTIVEVLEEGSLLGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVIAIFCNGSRHSGNNEFAGDAGPGLDRTE >LPERR01G00780.1 pep chromosome:Lperr_V1.4:1:497209:501094:1 gene:LPERR01G00780 transcript:LPERR01G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELDLSDSDTRQMRKEEELKRRRHCCSIALPFLLSIVFLAMLHIALLTGVHVHGRAWRRRVAAGADIQRELPLSSFYLARLPRRAAVACLVGAGNAHLPLPIAPVCLNMYSCFRYD >LPERR01G00780.2 pep chromosome:Lperr_V1.4:1:497156:501094:1 gene:LPERR01G00780 transcript:LPERR01G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRARSVRLRHEANEKGGRAEAAATLLLHRVAISSVHRLPRNAAHRPAHRRGVHVHGRAWRRRVAAGADIQRELPLSSFYLARLPRRAAVACLVGAGNAHLPLPIAPVCLNMYSCFRYD >LPERR01G00790.1 pep chromosome:Lperr_V1.4:1:499727:501948:-1 gene:LPERR01G00790 transcript:LPERR01G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGAVLLCVQALALFSTLSVLLTSTKAADSQKEAICPPSSCAHLQDIRYPFRLRGDPPGCGVQAYELICTDGKPTIYINTGIYFVTNISYSESIFWVVDASLDNSSCPIPRRNQYPYMYGLQLAKDNRSYADITLLYPDAVTWAAFVICSRMIKNNDIYRPVACRSTNNSFVYVLTNFLSYKIGNVEPSCGYLALIPLGSWKMRPPDNASYEDVVKFMRNGFALRFPDEYEPWTYSFIISTCLDNSVSYFREQMSSSIIRNQTSAVVGIDLHFLRCINDYSYRSKLFWAAVVVVSIISTLKIIIVLAILSRFICAPLALLTFISYKYWKTKISIDAVEKFLQMQLALGPVRYAYTDITAITSHFREKLGEGGYGSVYKGVLPGDIHVAIKMLGKSTCNGEEFISEVSTIGSIHHVNVVRLVGFCAEDMRRALVYEYMPHGSLDKYIFSPDKSFSWDKLDEIALGIARGINYLHQGCDMQILHFDIKPHNILLDCNFTPKIADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGHISSKSDVYSFGMLLLEMAGGRRNLDQHAARRSQMYYPAWVHNHLSRQEVGEICDGIDFHEVERKLCIVGLWCIQMTPDERPTMNEVIEMLESGIDGVQIPPEPFFCEDEAEDSETEDSRRLSPELSTISE >LPERR01G00800.1 pep chromosome:Lperr_V1.4:1:502538:506369:1 gene:LPERR01G00800 transcript:LPERR01G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVFEVLVVALLFLVHNYGIKMAMASRDGDFFHNCPPSRCGEGRPEIRFPFRLITSPPSCGAPGMELSCSREKYMILLHPILGLCNVTAIDYRYGLLNVIPLEKSWSRCPLQRIISITNLSTNVYRPYNFEAATLFQCSREFTPKKDAKIVGPISCLSNASQVMYLMDSFENMFLLPEDCKVFLNGISMPYPFGYDVNKWLFQAKRIIMFAETTLTWSVPNITDTCKDCEQKGHLCRFSSQHRQAFCEHHGSHVKVIAATTSVAAIVILLSTAVIVLYMSLKHKTDEEVRLKIEMFLKAYGTSKPTRYMFSEVKKLTRRFKDKIGQGGFGSVYKGQLANGVPVAVKMLETSKGNGEEFINEVGTIGRIHHANVVRLLGFCSEGTRRALIYEFMPNESLEKYIFSHESDTFQELLAPNKMLEIATGIARGIEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSVVTLTTARGTMGYIAPELYSRNFGIVSYKSDVYSFGMLVLEMVSGRRNLDPRIENQNEVYIPQWIFEKIITGQELEAAREMTQGEKEMAMKLAIVALWCIQWNPKNRPSMTKVVNMLTGSLQSLAMPPKPYVSSLGHPRPQI >LPERR01G00800.2 pep chromosome:Lperr_V1.4:1:502538:506369:1 gene:LPERR01G00800 transcript:LPERR01G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRRYLCWPANKKSHTYGGKVRFFHLHITSIKTSYIASPLNTADAGSHVKVIAATTSVAAIVILLSTAVIVLYMSLKHKTDEEVRLKIEMFLKAYGTSKPTRYMFSEVKKLTRRFKDKIGQGGFGSVYKGQLANGVPVAVKMLETSKGNGEEFINEVGTIGRIHHANVVRLLGFCSEGTRRALIYEFMPNESLEKYIFSHESDTFQELLAPNKMLEIATGIARGIEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSVVTLTTARGTMGYIAPELYSRNFGIVSYKSDVYSFGMLVLEMVSGRRNLDPRIENQNEVYIPQWIFEKIITGQELEAAREMTQGEKEMAMKLAIVALWCIQWNPKNRPSMTKVVNMLTGSLQSLAMPPKPYVSSLGHPRPQI >LPERR01G00810.1 pep chromosome:Lperr_V1.4:1:507898:509355:1 gene:LPERR01G00810 transcript:LPERR01G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLPIVGPGGIGKTTFTQHLYNEDRTKQRFTAMVWVCVSTNFDVTELTRKILKSLDAPESQGSNIDSEKFLIVFDDIWEHDVSKVTSTKRFSKAEWEILLAPLGTVETSVNMVLVTTWFPKLAEIVKEGTNQVDLYGLDPDDFWEFFRQCAFSDTQDYSDKEDLIEIGKKVATKLKGSPLAAKTVGPLRIHWMKILQNEEWLKPNNGNDSIIPALKISYDNLPFYLKKCFSYCALFPEDYEFDSLEISCFWDSIGIIDSSGKNGKIEDIGSHYLNELYDNGFLMKRDDTKYVMHDLLHELSQIVSSQECAYINYSTFRADDIQQSILHLSIAIEDKYTGNFEVQMEKLKKRVCIKNLRSVMIFGRYTSRRIANILKDILNETMVLRVLFIFVMNLPNSVLSNFSKLVHLRYLKIETPLHGCNDMCVPSTVSKLYHLKFLDLQSWRGSHRLPKDFSRLINLRHFFAE >LPERR01G00820.1 pep chromosome:Lperr_V1.4:1:510052:537924:1 gene:LPERR01G00820 transcript:LPERR01G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVKWVGGANSHLFSGLKRLKCICCPKLSELPLSSCSGSSAKETNNMWFPNLCSLDIMGCPELSLPLVPHTSTLTHFKVNDTVSTVGNQLFLRDYNGALLFQNLDNLEGIYIKNVRHMSLIDFQPLRSSLRSNEQPSPPYPAGVAQSEHGGASPAPAPAAVERLVDGNGGASVATFTTKRNQCCCRKGILQSDRESSRSNHWAADQRLRRFGRIYGWLTSWQGQKMEIALGAANWLLSMVLNKLSDDLMAGYVASHELGLNMDQIKRDLNYMLGLLQAAEGRDISNNSGLQRLLDELCKKADEAEDVLDELHYFMIRDELDGTREATPDLGDGLATHALHARHSARSAAVFASEERLKDPSTRQNIPYYVVGELQLTMAISGALILPGGLFQALNILSVLGVFGVLVTKSGATDFQEQPACVPFSCGHLEDIRYPFRLRGDPVGCGDEAYELDCRDGRAIIHINTGKYFVTDIAYSESRFWVVDANLDNSSCPLPLWNSLPYFNDVSTKLYTSAVRWATFLNCSRVINNGMYMPVACLSGNTSFVYVLTTSSSYYVQTIEPSCGYLAVIPVDDRTKNVPDYASYADVVRFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKDQMSSRTIQNWTSAIIGSELQFLGCVINYYSSPAQVWVTLVLVFAIEIIKCLIVLCRFILAPLAVLTFLGYKYRKTRISIDAVEKFLKMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMSCGSLDKYIFAPEKSLSWEKLSEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSSFTPKIADFGLAKLYPRDESLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDIAGGRRSREQHTSSSVHPYYPALVYDWLTQQEVNKISEDVDIHWVEKKLCIVGFWCIQMKPTERPSMSEVVEMLESDDPDNLQAIFIYTYTHHLLPLLLAAIITTATAATLNITNLCSFTVWPAAVPVGGGTRLDTGKSWTLDVPTGTAPGRVWARTGCSFDANGNSTCLTGDCGGVLSCTSYGDPPQTLAEFSLDGTNGQDQFDISLVDGFNVPMDFLPVTAPCSKGPRCPANVTAQCPGELKAHGGCNSACRVFRQDKYCCTGNGSNTCEPTTYSMPFVRMCPDAYSYSRNDESSPVFSCPSGTNYQIIFCPPVDLTSSSPAPVEVAADNRQGKVIAGIVASVIGSTSVLVIVVGYMIIKRRTRRHQEIHEEEQEFEEITLQGMPRRFTFQQLQEATDHFRDKLGEGGFGSVFEGKIGDERVAVKRLDRSGQGMKEFLAEVQTIGSIHHINLVRLIGFCTEKSQRLLVYEHMPKGSLDRWIYHQQGCHAPTLDWRTRYRIITQVAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSCSEHSIHLITLLQEKVKSDQLVDLIDKKNNDMQVHEKEVIETMRLAMWCLQIDCKRRPQMSEVVKVLEGTISIETNIVHEFVAINPVSFGVLVDSALPLASDLSGPRPFQSTNMSRVVVVTALLLSLTINHGTDAATMDSAWEDQDFFRHCPVSRCSRDGPEIRFPHRLKSSNTSSACGASCARLTCSGQNTILNHSFLGPCNVTSIDYKEAVMKIIPLVDTSSPCPLQNLLIFNDELTGSYYDSCYQFSNICSLHQVQPAKIVRCSKEFTSPTNDYDTGNVAGPISCLSDGSHFSYLVDARNKIYSLPLDCKAISKAIIPIPLSIYDKIDGTTFKQRAEMIISFSVTTVRWIDGYYIAGDCTDCENQGRSCAFSLQRNQTFCMRQPHDSHVKVIAVASSVAAFVRLSLVVATALYLSLKIKYNEEVHLKVEMFLRTWHNEIQKEKVGQGGFGTVYKGELQNGVPVAVKMIENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLHNPNTQAPLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRIWDPSTENQNEVYFPEWIYEKVIGEQDFVLSREMTEEEKLTVRQLALVALWCIHWNPRNRPSMTKVVNMITGKLENMQVPPKPFVS >LPERR01G00820.2 pep chromosome:Lperr_V1.4:1:510052:537924:1 gene:LPERR01G00820 transcript:LPERR01G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVKWVGGANSHLFSGLKRLKCICCPKLSELPLSSCSGSSAKETNNMWFPNLCSLDIMGCPELSLPLVPHTSTLTHFKVNDTVSTVGNQLFLRDYNGALLFQNLDNLEGIYIKNVRHMSLIDFQPLRSSLRSNEQPSPPYPAGVAQSEHGGASPAPAPAAVERLVDGNGGASVATFTTKRNQCCCRKGILQSDRESSRSNHWAADQRLRRFGRIYGWLTSWQGQKVAAVPKPTRDPLTMAISGALILPGGLFQALNILSVLGVFGVLVTKSGATDFQEQPACVPFSCGHLEDIRYPFRLRGDPVGCGDEAYELDCRDGRAIIHINTGKYFVTDIAYSESRFWVVDANLDNSSCPLPLWNSLPYFNDVSTKLYTSAVRWATFLNCSRVINNGMYMPVACLSGNTSFVYVLTTSSSYYVQTIEPSCGYLAVIPVDDRTKNVPDYASYADVVRFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKDQMSSRTIQNWTSAIIGSELQFLGCVINYYSSPAQVWVTLVLVFAIEIIKCLIVLCRFILAPLAVLTFLGYKYRKTRISIDAVEKFLKMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMSCGSLDKYIFAPEKSLSWEKLSEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSSFTPKIADFGLAKLYPRDESLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDIAGGRRSREQHTSSSVHPYYPALVYDWLTQQEVNKISEDVDIHWVEKKLCIVGFWCIQMKPTERPSMSEVVEMLESDDPDNLQAIFIYTYTHHLLPLLLAAIITTATAATLNITNLCSFTVWPAAVPVGGGTRLDTGKSWTLDVPTGTAPGRVWARTGCSFDANGNSTCLTGDCGGVLSCTSYGDPPQTLAEFSLDGTNGQDQFDISLVDGFNVPMDFLPVTAPCSKGPRCPANVTAQCPGELKAHGGCNSACRVFRQDKYCCTGNGSNTCEPTTYSMPFVRMCPDAYSYSRNDESSPVFSCPSGTNYQIIFCPPVDLTSSSPAPVEVAADNRQGKVIAGIVASVIGSTSVLVIVVGYMIIKRRTRRHQEIHEEEQEFEEITLQGMPRRFTFQQLQEATDHFRDKLGEGGFGSVFEGKIGDERVAVKRLDRSGQGMKEFLAEVQTIGSIHHINLVRLIGFCTEKSQRLLVYEHMPKGSLDRWIYHQQGCHAPTLDWRTRYRIITQVAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSCSEHSIHLITLLQEKVKSDQLVDLIDKKNNDMQVHEKEVIETMRLAMWCLQIDCKRRPQMSEVVKVLEGTISIETNIVHEFVAINPVSFGVLVDSALPLASDLSGPRPFQSTNMSRVVVVTALLLSLTINHGTDAATMDSAWEDQDFFRHCPVSRCSRDGPEIRFPHRLKSSNTSSACGASCARLTCSGQNTILNHSFLGPCNVTSIDYKEAVMKIIPLVDTSSPCPLQNLLIFNDELTGSYYDSCYQFSNICSLHQVQPAKIVRCSKEFTSPTNDYDTGNVAGPISCLSDGSHFSYLVDARNKIYSLPLDCKAISKAIIPIPLSIYDKIDGTTFKQRAEMIISFSVTTVRWIDGYYIAGDCTDCENQGRSCAFSLQRNQTFCMRQPHDSHVKVIAVASSVAAFVRLSLVVATALYLSLKIKYNEEVHLKVEMFLRTWHNEIQKEKVGQGGFGTVYKGELQNGVPVAVKMIENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLHNPNTQAPLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRIWDPSTENQNEVYFPEWIYEKVIGEQDFVLSREMTEEEKLTVRQLALVALWCIHWNPRNRPSMTKVVNMITGKLENMQVPPKPFVS >LPERR01G00820.3 pep chromosome:Lperr_V1.4:1:520498:537924:1 gene:LPERR01G00820 transcript:LPERR01G00820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALILPGGLFQALNILSVLGVFGVLVTKSGATDFQEQPACVPFSCGHLEDIRYPFRLRGDPVGCGDEAYELDCRDGRAIIHINTGKYFVTDIAYSESRFWVVDANLDNSSCPLPLWNSLPYFNDVSTKLYTSAVRWATFLNCSRVINNGMYMPVACLSGNTSFVYVLTTSSSYYVQTIEPSCGYLAVIPVDDRTKNVPDYASYADVVRFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKDQMSSRTIQNWTSAIIGSELQFLGCVINYYSSPAQVWVTLVLVFAIEIIKCLIVLCRFILAPLAVLTFLGYKYRKTRISIDAVEKFLKMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMSCGSLDKYIFAPEKSLSWEKLSEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSSFTPKIADFGLAKLYPRDESLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDIAGGRRSREQHTSSSVHPYYPALVYDWLTQQEVNKISEDVDIHWVEKKLCIVGFWCIQMKPTERPSMSEVVEMLESDDPDNLQAIFIYTYTHHLLPLLLAAIITTATAATLNITNLCSFTVWPAAVPVGGGTRLDTGKSWTLDVPTGTAPGRVWARTGCSFDANGNSTCLTGDCGGVLSCTSYGDPPQTLAEFSLDGTNGQDQFDISLVDGFNVPMDFLPVTAPCSKGPRCPANVTAQCPGELKAHGGCNSACRVFRQDKYCCTGNGSNTCEPTTYSMPFVRMCPDAYSYSRNDESSPVFSCPSGTNYQIIFCPPVDLTSSSPAPVEVAADNRQGKVIAGIVASVIGSTSVLVIVVGYMIIKRRTRRHQEIHEEEQEFEEITLQGMPRRFTFQQLQEATDHFRDKLGEGGFGSVFEGKIGDERVAVKRLDRSGQGMKEFLAEVQTIGSIHHINLVRLIGFCTEKSQRLLVYEHMPKGSLDRWIYHQQGCHAPTLDWRTRYRIITQVAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSCSEHSIHLITLLQEKVKSDQLVDLIDKKNNDMQVHEKEVIETMRLAMWCLQIDCKRRPQMSEVVKVLEGTISIETNIVHEFVAINPVSFGVLVDSALPLASDLSGPRPFQSTNMSRVVVVTALLLSLTINHGTDAATMDSAWEDQDFFRHCPVSRCSRDGPEIRFPHRLKSSNTSSACGASCARLTCSGQNTILNHSFLGPCNVTSIDYKEAVMKIIPLVDTSSPCPLQNLLIFNDELTGSYYDSCYQFSNICSLHQVQPAKIVRCSKEFTSPTNDYDTGNVAGPISCLSDGSHFSYLVDARNKIYSLPLDCKAISKAIIPIPLSIYDKIDGTTFKQRAEMIISFSVTTVRWIDGYYIAGDCTDCENQGRSCAFSLQRNQTFCMRQPHDSHVKVIAVASSVAAFVRLSLVVATALYLSLKIKYNEEVHLKVEMFLRTWHNEIQKEKVGQGGFGTVYKGELQNGVPVAVKMIENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLHNPNTQAPLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRIWDPSTENQNEVYFPEWIYEKVIGEQDFVLSREMTEEEKLTVRQLALVALWCIHWNPRNRPSMTKVVNMITGKLENMQVPPKPFVS >LPERR01G00820.4 pep chromosome:Lperr_V1.4:1:520498:537924:1 gene:LPERR01G00820 transcript:LPERR01G00820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALILPGGLFQALNILSVLGVFGVLVTKSGATDFQEQPACVPFSCGHLEDIRYPFRLRGDPVGCGDEAYELDCRDGRAIIHINTGKYFVTDIAYSESRFWVVDANLDNSSCPLPLWNSLPYFNDVSTKLYTSAVRWATFLNCSRVINNGMYMPVACLSGNTSFVYVLTTSSSYYVQTIEPSCGYLAVIPVDDRTKNVPDYASYADVVRFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKDQMSSRTIQNWTSAIIGSELQFLGCVINYYSSPAQVWVTLVLVFAIEIIKCLIVLCRFILAPLAVLTFLGYKYRKTRISIDAVEKFLKMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMSCGSLDKYIFAPEKSLSWEKLSEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSSFTPKIADFGLAKLYPRDESLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDIAGGRRSREQHTSSSVHPYYPALVYDWLTQQEVNKISEDVDIHWVEKKLCIVGFWCIQMKPTERPSMSEVVEMLESDDPDNLQAIFIYTYTHHLLPLLLAAIITTATAATLNITNLCSFTVWPAAVPVGGGTRLDTGKSWTLDVPTGTAPGRVWARTGCSFDANGNSTCLTGDCGGVLSCTSYGDPPQTLAEFSLDGTNGQDQFDISLVDGFNVPMDFLPVTAPCSKGPRCPANVTAQCPGELKAHGGCNSACRVFRQDKYCCTGNGSNTCEPTTYSMPFVRMCPDAYSYSRNDESSPVFSCPSGTNYQIIFCPPVDLTSSSPAPVEVAADNRQGKVIAGIVASVIGSTSVLVIVVGYMIIKRRTRRHQEIHEEEQEFEEITLQGMPRRFTFQQLQEATDHFRDKLGEGGFGSVFEGKIGDERVAVKRLDRSGQGMKEFLAEVQTIGSIHHINLVRLIGFCTEKSQRLLVYEHMPKGSLDRWIYHQQGCHAPTLDWRTRYRIITQVAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSCSEHSIHLITLLQEKVKSDQLVDLIDKKNNDMQVHEKEVIETMRLAMWCLQIDCKRRPQMSEVVKVLEGTISIETNIVHEFVAINPVSFGVLVDSALPLASDLSGPRPFQSTNMSRVVVVTALLLSLTINHGTDAATMDSAWEDQDFFRHCPVSRCSRDGPEIRFPHRLKSSNTSSACGASCARLTCSGQNTILNHSFLGPCNVTSIDYKEAVMKIIPLVDTSSPCPLQNLLIFNDELTGSYYDSCYQFSNICSLHQVQPAKIVRCSKEFTSPTNDYDTGNVAGPISCLSDGSHFSYLVDARNKIYSLPLDCKAISKAIIPIPLSIYDKIDGTTFKQRAEMIISFSVTTVRWIDGYYIAGDCTDCENQGRSCAFSLQRNQTFCMRQPHDSHVKVIAVASSVAAFVRLSLVVATALYLSLKIKYNEEVHLKVEMFLRTWHNEIQKEKVGQGGFGTVYKGELQNGVPVAVKMIENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLHNPNTQAPLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRIWDPSTENQNEVYFPEWIYEKVIGEQDFVLSREMTEEEKLTVRQLALVALWCIHWNPRNRPSMTKVVNMITGKLENMQVPPKPFVS >LPERR01G00820.5 pep chromosome:Lperr_V1.4:1:513243:526642:1 gene:LPERR01G00820 transcript:LPERR01G00820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALILPGGLFQALNILSVLGVFGVLVTKSGATDFQEQPACVPFSCGHLEDIRYPFRLRGDPVGCGDEAYELDCRDGRAIIHINTGKYFVTDIAYSESRFWVVDANLDNSSCPLPLWNSLPYFNDVSTKLYTSAVRWATFLNCSRVINNGMYMPVACLSGNTSFVYVLTTSSSYYVQTIEPSCGYLAVIPVDDRTKNVPDYASYADVVRFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKDQMSSRTIQNWTSAIIGSELQFLGCVINYYSSPAQVWVTLVLVFAIEIIKCLIVLCRFILAPLAVLTFLGYKYRKTRISIDAVEKFLKMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMSCGSLDKYIFAPEKSLSWEKLSEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSSFTPKIADFGLAKLYPRDESLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDIAGGRRSREQHTSSSVHPYYPALVYDWLTQQEVNKISEDVDIHWVEKKLCIVGFWCIQMKPTERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDHCCHSSSKSSAISDSEDDGSELG >LPERR01G00830.1 pep chromosome:Lperr_V1.4:1:513818:514531:-1 gene:LPERR01G00830 transcript:LPERR01G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLVVVKSNLEGSTTTWSTNKHAQDFGLAKLCARDQSTITLTTARGTMGYTGPELYSRNFGVVSYKSDVYSFGMLLLEMLSGKRNSDRRINSQNEVFVPEWIYKTIVCAQESEFAKEMTQEEENMLRKLAIVELWCVQWNPANQPSMRKVVNMLTGSLQNLKNPPRPFVSSVS >LPERR01G00840.1 pep chromosome:Lperr_V1.4:1:515478:531140:-1 gene:LPERR01G00840 transcript:LPERR01G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAPPDAAACPPIEPWRSSAAEHLKSTRVKGHRSRSICIRAEACRVSFGCYSGVRSVYLAGEKQSPPKFFGAKPNEAHHIHMVYPANGGNLTDEFFSIAHGVAQHLDSNMAIARKEAFSHLHSSQKMHRFLVTSLLFSLLNYGATMATESDEADFFRNCPPSRCSGDGPDIRFPFRLESSSSSCGAPGMQLQCSGQDTLLLHPVLGSSKVTGIDYSYGVINIVPLAKSWSQCAFQKIISANYSTSVYKQYGFQYASLLSCSGEFTWNSTDSIFGPISCLSNGSQSLYLVAPYAFVSILPLYCKVVSTEIMLPYTSNLVRQDYYAGASVEFNESAKRITTFSELTFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPQGSKSPAKIIIAAVVPTILVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITGRFKHKLGKGGFGSVYKGELSKGVPVAVKMLENSKGEGEDFINEVATIGRIHHVNVVRLLGFCSEGTRRALIYEFMPNNSLEKYIFSRDYSSSQEVLVPDKMLKIALGIAHGIEYLHQGCSQRILHFDIKPHNILLDHSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVVSYKSDVYSFGMLVLEMLSGKRNSDPRINSQNEVFVPEWIYETIICAQESEFGKDMTQEEEKKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFRKMAEHQPPLLRTLSLNQKYKRVEERKLQTGATSTAKMLSTSSLSTRREIPKPYSIIHRQDQDGSVRNKGKRQLWASINFQTTQVLGESGLTNTTWANYRKDGHCFAVPWLEEH >LPERR01G00840.2 pep chromosome:Lperr_V1.4:1:515478:523490:-1 gene:LPERR01G00840 transcript:LPERR01G00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTSLLFSLLNYGATMATESDEADFFRNCPPSRCSGDGPDIRFPFRLESSSSSCGAPGMQLQCSGQDTLLLHPVLGSSKVTGIDYSYGVINIVPLAKSWSQCAFQKIISANYSTSVYKQYGFQYASLLSCSGEFTWNSTDSIFGPISCLSNGSQSLYLVAPYAFVSILPLYCKVVSTEIMLPYTSNLVRQDYYAGASVEFNESAKRITTFSELTFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPQAAVVPTILVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITGRFKHKLGKGGFGSVYKGELSKGVPVAVKMLENSKGEGEDFINEVATIGRIHHVNVVRLLGFCSEGTRRALIYEFMPNNSLEKYIFSRDYSSSQEVLVPDKMLKIALGIAHGIEYLHQGCSQRILHFDIKPHNILLDHSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVVSYKSDVYSFGMLVLEMLSGKRNSDPRINSQNEVFVPEWIYETIICAQESEFGKDMTQEEEKKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFRKMAEHQPPLLRTLSLNQKYKRVEERKLQTGATSTAKMLSTSSLSTRREIPKPYSIIHRQDQDGSVRNKGKRQLWASINFQTTQVLGESGLTNTTWANYRKDGHCFAVPWLEEH >LPERR01G00840.3 pep chromosome:Lperr_V1.4:1:527406:531140:-1 gene:LPERR01G00840 transcript:LPERR01G00840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAPPDAAACPPIEPWRSSAAEHLKSTRVKGHRSRSICIRAEACRVSFGCYSGVRSVYLAGEKQLSKRHIDKVPPLDELGGWIRWGQAKLGCVAPTGGFDHAFTLDPTMNGANS >LPERR01G00840.4 pep chromosome:Lperr_V1.4:1:521155:523444:-1 gene:LPERR01G00840 transcript:LPERR01G00840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPWPQNRMRQISSGTVRRLDAAVMDQTSGFPSDLNPALHLAVLQECSYNVLDKILSYCILFLAHRSVEFNESAKRITTFSELTFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPQAAVVPTILVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITGRFKHKLGKGGFGSVYKGELSKGVPVAVKMLENSKGEGEDFINEVATIGRIHHVNVVRLLGFCSEGTRRALIYEFMPNNSLEKYIFSRDYSSSQEVLVPDKMLKIALGIAHGIEYLHQGCSQRILHFDIKPHNILLDHSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVVSYKSDVYSFGMLVLEMLSGKRNSDPRINSQNEVFVPEWIYETIICAQESEFGKDMTQEEEKKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSFLG >LPERR01G00840.5 pep chromosome:Lperr_V1.4:1:521155:523490:-1 gene:LPERR01G00840 transcript:LPERR01G00840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTSLLFSLLNYGATMATESDEADFFRNCPPSRCSGDGPDIRFPFRLESSSSSCGAPGMQLQCSGQDTLLLHPVLGSSKVTGIDYSYGVINIVPLAKSWSQCAFQKIISANYSTSVYKQYGFQYASLLSCSGEFTWNSTDSIFGPISCLSNGSQSLYLVAPYAFVSILPLYCKVVSTEIMLPYTSNLVRQDYYAGASVEFNESAKRITTFSELTFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPQAAVVPTILVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITGRFKHKLGKGGFGSVYKGELSKGVPVAVKMLENSKGEGEDFINEVATIGRIHHVNVVRLLGFCSEGTRRALIYEFMPNNSLEKYIFSRDYSSSQEVLVPDKMLKIALGIAHGIEYLHQGCSQRILHFDIKPHNILLDHSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVVSYKSDVYSFGMLVLEMLSGKRNSDPRINSQNEVFVPEWIYETIICAQESEFGKDMTQEEEKKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSFLG >LPERR01G00850.1 pep chromosome:Lperr_V1.4:1:531146:531394:-1 gene:LPERR01G00850 transcript:LPERR01G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHKSSQYNQFGCRSGGVCGPRSVKPMGNECGPERVGPGLVRWHPLSSSSAGPFSGLRLPAVIPPAAAAAAANLLRIPSH >LPERR01G00860.1 pep chromosome:Lperr_V1.4:1:532112:541789:-1 gene:LPERR01G00860 transcript:LPERR01G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLGPTRYSYTNIIAMSSHFREKLGHGGFGSVFKGVILPGDVHVAIKMLANYNCTGDEFISEVSTIGSIHHVNVVCLVGFCAEEMRRALVYEYMPNGSLDKFVFSPEKSFSWDKLNEIALGIAKGMNYLHQGCEMQIVHFYIKPHNILLDSKFVPKVHDFGPAKLYPRDNSFVPVSAARGTIGYIARGALSSNWGVRSKSDTYSFGMLLLEMAGGRRNSKESMSSSSQAYYPSWVYNHLVQEEMGETDNSCDMHELEKKLCIVGLHCVQMKPQDRPTMSEVIEMLEGDVDDLQLLSRPFFCDDERDMEMSRDGIGVSLSLAGISMTYVILSAGEVIHTDCSSSSKATIQINTRTYYVTSIDYTTESFWVVDARLHDTDTNSSCPLPRSDHLPSFGGIRGPYGSTELPLQYDAWPLSFVNCSQAVKNNSMYVQVDCLSTSSSFVYVLDDSQYYASLIDNLEPSCGFLAMIPVSVVPFYGTGIVVTDFANCSEYPYYGMDTTVLSGIANYADLVKFMKKGFAVRFPDKPDHRNSFEVIEECLIRSIRGFRKEPFPSTISTQQHIVDIIFSDFTFWACIIGKMGRTSAFVETEYYMMEMLQGERRFYAGFVIQFVMWILKFVLAPLVILIFLAHKYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTNHFRNKLGQGGYGSVFKGVMLPGDIYVAIKMLTNSCNGEEFISEVSTIGRIHHINVVRLVGFCAEETRALVYEYMPHGSLDKFIFSPDKSFSWDKLNEIALGIARGLNYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNNYVPVSAARGTIGYIVPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKENMSSSSQAYYPSWVYKHLVQQEMGEIADACDMHELEKKLCIVGLHCIQMKPQDRPTMSEVIEMLEGGVDSLQLPSRPFFCDDEPMPPLVDSYPFSEPTEISEDDY >LPERR01G00860.2 pep chromosome:Lperr_V1.4:1:532112:541789:-1 gene:LPERR01G00860 transcript:LPERR01G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLGPTRYSYTNIIAMSSHFREKLGHGGFGSVFKGVILPGDVHVAIKMLANYNCTGDEFISEVSTIGSIHHVNVVCLVGFCAEEMRRALVYEYMPNGSLDKFVFSPEKSFSWDKLNEIALGIAKGMNYLHQGCEMQIVHFYIKPHNILLDSKFVPKVHDFGPAKLYPRDNSFVPVSAARGTIGYIARGALSSNWGVRSKSDTYSFGMLLLEMAGGRRNSKESMSSSSQAYYPSWVYNHLVQEEMGETDNSCDMHELEKKLCIVGLHCVQMKPQDRPTMSEVIEMLEGDVDDLQLLSRPFFCDDEPMVATPSTAITMEALLIFATLALLAGDVQGRHRCQPFSCGHLHDIRHPFRRRGDPHRCGVSSYELDCSSSSKATIQINTRTYYVTSIDYTTESFWVVDARLHDTDTNSSCPLPRSDHLPSFGGIRGPYGSTELPLQYDAWPLSFVNCSQAVKNNSMYVQVDCLSTSSSFVYVLDDSQYYASLIDNLEPSCGFLAMIPVSVVPFYGTGIVVTDFANCSEYPYYGMDTTVLSGIANYADLVKFMKKGFAVRFPDKPDHRNSFEVIEECLIRSIRGFRKEPFPSTISTQQHIVDIIFSDFTFWACIIGKMGRTSAFVETEYYMMEMLQGERRFYAGFVIQFVMWILKFVLAPLVILIFLAHKYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTNHFRNKLGQGGYGSVFKGVMLPGDIYVAIKMLTNSCNGEEFISEVSTIGRIHHINVVRLVGFCAEETRALVYEYMPHGSLDKFIFSPDKSFSWDKLNEIALGIARGLNYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNNYVPVSAARGTIGYIVPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKENMSSSSQAYYPSWVYKHLVQQEMGEIADACDMHELEKKLCIVGLHCIQMKPQDRPTMSEVIEMLEGGVDSLQLPSRPFFCDDEPMPPLVDSYPFSEPTEISEDDY >LPERR01G00870.1 pep chromosome:Lperr_V1.4:1:546407:548635:1 gene:LPERR01G00870 transcript:LPERR01G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDPLADFVLIERAVRFGPEDVRKEEERKEEYAAAAAIGAGRWTSVAGASRPTLLGINPVMKPIPVLADPPRPSCLYMLLPADAPFHFRPSPAEIATTHKGILLLRTILNNYILYDVTTNELTAITALPGLRDPPISVPLGSYTAVLVGDDDYVLADIVAPYNEDLSDLALPQANVFTWSKSNAGGEWVQSPVSLPLPAAICGPEHHFHIDMTFSFEGRIFWVDLLQGILLCHLLDEGGPNLDFIPLPLGYTARVHRHRRHTLRVLCERSIACVSGVVKFAAFVGDSETFNGDVLLKTWALSPDFSHWTEDTKALSVSDIVASESFNQMGLPRAMPVCPVLSMTEDGIMYALLNVIDMEPLEQLNEFGQCLGNRLLPKANYIIRFDIRRNKILSYTTPSKDAQLRWMQPTLLGTDFSAYLARELRTKQQVQVEVLEHLLTSL >LPERR01G00880.1 pep chromosome:Lperr_V1.4:1:549819:553807:-1 gene:LPERR01G00880 transcript:LPERR01G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFKGSILRRGSAERRRVFWRGVRVLGRPALRRACGCLLAFAFGSFGCRSAGEELVTSGYDNNVLTVSADKTAKVWDILEDASGK >LPERR01G00890.1 pep chromosome:Lperr_V1.4:1:566342:568983:1 gene:LPERR01G00890 transcript:LPERR01G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLVLLDRITHFVKEAITTTTATYDSSSSEEKKEEYGAAAAAAMGWTRVAGSCPLAVRGINPVMKPVPVLADPPRPSSLYFLLPPDEPVHIRPSSAEISTTHKGILVLRTILNDGYKLLYDVTTNALTVIPPLPDSRGGLHALVPLGCYTAVVVGDDDYIFADIVTSYDEETFDLALPQANIFTWSSSSSKNIAADNGRWVQSSVSQIPLPAHICGPDYHFQIDMNFSFHGRIFWVDLLQGILLCDLLEEGGPNLNFISLPDGCCIDVHRNLRHTLQPLCKRSMACVSGVIKFVALVGYDQTGCSDDKVMLKTWALSPDFKLWTEDTTALSVGDIWASESFNQMGLPHVMPISPMLSMTEDGIMYAILNVIDMEPLEQLNDFGECLGNRLVPKANYIIRFDIRQNKVLSYTIPSKEAELRWMQHTLLATDFTAYLQS >LPERR01G00900.1 pep chromosome:Lperr_V1.4:1:578377:578919:1 gene:LPERR01G00900 transcript:LPERR01G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMIHSGRQGSNERGSYNDDDEATLSSIRGRNDVVEVAKAGCDLAVPEQGGGGGRVLQQQGGGPWPCEGEYPCAIFLFAHFLGNIYSFFFSST >LPERR01G00910.1 pep chromosome:Lperr_V1.4:1:586042:590011:-1 gene:LPERR01G00910 transcript:LPERR01G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLIFAILTVLAGDVQGQHRCKPFSCGHLQDIRHPFRQRGDPRRCGVSSYELDCSSGKATIQINTGTYYVTSINYNESSFWVVDANLQDDTNNHCPLPRSNHRPFIWGIPGPGYTRELTLQDIWWATFVNCSQAVKNNTKYMHVDCLSTRSSFVYVLNVSPYALIENLEPSCGYFAMIPVSWIPASGTTYPYFAELMRKGFSVRFPFVLDHPTAFGLIKKCLNQSIRFVLAPLAVLIFLAQRYWKTRITIDAVERFLRMQLMLGPTRYTYTDIIALTSHFREKLGHGGFGSVFKGVILPGDVAIKMLANYNCTGDEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPNGSLDKFIFSPEKSFSWDKLNEIALGIAKGMNYLHQGCEMQIVHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDIYSFGMLLLEMAGGRRNSKESMSSSSQAYYPSWVYNHLVQEEMGEIANACDMHELEKKLCIVGLHCIQMKPQDRPTMSKIYFYSPLCARLRCSIGSDFRSDELDFENEGRVLLLSLIYAAATAWYDEGFSKSCSPQQCSKQGPWVRFPLRLAVVMLCTGHHPGSPSSRILQDVAKNVKMKADNVVSIHKGGERSAIMAWSIGLP >LPERR01G00920.1 pep chromosome:Lperr_V1.4:1:590474:619623:1 gene:LPERR01G00920 transcript:LPERR01G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAIATALLFLINHGVSMAMASAWEDEDFTKNCPLSQCSDGGPEIRFPHRLESSSSSSACGTSCGSLACSGKDTILHHPFLGPCKVAAIDYKKAVFQIIPLVESLSPCPLQKIIIEDLPEPDYRYSRCSLYDAQPGKIVRCSKVLTPSTSWTGVYDGNIADRAVVGPISCLGDPSHFSYLVDAQEDIYSLPLDCKAISKGIVPISGTANADSPTFKERAERIINFAEMTVSWFGGEIPYNCMQCEQQGRRCAFSSQMNQTFCMRDKTQGSRVKVIAATTSAGALIVVSLVLAIALYLFLKSRYSEEVHLKVEMFLRTYGTSKPTRYTFSEVKKIARHFKEKVGQGGFGTVYKGMLPNGVPVAVKMLENPTGDGGEFINEVATIGKIHHANIIRLLGFCSEGTRRALIYEFMPNESLEKYIFLHNPNTQAPLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPRNILLDYNFNPKISDFGLAKLCARDQSIFNLTKARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRIWDPSTENQNEVYFPEWIYEKVIGEQDFVLSREMTEEEKLTVRQLALVALWCIQWNPRNRPSMTKVVNMITGKLENMQVPPKLFVSNSPDFFDISIINGFNLPMAFLPDNKGSSGCSKGPQCAANITSKCPTELKTAGGCKSQCSIATGRGDCQRTNTSELFEKMCPDVFVNTNGILKTYSCPSGTNYEVVFCPPVNLTPVPAASPQPAAASPSPHSPPEVFGHTSMKSSRAQKHWVMEEDQEEEFGELQGTPIRFTFQQLNVATEQFRDKLGEGGFGSVFQGKFGEERIAVKRLDRTGQGKREFSAEVQTIGSIHHIHLVRLIGFCAEKSHRLLVYEYMPKGSLEKWIYHQQGNNTPLDWPTRRKIIIHVAKGLAYLHEECMKKIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSHVITRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEISSGRKNLDTARSEESIHLITLLEEKVKNNQLVDLIDKHNNDMQVHKQEVVQMMKLAMWCLQVDGRRRPQMSEVVKVLEGNMDAETNIDHFFVATNPANFGVGENEGASEPYLASDNPRSPRTEMAEGRSTTARCTHHLLLLVPLLLVAANTNHAATLNITNRCSFTVWPAAMPVGGGTRLDPGKSWTLDVPTGTALGRVWARTGCSFKSNGTGSCQTGDCGGVLSCKSSGNPPYTLAEFSINQINRQDFFDISLTDGFNVPMDFVPVPESPCRKGPRCRANITSQCPRELEAPGGCNTSVIGSISALTIFITCITIKRRKRRYQEMQDEEQEFEDLALQGMPRRFTFQQLQEATHQFRDKLGEGGFGSVFMGQIADERLAIKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCVEKSQRLLVYEYMPKGSLDRWIYHQQGSHAPALDWRTRYKIITEVAKGLSYLHEECTKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDTSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNLDSSRSEQSIHLITLLQEKVKSDQLVDLIDKHSNDMQVHKQEVLEMMQLAMWCLQIDCKRRPQMSDVVKVLEGAISIDTEIDHDFVTTNPISFDVAGISQRQSNHNTNMAARGTMTPCTHHLLFLLLLIAANTNHAATLNITNLCSFTVWPAAVPVGGGMRLDAGMSWALDVPAGTASGIVWARTGCSFNANGNGSCQTGDCGGVLSCMNKGNPPMTLAEFSFNQSNRQDFFDISLLQGFNVPMDFLPVPEQIQGAAACSKGAHCSENVTSQCPRELKVPGGCNSACNVFKQDKYCCTGNGTNACEPTTYSLSLVRMCPDAYSYSRDDSSSTQFTCPSGTNYQIIFCPPDLTSPSPILPPVGRSGMESSSKRGGRFVAAITASVIGSTLVLTIVIAYIIIKRRIQRHREMQEEEQEFDAIPLQGMPRRFTFQQLQEATAQFRDKLGEGGFGSVFIGQIGGERVAVKRLDQSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSRRLLVYEHMPKGSLDRWVYHQQGNHGSPLHWPTRYKIITQVAKGLSYLHEECTKKIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSHITEKADVYSFGIVVMEIISGRKNLDTSRSEQSIHLITLLQEKAKSDQLADMIDKHSNDMPVYEQEVIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIDTDIDHEFVTTNPHHPV >LPERR01G00930.1 pep chromosome:Lperr_V1.4:1:600531:602096:-1 gene:LPERR01G00930 transcript:LPERR01G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSLRNVLFLVLFLTSSTAGGCFPTVEKELRKNWGKLMAPTLHVNLSSLNYESTQSARTYNAVYGWSPENGATEFYGLEATMDVYGFNLEHGQETGGFIWIRNKDETQGSNYIGAGWNVYPEGYNDSHTHFTTFWFVDPSKGCLDMNCPGFQSTGGSHPVVPGQMINPVSSPSHNKQYITIRISKDQNTGDWKIYYGFNGEAKIIGFYPRHLFTSLSYKPVSIIFGGVASHKEHQPSPPMGSGIAPPKDAASFSNLKFFDADGHAYPIDRELPDISWCYPITDIASYKFFYGGPGNVCS >LPERR01G00940.1 pep chromosome:Lperr_V1.4:1:607337:608462:-1 gene:LPERR01G00940 transcript:LPERR01G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFNLEHGQETGGFIWIRSNDETQGSNYIGAGWNVYPEGYNDSHAHFTTFWFVDPSKGCLDMNCPGFQSTGGSHPIIPGQDPKTGDWEIYYGFNGEAKIIGFYPRHLFTSLSYKPVNIVFGGVVSHVEDKLSPPMGSGIAPPKDDAASFSNLKFFDAAGNAYPIDRKLEYMSSSCYLVTDIASYKFFYGGPGNVCS >LPERR01G00950.1 pep chromosome:Lperr_V1.4:1:618121:619140:-1 gene:LPERR01G00950 transcript:LPERR01G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITTDAVEKFLRMQLMLGPTRYAYSDLTAITGHFGEKLGEGGYGSVYKGVLPGNVHVAVKVLGKSNCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSERSFSWDKFNEIALGIAKGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDNSFVPLNALRGTVGYIAPEMISRSFGVISSKSDVYSFGVLLLEMAGGRRNSGMHEESSNQDYYPSLVYDQLTEQQLGVGEISSATTVSDMHELERKLCIIGLHCIQMNPHDRPTMSEVIEMLEGGVDSLQIPPRPFFSAHKAMPSSELTEISEVDK >LPERR01G00960.1 pep chromosome:Lperr_V1.4:1:624362:624541:1 gene:LPERR01G00960 transcript:LPERR01G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMPTEPPQASSPEIQSKSNKIKKVVALGFYLKGTSARRRTVAAPHSGHRHAVAHGN >LPERR01G00970.1 pep chromosome:Lperr_V1.4:1:627121:631129:1 gene:LPERR01G00970 transcript:LPERR01G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVQSMVAEMAREEMHMLLGLSGEISRLGVRLGDLKKFVADADRRSISDRIVQGWVRELKDAMYDAVDILDLCNLSSAAAAAKNPLVFCLRDPLFAHRIGRRIRVLNRRLDEIKTRGAHFSFVNLASYSEAAATTSSKVMADANRETTGEPVRSGAVVGEKIKEDTRELVEMIVTEKAPPPSSVIAIVGVGGIGKTTLAREVYNHDAVRDKFDKRIWLSVNHDWDKLELLRNAITLAGGDHRGERAMAVLCPILTAALAGKRVLLVMDDVWSHKPWEDVLQTPLSNAGLGGGNYSRVIVIVTTRDERVARSMKALQPYHHVDKLGPIDAWSLLKKQVVSNETDEAGIDMLQDIGMEIVAKCDGLPLAVKVMGGLLCQKETSRKDWEKVRNDSAWSILGMPEELNYAVYLSYEDLSPCLKECFLHYSLLPKNIVFGYDIIVGLWISEGFIHGSSSDELEESGRQYFKDLIVRNLIEPDKEYIDQYHCNMHDVVRSFAQYLLGGESLAAHAGETSIIGLQLNSERLPENIGKMRFLQLISLRGCENVKKLPDSIVKLGQLSLEELGPLSQLRDLAIKNIENVSSASFATMARLGCKQHLTYLTLGCSSRLDNNGLVIQKRRASEEEQRRIEEVFDELCPPPCIEILDIGGYFGQRLPRWMMSSTAAVALKFLRFLTMDDLAMCAQLPDGLCQLPCLQLLQVDRAPAIKRVGPDFLQPYRRRHHGHHSACQANAPAFPKLQRLELIGMVEWEEWEWEEQTDDVQAMPVLELLLLNRCKLRCLPAGLAAHGKYLKKLYLYEVKQLVSLENLPSIVELDTFHNPSLERITNIPRLQKLTIVKCPKLQVLHGVPAIQRLGLEDYRMETLPDYLQNVTKRSNGSRQEHPVKPERK >LPERR01G00980.1 pep chromosome:Lperr_V1.4:1:634201:644240:-1 gene:LPERR01G00980 transcript:LPERR01G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHVNVVRLVGFCSEEMRRALVYEYMSRGSLDKYIFSSERSFSWDKLNEIALSIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVSDRALRGTIGYIAPEIVSRSFGVISDKSDVYSFGMLLLEMAGGRRNADPNATTNASQAYYPSWVYDHLIDQQIDGSVVDMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDALEVPPRPFFCDGDGAIGISPPQVMDSYYLHPNELAAILEDIDGITELASSEQIMLKGGIEKCPSFSCGHLKGVSAPFRCAADPPECGRKTYELICSDTKATILIDNATYYVKEIDYDRFWVIDSSVNSSCPLPGWNHLPDQYEHKVSGNMIEVELAPAETQIQANFVRCSQEVKNIAYRPVNCASSNYSFVYVLLSTNYSSTLDFMGNLEPSTLDYDYIGSLEPSCGYLAMTPLGGIRRRTVVSSNASYEDVIKFMREGFAVRFPHSYVYRGTGSIKECLTYSIRRFRDIPSSTGASIGGRIFLISFIDGLFWSCMIGVPIPEYEDYDYIIPFAILSIKFLAVLCRFVLVPLAILTFIAHKYWKTRVAIDAVEKFLQMQQILGPMRYAYTDLTAITSHFRDRLGQGGYGSVYKGVLLMGIVQVAVKMLNSTSCNGEEFISEVSTIGKIHHVNVVRLVGFCYEEMRKALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRNKSFVSDRALRGTIGYIAPEMVSRSFGVISNKCDVYSFGMLLLEMAGGRRNADTKASQAYYPSLVYDQLINGQQVGEIPGAIDMHELERKLCLVGLWCIQMKSYDRPSMSEAIEMLDGDVDALQVPPRPFFCDGDGIGMLPPSVMDSYLHSTEMTGISEEDDGITELAS >LPERR01G00990.1 pep chromosome:Lperr_V1.4:1:638111:640183:1 gene:LPERR01G00990 transcript:LPERR01G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKLQFIVLVLSILNYESFVTTAWEEEDFFKICSPRRCSKHGPEIRFPFRHSAQPQSCGALGMQLSCSAQDTILDHPVLGSCKVTAISYRYAMINVIPTADSSPHCPLQRLISKNLSTSVYKPQMLGDAVLVGCSRDSIDTNQDGIVGPTSCLSPTNTSKFWSAGHTHFSQGTMKRYI >LPERR01G01000.1 pep chromosome:Lperr_V1.4:1:661818:681377:1 gene:LPERR01G01000 transcript:LPERR01G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYANFPFFVYSATSLVAAFVVLSFIMTTALYLSLKSRYNEEVHLKVEMFLRTYGTSKPTRYSFSDVKKIARRFKEQLGQGGFGSVYKGELPNGVPVAVKMLEHTTREGEEFINEVATIGQIHHINVVRLLGFCSEGTRHILIYEFMPNESLEKYIFLHDPNASQELAPNKMLDIAIGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPCIENQNEVYFPEWIYEKVITGQDFVLSREMTEEDRLKVRKMALVALWCIQWNPRNRPSMTKVVNMLTGRVQNIQVPPKPFVSCEKQAIKHVIVDCNRSGILKHGRIMLQSKLENDVPFLSNRAWYITISAFWFALLFFVSINPSTLRHKLILEIIVEIMSELTLAAGALLLSVCLLLGIADLQLQATALEDKDFFRYCPPVRCSKHGPEIRFPFRLESSDTPSACGLPCMKLSCSGHDTILDNRYLGSRFKVIAIDYSGAILTIIPLAEDSYSSSPCPLLKSENPYNYQPYPDPCDIYDSDYAILVSCSTEFAPSSISTATDYIAGPISCRSNKTHFSYLVLNSLPMSLIPSNCEAVSDGSIPIPSFFSSHVSSSFRKTAERIINFSETMVNWKTYLSIAADNCSRLCEQQGQRCAFSSQRNQTFCMHQGSSVKVIAATSSVAAFVVLSLMLATALYLSLKTRYNEEIHMKVEMFLKTVYKGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSERTRCALIYEFMPNDSLEKCIFPHDSSTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILMDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVVGRRNSDPSVESQNESYLPERLYEQVTTGQNLELAREMTDEEKEKMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLRLSCSGKDTILDEKYSFLGRPYKVTAIDYKYTTLTIIPLAVAGLDSCPLLKSVPLHPLEFPYHHNANWSSCDIYNWDFAVLLSCSAELTPTRIPAIAAANAIAGPISCLSNSTHFSYLARYLVPTYLIPLDCEVVSNGPIPIPVFTSGNVYKFGESAERILNFADTTAYWRSYANPAVDNCSKCEEQGRRCAFSSQRNQTFCMRQGSRVKVIAATSSVATFVVLLSMVSTALCLSLRKRYNEEIHLKVEMFLKTYGASKPTRYTFSEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKMIEQSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKHIFSHDSNASQELLVANKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGDISYKSDVYSFGMLVLEMVSGRRESDPIIEIQNEVYFPEWIYEQVTTRNDLELSREMTQEEKETVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRFQNLQVPPKPFFVG >LPERR01G01000.2 pep chromosome:Lperr_V1.4:1:640765:663220:1 gene:LPERR01G01000 transcript:LPERR01G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNSDPTIENQNEFYFPEWIYERVINGQDLVLTMDTTEGEKVMVRQLALTALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLVIYEFYVVTARDDEDFFKICSSQWCSKDGPEIRFPFRFSTQPSSCGAPGMELSCYGQDTILDHPALGSCKVTAIYYMHGIMNIIPLVDSSPHCPLQKLISVNQSTAVYKPSTSEVASLVGCSKDSIDTNQYSIVGPASCLTLTNNTSQFWYLVDPYAYMSILPLGCKIAFKGIPMPYSYDKDSPYFGILDFKETANRVISSGETVFTWYSSNITSICQQCEHEGRRCGFSSQRDQAFCQHHSSHVKLIAATSSVTTFIVLSLIVATVLYISLKSRYDEEVYFKVEMFLKTYGTSKPTRYTFSDVKKIARRFKDKLGHGGFGSVYKGELPNGVPVAVKMLEKSFGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFPNDYNISREFLVPDKMLDIALGIAQGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNSDPTIENQNEFYFPEWIYERVLNGQDLVLTMETTEGEKEIVRQLAIVALWCIQWNPKNRPSMTKVVNISMNTSFLALPLLLFAILRHGTCTYNHMVSTASDWDDQDFFRHCPVFHCSHDGPEIRFPHRLSNTSSACGTSCARLSCSGQTTILHHPFLGPCKVTSIDYKNGVMNFIPLLSFPCPLQKLIVDSLPPDDYSGCLLYEVVPAKIVSCSKEFIPDGTSPVDGYAFKNNADYIVGPISCPSDTRHFSYLVYAKLYMYVLPLDCRVVSKGSIPIPGSNYEGGPTFKERAEKIINIAETTLSWWSNGDEVVLNNCTTFCQSHYRYCSNLNLPFYSKEKRDKVISTYKFLWLAVRSLLTQHSSTKKDTTCSYSSTVPIRLSQSVDH >LPERR01G01010.1 pep chromosome:Lperr_V1.4:1:647322:648110:-1 gene:LPERR01G01010 transcript:LPERR01G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGSLFHRSTALQVFSFLCVLGVVVPDPDAYWPFFHGITACPPFTCGHRSIFASPFHRRGDNLSDECGVASYELTCNDEKEATIQIDNTTYYVTGINYGDSTFRVVDANISDSRNNCPLPRWKRAPYYDGVSDDESLPYNIQVEFEPASYWWSVFVNCSQEINNNVMYMPVPCMSTISSFVYVLTGLDSHYIENLEPSCGYLAMTPLDGVAKSYDSLLSLSYSDVVKLMRKGFRVRFPLRTHRSRSFFAGTAEGLAIQFH >LPERR01G01020.1 pep chromosome:Lperr_V1.4:1:649860:652144:-1 gene:LPERR01G01020 transcript:LPERR01G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGPFHCSTTLRLFISVMCVLGILVTDAAGGQHHRRSMVATTCPPFTCGRLGDVSSPFHRRGDPLECGVPSYQLNCTGDEATIQIDNGTYFVTAINYKDSTDNGHSTFWVVDTNVLDPRNTCPLPHWNRLPYYYNETISLEESESHHGVQVVLDPALSSRWSVFVNCSQEINGNEGYMPVTCMSTTSSFIYVLTCKYFCFIENLEPSCGYLAMTPLGALENDVVPMLLKRTLNFSYEDVVKLMRKGFSVQFPFRYHTFRIRTFKECIIESFPKLADTGKPDWFGTILLADTNFLSCVPTHQIPSPYRRPVDIILIAIVFALQIMKMIAALCRFLLAPLAILVFLSYKYWKTRVTIDAVEKFLRMQQMLGPTRYAYTDITAITSHFREKLGQGGYGSVYKGVLLPGDLHVAVKMLNGNSNCNGEEFISEVATIGMIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFLSERGFSWDKLNEIAVGIARGVNYLHQGCDMQILHFDIKPHNILLDNDFVPKVADFGLAKLYPRDKSFVPDRALWGTIGYIAPEMVSRSFGVVSTKSDVYSFGMLLLEMAGGRRNADPNVTNSNQIYYPSLVYNKLKEQEVGEICAATDMHDLERKLYIVGLCCIQMKSYDRPTMSEVIEMLEGGVDGLQVPPRPFFCDEEHMPPVVDSYRFSSKLNEISEGE >LPERR01G01030.1 pep chromosome:Lperr_V1.4:1:656941:659662:-1 gene:LPERR01G01030 transcript:LPERR01G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAYGVVVQVQGQHHPGHGKEGCRPFSCGHLRNISYPFRRRGDPSGCGVPSYELDCSASKATIQINTGRYYVSSISYTASIFWVVDTNLQDDANSSSCPLPRSDQLPYIQGIPGSHDSWDLVLNDRPWNNMWVGFVNCSQKLPNNSKYLSVDCLTTTSSFVYLSLFEHPLIENIEPSCGYLAMVPVDGSWDSILSVHTNTTYAEFVKSMMAGFSVKFPQDGGSWTTWFDVIKICLNESVSLSDSADHPLPNEGIKDWIVDILSIDLRFWGCTIGLSRSYYLDMHHSIRDMIHGVDHSLYHKLFILYSLFLVKWIAVLCRYVFAPLAVMIFLTHKYWKTRIAIDAVEKFLQMQLMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLMPGGVHVAIKMLDGNSNCSGEDFISEVGTIGRIHHINVVRLVGFCFEDMRRALVYEYMPKGSLDRYIFLSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPKDKSFVSDRALRGTIGYIAPEFVSRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNANSSASQAYYPSWVYGQLTAGQQVEEISSATEMHELEKKLCLVGLWCIQMKSPDRPTMSEAIEMLEGDVGALQVPPRPFFCDGDGMQPPPAMDSYLYSSELTAISEEEDDGMTESASS >LPERR01G01040.1 pep chromosome:Lperr_V1.4:1:664310:664690:-1 gene:LPERR01G01040 transcript:LPERR01G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRNADPNATNSSKAYYPSQVYRQLTQQETGEITTAADMHELEKKLCMVGLWCIQMKSHDRPTMGEVIEMLEGGVESLQVPPRPFFCDDEYISSLESFHLFSEADLDAISEEEDEESITECLS >LPERR01G01050.1 pep chromosome:Lperr_V1.4:1:665322:671063:-1 gene:LPERR01G01050 transcript:LPERR01G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTASRHSSMHTLHKALLVSSLLAGVAADAVGGQQNQQYCPPSSCGHLHNISYPFRLQGDSRDCVATLRPWYDLSCSSGKVAIQINTRTYYVTSIDYTDSSFLVFDPTMLDDTNNSCPIPYSDHLPYMEWGRWERPIDPYGFIDLAATFGNAWACFVNCSRAIADNTRPDNWPCYRPITCMPANNSFVFVSFGSCKVVELQPSCRYLAMYPFDTGFISSNSQLQNLQNASYTDTIGFIRKGFRVEFPINRRDWVRMSTTRCLNDSVRYFKEKLSRASIPNLIHALSWSEIHFMADCLSVDASTKKMFFVGTIVSAIDITKLHFEALFRFLLAPLVVLTFLAHKYWKTRITVDAVEKFLRMQQMIGPTRYAYTDIIAITSNFREKLGQGGYGSVYKGVLLPGNVHVAIKMLTSSSSCNGEEFISEVSTIGRIHHVNVVRLVGFCSEDMRRALIYEYMPHGSLDKYIFSSEKNFSWDKLNDIALGIARGINYLHDGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPDAANSSQVYYPSRVYRQLTRQETCGNSDIVDMHNLEKKLCVVGLWCIQMRSSDRPTMSEVIEMLEGDSDDLQVPPKPFFCDDEQHPGVESYHLSSDLTAISEVQEDDDDDSICLFQSYHFDSFLGKGTTSASLMPDQKGRRSLRCSPSYEASMMKAMGGITRSPSFSCGHLKVVSDPFRRAADPPGCGSKSYGLVCSDTKATILIDNATYHVKEIDYYYSHFWVTDTIVDNSSCPLPRWNHLPDQYKCKVSGNIIEVEFVPDERYNHAIFVRCSQEVKNDAYRPVACASSNYSFVYVILSTRYYSTLHYIGRLEPSCGYLAMTPLGDHGSTTASPNASLSYADVLKYMRKGFAVQFPLLLTYHLDFKLCLAQSVSIFHKTDADIMIPRLTILFTYEPFWQCIMMAGVKYPHSVAYRFAIYMVPVGAACCINFPCLQILESKDHNGCS >LPERR01G01060.1 pep chromosome:Lperr_V1.4:1:675400:677785:-1 gene:LPERR01G01060 transcript:LPERR01G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISIIVTYTLQKAIVISTLLGVVAAADVGGGGQQNYNLLQKCPPFSCGDLHNISYPFRLQGDTRDCGIGPLPWYDLSCSSSGKATIQINTRTYYVSSINYTDLSFFVIDANLQDDDTNSSCLLPRSDHPPYTEWPFSPWDHPTDSYGFLDLATYSYRSAWACFVNCSGPIADTTMPWYRPVSCLPANNYFVYLHNDMLDDGCMLGELQPSCRYLSMIPFDTQHISDYSQLQNASYTDIVGFIKKGFRVRFPLDYNFLNHNISTKECLENSVRYFKENINSTSVMKLARAIFWTDIYFARCRAAGHRYTTKFMSLMVIIVSVIVTIKLYFVLCRLILGSLAVYIFLAHKYWKTRITINAVEKFLQMQQMIGPMRYAYTDIIAITTHFRDKLGQGGYGSVYKGALLSGNVHVAVKMLSGNSNCNGDEFISEVSTIGQIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGAISSKSDVYSFGMLLLEMAGGRRNVDPHSANSSQAYYPSQVYRQLTRRETCDISDIIDMHELEKKLCVVGLWCIQMRSTDRPTMSEVIEMLQGGTDDLQVPPKPFFCDDEPLPEVESHQLVSDLTAIPEELQEDDDDSLCLFQSYN >LPERR01G01070.1 pep chromosome:Lperr_V1.4:1:682883:683923:-1 gene:LPERR01G01070 transcript:LPERR01G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTRYTYTEIIAITAHFRDKLGQGGYGSVYKGVVLPGNVHLAVKMLNGNSNCNGEDFINEVSTIGRIHHVNVVRLVGFCSEEMTRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDLKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNAANSSQAYYPSRVYRQLTRQETCEISSEIVDMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGTDNLQVPPRPFFCDDEEFIEVEPYHPSSDLTAISEEEQEASEDDDDDSIRLFRNYQ >LPERR01G01080.1 pep chromosome:Lperr_V1.4:1:684582:722507:-1 gene:LPERR01G01080 transcript:LPERR01G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMIHSGRQGSNERGSYNDDDEATLSSIRGRNDVVEVAKAGCDLAVPEQGGGGGGVLQQQGGGPWPCEGEYPCAIFLFAHFLGWHCYLTIRLSPAMLMPGAFRRSASLQAIVFFCVLALLIRFRDKADAHISPAANLSNVSSPFRRRGDPSYCGAPSYELACADSNATIQIDKATYLVTDINYNDQNFWQHGKMEVMRMFSGGFINFLKGLISESSGEQTGHRFLHIVGSINSYFLICARRHAVQVPLPFTVLMRLQQYVLNARSIYSVTTHMLLGLIFLAYVYRKATMKVDEVEKFLRMQQMLTPARYAYTDITAISSHFRDKLGQGGYGSVYKGVLLAGDIQVAIKMLKGDSSCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEIRRALVYEYMPQGSLDKYIFSSESFSWDKLNEIALGIARGINYLHQEGSKTSSIVHLPPVVILATHPTLSVFKDLSCSSGKAAIQINTRTYHVTSINYTDSSFLVFDPTMLDGTNNSCPLPYSDHLPYMEWGRWERPIDPYGFIDLATTVSSAWACFVNCSRAIADNTRPDNWPCYRPITCMPANNSFVFVSFAAKVVELQPSCRYLGIDTGFISNNSQLQNLQNASYTDTIGFIRKGFRVQFPINRRDQVRVSTTRCLNDSVRYFKEKLSRAGIPNLIHALSWSEIHFMADCLSVDASTKKMKGFRVRFPCRANYGYDRTSLLGCLNDSKRKGQKAQLFPFTYYPVYNVVICRYFKEHASRPSILNLTSVLFWSEAYPVVECEYGASKKDIISFVTIVLAIDIAKFHFVLFRFVFAPLVVFTFLAHKYWKTTITIDAVEKFLRMQHMIGPTRYAYTDIIAITSNFRDKLGQGGYGSVYKGVLLPGNVHIATKMLTGSSSCNGDEFISEVSTIGRIQHVNVVRLVGFCSKEMRRALVYEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNNFVPVSAARGTVGYIAPEMISRSFGVISSKSDDYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYRQLTRQETCEITELVVDMHELEKKLCVIGLWCIQMRSCDRPTMSEVIEMLEGDSDDLHVPPRPFFCDDEQFPGVESYNMTSELTAISEEHEDNDDESICLFQSQQTRNQLASCNAAMVIPPGTHCSHGEIHCSSPFYYHAHLAQSSSAADVGGGQNNNLQYCPPSSCGHLLNLSYPFRLQGDSRDCVRTPRPWYNLSCSSSGKAMIQIDTNTYYVTSINYTTNDFLVIDANLQDDNTNSSCQFPRSDHLAYSHWPVDGGKERRIDSFGFVDLSIAFDNAWACFVNCSRAITDIMPWYRPVTCLRPNNSFVFISFYRCAVGELQPSCRYMYMIPFDAPHISNYSQLQNASYTDIIGFIRKGIRVLFPSYHYQPFSLNSTECLKDSMGFVLLPLLIFTLLAHKYWKTRITIDAVEKFLQMQNMVGPTRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGTVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHINVVHLVGFCSEEMRRALVYEYMPRGSLEKYIFSSDKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDENFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLMEMVGGRRNADPNAANSSQAYYPSRVYKQLTQRETCEISDAIEMHELEKKLCIIGLWCIQMRSSDRPTMSEVIEMLEGDGDDLQVPPRPFFCDDEQHPGVESYNMTSELTAISEEHEENDDESICLFQSQQHRLDPSEQKPRRRTIFEKRAGGVEACDCERDNGQDCTLGKPHEDAADVGGGQNQQYCPSSCGDLHNLSYPFRLQGDTRECVRTPRPWYDLSCSSSGKATIQINTTTYYVTSINYTTEDFSVVDTTMQDYDTNSNCLLPRSDHSPNTDWPLSMWDLRADSYGFFDLATAYESSWACFVNCSRPIANTTMPPYRPIACLPANNSYVYINPFHGCILGHLQPSCRYLSVIPLDDRNISYSQLQNATYTDIIGFMKKGFRVQFPIDHHVQNGMSTTQCLNDSMRYFREHISGPSIMNLTRAIFWSDIYFADCRATDHVYTAKLMSIVKVLCRLVLGSLVVFTHKYWKTRITIDAVEKFLWMQQMIGPMRYAYTDIIAITTHFRDKLGQGGYGYVYKGVLLPGNVHVAVKMLSGNSNCNGDEFISEVSTIGRIHHINVVRLIGFCSEEMRRALVYEYMPRGSLEKYIFSLEKSFSWDKLDEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSYVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMAGGRRNADPDAANSSLAYYPSRVYRQLTRQETCGISEIVDMHKLEKKLCVVGLWCIQMRSSDRPTMSEVIEMLESDSDDLQVPPKPFFCDDEQHPGVESYPLSSDLTAISEAQEDDDDDSIFSPPPPICSIAGHRLQCCSAMASPNYAVASASLSSLIAFPDRSCHLPLFKLETFILEAAMASSIAFQHSTTYSLQLQAFIFCSLVAVVTAQVGGQNHCPTFSCGGLQNISYPFRQPGDPIECGVPTYQLDCSSGKAMIWINTGRYYVTSINNTDSSFQVVDANMQDSTNSSCPLPVWGQHPYTSEDWLIDSDGFGELAPCLLSLASACFLNCSRPLTDYRWYKPVTCLSGSRVFFSVTYGSCDFYGVPSSCGYLAMVPFDYERGLSDFFFFWRGETYWT >LPERR01G01090.1 pep chromosome:Lperr_V1.4:1:688828:692390:1 gene:LPERR01G01090 transcript:LPERR01G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLLVAVSSLLFNHADNLARAWDDKDFFSSCPPSRCNDYGPEIRFPYRLEPSNTSSLCRAPCMNLTCSGQDTILYHPSLGPWKVTAIDYRRGLLTIISLPDTSSSFPCPVPKFISSTNRESYGYNPGSCEIYGVMNAILVRCLTEFIPTSNVRGRVADGIAGPFSCLSNATRFSYLLDPFVPTSLLPLGCEVSSDSPIPIPASFKCDPISDNSYDNSTFKERVGRVLSFTETLVDLELPNNCWLCERRGRRCAFSMQRNQTFCMPHDSHVKVIAATSAAAAFVVISLMVAIAVYLSVKKRYNKEVHLKVEMFLQTYGTSKPTRYTFSEVKKIARRFKVKLGQGGFGSVYKGELPNGVPVAVKMLENSDGEGDEFINEVATIGRIHHANIVRLLGFCSDGTRRALIYEFMPNESLEKYIFLHDSNTSQEAPVPNKMLNIALGIARGMEYMHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPTVESQNEVYFPEWIYEQVTTGNDLELTREMTQNEKETMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLENLQVPPKPFFSRDSHLCHKTCS >LPERR01G01100.1 pep chromosome:Lperr_V1.4:1:699302:700196:1 gene:LPERR01G01100 transcript:LPERR01G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTLYLSLRTRYNEEIHLKVEMFLKTYGTSKPKRYTFSEVKRITRRFKVKLGQGGFGSVYREILVPNKMLDIALGIARGMEYLHQGCNQCILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPTIECQNEVYFPEWIYEQVTTRQDLALAREMTQEEKEKMRQLAIVALWCI >LPERR01G01110.1 pep chromosome:Lperr_V1.4:1:705469:710659:1 gene:LPERR01G01110 transcript:LPERR01G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQVSTRSNSPITVIYHKQSSFRLAAALVFSLLLNHGIRSLATAVLQWEDKDFFSYCPPSQCSEHGPEVRFPFHLNSSNTTSSACGLPCMKLSCSGQETILDEKYSKIGSPYKVTAIDYKRAFLTIVPLADQDQSSSSPCPLLKSIPIRPRIVDYPYYWYDADNLIYWYDLGDCWYDIEYAALVSCSTEFAPASLPAAADDIAGPISCLSNETHFSYLVAYHVPMFLIPLECELVSDGPIPIPYFHSGDNSTFRKSAERILNFSETTLRWYFYNCSQCEHRHQRCAFSSQRNQTFCMSRGSRVKVIAATSSVAAFVVLLSMVATTLYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKITRRFKVKLGQGGFGSVYSGELSNGVPVAVKMLENPTGEGEEFINEVATIGRIHHANIVRLLGFCSEGSRRALIYEFMPNESLEKYIFLHDSNTLQEILVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPTIESQHEVYFPEWIYEQVTTGQDLALAREMTQEAKENMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFLG >LPERR01G01120.1 pep chromosome:Lperr_V1.4:1:740748:744067:1 gene:LPERR01G01120 transcript:LPERR01G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNPPLVLLDRITPFVKEAITDGSSSSEAAVGAGRWTRVVLPPAGSSRSAVKPVTVLAEPPRPSSLYMLLPPDAHIRPSPAAISTIHKGILLLRTILYDYILYDLHRRPRRRRRLHTSDLALPQAKIFTWSKSDANAAGNGEWVQSPVSQIPLPAHLCGPDYHFQIDMTFSFHDRIFWVDLLHGILLCDLLEEGEGEPKLSFIPLPDGCCINVHRNLRHTLQSPCNRSMACVSGVIKFVAMVGYDDETADKAMLKTWALSPDFKIWTEDTTALSVGDIWASESFNQMGLPHVMPISPMLSMTQDGIMYAILNVIDMEPLDQLDDFGECLGNQLLPKANYIIRFDIRQNKVLSYTIPSKDADLRWMQPTLLTTDFTAYLQVSCKRKGKRKQMEY >LPERR01G01130.1 pep chromosome:Lperr_V1.4:1:746321:751393:-1 gene:LPERR01G01130 transcript:LPERR01G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFRVSRRGRRFYPSPPPPAAAAATADRAAPPTAATPDGDDLEPSFALNLFQDGYSISDPSKGMLLFLVGDDPEKRPYSRASEALFSDIEHGCLPQVILGDMPCKFVNGTIVCEVRDYRPFLSNAGDSSGDDFPVVNRVSLRLGTEHVLKDLASIVNASWTYHNQLIAESTIICALQPRLNLDPTPCLERLQNSVKKIDLGLNKARKQTKATCIDNTSAGPPENCKPKEFITCEGAVVCIENEAQEGLPHGIFNSLSTNCPPSLKIMKARSPARSDPDNAIQYSSTLMNSSASCNKKQSASCTPAPDLLLQSQQAQVATLQPQRETVQPQNRKEHSNLPREIHEHQNCRPSNKYTRLSSENTRCHLLESIRTSNNKGLNLVSPKLQPVRVKLDQTTHSKDMRVQQQKALSEFTANCPHPSLDTTKLCVEKILEEVDSSTIRLKDRNLVSTVDPDNYGVADLRDRRTTSVTTCSSSSREAPSKPPKAAIEPQPTSSKRKGLGDYISLNQEIGSKEKRQKNGNTPCENGSSEEPDVIGSISSQLGISPDIESCIGDPSYSIEPVIEKIPFEVILTSQRHGLSERAANINDLERSWPLPPSNFFLPENTAQIACTQNEIMPYYPTGRIMNTRKIRKLTFHPVQHFCRGVVDECHYTLSLLESEAPDDHQISVETIYGDERIYISTLPTSHHANKLVDQFILLMRRDGYTLCNDLREQYEDAPQQGCLTGKCPKYPWISTPELGYLTGERPQHPWLSSPTARSVVIKGSNNVGCSFHNRPAHVHANAPQQRMQAQQCTTLPSVQTNFCNPHHPGQQHYTSGILDQGGLFANRVLSMDLDQYQAVQQCQGVGLLASGELYQPVQQSQEVVSFANGDQYQAVQQRQGVGLFANGEQYQPVQQRQGVGLFANGDRYQPVEQRQGVGLFAHGEQYQPVQRRQGIGLFPNGDQYQPAQQRQRNGLCANGDQYQPVQQRQGVGLFAKGDPHQPVQQHQGIGLFPNRMLPIDLGQYHQPVQQRQGDGQCSQCRHNTPPGFSQRNITNTSKGSYNQWRQVSTPHGGKVYQWDLPAFDRGFCSCPQLHPVSSGTPLSTLYPVGSPPLSSQSFGSDDGSVTSTPVQLQVPLGYQQYMSHGVW >LPERR01G01140.1 pep chromosome:Lperr_V1.4:1:751826:757064:1 gene:LPERR01G01140 transcript:LPERR01G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVVHEKMKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSKRHGLAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNSMQDQTVQAGGDDITSHLLGQEDASRKDEEAAVTDELA >LPERR01G01140.2 pep chromosome:Lperr_V1.4:1:753691:757064:1 gene:LPERR01G01140 transcript:LPERR01G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVVHEKMKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSKRHGLAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNSMQDQTVQAGGDDITSHLLGQEDASRKDEEAAVTDELA >LPERR01G01150.1 pep chromosome:Lperr_V1.4:1:763001:766510:1 gene:LPERR01G01150 transcript:LPERR01G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTAKLCSPAATCLPGGRTTSSLPRRSALPRRATLIRAAAGSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYASIAQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYIGEDESAEAKKGMFQKGYTY >LPERR01G01160.1 pep chromosome:Lperr_V1.4:1:767319:777396:1 gene:LPERR01G01160 transcript:LPERR01G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSGVYVPPMRRLRSVIASTNGSLAPPPPAASQAQPSGVRAPEWRTDGRSLSPPPSPSRPPRPPPPRQPPPQPEPFRQRSAGYARYAYDDFSEDDSDRDMDRTSVSSRGGSTLDNVDEWKWKLNMLLRNEVEQEVISRERKDRRDFDQLSQLAERMGLYSRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGNFPNAAFSRSSSTDSFATDESFLEQQDNQTSTSAVMERIQRRKSLQLRNQQEAWKESQDGQSMMEFRRSLPAYKEKQTLLEAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSQFLEDILELTGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAILVFMTGWDDINALKEQLQSNPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDHNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLYLLRDTGLVDENMTLCNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKEVKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKNELDNLIHSKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMLSASAISHGGGGNGGDNAKNQLQTLLTRAGHGNPSYKTKQIKSTLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDTQDMDHMSMLQKPPRRRRHHHHRRS >LPERR01G01170.1 pep chromosome:Lperr_V1.4:1:796132:801979:1 gene:LPERR01G01170 transcript:LPERR01G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSFFSSPFRLLLFQIINCQELSPWRSMVITNQEENIMAESAMGWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLICIKFGHGLFPKSMPSWLFIAWTTVASLLMVFLLVWTWKIYRTMIRKRI >LPERR01G01180.1 pep chromosome:Lperr_V1.4:1:805881:808835:-1 gene:LPERR01G01180 transcript:LPERR01G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKGSSGRLQSLRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTVSPRASFEEARKPAIKTVHRSSSSSGGVTRDFHVDILPQNGESHPHLKQTIDQSSENTEWVKDTVIIQESSSEFSERSEEAEAAERVTADSGDESAPSVSDEDNAVQTTPTVESTSSTTLPDHPVEDGGEPARTPAEHTVTTPTHSEDRKQQQPLCDFSDVRGDVCDFAGDVRLAANSSEFVLVADTDTPPSPSHKVRPYPRKGDDTCMGRVTEITVRAVAAARHGVAPAASASPPRCTASHDGVTAVAFSIGGYTGNIFHDFSDVIVPLYNTVEGLRRRHRHAGGGEVQLVMANVASWWLVKYDKLLRALSPHAPIDLAVAGSAGEVHCFAGAVVGLRAHRELVIEPERSADGLATPDFTRFLRRALSIPRDAPSRRLAGAGAGGQPPRLLIISRRRTRLLLNLAAVVRAAEEVGFEAVVNESDVANDIEQVGKLINSCDAMLGVHGAGLTNMMFLPPGATMIQVVPWGGLQWIARMDYGEPAEAMGLKYIQYEIAVGESSLKDKYPAGDEIFTNPTGLHKRGFMFMRQTLMDGQDITIDVDRFRPVLQQAFDNLAAK >LPERR01G01190.1 pep chromosome:Lperr_V1.4:1:809358:829502:-1 gene:LPERR01G01190 transcript:LPERR01G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVKPAKGLRRHLNVGFVAGFLIILLTYVIVSQQFAVETPAAITSSAPRMDGEELMTKSRIERDKTEQEWQQQPKEMLKDTSDAVSTQEFPKSDSTSANPIEEEGNVVCRSNGFYSDTCDVSGDVRINATALSVTLLTPSRRRPSDRRQHWNIQPYPRRTVSGIRDVTVTHLSSPSPSSPACTVTHGDVPGVVFALGGLTGNYWHDFSDILIPLFAATWRHRGEVILLVTNTQPWWLAKYGHVIRRLSRHDAVHLDADMKNSSTIHCFRHVTVGLRMSKEFAVSPDFAAFLRETYSLPRSTPAIAAGDRRRPRLAVLRRAHYRKILNTDELILAAEAAGFEAAVMDPQFDTQLSKIAMESNSFDAMVGVHGAGLTNAVFLPAGGVVIQIVPYGRLERMANADFGEPLAEMGLRYIEYSVTAGESTLLEMLGPEHPVVKDPEGVHRSGWDKVAEYYLGKQDVRINVTRFKPVLEQAMDHLRQKVRSCKEEEGLRDRKERGEMGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHSPPTITPAVEEKRHLPPITEQHQAPKTEHEHAAVVQEKPASAEEIEIQTEAEEDHSQQPTADVVTTVEKSAPAKKPACDIQGPWASDVCTISGEVRIHGSAHAVFIPPAIESGASNPNSQSWQILPYSRKHMGGIKDVTVRELTSPSDAPPCDVTSSPSSAPAALVFAMGGLTGNYWHDFSDVIIPLYLQARRFDGEVQLVVTNIQRWYVGKYRQILRRLSRYDVIDMDADDKVRCFPGGAVVGIRMHKEFSIDPSKDPTGHSMPEFTKFLRETFSLPRSSPVNLKSTKVKPRMMIISRRHPRKLVNVDAVVNLAERIGFEVDFGTPARDMGLKYIAYSAGVEESTLPETLGRDHPAVRDPEGVHRSGWGKVAEYYLGKQDVRLDLVRFEPVLRQAMDYLKEGRRLAMAFMAQHGAGRAIATKASARERKPRHINGKVKNLSKPERSKQQLAIRLFPACLLALFICVCVAKFIASLSSSQALLIGAGSRVVSSWEDGASSTNVPRIPVAPLIMATVDEDISTGSPELGSDLKSGTYKNGTDSDNKPRSVKQAPISTENDPPPGKEESLTKSPETGHTKDNNFLVKSAWPNSSLNFSPAVPETEPPKPKSKISCDDKSKDEGFPYARPIVCHMSGDIRISPLTSSVTLTMPLQQTEETSRRIRPYARKDEFLVPLVREVTVTSAATESEAPKCNLTTTTSSSSSHGGVSVTAVIFSIGGYTGNFFHDMADVLLPLYLTTFHLKGKVQFFITDYKQWWIQKYRPILRRLSHLEIINFDTDRNVHCFDHAIIGLVRDRDLILSHHPTRNPNNYTMVDFTRFLRHAYGLKRNSPILLGEKSGEKPRMMIVSRRRTRRILNVRRVAAVARELGFDVVVSEAGGNVRRFASAVNACDVLVGVHGAGLANSAFLPPASGGGGVVVQVVPWGRMEWMAENFYGKPAEGMGLRHVAYHVAEEESSLAARYPRGHLVFRDPMAIHAQGWKALADVIMTQDVRIDLKRFRRTLLREINVSESETRPTLMRAIHIHHTTITA >LPERR01G01190.2 pep chromosome:Lperr_V1.4:1:809358:829502:-1 gene:LPERR01G01190 transcript:LPERR01G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVKPAKGLRRHLNVGFVAGFLIILLTYVIVSQQFAVETPAAITSSAPRMDGEELMTKSRIERDKTEQEWQQQPKEMLKDTSDAVSTQEFPKSDSTSANPIEEEGNVVCRSNGFYSDTCDVSGDVRINATALSVTLLTPSRRRPSDRRQHWNIQPYPRRTVSGIRDVTVTHLSSPSPSSPACTVTHGDVPGVVFALGGLTGNYWHDFSDILIPLFAATWRHRGEVILLVTNTQPWWLAKYGHVIRRLSRHDAVHLDADMKNSSTIHCFRHVTVGLRMSKEFAVSPDFAAFLRETYSLPRSTPAIAAGDRRRPRLAVLRRAHYRKILNTDELILAAEAAGFEAAVMDPQFDTQLSKIAMESNSFDAMVGVHGAGLTNAVFLPAGGVVIQIVPYGRLERMANADFGEPLAEMGLRYIEYSVTAGESTLLEMLGPEHPVVKDPEGVHRSGWDKVAEYYLGKQDVRINVTRFKPVLEQAMDHLRQKVRSCKEEEGLRDRKERGEMGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHSPPTITPAVEEKRHLPPITEQHQAPKTEHEHAAVVQEKPASAEEIEIQTEAEEDHSQQPTADVVTTVEKSAPAKKPACDIQGPWASDVCTISGEVRIHGSAHAVFIPPAIESGASNPNSQSWQILPYSRKHMGGIKDVTVRELTSPSDAPPCDVTSSPSSAPAALVFAMGGLTGNYWHDFSDVIIPLYLQARRFDGEVQLVVTNIQRWYVGKYRQILRRLSRYDVIDMDADDKVRCFPGGAVVGIRMHKEFSIDPSKDPTGHSMPEFTKFLRETFSLPRSSPVNLKSTKVKPRMMIISRRHPRKLVNVDAVVNLAERIGFEVVIGDPPFNVDVGEFAAQVNAVDALVGVHGAGLTNSLFLPTGGVFIQVVPYGKMEHIGEVDFGTPARDMGLKYIAYSAGVEESTLPETLGRDHPAVRDPEGVHRSGWGKVAEYYLGKQDVRLDLVRFEPVLRQAMDYLKEGRRLAMAFMAQHGAGRAIATKASARERKPRHINGKVKNLSKPERSKQQLAIRLFPACLLALFICVCVAKFIASLSSSQALLIGAGSRVVSSWEDGASSTNVPRIPVAPLIMATVDEDISTGSPELGSDLKSGTYKNGTDSDNKPRSVKQAPISTENDPPPGKEESLTKSPETGHTKDNNFLVKSAWPNSSLNFSPAVPETEPPKPKSKISCDDKSKDEGFPYARPIVCHMSGDIRISPLTSSVTLTMPLQQTEETSRRIRPYARKDEFLVPLVREVTVTSAATESEAPKCNLTTTTSSSSSHGGVSVTAVIFSIGGYTGNFFHDMADVLLPLYLTTFHLKGKVQFFITDYKQWWIQKYRPILRRLSHLEIINFDTDRNVHCFDHAIIGLVRDRDLILSHHPTRNPNNYTMVDFTRFLRHAYGLKRNSPILLGEKSGEKPRMMIVSRRRTRRILNVRRVAAVARELGFDVVVSEAGGNVRRFASAVNACDVLVGVHGAGLANSAFLPPASGGGGVVVQVVPWGRMEWMAENFYGKPAEGMGLRHVAYHVAEEESSLAARYPRGHLVFRDPMAIHAQGWKALADVIMTQDVRIDLKRFRRTLLREINVSESETRPTLMRAIHIHHTTITA >LPERR01G01200.1 pep chromosome:Lperr_V1.4:1:841181:844203:-1 gene:LPERR01G01200 transcript:LPERR01G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGGYSYGNEAKLMKSISRVEPRRFGLGLVAGFLFITCAYFSTAKFDAIHIAMISSPTKNAAAGIVDVSDGSKQQLDLGVEDRNALSREGSKAEVLDRADYKISSLGPDLGHNASVDGKRKEETFARDGDASVSAASAAPAAAAGGGAKDDDATVGGAVLSPLSSEDSTNSTQESGGLEDEELQVQEAAAANNNSPPPEKSSNNGSSPSVIPSDPATLPVQQIPVVPQAKVPPAQQIPALPDVKKTDSEVPPPRWKEWKPLCDVSSNRRIDWCELDGDVRVAGVNGTVTLVAPPDADELTFRAESWRIKPYPRKADPNAMRNLRFLTVQSVASSPEMPACTDRHGVPGLVFSDRGYTGNYFHAYTDVILPLFLTAKQYSGEVKFLISDFQMWWIGKFMPVFKALTNYDLINLDADDGRVHCFGHVQVGLTCHADFSIDPRRAPNNYTMVDFTQFMRDTYGLSRAVAMSEPNSVEPSPRHRPRLLVIARARTRRFVNTDEIVRGAERAGFEAVVSEGEHEVAPFAELANTCDAIVGVHGAGLTNMVFLPTGGVVIQVLPLGGLEFVAGYFRSPSRDMGLHYLEYRITPEESTLIDQYPRDHPIFTDPDGVKNKGWESLKQAYLDKQDVRLDMRRFRSVLKKAMAHLRKNNNTALIN >LPERR01G01200.2 pep chromosome:Lperr_V1.4:1:841181:844203:-1 gene:LPERR01G01200 transcript:LPERR01G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGGYSYGNEAKLMKSISRVEPRRFGLGLVAGFLFITCAYFSTAKFDAIHIAMISSPTKNAAAGIVDVSDGSKQQLGEHCAAIYRTICSIAVLIEDLTKCFLLLHLWLDLGVEDRNALSREGSKAEVLDRADYKISSLGPDLGHNASVDGKRKEETFARDGDASVSAASAAPAAAAGGGAKDDDATVGGAVLSPLSSEDSTNSTQESGGLEDEELQVQEAAAANNNSPPPEKSSNNGSSPSVIPSDPATLPVQQIPVVPQAKVPPAQQIPALPDVKKTDSEVPPPRWKEWKPLCDVSSNRRIDWCELDGDVRVAGVNGTVTLVAPPDADELTFRAESWRIKPYPRKADPNAMRNLRFLTVQSVASSPEMPACTDRHGVPGLVFSDRGYTGNYFHAYTDVILPLFLTAKQYSGEVKFLISDFQMWWIGKFMPVFKALTNYDLINLDADDGRVHCFGHVQVGLTCHADFSIDPRRAPNNYTMVDFTQFMRDTYGLSRAVAMSEPNSVEPSPRHRPRLLVIARARTRRFVNTDEIVRGAERAGFEAVVSEGEHEVAPFAELANTCDAIVGVHGAGLTNMVFLPTGGVVIQVLPLGGLEFVAGYFRSPSRDMGLHYLEYRITPEESTLIDQYPRDHPIFTDPDGVKNKGWESLKQAYLDKQDVRLDMRRFRSVLKKAMAHLRKNNNTALIN >LPERR01G01210.1 pep chromosome:Lperr_V1.4:1:851511:853871:1 gene:LPERR01G01210 transcript:LPERR01G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFVIYAGFYRPGSRYLLYDAPNQVLTAIPQLPDSPSYPKLVALGRTAALVSAAAATTDDDDDDYVLVDIVTSLTDPRDFSSAKLSLPQANIFDLSFIPLPDGLIIDVHHRLRHTVQPLAQRSMGTVCGAIKFIALVGLGESSCPPEKVLLKTWILSSDLKHWEEDTKPISVGDIWASDSSLPHVMLMSPILSMTKHGVIYAVLNVVNLVPQQMDEFGYVLEEEELVPVANYVICFDIMQNKLLSSTKISARASLRWLLPSLFASDFTTYLQGHQRAEEAGKGGSKCQGET >LPERR01G01220.1 pep chromosome:Lperr_V1.4:1:855245:855748:-1 gene:LPERR01G01220 transcript:LPERR01G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAGQENLTNVVSLRQAVDPLLGPDVPWTTWQKQLPSHQCVYNLRLHTRPSMGEVVQALKLVCSDGDDGLGSRSFSQELAAQATAVYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNKKFWQRLRSLSRGSMSEHGASPDFERRSQCSYR >LPERR01G01230.1 pep chromosome:Lperr_V1.4:1:872968:875991:1 gene:LPERR01G01230 transcript:LPERR01G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENTMSRHRQHELIHRATMGAHAVGQLTWHNVVANVPHMCRTFTFRHGGVVATLVNGVWQDLNPSYTIDARGCLHYGNNYFKHASNRFTLKELEAAFSFHLQDFLPKAMHRLAKIASQRNDMQYITDVLSNALADQASGL >LPERR01G01240.1 pep chromosome:Lperr_V1.4:1:876349:886716:-1 gene:LPERR01G01240 transcript:LPERR01G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAAPATATGPTKLAYFDDMWALRSTATVISLVQEEEGGRRAVVVDATVFYPQGGGQPADAGVISGDGGARFIVEDVRMKDGVVFHYGRFVDAGDGCNSEFSEGESVSLEVDAEQRNLNSRLHSAGHLIDICMGNIGLPHFEPGKGYHFPDGPFVEYKGVIPPDQLQDKKKELEKEANELISRGAKVVASILPYDEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKYSSLGFILKNGKKQKFQNLILNSSSSSSRLYFATKPQFPHSSASSSSSTSSMALAMQTPLQLRLQPRPPTVVASRHRRLRRGGGWCRCCAAGGGDAGKRRAYPYEEIEPRWQRHWEEHRTFRTPDIGDGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIDRFRSQVSYFMPMYILLLRLALLSYNQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYLVLAPEHSLLPSLMSEEQRVHVEEYIELAARKSELERTDLQKEKTGVFSGSYAKNPATGEIIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYELPIIKVVSPSNGNCDSEEAYADDGIMVNSSNSSSGLNINGMLSDDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYCDDTNEMLPLEESQLPLTLPELEDFTPTGTGEPPLTKAIDWVRTIEPLSGKPARRETSTMPQWAGSCWYYLRFMDPNNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGRWVSADSDSSFIDCYQEKLPADMVTKVGDHYVLKDDTNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGTPLPDGSYRVGTTATDEQPTLDQLRVLHKCIAKVTEEIQETRFNTAISAMMEFVNAAYKWDSQPKSVMESFVLLLSPFAPHLSEELWFRLGHSRSLAHEQFPEAKIEYLKESKVVLPVQINGKTRGTILIDKECSEDDAFQIAASDEKLSKYLNGKGIRKRIFVPGRILNVILDKQKART >LPERR01G01250.1 pep chromosome:Lperr_V1.4:1:887549:888944:-1 gene:LPERR01G01250 transcript:LPERR01G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSASLLRPPSTFAPVLLSPLQSHRNPSTHLPLRVSPHRRCRRRLVSLAASSSSAASPDLEKEPSPSAQQEKSPDLSAVAESVKVLKEAAKTRKVPAPEVLSALGNIKKAKLDTSTFFQTLGGTESPGRTWMLIFTAKGRLEKGQYFPVTAIQRFDAAGKRIENGVYLGPVGALTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLRGGDDDREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >LPERR01G01260.1 pep chromosome:Lperr_V1.4:1:890066:895190:1 gene:LPERR01G01260 transcript:LPERR01G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHLLRPLSTAAALATPSPPPTPTEWTTLPVSAVAAATTDASLYHVTLDLASHASLLASHLAAGQFLPFRLPAAPYPIFLAISSPPPPSSAATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIDGARDLLVFATGSGISPIRSLIESGFTENKDIDVSLFYGVRNLQRMAYQERFNNWESRGIKIIPVLSRPDDQWTGERGYVQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >LPERR01G01270.1 pep chromosome:Lperr_V1.4:1:901412:907618:-1 gene:LPERR01G01270 transcript:LPERR01G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLTQKDLQLIASSVLLAALAVSPYDHKYGASHHELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVISCASQEVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVLLSQYIPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSAVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARNLIHPPVKEPSKIGNNLSNLAAVVEKEHMRLLARKSIIEKRKEELERQILEKEKEEEKKRVSVLRKTAEEERIRLLNDVRLREQERIRQQVIEKERTEAEELLRKQTEKPGKKKRPVLQGEITKEAVMELALNEQLKERQEMEKKLLKNAKQMDYLERAKRQEEAPLIEQAYQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFGRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEAKKQEERRKREEAERKAKLDAIAAKQLQRERELEEKEQQRREALLGRGAGAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAPGKYVPKWKRGGDGGSSAAASQRPAVPPEQDRWGSRDDRPRPDMRPIRQDAPSARQDAPPPARQEAPASRQDGAPTGTWRPQRFSSSSSSSGTWSSRRN >LPERR01G01280.1 pep chromosome:Lperr_V1.4:1:909732:910340:1 gene:LPERR01G01280 transcript:LPERR01G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGSSSSSSSTACKIIHVDGTVTRLARPVRASEVMGDHPGQFVCDSGRLAVGCRVPGVAADELLQPRRAYFLLPMDMLYSVLTDEEMAALSAFHAATASTSSWKRIATGNHHRRRHDRDNGDSRSGEPTTEDDEDDDKDKFFPVLSLQLQSLPDAVAGGGGGGIKSSGGGMRRQYRNWQPRLDAIDEVP >LPERR01G01290.1 pep chromosome:Lperr_V1.4:1:911963:916661:1 gene:LPERR01G01290 transcript:LPERR01G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEIYPSRMVSGGGGAGGGGGGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDDQRYADAAEVLLATTSAPPCSSSSAAAASASTASVAAHPSSDFSFDKDVPDSTDVEPPMLGLQNYHHDGSYAEYIAHFQERSHADDLFGTENMDVLVSWTKNLCSNKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIEVARNLAFHDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGTLVITSCSRTKDELVQEVENFNQRKLSAMGSEGAQASEAAVFKYIDHVQTYPNIDSSSITTVAFLHS >LPERR01G01300.1 pep chromosome:Lperr_V1.4:1:915407:918267:-1 gene:LPERR01G01300 transcript:LPERR01G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGGDGGAARKMVECRICQEEDWDTSMEAPCSCCGSLKYAHRKCIQRWCNEKGDTMCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMALLVLRHTLPLMIGGNGEYSLALFALLVLRTAGILFPILVMVRALATFHRRRRQQESREMYMTSSDTEEEEEDEEDLDTNYTQPIHSQTRLPSRIQDITKQSNN >LPERR01G01300.2 pep chromosome:Lperr_V1.4:1:916040:918267:-1 gene:LPERR01G01300 transcript:LPERR01G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGGDGGAARKMVECRICQEEDWDTSMEAPCSCCGSLKYAHRKCIQRWCNEKGDTMCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMALLVLRHTLPLMIGGNGEYSLALFALLVLRTAGILFPILVMVRALATFHRRRRQQESREMYMTSSDTEEEEEDEEDLDTNYTQPIHSQTRLVPIY >LPERR01G01310.1 pep chromosome:Lperr_V1.4:1:925192:929883:-1 gene:LPERR01G01310 transcript:LPERR01G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGVVVALVAAAAVVGASADTLADLGGAAKGLDSVPEVNNLGPWAKGLLKGMPASAAGTAAMAPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGAAGFVLAAMWLILFGIAVAVHCFCKSRMGKEKDSHTNILRLVLLVIFTLTLTAGSVILLYGQIKFGEEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTITVAALYLPSDVQGQIDNLKVDLIKAADTISQKTAENYRRIRRVLHYVSVVLICIAVLMPVLAFLGYGKKFTLTLLVNQFVASGVITHYSSTLFLTYAALEQYGPRYTVYVFVTLCWKVVAVLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSDILPCVDESTTNQTLYQSKHVVVILVRLVNRAISALSNRRPHHKHPAQFMPYLCSPYDANLNDRQCKSKEVTFDNATAAWMNYTCTVPDADLCSGPRTITPEIYDQLVLAANVSYALYHYAPLMLNLQDCKFVRSTFSSIASEYCPPLWRDLSLVSAGLALIACGFILGLILMLFADRPQREEVSELASGSRITPVDCSP >LPERR01G01310.2 pep chromosome:Lperr_V1.4:1:925192:929883:-1 gene:LPERR01G01310 transcript:LPERR01G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGVVVALVAAAAVVGASADTLADLGGAAKGLDSVPEVNNLGPWAKGLLKGMPASAAGTAAMAPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGAAGFVLAAMWLILFGIAVAVHCFCKSRMGKEKDSHTNILRLVLLVIFTLTLTAGSVILLYGQIKFGEEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTITVAALYLPSDVQGQIDNLKVDLIKAADTISQKTAENYRRIRRVLHYVSVVLICIAVLMPVLAFLGYDLLPYAGNAAKDTCEAMDEWAQHPQAETALSDILPCVDESTTNQTLYQSKHVVVILVRLVNRAISALSNRRPHHKHPAQFMPYLCSPYDANLNDRQCKSKEVTFDNATAAWMNYTCTVPDADLCSGPRTITPEIYDQLVLAANVSYALYHYAPLMLNLQDCKFVRSTFSSIASEYCPPLWRDLSLVSAGLALIACGFILGLILMLFADRPQREEVSELASGSRITPVDCSP >LPERR01G01310.3 pep chromosome:Lperr_V1.4:1:925190:929883:-1 gene:LPERR01G01310 transcript:LPERR01G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGVVVALVAAAAVVGASADTLADLGGAAKGLDSVPEVNNLGPWAKGLLKGMPASAAGTAAMAPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGAAGFVLAAMWLILFGIAVAVHCFCKSRMGKEKDSHTNILRLVLLVIFTLTLTAGSVILLYGQIKFGEEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTITVAALYLPSDVQGQIDNLKVDLIKAADTISQKTAENYRRIRRVLHYVSVVLICIAVLMPVLAFLGYDLLPYAGNAAKDTCEAMDEWAQHPQAETALSDILPCVDESTTNQTLYQSKHVVVILVRLVNRAISALSNRRPHHKHPAQFMPYLCSPYDANLNDRQCKSKEVTFDNATAAWMNYTCTVPDADLCSGPRTITPEIYDQLVLAANVSYALYHYAPLMLNLQDCKFVRSTFSSIASEYCPPLWRDLSLVSAGLALIACGFILGLILMLFADRPQREEVSELASGSRITPVDCSP >LPERR01G01310.4 pep chromosome:Lperr_V1.4:1:925192:929883:-1 gene:LPERR01G01310 transcript:LPERR01G01310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGVVVALVAAAAVVGASADTLADLGGAAKGLDSVPEVNNLGPWAKGLLKGMPASAAGTAAMAPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWAIKFGEEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTITVAALYLPSDVQGQIDNLKVDLIKAADTISQKTAENYRRIRRVLHYVSVVLICIAVLMPVLAFLGYGKKFTLTLLVNQFVASGVITHYSSTLFLTYAALEQYGPRYTVYVFVTLCWKVVAVLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSDILPCVDESTTNQTLYQSKHVVVILVRLVNRAISALSNRRPHHKHPAQFMPYLCSPYDANLNDRQCKSKEVTFDNATAAWMNYTCTVPDADLCSGPRTITPEIYDQLVLAANVSYALYHYAPLMLNLQDCKFVRSTFSSIASEYCPPLWRDLSLVSAGLALIACGFILGLILMLFADRPQREEVSELASGSRITPVDCSP >LPERR01G01310.5 pep chromosome:Lperr_V1.4:1:925192:929883:-1 gene:LPERR01G01310 transcript:LPERR01G01310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGVVVALVAAAAVVGASADTLADLGGAAKGLDSVPEVNNLGPWAKGLLKGMPASAAGTAAMAPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWAIKFGEEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTITVAALYLPSDVQGQIDNLKVDLIKAADTISQKTAENYRRIRRVLHYVSVVLICIAVLMPVLAFLGYDLLPYAGNAAKDTCEAMDEWAQHPQAETALSDILPCVDESTTNQTLYQSKHVVVILVRLVNRAISALSNRRPHHKHPAQFMPYLCSPYDANLNDRQCKSKEVTFDNATAAWMNYTCTVPDADLCSGPRTITPEIYDQLVLAANVSYALYHYAPLMLNLQDCKFVRSTFSSIASEYCPPLWRDLSLVSAGLALIACGFILGLILMLFADRPQREEVSELASGSRITPVDCSP >LPERR01G01320.1 pep chromosome:Lperr_V1.4:1:948563:949021:-1 gene:LPERR01G01320 transcript:LPERR01G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVSCEFPAAAAAVRRSRATTTTIFRKNPAVKCRRRDEAEQFSGGGGGGMVDEGMIVLRRRIHEMRAAETGWEPPAEWSEWEKEWYGTYDADVCALVGVLQAFLISSRPGVAVGIVAAVALSLPASAFVLLSSILHASQALLSNLQTLAS >LPERR01G01330.1 pep chromosome:Lperr_V1.4:1:952915:953295:-1 gene:LPERR01G01330 transcript:LPERR01G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNRSPATRRRCGVFRRDQFYSGGALVDESMAVLRRRIREARMAENNYEAPENWSAWEKRYYPAYVSDVSALVGVLQQLLMGTRPTVAVAVTALLLAGVPISAVAVMSHVAESVLFLQHHVPN >LPERR01G01340.1 pep chromosome:Lperr_V1.4:1:953787:960715:-1 gene:LPERR01G01340 transcript:LPERR01G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPSAGVAHFLFSESGGVCGDATSSCGVGGMEVKGLGQLLAALAAALFVRAVAGPGPALLPPAEDEDGDEEAGGGEGGVPPVTIRWARITCALKNKRGEVARFLLSNASGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRSVSEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLPDMLTPERKESYVNDLLFRLGLVNCADSIVGDAKIRGISGGEKKRLSLACELLASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLTYFASLGYHCPDHVNPAEFLADLISVDYSSSESVKSSQKRIENLIEEFSNKVTLTECDSSLTKPEGSEFSAKLIKKSGIKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKSQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERTKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTISRFAKFCGIVTVESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLKFEQHHSYDIQTGEQALERFSLGGIRIADTLAAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQTKQKRGAAPSSQHLRHEMIPTSRGNIIISRPKPITLKQYNQIG >LPERR01G01340.2 pep chromosome:Lperr_V1.4:1:953787:960715:-1 gene:LPERR01G01340 transcript:LPERR01G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPSAGVAHFLFSESGGVCGDATSARFLLSNASGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRSVSEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLPDMLTPERKESYVNDLLFRLGLVNCADSIVGDAKIRGISGGEKKRLSLACELLASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLTYFASLGYHCPDHVNPAEFLADLISVDYSSSESVKSSQKRIENLIEEFSNKVTLTECDSSLTKPEGSEFSAKLIKKSGIKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKSQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERTKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTISRFAKFCGIVTVESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLKFEQHHSYDIQTGEQALERFSLGGIRIADTLAAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQTKQKRGAAPSSQHLRHEMIPTSRGNIIISRPKPITLKQYNQIG >LPERR01G01350.1 pep chromosome:Lperr_V1.4:1:962749:966249:1 gene:LPERR01G01350 transcript:LPERR01G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSISFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYLLAGGRGEGRKLLRLLLAVYHPRNRYLLHLSADAPDSERLELAAAVARSAPAVRAFGNVDVVGRPAAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSDFRNSTVNNDMRYMVWDDPPQMEPHFLNITHFDEIVESGVPFARKFRENEPLLDKIDDRVLRRWRHRPVPGAWCTGRKRWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQILEESMSSNNSCKQ >LPERR01G01360.1 pep chromosome:Lperr_V1.4:1:971644:972810:1 gene:LPERR01G01360 transcript:LPERR01G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHNKRSSAAENGHTGGKKLKTNGEVKQERQEEEEEGEVSQEVVVEGESGRSLVTVAAAMEMEEPQISVRIAVGLLHCQACLLPLKPPCEVGHVVCSGCRGRHGQVCGSAAVYAHCGELDAIVATATVPCGYAAYGCDSHVVYAAAADHQRGCPHAPCACPEPGCAFTASPPSLLSHLATAHPYYPVTEISYGKPAKLAVPQPGHCHVLVGGDRDVFLVSPIAVGAATAVSVVSVRGNSGAAAGDDTATAATAQFKCKVWVEVSSSSDNMVMMTSKVRSSDLAGGLPAAGEGMFLVVPPELLQELSGETPIVSIRIDRVGAAAIAKSTTPRARGQRRSQ >LPERR01G01370.1 pep chromosome:Lperr_V1.4:1:975495:976526:1 gene:LPERR01G01370 transcript:LPERR01G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGEHSEAFLVLPDGKVKREMAAEQPQGEEAAAGAGAGAMIAVATEGDGGFEKVEISMRIDMAVLHCPLCQLPLKPPIYQCTAAGHLACGSCHGGAAGKRCYACDAGDGGGVYARCPAMDTFVRSAKVVCPYDMFGCRSYVAYYDVAGHQRECRHAPCACPEHGCGFLGSPPMLLAHLVADHAWPVSKLRYGEVLTLHVAESERRHLLVAGGGEQVFVVSVGAISVARAVSVSCVRANAAAAATRFRCKLWAHGGGAAEFVHMETAVASSAAAGGGEVGEEGMFLTVPPCLLHRTCKEMLLKLSIRIDMD >LPERR01G01380.1 pep chromosome:Lperr_V1.4:1:978297:981172:1 gene:LPERR01G01380 transcript:LPERR01G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFQKVPQGYTSRQGKYTTTQGVPLFNHKALISMLSATSEAAMSLPIKRRSSPTEELEGEKERSKMKLRSFMKPGKEQQEVVVLGRPDSEISVRMDSNVLDCIICFEPLKPPIFQCEVGHVVCSVCLSKIGERCHMCCKTTRYRRCFALEQFIDAIKVQCSNAKYGCNEFIAYYQKEKHEQECIHVPCFCPENGCSFEGSTRSLLDHLVTMHEWSPTNIKYNKALRISMARDRRFTLFVGEDLSMFLLGNILTDIGNALTMVCIGPHDSELSYSSKISVVDRVACEKGRFVFQMDPLVESSSLSGGIKLGKFFLLVPPELVDGSTGELTINVRIDKVNP >LPERR01G01380.2 pep chromosome:Lperr_V1.4:1:977507:978539:1 gene:LPERR01G01380 transcript:LPERR01G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGDRAEKQEAGGGARRAELAVRIDMTMLHCPICFLAFKPPIFQRDCPHAPCACPEPGCAFAASPPALVDHLTAAHSCPVVDKVEYGKPLCVRVPVPASERRRVLVAEEDNRVFVLAVAGIGGGGRAATAVSLLRVAASAEAEPRYRCRMWANAPAAGGKADIAMVDMDVASTGAVAGGGGGVEEAAMFLGVPAKMLHGEESKEIVLGICIDKKTS >LPERR01G01390.1 pep chromosome:Lperr_V1.4:1:982108:983176:1 gene:LPERR01G01390 transcript:LPERR01G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPDAGVKKPRLESPNTNVRIKQEAVDESVAAGEAATPPAAAAADQARVEVAVRIDAAVLHCPLCFLPLKPPIFQCSAGHLACGECHAKLAADAQCQACVGGGGAAAAAYAHNPALDAFASSAKIRCPNGEYGCDSYVTYFDIAAHRRACRHAPCRCPEPGCVFLAAPPSLVEHLTGVHSWPAMDITYRNVHLLRVPASERRRLLVVRRDGNGVAGGESQVFLLAVARRGGAGAATAVSVSCVRANAAAAGARFTCKVWTQAAADAETGFKDTIMMEANVRSFSVPGEVAVEEGTVLSVPPWMMHGKSMEMILRVRIDKLRPKN >LPERR01G01400.1 pep chromosome:Lperr_V1.4:1:988888:989910:1 gene:LPERR01G01400 transcript:LPERR01G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGENGATPKKPRITPIKRTPAPEQDQTTAATMPDLSNVSIGPDTLQCAICFLPLEPPIYQCKNGHPSCKNCLAGLNNRCPSCRLPIGEIRCRPLEAVLAGMTIPCAFAKNGCHETFKYTAAASSRHHRQASIHEFSCRHAPCECPFDGCAFAGAAANLFAHIKAAHTHTAASPAAATFSTPPPVTSIDRTPVRLPKCVPFHVLLREDDAAVFLLLNGGGVPKGRSLSVVCVGPERDAAELYTMAVSGGALALSASGSVPCVRRWVRYPTEGFLFVPDAYWSSSGSVSVTVHVKKLSSEEEAV >LPERR01G01410.1 pep chromosome:Lperr_V1.4:1:995589:998095:1 gene:LPERR01G01410 transcript:LPERR01G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGEDDWREAMRRDNTQAAHSPDYSPVGSYSAPSAVHRRRSSRRRHEDEQLYSLHGRRMRSRSRSPRRPSRRARPRSCRSRSRTRSYECEDSRCCSPHSDDHRDEYDDGEGSWYRANPGENEFTVRIDGIGANDGIFQCDECFTMLSSPVYECKNGDVMCGNCYEEGGGEEENQNGEEEEDEGCHQCGTKEIHHAVSRLLRSIRFACKNHRHGCCPAFLPRRDMDAHELACDHGPCFCPIRRCGFSGAADSLCRHLTSRHGWGRLAVTYGAAAVVPVFSPTILRATDDGRVFHLSCSRERGWTVMAMVCIRPENGGGGAAGGEVEEEFRYEVRTACGRIQMQAAVEKTSLRLGMKEAVKARVTVPDEMLLYQGDAVEVCVRKEVA >LPERR01G01420.1 pep chromosome:Lperr_V1.4:1:1003691:1005687:-1 gene:LPERR01G01420 transcript:LPERR01G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVIEDKGGAIAVILASLFFVGSFPAILLLLERRGRLPQHTFLDYSLTNLLAAVLIAVALGQAGGGQSKLNFFTQLSQIHDNWPSVLFAMAGGIVLGLGNLIAQYAWAFAGLSVTNIICSSMTVVIGTTINYFLDGRINRAEILFPGVACFLVAAFLGASVHSSNAEDDEKKLSMVESDVVVDRGQTVLPDPENNGGEGGDECNVIGQAKPGTAEFLIQIEQRRSIKVFGSGKLLGLVLIFLAGICFSLFGPAINLATNDQWHVLKTHQAGTPHLVVYTAFFYFSLSCFVLAIVLNIWFLYRPMAGVPSSSLRAYFMDWNGRHWAWLAGLICGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWAVVLFGEYRRSSVKTYLLLAAMLSMFVLAVALLIASAGHRKT >LPERR01G01430.1 pep chromosome:Lperr_V1.4:1:1013033:1013542:1 gene:LPERR01G01430 transcript:LPERR01G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTILLFFLLAAAAHGNTIRLPSEEKEERPPWKCCDKLVMLPEKIFPPRWRCNDELVPSVCVAQCKVCQEAPGPFPGPLICSDVYQGVHPGPFCKPRPWGDCCDETICTKSIPPICRCVDKVDKCAAACKKCETVESSASASEKASYVCQDWFTGEPGPKCKPKLAV >LPERR01G01440.1 pep chromosome:Lperr_V1.4:1:1015556:1015999:-1 gene:LPERR01G01440 transcript:LPERR01G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNPSFVVGPILSASTTSTALIVLALLRSDLPRYPNTTVGFVHVGDAVLAHMLAMEEPRASGRLICSCHVAMHWSEVVASLRERYPEYLITDECGTQKGDDRAHKMDTTKIRGLGFPPFLSVQQMFDDGVKSFVDKGLLPPTADA >LPERR01G01450.1 pep chromosome:Lperr_V1.4:1:1017908:1018177:1 gene:LPERR01G01450 transcript:LPERR01G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLAVYGDLLDMGVRVAVRAYTHCPQTARMYYKPPSTPSTAATASSRCSRSSSSSSCADESDVTSRKQQEAACRVALDVADIMLHM >LPERR01G01460.1 pep chromosome:Lperr_V1.4:1:1020252:1020758:-1 gene:LPERR01G01460 transcript:LPERR01G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITMATTTILLLFLLATVAHGNTIRLPSEEKEERPPWKCCDKLVMLPERIFPPKWRCNDELDPSLCVLQCKVCQEAPGPFPGPLICDDVYWGVDPGPLCKPRPWGDCCDETVCTKSIPPICRCLDKVDKCAAACKNCKSSSETGRYVCQDWFTGEPGPKCKPKLAV >LPERR01G01470.1 pep chromosome:Lperr_V1.4:1:1022953:1023237:1 gene:LPERR01G01470 transcript:LPERR01G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLAVYGDLLDMGVRVAVRAYTHCPQTARMYYKPPSTTPPTTTTATTSSSRCSRFSSPCADESAAAGKSRKKQQEAACRVALDVSDIILHM >LPERR01G01480.1 pep chromosome:Lperr_V1.4:1:1027509:1027793:1 gene:LPERR01G01480 transcript:LPERR01G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLAVYGDLLDMGVRVAVRAYTHCPQTARMYYKPPSTPSPPTTSRCSRSSSSSSCADESDVTSRKQQQQQQKQEAACRMALDVADIILFAV >LPERR01G01490.1 pep chromosome:Lperr_V1.4:1:1036808:1039940:1 gene:LPERR01G01490 transcript:LPERR01G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSMVNSADKKQDVAMGMDVLDCTVCYEPFKPPILQCSVGHFICSSCRNKLKKCPQCSRTSFERCFGMERVVESVLVPCSYAVYGCTKQITYFNKKSHEQACSHGPCFCPENNCSFHGSMETLWKHFTVQHKWPCTVFKYYKQFNLSVKPGVHILRADNGQLFMMNTVPVEPVGHGVSLVCVQANTPASRFGCNVLFSSFKDHHQISTLDSVRCSSLSEGLPKDYFCIVPKAPSGGDDVLLRITIDTELLNLLDDEQEEDDTEQEEEEEDGESEEDEDEDEEEDDEEEVDD >LPERR01G01500.1 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPAGCGAMSTGLSLGFALSGKNLETSWAVDINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKVGNDQPKDVMGYRRAPKTEFQRRIRLNRKDMQDYSFRGNDPSEEDKLFDYQPLKLNKDDYERVQHIPSKKASQGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.2 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPADINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKVGNDQPKDVMGYRRAPKTEFQRRIRLNRKDMQDYSFRGNDPSEEDKLFDYQPLKLNKDDYERGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.3 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPADINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKVGNDQPKDVMGYRRAPKTEFQRRIRLNRKDMQDYSFRGNDPSEEDKLFDYQPLKLNKDDYERVQHIPSKKASQGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.4 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPAGCGAMSTGLSLGFALSGKNLETSWAVDINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKDYSFRGNDPSEEDKLFDYQPLKLNKDDYERVQHIPSKKASQGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.5 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPAGCGAMSTGLSLGFALSGKNLETSWAVDINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKVGNDQPKDVMGYRRAPKTEFQRRIRLNRKDMQDYSFRGNDPSEEDKLFDYQPLKLNKDDYERVQHIPSKKASQGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.6 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPADINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKDYSFRGNDPSEEDKLFDYQPLKLNKDDYERVQHIPSKKASQGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01500.7 pep chromosome:Lperr_V1.4:1:1044797:1051290:-1 gene:LPERR01G01500 transcript:LPERR01G01500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELAEEGKGTEEESIAKRRRTAATRVVNGDGDESVDRIVLGEPVPGDEARRRWPESSDEEEEMKARCHYKTVNVNDEIYRLDDDVYVKAGPDEENYIGRISEFFEGINRASYFSCQWFFRTEDTVISEKLLKMHDHTHDHKRVFLSNEKNANLIECIVSKVKIIHVDPNMTPEAKPHAISDCDLYYDMSYSDAYSTFENLPADINKYACASLKHNHPYSQVRNEKAEDFLALIQQWDTLCKKYVQKNDTTDPDTDVSSNDDEDANEPLPEGTFEVEELLDVCYGDPNSTVKTSLRFKVRWKGYDASYDSWEPIDGLSGCPNRVKEFVEKGHRENILPLPGAVDVICGGPPCQGISGFNRFRKCNDPLKDEKNKQLIVFMDIVDYLRPKYVLMENVVDILKFADGFLGSYALSRLVAMNYQARLGMMTAGRYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVVRGIVPKKFSQSVVTYDEATTHCLRKALLLFDAISDLPKGANFRDLKGVKSGPNNIAELDTNIPRVKLTSGKPLVPNYAISFVNGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTVRENARLQGFPDYYRLIGPVKQKYIQVGNAVAVPVARALGFSLGLAYQGVSDVDQAVLKLPESFIYSDQEKVVKSSVGFPGDEVVESEHLGE >LPERR01G01510.1 pep chromosome:Lperr_V1.4:1:1051296:1051502:-1 gene:LPERR01G01510 transcript:LPERR01G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPTTIPAAVDQAASLPRRTTRRRIKTAAAREAEAAAAASAGEGRIRRSSFDVVPGRRRRRRRRRR >LPERR01G01520.1 pep chromosome:Lperr_V1.4:1:1054414:1056134:1 gene:LPERR01G01520 transcript:LPERR01G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTIYYRQAQTSSAPNNATVDFDALNCTICYNPLHPPVFQCLAYHVQHCHGKLLDRSRCHMCNMNSGYSRCFAVEKILDSIKVPCRNAAYGCAAKTAYHDGDAHAAACLHAPCFCPEPGCGFAGAGAALGSHLAASHGWPSTAIRRGRAVDLQLREGAKRVLLLHDHDAGGGSGGGGQHVFLLVVSPAATAGMLLGNVFLVEPHGGVAPRFDCHVDFNCGGTGLRQSSEFAVRSTSLAGGLPVDCYAFVVPNVGQHPATASVSVIDNSRRRPRGGGHTQRLHN >LPERR01G01530.1 pep chromosome:Lperr_V1.4:1:1060687:1061334:-1 gene:LPERR01G01530 transcript:LPERR01G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGSSYPLSHCNTALSFIVSRSIILLAVLCVWKAVEQQWIASQEFRICYWEYESSTDHPECSRTGVE >LPERR01G01540.1 pep chromosome:Lperr_V1.4:1:1062152:1065120:1 gene:LPERR01G01540 transcript:LPERR01G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKLKVKKLLQKIEGVYSVTLDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGSNQGHKGGNNQQKQQQGQQHHQKQGANQSKDGNKNNSSSLKDQGKKGGIGGLIQGFKNQHNKNQLPELDSEDDELYDDEDDEYDDDYEDDLRFLGDKMSQQLGFLRQQAAAAAVANVKNKNANTANLNNQHNNANGKKGGGAGGGNHHQNNHHQQQNQKNPNVINMAAAANAKMGNGAQKNTSAINGMIGLNHGLGTAGAAPGFQGYTGFNHPSYASTGYGGLQQQHLQQQQSNNLMASMQGYHHHPAATAAMMSDLRGLNNNMMMMHQPQQHQPQMMYHRSPQISTYPAYYNPYNYYYHPAAAGYHPTSNGDVETMFSDENTKGCVVM >LPERR01G01550.1 pep chromosome:Lperr_V1.4:1:1062698:1065222:-1 gene:LPERR01G01550 transcript:LPERR01G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPLHASHEVVALLLLEVLLLKPSIAGRSIGWVVEAGVALEARRGAGCSEAMVEADHAIDGASVLLGAIAHLGIGCCRHVDNIGIFLVLLLVVVVLMVISTSSTTAFLAIGVVVLVIEVGSVGILVLDIGHCRSSSLLPEEAKLLAHLVPKKAEIVLIVIVILIIFIIIQFIILRVKLRELVLVVLSTVYKPQG >LPERR01G01550.2 pep chromosome:Lperr_V1.4:1:1063124:1065222:-1 gene:LPERR01G01550 transcript:LPERR01G01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPLHASHEVVALLLLEVLLLKPSIAGRSIGWVVEAGVALEARRGAGCSEAMVEADHAIDGASVLLGAIAHLGIGCCRHVDNIGIFLVLLLVVVVLMVISTSSTTAFLAIGVVVLVIEVGSVGILVLDIGHCRSSSLLPEEAKLLAHLVPKKAEIVLIVIVILIIFIIIQFIILRVKLRELVLVVLGVLSEDANR >LPERR01G01560.1 pep chromosome:Lperr_V1.4:1:1067727:1070725:-1 gene:LPERR01G01560 transcript:LPERR01G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHLLPHLRLAARCPGPPPSSSSSSSPSALAAARCGGRGIAGASPPHPHPVWAPGGIRFFADDRSHYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDDEEEENDTKLEREIKKVKRQAKENANLIDGDDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDGEPKYRKISELLKSEQEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVATDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKIVPRKKWQKAQARKRKNERRRAKERKLPVGSCR >LPERR01G01570.1 pep chromosome:Lperr_V1.4:1:1071490:1076710:1 gene:LPERR01G01570 transcript:LPERR01G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVVIRRLAEPLDAWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFINCIRYAPDGSKFISVSSDKKGLIYDGKTGEKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACTGTGGVDDMLVGCLWLNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWMLGVGYGGRLMRKNNTQIKCFAAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALITTDSGIVLLDKSKVISTTKVNYTITSSAVTPDGTEAIVGAQDGKLRIYSISGDTLTEEAVIEKHRGAITCIHYSPDLSMFASADVNREAVVWDRSTREVKLKNMLYHSARINCLSWSPDSRLVATGSLDTCAIVYEIDKPASSRITIKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >LPERR01G01580.1 pep chromosome:Lperr_V1.4:1:1077200:1084523:1 gene:LPERR01G01580 transcript:LPERR01G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSNGSANVAENQENERSTDAGEPEEAADLFIYREDVVSLKSKEDVRGLVMEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENSGADGDNASNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASASDPTGQMGLVADVSLVVDLQGPHGEIIKCVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSICKVAKADPMRLRPASPMNPDTSCPFYPGQRVKAVSSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATSQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPHQYTSSCTDDSLIESSEIKDSDDLSGPQADIPEASDVKTEPAQKTDMDENPGRVDGDCSADGDSCLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIADTHTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTVSVSWFKSSFHPQEPREIECNEVVSAYELDGHPDYDYCFGDVVVRLPSVSLPLESTNKENTMDLDKNVNSTEASATLVTDAEVQFPQESSLEFTSLSWAGNIVGLQDGDIKVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLVDDSAQDDSQNVAENNIERESGSFNSQDGNSVATGPFSAAIGFMSRLASGFFARESGDDIDKNEGENNVATSGCTVVVTNDSSAGADVDMADNPEDSDDFKHFDVLQCPPDHHYLENIVQGTGGRKWVKKVQQEWTILEKNLPAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFSKRGNYILKACDAYLQGNVVGTLTDDACATERSKEHSCSVGFKLALAKILPRLITALKDVGANCEQYEHLGKTETAQEIEH >LPERR01G01580.2 pep chromosome:Lperr_V1.4:1:1077200:1084523:1 gene:LPERR01G01580 transcript:LPERR01G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSNGSANVAENQENERSTDAGEPEEAADLFIYREDVVSLKSKEDVRGLVMEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENSGADGDNASNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASASDPTGQMGLVADVSLVVDLQGPHGEIIKCVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSICKVAKADPMRLRPASPMNPDTSCPFYPGQRVKAVSSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATSQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPHQYTSSCTDDSLIESSEIKDSDDLSGPQADIPEASDVKTEPAQKTDMDENPGRVDGDCSADGDSCLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIADTHTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTVSVSWFKSSFHPQEPREIECNEVVSAYELDGHPDYDYCFGDVVVRLPSVSLPLESTNKENTMDLDKNVNSTEASATLVTDAEVQFPQESSLEFTSLSWAGNIVGLQDGDIKVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLVDDSAQDDSQNVAENNIERESGSFNSQDGNSVATGPFSAAIGFMSRLASGFFARGKKNSDGSNSDAMDDVDSHQSNEISESGDDIDKNEGENNVATSGCTVVVTNDSSAGADVDMADNPEDSDDFKHFDVLQCPPDHHYLENIVQGTGGRKWVKKVQQEWTILEKNLPAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFSKRGNYILKACDAYLQGNVVGTLTDDACATERSKEHSCSVGFKLALAKILPRLITALKDVGANCEQYEHLGKTETAQEIEH >LPERR01G01590.1 pep chromosome:Lperr_V1.4:1:1089318:1091978:1 gene:LPERR01G01590 transcript:LPERR01G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKVEEKLAVLLLVAGLVAAAAGNRLPPPSPAPPPVTEDTLAKVAGSLEMYVDELPQMPKIYGFSMRYGHPTPIHLTIGMYQKKWKFHRDLPATPVFVYGTSAATATFPGPTIEALQGVPLSVTWENHLPDRHILPWDPTIPTAIPRRHVSGGGGIPTVVHLHGGVHPPQSDGSAFAWFTAGNRDTGPAWPTSPAPYTYPNIQSPGNLWYHDHALGLTRANLLAGLLGAYVIRNPATEAPLGLPAGEVFDRVLILADRSFNSDGSIYMNSTGDVPRVHPQWQPEYFGDAVTVNGKAWPFLAVARRRYRFRIINASNARFFNLSIVSSNGGGLIPFHVIGSDANYLSSPVTTTHLLVSVAESFDVVIDFSKSTTSSPDAELVNTAPYPFPDGDKPNHLNGKVMKFLISPPPATETSTEYSVDDSRIPSKLLEYVAVAEEEASKRRYIVMYEYDDDVTGNPTHLYINGKRLEDAATETPEAGKTTTEIWEVINLTPDSHPLHLHLASFTATRVRRLAGVDDFRRCMLKLNDAERCHVGRHVAGGEEVAVAEHEKGWKNVVKIAPGCMTTIVVKFFMVDTGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIKSKD >LPERR01G01600.1 pep chromosome:Lperr_V1.4:1:1092401:1096765:1 gene:LPERR01G01600 transcript:LPERR01G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGHCLPVVVLLLQAALLGRGDDDDGSRLLDPGKLEMFVDELPDMPRMRGYGVADGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIESIQGVPTYVTWTNHLPPRHILPWDPTLTSAKPGRHGGIPTVVHLHGGVQHSASDGHSLAWFTAGHVTTGPHFPSTAAPYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPTEEAPLNLPAGEVFDRNLVVFDRDFLAGDGSLFMNATGNNPGTHPQWQPEYFGSVVVVNGKAWPFLRVRRRRYRFRILNASNARFFRFSLSDAALRFIHLASDSVYLAAPVRTRAFLLAPSEIADVIIDFADTASPVIVLSSDAPAPFPGDPGEKAEKLPVMKFVIDENATSPETDTTSVPARLMDGYPKPEARSATARRWIAMYEYTNESNDEPTHLYLNGKSYMSPVTETPAEGTSEIWDVINLTDDNHPLHIHLALFAALEQRSLRRVDELRDCMKRFVAGGGGGGGGEAAERCGVERHLAGGRRHVVARQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVTSGPGYVYHCHILDHEDNEMMRPMKIVRY >LPERR01G01610.1 pep chromosome:Lperr_V1.4:1:1097136:1101606:1 gene:LPERR01G01610 transcript:LPERR01G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKSRFAPENARDDDGERRRHHDHHRARAAISSRSDDKLPERTSRDEQEQFVFPWMGILVNVPTEWKNGRQVGESGNRLKERLVRFCPQKVIPLWNHRGHTGNAIVEFKKDWSGFANALAFETHFEEQGYGRRDWMGKKYRGSEMFGWVGRAHDHRSLGPIGDHLRKNGDLKTVADLECEMTRKTDKLVANLASQIDVKSKHAEELESKYNETTASLDRLMEQKEQLHQAYNEEIRKMQDLARRHSQRIMDENQKLRSDLESKMQEFDSRSKELDELAKQSNSDRRRLEQEKEENNIKAKHLKMATVEQQRADENVLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLKGKLEVMKHMPGEEDNEAKRKIEELNEELKEKYDEMEAMESLNQTLVTKERQSNDELQNSRKELISGFQELIVGRTNIGIKRMGELDPKAFANACKQRLSTGDADITAALLCSQWEAEIKDPDWYPFRVVMINGKETGIIAEDDEKLLGLKEEHGEEVCALVTKALQEINEYNPSGRYPVPELWNFKENRKATLKEVVQYVLKQWKTHKRKRN >LPERR01G01620.1 pep chromosome:Lperr_V1.4:1:1104728:1107775:1 gene:LPERR01G01620 transcript:LPERR01G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRARILVVFLAAVVVAVGNVAEAQVTTADLQRVAGSLQMYVDALPQMAKIQGYGYQKGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFVKWENHLPAKHILSWDPTVPTAIPKNGGVPTVVHLHGSAHPPQSDGSAFAWFTAGYGEKGPAWTQTTYRYPNVQPPGNLWYHDHALGLTRENLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLIIADRSFNVDGSLYMNSTGSVPSVHPQWQPEYFGEAITVNGKAWPFLVVHRRRYRFRILNASNARYLNVSLSNGLPFHVVGSDASYLSAPVTVTNLLLSPAEIFDVVVDFSLSPTTEIEMLNSAPYPFPIGASPGPLNGKVMKFVVQPNGPRDPPDNSTVPDHEVPYAAVTALPPTTMTRYIVMYESLTPSGQSTHLYINGLRLEDPATETPKSGTTELWEVINLTGDNHPLHIHLGMMQAVKMQQLVDLQNFTDCMGKLNDAVKCNVDQHAVGAVLPVPDHEKTWKNVVKILDHEDNAMIRPLKLLP >LPERR01G01630.1 pep chromosome:Lperr_V1.4:1:1110528:1110866:-1 gene:LPERR01G01630 transcript:LPERR01G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTGSSAVEGTRPSSNARRYPGRPYGVVFLWQDGVEDLGKDADFLRGWLYRCNYYMYNDEPPSSESELTS >LPERR01G01640.1 pep chromosome:Lperr_V1.4:1:1111862:1114818:-1 gene:LPERR01G01640 transcript:LPERR01G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIQQLAAVLLAAVVVVAAAREEPRKNYGLDHSIEAVMSILNCKSDALIPSYICSVISKSRWGWGSDDPNDDQTPPESSPAAPRPVRSAINLTKYVDALPQMAKIRGYGIWHGRPVPIQLTIGMYQTTWQFHRDMPPTPVFAYGQTPETATFPGPTIVARHNVPLYIKWENHLPDTHILPWDPTVPTAIPKNGGVPTVVHLHGGAHPPQSDGSAFAWFTRDFRETGPNWTQQVYSYPNVQAPGNLWYHDHALGLTRASLLAGLLAAYVIERPELETPMNLPCDAHDLHLIIADRKFYANGSIFMNTTGDVPSVHPEWQPEYFGEVITVNGKAWPFLAVHRRLYRLRILNASNARYLNITLSNGLPFHVVASDSSYLFVPVTVTNLVLSPAEIFDVVVDFSLSTTPEIEMLNSAPYPFPGGNNTDPNLDGKVMKFVIIPYGQDDDDDMPADTSSVPKHGVPYASVDSVPPPVASRYIVLYENLTKPDNLSTRLYINGLRLEDPPTEKPRTGTTEIWYVINLTGDNHPLHIHLGTLQAIKMQQLVDPNDTFKNCMLMLNDTGRCNLSNYVTGPTTPVPDEEKTWKNVVKIPPGYVTTVVVAFLLVDTNQPYPFDATAQPGYVYHCHILDHEDNAMIRPLILVP >LPERR01G01650.1 pep chromosome:Lperr_V1.4:1:1120947:1123470:-1 gene:LPERR01G01650 transcript:LPERR01G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIQQVAAVLLAAVVVVAAARDEPRKNYGLDHSFDAVMSILNCKSDSLIPSYICSVISKSRWGWGSDDPNDDNTAADSSPAAPRPVRSANDLQKYVDALPQMAKIRGYGIWHGLPVPIKLTIGMYNKTWKFHRDMPPTPVFVYGQSLETATFPGPTIVARQHVPLDIKWENHLPDAHILPWDPKVDTAIPKHGGVPTVVHLHGGAHPPEYDGSAFAWFTRDFRDRGPAWTRTTYRYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPEIETPMNLPCGPHDLYLVIADRKFYTNGSIYIEKEWQPEYFGEVITVNGKAWPFVAVQRRRYRLRILNASNARYFNITLSNGLPFNVIGSDSSYLSKPVNVTNLVLSPAEIFDVIVDFSLSSTTDIEMLNSARYPFPSGSQTPDPNLDGKVMKFVITPNGKLDDDMPDNSEVPENGVSYAGVTSVPPPVVVRNITMYEYFAPNNATKTTHLYINGLQLTDPVTETPKSGTTEIWQVINLTGDNHPLHIHLGTLQAVKMQELSDVQTFTDCMVKLNDTAKCNFDQHANGITMTVPEEEKTWKNVVKIPPGAVTTVVVAFLLVETNQPYPFDATTEPGFVYHCHILDHEDNAMIRPLKLLR >LPERR01G01660.1 pep chromosome:Lperr_V1.4:1:1131432:1133840:-1 gene:LPERR01G01660 transcript:LPERR01G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIQQLAAVLLAAAVVVAAARDEPRKNYGFDHSLDAVMSILNCKTTFDLQKYVDALPQMAKIQGYAIWHGRPRSIQLTIGMYQKTWKFHRDMPPTPVFMYGQSRETATFPGPTIVARHNVPLYVKWKNHLPDTHILPWDPKVDTAIPKHGGVPTVVHLHSGAHPPEYDGSAFAWFTRDFRDRGPAWTRTTYRYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPEIETPMNLPRGAHDLFLVIADRKFYTNGSIYIEKEWQPEYFGEIITVNGKAWPFLAVHRRRYRLRILNASNARYFNITLSNGLPFHVIGSDSSYLSKPVTVTNLVLSPAEIFDVIVDFSLSSTTGIEMLNSARYPFPNGDAVPDPKLDGTVMKFVIKRKRKLDDDILDNSEVPEHGVSYASLTSVPPPVVMRKITMYEYFAPNNATKTTGLYINGLQLRDPVTETPKSGTTELWQVINLTGDNHPLHIHLGTLQAVKMQELSNVQTFTSCMVKLNDTVKCNFDQHANGITVPVPEEEKTWKNVVKIPPGTVTTVKVAFLLVESNRPYPFDATTEPGFVYHCHILDHEDNAMIRPLKLLR >LPERR01G01670.1 pep chromosome:Lperr_V1.4:1:1139148:1142403:-1 gene:LPERR01G01670 transcript:LPERR01G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPALCAAAAGCSAPIPLSRPHLVRFRRSSARAAAAAAAPAVSDDLVLRIAEQLEDSAATSSPLLDPLRSASALSLLSTRWPTRRSNEAYRFTDTSYLRSLPISLPSSDPLSLAPPPPASPYASHVHFSDGVLASSSGTHVSALADLPPGQVRDRAAAILAASADFVDKDLFYDFNAVGAKDVAVVYAPEGVSMADDPVHIMFSYSGCGDGSMMMSNPRVLVVAEKGAEVAIVEEHFGLGEEDGGGCYWANPVMEILVEEDAKVVHSYVQRQSFAAAHTKWTVVKQNTSSNYEFVEASIGARLNRHNLHIQQLGPETNTKLASFHFSSQNKQIHDLHSKLILDHPRGRSHQLHRLIASGTGNGIFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNWIYFFQARGIDAKTAADALNFAFGAHVINQIPFKSIEKKTLAHFKELLESSRQDDK >LPERR01G01680.1 pep chromosome:Lperr_V1.4:1:1142626:1145446:1 gene:LPERR01G01680 transcript:LPERR01G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHFTRPFLRPSPPPTRRSFRAHCLAPSASARGTFFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALSAVADCLISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPQSGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPALSPSVSPRSAAEEIGYTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPRDACGGDGTIAFAQTARKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVVAAHKAGINPNSLRREGIDHLKSPVRLYPMQSCGSRKSASSPALEKVYTHELV >LPERR01G01690.1 pep chromosome:Lperr_V1.4:1:1147835:1150230:1 gene:LPERR01G01690 transcript:LPERR01G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPDDESKVGFLWELDGATDRLRLFKADLMLDGSFDSAVRGVDGVFHAASPVLLTTNPKSTKDADSDAATDIQARLVEPAVRGAANVLRSCARARPRPRRVVLTSSCSAIRYLAAGAGAALNESHWSDASYCAAHGLWYAYAKTVAEREAWRLAREHGVEMVAVNPSFVVGPILSASASSTALIVLALLRGDLPRYPNTTVGFVHVDDAMLAHVLAMEDPRASGRLVCSCHVALHWSEVVASLRERYPEYPITEVCGTQKGDDRAHKMDTSKIRGLGFPPFLSVQQMFDDCIKSFVDKGLLPPPAN >LPERR01G01700.1 pep chromosome:Lperr_V1.4:1:1151706:1152275:1 gene:LPERR01G01700 transcript:LPERR01G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNILQITLSLAVAGLLLAGIAADVADSNNIRLPSAGAGNDWPSPPWDCCDNLKQSPIRIFPPKYQCLDEVSHCAAACKDCKKVPSSGRFVCRDMYWGLSPGSKCTTHADSDDGLSVADDGSSVAATRPWNCCDLTKCTRSWPPTCSCLDKVSSCSAQCQTCEQVESRPPRFRCLDRYHGFPGPKCQ >LPERR01G01710.1 pep chromosome:Lperr_V1.4:1:1154441:1155043:1 gene:LPERR01G01710 transcript:LPERR01G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLATLSFLGALLFAGISAIAAADDNIVLPTDIVVEVDDNEANTVWPWGKCCNDIEKSPLRSLPPRYRCNDRHRLCPPTCSHCEERPIVFPNQPRFVCTDWFIGFNPGPKCSSSSINGGGEKHCSGDENEQVMTEEESKRPWDCCDMTVCTRSSPPTCRCVDTVAKCGSACVQCEKVSSRPARFRCLDRYHGFPGPKCH >LPERR01G01720.1 pep chromosome:Lperr_V1.4:1:1160334:1160753:1 gene:LPERR01G01720 transcript:LPERR01G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLVMLSLLGALLFAGFSAAAVANDNIVLPTDIGDDNEANAVWPWGKCCDNIEKSPLRSLPPRYKCNDRVRQCAPTCSHCLAVPTPSPLQHLFVCLDWFVGFNPGPKCSTGNINGGEKHCSGGESVKEAPVEKEESN >LPERR01G01730.1 pep chromosome:Lperr_V1.4:1:1165295:1168998:1 gene:LPERR01G01730 transcript:LPERR01G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPWSCGCCCGRRRRGGDRDADGGGGDGDGGASGREEEEGWSLFIELPVLEAATDGFSDDKLLGRGGFGPGVLENGQEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFDRKKSVQLDWPKRYQIIVGLAKGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMKGYLSAKTDVFSFGMLVLEIVSGRKNIDKHLDVEKIDLLNYTWKLSEEGRQMEIVDPTLAEWDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSTDSFTLSKPGKPAIHGRVGRWISSSDNTTAASSSAANTATTIGTAGTTDTTMTTRASASMSAALGGIAEDDSSRNSISISFTTEGR >LPERR01G01740.1 pep chromosome:Lperr_V1.4:1:1173825:1179913:1 gene:LPERR01G01740 transcript:LPERR01G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSPPPPPPSPPLRLRCAVQHYEWGLRGDASLVARLSSSDADESDPARPYAELWMGTHPSAPSTLLTSASGGGELLLREWLARNPSALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYRDGNHKPEMAIAVTEFRVLCGFAGIEELKDVLRTVPEVEDLVGPENAAKILSVKEYYGVNEVKSNLRSAFTKLMTASKDAVSEAIAKLICRLNAESKVRTLTEKEHLVLLLEKQYPEDVGVLSSFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPHVRRYTPPFDEFEVDCCSLPPDELVVISPVPGPSVYLVMSGVGEIQVDSMTDSEKSKQGDVFFVPAYTEVKFSALGPECMQLYRAGVNSRFFS >LPERR01G01750.1 pep chromosome:Lperr_V1.4:1:1191195:1192453:-1 gene:LPERR01G01750 transcript:LPERR01G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRGAGGLGAANSAVIMSKQQQEAEEMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAAADQIPPPLHHAAGAMAGVSSSPFDGGSHLINHNNVSSSSYGHQIEPSPTTSSASGCSTAAAAMIQPVPCFSDQMRWDHHQIMQSSSGELDGGAGVFDSATMGSLGLDGLDLGPADYEYYPHSTLLDYLNSTCTGSAMMMSSSGGHGNGGYNYCAGGGMDGGDELYCQAAAAARKVGEWGGGT >LPERR01G01760.1 pep chromosome:Lperr_V1.4:1:1208322:1210148:-1 gene:LPERR01G01760 transcript:LPERR01G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSITILSLLQLLLLLFSSLPAQSHAWGIHGHLIARLSDSAATAVRALLPSYAGGNLSSLCSWADDVKFRYPWSAPLHYIDTPDRLCNYNYDRDCKDEDGVKGRCVAGAITNYTSQLLTYDATSPSTTKYNLTQALLFLAHFMGDIHQPLHVGFTSDKGGNTIDVHWYSKKTVLHHVWDNSIIETAEDDYYGEGVAEFVDALTQNITGEWSQRVTGWEECSKNQTACPDTYASESITAACDWAYKNVTEDSVLEDSYFASRLPVVNLRLAQGGVRLAATLNRIFS >LPERR01G01770.1 pep chromosome:Lperr_V1.4:1:1210788:1215639:1 gene:LPERR01G01770 transcript:LPERR01G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSPLLRLRLPTPLAVAVVFTLIAAPPIAEAWGKQGHIMVCKIAEVPTFNSCLLLGCRLQNYLSEKAVAAVKELLPESAGGELSTMCPWADEVRFRYYWSRPLHYVNTPQVCNFKYSRDCHNSRGQQGMCVVGAINNYTEQLYSYGDSKTSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSDDISHWENCANKKATCANDYAIESIHLACNYAYKDVEQDITLGDDYFFSRYPIVEKRLAQAGIRLALILNRIFGEDTDGNAIYHYKSEVDG >LPERR01G01770.2 pep chromosome:Lperr_V1.4:1:1210788:1215639:1 gene:LPERR01G01770 transcript:LPERR01G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSPLLRLRLPTPLAVAVVFTLIAAPPIAEAWGKQGHIMVCKIAEVPTFNSCLLLGCRLQNYLSEKAVAAVKELLPESAGGELSTMCPWADEVRFRYYWSRPLHYVNTPQVCNFKYSRDCHNSRGQQGMCVVGAINNYTEQLYSYGDSKTSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTVSAVQRLDGWSDDISHWENCANKKATCANDYAIESIHLACNYAYKDVEQDITLGDDYFFSRYPIVEKRLAQAGIRLALILNRIFGEDTDGNAIYHYKSEVDG >LPERR01G01770.3 pep chromosome:Lperr_V1.4:1:1210606:1215639:1 gene:LPERR01G01770 transcript:LPERR01G01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSPLLRLRLPTPLAVAVVFTLIAAPPIAEAWGKQGHIMVCKIAENYLSEKAVAAVKELLPESAGGELSTMCPWADEVRFRYYWSRPLHYVNTPQVCNFKYSRDCHNSRGQQGMCVVGAINNYTEQLYSYGDSKTSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTVSAVQRLDGWSDDISHWENCANKKATCANDYAIESIHLACNYAYKDVEQDITLGDDYFFSRYPIVEKRLAQAGIRLALILNRIFGEDTDGNAIYHYKSEVDG >LPERR01G01770.4 pep chromosome:Lperr_V1.4:1:1213862:1215639:1 gene:LPERR01G01770 transcript:LPERR01G01770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPDNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSDDISHWENCANKKATCANDYAIESIHLACNYAYKDVEQDITLGDDYFFSRYPIVEKRLAQAGIRLALILNRIFGEDTDGNAIYHYKSEVDG >LPERR01G01770.5 pep chromosome:Lperr_V1.4:1:1213862:1215639:1 gene:LPERR01G01770 transcript:LPERR01G01770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPDNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTVSAVQRLDGWSDDISHWENCANKKATCANDYAIESIHLACNYAYKDVEQDITLGDDYFFSRYPIVEKRLAQAGIRLALILNRIFGEDTDGNAIYHYKSEVDG >LPERR01G01770.6 pep chromosome:Lperr_V1.4:1:1210788:1213816:1 gene:LPERR01G01770 transcript:LPERR01G01770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSPLLRLRLPTPLAVAVVFTLIAAPPIAEAWGKQGHIMVCKIAEVPTFNSCLLLGCRLQNYLSEKAVAAVKELLPESAGGELSTMCPWADEVRFRYYWSRPLHYVNTPQVCNFKYSRDCHNSRGQQGMCVVGAINNYTEQLYSYGDSKTSCKLSARTQL >LPERR01G01770.7 pep chromosome:Lperr_V1.4:1:1210606:1213816:1 gene:LPERR01G01770 transcript:LPERR01G01770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSPLLRLRLPTPLAVAVVFTLIAAPPIAEAWGKQGHIMVCKIAENYLSEKAVAAVKELLPESAGGELSTMCPWADEVRFRYYWSRPLHYVNTPQVCNFKYSRDCHNSRGQQGMCVVGAINNYTEQLYSYGDSKTSCKLSARTQL >LPERR01G01780.1 pep chromosome:Lperr_V1.4:1:1213106:1217014:-1 gene:LPERR01G01780 transcript:LPERR01G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESARSSVISSTARSLAFFLGGYHASTGTGTVEVGGGGWARVAGGRRQRVSEALSSNGRSTFVHLLVSSSSSSSMASLLLSSSSAPARVGMPATPLALRQNRPVMVAITRLPGGQLHRAGVAGAGAASTWERRPLRPDLAGGGRATLLRPRALLASSQIASCAFTWGTIAVLPFYTLMVVAPNADVTKRAVDSTVPYVALGLLYGYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIATHAVTKTGDGFL >LPERR01G01790.1 pep chromosome:Lperr_V1.4:1:1217792:1223840:-1 gene:LPERR01G01790 transcript:LPERR01G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLVGSSASASAMDPSHGWQKVTYPKRHRKQAPPPSSAAPDLTSSQANGKVNVFEAVDRNAEKRHRALLAARESADPDARIAAVTAAAYSDDEDDDSDEAAAAAKPEGEVKKPKVKKPKKPKVTVAEAASSIDAENLAAHLVQISESYENQQDIQLMRFADYFGRAFASVSAGQFPWAKMFKESPVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGPAVGAKGSKKAAQQTPRAQVAIFVVLAMTVRRKPEVLTNIMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKSSGNPQSRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFIRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQHLLPLCVKAMQENSADLTKEAADVFIWCLTQNAESYKQWEKLHPENIQASVAVLSNIATHWSELSPKFSAESLKATLKNLRAKNEVALEAATDSGKQASIKAADKYCKEIIGRLSRGATCLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >LPERR01G01800.1 pep chromosome:Lperr_V1.4:1:1232662:1241055:1 gene:LPERR01G01800 transcript:LPERR01G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLYAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRTAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKRFQSTVEKRLKHQKPKLIDKIELQEFSLGCCPPTLGDQGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARVVINSIHIKGDLLLLPILDGEAVLYSFESTPEVRIGVAFGSGGSQAAPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNIVRNTSNELGNRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNMVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGVIAKHTELCGQEVGMVVPFEDIRGELTVSLVLKEWQFSDGSVTLSNSLSNGSPSQLDISSKLQSRTGRKLRVAVVEGRGLAVNGKSGKCDPYVKVQYGKALYKTMTLSHTTRPVWNDKFEFDEITGGEYLKLKCYSADTFGDDSIGSARVNLEGLLDGDSRELWVPLEKVDSGEIRLEIEPIKNDFNGDLKSSSGRVGATWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLAPYWNQTFEFPETGEPLILHVKDHNAVLPTASIGNCTVEYSMLPPNHPAEKWIPLQGVRSGEVRVKITRKVPDLEKKTSLQTDASSLGKGHKISSQMRDSIKKFTGLVDEGGDPEAMSLALTEIEGIQDEQDLYMQQLEREKAVLLRKIQELGSEILRTSSGPPRTSY >LPERR01G01800.2 pep chromosome:Lperr_V1.4:1:1232858:1241055:1 gene:LPERR01G01800 transcript:LPERR01G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLYAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRTAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKRFQSTVEDKIELQEFSLGCCPPTLGDQGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARVVINSIHIKGDLLLLPILDGEAVLYSFESTPEVRIGVAFGSGGSQAAPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNIVRNTSNELGNRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNMVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGVIAKHTELCGQEVGMVVPFEDIRGELTVSLVLKEWQFSDGSVTLSNSLSNGSPSQLDISSKLQSRTGRKLRVAVVEGRGLAVNGKSGKCDPYVKVQYGKALYKTMTLSHTTRPVWNDKFEFDEITGGEYLKLKCYSADTFGDDSIGSARVNLEGLLDGDSRELWVPLEKVDSGEIRLEIEPIKNDFNGDLKSSSGRVGATWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLAPYWNQTFEFPETGEPLILHVKDHNAVLPTASIGNCTVEYSMLPPNHPAEKWIPLQGVRSGEVRVKITRKVPDLEKKTSLQTDASSLGKGHKISSQMRDSIKKFTGLVDEGGDPEAMSLALTEIEGIQDEQDLYMQQLEREKAVLLRKIQELGSEILRTSSGPPRTSY >LPERR01G01800.3 pep chromosome:Lperr_V1.4:1:1233588:1241055:1 gene:LPERR01G01800 transcript:LPERR01G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLYAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRTAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKRFQSTVEDKIELQEFSLGCCPPTLGDQGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARVVINSIHIKGDLLLLPILDGEAVLYSFESTPEVRIGVAFGSGGSQAAPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNIVRNTSNELGNRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNMVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGVIAKHTELCGQEVGMVVPFEDIRGELTVSLVLKEWQFSDGSVTLSNSLSNGSPSQLDISSKLQSRTGRKLRVAVVEGRGLAVNGKSGKCDPYVKVQYGKALYKTMTLSHTTRPVWNDKFEFDEITGGEYLKLKCYSADTFGDDSIGSARVNLEGLLDGDSRELWVPLEKVDSGEIRLEIEPIKNDFNGDLKSSSGRVGATWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLAPYWNQTFEFPETGEPLILHVKDHNAVLPTASIGNCTVEYSMLPPNHPAEKWIPLQGVRSGEVRVKITRKVPDLEKKTSLQTDASSLGKGHKISSQMRDSIKKFTGLVDEGGDPEAMSLALTEIEGIQDEQDLYMQQLEREKAVLLRKIQELGSEILRTSSGPPRTSY >LPERR01G01800.4 pep chromosome:Lperr_V1.4:1:1234216:1241055:1 gene:LPERR01G01800 transcript:LPERR01G01800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLYAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRTAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKRFQSTVEDKIELQEFSLGCCPPTLGDQGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARVVINSIHIKGDLLLLPILDGEAVLYSFESTPEVRIGVAFGSGGSQAAPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNIVRNTSNELGNRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNMVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGVIAKHTELCGQEVGMVVPFEDIRGELTVSLVLKEWQFSDGSVTLSNSLSNGSPSQLDISSKLQSRTGRKLRVAVVEGRGLAVNGKSGKCDPYVKVQYGKALYKTMTLSHTTRPVWNDKFEFDEITGGEYLKLKCYSADTFGDDSIGSARVNLEGLLDGDSRELWVPLEKVDSGEIRLEIEPIKNDFNGDLKSSSGRVGATWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLAPYWNQTFEFPETGEPLILHVKDHNAVLPTASIGNCTVEYSMLPPNHPAEKWIPLQGVRSGEVRVKITRKVPDLEKKTSLQTDASSLGKGHKISSQMRDSIKKFTGLVDEGGDPEAMSLALTEIEGIQDEQDLYMQQLEREKAVLLRKIQELGSEILRTSSGPPRTSY >LPERR01G01810.1 pep chromosome:Lperr_V1.4:1:1250073:1252149:1 gene:LPERR01G01810 transcript:LPERR01G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGSPLDLNNLPDEFGKQQVESSTTTAASSAEASRITKKKNNGGKDEAGKVYECRFCSLKFCKSQALGERETETLNRARQLVFGNDSLAAVGAQLKDVNMGGAGAAAPPPTLQMGGGFRAAGGGIAGDQCLPLRPVHPRLSPPQPPYHHYLYTTPPSATLHPMSYPATYPAPPRQAPAVGDYVIGHAVTAGDALVPPHRGVGGFSCFGAPLTTAAAANVQPADNANCNCSFGCGHNRNNVNAAS >LPERR01G01820.1 pep chromosome:Lperr_V1.4:1:1261645:1264448:-1 gene:LPERR01G01820 transcript:LPERR01G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNATGSSSCCSRVAASLHAQVFGSPASSHGYGGAIAGDGDCCLAPPEMLQPALTTPAPSPSLLHALASLNVLLLFAYVILFLLAKLAGRLIHRRDDGCERRATEIFERDEEFAGGADIAGGQQQQFWFDEAVFEDSALLGDEGKDQLLYTSATPARCLQVETTFLPTKESTRSRISLHQHHHSFSQEQPTDVIPAAANDDTPAAAAASPENVAGSNGEEEDDHKEEDDDEKRFVREGDVKLFVNNRALADTKKLLLERSMAAGKPGGGTIQREEEKESCRLGSSLLTSESTSKSSVEWRSSTVTRESDTEYPFSSSSRRSSSRWESYTLFRKYDEDMVYFHRVGAQKLTETDSFRSIKHQPRSVSERIVQKLTPKPRAPIGLRDPYPDLERAYVAQICLTWEALNWNYATFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEIYARMKNSSPKLLIVPEFRDEDDEKDDLISAVQFLYILEEAIRTFMVFLRADKRSHYQMFREMVKRRTSAVDQTIVITLKKANKKKKSRLKDLTRPRRCLRRTKLRVEEEMSILLGLIDLKVVARVLRMPEITDQQLHWCEEKMNKVRVDPAQGKMQRDPSPLFYPAH >LPERR01G01830.1 pep chromosome:Lperr_V1.4:1:1274332:1276167:1 gene:LPERR01G01830 transcript:LPERR01G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGRRSGGAGTGVDHAGIADLHRIDTNSRAKFKRPGVAGGRSDNNHHAAAAFELVFSKPAAAMAVDVIRQAQPLNWAPGAFSFHETTAAASDGAVPPESEDDHSNGGDTSDTVDGSHVSHVSQTSEPEPRSAAVTEVHDAGLDLTLGLPPAPVKKTEPADSDSSRHDRMTEKPVVIGLAISSSSKVAAQ >LPERR01G01840.1 pep chromosome:Lperr_V1.4:1:1289101:1294497:-1 gene:LPERR01G01840 transcript:LPERR01G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGEKEVGETAAAAEGWRLSVNNFQLPERPKDPHFVKRVIKRCHGKHRKIAKYYKKQESLLKDFSEMENMNEVGSLDQNAPTEEELRQMAKGEKLAINLSNIINLVLFIGKVVASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITNEHQVFDHRKELWMIGSMSSVAVIKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >LPERR01G01850.1 pep chromosome:Lperr_V1.4:1:1312131:1317831:1 gene:LPERR01G01850 transcript:LPERR01G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFTISSSSSSRSISCIIWCLLLFLTLASSNGVIASAPPKVGFGYKLVSLVERQGALVGYLQVKQRTSTYGPDIPRLRLYVKHETNERIRVQITDADKPRWEVPYNLLHREPSPTVTGERITGAPFAAGEYPGQELVFTYNHDPFWFAIYRKSSHETLFNTSYSPLVFKDQYIEASTILPKDASLYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRNRGGHGIAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEAVVDGYHNAQIPLDVIWNDDDHMDAAKDFTLDPINYPRPKLLAFLDKIHSQGMKYIVLIDPGIAVNNTYGVYQRGMQKDVFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHELVPVDGLWIDMNEASNFCTGKCEIPKDHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHQALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRWSISTMLNFGIFGMPMVGADICGFYPAAPPLEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVARSARNALGMRYRLLPYLYTLNYIAHLTGGPVARPVFFSFPDFTPSYGLSTQFLLGDAVMVSPVLEKGVDSVNAVFPPGSWYNLFDTSKVVVSHGENVELDAPLNEINVHVYQNTILPMQRGGMMSKEARSTPFTLVVAFPFGAREGDAEGAVYVDDDERPEMALVDGEATFVRFFATVRGKAVTVRSEVEMGRFSIEKNMVIEKVSVLGLEGTGRDLAVNVDGDENAIAASRNPYFERGVDVEGHRDMEGHKKSVMVEVGGLALPLGKSFTMTWNMQIEA >LPERR01G01860.1 pep chromosome:Lperr_V1.4:1:1325966:1326445:-1 gene:LPERR01G01860 transcript:LPERR01G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKLQEADGAVAGNTNPKPQRRAKQTRQPKPSTAAAKKSAAAAVAAATVASAAAALSSPVEELPPTVPDVCGGAGNQAGAATATVEWDLDGGSSWWTFGVEEEKLLGWFPFVEEDFGSCLAGGGRAGDAEMAFDDDIWRIHQIYEIPNYAAK >LPERR01G01870.1 pep chromosome:Lperr_V1.4:1:1351877:1352425:1 gene:LPERR01G01870 transcript:LPERR01G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSSNNNKRARDAAVADAGDDEAAKRLRPEDLLDMLDDDTDAAAGGDLASVMRSFEEEIAAGDGGDLLAPTQPELGFLLEASDDELGLPPATASSSDEDAGNAGQAADDGDAFGGQIWGFEDEIGYAGFALSSPEAAAAAAAAAEWDDDGFDAGLFGFADEACGPSDLAALRQETMPAV >LPERR01G01880.1 pep chromosome:Lperr_V1.4:1:1358849:1361095:1 gene:LPERR01G01880 transcript:LPERR01G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQILLNKVMAKKPKTKRLSGFGLKPSAGFSRPQPPSSPSPAATFAAGLQPRRRVRVVFEDPDATDSDSDDEEDAAGAPKKRYFELFIGKPPTKLTTPPSTMAAYAAVGKAGCASYRGVRLRKWGKWAAEIRNPFTGHREWLGTFDTADAASAAYQSASRSFAEEKRRRGQRVASSASPASSAALTPTASSASSSASAAPFAHPSPSSVFEATNSAPKPQSPEQAAAAAIPNLDATNETADLPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDVSDDMPAYMDGEQDFALVTEDMLLGDFAEEDIDLDLDDIDEDFVEEFPDIPSGFDLGLGRGMDDLFRQVDFCV >LPERR01G01900.1 pep chromosome:Lperr_V1.4:1:1369804:1370848:-1 gene:LPERR01G01900 transcript:LPERR01G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLMVILVLQAVLVMGIFAAVSKENAVGENKGNRETNGGKLKCCSKCNFSFSGLYTCDDIVKKCDPVCKSCAVVKTHPTKMFQCTDTFLGMCGPSCKKH >LPERR01G01910.1 pep chromosome:Lperr_V1.4:1:1371062:1375211:-1 gene:LPERR01G01910 transcript:LPERR01G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPDPSSGGGADDGRAASEEPGDVCQRRARSNGGRRAECVPATRSSGGSRVRRRRRTGGDRGGVNVDSGATSVAVGCEVHDGVDWWGVAEIGAASTWIRETTAVGRAGLDDERRRSTAARGEVDGSRRASQLFSLKK >LPERR01G01920.1 pep chromosome:Lperr_V1.4:1:1376096:1377089:1 gene:LPERR01G01920 transcript:LPERR01G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAVQAVLVMGIFSAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKNCAVVKTYPVKMFKCTDTFLGMCGPSCKKY >LPERR01G01930.1 pep chromosome:Lperr_V1.4:1:1378874:1382417:1 gene:LPERR01G01930 transcript:LPERR01G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLSGAIDPHQAAALLRLKESFYLAKSPVILPSWRDGTDCCTWGGVGCDASSRLVTILDLGGYGLHSDGFDPALFSLTSLRHLDLSMNSLGTTKDAKFGWLTLLTHLNLSNSGLDGQIPMGINKLANLVSLDLSNRSILHNSDNGILFYETDDEIIFTPDYEMHLHESSLLALVANLSNLRELYLDWVDMSRNVDDWCKAIPQSVPRLQMLKEFGLEGKLIYMYFLTSIGPIGSLCHLELFNMELLGDAGLNLLSWIGDLENLTSLVLFEFDFSGVIPSSITYLKNLRSLTMYDCNLPRPILSAIGNLVDLQSLAMSNCKTYGSMPPSIGNLTNLKSLYINNPGLSGPMPAAIGNLKSLKSMGFSICNFAGPIPSAIGNLTMLQTLEISFCQFSGPIPYSIAQLKELRVLIIDWCDISGRIPNSVVNITRLIYLRLSANCLSGKIPTDLFTLPALRILSLRDNQISGPIEEFDAVSSALVGLSLNGNELTGEFPKSLFLLNSLLDLRIDSNNLSLWKLLFLGLSYNNLSVIDGGDLRENHFEGILPFNITSGCALQTIDFNGNRFKGQLPKALLNCSSLNVLDIGNNLIVDTFPFWLRGLSVLQVLILRSNQFYGSLGVPKEEKSGKKFPSLQIIDIASNNFSGNFHLQWFDMFEPMQRYNNTGQLIEHENLSTGSYQDTITISYKGFSVTFQRILTTLTAIDLSENSLEGSIPESIGELVSLHALNLSHNAFSGEIPPQLGGMTALESLDLSSNQISGEIPQELTNLTFLSILNLSYNELEGKIPQSRQFATFGNSSYKGNGRLCGKPLPECGSLSAPVAEPRAESSSEHVDIVMFLFVGVGFGVGFAIGILMKKSWISRWFHSEVSR >LPERR01G01940.1 pep chromosome:Lperr_V1.4:1:1384781:1385482:-1 gene:LPERR01G01940 transcript:LPERR01G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPEMIGPRISFSHDGVVVATAPATTIRSDTSLMSPSSSSSRRRRQPEPEFDFANAAAADVAPADRLFAGGKLLPVPPLPPIHPNSTISGGVKPPPSPPTSYQRRPGSWASPFTRSCSVNSSTTTAAATAAPRSAFSCPAFPLMRSRSAGSAANGGGGFVSGAGGQRPQHKKAYYYGGSRNNGSNSGHGVRVSPVINVPAIGTSMVNVLSYLLCDCGNKNTKNRGFGVNC >LPERR01G01950.1 pep chromosome:Lperr_V1.4:1:1389726:1391829:-1 gene:LPERR01G01950 transcript:LPERR01G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKHHAAVDLSEEEHGGGGDPGVVISDEVVGEEALTEEEGSSAAVDNAGHGAASEVAKNDGLEQPSPESEGITAVAGGCGGSDGDDSGGPMDEHLEVPKNPMANRFAFEATDANAITYRRMLSRRRRNSGPTRFVAAGDSAEESPEEASAMADADNGDSRGREKKKDLELVEQQGEDRVVSGHLAANAIPPSTFRIRPTRSRKQSSPTRFIVREATPPLPPIDSAPAAASSSRRDSSRSRKKRRPERFIPEEGEVVARAKARRSGIALDGFITSQINSQSESMNEWERDVTAADVSAGGVGGQRERRDGITGDRACCCSITVSDEPGETAEPADDSRTIYSILAVLGASLALTMLACVLFYVIGMEPPPSDQKQEA >LPERR01G01960.1 pep chromosome:Lperr_V1.4:1:1398812:1399302:-1 gene:LPERR01G01960 transcript:LPERR01G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNKTGSHRNRRPHLQQLVLEASSRKTTKLVRMHHCVQNLLNQCGDKNQSSGKSCQLLSIPPSSFRFLNYFLFFACHDSLCSLLNQCWHVEGKTFPRHQIICG >LPERR01G01970.1 pep chromosome:Lperr_V1.4:1:1402809:1404748:-1 gene:LPERR01G01970 transcript:LPERR01G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASGSGGAAGPTAVAAAAAVQKQKTLLQKADADVSSLVDNFSALINIARVNDPPVRNSQEAFQMDMRGARMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEVLSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVARTPPYD >LPERR01G01980.1 pep chromosome:Lperr_V1.4:1:1412528:1413325:-1 gene:LPERR01G01980 transcript:LPERR01G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASPRLKLFGFHVSEEETSMEHELEQENTLACGGGGNGGSDSSSSSTTTTTTATAVGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAVAGAGTLYPRGANPMVSAFAPTPHLLGGAGAGEVGPTSWVYFSPRAGAAVAGGAQGQQFHVSHGCVFPSSRGAGGVPAASPAVFSYTPASSAVAAASAAAAPYVAEVDHHGRRVLHHHARYPGPAMVVPEPVAAVPEDVNVLGLDLQLSLAPAGL >LPERR01G01990.1 pep chromosome:Lperr_V1.4:1:1422380:1427849:-1 gene:LPERR01G01990 transcript:LPERR01G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPSFARLSPPTISPRRRLPLLSTSSPCTRIHLRRRRSIAVVSSVPDPAAARPAEYTPWLIAGLGNPGNKYHGTRHNVGFEMVDRIARDEGIVMNTIQSKSLLGVGSIGDVPILLVKPQSYINYSGEAVGPLAAYYQVPLRHILVIYDEMSLPNGVLRLQRKGGHGRHNGLQNVMEHLDGSRELPRLSIGIGSPPGKMDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHST >LPERR01G02000.1 pep chromosome:Lperr_V1.4:1:1428336:1431141:1 gene:LPERR01G02000 transcript:LPERR01G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVVVAGEESRARRGGLDRQLPLLLRRRRPSRRRRGMPMAASPRPIVQQNQRREKKQWILAPPLPPQSQQKKQGLGEVVGAGSAALVSSSAASTEMEEEVWARERAAEEETKE >LPERR01G02010.1 pep chromosome:Lperr_V1.4:1:1435032:1440817:1 gene:LPERR01G02010 transcript:LPERR01G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRSAPFVGGGGGCAGGVLLHLLDWHRRLARKRRLFSPRRLLPSALRSSPRRLPSPSPIAPPPPPPAPSAAAAAADGVAPGVVARLMGLESWPSAGPPPPARRPQKQRKVDVDLPSAPAPPRDGDGDESADVAVVLHPSHQRPPPLSLSPLARSHHGADLPARSPRRSRFVHAAATRLLEPGARATSRASARLALAYACSSPQHRIESMDGPLQSSSLPDDFLSRSDGLPLERSSRFQPVAVPVSPPVLPAETEWDNGIASSRHEKHCVDTMRSSDALDAVSGDAIVVLRSYFDDANTSRGSSEVDTMHKDRNVRTDRLSNCSRIRSSGAGVRRTGEDRLLRKRGTHSLQDVAGNVGSGSLVRSAHPASGSNREMVSDRRAAHHGSGQRRDLMGTITTQRSTRREVIGSSNPQRSTRRSSIDRSGLASTSTGRITVNSTASGQKRGSSKKVGRETTVSNREINKPVALASSSSVSPFTRASSQRKVSEKRGCRKTQVISTSCTRLPVVESSPSVVGSSEKVEFNRLLKAKINELGLSDRIESSDALSGKLTASILQDLISALTNDTNTSDSQDSNYSNAYNSQHGNYSDEADLLNNVRSACNSNDRSPDFRNCYQRDQEIDSSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPAEKFEGKEYFVSIENKMEDLFNLESDIVDLAMSIDTTKTDAEEIFNGNGKMSSVHNLTAHDFKLLEARLHSIGEAISNAELLLDSSPLCTTTSNLSLHCFIIEMVETIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESINSKFCDFGKCGYKAWLRLPLSLTEDLLKREISEEICNLRDTRGTTPNRVAEKELDRMAASWDACQEDAFDISIAIENDILEALVDEFAFDQW >LPERR01G02020.1 pep chromosome:Lperr_V1.4:1:1440069:1440626:-1 gene:LPERR01G02020 transcript:LPERR01G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSKPWNRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKRFGYKTHRHYNYAYVGEYQFSPSGSPLIAYPPGVVSSWRRAARKRRSKARMILASLLCGGDGYGGGDLDVAVLDGLPRADEPLAVEWEERPRDGESYGEEDGDEEEEDEGIDGRAERFIERFYEEMRLQRQRSLLQRLL >LPERR01G02030.1 pep chromosome:Lperr_V1.4:1:1443749:1455679:1 gene:LPERR01G02030 transcript:LPERR01G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAVKCGGQHHVVVTARGGDRRSPAIAFAKPPAAAAAVRMPDRVLCCGMRSRGADLAGGVEMAAGPQGGVAGLFRPRSSPRYARVRATASVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFMLDAIPLAVGAFLSATAQDVRTMIIGRLLAGIGIGISSALVPLYISEVISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISVVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKASGQGSSEPDASWLDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDRQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYAGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERALSSANTVKTFSPEQSHHRIAGVEMGTPSYLLAAAITIPSTDEFLVVRQPPPPAEEDEEYRGYVDSELYDLPSAPLRPLAGELRSDVAVHGADSVAGRLDLSHLDVSAALDQICDQFGLPSGMCGEWRLLKYVEEAEFGPGAGINTVLIIGSLESKLEGLQESCKWMTKECALGLLSEAKPGGTRIGPYTYIGLLKPELPSPALPSQEYPPGITLVPMKSRTLQPFRTTNLVVVQATDASGGSACSDCFASGDALLIDPGCCSQVHEKRCNPDAVLLTHKNTMSRIGKGNWSIGYTAVAGGEIICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKEYFQTTYKFLDMSPHVLIPMHGRMNLWPKHMLCGYLRHRRAREASILQSIENGARTLFDIVSMTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSSEKFEGSCGINFMLRWALVYLQARSSPAILAATTLAGGLAVAYALKRKSGD >LPERR01G02040.1 pep chromosome:Lperr_V1.4:1:1456720:1458105:1 gene:LPERR01G02040 transcript:LPERR01G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNKPMVTSHPGSRLLKAVLLLLLFPLGFIMGMISMANFPHFYESPIIPPILLSSSLAPSPSATPMPTPTPPSPSPETQCLCPPPLSSTPTPSSPSPPPAERPATPRGLMSFITPSGVMHDMTDDELLWRASMAPKVSRTPYTRVPKVAFLFLVRNQLPLRPLWEKFFAGQKHELYTIYVHSFPPFAATLPTDSVFHGRMIPSQRTTWGDANLVEAERRLLANALLDQSNERFALLSESCIPIYDFPTIYTHLTGSNNSFIDCFDNASARVRYKPDIFTPHNITISQWRKGSQWFEMDRALAVEVVSDEAYFPAFRDCRRCVIDEHYIPTLVSILGWRRNANRTLTYMEWQPKNPHPRRHGAEDVTVELLMKMRGGAGNNCTYNGAASNVCFVFARKFSPDSLGPLLELAPKIMGFG >LPERR01G02050.1 pep chromosome:Lperr_V1.4:1:1460131:1463681:1 gene:LPERR01G02050 transcript:LPERR01G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSGSNLIKAVPMLLLFSSGFILGMISIANFPALSPMLLISLVPSSPPIPPPSPSPESTPPCVQPPLASSSPTPASPMGLTAFLAPSSVMHNITDDELLWKASMVPKVSRTPYSRVPKVAFMFLVRAQLPLRPLWEKFFAGHDKGLYSIYVHSDPPFAASLPTDSVFYGRMIPSQKTTWGDADLVEADRRLLANALLDQSNERFALLSESCIPIYDFPTIYTHLTNSNNSFVDSFDNAGARVRYKPNLFLPHNITISQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCASRRSCLIDEHYIPTLVGILGWRRNANRTLTYVEWQPRQSHPRNHGASDVTVELFRKMRGGGNCLYNGVVSVICFVFARKFSPDTLPPLLEIAPKVMGFG >LPERR01G02060.1 pep chromosome:Lperr_V1.4:1:1465361:1467539:1 gene:LPERR01G02060 transcript:LPERR01G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGRDGGGENLQLPLLFLSTLLLPCVACYAGSLDGDVAALSEFRLAADKSGAALASWDLATNPSPCGTWRGVTCAGGRVTRLVLESFGLSGEAALPALARLDGLRVLSLKENGLAGAIPDLSPLAGLKLLFLAGNSLSGPIPPSIGSLYRLYRLDLSFNNLSGVVPPELNKLDRLLTLRLDSNRLSGEIDSIAALPVLQDFNVSNNLLTGRIPAAMARFPATAFAGNVGLCSAPLQPCANASATPPCPPAAAMVASSSPSAKPAGAATSGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGAAGVVTAAGGGGGGGTFERGKMVFLEDLSANGGKRFELDDLLRASAEMLGKGGCGTAYKAILGDGTVVAVKRLRDATASAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGKPSKNSPRHAFRNRGPGRTPLDWATRMRIAAAAARGVAYIHHASRRGGGGGGGGTARLAHGNIKSTNILIDKSGVARLADCGLAQLGSSPAASAARSAGYRAPEAPPPPRPWASQKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCASAAPEQRPKIGFVVKMIDEIRSCGEEVSPSHADESMDESSGVSVSDSPAVSEGGAVSQ >LPERR01G02070.1 pep chromosome:Lperr_V1.4:1:1476732:1480748:1 gene:LPERR01G02070 transcript:LPERR01G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFGGRTGDYLRQFVDETTWYNEIFLSHVVPGDWWRGLPHPLQSWLRNCLGGYLLYFACGFLWCFVIYYWKRHSYIPKDSVPTVEAMKKQIIVASKAMPLYCALPTLSEHMVESGWTKCFFNISEVGWPMYLTYVALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAVPHVFALFLIPTHLRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPENILKKD >LPERR01G02080.1 pep chromosome:Lperr_V1.4:1:1482672:1484918:-1 gene:LPERR01G02080 transcript:LPERR01G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAANSSNLAERGGSRSGRANSTPPPRRAVVRRRLASSSVTTSCFVPKHSPPRSPRSHRRTRHAGAGATRRAPDLAMGHTRFILPPDFS >LPERR01G02090.1 pep chromosome:Lperr_V1.4:1:1491198:1493989:1 gene:LPERR01G02090 transcript:LPERR01G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYEQDPDQPNDKRVRRLPSFSTVIREAVMVKQVQTLFVALEPLLRRVVQEELQAGLIRSPRYIERSSPETPTAEPPMWRLAFRHKPMLPIFTGSKIEDINGNPLEIILVDVNTGAPATMSQALRVEVVPILGDFPPEDRDHWAAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRKFRIGVRVVPGSYDGPRIGEAMTEAFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLMVKPDDLRAIMGDGMTDRMWEVTTSHAKTCLPGDKVYMYGTQHGTVYVNSICQLVKIEIAGVEYQLHQLNKMQKMYVQQMLMEAYEHRNNLQEAEADSMTLNCNDVPLLQNAAQITIPAIAETPLWLQNSLNSQEIDFQIDEVPQASFALQWTSQMYNITG >LPERR01G02090.2 pep chromosome:Lperr_V1.4:1:1491198:1493999:1 gene:LPERR01G02090 transcript:LPERR01G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYEQDPDQPNDKRVRRLPSFSTVIREAVMVKQVQTLFVALEPLLRRVVQEELQAGLIRSPRYIERSSPETPTAEPPMWRLAFRHKPMLPIFTGSKIEDINGNPLEIILVDVNTGAPATMSQALRVEVVPILGDFPPEDRDHWAAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRKFRIGVRVVPGSYDGPRIGEAMTEAFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLMVKPDDLRAIMGDGMTDRMWEVTTSHAKTCLPGDKVYMYGTQHGTVYVNSICQLVKIEIAGVEYQLHQLNKMQKMYVQQMLMEAYEHRNNLQEAEADSMTLNCNDVPLLQNAAQITIPAIAETPLWLQNSLNSQEIDFQIDEVPQASFALQWTSQMYNITG >LPERR01G02100.1 pep chromosome:Lperr_V1.4:1:1495948:1504741:-1 gene:LPERR01G02100 transcript:LPERR01G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASIMACTQEEVFDVNLLENSTLEDGLAGWSPVGSCTALSVHNEHPDNVPTETINTVPDDYKPSGRYILAANRAGEDDGLRRPVSGNLRPRLTYRVAGWISLGDNVGESCEHPVRVNLRLDDAGIDDGDGDVVEGGAVCAKAGRWTEIKGAFRLKASPCGATVFVQGAPAGVDVKVMDLQIFATDRKARFRKLRKKTDKVRKRDVVLKFGGAGTISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQQGQINYNDADALLDFCDKYGKPVRGHCIFWAVDNVVQQWLKGLDHDTLMSAVQGRLQGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVECGNDPNATPEKYIEQIGALQQKGAAVGGIGLQGHVTNPVGEVICDSLDKLATTDLPIWLTELDVCESDVDLRAEDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLINSDGTVNDAGERFIDLRREWTSHARGHIDSDGHFKFRGFHGTYVVQLSTGTGKMHKTFTVEKGDTPLVLDMDETTLLAMNHVEHCHGLAGWTPSGSCKLSVHDDPAPEMPPPPPLSATEDDDADEHKPKKPTGRYVLAARRAGEKDGLCRELTRAPAPNVTYRVAGWVGVEGARAAADGGCHAVHVEVRTDDGRPVGGGVVVAREGEWGEIMGSFRVDDENSGFATKVFVHGPPAGVDIKVMDLQVFAVNKIKRLRHLRKKTDKVRKRDVVLKLGKQANGAAIRVVQMENSFPIGACINKTAIQNPAFVDFFTKHFDWAVLENELKWYYTEAVQGQVNYADADELIAFCDRHGKPVRGHCIFWAVENSVQQWRLGDDDVNARMFRETSRIDPSPTLFVNDYNVESGNDPNATPERYVSLITDLQRRGAAVGGIGVQGHVTHPVGDVICDALDRLSVAGLPVWVTELDVSASDEAVRADDLEVVLREAFAHPSVEGIMLWGFMQGNMWRSHAHLVDADGRLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQVTTGAGEMKHQQFDVDKGEGALVLDMNL >LPERR01G02110.1 pep chromosome:Lperr_V1.4:1:1508293:1508829:-1 gene:LPERR01G02110 transcript:LPERR01G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTTENHHHQQQQQKAPKPTAMAPDAEIERVFIRFDADGDGRISPSELAAVSRAIAPPPSESAGGREVAAMMDELDTDRDGYVDLGEFAAFHGRGRGDAEREAELRAAFEVYDVDGDGRITAAELGKVLGRIGEGCSGEECERMIASVDVDGDGCVGFEEFKKMMCRDGAGKAKTE >LPERR01G02120.1 pep chromosome:Lperr_V1.4:1:1510876:1512161:-1 gene:LPERR01G02120 transcript:LPERR01G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLNNLTWGSLGSLLATAVVVRTAVHDVLPAEAHAFLRSLLSRAAAAAFSPPRDAIIINETDANGVPNELYDAAQLYLGARCLATASTLHLHKTHGGAVVASLPDSHATHDTFRGRGYLLHGPPGTGKTSLVAAMANLLEFDIYDLELTTVSSNHELRRLLASTRPKSVIVVEDVDCSLALFDRTRSPHSHDNSTEVATQLDTISLSGVLNFVDGLWPSCVGERLIVFTTNHIDRLDPALLRPGRMDRKIELGYCKAPALRVLAKNYLAGDGEEDDEEELMGEAARLLEEVEVTPADVAEVFMGCDGDEGAHLALRKLVDELNRRAVHGPV >LPERR01G02130.1 pep chromosome:Lperr_V1.4:1:1514346:1514795:1 gene:LPERR01G02130 transcript:LPERR01G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPLSVDFWADADPFGTVRALAGRCPVLTNVRVDWKETPTAHVFKADLPGVRKENAKVEVEDGGVLVISGERARDEDGKKDERWHHVERSSGRFERRFRLPRGAVRVDQVSTSMDDGVLTVIVPKEEAKKPQVKPVHISG >LPERR01G02140.1 pep chromosome:Lperr_V1.4:1:1521842:1522297:-1 gene:LPERR01G02140 transcript:LPERR01G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSIVPSAATSDRDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLDNGVLTVTVPKAEVKKPEVKAIEISG >LPERR01G02150.1 pep chromosome:Lperr_V1.4:1:1524378:1524830:-1 gene:LPERR01G02150 transcript:LPERR01G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >LPERR01G02160.1 pep chromosome:Lperr_V1.4:1:1530855:1531301:-1 gene:LPERR01G02160 transcript:LPERR01G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATLYRPRVKSFWVLVRRLLFRHRRSSSKSYKPDDDGEKSSLLLTGRSSLEELLVSDDDDAGDDGGGIIIDAAVFCRSSSPCGKKDGDHAPAAMHPPVVTRPDGTVTMTSGGGGAVLYRRRFMFGGFRRRLLMRRPWRPVLVAIPE >LPERR01G02170.1 pep chromosome:Lperr_V1.4:1:1533906:1534256:1 gene:LPERR01G02170 transcript:LPERR01G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTSNTDAAAMAQLNKESVLPSCTKLARESGERNCLPPLKWRLGKWEDSKLQAQT >LPERR01G02180.1 pep chromosome:Lperr_V1.4:1:1536122:1546023:-1 gene:LPERR01G02180 transcript:LPERR01G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLILPFLASLLLPFCHAECEPSTCGDITVRYPFWLGGPNLSRSSSSSSSPAASCGHPAFEVWCTSGVASLRGSQILVLTIDYTNSSFIAAHKRVADGGDGVCRTDFNISSSLALSPFTISGRNRAICFLYGCSNGSTVRITEPGIVNATSVCTRPIYAHLGGSYDRDRPPAIETTGNCTFSYLPVLWPDAPASLTPGVNYSPSKSPLGFQCILLLPTLSLILSAATAAEAQACKVGTCGDMRILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGLPFSINDVNQKLVFYNCSKALAPAERSELGLFETKCRNNTFARLVGRYDDDESGYALDSCYAVIVPVLGREGKAKVSNYEKLISGGFLLSWQPPHQPVLMLTTAAKGAEEEGARGGCPDSRRCGNLTISSPFWITQSQTDRPCGALDFQVDCNYSTGIATLRSSSDFGFEILHISYGERALLAFDYHKLLGLRNNTDCRLPGWNTSAKLALPFKISPANLNLILYNCATAPAPERREQLGLVETRCRNNTFARLGERYDNQSSNEEYNLEGCSPAFLPVFDKPGSEANASSYEDLISHGFLITWELPVPPGLQQSGKFTLAETTRIKLFYHSMPPPPLFLLFAYLVLAAADTRPDQEDCPASTVCGKVIISKPFAVMPEQATENRCGWSIGFQVICHNNTPYLGYYQSSHQIQIIDIFYDNTSLLVSDIHKLGDSSGRRRSDPCHVPTVNTSSKIGLPFSISSTNLNLFLYNCNNNKTRGRDDVEMRCSSSNRTFGRVGGRYYGGDYAAFYLQGCNATVVPVLGTGTRSYERLIRDGFLLTWQQPLPSSGTKMKTTTKLAIGLGAGGGTFMIIFIFILAWNKFKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNELQTIFTDLVQQNKASHGL >LPERR01G02180.2 pep chromosome:Lperr_V1.4:1:1535433:1546023:-1 gene:LPERR01G02180 transcript:LPERR01G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLILPFLASLLLPFCHAECEPSTCGDITVRYPFWLGGPNLSRSSSSSSSPAASCGHPAFEVWCTSGVASLRGSQILVLTIDYTNSSFIAAHKRVADGGDGVCRTDFNISSSLALSPFTISGRNRAICFLYGCSNGSTVRITEPGIVNATSVCTRPIYAHLGGSYDRDRPPAIETTGNCTFSYLPVLWPDAPASLTPGVNYSPSKSPLGFQCILLLPTLSLILSAATAAEAQACKVGTCGDMRILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGLPFSINDVNQKLVFYNCSKALAPAERSELGLFETKCRNNTFARLVGRYDDDESGYALDSCYAVIVPVLGREGKAKVSNYEKLISGGFLLSWQPPHQPVLMLTTAAKGAEEEGARGGCPDSRRCGNLTISSPFWITQSQTDRPCGALDFQVDCNYSTGIATLRSSSDFGFEILHISYGERALLAFDYHKLLGLRNNTDCRLPGWNTSAKLALPFKISPANLNLILYNCATAPAPERREQLGLVETRCRNNTFARLGERYDNQSSNEEYNLEGCSPAFLPVFDKPGSEANASSYEDLISHGFLITWELPVPPGLQQSGKFTLAETTRIKLFYHSMPPPPLFLLFAYLVLAAADTRPDQEDCPASTVCGKVIISKPFAVMPEQATENRCGWSIGFQVICHNNTPYLGYYQSSHQIQIIDIFYDNTSLLVSDIHKLGDSSGRRRSDPCHVPTVNTSSKIGLPFSISSTNLNLFLYNCNNNKTRGRDDVEMRCSSSNRTFGRVGGRYYGGDYAAFYLQGCNATVVPVLGTGTRSYERLIRDGFLLTWQQPLPSSGTKMKTTTKLAIGLGAGGGTFMIIFIFILAWNKFKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVTLYGCTSRVSRDLLLVYEYIPNGTVADHLHGPRAAEQGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDDAFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSDINLASMALNRIQNHEVDQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDNRPRIKEVVEVLHCIKDGECPAKKMNKDASPKEDSHLLRDSSIQYSPDSVIHRFHSQSTNHSVASNSSG >LPERR01G02180.3 pep chromosome:Lperr_V1.4:1:1539134:1546023:-1 gene:LPERR01G02180 transcript:LPERR01G02180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLILPFLASLLLPFCHAECEPSTCGDITVRYPFWLGGPNLSRSSSSSSSPAASCGHPAFEVWCTSGVASLRGSQILVLTIDYTNSSFIAAHKRVADGGDGVCRTDFNISSSLALSPFTISGRNRAICFLYGCSNGSTVRITEPGIVNATSVCTRPIYAHLGGSYDRDRPPAIETTGNCTFSYLPVLWPDAPASLTPGVNYSPSKSPLGFQCILLLPTLSLILSAATAAEAQACKVGTCGDMRILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGLPFSINDVNQKLVFYNCSKALAPAERSELGLFETKCRNNTFARLVGRYDDDESGYALDSCYAVIVPVLGREGKAKVSNYEKLISGGFLLSWQPPHQPVLMLTTAAKGAEEEGARGGCPDSRRCGNLTISSPFWITQSQTDRPCGALDFQVDCNYSTGIATLRSSSDFGFEILHISYGERALLAFDYHKLLGLRNNTDCRLPGWNTSAKLALPFKISPANLNLILYNCATAPAPERREQLGLVETRCRNNTFARLGERYDNQSSNEEYNLEGCSPAFLPVFDKPGSEANASSYEDLISHGFLITWELPVPPGLQQSGKFTLAETTRIKLFYHSMPPPPLFLLFAYLVLAAADTRPDQEDCPASTVCGKVIISKPFAVMPEQATENRCGWSIGFQVICHNNTPYLGYYQSSHQIQIIDIFYDNTSLLVSDIHKLGDSSGRRRSDPCHVPTVNTSSKIGLPFSISSTNLNLFLYNCNNNKTRGRDDVEMRCSSSNRTFGRVGGRYYGGDYAAFYLQGCNATVVPVLGTGTRSYERLIRDGFLLTWQQPLPSSGGALRAKSSVNLSNLLINQ >LPERR01G02180.4 pep chromosome:Lperr_V1.4:1:1538925:1546023:-1 gene:LPERR01G02180 transcript:LPERR01G02180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLILPFLASLLLPFCHAECEPSTCGDITVRYPFWLGGPNLSRSSSSSSSPAASCGHPAFEVWCTSGVASLRGSQILVLTIDYTNSSFIAAHKRVADGGDGVCRTDFNISSSLALSPFTISGRNRAICFLYGCSNGSTVRITEPGIVNATSVCTRPIYAHLGGSYDRDRPPAIETTGNCTFSYLPVLWPDAPASLTPGVNYSPSKSPLGFQCILLLPTLSLILSAATAAEAQACKVGTCGDMRILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGLPFSINDVNQKLVFYNCSKALAPAERSELGLFETKCRNNTFARLVGRYDDDESGYALDSCYAVIVPVLGREGKAKVSNYEKLISGGFLLSWQPPHQPVLMLTTAAKGAEEEGARGGCPDSRRCGNLTISSPFWITQSQTDRPCGALDFQVDCNYSTGIATLRSSSDFGFEILHISYGERALLAFDYHKLLGLRNNTDCRLPGWNTSAKLALPFKISPANLNLILYNCATAPAPERREQLGLVETRCRNNTFARLGERYDNQSSNEEYNLEGCSPAFLPVFDKPGSEANASSYEDLISHGFLITWELPVPPGLQQSGKFTLAETTRIKLFYHSMPPPPLFLLFAYLVLAAADTRPDQEDCPASTVCGKVIISKPFAVMPEQATENRCGWSIGFQVICHNNTPYLGYYQSSHQIQIIDIFYDNTSLLVSDIHKLGDSSGRRRSDPCHVPTVNTSSKIGLPFSISSTNLNLFLYNCNNNKTRGRDDVEMRCSSSNRTFGRVGGRYYGGDYAAFYLQGCNATVVPVLGTGTRSYERLIRDGFLLTWQQPLPSSVRNTIKKVEM >LPERR01G02180.5 pep chromosome:Lperr_V1.4:1:1535433:1546023:-1 gene:LPERR01G02180 transcript:LPERR01G02180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLILPFLASLLLPFCHAECEPSTCGDITVRYPFWLGGPNLSRSSSSSSSPAASCGHPAFEVWCTSGVASLRGSQILVLTIDYTNSSFIAAHKRVADGGDGVCRTDFNISSSLALSPFTISGRNRAICFLYGCSNGSTVRITEPGIVNATSVCTRPIYAHLGGSYDRDRPPAIETTGNCTFSYLPVLWPDAPASLTPGVNYSPSKSPLGFQCILLLPTLSLILSAATAAEAQACKVGTCGDMRILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGLPFSINDVNQKLVFYNCSKALAPAERSELGLFETKCRNNTFARLVGRYDDDESGYALDSCYAVIVPVLGREGKAKVSNYEKLISGGFLLSWQPPHQPGTKMKTTTKLAIGLGAGGGTFMIIFIFILAWNKFKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVTLYGCTSRVSRDLLLVYEYIPNGTVADHLHGPRAAEQGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDDAFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSDINLASMALNRIQNHEVDQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDNRPRIKEVVEVLHCIKDGECPAKKMNKDASPKEDSHLLRDSSIQYSPDSVIHRFHSQSTNHSVASNSSG >LPERR01G02180.6 pep chromosome:Lperr_V1.4:1:1535433:1557527:-1 gene:LPERR01G02180 transcript:LPERR01G02180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLWLFLIATTVAATTETTIIPNATTPSCPSYRCGHAVDIRYPFWIDDDNNNTITAGAVTAYCGYPSLRLECRHGETPVLRLPSGEYAVTRILYSDRTVSLFDLGVFSLSNTCPLVGRNLSLPADSPLSLTARDTNLTFFIHCSNSIGIPAHHLVACLEGDGRHHSYVLRDGDRLPAYGNAAEMCQDVIGVPVLRRSLLIGGGGGGGGGTLDAAVVPALNMGFELGWRPVVEDGGECGDCENAGGWCGHRRRASHEPWSFACFRTVTTSSRDDTKSPIPGTKMKTTTKLAIGLGAGGGTFMIIFIFILAWNKFKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVTLYGCTSRVSRDLLLVYEYIPNGTVADHLHGPRAAEQGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDDAFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSDINLASMALNRIQNHEVDQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDNRPRIKEVVEVLHCIKDGECPAKKMNKDASPKEDSHLLRDSSIQYSPDSVIHRFHSQSTNHSVASNSSG >LPERR01G02180.7 pep chromosome:Lperr_V1.4:1:1535433:1538721:-1 gene:LPERR01G02180 transcript:LPERR01G02180.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLLLLLLLLVTITFLELPSPASSSSHGCSPTSSCGDLNISYPFWLEQPGWPPCGSPPFQLKCNATGAYLTRSVYEAYRVVNIFTGNNSFHVVDQNLPLQTGCPAPGFNISDGIWQAPFIISKSNSKLHFLSCNRSLPVSPPGYRLQSCDNNFSFVRLAAGDGDELHGGIPLGCNFTVVPILERPNDSRDGYVDSMRSGFLLEWAVVSGDCPQCVDSGGECTYGDKMKFACNCSDGMHTDKCGEFEGSEEHGTKMKTTTKLAIGLGAGGGTFMIIFIFILAWNKFKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVTLYGCTSRVSRDLLLVYEYIPNGTVADHLHGPRAAEQGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDDAFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSDINLASMALNRIQNHEVDQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDNRPRIKEVVEVLHCIKDGECPAKKMNKDASPKEDSHLLRDSSIQYSPDSVIHRFHSQSTNHSVASNSSG >LPERR01G02190.1 pep chromosome:Lperr_V1.4:1:1557947:1561790:-1 gene:LPERR01G02190 transcript:LPERR01G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLLASLLHVATATGSNGKETSGNISCTPARCGNLTIRYPFSLGGVQPAYCGYPVLDLTCDNNGTAYLSRTFRDHLYRIDKIFYENGSLVAAVQTTFASGDVCPVPDFNVTSSLSPYPFIISNTNKYLIFVYNCSLPKDFRHHLICANQTMGVDIYDRWNSTPRAGIPGNCSSVSVPVRWFNEGIVANQQYDQLISDGFLLEWMRPVMGDQDCDECTGRGGECRFVHLSFQCFCPDGLLCSNSSSTNSTSGRINRGIKVAIGTAATIVCLTMLGTASILLYTRRKRKRSASLKGLIRGGSTPMPSLTKEFSLSGLTSTHIFTYEELDDATDGFSDANELGVGGFGTVYKGKLRDGSTVAVKRLYKNSYKSVEQFQNEVGILSRLRHPNLVTLYGCTSPTNSHDLLLVYEFVPNGTLADHLHGRIATTTILDWPTRLAVAVETASALNYLHNVDPPVVHRDVKTNNILLDEDYHVKVADFGLSRLFPADGATHVSTAPQGTPGYLDPMYHRCYQLTDKSDVYSFGVVLVELISSKPAVDMSRRGGDVNLSNMAVHMIQCYEIDRLVDPRIGYGSDGETKRTVDLVAEVAFRCLQPEQDVRPAIGEVLDVLREAQRIDKVKDDDVGLLKKSRDGSPDCVMYQWISPSSTCNNSS >LPERR01G02200.1 pep chromosome:Lperr_V1.4:1:1561988:1566572:-1 gene:LPERR01G02200 transcript:LPERR01G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDFRASSPLSPSLSPWPVASPPRPPPQPPAAAVTPRDLVALSGFGCYATGIRGGNRPNRPHWWVAAMRSCPGKETAVANGAGRASASTTRTPRQGQARQAKTKLAAADRDTEDKESYTDKQLKLQRSRRRDFSLERMEPCVWMDSDFDHSIPWRRRSDGRCDGDGILQTQSHRGWA >LPERR01G02210.1 pep chromosome:Lperr_V1.4:1:1563862:1570701:1 gene:LPERR01G02210 transcript:LPERR01G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPSAANGATVDTGCPQVMCGNLTITYPFWLGGRDQSSCGPPAFRLTCNDTASGPFLSSSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFSISRSNRELYVLSRCRERLPPPGSVPVINCRANSSGMYAYLGGSYGTGQTPANNGSCELSLFPVLGSDNNTDMTAANYRRLIAGGFLLEWEPVGDCNTCKASGGQCRYDANTAVFACLCSDGGMRPLNCDGKNRGKLALIVSLSAAASLVFACLAWLMYRHRQKFRSAICGIYSGNTKNEEETLRKCESLALKRYKYSELKKITKSFEDELGEGGCGVVFKGSLEDSRTVAVKLLKASKGNREDFLNEVMSISRTSHVNIVSLLGFCLEGPKRALVYEYMPNGSLEKYIYSESSKLAAGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEMVKGRNNLKGIAGNFSETFFPHWVYDRLVSEMQYCEVGHGTEEIVRKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSIKSVNFMILLLLLLLLLLCSSLSLIAAAIIRAEADQSGSECSPRTCGNLTISYPFGFVPEQETDTKCGRPGFEVHCSNNTPFLGYYRRKYRFQILDIFYGNGSLIVADVHKLDDFQNSTSKGCHVMTTNTSSKVGLPFSVSSVNLNLIFYNCTAAAATATAVRRDGGIVETKCLGGNTLVRVGGHYSDSSSDGQFSVEGCGVTVVPVMGASGEVNASRYEELISDGFLLTWQPPSGKSVEMPPLQLLLLLVTITFLILPAPASSSRHGCSPTSSCGDLTISYPFWLEQPGQPPCGSPPFQLNCNGSRAYLTRSVYGAYRVVNIFTGNNSFHVVDENLQLQTGCPAPGFNISDGIWQAPFIISKSNSKLHFLSCNRSLPVSPPGYRLQSCDNNFSFVRLAAGDGDELHGGIPLGCNFTVVPILERPNESRDGYVGSMRGGFLLEWAVVSGDCPQCVDSGGECTYGDKMKFACNCSDGMHPDKCGEFERSQDTPLHFAGKSRRSRLKLILIVSMSATASLILTCLVWITCRHKENGSFFTLHKYVANESKIEEILKGYNSLAPKRYNYSELKKITGSFKDKLGQGGYGMVFKGILQDGRMVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMVNGSLDKYIYSEELKNVVGWEKLRQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDGDFCPKVADFGLAKLCHLKDSALSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGKRHANETTSHSSETYFPNRIYDCLVKDLQTHVVMTEVEEITKLMTIVGLWCIQTNPGNRPSISRVIEMLENNINELEVPPKPFLS >LPERR01G02210.2 pep chromosome:Lperr_V1.4:1:1570616:1577795:1 gene:LPERR01G02210 transcript:LPERR01G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSHLANKKKLTPTNLHLLPYSLYQTFAMALQLLPAIIVASLLHVATATGSNGKETSGNISCTPARCGNLTIRYPFSLGGVQPAYCGYPVLDLTCDNNGTAYLSRTFRDHLYRIDNIFYENSSLVAAVQTNFNGDNGCPVPDFNVTSSLNPYPFIISNTNSYLVVVYNCTLPDDNQLQRPCANQTTMGAYISDQWNSSTRPSGIPGNCTSVSVPVRSGVKLANQHYAQLSADGFSGAVGGGAVDSSGKTRPVNQQYDRLISDGFLLEWMRSVMGDQDCDECTGRGGECRFSQLKFQCFCPDGLLCSNSTGTNSTSSHPSSGTDRSHRKHQGRLRMIAFVTATAGLFTCLIWIMHRQKQRLSFSIRCKYAGNESNEEQEKLGEGGYGMVFKGSLLDGRMVAVKLLKGSKGNGEEFLNEGSKRALVYEYMANGSLGKYIYSENLRSAIGLESLQKIAIGVARGLEYLHQGCSTRIIHFDIKPHNILLDEDYCPKIADFGLAKLCHLKDSALSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMIEGRKNVNGNTDKSSAYFPNWIYDHLVKDLRSHEVASENEEIARKITLVGLWCIQTAPGNRPSMSKVIEMLEKNINELEVPPKPILSCLPVPPHFSSSS >LPERR01G02220.1 pep chromosome:Lperr_V1.4:1:1581027:1582109:1 gene:LPERR01G02220 transcript:LPERR01G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFLAPSIWVAWPLLLILSAAKAQACKVGTCGDMSILEPFGLVTDQADNTSCRWYGFQVTCNNSIPYLGYYRRNQPFRFRIVDIFYNNNSLLVIDTHKTDDFTNASDCHVPSVNTSYKIGSPFSISDVNQKLVLYNCSKAPAAAERSEFGLVETKCGNNTFARLVGRYDDDESGYALDSCYAVIVPVLGRDGEAKVSNYEKLISGGFLLSWLPPQQSDSC >LPERR01G02230.1 pep chromosome:Lperr_V1.4:1:1584661:1585293:1 gene:LPERR01G02230 transcript:LPERR01G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCFIAFHWLPLMLATAAMGVEDGAACMVSKKCGSLTISSLFWIIDNQTDRPCGALNFQVDCNISIGVATLRSSTDAGFQIMDISYGDRTLFALDVHKLLCLKSHNDCRILVWNTSVKLVISFRISTANRNLTFYNCMETLVVWQREQLGLVETRCRGNTFNRMGGQSYDGDGYTLEKCNSALLPMSTSLTAKRTPPATRSSLLMASS >LPERR01G02240.1 pep chromosome:Lperr_V1.4:1:1588748:1593608:1 gene:LPERR01G02240 transcript:LPERR01G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNTTSPFCEPMKCGNLTIGYPFWLTGTHPADCGYRAFQKQQIPPSWAPVSCVGNEVFNSYAWLAGKYKPDGSWGQLPGNCTVSTVLVMGYDVTAVDYKRLIKGRFLLEYTPDIGDCTTCSESGAQCRVNVISDGLVCQCSNGVSPGQDCRCCDAVSTSMGTICGSKKTGRRTILIVLTAAAAGLLLPFIYVLIWHRKGKKLRYLLCTKTSSTNEKNIEALIVSYGSIAPTRYKYSEVTNITSFLNNKLGEGGYGVVFEGRLQDGRLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLCGFCLEGSKRVLLYEYMSNGSLDKYIYSENPKEILGWEKLYGIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVINRTFGIVSTKSDVYSYGMLLLEMVGGRKNVRQMVENSSAKYFPDWIHDHFALDDGFHACDVTSEDEQIAEKMTLIETIQFMR >LPERR01G02250.1 pep chromosome:Lperr_V1.4:1:1600519:1604842:1 gene:LPERR01G02250 transcript:LPERR01G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPLSPQLMLFAAMAAFLLVAHPATAAVTSCAARNCGNVTISYPFWMASPAATGAPPCGPAAFEVNCDRNSSRPSLARSFRSGYKILAVSYTNRTVVVANDNVQTDAAGCPVPKIDVSASLSLAPFTASPANNQLVFLFNCTSSNMAGFVNVTCPGAQAVVRLDARYNNSAARAVAGGCDYAAVPVVGVPGANATEYPRLLRGGYMLEWKAPAGDCAACNASGGVCGYDADTEAFACICSDGSNRPARCDAKMSRSKVTLIVSLSITAAGLLILAFIAVVFMWRRRIQSRFSFLNAMENGSSTDTAKVEKLLQKYGSLAPRRFRYSELKKITNSFSERLGEGGYGTVFSGVLPDGHAVAVKFLHNSKPNGEEFLNEVISIGRTSHVNIVSLLGFCLEGSKRALVYDYMPNGSLDKYIYSPTATSPTIPDGLDLGWEILHEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDDGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGRSNVRAYAEKNNDTFFPLWVYDHMLEDGGVVAGGGEIARKMALIGLWCIQTVPANRPAMGKVLEMLERSVHELAMPPRPYHSNSSSPSRDSRPSSYPSSSASDFTQRSRLSTPGSTV >LPERR01G02250.2 pep chromosome:Lperr_V1.4:1:1600519:1604349:1 gene:LPERR01G02250 transcript:LPERR01G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPLSPQLMLFAAMAAFLLVAHPATAAVTSCAARNCGNVTISYPFWMASPAATGAPPCGPAAFEVNCDRNSSRPSLARSFRSGYKILAVSYTNRTVVVANDNVQTDAAGCPVPKIDVSASLSLAPFTASPANNQLVFLFNCTSSNMAGFVNVTCPGAQAVVRLDARYNNSAARAVAGGCDYAAVPVVGVPGANATEYPRLLRGGYMLEWKAPAGDCAACNASGGVCGYDADTEAFACICSDGSNRPARCDAKMSRSKVTLIVSLSITAAGLLILAFIAVVFMWRRRIQSRFSFLNAMENGSSTDTAKVEKLLQKYGSLAPRRFRYSELKKITNSFSERLGEGGYGTVFSGVLPDGHAVAVKFLHNSKPNGEEFLNEVISIGRTSHVNIVSLLGFCLEGSKRALVYDYMPNGSLDKYIYSPTATSPTIPDGLDLGWEILHEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDDGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGRSNVRAYAEKNNDTFFPLWVYDHMLEDGGVVAGGGEIARKMALIGLWCIQTVPANRPAMGKVLEMLERSVHELAMPPRPYHSNSSSPSRDSRPSSYPSSSASDFTQR >LPERR01G02260.1 pep chromosome:Lperr_V1.4:1:1605447:1608582:1 gene:LPERR01G02260 transcript:LPERR01G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFDAGGGAPATTRRCNLERFLEAATPVVPTTCSSKKSMNGWRQSYTENALPFFTLGDLWDEFRESSAYGVAVPIVLNGCSDGVVQYYVPYLSAIQLYGRFRRHFYHSSYFSTMLNLRNRATIQLLKMAIASRKGNRKTNKSRLESHLKSKMKRRATANTVKTQISSLAKRFPELNTLRSCDLSPTSWMSVAWYPIYRIPSGPTLRDLDACFLTYHPLSTQLAGGIGHNSHDHEKAKGSGVIPVTTAMCLPTFAMASYRLKLAAWASGGRDRQLAASLSQAADAWLGVLRVHHPDHRFFAARRVVSRR >LPERR01G02270.1 pep chromosome:Lperr_V1.4:1:1615070:1617002:1 gene:LPERR01G02270 transcript:LPERR01G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYAAGGDGGGLTAEQLAAVRSLHPSDAAVDHEKDSIELLLARRCLERNIPFLGICRGSQLLNVACGGSLYQDVDHELAAAAGDEKAAAFVRHIDYDDYDGHRHPVRVLPNTPLQEWFAESLAGGEYLTVNSYHHQGVRRLAERFVPMAFSPDGLVEGFYDPDVYNPGEGKFIMGLQFHPERMRKANSDEFDYPGCPKAYQEFVRAVVAYQEKLAVAATPKMNHEMVEKQRKVLVRSFSLAKNLYVSGGGEPGTPRPAAEQRELDAGAEFLESTNTAAALSVQQEKRLKQMGATVRNATGYMNRLRQMMTDEREAAARALMAKMSVDQLSDLSSFYHIMGNICSDLLHTKLHSAAPAP >LPERR01G02280.1 pep chromosome:Lperr_V1.4:1:1618474:1621265:-1 gene:LPERR01G02280 transcript:LPERR01G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAISNSPSPSPLRLSRNQGSTSGGSHRPQVLPMAGRAAGVPRLRAISPSPSPPPPPPLPQPVDTFGFDALMETFSVDVVAAEARPLNVPLAAPFTIASSRLEAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAAARACDALAGAAAAPLGAVLQDVAGALPGHAFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMEKYRVAVAADESCRSLLDAQKIIHGNLAHVINIKLAKLGILGALEIIDAARKAHIALMMGGMIETRIAMGFAGHLAAGLGCFRNEGCQGKAWPFGSFTDNGGTINEQLYIHLQQ >LPERR01G02290.1 pep chromosome:Lperr_V1.4:1:1623291:1624844:1 gene:LPERR01G02290 transcript:LPERR01G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRTHRTPKLFGRERPLHDALGGHKAANIILWRDKKVSASILAGATVAWYLFEVAEYHFLTLACYLAMLGMLVVFIWANASAFFNLPPPRIPETIMSERTTRQVILALHSRLTRFVHRLYDIACGNDFKMFILTVFSLCIASVIASCFTSLTLLYLVVLGTMTLPALYEQYESEVDHLVATAVHDLRSHVGEMDSGVLKKIPRGRGATAN >LPERR01G02300.1 pep chromosome:Lperr_V1.4:1:1628798:1630910:-1 gene:LPERR01G02300 transcript:LPERR01G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPLAGVGGGAGVPGYPESTESSPRSRGGDSWDDSFPSSAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVERNATLADVHARLSRSLLAGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSQHSRGGPPNSAPVEDQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRVVAAAQVAVEDHFAQMGISDQPVPPVVGGYMQPPPQVPIPNMGVPSISASDASSRVFSDDDKSDHGGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKREMPDAASYRMPVPAQDAAVAAAAAAAAAAPQPPAGYVYAQMHAPPPQQQPPQPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQAPPQPMPQPVPQPQQSHAFDPNTGMYYIPMRPNAPQAYSMPPGATAVPPPTLVDTTPKPTVPIPQMTVKQPELQQPGMYRTTAAPAPVQASNAAPAYAGMGYHHVIQSHHHPAPQPPATMAGNFGYEYGDLTRGQVFYSQAAAPPTLPPQYQPMGSPDAGQADMKQARAS >LPERR01G02310.1 pep chromosome:Lperr_V1.4:1:1639507:1643372:1 gene:LPERR01G02310 transcript:LPERR01G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENTDGRREVQLISHTIQTHGVRLARKHLHDWVVLVLLGALVIALHYAAPFGRFIGKGMMTDISYPVKQSTVPAWGVPIISIVCPTIVFLSIYTVRRDVYDLHHAILGVLYSVMITAVVTTVVKNAVGRPRPDFFWRCFPDGKQVYDQVTGGVICHGSFAGLGFLSLYISGKIKVFDRQGHVAKLCIMILPLLIASLVGISRLDDYRHHWEDVFVGGLLGWGPYAYFHMLEELQVANSYNAQSQQSVSGHRVGRV >LPERR01G02310.2 pep chromosome:Lperr_V1.4:1:1639497:1643372:1 gene:LPERR01G02310 transcript:LPERR01G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENTDGRREVQLISHTIQTHGVRLARKHLHDWVVLVLLGALVIALHYAAPFGRFIGKGMMTDISYPVKQSTVPAWGVPIISIVCPTIVFLSIYTVRRDVYDLHHAILGVLYSVMITAVVTTVVKNAVGRPRPDFFWRCFPDGKQVYDQVTGGVICHGSFAGLGFLSLYISGKIKVFDRQGHVAKLCIMILPLLIASLVGISRLDDYRHHWEDVFVGGLLGWGPYAYFHMLEELQVANSYNAQSQQSVSGHRVGRV >LPERR01G02320.1 pep chromosome:Lperr_V1.4:1:1652398:1652721:-1 gene:LPERR01G02320 transcript:LPERR01G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKFSSCFGGNDDDDDYGKSTTTDPYHHRPRPTTTTPNSNHHQAHTHNNNNSSSRSTLATAAADETGRKACNDVVVPRKDNAAAAVGVVATRDARNTNKVTADHTH >LPERR01G02330.1 pep chromosome:Lperr_V1.4:1:1661240:1662742:1 gene:LPERR01G02330 transcript:LPERR01G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLTFLLLLLAVSPALSRHHAASPARSDTETLDVAASLSRARAAVSTDAVSLHQSAAAAGEKHDAAAAAGGMSLHLHSRDFLPEEQGRHESYRSLVLARLRRDTARAAAVTSRAAMLADGVTRSDLTPANTTSFEASAAAAQSIQGPIVSGVGLGSGEYFSRVGVGSPSRQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSTSYASVSCDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSSPVSNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISANSFSYCLVDRDSPSASTLQFGGGGGGGDEVTAPMIRSPRTSTFYYVGMTGIAVGGQILSIPSSAFAMDATTGSGGVIIDSGTAVTRLQSAAYAALRDAFVRGTQSLPRVAGVSLFDTCYDLSDRTSVEVPAVAMRFDGGGELRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIVGNVQQQGIRVTFDTAKSTVGFTTNKC >LPERR01G02340.1 pep chromosome:Lperr_V1.4:1:1666799:1672894:1 gene:LPERR01G02340 transcript:LPERR01G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSPPPPPRRAFTPSRLSPAPIPSPAAAILHRPIRSTTPPTPLVSFLHTPPPLPPDSLFPNPTKLPPNSPATSPMQLLLLLLAAAALARATDDPFLSGAPANHSYNIDCGGATDYISVFGRQWLADKYFSAGGDAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPRGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGAELILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNELNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDESNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSPGQRVFDVMLAGRNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTMPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLISLNLSYNSMTGNLPLGLGQPSLATLDISSNQFTGSIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASLGFVLIVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHGPDGYYTNGVTH >LPERR01G02350.1 pep chromosome:Lperr_V1.4:1:1673698:1674156:1 gene:LPERR01G02350 transcript:LPERR01G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRNKYSVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTADDVAAGAAAASLQEID >LPERR01G02360.1 pep chromosome:Lperr_V1.4:1:1698111:1699028:1 gene:LPERR01G02360 transcript:LPERR01G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESMVFSCNSGGSTSMEDDSFQELIVAGDGDDVPAAAELPSSARPSSRYKGVVPQPNGRWGAQIYERHSRVWLGTFADEDAAARAYDVAALRFRGRDAVTNYNNNNHAGELAFLAAHSKAEVVDMLRKHTYDDELRQGLRRGAARAQPTPPWAREPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRNTAAAGGDGGNSKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLNAGDVVVFSQSALGMEKHLLIDCKKRRNNKQEVAADEARVVVKLFGVDIAGDKGVVQR >LPERR01G02370.1 pep chromosome:Lperr_V1.4:1:1709538:1709763:1 gene:LPERR01G02370 transcript:LPERR01G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGTAVTDVDERGRAVDLAALASSVDQATSVSTRGGFGGLGEWCPLLHTRGGGGGPCGPS >LPERR01G02380.1 pep chromosome:Lperr_V1.4:1:1727621:1728670:1 gene:LPERR01G02380 transcript:LPERR01G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESVPMSSSSISSGASTATTVSDGAVHLSPAEETVLPAVTSTAATATATATAATQQSSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEEAAARAYDVAALRYRGRDAATNFAGESASAAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGVGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRSSDAAAAAASPAATSKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKNLAAGDTIVFSRSAYGPEKLLFIDCKKTKSTAPPPPIEAAQRVVKLFGVDIAGGDCRKRPAEMGKEAFLIKRQCVVHQRAPALGALLL >LPERR01G02390.1 pep chromosome:Lperr_V1.4:1:1741356:1748400:-1 gene:LPERR01G02390 transcript:LPERR01G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGPGANGGDAAVATRPKTKGKDGDGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDTWMPCGPKQQGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >LPERR01G02390.2 pep chromosome:Lperr_V1.4:1:1741356:1745532:-1 gene:LPERR01G02390 transcript:LPERR01G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRNRNIVRERESRIVQKGLPGGSDTKTKSEIGQRRLYLHQTLFQNGWEKVKNSLQTFSKWLEKTPLPLSSLMKLILYVVNAEKAMRGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDTWMPCGPKQQGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >LPERR01G02390.3 pep chromosome:Lperr_V1.4:1:1741356:1745532:-1 gene:LPERR01G02390 transcript:LPERR01G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRNRNIVRERESRIVQKGLPGGSDTKTKSEIGQRRLYLHQTLFQNGWEKVKNSLQTFSKWLEKTPLPLSSLMKLILYVVNAEKAMRVKLLGELKLNFLCKCRQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDTWMPCGPKQQGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >LPERR01G02390.4 pep chromosome:Lperr_V1.4:1:1746190:1748400:-1 gene:LPERR01G02390 transcript:LPERR01G02390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGPGANGGDAAVATRPKTKGKDGDGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSHLDC >LPERR01G02400.1 pep chromosome:Lperr_V1.4:1:1760979:1768155:1 gene:LPERR01G02400 transcript:LPERR01G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAAEARLHGGAPRRRRLRPRSCLASPPASGGGGIESEKARPLLVERYQDGVSKRYILDGNSKLQVHCEKHEYRTNRVEEEDANSLIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGILIMDTSGIKSSYPTLASTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVADHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMLYKNEKYVLFVKPFGSSEAAFFVTFKEAATSMSVLRSLWQAHWLHKNQPRQDDVLSWLEESVLALENGFTDFLKQMEIAGWDQNQVILKVPKEPVLVMEHPDQ >LPERR01G02400.2 pep chromosome:Lperr_V1.4:1:1760979:1768155:1 gene:LPERR01G02400 transcript:LPERR01G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAAEARLHGGAPRRRRLRPRSCLASPPASGGGGIESEKARPLLVERYQDGVSKRYILDGNSKLQVHCEKHEYRTNRVEEEDANSLIPRAIKDFVLPAGFPGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGILIMDTSGIKSSYPTLASTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVADHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGGEESSDMLYKNEKYVLFVKPFGSSEAAFFVTFKEAATSMSVLRSLWQAHWLHKNQPRQDDVLSWLEESVLALENGFTDFLKQMEIAGWDQNQVILKVPKEPVLVMEHPDQ >LPERR01G02410.1 pep chromosome:Lperr_V1.4:1:1766266:1770178:-1 gene:LPERR01G02410 transcript:LPERR01G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRARFVHNRRRPHNAAASDDDDDEDQQEAESSSSEDEVEDEADEGSSDEAAAAAEPSGREESPTAARGGGRKASITISLKKVCKVCRSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDILDCHTTLTCPHRVAMEHGVIPASKRNTSTSLDYVFQSQVKGKVPKVKPQFLIPNQLECGNIRFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGVLYTASSDGTISSTDLDTGIGSSLLNLNPNGWSGPSTWRMIYGMDFNSDKGLLLVADSFGFLYLLDRRLKTKIGHPILIHKKGSKVTSLHCNPAQPEVLLSSGNDRYARIWDTRKLEPNSPFASLAHGRVVNSGYFSPRTGNKILTTCQDNRIRVWDYIFGDLESPSREIVHSHDFSRHLTPFKAEWDPKDYSETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVIDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKGGADATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSSDGKKKKAKKTRFTHTVKGKGKSKA >LPERR01G02420.1 pep chromosome:Lperr_V1.4:1:1773272:1780555:1 gene:LPERR01G02420 transcript:LPERR01G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMSAAAAGDGDGRGRTLGAVIKEKDDELALFLEMRRREKERTAAAAAAAEQQLVGVAGDGMLLVDSQPPPPPHPRPPAEPKAAAYKMGGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFTSVESESKKSPASQTGTPKTRPTALKSRLANQPDPPSRTTLPLRTTSSNSLNSAPTTRRPSSSGGHTSNSSRPSTPTGRPGLTNTSKGSRPSTPTSRSTVPSKSGPPAPRSSTPTSRSTLTSSRSTTPSRTSGPATRTSVPSGRASAPVSRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRRPSATSTQHGSLAAPVRSSSISKPAPPMSKSSSPAKTIVSIPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGHYRR >LPERR01G02420.2 pep chromosome:Lperr_V1.4:1:1773272:1776633:1 gene:LPERR01G02420 transcript:LPERR01G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMSAAAAGDGDGRGRTLGAVIKEKDDELALFLEMRRREKERTAAAAAAAEQQLVGVAGDGMLLVDSQPPPPPHPRPPAEPKAAAYKMGGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFTSVESESKKSPASQTGTPKTRPTALKSRLANQPDPPSRTTLPLRTTSSNSLNSAPTTRRPSSSGGHTSNSSRPSTPTGRPGLTNTSKGSRPSTPTSRSTVPSKSGPPAPRSSTPTSRSTLTSSRSTTPSRTSGPATRTSVPSGRASAPVIYTYFKIIDTCYQVVHTIIKAVHTSTKQASIKIINPNKTAFCHFHPAW >LPERR01G02420.3 pep chromosome:Lperr_V1.4:1:1774866:1780555:1 gene:LPERR01G02420 transcript:LPERR01G02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIWLLTPPGTPLFTSVESESKKSPASQTGTPKTRPTALKSRLANQPDPPSRTTLPLRTTSSNSLNSAPTTRRPSSSGGHTSNSSRPSTPTGRPGLTNTSKGSRPSTPTSRSTVPSKSGPPAPRSSTPTSRSTLTSSRSTTPSRTSGPATRTSVPSGRASAPVSRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRRPSATSTQHGSLAAPVRSSSISKPAPPMSKSSSPAKTIVSIPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGHYRR >LPERR01G02430.1 pep chromosome:Lperr_V1.4:1:1776124:1777200:-1 gene:LPERR01G02430 transcript:LPERR01G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFFDNVLPKPELSGLTLSPPLRCDLLTAGTELPEGTLPFKVLPLEGEQDCRLGRAAGPGSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASSEKPGISDGFHGLDLTVGELPLEGIDTIVFAGEELLDIGGAGLDIEEDRTGAAKLPCWVEVAEGRLVGVDDLDTGLLCAGMDGLDDGVDDLVAGIDDLEVGVDDLLTGADALPEGTDVLVAGPEVLEGVVDLDEVSVDLEVGVDDLGAGGPDLEGTVDRDVGVEGLEPLEVLVSPGRPVGVEGLDELDVCPPEDDGLRVVGAEFKLFDDVVLNGRVVLDGGSG >LPERR01G02440.1 pep chromosome:Lperr_V1.4:1:1778428:1782730:-1 gene:LPERR01G02440 transcript:LPERR01G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPSAAAYGCGCQRLLLLASTSLPAAKGRSPRGISLSSYYPLVVGHRIRNRRFVCQAMTETEPDGNGDDEEEKEELVDGASSPSVDSVTQEDGYSESDSDADNVHLLTLPAALNLSLCIAKTKDETVNTEPLNSSDTAQNIDGDATAASDEQEKEEVIDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAQSYEKIANRLQNKFGDEYKVFLLINPEDEKPVAVVIPRQTLQPETTAVPEWFAAAAFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTALIIGVHEIGHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSVNPLVLWAWAGLLINAINSIPAGELDGGRIAFSMWGRKISTRISSFAIGLLGISALFSDVAFYWVVLIFFLQRGPIAPLSEEISDPENNYIGIGVAILLLGLLICAPYPFPFDASQLTDFDL >LPERR01G02450.1 pep chromosome:Lperr_V1.4:1:1782411:1790491:1 gene:LPERR01G02450 transcript:LPERR01G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFQVAGSRSVSLALFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVLFQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASDEEIKAVEKLIRGTEIDLTELEKRANQPQILKILRASNLPSSYVADPQNLFFSDIRGSRLKSEQADVFLHMMMWYPGEVAMAIGDEIKDDLEEAPPALLLDDAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPLYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAQVISANRIRLWNKGVDSASFHPRFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLEDCVGKIQLLLTNKEFRDNMGTTARAEMEQCDWRAASKKIRNEFYNSAIWYWRKKRAELIKPLQWLAQMFLPAPETNRIAQH >LPERR01G02460.1 pep chromosome:Lperr_V1.4:1:1793287:1795481:-1 gene:LPERR01G02460 transcript:LPERR01G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRDACMEVLPPPIAMDHYASRGNWLMARKWSPEENKQFERALAGLDLRCPDWERVARAIPGRSVFEVMTHFKDLEVDVQQIENGLVPFPVYAGGGGGGAFTLQWDHGGVGDLRNAYRFGGGGGGGKRHFGGGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSMISNQSNTSTLTAAVAPFSSTADVKPQNAVNSSFNSPSRTLGMPAYGLGLQDQVGFQPKHIVLDATNPSSTRIRRLVLYCLACSFTTCIEVINYGNFSEHSRGWMDLSVILVTDALWSIIVGNTENVTKRTSRT >LPERR01G02470.1 pep chromosome:Lperr_V1.4:1:1804770:1810218:1 gene:LPERR01G02470 transcript:LPERR01G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVADMYTQDGTVDMKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAMAANNVTNWSGTCYITPLIGAFLADAYLGRFWTIASFMIIYILGLSLLTMASSVKGLVPSCDGGTCHPTESQTAVVFLSLYLIALGTGGIKPCVSSFGADQFDENDDGERRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVVSFFVGTPLYRHQRPGGSPITRIAQVIVASARKCRVAVPEDVSRLHETADKESGIEGSRKLEHTRQFGCLDKAAVVTPEDESERKSPWRLCTVTQVEELKSVVRLLPIWASGIVFATVYSQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAARAVTGRPRGFTQLQRMGIGLVVSVFSMLTAGILDVIRLRAIARHGLYGEKDIVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALALTTVALGNYLSTLLVTIVTHITTRNGRVGWIPDNLNRGHLDYFFWLLAVLSLINFAVYLVIAGWYTYKKTADFPDDDKVGHDGAN >LPERR01G02480.1 pep chromosome:Lperr_V1.4:1:1824310:1825584:-1 gene:LPERR01G02480 transcript:LPERR01G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLSTTTTLAMSRHITRRFGAIHHATTIDHRPILSLIGIKSREDLVGIPTADSTPGSTIGKMGSCQGKISVADTTVLVPEKLQNPSSRAAMGVVAAASSSPGHPQEGNTNINITAMSSSQGSPRFTTMAAPSSPKIVQRASSPREGSKAAISVAASSVVCGSQISPVSPSTGNCNARRRSCRTNAEINGISVADEDSLNKAMRRTAARNLDEPLKVMEVGQSPILPTSTPTTSPLQGKSLSIRSLPEDSISSHLNLLGVSLGTSSSDIAFSVNKLKNIEVDRLKVIPRACDSHVSLKQQGSNEKKNPFIASDDEDTEHDGTLFAHLVKECSEIGLEEADLSTTISDLLVSARKSKSSKKKERKTRSLGNKSKKIVYS >LPERR01G02490.1 pep chromosome:Lperr_V1.4:1:1887588:1890783:-1 gene:LPERR01G02490 transcript:LPERR01G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVIDGGRASVGRRTHHHHHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVQEEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKLLQRIPGLDEDSNYVGVARLLGGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSTAVVSLFSGSLSGIASSTATFPLDLIKRRMQLQGAAGTSSVCKSSISGTVCQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >LPERR01G02500.1 pep chromosome:Lperr_V1.4:1:1897375:1899071:1 gene:LPERR01G02500 transcript:LPERR01G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRAFHSCSPSWSGPPPPFQLTPAIWEDDGDRRGCNGRPPGGHLLRHGTINFSSLKSNGEMQLPHCRCKISSDFSYPPYPMIQFTSSLQESGQSTPFSMLPRS >LPERR01G02510.1 pep chromosome:Lperr_V1.4:1:1898021:1900693:-1 gene:LPERR01G02510 transcript:LPERR01G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIPSSPRSGQSSVRKSFKYCSDEEEFTPLSQLTNESRGCRVRVRISRIWESFNPNDGTIFGLDSLLIDDKGDTMQARVPPGSINQFKWQLVEGKVYVLSGFMVEPKLKNFMTCRNGLMMYIRSQTVVAEIGDVDSIPLHSFEFVDFGDLSSRNGNNSLLTDVIGRIVYVDVIRQAPKTKPLRAVQYREITIQDLSGKTQIVTLYDDLGCHFDVELVLKKGLEAPVIAIFAGTCIRYYNGEGFMVCSTSASKYYLDFEIAEVQEFCANLSDPKNPIGHQPSDQESNIDLIQELLSNQQTIEQLKNLRSHNAKELQKTSYLCRACLKDIDCTRGWWYLGCFHCKRYKLNAMLEDHTGSMNFLIFGEQAQDIIGVAAEDLVKGIEDDDSNTFHVACCMGQYVVKGILDEDDLALAQLHNSVSSVKTEAAESGLLSQEEGCSSLVSEEKVVVKVETDLKTDSEAKQADAKEE >LPERR01G02520.1 pep chromosome:Lperr_V1.4:1:1904190:1905315:1 gene:LPERR01G02520 transcript:LPERR01G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSMAAKENQMKRKRSPAKAKQMKKKRSPTSSAAAKELALCHDNVVHIAGIVAATSPEPIADLLNLRATCKAMHAATKERDVGRRVPLERLEGMKWGENGRYIAIVNNLAVTGNPDACFHIGVALIFTRQDIVQGILYLTTAAAGGHKTAAYVLGILLYSKSDKLTTLGKKYISQVEGDDGEEETAAVKMRTNRECCRCRKIAEDAVREVTWKVAGGGRRRGRILAMPVEGQPCTNAGCGVDSGWEGYGVFCSDGCRIRHEYSEFFTEVMNYMP >LPERR01G02530.1 pep chromosome:Lperr_V1.4:1:1905355:1908337:-1 gene:LPERR01G02530 transcript:LPERR01G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAAAGAATSSLPHLRASTSGATRGATLLCPPAATGAARPHQPRSMPFSSVAKPRSEGELLRIIDSEIKYAVESDDHDRVVEIPDNFPFKISDGKGTKEIILRRTYQGEKIEVLVTMPSTSGLGHENDKEEKEGTQRLHKCCMPVTVTISKGNGPTLEFICTTYPDEILIDAVSVISPVDGEDETLAYEGPEFIDLDEDLQKAFHQYLELRGITTRATNFLCEYMINKDSREYLIWLKKLQNGEFRRMNS >LPERR01G02540.1 pep chromosome:Lperr_V1.4:1:1912964:1914218:-1 gene:LPERR01G02540 transcript:LPERR01G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSAPSTKPSSDGDLLRIIESEIKYAEETDDHDRVEEIPDNFPFEISDEKGSNAITLTRTYQGQKIEVLVSMPSLVTGDEPDHENEADKDTHEISDHDEEEETQKPPKSSIPLTVTISKEEGQTLEFTCTAYPDEIVIDTLSVVQPSKDEEDIPYEGPDFNDLDENLQRAFHKYLELRGITPMATNFLHEYMINKDSREYLLWLRKLKDFVRH >LPERR01G02550.1 pep chromosome:Lperr_V1.4:1:1918889:1921267:-1 gene:LPERR01G02550 transcript:LPERR01G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIAASARNATQAGKQFASSSAGQLARIEGLVSVSCLLVGVLVICNSRRRHDTRTLHKLLVWGAFMFNYPVISYTIGLMQSSSIKNELFVVWACFLLLLLGTADTMTAFNFNDSSQQTRSMMNQALHVVYLLFLILFYKGQLRGSFLISLFLLWSLSVVRLGLRVKAYLSTNRSRGLIRENQVVFEYMKYEPLNSAGFRPGSGSYDPQTMEEYIYLVDGKEEKTMQHGEQVIKVAYKVPETVDVGNVWRCKGKLLRLSGSGGDQGVLRRRDLCLSFALFRMLMLRFAPDHVGQVDFPFQNDKCRAFVVKGLLADDKDLDRAFRVVESELGFLFDFFYARYPWIKDTLAPDLTVYVAILTTSLFTLFSTDLMRYRPLPGHGGGGDANNIIIHGFNLDLLVTRIVIVWYIFLETYQFLSFIFSDWHKVKMLCRYVRNEKWHNRALVDIPLKVLCYFNVTRYWKGTIGQFFLLDNLRPHWIKTFLSWFSLEAQVLDAWLMTRSVRLVPEVRHAVLRELKNCDGKITDGRMWLYQKGIVDLDLDRTCMLGHTYARYILTWHIATSICDYNLIIDKNTNNKELVRNHDIAMKLSGYCAYLLAFQPELVPDSTYTSTSMVQGTLQNARDFLADCKSNEAKYKKLIDLGRLKMSIDIEELHKVQAVIYSTPQGEERYKKMTEIEHSSHKDEVSEVRFIAEAACIATYLVDRITIVEERWSVLASFWANMMLYIAPSDRAVAHATRMATGGEFLTIIWALLSHAHVVEKLQSRGGNPGLHIMLEEEEKRARMQGHQDQP >LPERR01G02560.1 pep chromosome:Lperr_V1.4:1:1925410:1927359:-1 gene:LPERR01G02560 transcript:LPERR01G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSATSAAAAAFLLPVSSSARAGAVRMRRVAAAAAAQGPRSSGAVVMESKVKAKKKKGSGAGNLPGAIELEIREAQEYLAGDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNVEGGPEFDDEEDEGEGKNASKDDEDEDDDESAGDSSVSLKVTVSKDSGPKLEFTCTAFREEITIDDMLIVENTAAEGDEKFPYEGPEFTELPVNVQKGLFKFLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >LPERR01G02570.1 pep chromosome:Lperr_V1.4:1:1929776:1935584:-1 gene:LPERR01G02570 transcript:LPERR01G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTILGSLKLAPSPPSSSISGRSSSSSLHFHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGNESRNASTTCFNWYNPECTMSGREVWVGEGGGRGRRRMSRRSVNPSRRVAEGGLPSVGGLLHPKSRSPPVLTIALLVLGVIILIAYFNSGSGVTVTGREAVSRSEGSCTPEVMQALPYLKKAYGNEMHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >LPERR01G02580.1 pep chromosome:Lperr_V1.4:1:1936312:1940882:1 gene:LPERR01G02580 transcript:LPERR01G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPASGQDANAGHPSPPSCSLLSAGRCFAGTQNVSNLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDSKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >LPERR01G02580.2 pep chromosome:Lperr_V1.4:1:1936312:1940917:1 gene:LPERR01G02580 transcript:LPERR01G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPASGQDANAGHPSPPSCSLLSAGRCFAGTQNVSNLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDSKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >LPERR01G02590.1 pep chromosome:Lperr_V1.4:1:1938208:1940416:-1 gene:LPERR01G02590 transcript:LPERR01G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDPLVQNGQNPFDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPMLEDMMWTPRAGPSADDSNVREQHNDADHGSPSTARHPYSSAESTRAPEVYMEASPRQNSPLPSPTAHKEQPFESEMKEANEMLRESKQLRVNTSKQKTVTFAPILEQGSEPIKDDWESKELSGTATEIFSTAYTIAYEAVLRMVSIIQDTVVSYNIDRRQMLEKILLFNRYLMLKLAPGEGDKVLSEVITEAILDLFDAWCENVERPLVQRAKQVSSWFLPERREELPPVPLSTHPCVYEVQASEMDYKMRTENI >LPERR01G02600.1 pep chromosome:Lperr_V1.4:1:1941508:1947146:-1 gene:LPERR01G02600 transcript:LPERR01G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYRPERQPDFASFPDTTPIVIDNGASTFRIGWAGEEEPRVAFRNIVQRPRHRSSGETVTVVGDNDPALMKYFDCTRSVIRSPFDDDVVYQFEYMEYILDYAFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDAFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGQPVLEACCRTNIGGFHITDFLRQLLSLKYPYHSASITWEKAEELKKEHCYVALDYMSELQIFKLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERRFYFGVIFEMDPTFVNKAEAVQQTPEPPKARILTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMENESVKERICQSILVTGGCSLIPGMIPRLESGIRQFRPYLAPLRLVRAADPLLDAWRGAAAFAASSRFGRQTFSLADYREHGENLFHRYNIVYSL >LPERR01G02600.2 pep chromosome:Lperr_V1.4:1:1941508:1947146:-1 gene:LPERR01G02600 transcript:LPERR01G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYRPERQPDFASFPDTTPIVIDNGASTFRIGWAGEEEPRVAFRNIVQRPRHRSSGETVTVVGDNDPALMKYFDCTRSVIRSPFDDDVVYQFEYMEYILDYAFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDAFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGQPVLEACCRTNIGGFHITDFLRQLLSLKYPYHSASITWEKAEELKKEHCYVALDYMSELQIFKLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERPEAVQQTPEPPKARILTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMENESVKERICQSILVTGGCSLIPGMIPRLESGIRQFRPYLAPLRLVRAADPLLDAWRGAAAFAASSRFGRQTFSLADYREHGENLFHRYNIVYSL >LPERR01G02610.1 pep chromosome:Lperr_V1.4:1:1948782:1950421:-1 gene:LPERR01G02610 transcript:LPERR01G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYLHLQSDMFTQLYRCLPISLLKKESVDDDGNSVIMPASALDRLGYLHIEYPMQFQIQNANTKKTLHCGVLEFTAEEGFIHIPTMMMQQLGLKDNDLVLLQSKALPKATFVKLQPHTSDFVNLPEPRYLLEYSFRKYVCLTTGETIAMKSPEGEKTYYLNVLETQPADAICTLETDCNVNFETALNNTKLMAFTAMEVEHGDEPKPTNDAPVRFAGVGVRMDGKPVEGEKRPAPAVVAKPKRGIHFGSSVAAGVVKEKADEKDSDKRFTGKEYSLQD >LPERR01G02620.1 pep chromosome:Lperr_V1.4:1:1950697:1953504:1 gene:LPERR01G02620 transcript:LPERR01G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRELSSTLRNLKFMQRAAVAQKVEEKKPEVVEEKAAAEVVTVVSGGLGSSVNVARKCVVIMEGNPHPGAVKGRMSFLNFNPSIDKLTQDATGGRQSQSASPSDHHQDDANSSRTDEVPRTRFSDFNIDNSESISLNELKRKQPELDMETPPSHRMPKTTGKSVDGDSTSQSNGRGSHKSNKREKLDWNLLRPRKSK >LPERR01G02630.1 pep chromosome:Lperr_V1.4:1:1953577:1955254:1 gene:LPERR01G02630 transcript:LPERR01G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASVVASAVEKLQAAAQDAANSSSRSAATFSEQAHQVLVPRAAGRVVSLSTCTKISAVSFAVGVVVGFTLKKRLRRWAARLLKRIKDDD >LPERR01G02640.1 pep chromosome:Lperr_V1.4:1:1956246:1956530:1 gene:LPERR01G02640 transcript:LPERR01G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRLRLVADARREQANADDVAASKKIAAALGTLGVAAVSAATALAAAFEPAPDGLVAGTCYIFALSGTFLVGASVGPMLVAAAGLSVAALLW >LPERR01G02650.1 pep chromosome:Lperr_V1.4:1:1961823:1967848:1 gene:LPERR01G02650 transcript:LPERR01G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREQEATAAALGSIGVATVSTATTLAAAFKPPAAGGLVTDTFNHVALAGTFFAGVTLVGASSVCPTTRWLAVPPEGSCSSPPSRRSSLPWVYRWRRCCVRFLSPKIQSASPGFAFSGQFLAADLRRPSSARIWSIGVACGNMNTQGGCLLVRRIRTIRSDFRVGVEADGAVSKITVAVLLSFGLVTASLTINLATARDPPPVFGNSAYYHLAIADSFLAGMAQVAAAVWVADDPRYRHAVGKKIVYAAIAPLAVAIGLTGAALLR >LPERR01G02650.2 pep chromosome:Lperr_V1.4:1:1961823:1967848:1 gene:LPERR01G02650 transcript:LPERR01G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREQEATAAALGSIGVATVSTATTLAAAFKPPAAGGLVTDTFNHVALAGTFFAGVTLVGASSVCPTTRWLAVPPEGSCSSPPSRRSSLPWVYRWRRCCVGGCLLVRRIRTIRSDFRVGVEADGAVSKITVAVLLSFGLVTASLTINLATARDPPPVFGNSAYYHLAIADSFLAGMAQVAAAVWVADDPRYRHAVGKKIVYAAIAPLAVAIGLTGAALLR >LPERR01G02660.1 pep chromosome:Lperr_V1.4:1:1969946:1973896:1 gene:LPERR01G02660 transcript:LPERR01G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAFFIARGVNLKYEDDRPVDGKGIGRRVIDKLQQTYASELANKDFAYDGEKSLFTIGALPQRNNEFTVVLEDINTGKSAANGGSPGNDSPGNDKKRMCPQG >LPERR01G02670.1 pep chromosome:Lperr_V1.4:1:1998028:1998456:-1 gene:LPERR01G02670 transcript:LPERR01G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALNIDGNNVDAVIDMGELGQAVAGDVGGGGGGGDAAAEELRLPRALGAVGFLTGGMAAAAAVYGSPPAGTLLARGGGMGYYLPLGGAFAAGVVEVWAALWISGDAAGRRGGVAAKLLCLAAVPFMIVVAVGGFAVHFKN >LPERR01G02680.1 pep chromosome:Lperr_V1.4:1:2003380:2003733:1 gene:LPERR01G02680 transcript:LPERR01G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFGSIGLDIGELEAQTAQVTGESGGGSDSSSLWKVLRGIGFVTLTMDIGTFVYKPAHGVLFEHHLFAYYLTLGMIFLAGVAEVWTAFWLSQAHHDGHRGVLGRAVLCASLLPSWD >LPERR01G02690.1 pep chromosome:Lperr_V1.4:1:2004255:2008812:1 gene:LPERR01G02690 transcript:LPERR01G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDDRDASPEPNHQQQPPFDDLDDDLGDDWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGGGGGGGMPGDGLDDWGEDEAAAGLADDDVDPNADASAKKRKGSSALRDLARGGGKEKKEKKRRKEDGRERESRGMGMAREKRGGGSGGKGGGGGGHGEQDEGEREIQELWDTIAGGESEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIDRLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRKEQLKKSGLGKVIMFLSKSDEETTSNRRLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >LPERR01G02700.1 pep chromosome:Lperr_V1.4:1:2009919:2014215:1 gene:LPERR01G02700 transcript:LPERR01G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVFRPFRRWFPFLVPLFVVVNVALFVLTMYVNDCPAHMQAAGDAIGGSAGEGAAAQGCWLEPELGRFAFQSYKENPLIGPSSATLLRMGALETSKITKDHEGWRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVIIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGFPVGTTKRKYKTYQIILWVIATVILVDHWLGIGTERVQCQRALFMVPLSELYTYFKVELQHAKQLLHVFTARKSIKSDMRKYWKD >LPERR01G02710.1 pep chromosome:Lperr_V1.4:1:2013997:2016143:-1 gene:LPERR01G02710 transcript:LPERR01G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETPNAAAAAGGGGGGELLVWPWTGILATATTTNTSADAVNTLSVHAEHHFAGVPTTALHETAAGDDGDGHHHHHFLLLHFGKSWSGLRHAISLRARFAGAGRREWLRRRRRPIAGVVHGWPAGEDDLRAGDGGAVVGRFLREAGGEARSAEGVEREEMRVAAKLGAIAGELERRVEFLEAKCEEIAGAAKKTAAYSDWLNGELQEEDLEISSLRLPSREYKCDDAQTLHENHKQEMEAIYAKLHQLEKQLKQRQALEFTVQQLNKKIQAGESLTKEDHEHIYNIMVCLRTIVDEEQEMLVDSCAELMKRLRTNCDELQEYRQELMKGFENTTITGHTIIGIKRMGELDERPFHLACKRKHRDNDSEGKAARLITYWQKEMKKPSWHPFTTIQVDGEDKEVVDENDPKLRQLCIDYGDSVCNAVKSAMAELNEYNSRGRCAKNELWNFREGRKATTREVVKYISDQLKFNKQ >LPERR01G02720.1 pep chromosome:Lperr_V1.4:1:2016964:2019346:-1 gene:LPERR01G02720 transcript:LPERR01G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTRHSAAAAAGGGGGERDDLSAAAPVCGEANACLLRLKNSVDDDDGAAAAGETVKESSHRRRSRGGAPSEEVSEDDYVQFGRGLERRKRKATVTPHKEQTSKAVIKDKKIQGMYTLNHSTCYLSGLVYHLFLLTQQSQCFSELADDADLLSQENEDLKRQLADSTKEIEDLKQQLAEKTKELDDLKNKVIGHLQIQNNELKDENEQYKKTAKASRSLRLCRYCNERTTHDYRNCPKRKSDEVDQDDEEESSS >LPERR01G02730.1 pep chromosome:Lperr_V1.4:1:2021573:2024108:-1 gene:LPERR01G02730 transcript:LPERR01G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGSGVSDEAKEWWLLFNNGVDDDDDEESDDDGEEKDGGEGESAATGDSEDSSAEEKRSPISHSQGGGTQRLCQENDNLRLQLDLKTKELEHEENQRLKLELEMKNKDIESLKKQNDQLKAEIERYKKIVKPPKVLRRCRLCEEYTNHDYRNSPKRKSNKEQEEDNSD >LPERR01G02740.1 pep chromosome:Lperr_V1.4:1:2024733:2034957:-1 gene:LPERR01G02740 transcript:LPERR01G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDRPRGGDARSGRPLPTVASCHHPLSLTREARRKRGGGSPRGRGGRGTDWEMAFPWKSVEKVGSELGKNLKRKAICSSTMNCEDLIEEESLVGGEEDSRRISMEIDDLRSHLAGKMRELEYCKEIKKLRTELSLKAKEMEGLKKQNEELQAKSESSQKNLLELEITRLNDIIELMKEDDEYKEVLVGRLVSKERQSNDELQEARKELIKGFEYMLTGRTSIGIKRMGELDKKPFQDACKRKYAHDEYESIASELVSRWQEEMKKSSWHPFKIVMIDGKEKEVVDGGDEKLRNLWIEQGDDVCNAVKNALCELNEYNASGRYVVPELWNFRKGRKAKMEEVMERIFREIDDLRSHLAGKIRELEYCKEIKKLRTELSLKSKEMEGLKKQNEELQAKCESSQKNGFEYMLTGRASIGIKRMGELDEKPFQDACKRKYAHDNYESEATLLASTWQVEMKEPSWHPFKIVMVDGKEKEIVDDDDEKLRNLSIEYGDDVKNAVITAMRELNEYNASGLYPVSELWNFSIGRRATTQEAVQFVLGRMKILTSKQRILLFSPVVAH >LPERR01G02750.1 pep chromosome:Lperr_V1.4:1:2036779:2040601:-1 gene:LPERR01G02750 transcript:LPERR01G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAEAAGLGPPAGATAEEDESSDGDDSDDEDDSEAESDYEEKSYGLLRSGNRRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKRRRHGRERAFHRAFARFVRTDPSFARDLALINGGVGGAAANVAVTITPDASANSSGSGKEKADCNGDSNGSGSVAAVAAMGVKQEERFAWPWCGVLAAGAGFNAEEFADRVAMFSLNDVVPLVVDEVEGVESFAIVKFANGWGGFGDALTLENHFSKNKLGKKEWEARGSNGDAVKGENGEIEVKVYGWVAREGDYNAGNVVGRYLRKNNNLMTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDWETKNNATELSISRLEEDNRKLHDAYNEEMRNLHRRARDYALRIFQDNENLKLELDSRRRELNSRAKQLEKLTTENASDRKKLDDQKQKAKDDNSELELASIEQQRADEDVLKLFEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEREKKRLEELSGELVKKERESNDELQEARKELISGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNVDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEAKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETPGKRRRG >LPERR01G02760.1 pep chromosome:Lperr_V1.4:1:2045356:2045667:-1 gene:LPERR01G02760 transcript:LPERR01G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAHDLKMDDYIDPYEAEAETEAAAEATGLGPPTAEEDESSDSDDSDEEDNSKAKSDYEEKSYGLLRSRNHRVRNPDDTFRYTLPPRQEEVGLQAQGSPSAR >LPERR01G02770.1 pep chromosome:Lperr_V1.4:1:2045890:2047948:-1 gene:LPERR01G02770 transcript:LPERR01G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGGADQNALSPTRKELANWVDPAGAADERDDTRAAFTALPDLQPHPGGNQLKGAIPPGIGEATSLVRLRLGENLLAGEIPVAVGGMKTINFPDLDSNRLARKSPLIQEVDDSHNQLTGGIPTNFGQIDSLSRLVLAGNSLPTKIPPSLESLDLVEKRGILVSSG >LPERR01G02780.1 pep chromosome:Lperr_V1.4:1:2060609:2062583:-1 gene:LPERR01G02780 transcript:LPERR01G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPTSSRARLRGQLAGDEEAPARQADELEMGRPGGGGAEHWRTGAGGQLRGTETGGS >LPERR01G02780.2 pep chromosome:Lperr_V1.4:1:2060609:2063687:-1 gene:LPERR01G02780 transcript:LPERR01G02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVISCSTNTIGLLLGLNAVRNKQRGQLAGDEEAPARQADELEMGRPGGGGAEHWRTGAGGQLRGTETGGS >LPERR01G02790.1 pep chromosome:Lperr_V1.4:1:2064678:2072920:-1 gene:LPERR01G02790 transcript:LPERR01G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPAQAQEVHEELRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATEEQRKKRTELEFEVSELESVLEKEKRLSRILHCSLQGRVVCHCCLSTLVPTKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRNQRRSICSLNVRRELQGAELLPRLPCPVSDEALELESKASVGSVSSKGEEIEQIRRSSHSFEDLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDVAEFDLSSTSKLNISCIAPRNLVPKSSAISSAAISSLKNRRMLQGSDEAAKEIGCQKRFIEFTKSSFDVSRISSCLVDIKNLRVLMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHAYLQHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERNLQLSYGIGYPEPNVIFALCRGSRSSPALRVYTAEDISNELERAKIEYLESSVRVASKKKKLIVPKLLHWHMRDFADDVASLLEWIYSQLPAGRSSGQLKRTIRELLGAGAGDGKAATAKAVEVEPYSAEFHYLLPL >LPERR01G02790.2 pep chromosome:Lperr_V1.4:1:2064678:2072920:-1 gene:LPERR01G02790 transcript:LPERR01G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPAQAQEVHEELRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSAGRVRRCKMKLMYFLMDKEEQRKKRTELEFEVSELESVLEKEKRLSRILHCSLQGRVVCHCCLSTLVPTKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRNQRRSICSLNVRRELQGAELLPRLPCPVSDEALELESKASVGSVSSKGEEIEQIRRSSHSFEDLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDVAEFDLSSTSKLNISCIAPRNLVPKSSAISSAAISSLKNRRMLQGSDEAAKEIGCQKRFIEFTKSSFDVSRISSCLVDIKNLRVLMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHAYLQHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERNLQLSYGIGYPEPNVIFALCRGSRSSPALRVYTAEDISNELERAKIEYLESSVRVASKKKKLIVPKLLHWHMRDFADDVASLLEWIYSQLPAGRSSGQLKRTIRELLGAGAGDGKAATAKAVEVEPYSAEFHYLLPL >LPERR01G02800.1 pep chromosome:Lperr_V1.4:1:2073057:2073821:-1 gene:LPERR01G02800 transcript:LPERR01G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEWKDAHPDARCRGAACHGGAPCSAPVGPRKPQTTTGIVCVRLTSGRRCASALAFGKQIILGSSRNILWWKWDPPVIVTGPAGLM >LPERR01G02810.1 pep chromosome:Lperr_V1.4:1:2078208:2095383:1 gene:LPERR01G02810 transcript:LPERR01G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDAGGGPSDAAPELSPQERVEQKLILFGVPQVHLQEHQEGLLEYLEEHKEQTTEIAKLILSAFTDLLEARKASNKDGDSSSSEACDEILSWLQWLMFNNEPHAMLDHLERKSAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKRDGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGLPHAEGDGGSSCKRVAEELTNCAATMLLEFCTCSESLLSFVSQRIRECPDLLDALTRAERLLDKKAIKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSQLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGDDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYFGICHGSLVKGAFSPPEHHESTDVTVCSTATKGMESDENQRHAKVGRVSQNSLACNLGSKDNSSGALPPPAAWLILQCLKAIESWLAPGIALRDKLSSLDASSSDPHNFMALLEKPLTSNKGRVNTNIVEVDAKINDESQSGVIADNPESFSSSAQDPDNLMQIDQTGLPHSSNMAGKRKMHESSSVADAQLHPDNAISHTLTDGSLLYTPPDSRIEELGILSTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMEKCFGEDAKPEHCSVQTNAFFSQALRGCDPFGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLSEQNEYESVLMQEMLIFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKSFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVYSPLHSISKIATSKAVLQIVRSVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKTYANQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGKVMNESVLTLLVSLMHKYKEDNDISFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHIVMSMPQHTSGKQNLGSSDSMEKKEKARQRQAAIMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSCSTGVVSEESLPVCSLCRDTDSKSPLCYLVLLQKSRLATFVEMGNPSWENLTQSKKISGSIRREESTDPSGAASCSSEELIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPSVYSTSNAGDITSLETIEDDVYKTILDHLFGSSNEETKENEQTLYTSNLSIGSKKNRSPRSSVLGTYVNCLSKKHHPSLFDVPSKSSASVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFESGHIVDPDLGELLCPLCRRFANSILPASPDFSSKHSRKTMPSVQIPSEGPPASSWVTISNLQFPRALSLLESAGKIVGQTKFLKALSGKVNNTTEPSLDPSLRRLSMLYYPRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRMTSYSESKSCIGSLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIHLLAGSICSGISGDKDLRNATKRKGTLPPMVDPTSEGGAFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSAEFFIPFVHLFYIVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTYDIAREYFVSKHIDPSCDPKDMVRRLTYPYLRRCALIWELLKSSSSAPLYDSSNIWEGSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKFRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCCSVPDEPALCLLCGKLCSPSWKPCCRTGKCLSHAAQCGAGVGIFLLVRKTTILLQRSVRLAFWPSPYLDAFGEEDHDMNRGKPLYLSHERYAALTYLDLVM >LPERR01G02810.2 pep chromosome:Lperr_V1.4:1:2078208:2095383:1 gene:LPERR01G02810 transcript:LPERR01G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDAGGGPSDAAPELSPQERVEQKLILFGVPQVHLQEHQEGLLEYLEEHKEQTTEIAKLILSAFTDLLEARKASNKDGDSSSSEACDEILSWLQWLMFNNEPHAMLDHLERKSAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKRDGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGLPHAEGDGGSSCKRVAEELTNCAATMLLEFCTCSESLLSFVSQRIRECPDLLDALTRAERLLDKKAIKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSQLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGDDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYFGICHGSLVKGAFSPPEHHESTDVTVCSTATKGMESDENQRHAKVGRVSQNSLACNLGSKDNSSGALPPPAAWLILQCLKAIESWLAPGIALRDKLSSLDASSSDPHNFMALLEKPLTSNKGRVNTNIVEVDAKINDESQSGVIADNPESFSSSAQDPDNLMQIDQTGLPHSSNMAGKRKMHESSSVADAQLHPDNAISHTLTDGSLLYTPPDSRIEELGILSTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMEKCFGEDAKPEHCSVQTNAFFSQALRGCDPFGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLSEQNEYESVLMQEMLIFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKSFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVYSPLHSISKIATSKAVLQIVRSVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKTYANQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGKVMNESVLTLLVSLMHKYKEDNDISFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHIVMSMPQHTSGKQNLGSSDSMEKKEKARQRQAAIMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSCSTGVVSEESLPVCSLCRDTDSKSPLCYLVLLQKSRLATFVEMGNPSWENLTQSKKISGSIRREESTDPSGAASCSSEELIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPSVYSTSNAGDITSLETIEDDVYKTILDHLFGSSNEETKENEQTLYTSNLSIGSKKNRSPRSSVLGTYVNCLSKKHHPSLFDVPSKSSASVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFESGHIVDPDLGELLCPLCRRFANSILPASPDFSSKHSRKTMPSVQIPSEGPPASSWVTISNLQFPRALSLLESAGKIVGQTKFLKALSGKVNNTTEPSLDPSLRRLSMLYYPRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRMTSYSESKSCIGSLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIHLLAGSICSGISGDKDLRNATKRKGTLPPMVDPTSEGGAFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSAEFFIPFVHLFYIVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTYDIAREYFVSKHIDPSCDPKDMVRRLTYPYLRRCALIWELLKSSSSAPLYDSSNIWEGSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKFRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCCSVPDEPALCLLCGKLCSPSWKPCCRTGKCLSHAAQCGAGVGIFLLVRKTTILLQRSVRLAFWPSPYLDAFGEEDHDMNRGKPLYLSHERYAALTYLSFGKQPSAFTHLIECNMLWDNLQRWA >LPERR01G02810.3 pep chromosome:Lperr_V1.4:1:2078208:2093706:1 gene:LPERR01G02810 transcript:LPERR01G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDAGGGPSDAAPELSPQERVEQKLILFGVPQVHLQEHQEGLLEYLEEHKEQTTEIAKLILSAFTDLLEARKASNKDGDSSSSEACDEILSWLQWLMFNNEPHAMLDHLERKSAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKRDGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGLPHAEGDGGSSCKRVAEELTNCAATMLLEFCTCSESLLSFVSQRIRECPDLLDALTRAERLLDKKAIKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSQLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGDDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYFGICHGSLVKGAFSPPEHHESTDVTVCSTATKGMESDENQRHAKVGRVSQNSLACNLGSKDNSSGALPPPAAWLILQCLKAIESWLAPGIALRDKLSSLDASSSDPHNFMALLEKPLTSNKGRVNTNIVEVDAKINDESQSGVIADNPESFSSSAQDPDNLMQIDQTGLPHSSNMAGKRKMHESSSVADAQLHPDNAISHTLTDGSLLYTPPDSRIEELGILSTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMEKCFGEDAKPEHCSVQTNAFFSQALRGCDPFGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLSEQNEYESVLMQEMLIFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKSFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVYSPLHSISKIATSKAVLQIVRSVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKTYANQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGKVMNESVLTLLVSLMHKYKEDNDISFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHIVMSMPQHTSGKQNLGSSDSMEKKEKARQRQAAIMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSCSTGVVSEESLPVCSLCRDTDSKSPLCYLVLLQKSRLATFVEMGNPSWENLTQSKKISGSIRREESTDPSGAASCSSEELIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPSVYSTSNAGDITSLETIEDDVYKTILDHLFGSSNEETKENEQTLYTSNLSIGSKKNRSPRSSVLGTYVNCLSKKHHPSLFDVPSKSSASVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFESGHIVDPDLGELLCPLCRRFANSILPASPDFSSKHSRKTMPSVQIPSEGPPASSWVTISNLQFPRALSLLESAGKIVGQTKFLKALSGKVNNTTEPSLDPSLRRLSMLYYPRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRMTSYSESKSCIGSLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIHLLAGSICSGISGDKDLRNATKRKGTLPPMVDPTSEGGAFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSAEFFIPFVHLFYIVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTYDIAREYFVSKHIDPSCDPKDMVRRLTYPYLRRCALIWELLKSSSSAPLYDSSNIWEGSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKFRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCCSVPDEPALCLLCGKLCSPSWKPCCRTGKCLSHAAQCGAGVGIFLLVRKTTILLQRSVRLAFWPSPYLDAFGEEDHDMNRGKPLYLSHERYAALTYLSFGKQPSAFTHLIECNMLWDNLQRYVFYIIADD >LPERR01G02810.4 pep chromosome:Lperr_V1.4:1:2078210:2090447:1 gene:LPERR01G02810 transcript:LPERR01G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDAGGGPSDAAPELSPQERVEQKLILFGVPQVHLQEHQEGLLEYLEEHKEQTTEIAKLILSAFTDLLEARKASNKDGDSSSSEACDEILSWLQWLMFNNEPHAMLDHLERKSAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKRDGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGLPHAEGDGGSSCKRVAEELTNCAATMLLEFCTCSESLLSFVSQRIRECPDLLDALTRAERLLDKKAIKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSQLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGDDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYFGICHGSLVKGAFSPPEHHESTDVTVCSTATKGMESDENQRHAKVGRVSQNSLACNLGSKDNSSGALPPPAAWLILQCLKAIESWLAPGIALRDKLSSLDASSSDPHNFMALLEKPLTSNKGRVNTNIVEVDAKINDESQSGVIADNPESFSSSAQDPDNLMQIDQTGLPHSSNMAGKRKMHESSSVADAQLHPDNAISHTLTDGSLLYTPPDSRIEELGILSTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMEKCFGEDAKPEHCSVQTNAFFSQALRGCDPFGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLSEQNEYESVLMQEMLIFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKSFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVYSPLHSISKIATSKAVLQIVRSVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKTYANQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGKVMNESVLTLLVSLMHKYKEDNDISFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHIVMSMPQHTSGKQNLGSSDSMEKKEKARQRQAAIMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSCSTGVVSEESLPVCSLCRDTDSKSPLCYLVLLQKSRLATFVEMGNPSWENLTQSKKISGSIRREESTDPSGAASCSSEELIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPSVYSTSNAGDITSLETIEDDVYKTILDHLFGSSNEETKENEQTLYTSNLSIGSKKNRSPRSSVLGTYVNCLSKKHHPSLFDVPSKSSASVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFESGHIVDPDLGELLCPLCRRFANSILPASPDFSSKHSRKTMPSVQIPSEGPPASSWVTISNLQFPRALSLLESAGKIVGQTKFLKALSGKVNNTTEPSLDPSLRRLSMLYYPRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRMTSYSESKSCIGSLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIHLLAGSICSGISGDKDLRNATKRKGTLPPMVDPTSEGGAFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSAEFFIPFVHLFYIVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTYDIAREYFVSKHIDPSCDPKDMVRRLTYPYLRRCALIWELLKSSSSAPLYDSSNIWEGSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKFRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCCSVPDEPALCLLCGKLCSPSWKPCCRTGKCLSHAAQCGAGVGIFLLVRKTTILLQRSVRLAFWPSPYLDAFGEEDHDMNRGKPLYLSHERYAALTYLDLVM >LPERR01G02810.5 pep chromosome:Lperr_V1.4:1:2078208:2091063:1 gene:LPERR01G02810 transcript:LPERR01G02810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDAGGGPSDAAPELSPQERVEQKLILFGVPQVHLQEHQEGLLEYLEEHKEQTTEIAKLILSAFTDLLEARKASNKDGDSSSSEACDEILSWLQWLMFNNEPHAMLDHLERKSAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKRDGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGLPHAEGDGGSSCKRVAEELTNCAATMLLEFCTCSESLLSFVSQRIRECPDLLDALTRAERLLDKKAIKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSQLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGDDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYFGICHGSLVKGAFSPPEHHESTDVTVCSTATKGMESDENQRHAKVGRVSQNSLACNLGSKDNSSGALPPPAAWLILQCLKAIESWLAPGIALRDKLSSLDASSSDPHNFMALLEKPLTSNKGRVNTNIVEVDAKINDESQSGVIADNPESFSSSAQDPDNLMQIDQTGLPHSSNMAGKRKMHESSSVADAQLHPDNAISHTLTDGSLLYTPPDSRIEELGILSTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMEKCFGEDAKPEHCSVQTNAFFSQALRGCDPFGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLSEQNEYESVLMQEMLIFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKSFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVYSPLHSISKIATSKAVLQIVRSVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKTYANQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGKVMNESVLTLLVSLMHKYKEDNDISFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHIVMSMPQHTSGKQNLGSSDSMEKKEKARQRQAAIMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSCSTGVVSEESLPVCSLCRDTDSKSPLCYLVLLQKSRLATFVEMGNPSWENLTQSKKISGSIRREESTDPSGAASCSSEELIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPSVYSTSNAGDITSLETIEDDVYKTILDHLFGSSNEETKENEQTLYTSNLSIGSKKNRSPRSSVLGTYVNCLSKKHHPSLFDVPSKSSASVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFESGHIVDPDLGELLCPLCRRFANSILPASPDFSSKHSRKTMPSVQIPSEGPPASSWVTISNLQFPRALSLLESAGKIVGQTKFLKALSGKVNNTTEPSLDPSLRRLSMLYYPRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRMTSYSESKSCIGSLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIHLLAGSICSGISGDKDLRNATKRKGTLPPMVDPTSEGGAFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSAEFFIPFVHLFYIVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTYDIAREYFVSKHIDPSCDPKDMVRRLTYPYLRRCALIWELLKSSSSAPLYDSSNIWEGSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKFRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCCSVPDEPALCLLCGKLCSPSWKPCCRTGKCLSHAAQCGAGVGIFLLVRKTTILLQRSVRLAFWPSPYLDAFGEEDHDMNRGKPLYLSHERYAALTYLDRS >LPERR01G02820.1 pep chromosome:Lperr_V1.4:1:2092282:2095248:-1 gene:LPERR01G02820 transcript:LPERR01G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILDALTWKFLEKLGQLVEDEVIATLSVKRGIESLMKNVEFFTAVREDAEALAMEDPWVDSWWKNMRDVMFDVDDIIDIFIVHSQKLLMPPRPVCCNQFMFSSFTKYSFRHRIAKRIKNINEKFEEIKMNKEMFGLERTNRSQVQLTLVDRRQTSPVDELEVVGEDIRRAVDDMVKMIVSNCHDNRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMVGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDIWIDLLRLPFERGLNSNILVTTRNLDVLAEMHATYTHQVNKMNDYDGLELLMKMSFGPYEQRREFSGIGDQIVKKCDGLPLAIKVVAGVLSTKRTRVEWESIRDSKWSVHGLPKELGGPLYLSYSNLPPELKQCFLWCGSLPSNFGIRRDAVTYWWVAEGFVTKVHGYSIHEVAQEYYDELIRRNLLQPKPEFVDKGTSTMHDLLRSLGQFLTKDHSLFMNIENSKALPNLRHLVISNDVEDIPAIEEQKCLRSLLIFYNKNFKTINKDIFREIKHIRVLVLSGTSIQIIPESVGNLLLLRLLDLSYTEIEKLPESIGSLTSLEYLSLRGCSHLDSLPTSLMKLFNISFLELQQTAIDHVPKGIAKLQQLHKLKGVFESGTGFRLDELQCLSNIQRLRIEKLEKAAPGGTFVLKNSLHLRELSLCWTMGAHDETYQRANENERIRQVYELLIPSPSLLYIFLYGFPGVRFPDWLCSEPELKMPNLGHMHLNECISCSELPPAGQMPELLFFQIRGANAVVNIGAELLGNGVRSAKDIIVFPKLKSLRIIDMRNLESWTLNTWNLCGKSEQLILMPCLKRLLLRGCPKLIALPEDLHRIANLRRIHIEEAHTLQEVDNLPSVSWLKVKNNRCLRRISNLRNLQDLFAQDCPALDQAENLISVKRLYMVDCPNAKQFRMCLLEDQELEVHVVTIGADGRDIFPDESLYN >LPERR01G02830.1 pep chromosome:Lperr_V1.4:1:2099978:2101156:-1 gene:LPERR01G02830 transcript:LPERR01G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGNGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEVSEAGAATGAGAENGCKCGDNCTCNPCNCK >LPERR01G02840.1 pep chromosome:Lperr_V1.4:1:2105172:2108481:-1 gene:LPERR01G02840 transcript:LPERR01G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQESAFYGKEFQGCRWSILQFFGFRRRLRSTKMISDKKQSQGKSSGGSRRRSSYVPLRDDDSSAMDNEKYTEVTKKTKGSKKSSGKGKLGSLILKKLYGKEGQKEKMLPVAPKLLRTLSIHYLESNEYVLDGGSASNGDGSSQNTTLSMENPNDANIQRAAFNGQDGCDNDTSSLLLKRGDSHVKRKSHRSISMDGVLHKVPYGRKIYGDVIKEELSRSASATYDRDGFKPCIGAAKRPVNQGFRRSRSLTESLERYSHLLDSISSNPPKRTLTSSKSTRDHSLDGPAVMTGSQSLTMRAENHMIPEHALAPDAAERTVVDGDVDSAMDEISSHVDADGSENTALLEESIDEKRCGVSMSTEANVCTSPVPSEAIGISQEHVATCDDDQAPSSTEDNMCTAHLTSQEADVLEEHGTTCDDHTNLSTEANMHTTLVSEDGRISEEHASTSDDKQFHSSDVLNSREGTFCVPDPRQEIEAEINLRCEQETESPMSVLDVTFSDYPASPVKHTILDDLSLKPRILNLSDNDDSADIGLNASTSMELSTADLTQRNVQVCDSDELNSLQADSMNEDELVYVKDIFMKSSFRNEILFEEWCSQNITALQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSKLSRFSSLDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSHDLAKSDRWVNFQRDADHLGNMLADFVFDKLLTEFTLQLAKF >LPERR01G02850.1 pep chromosome:Lperr_V1.4:1:2113037:2116657:-1 gene:LPERR01G02850 transcript:LPERR01G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREVVKGGIELKDSAKVADLQEAIYAKTKKYYPARQRLTLPVQPGKSGKPVVLSSKASLSEYCEKGSGSLVVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKYFGYKEERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYVFLVVAASIMTNWALGKHRRLKKASHIILLLLFFPFF >LPERR01G02860.1 pep chromosome:Lperr_V1.4:1:2118198:2131874:1 gene:LPERR01G02860 transcript:LPERR01G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPELLEFARDRHVLFLESMASELPGSYASQEVNHLTLAYFAVAGLSLLRELDLVNKEQIAQWVLSFQVHPETSNELDNGQFYGFCGSRTTQYPSTNVKDPCHNVSHLASTYSALAILKIVGYDVANIDSKALLSSMKNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKLYILSCQSYDGGFGLVPGSESHGGGSFCAVAALCLMGFTQADLASNLREPASFDVHLLLEWCLQRQAVDGGFQGRRNKSSDTCYAFWIGGVLKIIGAYQFIDHGALRSFLLSCQSSYGGFTKFLQDQFPDIYHSYYGLAALSLLEEEGLEPLCAELGIAAAALY >LPERR01G02860.2 pep chromosome:Lperr_V1.4:1:2118198:2131874:1 gene:LPERR01G02860 transcript:LPERR01G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPSPSSQRVHPSRSSAMAADGSVLRWLYLSLYNWIVFIGWAQVFYCMTLALLEKGHEAVYAAIERPLLFAQTAAIVEILHSIVGLVRSPVSSTLPQVTGRLFITWGILWSFPETHSHVLVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFILCYPVGMVSEVGLIYIAFPFMKASEKYCIRVPNKWNFSFDYFYFSVFFMALYVPVFPYLFRHMVAQRKKAFSKAKTI >LPERR01G02860.3 pep chromosome:Lperr_V1.4:1:2118198:2127000:1 gene:LPERR01G02860 transcript:LPERR01G02860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPELLEFARDRHVLFLESMASELPGSYASQEVNHLTLAYFAVAGLSLLRELDLVNKEQIAQWVLSFQVHPETSNELDNGQFYGFCGSRTTQYPSTNVKDPCHNVSHLASTYSALAILKIVGYDVANIDSKALLSSMKNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKLYILSCQSYDGGFGLVPGSESHGGGSFCAVAALCLMGFTQADLASNLREPASFDVHLLLEWCLQRQAVDGGFQGRRNKSSDTCYAFWIGGVLKIIGAYQFIDHGALRSFLLSCQSSYGGFTKFLQDQFPDIYHSYYGLAALSLLEEEGLEPLCAELGIAAAALY >LPERR01G02870.1 pep chromosome:Lperr_V1.4:1:2133539:2135134:1 gene:LPERR01G02870 transcript:LPERR01G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNSHPCTRLSMDPAGSHPASADSTGGGGGGGGTGAGGGSVGGGDRELFIIPRRDSAHPGPPDINLPPSADPSPPPPPPSFDMFEMLDVGLGTQTYESEVALTLPKLTGNGSAAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDSSGSISGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKLGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEVVYSPNLSAVPEAEMKRWAELTGRELNFSIPHEASDFESWRNLPSTDFELDRPQPPSSKTHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLLSDPHSVKVSWRNTLTHGVVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGENDLVLS >LPERR01G02880.1 pep chromosome:Lperr_V1.4:1:2136966:2141389:1 gene:LPERR01G02880 transcript:LPERR01G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLRLPLSPTLPSLLRRHAVGRRRAAAGCRVRASLHDGIDSIGGLHAALERAEAALYTLADAAVVAADAAAGGGGDAGAAAAQKSGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVSQGGSGIITAGRAKRTSAQPGQPGERFRQLKEEESKKKGNKALASGDSDPSASTSDDEESDDDTTEEVNSVDQYGCVVDLGHNFDDQLGSLIFKCRTDTKRSGGTGVLLAHSKMKIVQYTTIGLRG >LPERR01G02890.1 pep chromosome:Lperr_V1.4:1:2142560:2142752:1 gene:LPERR01G02890 transcript:LPERR01G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLLGGVPATSDRLLLRRRRRPCAALAVAVSLLAVACVVVLLASSSGDGFPAAAECG >LPERR01G02900.1 pep chromosome:Lperr_V1.4:1:2145103:2147813:1 gene:LPERR01G02900 transcript:LPERR01G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASNPSSKYDGALAMGIPGELAGLHAAWSRYGKLPWSSLFSPAIKLARDGFTVAPTVASALRRSERAVLADPGLRAVFAPEGRILAAGELCRNPALADTLEAVSVDGVAAFYDGEVGERFVADVRRAGGVATVEDLRNYKVEVSDAMRADAMGYTFLGMPPPSSGAVGMALILNILGGYESVEYLKGYLGVHRFIEAVKHMLAVRMDLGDPDYINVAGNVAEMLSPAFADKIRQRIVDNTTFPPGYYFPKWSQLRDHGTSHLCVVDGDRNAVAMTTTVNYLFGAHVMSPSTGVVLNNEMDDFSVPAERTPDKLPPAPANFIAPGKRPLSSMTPSIILKNGQLAGVVGGSGGTNIIGAVTQVFLNHFVVGMNPLAAVQHPRVYHKLVPNVVVYENETVIDGEVIELSGEAREFLQRRGHRLNSTESGAVCQFIVQDLLAPVGGGNGGAGGENVFHGMLTAVSDPRKGGSPAGL >LPERR01G02910.1 pep chromosome:Lperr_V1.4:1:2147903:2152823:1 gene:LPERR01G02910 transcript:LPERR01G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSWWCVCVPILPPPLNSPTPSDRHVYGCDWTAPRFGIEGQRQEQQRRRRKNNETNRSLRRKRSEPISPVSVPYNGELGRPAGGEEMRTRAADHLEALSLEIERKLQKALNSNSQRLQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILELIVQLWSQSFASNIFALLFYRWLFEVPLDGKEVSLRYSSALVQGATNYLLEEVALAPDQLSKISVQAGRGLFLLLSRFMLFYEQDHLLATFLEHFPTFPNSFLVGGPADYFVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRLVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLVVSSWENMRRPNHQRMHRE >LPERR01G02920.1 pep chromosome:Lperr_V1.4:1:2158625:2163286:1 gene:LPERR01G02920 transcript:LPERR01G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSLITGRKGATGFGSRNTAEEVTAGVDASRLTVIVTGGASGIGLETSRVIALRGAHVIIAARNTEAASEARKNIIEENPRARIDVMKLDLNSLKSVRAFVDQFNSMNLPLNVLINNAGVMFCPFKLSEDGIEMQFATNHLGHFLLTNLLLDNMKATAKTTGIEGRIVNLSSVAHFHTYPKGIIEFDKLSDEEVYNDKMAYGQSKLANILHAKELSRRLKEEGANITVNCVHPGLIMTNLMRHAFVLMRVIQFFTYILWKTVHQGAATTCLVALNPQLKGVTGQYFADSNVEKTSQFAKDDMLAKLLWEYSEQLIKSSK >LPERR01G02930.1 pep chromosome:Lperr_V1.4:1:2163751:2164140:-1 gene:LPERR01G02930 transcript:LPERR01G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSGLLARHPVLVYGATWTAVATAAVSVAAFAPELAFVWAVTPGAGAGVLTTAATAECQPGSIVLPLDGPPWDAACVPAAMFGRVAADVAVPLVFAAAVVSAALWFTKAVGVWEDDDDSSGEDGAMV >LPERR01G02940.1 pep chromosome:Lperr_V1.4:1:2164973:2172237:1 gene:LPERR01G02940 transcript:LPERR01G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVVMKVLQKQRSNWKVALSFFKWAARLPCYEHGSRAYTEMLDILGRMKKVRLMGQLFDGIPEERQGSVITNRMFAVLINRYAGAHKVQVAIDTLYKRKDYGFEMDLVGFQILLMSLCRYKHVEEAEALFCQKKDEFPPIIKSWNIILNGWCVKGSLADAKRIWNEIIASELKPDLFTYGTFINSLTKSGKLSAAVELFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNGRGCQAMSLPTTL >LPERR01G02940.2 pep chromosome:Lperr_V1.4:1:2164919:2172237:1 gene:LPERR01G02940 transcript:LPERR01G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVVMKVLQKQRSNWKVALSFFKWAARLPCYEHGSRAYTEMLDILGRMKKVRLMGQLFDGIPEERQGSVITNRMFAVLINRYAGAHKVQVAIDTLYKRKDYGFEMDLVGFQILLMSLCRYKHVEEAEALFCQKKDEFPPIIKSWNIILNGWCVKGSLADAKRIWNEIIASELKPDLFTYGTFINSLTKSGKLSAAVELFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNGRGCQAMSLPTTL >LPERR01G02940.3 pep chromosome:Lperr_V1.4:1:2164973:2172019:1 gene:LPERR01G02940 transcript:LPERR01G02940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVVMKVLQKQRSNWKVALSFFKWAARLPCYEHGSRAYTEMLDILGRMKKVRLMGQLFDGIPEERQGSVITNRMFAVLINRYAGAHKVQVAIDTLYKRKDYGFEMDLVGFQILLMSLCRYKHVEEAEALFCQKKDEFPPIIKSWNIILNGWCVKGSLADAKRIWNEIIASELKPDLFTYGTFINSLTKSGKLSAAVELFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNGRGCQAMSLPTTL >LPERR01G02940.4 pep chromosome:Lperr_V1.4:1:2166250:2168919:1 gene:LPERR01G02940 transcript:LPERR01G02940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGVSSNSMTYSYILKTTEKPKDVISLMQRMEKYGCRLDSDTYNLILNLYVSWNYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALQYYRTMESRGMTPEPRTKILVKAICMKKDALATEDQPRMMTRTGMGKVMGYRHQVMFTILAYYCLRCLLGASLGLHKFVDAALPDAITAISDPTIWPHEEATGTDAATATVL >LPERR01G02940.5 pep chromosome:Lperr_V1.4:1:2164885:2166193:1 gene:LPERR01G02940 transcript:LPERR01G02940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAAAAAAFAVLSATGRQLGVLGSYPPTVRQNASEELPKAGLNPCFHQSALPTRCVLGSLRLSHSSALDGFKGDHASEIIRILKSSDGDSELNEVLSQFADGMDEDVVMKVLQKQRSNWKVALSFFKWAARLPCYEHGSRAYTEMLDILGRMKKVRLMGQLFDGIPEERQGSVITNRMFAVLINRYAGAHKVQVAIDTLYKRKDYGFEMDLVGFQILLMSLCRYKHVEEAEALFCQKKDEFPPIIKSWNIILNGWCVKGSLADAKRIWNEIIASELKPDLFTYGTFINSLTKSGKLSAAVELFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNGRGCQAMSLPTTL >LPERR01G02950.1 pep chromosome:Lperr_V1.4:1:2174835:2176607:1 gene:LPERR01G02950 transcript:LPERR01G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEDQNAVSFGSSSMVVEIDKMVKSIDLKKEEERWSKPSIYRVPTWMKDTTKFGEKALQLPGTECKTYQPRVVSLGPFHHGEPNLLPMEEHKQRAMCHLVKRSRKPLAEFAAAIEAVADTLMDAYEDLDEDWRGEGREKFVLMMVTDGCFLLELMRSSLITFQTPGRGFVHDYAPNDPIFSCDGILTSVDSFPPDVILMENQLPLLTLERLLAVQLGGLPVLEGLDSLCLHPLDVFHKSWCGGPPGEKRWYNWESTMPSASALFEAGISFKMSETVSVLDLDFKDGVLTMPVVMVGDETESRYLNMMAFERLHLDAPKDVTAYLAFMDNLIDSEADVKLLRDKKMLHHTFGSNQAVADLFNDITKGASLSPLSKVHDVQRAVNGHCRKRLYKLRASFVHTYMSTPWVFSSLVAAIVLLVATLLQTIYSVMAYYKT >LPERR01G02960.1 pep chromosome:Lperr_V1.4:1:2178219:2179817:1 gene:LPERR01G02960 transcript:LPERR01G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRSAAAGRHCSRAILRQLGVLGSYPPTVRQNASEELPKTSLHPCFLQSAFPTRCILGSLRLFHSSALDGFKGDHASEIIRILKSSDGDSELTEVLSQFANGMDEDVVMKVLQKQRSNWKVALSFFKWAASLPSYEHGSRAYTEMLDILGRMKKVRLMGQLFDEIPEERQGSVITNRMFAVLINRYAGAHKVQEAIDTFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFRQKKDEFPPVIKSWNIILNGWCVKGSLADAKRIWNEIIASELKPDLFTYGTFINSLTKSGKLSAAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNGRGCQADAATYNTLIKHFCKINRMEKVYELLDDMEAKGVSPNSMTYSYILKTTEKPKDVISLMQRMEKYGCRLDSDTYNLILNLYVSWNYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSLGKLDEALQYYQTMESRGMTPEPRTKILVKAIRMKKDTLATEDQPRMMTRTGLKLDPMSRLFRVHK >LPERR01G02970.1 pep chromosome:Lperr_V1.4:1:2183761:2184521:1 gene:LPERR01G02970 transcript:LPERR01G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAHRLLSRVPTLLLLHYYNIQLFFRDSGFSVCARYLFDKISPRSFHALTKHYLSTLELGSDVERNGWIGGHSQKVTSTAPSHRGIYQSCLSPCGRGDR >LPERR01G02980.1 pep chromosome:Lperr_V1.4:1:2184581:2195369:1 gene:LPERR01G02980 transcript:LPERR01G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHAMMFSFMCIFLQFLAAGAEGEDAAALLAFKAAAVGSSAGGNGVLASWNGNASRVCSWEGVTCGGRYQRVVALNLSGHDLSGTLSPAVGNLTRLQMLELRSNWLHGGIPASLGRLRRLRTLDLSLNTFSGEVLGNLTSCTSLEYLNLGSNKLLTGRIPVELGNTLSRLQMLGLDNNSFVGHWPASLANLTSLRYLTLRMNSLEGTIPPEFGSNMPSLYFLDICSNNLSGSLPSSLYNLSSLTTFDAGNNKLNGTIASDIGEKFPKLQSFTVFNNQFNGEIPSSFSNLTTLTSLQLSMNEFSGFVPHDLGRLNALWNLQLDVNMLEAGDIKGWEFVDSLANCSKLEILVLSNNNFTGQLPISIANLSTTLQTLYLGGSRISGSIPSDIGNLVGLESVYLFDTDISGVIPDSIGKLENLTALYLYNNSLSGHIPSSVGNLTKLIKLFMQSNNLEGPIPVNLGKLKSLNVLDLSRNRLNGLIPKEISELPSLSLYLNLSYNSLTGPLPSEVGSLANLNELILSGNQLSGQIPSSIKNCIMMSVLLLDSNLFQGNIPDFLGDVKGLRVLNLTMNRFSGVIPEALGNIHNLQELYLAYNNLSGPVPAVLQNLTSLSTLDLSFNELQGEVPKEGIFKNLSYLSLAGNSELCGGISHLHLPACSTHAVRKRSKGWLKSLRIALAAISVILFLALVMVIILLIRRRKPIDRKKGQSLTPVVEEQYERISYQELSNGTKGFSQHNLLGKGSYGVVYKCILSDEETIAAVKVFNIEQSGSTKSFVAECEALRSVRHRCLIKIITCCSSINNQGQEFKALVFEFMPNGTLNGWLHPKSDTPTVANTLSLTQRLDIAVDIMDAVEYLHNHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILSESASKTLQNSSNTLGIRGSIGYVAPEYGEGSAVSTLADVYSLGILLLEMFTGMSPTDDMFRDALDLHSFSEAAYPDRILEIADPTLWVHAEAKDTITKSRMQECLISVIGLGLSCSKHQPKERMLIQDAAVKMHAIRDDAYLMFSGALSVDMEEDAKQICSDLNEMPLMSQLLLLLLLSPFILSLLPIVTGDGRDEAALLAFKAGISGQAARLAGWNSSISFCSWDGVTCSRRRSPPRVVALSLPSLGLAGAISPAVGNLTFLQSLDLSLNWFHGEIPPSIGRLRRLRNLLLRDNSLSGEVTANLSFCIGIVHLDLRSNQLHGHIPAELGNTLTRLQNLKLSNNSFTGPIPLSLANLSSLHRLVIDTNQFEGPIPPQLMGIDTLQVLDLARNNFSGMIPFSLHNLTSLEFFDVGVNGLYGTIPADISNRYPKLQFLSLYDNRFSGSIPSSLSNLSHLADLDLDTNEFSGYVPLALGRYQALRSLDLNDNYLEATNREGWEFVDSLTNCSQLYYLDLSGNSFRGELPSSLINLSTTLQMLGLDDLRISGNLPSDIGNLVGLNTLSICNTSLSGEIPESIGKLEKLVVLTLYNNSLSGPIPPCIGNLTNLNKLFADSNNLEGPIPTSLGKLKNLIALNLCMNHFLNGSIPNEIFELSSLSWYLDLSYNNFSGPLHSGVGNLGNLGMLALSGNRLSGQIPENIKGCRVMEMLYLDNNLFEGNIPESLNDIKGLRVLDLSRNRLSGRIPDALGSIGNLEELYLAYNNLSGQIPTVLQKLMLSKLDLYSNNLEGEVPKEGVFKNLTYFSIAENNKLCGGMPLLHLPPCRSHSFIIMAIAIAGSILFFGLAIWLVCKKLRAREKRNLSPMSITNQYERISYYALSKGTNGFSQINLLGNGGHGAVYKCILQNENRSTTAAVKVLNLQLPGSRRSFVAECEALRITRHRCIIKIITCCSSIDSQGQDFRALVFEFMPKGSLDGWLHQKSDMPTPSNTLCLSQRLDIAIDIVDALEYLHNQCQPPIVHCDLKPSNILLAEDMSARVGDFGISRFLSENTRKCLSHSSSSIGIKGSIGYVAPEYGGGCAVSTLGDVYSFGILLLEMFTGRSPADDIFRESLDLQNFVESAIPDRAMEIADPTIWLHEEAQQKDPTRIHECLVSVFRLGLSCSKQLPRERIMTRDAVAEMHAIRDANLIDSEFSVGARNLFDRIPRRSFLTL >LPERR01G02990.1 pep chromosome:Lperr_V1.4:1:2195373:2198654:1 gene:LPERR01G02990 transcript:LPERR01G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRTSLLLLFFSSFMSIFLQFMAAGAEGEDAAALLAFKAAAIGGGDGGGSHGVLASWNGSTGLCRWQGVTCDRNRRVVELSLPRHGLFGTLSPDVGNLTSLQMLELRSNWLHGDIPASLGRLRHFRTLDLGLNTFSGELPGNITSCTSLEYLALSGNKLTGRIPAELGSTLARLGLLGLDNNSFVGHFPASLSNLTSLEYLTLRTNSLEGIIPPEFGRNMPSLYFLDICSNNLSGSLPSSLYNLSSLTTFDAGNNKLNGTIAADISEKFPKLQSFAVFNNQFSGEIPSSFSNLTNLSSLQLLMNRFSGFVPRDLGRLKALQNLQLGVNMLEAGDMEGWEFINSLANCTKLETLVLSENNFTGKLPISIANLSATLQTLYLGGVIPESIGKLENLTVLYLNDNSLSGHVPCSVGNLTKLINLQMQGNKLEGPIPVDLGNIPDFLGNVKALRVLNLTMNRFSGPIPEALGNINNLQELYLAYNNLSGPVPAVLQNLTSLSTLDLSFNELQGEVPKEGIFKSLSNLSLAGNSELCGGISHLHLPACSTHAVRKRSKGWLKSLRIALEAIAVVFFLALVMVIILLIYRRKPIDMKKGQSLTPVVEEQYERISYQELSNGTKGFSQHNLLGKGSYGVVYKCTLFDEETIAAVKVFNLEHAGSTKSFVAECEALRSVRHRCLLKIITCCSSINNQGQEFKALVFEFMPNGTLNGWLHPKSDMSSVANTLSLTQRLDIAVDIMDALEYLHNYCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTLQNSSNTFGIRGSIGYVAPARDALDLRCFSEAAYTDRILEIADPTLWVHTDAKDAITRSRMQECLISVIGLGLSCSKHQPKERMLIQDAALKMRAIREDAHLMFS >LPERR01G03000.1 pep chromosome:Lperr_V1.4:1:2198919:2212304:1 gene:LPERR01G03000 transcript:LPERR01G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCNMSLITLTLMSLLLPSLLTIHLTIAGMGDDGDEAALLGFKAGITGDGSGALAAWNSSTSFCNWEGVKCSRARSPRVVALSLMSLGLVGTLSPAIGNLTFLRSLNLSYNWFHGEIPPSIGRLRRLQALDMRDNSLSGTIPANLSFCTSMTYLHLGSNQLHGCIPPELGNMMMRLQKIELHNNSFTGPIPSSLANLSSLYFLGLSLNNFEGPIPPQLSKIRGLEVFDVFQNNLYGVLPHSLYNLSSMKHFMVGMNMLAGSIPTDIGERFPAIHTLTLSYNMFSGVIPSALCNLSNITTLALDENRFSGYIPPTLGRSGALRILDLSYNKLEANNTKGWDFVGSLTNCSQLQYLALSNNSFSGQLPNSVANLSATLQVLYLTDLGISGTIPPDLGNISGLKKLVLVNTSLSGEIPESIGKLANLIDLFLFSSSLSGMIPTSVGNLTQLNRLDASYNNLEGPIPASIGRLNKLYFLSLCNNHLNSSIPKEIFELTSLSSYLDLSSNTLSGPLPSEVGKLVNLNQLVLSGNQLSGAIPVNTKNCIVLEILLLDNNLFHESIPENVKNIKGLRILNLTMNKLSGWIPDALGSINNLQELYLEHNNLSGTIPTVLQNLELYKLDVSFNNLQGEVPEEGIFKNITYSSVAGNKDLCSRMPQLHLVPCPISSMKMKRKGWLETLKIFIALTTVGAILLLVLALALMWMIPKKLKQKQKGRFLPLEVEKYQKVSYFELSKGTDGFSEANLLGRGSYGTVYKCTFHSEDTSTITAVKVFNLQVSGSSRTFLAECEALRRVRHRCLVKIITSCSTIDHQGQNFKALIFEFMSNGSLYDWLHPKSTMPAPRKVLTLAQRLNIAVDILDALDYLHNHCQPPIIHCDLKPGNILLTADMSAQVGDFGISRILSGNTCKTLIDSISSVGIRGSIGYVAPEYGEGCAISIVGDVYSLGILLLEMFTGRSPSDDLFTDSLDLHKYVEVALPDKAMDIADPTIWLHQEANDMHSTDIDLAMSKIHECLARHILLKATAKRANPDKGCCSRDARNQGCKSYICQINAMKKSHIVTIKSVTAEYGEGSAVSSSGDIYSLGILLLEMFTGRSQMDNIFGDSLQSWHILLKATASIASIDKRCSSRVLFALTIAAAVAAGGSSSDEDALLDFKAGFRGSNSIVLSSWNSSTSFCSREGVKCDRRMPKRVAALTLPSSDLAGELPPAIGNLSFLQSLNLSFNNLHGEIPPSLGRLQRLQTLDLVSNSFSGELPANLCSCISLKDLSLAFNKTSRWSGNKLTQLQKLQLQNNSFTGSIPASLANLTSLQYLYMEDNHLDGLIPPDLGKAAALRDLGFQRNNLSGVFPSSLWNLSALTVLAGNDNMLQGSIPANIGDKFPGLGHIGLAKNQFSGVIPSSLFNLSSLASVIFSGNKFSGFVPPTVGRLKSLRRLYLHVNRLEANNRKGWEFMTSLTNCSQLQQLVLSENSFSGQLPNSIVNLSTTLQKLYLDNNSISGSIPEDIGNLIGLDTLDLGFTSLSGVIPASIGKLANLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTDLEGPIPASLGKLEKLFVLDLSTNRNQLSGQIPDSIGNCEVLELLQLDKNSFEGGIPQSLTNLKGLNILNLTLNKLSGRIPDTIGRIANLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGKVPDEGVFKNLTYASVAGNNELCGGIPQLHLAPCPILDESKNKKQWSKKLKQRQNSQATFPGADEQYHRVSYYALARGSNEFSEGNLLGKGSYGSVYRCTLEDEGAIVAVKVFNLQKSGSAKRFEVECDALRRVRHRCLIKIITCCSSINPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRLNIVVDILDALDYLHNHCQPLIIHCDLKPSNILLAEDMSAKVGDFGISKILPGSIVKTLQNSNSTVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVYLHKYVWAAFPDGVLEIADQTIWLHEEANNNDVTDASITRGIIQECLVSVFRLGISCSKQQAKERMLLADAVSEMHAIRNEYLDLLSQVFKK >LPERR01G03000.2 pep chromosome:Lperr_V1.4:1:2198919:2211077:1 gene:LPERR01G03000 transcript:LPERR01G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCNMSLITLTLMSLLLPSLLTIHLTIAGMGDDGDEAALLGFKAGITGDGSGALAAWNSSTSFCNWEGVKCSRARSPRVVALSLMSLGLVGTLSPAIGNLTFLRSLNLSYNWFHGEIPPSIGRLRRLQALDMRDNSLSGTIPANLSFCTSMTYLHLGSNQLHGCIPPELGNMMMRLQKIELHNNSFTGPIPSSLANLSSLYFLGLSLNNFEGPIPPQLSKIRGLEVFDVFQNNLYGVLPHSLYNLSSMKHFMVGMNMLAGSIPTDIGERFPAIHTLTLSYNMFSGVIPSALCNLSNITTLALDENRFSGYIPPTLGRSGALRILDLSYNKLEANNTKGWDFVGSLTNCSQLQYLALSNNSFSGQLPNSVANLSATLQVLYLTDLGISGTIPPDLGNISGLKKLVLVNTSLSGEIPESIGKLANLIDLFLFSSSLSGMIPTSVGNLTQLNRLDASYNNLEGPIPASIGRLNKLYFLSLCNNHLNSSIPKEIFELTSLSSYLDLSSNTLSGPLPSEVGKLVNLNQLVLSGNQLSGAIPVNTKNCIVLEILLLDNNLFHESIPENVKNIKGLRILNLTMNKLSGWIPDALGSINNLQELYLEHNNLSGTIPTVLQNLELYKLDVSFNNLQGEVPEEGIFKNITYSSVAGNKDLCSRMPQLHLVPCPISSMKMKRKGWLETLKIFIALTTVGAILLLVLALALMWMIPKKLKQKQKGRFLPLEVEKYQKVSYFELSKGTDGFSEANLLGRGSYGTVYKCTFHSEDTSTITAVKVFNLQVSGSSRTFLAECEALRRVRHRCLVKIITSCSTIDHQGQNFKALIFEFMSNGSLYDWLHPKSTMPAPRKVLTLAQRLNIAVDILDALDYLHNHCQPPIIHCDLKPGNILLTADMSAQVGDFGISRILSGNTCKTLIDSISSVGIRGSIGYVAPEYGEGCAISIVGDVYSLGILLLEMFTGRSPSDDLFTDSLDLHKYVEVALPDKAMDIADPTIWLHQEANDMHSTDIDLAMSKIHECLARHILLKATAKRANPDKGCCSRDARNQGCKSYICQINAMKKSHIVTIKSVTAEYGEGSAVSSSGDIYSLGILLLEMFTGRSQMDNIFGDSLQSWHILLKATASIASIDKRCSSRVLFALTIAAAVAAGGSSSDEDALLDFKAGFRGSNSIVLSSWNSSTSFCSREGVKCDRRMPKRVAALTLPSSDLAGELPPAIGNLSFLQSLNLSFNNLHGEIPPSLGRLQRLQTLDLVSNSFSGELPANLCSCISLKDLSLAFNKTSRWSGNKLTQLQKLQLQNNSFTGSIPASLANLTSLQYLYMEDNHLDGLIPPDLGKAAALRDLGFQRNNLSGVFPSSLWNLSALTVLAGNDNMLQGSIPANIGDKFPGLGHIGLAKNQFSGVIPSSLFNLSSLASVIFSGNKFSGFVPPTVGRLKSLRRLYLHVNRLEANNRKGWEFMTSLTNCSQLQQLVLSENSFSGQLPNSIVNLSTTLQKLYLDNNSISGSIPEDIGNLIGLDTLDLGFTSLSGVIPASIGKLANLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTDLEGPIPASLGKLEKLFVLDLSTNRNQLSGQIPDSIGNCEVLELLQLDKNSFEGGIPQSLTNLKGLNILNLTLNKLSGRIPDTIGRIANLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGKVPDEGVFKNLTYASVAGNNELCGGIPQLHLAPCPILDESKNKKQWSKKLKQRQNSQATFPGADEQYHRVSYYALARGSNEFSEGNLLGKGSYGSVYRCTLEDEGAIVAVKVFNLQKSGSAKRFEVECDALRRVRHRCLIKIITCCSSINPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRLNIVVDILDALDYLHNHCQPLIIHCDLKPSNILLAEDMSAKVGDFGISKILPGSIVKTLQNSNSTVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVYLHKYVWAAFPDGVLEIADQTIWLHEEANNNDVTDASITRGIIQECLVSVFRLGISCSKQQAKERMLLADAVSEMHAIRNEYLDLLSQVFKK >LPERR01G03010.1 pep chromosome:Lperr_V1.4:1:2204316:2204777:-1 gene:LPERR01G03010 transcript:LPERR01G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPATKGEKGSGEGKKGGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >LPERR01G03020.1 pep chromosome:Lperr_V1.4:1:2215120:2215377:1 gene:LPERR01G03020 transcript:LPERR01G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALPAQRRYEEAGSGGGACGVEDEREEARKQTWLCRRRVRRTGGRGEAGRRGGGRGVVEDVSEELNHAAGVEFGSPACRNTKS >LPERR01G03030.1 pep chromosome:Lperr_V1.4:1:2215797:2216252:1 gene:LPERR01G03030 transcript:LPERR01G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPTAEKAPAGKKPKAEKRLPASKGEKGSGGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >LPERR01G03040.1 pep chromosome:Lperr_V1.4:1:2218939:2220875:1 gene:LPERR01G03040 transcript:LPERR01G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIPVVFLEFCSDLFALAVRLLDMPKIYNLDELLADMLIKLCSGASSSWSSRGSVFWRFKCNRIKGVSVQNMSDASAKTQIIMDGGGDMAALPEDVLIEILSRVGSIKSHFRLAVTCRHWLRRFTDPSFLRLLCPSHGKDAHHARLLGFLFQKDSFVRCERLITSREAQRGSVSPPAFLPSPGSPLGRTDRALTSFVADDGGDFNYAEPIASRRGIVLMRLVPRAVRTIDTTHLLLGVCSPITGDRHVLQPLERDHDTGYHLIGFAIITAADIDDDDENSGRRFAFSKLLVTTRGRNEFRVYLHAYSVTTRSWTTPTLCLDDRRFSLAGESSAVVRRGVAHWLYSDSGNACILTRDDSLYKLSVDARDDTSSRVSLTKLPFCAGGSPFMGITSGGKLSVACMFPVHARVWTEEDDDGTWVRSVIRMPMATPHQDYQILYEKWYGFDRGSMLLMYRSNGIGVFILDLEKKVMEKVMDCFVDLSDDRLDRIETPVAYEMDLVEFFVLQLGGLRRDLQGI >LPERR01G03050.1 pep chromosome:Lperr_V1.4:1:2223199:2227397:1 gene:LPERR01G03050 transcript:LPERR01G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALFLYILTISTAASGGGGGDEAALLAFKAGFSRRSSSSRLVSWNSSTNFCNWEGVTCSRRRQPTRVVELDLPSSNLTGTLSPAIGNLTFLRRLNLSSNGLYGEIPNSIGRLNRLQRLSLSYNSLSGECPANLTSCISLKILDLDYNQLGGHIPVELGNKLTQLQMLLLTNNSITGPIPYSLGNLSSLRNLYLDYNHLEGLIPASLGKFPSLQELSLEANMLTGEFPHSIWNLSTLRVMGVGLNMLQGSIVANIGEKFPLMRFFGLHENRFNGVIPSSLSNLSRLTDLYLATNNFTGSVPPTMGRLQFLKYLYIGNNQLTADERNGWEFLTSLANCTQLQEHARQKFAGRAAAKIDCKPVNDNAVA >LPERR01G03050.2 pep chromosome:Lperr_V1.4:1:2223455:2225204:1 gene:LPERR01G03050 transcript:LPERR01G03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALFLYILTISTAASGGGGGDEAALLAFKAGFSRRSSSSRLVSWNSSTNFCNWEGVTCSRRRQPTRVVELDLPSSNLTGTLSPAIGNLTFLRRLNLSSNGLYGEIPNSIGRLNRLQRLSLSYNSLSGECPANLTSCISLKILDLDYNQLGGHIPVELGNKLTQLQMLLLTNNSITGPIPYSLGNLSSLRNLYLDYNHLEGLIPASLGKFPSLQELSLEANMLTGEFPHSIWNLSTLRVMGVGLNMLQGSIVANIGEKFPLMRFFGLHENRFNGVIPSSLSNLSRLTDLYLATNNFTGSVPPTMGRLQFLNCKNMLDKNLLGGQLPRSIVNLSTTMQLLDMEGNSFSGTIPDAIGNLIGLSLLDLGFNPISGEIPESIGKLTNLVDLALYNTGLSGLMPPTIGNLTKLNRLLAFHTNLEGPIPASFGKLKILFNLDLSVNHLNGSIPKENLELPSLAWILDLSYNSLSGRLPPEVGTLVNLNKLALSGNQLSGQIPNSIGNCEVLEFLMLDKNSFEGGIPQSLTNLKGLNVLNPTMNKCLALVTLAICNTCV >LPERR01G03060.1 pep chromosome:Lperr_V1.4:1:2228315:2231635:1 gene:LPERR01G03060 transcript:LPERR01G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPMMPALYLHLLCILMTIGTASGSGDEAALLAFKAGFTGSSSSNLASWNSSTSFCNWEGVTCSRRQRWPMRVVALSLPSSKLGGILLQSIGNLIFLRLLNLSSNVLHGEIPPSLGRLRRLWIIDLGSNSFSGAFPANLSSCVSLTDLNLAYNQLGGQIPMELGDTLTRLQKLRLENNSFTGPIPASLANLSSLHYLNIDFNHLDGLIPEGIGNNPALQKLFLKANSLSGEFPISLWNLSSLTMLTVDYNMLQGSIPANIGSKFPNMKTFGLCHNQFSGVIPSSLFNISSLVNVFLDRNRFTGSVPPTVGRLPSLSHLSLYSNRLEANNSAGWEFITSLTNCSQLQDLVLSDNSFRGQLPNSIVNLPSTLQKLYLDDNSISGSIPKDIGNLIGLDILDLFFTSLSGVIPTRIGKLTNLAVIDMSFTSLSGLIPSSIGNLTNLNTLIAYNTSLEGPIPASLGKLKKLFVLDFSNNRHNGSIPREILDLPSLSLYLDLSNNFLSGPLPTEIGNLVNLNNIILSGNQLSGQIPDSIGNCEVLESLLLEENSFEGSVPRSLGNLKGLTILNLTMNKFSGKIPDVIGSIRQLQQLCLAHNNFWGPIPITLQNLTTLWQLDVSFNNLQGKVPAEGVFKNLTHASVAGNDKLCSGIPRLQLPPCPKHVIANKNRRQWLRSLKIALPTVGTALVLVSAIVRILLHHRKIKQRNRHTISLAIEEQYRRVSYHALSRGSNEFSEVNLLGKGSYGSVYRCILEDEGASVTMAVKVFNLETSGSSRSYEAECEALRRVRHRSLIKIITCCSSIDPQGQEFKAIVFEFMPNGSLDDWIHPRLSNPTPSNTLSLPERLGIAVDILDKSAKVGDFGISKILPDSTTKTLQNSKSSTGIRGSIGYIAPEYGEGSTVTRAGDTYSLGILLLEMFTGRSPTDEIFTDSMDLHRFVAASFLDQALDIADPTIWLHEEANDSDVTNGSIKTRIIQQCLVSVLRLGISCSKQQPRDRIPLAEALSEMQAIRDDYLRSWMVGHEVHSSLR >LPERR01G03070.1 pep chromosome:Lperr_V1.4:1:2233740:2241458:-1 gene:LPERR01G03070 transcript:LPERR01G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPASKGEKGSGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTNARLLPSPKSETPKSLLPRRGVDHRSSLTARRSSRRRVAVPPLAGDMDAIEGLKEDVLLEVLSRVGNARDLFMLAVTCRRWLRRFTDRAFLRALCPGNGDGHRARLLGIFFQKTRFVRCERMITLRETQRDSVSAPTFLPAPGSPLGHTDRALTSFVADNDGTFNHAEPLAARCGIILMMLVPRTEQMIDTTHLFGLCNPITGQRHILRPLERSGLARYLTSYAIITAADGDLEWDQRPSARFTFSQLLLTTRGKNDTEVYLHSYSATTGSWSEPTMCMNSNRFSLVGERSAVVHRGAAHWLCSDCVSSTTRDDHLYKLSVAVGTTRISMTKIPVNAGGSPFLFVSSGGKLSIACVFPMHVRVWTQKGEEGDNNTPEAWLRTVIKILMVVPHPSYVQQCQPCEKWFNFNGGSMLVLYGNSGIFILDLEKKLMEKVMDCSLPLSDEANRTSVPYEMDLVEFFVLQLGGLCRGKRAASMAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPASKGEKGSGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >LPERR01G03070.2 pep chromosome:Lperr_V1.4:1:2233740:2241458:-1 gene:LPERR01G03070 transcript:LPERR01G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPASKGEKGSGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >LPERR01G03080.1 pep chromosome:Lperr_V1.4:1:2241892:2243545:-1 gene:LPERR01G03080 transcript:LPERR01G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYTEISAFDLMDFTHNKPFNILNSICVLNDSLKSVDNAEKRSHIGKFEFVDEHRSPFILMLESNKPASWTSRLFHLHQSVYIVRTTSDSTVDHERGKEKKLG >LPERR01G03090.1 pep chromosome:Lperr_V1.4:1:2243676:2246336:-1 gene:LPERR01G03090 transcript:LPERR01G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTAPSTWPSALSAPLIRGRLAAAHVSSLLLLPASRSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSSPPSWSRFSSPHAASLLLSLASSAPSASHSFSSLSYASSLSSFPSGATSAAAALLASSYLRLRRARDAAAVLGLSLSSGITVNRYTSSHILFSLIKIRQFGLARGLFDKMLQSGVSLDEYVYTAGIRAYCETRNLDGARGLVARMEGEGIKGSAVPYNVLMYGLCKNLRAPEAVEVKDGMVKRGVAADEVTYRTLVYGFCRMEELEMALKMTHDMTSLGFVPSEANCSFMIDELRKREQVEEAFSLVCTLGDLGMVPNVFAYNALIDKLCKNGRFGDANRLFIEMGGRGLEPNEVTYAILIHSLCKRGMMEDALCLFDRMKEKEIRVTVYPYNSLINGYCKQDSLDQARGIFNDMVKEGLAPNAASYCPLIAGLCRTGDLSGAMELHKEMAERGIAWNNYTFTALINGFCKDKNMDEAARLFDKMIGSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVAMGLKPDNYTYRSLISGLCLTSGASKANEFVTDLENSYVVLNNFSLTALLYGFFREGRFTETYHLWDEMVARGVKLDLVSFTVIVYAALKHHDKEKSCVLFREMKEKGVKPDDVFYTCMVDAHSKEGNMVQALNCWDQMVDDGCSPNTVTYTVLINNLCKLGYLGSAELLCKEMLAGNFLPNKFTYNCFLNYFATEGDMEKAKVLHSAMLQGRLASIVAFNILIKGLCKSGKMQEAIDLMSKITECGFFPDCISYSTIIHELCKRGNINKAIELWNEMLCKGVKPDVVAYNIVIRWYNVHGESDKALGTYNHMIRSGVQPNCDTYRTLLLGASLMASKEQALLLTT >LPERR01G03100.1 pep chromosome:Lperr_V1.4:1:2249288:2251647:1 gene:LPERR01G03100 transcript:LPERR01G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQYKISSFFKRQAPGPNSTSDGGDTHSEGNGATEAKRPKSSADGKVLNKKRNYAQFHLELGQPNFLLHMCSVCGMMYARGNNDDEKVHKAYHKSYLEGVTFKGWRNETVVARSEGGDRIILATDENSGAWNSKVQEVIKVVQKELGFGEGRLLHKLCMVYLFISRQKIVGCLVVEPIKIAHKVIPGSTEENCSDTPVDKIEPTKTNHTLEFGKTGFKREVLKWHDRSDKNKEEYRDPGAIICEEEAIPALCGFRAIWVVPSRRRMQIGSQLMDAARKSFLEGETLGISQCAFSPPTSSGKALARSYCKTSAFLIYKDQCV >LPERR01G03100.2 pep chromosome:Lperr_V1.4:1:2249288:2252473:1 gene:LPERR01G03100 transcript:LPERR01G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQYKISSFFKRQAPGPNSTSDGGDTHSEGNGATEAKRPKSSADGKVLNKKRNYAQFHLELGQPNFLLHMCSVCGMMYARGNNDDEKVHKAYHKSYLEGVTFKGWRNETVVARSEGGDRIILATDENSGAWNSKVQEVIKVVQKELGFGEGRLLHKLCMVYLFISRQKIVGCLVVEPIKIAHKVIPGSTEENCSDTPVDKIEPTKTNHTLEFGKTGFKREVLKWHDRSDKNKEEYRDPGAIICEEEAIPALCGFRAIWVVPSRRRMQIGSQLMDAARKSFLEGETLGISQCAFSPPTSSGKALARSYCKTSAFLIYKDQCV >LPERR01G03110.1 pep chromosome:Lperr_V1.4:1:2251938:2255529:-1 gene:LPERR01G03110 transcript:LPERR01G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVMSTASKGGCGGGGDGDSEWTGRWRAEEVIAGNRESLKALRELVMYPILYAREASVLGLNVLCRPFPLRPPNVLSSDAFSFSQRIAAPWPLWHRKVQFLYINPMLERGRSSCERLLLKHNRRHHRRSSKMLPHVVVVASATRVDAVEPALRRPGRFDLEIEVAVPTAEERFEILKLYTKNLHLGECVDLQYVAASCNGYVGADLQALCREAARHAYDRLSNSSESENMLTIIMEDWESAKSVAKNSVTRGVTKEIPTVSWDDIGGVKDVKKKLKQAVEWPIKHAASFHRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPDAIDAALLRPGRFDMVLYVPPPDVEGRHEILRIHTRKMPLGEDVDLWKIAERTELFTGADLEGLCREAGMAALRECLRSERFSCDDIHFQAALRSLRPSLTRAVVDEYSNAATSGPLTRRKH >LPERR01G03120.1 pep chromosome:Lperr_V1.4:1:2257541:2257912:1 gene:LPERR01G03120 transcript:LPERR01G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKLTEEEPATEKAKKARTGKKPKAEKRLSASKGEKGSGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGETAMLAHYNKKPTITSRKI >LPERR01G03130.1 pep chromosome:Lperr_V1.4:1:2258877:2271343:1 gene:LPERR01G03130 transcript:LPERR01G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPLNPRPPASHLRRLLLLTTSTSASRSPSPLRTLAAAAATDAATPPEETVPPAAEARVERLQPLQWPARDALCGELGAGDAGRRVRLSGWVALHRVHAGLTFLTLRDRSGTVQVTTLPEYPEVYAAVNKLRVESVVAVEGVVRSRPTEAINTEMKTGAIEVAADNIYVLNSVTRSLPFPVTTADTVKDKFPEEIRLRFRVLDLRRPQMQSNMRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIASQTIISNAAPQCCLHSLNHFIKRCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHVSDAFSSSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDSGELEGIGPLVSSLKPEKKEQLLEHLDAQAGDLILFALGEQTTANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRTGIKSTSRKLDYMKNRYKSRFVAAGGAQDQNTRDADAELAKCFVQGVVTYTVMDDLTVCPMSSISSIIALLNTFAVKDLGTVKETTVQLGYTEGLAILRASLQSKTVLSDVFLAGNSVRLLLSKVKPKGKFYGSSRRSQHQHAWKRRVILLWSGISFFGFCYYSLAEG >LPERR01G03140.1 pep chromosome:Lperr_V1.4:1:2273877:2274263:1 gene:LPERR01G03140 transcript:LPERR01G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAADGREIVHVCGRRLGSITVFVKYTVMDDLTVSPMSSISSITLLNTFAVKDLCALQEKTVHLGYTEGLAILKASLQSKTVLTDVFVGKKPARQA >LPERR01G03150.1 pep chromosome:Lperr_V1.4:1:2276606:2276989:1 gene:LPERR01G03150 transcript:LPERR01G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGAAGVSSSSNGFVQGVVTYTVMDDMTVFPMSSISSITMLNTFAVRDLGVLREKTVQLGHTEGLAILRASLQSKTVLSDVFLARRHARLA >LPERR01G03160.1 pep chromosome:Lperr_V1.4:1:2277905:2281573:1 gene:LPERR01G03160 transcript:LPERR01G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGASTSALSMKLLIDTKAQRVLFVEASKDVVDFFVSLLALPIGTAFKLLGKQSMVSCVGNLYTSVEKLDDMYVQDDTTKDAMLRPIVISPATTSTSTSVLRLPPPPPEQETLTLFSCIYYDKEDKDCGKYLTKVSGTACPICGGKMIMREDYPSRPDFFARLSKRAKKNPKAGDGEKGFVQGIATYTVMDDLTVSPLSSISSITLLNAFGVKDLSTLQEKTVQLGFKEASKQLFCPVTGEPYALLSVSPSLLPS >LPERR01G03170.1 pep chromosome:Lperr_V1.4:1:2284845:2287683:1 gene:LPERR01G03170 transcript:LPERR01G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEVVTHAWKEWVLQALVLLSFMLQVALLILAEFRRRVDHAAIRLLVWSAYMLADGTAIYVLGHLAATASRSPQHELMAFWAPFLLLHLGGQDNITAYAIEDNRLWLRHLQTLVVQVAAAGYVLYGSSIVGNNSLLKCAAILVFVVGVVKYGERVWALRCAGSRPWGMNYHHFTISWETTRYDHTVDNTGHLDTEDLLLMAHGMLCIGKNRLIGPVPNLVIELEGCSRLRGHELYKIVQMQLSLMHDVFYTKIEAMHSWFGGLCIRVALPVATAVAFLMFHQWRGNHRLDGYTSADITATYVLLVGALVLEMVSALRGIFSIWTCRFLRDRCYFLFRAIIYLRRFVHAAEWRKKYWSGSMGQQSLLQLCACSRASRMSKLARWMGVEDWWNTLAYSSFIPVSSSIERMLIQQVLKSKSTNEHGLDHIRNSCGRAVLKSFRLYERLAWSIIPELLGLEKSILVWHIATEVYLCWYMRNRPANWENVSNARALSNYMLFLVAARPFMLSSTATRYEYADMCYCLTGLRYSTAQDLTKILWDYGAALNGGNHEFHFPVLDNFVRPPLDNTVIASRILRENETLERGCRLGAQLIDVDEELQHRRQATDMLGLIVKVWVDTLFYVGRRCNVDFHAKQLSSGGIREFHSPGADRSSVLPVRHATIELIFRPLFDGILQYLNSVYYTYPMING >LPERR01G03180.1 pep chromosome:Lperr_V1.4:1:2295647:2308063:1 gene:LPERR01G03180 transcript:LPERR01G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASNTSVLSMKLLIDTKAQRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKDSMVGCVGNLYISIEKLDDMYVQAGASKDALLSPTVLSPAASNSSVLRLPAPSTEQNKSFFRCGYNYNNYNSSCRSYVTDTSGTKCPSCSNQMTTECYYVEGDPAQKAQNAAAEGAKGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEKTVQLGYTEGLAILRASLQSKTVLSDPFSSLITLTSLRASTMSGDNMAPHVVEDFAGVIQLFSDGTVVRGDDSAFLAVPGAVQDLPGVQWKDVVYDATHNLTVRLPVLVYFHGGGYCIGAPDQPPFHNFCLRAAGELPAVVLSVQYRLAPEHRLPAAIDDGAAFFTWLRGQAAGAGADTWLAESADFARTFISGLSAGANLAHHVTVRVASGRPDVDPVRVVGYVLIDAFFGGVERTAAEANTPASVPSLTVEMADQFWRMALPVGATRDHPVANPFGPESPSLEPVALPPALVVVSGGDVLRDRLMDYVARLKGMGKEVELAEFEGEHHGFSVLQPWSTASGELIQVLKQFKTKRRRLSQIANYK >LPERR01G03180.2 pep chromosome:Lperr_V1.4:1:2308023:2311785:1 gene:LPERR01G03180 transcript:LPERR01G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTPSTALSMKLLIDTKAQRVLFAEASKDVVDFLFSLLALPVGMAVKLLGKESMVGCVGNLYASVEKLDDTYVLADTAKDALLSPVVLSAPAASNRSVLGLPAPRTEQPKRFFRCSYNNYSDCRSYMTDASGTKCPSCNNQMTTEYRYVEEGDPDQKAQNAAAEGAKGFVQGIVTYTVIDDLTVSPMSSISSITLLNTFAVKDLGALKEETVQLGYFEGLAILRASLQSKTVLSDVFLSKRPAAQSTEGEIHSSH >LPERR01G03180.3 pep chromosome:Lperr_V1.4:1:2311586:2312617:1 gene:LPERR01G03180 transcript:LPERR01G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNMAPHVMEDFAGIVQLFSDGTVVRGDDSAFLAVPGAVQNLPGVQWKDVVYDATHNLTVRVYTTATITTNAGKLPVLVYFHGGGYCIGAPDQPPFHNFCLRAAGELPAVVLSVQYRLAPEHRLPAAIDDGAAFFTWLRGQATGGADTWLAESADFARTFISGLSAGANLAHHVTVRVASGRPDVDPVRVAGYVLIDAFFGGVERTATEANPPASASAPLVTVEMADQFWRLALPVGATRDHPVANPFGPESPSLEQVALPPALVVVSGGDVLRDRLMDYVARLKGMGKEIELAEFEGEHHGFSAVQAWSTASSEFIQVLKQRRHAHPRFEKDKQVY >LPERR01G03190.1 pep chromosome:Lperr_V1.4:1:2297440:2319819:-1 gene:LPERR01G03190 transcript:LPERR01G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKASLTSNTVLTGSTGMATMQLKLLVDTRSQRVLYAEAGKDAVDFLLSLLTLPLATVSNLLAAHSSMPGSLTNLYPSVDTLDDAYLVSGDAKLALLLHPGATAHSVACNVLRLTQRPAPGASSMVSGGGGGCFYRCSGQWYEVCGSYVAKACGVRCPSCEGVMASKLQLVGAGDAPAPATAPAAFGDEGFVQGLATYMITDDLKVSPLSSISGITLLGKFGVTHIGELEEKTVQLGYNEWHAEHEAAGGHQGEARAVRGGQQGRRRLPLLPPHLAVGTVGKDSKFGSIAKLHGSVEELGACRNLPPLALSTETEVYRCSSLNSQCYNCVSMVSGVPCQIAQCNGKMIVAVKLVMLSNTTTGSNGGEVPSAVAGTGLTYTIMDDLKVAPMSTVTLIKSGVSNIKSLQEKTVQIGYTEGLSMLKASLQLKTVLTDVFLGRKRKKCRDASGSPMSMTLLVDTTAQRVLYAEAGKDVVDFLFSLALPVGMVVKLLGKDSMVGCVSDLYASVEKLDDTYVLADAAAKDALLNPVVLSPAATSKNSVLRLPAPRTEQPKSFFRCVNSSYSACRSYVTDASGTKCPTCHNQMTTACSYVEGAPDQIKMQKNAAHGAKGFVQGIVTYTVMDDLTVAPMSSISSITLLNKFAVKDLGALKEQTVQLGYTEGLAILKASLQSNTDLDELTRRCAPCLDSREAMVLSLELSELDLFPHPLQPRHVIHQPVTEHVAAGHHNERRRESDLLKTGALRPERAAFGAGTSASSTPDAGCRCSACLAAAHGGKGFVRDVVTYTVMDDLTFMPMSSISSFALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFMNNRKKRRAGDKHHRPGDKIVDARAPVEKKDAAGLEILKASLQSETVLTDVREASSAPMSMTLLVDSTAQRVLYAEDGKDVVDFLFISFWLGWAPAYGPTLFSLVALPVGMVVKLLGKDSMVGCVSDLYASVEKLDDTYVLADAAAKDALLNPVVLSPAATSKNSVLRLPAPRTEQPKSFFRCVSSGYSSCRSYVTDASGAKCPTCEYQMTTACSYVEGAPDQIKMQKNAAHGTKGFVQGIVTYTVMDDLTVAPVSSISSITLLNKFAVKDLGALKEQTVQLGYTEGLAILKASLQSNTVLTDVFIGLKKKPATLCSMAGGPRNHGALPRTQRARPLYPSPSASPHNPSDGRGARRRRTPRRAPAGARPAQDSGSPARTANMGNAATVPSSCATADAAPSTTAPTIKLLIAKEAQVVLFAEASKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYASAAFGAGTSASSTPDAGCRCSACLAAAHGGKGFVRDVVTYTVMDDLTCRTSPASRCSLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFMINRKKRRAGDKHHRPGDKIVDARAPVDKKDAAVQTEKSAPPKPQDFA >LPERR01G03190.2 pep chromosome:Lperr_V1.4:1:2319822:2320525:-1 gene:LPERR01G03190 transcript:LPERR01G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEALHGDHDAILDETFYLRALRRRKERSSLPRRWLRHSGKLLQLPESPAASLGTNIYRCSLSDYDQCNDYVSTVRGLPCQIKECPGKMTVSVKFVVSSGMRYHWIKQRRGRACTIFCCCWHRFCAGCGELVTYTIMDDLKVAPMSTISGITLLNTFGVTDISARCKRRPCRWDTPRV >LPERR01G03200.1 pep chromosome:Lperr_V1.4:1:2322908:2329062:1 gene:LPERR01G03200 transcript:LPERR01G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFPLHRRSHRRVLDPFLRRPGFEAAAGDLNRRVVSSSPLLAATPDLEFWTLRREHRSHSRRAWRDAWPDESPSSAGARRGLGLDRAVRRAAAGAARVGSRLEMASRGCPPRDGLGGAARPPRLDSPCFVVAKWAVEVREQIDEDILLGNARDGNGRAQSPPRHGLMARGLRGRAAWSYSYWIGR >LPERR01G03200.2 pep chromosome:Lperr_V1.4:1:2322908:2329062:1 gene:LPERR01G03200 transcript:LPERR01G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFPLHRRSHRRVLDPFLRRPGFEAAAGDLNRRVVSSSPLLAATPDLEFWTLRREHRSHSRRAWRDAWPDESPSSAGARRGLGLDRAVRRAAAGAARVGSRLEMASRGCPPRDGLGGAARPPRLDSPCFVVAKWAVEVREQIDEDILLGNARDGNGRAQSPPRHGLMARGLRGRAAWSYSYWIGR >LPERR01G03210.1 pep chromosome:Lperr_V1.4:1:2331049:2331453:-1 gene:LPERR01G03210 transcript:LPERR01G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEEDDAPSPRAAAFATASGEVGRAIFMQIAESYGIDSTNALAASDDVANALRAQWLLLREASCLIHDDDAFTAYIDLVRPKMLSDTSAIFSSAIAKLLSDPDVDVDAPASLIGSSTTAVSNDNDEHLRCRL >LPERR01G03220.1 pep chromosome:Lperr_V1.4:1:2332016:2343643:-1 gene:LPERR01G03220 transcript:LPERR01G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTPAAASLTMKLLIDTKAHRVLYGEGSKDVIDFLLSLLAVPLGGVTNLLTAGAMVGSLGNLYASVVDADKLGADVKAALLASSTAVLRLNSPADGAASSSTANGDGDVRLYRCDGCACSKRCYNFVTKVNGTPCPVCKRKMTAEVSIVEPEDVSGGVNVVTAPAPEPDEVTLTYTVMDDLTVAPSSTVSAVAGLVALGVTDIRGLQEKTVEVGYDEGLALLKASLQSKTVLTDVFLGARQSAHRRPLLESNNVKASGALTMKLLVDTKAQRVLYAEAGKEVVDFLFSLLTLPVGTVVKVLSKDSMVGSIGKLYGSVEDLDATYVRSADAKNVLLAPAGGFDSGKLLQLPETAAKLGTQVYRCSSSRFSDCYNYVSTVNGLPCQLQCNGKMTSPVEVVLPSATGSAAAGGVAPAPSTAAGTGFVQGVVMYTIMDDLKVAPMSTISGITLLNTFEKTVQMGYTEGLAKLKASLQSNTVLSDVFLGKKRKA >LPERR01G03230.1 pep chromosome:Lperr_V1.4:1:2345111:2349048:1 gene:LPERR01G03230 transcript:LPERR01G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELSIKLLIDTKAHKLLCLPISNIINLLTKEHMVGSIANVFDSVEKLDAKYVISNESKKPYLSPMVAPAALCPLQQLIDAQLNADTNFFTCVGILHSYHGIRAACGYFSVMKGSICPNCGSAMNKAMPHVKTTGFVIGTAKYTVKDDLSMTPASCVSSISLLAQCGVKDFSTLQERTVKIGKDEDCVLGIDCYLTAQKKFVLHSLNILLLVIVEGIDHLPRNFLRGQIMVKKELSIKLVIDTKAQKVCFAEAGSDVIEFLSTLLCLPMSTIISLLTKERMVGSMGNLLDSVEKLDAKYVISNQSKECYLSPTISPSALCPLQQLLDAKLNANTSFFTCEGNISSYSNYSSSRVPCGYLSVNRGSTCPICSSQMQKAIPVIETVGFVVGTTTYTVKDDLSMTPASSVSSIGLLAQCDVKDLAMLQERTVKIGKEEALEILLASLKSKTVLTDVFLSKKNVRCKREAST >LPERR01G03230.2 pep chromosome:Lperr_V1.4:1:2345111:2349076:1 gene:LPERR01G03230 transcript:LPERR01G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELSIKLLIDTKAHKLLCLPISNIINLLTKEHMVGSIANVFDSVEKLDAKYVISNESKKPYLSPMVAPAALCPLQQLIDAQLNADTNFFTCVGILHSYHGIRAACGYFSVMKGSICPNCGSAMNKAMPHVKTTGFVIGTAKYTVKDDLSMTPASCVSSISLLAQCGVKDFSTLQERTVKIGKDEDCVLGIDCYLTAQKKFVLHSLNILLLVIVEGIDHLPRNFLRGQIMVKKELSIKLVIDTKAQKVCFAEAGSDVIEFLSTLLCLPMSTIISLLTKERMVGSMGNLLDSVEKLDAKYVISNQSKECYLSPTISPSALCPLQQLLDAKLNANTSFFTCEGNISSYSNYSSSRVPCGYLSVNRGSTCPICSSQMQKAIPVIETVGFVVGTTTYTVKDDLSMTPASSVSSIGLLAQCDVKDLAMLQERTVKIGKEEALEILLASLKSKTVLTDVFLSKKNVRCKREAST >LPERR01G03240.1 pep chromosome:Lperr_V1.4:1:2355227:2355874:1 gene:LPERR01G03240 transcript:LPERR01G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKELSIKLLIDTKAQKVCFAEAGNDVIEFLCSLLCLPVSTIINLLTKERMVGSMANVLDSMEKLETKYVYSNKYMERYLNPTVAPNTLCPLQQLLDAKLNANTSFFTCEGKLIGNSYNDTRVACGYFSVMKGSICPICFSQMLKAIPHVNTSRVMVGTGTFTIKDDLNDSSIQRVKRQSACTMWCQGLGQAAGKDRENWKRRDLCSVHIYHFE >LPERR01G03250.1 pep chromosome:Lperr_V1.4:1:2357486:2358508:-1 gene:LPERR01G03250 transcript:LPERR01G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAAPHVVEDFYGVVKLLSDGSVVRGDESILVAPVELPYQDVPGVAVQWKDIVYDATHGLKVRIYTTPTSMTTTAADDGGNLLPVLVYFHGGGYCLGSPEQSVFHGFCLRAAHELPAVVLSVQYRLAPEHRLPAAIDDGAAFLSWLRGQAVLGAGADPWLVKSADFSRTFISGLSAGGNLAHHVTVRVGSGEVAVDPVRVVGYVPIDAFFGGVERTASEAGLSDGVKMGDQLWRMALPVDATRDHPLANPFGPESPGLESVALPPALVVASGGDVLYDRVVDYAARLKEMGKEVELVEFEGAKHGFSAVEPSSPATEEFIRILKRFVHQGGAPPS >LPERR01G03260.1 pep chromosome:Lperr_V1.4:1:2360192:2361205:-1 gene:LPERR01G03260 transcript:LPERR01G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHAAPHVVEDYRGMIQLLSDGTVVRSDAAGAALQPPEHFPDVHGVQWKDLVYDATHGLKLRMYRPIAGDAEKIRLPVLVCFHGGGYCLGAFSKPSFHCCCQRLASELRAVVLSADYRLGPEHRLPAAIDDAASVISWLRRHAMSGPDADPWLAESADFARVFVAGESAGGNMAHHVAVMMGSGQQLAVGPELRVAGYMLLTPFFGGVERAASEADPPAGAFFTPEMSDKLWRLSLPEGATRDHPVANPFGPASPSLAPVGFSPVLVVAAGRDILHDRAVNYAARLKEMEKPVELVVFEEEKHLFLSLQPWSEPASELIRVMKRFMHKDEGSESK >LPERR01G03270.1 pep chromosome:Lperr_V1.4:1:2363555:2363794:1 gene:LPERR01G03270 transcript:LPERR01G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKERVPRGYVPILIGGQGEEREKILVRTEQLKQPQFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGATAGELKS >LPERR01G03280.1 pep chromosome:Lperr_V1.4:1:2364252:2366729:-1 gene:LPERR01G03280 transcript:LPERR01G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLFVLIVFLGDHRSAAATDTISPGDVHAGEDRLVSNNGKFALGFFKDESSAAPNQNKWFLGIWFNIVPNLTTVWVANGGDPIMAAAAAATSPELTISGDGDLVALHPTSKSVIWSTQAAKNSISNNIAAVLLNTGNLVLLDNSNLSETRTLWQSFDYPTDTLLPGAKLGRDKLTGLNRHLISKKSMADPSPGAYCFAVDSNTPQLVLKICNSSITYWSSGAWNGQYFSGIPELIGNSPGFHLGFFNNSREEYLQFNVSDDAVVTRNFIDVNGQNKQEVWLGSSQGWLTLYSNPKVQCDVYGLCGAFSVCSFSLLPLCSCMKGFTVRSVKDWEQGDQTGGCVRNNQLDCGSSNNSAAASTDKFYTMSGVILPDKAERMQDVGSSDECSKACLNNCSCTAYSYGSKGCLVWHTELLNAKQQQNNDETGSNGEIMYLRLSARDMKKSNKRRVTIGVVVGACSLSVLVYVVVLFIIRRNKNKNRNQNCGNLVAFRYKDLRSATKNFSEKIGEGGFGSVFKGQLRDSTGIAVKRLDGRSQGEKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKLLDWSTRYQIALGVARGLCYLHESCYDRIIHCDIKPQNVLLDALFIPKIADFGMAKFAGRDFSRVLTTMRGTLGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEFTTAKSTDTDGNYSVYFPMQASQKLLDGDVMSLLDQRLSGDADIKEVAKVCKIACWCIQDDEVDRPTMGQVVQILEGVLDCDMPPLPRLIQRIFERPSSVSSTSFSLFVSSTDSR >LPERR01G03290.1 pep chromosome:Lperr_V1.4:1:2367962:2368913:1 gene:LPERR01G03290 transcript:LPERR01G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKPMVTLSSLLLLLLILVSGAVAARPTRHLTADDGLADAPVATNADIPATPASTVPVAGAAASGGVAAGAPIEASTIPIAAGAGGGGDHQMVFFMHDILGGTNPSARIVDNAAVTGKIPFARPNGALPLNSGVNVKSGAAGAIDNNNIPFLTGLGGATNNAVPSTNTNSNNNGVPVFAGSSLPQGTTLQKLLFGTMTVVDDELTEAPELGSPAVGRAQGFYVARSEEGVSQTVAVTTMFKEGEFADSISFFGVHRTADSESHLAVVGGTGKFVGAKGFAKVAVVRPGGVAATGAAHETDGVETVLQFTVFLM >LPERR01G03300.1 pep chromosome:Lperr_V1.4:1:2372932:2379917:-1 gene:LPERR01G03300 transcript:LPERR01G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKIVKRLEEAAVSARGEDRVRVLKSWLKALQEVEAEIGGLDGAAEQNAPSSELNTSKAPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSGIQDLAKSISNYNDEVLVKREELLQFTQSAITGLKRNADIMRIDAEAAELWKKLNDKEASRVQPTQELAKITERTSAATVEVLPLSDRIAFKEALSEVRFCSRMEELLLKKKSSTAGDSLEIRSQKACFHVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTENFLKLTKCHLSTFKEVLSPSIERIRTYVDNLAVIQSREVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMRKLFYSEQGANSRRDDDEVRNLFSEIEKLRETFEALERPTLDIEVRRAKVPTKERSGSSPSPVQASSTPKAATVEAPESPAKPDEPLDPDSELAKLELEFGQASKHSPEDISGWEFDELEEELRADISKSGNKK >LPERR01G03300.2 pep chromosome:Lperr_V1.4:1:2372932:2379917:-1 gene:LPERR01G03300 transcript:LPERR01G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKIVKRLEEAAVSARGEDRVRVLKSWLKALQEVEAEIGGLDGAAEQNAPSSELNTSKAPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSGIQDLAKSISNYNDEVLVKREELLQFTQSAITGLKRNADIMRIDAEAAELWKKLNDKEASRVQPTQELAKITERTSAATVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTENFLKLTKCHLSTFKEVLSPSIERIRTYVDNLAVIQSREVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMRKLFYSEQGANSRRDDDEVRNLFSEIEKLRETFEALERPTLDIEVRRAKVPTKERSGSSPSPVQASSTPKAATVEAPESPAKPDEPLDPDSELAKLELEFGQASKHSPEDISGWEFDELEEELRADISKSGNKK >LPERR01G03300.3 pep chromosome:Lperr_V1.4:1:2372932:2379917:-1 gene:LPERR01G03300 transcript:LPERR01G03300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKIVKRLEEAAVSARGEDRVRVLKSWLKALQEVEAEIGGLDGAAEQNAPSSELNTSKAPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSGIQDLAKSISNYNDEVLVKREELLQFTQSAITGLKRNADIMRIDAEAAELWKKLNDKEASRVQPTQELAKITERTSAATVEVLPLSDRIVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTENFLKLTKCHLSTFKEVLSPSIERIRTYVDNLAVIQSREVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMRKLFYSEQGANSRRDDDEVRNLFSEIEKLRETFEALERPTLDIEVRRAKVPTKERSGSSPSPVQASSTPKAATVEAPESPAKPDEPLDPDSELAKLELEFGQASKHSPEDISGWEFDELEEELRADISKSGNKK >LPERR01G03300.4 pep chromosome:Lperr_V1.4:1:2372932:2379917:-1 gene:LPERR01G03300 transcript:LPERR01G03300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKIVKRLEEAAVSARGEDRVRVLKSWLKALQEVEAEIGGLDGAAEQNAPSSELNTSKAPLARVLFYDADIGGAPMNFRDVFLYSQALEGGKEINNAIMSGIQDLAKSISNYNDEVLVKREELLQFTQSAITGLKRNADIMRIDAEAAELWKKLNDKEASRVQPTQELAKITERTSAATVEVLPLSDRIAFKEALSEVRFCSRMEELLLKKKSSTAGDSLEIRSQKACFHVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTENFLKLTKCHLSTFKEVLSPSIERIRTYVDNLAVIQSREVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMRKLFYSEQGANSRRDDDEVRNLFSEIEKLRETFEALERPTLDIEVRRAKVPTKERSGSSPSPVQASSTPKAATVEAPESPAKPDEPLDPDSELAKLELEFGQASKHSPEDISGWEFDELEEELRADISKSGNKK >LPERR01G03300.5 pep chromosome:Lperr_V1.4:1:2372932:2379917:-1 gene:LPERR01G03300 transcript:LPERR01G03300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKIVKRLEEAAVSARGEDRVRVLKSWLKALQEVEAEIGGLDGAAEQNAPSSELNTSKAPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSGIQDLAKSISNYNDEVLVKREELLQFTQSAITGLKRNADIMRIDAEAAELWKKLNDKEASRVQPTQELAKITERTSAATVEVLPLSDRIVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTKEVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMRKLFYSEQGANSRRDDDEVRNLFSEIEKLRETFEALERPTLDIEVRRAKVPTKERSGSSPSPVQASSTPKAATVEAPESPAKPDEPLDPDSELAKLELEFGQASKHSPEDISGWEFDELEEELRADISKSGNKK >LPERR01G03310.1 pep chromosome:Lperr_V1.4:1:2383926:2386694:1 gene:LPERR01G03310 transcript:LPERR01G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRLAPGFLDIFSVLCITLAAAAEAYTPVDNYLISCGSSVDTPVGRRLFVADDSGAVVLTSPASSAVKASPSAVSGLGDADAAMYQSARVFTAPSSYAFRIREPGRHFVRLHFFPFVYRGYDLATASFKVSTQDAVLIESFSPAAAAARRNASSPTPACEEFLLDVSRDTLVVTFVPLAGGGGLAFVNAVEVVSVPDNLVTSNSVGQQLNPAVMPLQTAYRVNVGGPSLAADNDTLLREWKNDQQFLVATFTSAVTKVVNYNGTLNYLPGQATADDAPAIVYATGRELIMNSSTLDGMKQMTWQFDVDVSASYLIRFHFCDIVSNVPGQLHMSAYVDSSEMTPAIQDLDLSAIGNGTLAFPYYRDYVLATSSASGKLAVYVGASANKITKPAAILNGLEIMKILTNTGSVVVVEPTAPATSKKKNLAVVLGSVFGAFVFVSLAAALVIMFRKKEEKNELQTPSSSSKPSTAWMPLLGRISFRSTPTSAVVSASPSFTNRTNTPGSATPMSATSSSPSYRFPFSTLQEATGNFDEGLVIGEGGFGKVYAAVFPDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDDHDEMILLYEYMEHGSFRSRLYGGKAETTLLTWPQRLEACVGAAKGLLYLHTATAKPVIHRDVKSSNILLDGGLTAKVADFGLSKAGPDTDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQGRDELEKIVDRRIADAVRPATLRKYGETVARCLADRGVDRPAMEDVVWSLQFVTRLQEVDGLDVSDVSSLNMVHQLTPPSHARQRSAGEGETGREEEEGGSSVADDDYTDASMRGIFWQMVNVRGR >LPERR01G03320.1 pep chromosome:Lperr_V1.4:1:2387225:2389862:-1 gene:LPERR01G03320 transcript:LPERR01G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDAEEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSRDREYMGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLRLYPVRFLFYKQTNFEYKVLNVWYLDISRRGRSYSRSPTPRRGRSRGRSYSRSRSRSYSRSQSPRRDSRNERRSRSPRDSRSPRGSPRGSRSPRGSPRDSPSPMGSPRGSRSPMGSPRDNQSPRRSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDISPAANGRSPSPRDYENNGNHQASPRGSASP >LPERR01G03330.1 pep chromosome:Lperr_V1.4:1:2390996:2394371:1 gene:LPERR01G03330 transcript:LPERR01G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTAEVTEATGTNRDTAATEDTLLTAAATPPTARTHLRTAAATPPTATPPPPTLRTPRRPTEEREAIRQQRRDPSDHMIGGVDDDDLISRLNDDVLVHILCFPPTLTDVLRACTVSRRWCRLQNRVPVIRFVCVDPGLSEHEKLDRFITFVNNILDRRADHHSDADAIEELEISLLSFHTGDCMHDGKYMQCHVSTPLKLMTGFGMDNKIVLDEILPSSARLETMVLSLSNACFRRLPFVAAFDSLTNLSLENMQLEDDSVHLLNRLLSPSCCPHLQKLCLHNLTVGQVVDQLHFESSELLELSLHIICQNFCLLEIITPGLRVFHMRSMSVERLSISAPRLEEITLSTILPSMINVKDMPCVRKIELMSPPGFVYSRNENQADIRLLQCCRLLQFLTLHLCIYKKNSHEDEEVDLMKDIPQLPHVLSLSLNVSAPNKLYDIAPNIAYLLTRCKFLEHFELKMIYNCPTTNLRRSTNVGNQNQRDYQTILLEHLQEIKIIIYYMGDYESTLIKFFHASAPHLKKMRVAVKSPLMDSQSLRTHSKICEELLNSIALGKEGKWVFCNSDVHMQNFIMFEWAPIKSIKDYNECRGDVD >LPERR01G03340.1 pep chromosome:Lperr_V1.4:1:2395696:2397323:-1 gene:LPERR01G03340 transcript:LPERR01G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNDSGNNNSSSSNSEMPGKKVRKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVRTKTTIQIRSHAQKYFLKVQKLGLAAGLPPQYPRRRLVMQQQQMQSSPAVSSTVASTAAILHGQPQCLPLGMPHHNVNAAIQSSIGWDYMQNLDWGSTSGSAAWVNHDSQIEPAISFPGESSFMWGTSFSNMSMDWTGGTSEMTTASTAHDEIELPLSPDDLQFAQVYRFIGDIFDPDTPCTVENHLQKLKNMDDITVKTILVVLRNLEDNLLSTQFEPIRRLLSTYDPNQGLSGHL >LPERR01G03350.1 pep chromosome:Lperr_V1.4:1:2402247:2403497:-1 gene:LPERR01G03350 transcript:LPERR01G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEELALTVPGTLMLFGTGAMEAVKSIEAAHRKLEAYVALTRTIRERMIREGPAGATDAMENFEDPPPVGVLPGKILEYARREISSVASRHAMSGHVFVRYAAHHGIQHDPPCSSWDVHYRDAIRLTDDALGRVRNAATHAAAAVDAVKSAGLIANDIRQWNDWMLAAVDLGNRAVTNASQALVEVHDARHAVALEFFDAWKIVRRGRSLSAERNNRRELALTVPATLILIATETESSRLINVARSKLDSRVGLLRSIRQGTPTNDALKNFVDPDPEGVLPTVILEDARDEITRNAQEQATIHDIFASYAAFLGIQDEPPYTRWFASHQEAVGHTNNALYLLGTAVLDFEEARGAVGTMGNLPYYCPLWEEWALNGQNLTRLADLEANLALNAMIRARQAICEEFFDAWAILRR >LPERR01G03360.1 pep chromosome:Lperr_V1.4:1:2403937:2404500:1 gene:LPERR01G03360 transcript:LPERR01G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVEKWRARFRDRVEESESCLLRVYGSLTAALQYVEAPMVARDRIKLALEALGDASSDLSFAMSSMKAAEILALRGGGASPSLPCIRIEELGVQYLAERNAGLKLRDAWEDAKEAYPVVEQSRSHLEAVLLLLDHLGVPDVDDLIQADRRAAVDCIKAAKGNAELGCGRAIAARRDVPGAN >LPERR01G03370.1 pep chromosome:Lperr_V1.4:1:2404580:2424282:-1 gene:LPERR01G03370 transcript:LPERR01G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDARARQHRRRQEYSSSDHRIGGNDDDDLISHLNDDVLLHILRSLPTLTDVVRACAVSRRWRHLEACVPVLRFACLNRHDFEWPDELDRFVAGVNNVLARRASASQSQHVPVEELAITVKSSLVCRCTSVPSVHVDQVNAWIRHGMQHVSKSFALKLVNLPLSSATLETMVFSLSNACFRLSATATFDSLVDLSLEKVRLRLEEDGSRLLSQACCPRLRKLCLHRVNVDKVVDPLHIESNELLELSLDDITCGHTLLLEIKTPKLRVLHMSTMYIRRLAIYAPRLEEFTFFTWVTNVIDVEDMSCVQILKIALGSSLITNISRHKSSRPLRFLTLRLDISQKDSHRDTELASVKDIPHLHQVTSLTLQVSGSGSQEVFYYFASVACLLTRCKFLKYLELNITYFSTFTVVKKKQRRRHLSDHGSGNGDDLISHLDDDVLLHVFRFLPSLTDIVRASAVSRRWRHLGARVPSLIFTLRRSEIDRREKLDQFIVVINNTLAKHVGQFEDLTISLQSYGFRTAANKCDVPSVDVAQVGAWIRHGMQHVMKSFTLVLDPLLLKSGDNHSPHLLDNRNMVLDELPSSTRLETMVLSLSNACLRLPVAATFHSLTDLSLMNIRLEKDSTRHLNHLLSSACCPRLRKLCLKNLMVDRVVDRLLVESNELLELSFRAFGKYSMFLELKTPCLRVLDMAYVSLKRLSIAAPRLEEFTFSNTTVSSTTNFEDMPCARVVMIDLRPILEPGYGERLNQARFQVLQCCRFLQFLTLHLYIFEKNDHDFVEVDVMKYIPQLPHVTSLSLHVFEFNKVLYDIANVACLLTRCKFLKHFELNILSSIGYQESTYQNQMDHHIISLEYLQEIKIISDDMRDYEARLIKLLHASAPPLKKMRVALKPAITMSSQILGMHPKIICEEFLHSIALDKEGKWEFCNHDAKMQDFTSFEWTPIENNELSIRTESNQTSNKHQKSPHQPISQEGEQPAQLVAAGPGSGLDGTAQTDQLRTPRKSIDQTIVSDDMNR >LPERR01G03380.1 pep chromosome:Lperr_V1.4:1:2425425:2426003:-1 gene:LPERR01G03380 transcript:LPERR01G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVEVERWRARFRARVAQSEDALLLAYGRLTAALPYLEAPMLAGDAEAARDRIELALGALGDASNNLSSAMSYMKAAEDLALTGGATVPSVPLHRIEQLPVKYVAEENAGLKLREARMDAKVAYPIVELSRSHLEAVLLLLDHPGVPGVDDLIEHERGAAVAGINTARANAELGCDWAIRARNDLPGAN >LPERR01G03390.1 pep chromosome:Lperr_V1.4:1:2426461:2427648:1 gene:LPERR01G03390 transcript:LPERR01G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREELALTVPGTLMLLGTDLMEALRLIEAAERELEADVVSMPVSDATGVLATRILEDARRKISSIASRHAMSGHLFVRYAAHHGIQHDPPCSSWDEHYRDAVRLTDKALRRVRDAASDAEAAVDTAVIAAGFRGNDIRQFTDWTLAALDHLQRAAMNATMALLKMHDARDAVALEFFDAWTIVRRGRSLSTARNIWRELALTVPATLMLISTETESSRLIELARSKLHERLNLLRSIREGTSPKDADDNFVDPEPEVVLPTVTLEDARREITWNAAHHEMIRHVFASYAAFLGIQDNPLYSRWLARHHDAVRHTNLALKTLRAAASCFMIASDAVGMMGDLPYYCPLWGEWAWKGQTFTSGADLFANRALDAMCRARQAICEQFFDAWVILRR >LPERR01G03400.1 pep chromosome:Lperr_V1.4:1:2428759:2429301:-1 gene:LPERR01G03400 transcript:LPERR01G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENPWKPLFWQRVAAVNEKVSAIRENLSAVQQQLAPPVTGERFPSMEDKLREPSMALGHAVCYMEAAVLLALFRGGLDEQGSIPVYGLDDDDAVRRALLNLRHAKARGEDASDALDRCRGHLGAFKRLLLHTDVPGVADHVGGEHGSAGDDLEAARQFMVDVDAYITAAVGNGPNAV >LPERR01G03410.1 pep chromosome:Lperr_V1.4:1:2429639:2430247:1 gene:LPERR01G03410 transcript:LPERR01G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTACPSNPRKRDRESSPAEQWRNLARTVPATLMHIGTSSSVKAIRSTKNAKSAMKAAKRSGDLSPAGKPDASGSSWPPEAVELLQQARDDVSIGLKALRDTRDAIVVEFFDAWKVLNQNR >LPERR01G03420.1 pep chromosome:Lperr_V1.4:1:2431301:2431882:-1 gene:LPERR01G03420 transcript:LPERR01G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMELELTWKALFQRRVIMADAHCQALHGLLGGLLEVLNEMGWHETAAVAEETRRELEAASTALGLAIANMGAALHLALWGKSRRECAPLRSVDDIDDDPQVWLVLLRLREATEIAMLLHDRVETIRVHMDAADVLAAEVEGDGGGGDNGDGPWRHGLSISENINGHMELGEALDLVMILVSLTSAAREEVF >LPERR01G03430.1 pep chromosome:Lperr_V1.4:1:2432388:2433293:1 gene:LPERR01G03430 transcript:LPERR01G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSWCEQMALMVPGILLLVGTSEGVLIIIDRACSLLAAADIFTDSPSRSTDGSDDNLEFDVLADNTFGGRCTPGVLFNATGGGGGGVWCGFSFGVPMDITGVGDAGEGSSFGLGVPVDTSGGVEHIDDDGAAATNVTPDHSGDKSGIDYWANTLASAFAANGPLTAAHSEITRLVTLHGVAVHLLSHCLAFHGFPHGDEAAWQRWGEHHDAVVPRAHDALLRLSSASSAAAAAEDFLRLRSASSPRQNDWPPEAKRLVRDARRDAGEARDAVMLMRDAVVREFFETWMILKRSQPLQGSR >LPERR01G03440.1 pep chromosome:Lperr_V1.4:1:2434212:2436149:1 gene:LPERR01G03440 transcript:LPERR01G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEEVRSKKRLQLWSTAIVHFSICFIVGVFAAAVMPLAATGAPPIDSIRASFQPTAAAATPPPSPDLLLIVTVTRPHNDDDVMSQEASLMRLGHTLRLVAPPLLWIVVGARNTTATARAVHALRGSRVMFRHLTYDAENFTGLAGDEVEYQRNLALSHIQLHRLPGIVHFAGAGSVYDLRFFEQLRRTRGIAAWPIGIVSSADQTVAVEGPTCNSSQITGWYSKDSNTTTETIWEYSKDSSSNTTEATWESSSNTTETNLLAALDTNVNSQNSSSRPLEINISGVGFKSSLLWDSERFIHRDNSSTGINKDLIQFVRQTVIANEDKKRGIPSDCSESQIMLWSLDMPRHTPKIEEHETPEKEILMKGDKFEEQETPEKEILMKGDTIEEQETPEKESLKKGDKIEGQEASEKESLMKGDKIEEQETSEKERLMKERETLEKESLMKGNKTEEHETPEKEILMKGSKIEEHETSEKESPMKDDKIEEQETPEKERLMKGDKTEEQETPEKESVMNGDKEDPMT >LPERR01G03440.2 pep chromosome:Lperr_V1.4:1:2434212:2436571:1 gene:LPERR01G03440 transcript:LPERR01G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEEVRSKKRLQLWSTAIVHFSICFIVGVFAAAVMPLAATGAPPIDSIRASFQPTAAAATPPPSPDLLLIVTVTRPHNDDDVMSQEASLMRLGHTLRLVAPPLLWIVVGARNTTATARAVHALRGSRVMFRHLTYDAENFTGLAGDEVEYQRNLALSHIQLHRLPGIVHFAGAGSVYDLRFFEQLRRTRGIAAWPIGIVSSADQTVAVEGPTCNSSQITGWYSKDSNTTTETIWEYSKDSSSNTTEATWESSSNTTETNLLAALDTNVNSQNSSSRPLEINISGVGFKSSLLWDSERFIHRDNSSTGINKDLIQFVRQTVIANEDKKRGIPSDCSESQIMLWSLDMPRHTPKIEEHETPEKEILMKGDKFEEQETPEKEILMKGDTIEEQETPEKESLKKGDKIEGQEASEKESLMKGDKIEEQETSEKERLMKGDNIEERETLEKXKLKNRRLQRRSV >LPERR01G03450.1 pep chromosome:Lperr_V1.4:1:2436415:2441450:-1 gene:LPERR01G03450 transcript:LPERR01G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAPHLTSLLAEGTRVTEVVSCNVAGNLQVLTVRLILSKAVATPTLLSESLKATLKDKHGTQPCQETSPWYRWHKFKSRTVIIELSILTITAIILLLVEKIIHKHKRKQQDNTCLKIVSPTNSCTELVVAADAGEEPRVPALPRNAAARGGGSERLSHDEGDSIGAALGSGLRRRRRRIRRGELLAGAARGQEGAGLPVAVVVAGRAHGVWLWWRRGRGIATCNHLTRGEADEKGPAQLGFLLREDSTAFLLQRRRRHPLRRSPTPVSGRESPGVLSLIIFRPGADLVGWEMAAPLIAGLTVAAAALASRYTIQAWHAYKARPIVPRMRKFYEGGFQPTMTLREAGLILGVRENVHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >LPERR01G03460.1 pep chromosome:Lperr_V1.4:1:2439358:2441522:1 gene:LPERR01G03460 transcript:LPERR01G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDDNRHGEASPLLPSGGAGEKLPPSYPPSSAPEAAAKGCADGVPFVMGEPLGAPTAGGGVPRESWNSGLLSCVGRNDEFCSSDLEVCLLGSIAPCVLYGSNAERIAARPGTFANSCLPYTGLYMLGNALFGWNCLAPCFEAFTKQCGCCHSFAEDEANREDLEVACDIATHYFCHPCALCQEGREVRRRVPHPGFNGRSVMVMMPPTEQTMGRGM >LPERR01G03470.1 pep chromosome:Lperr_V1.4:1:2442057:2447713:1 gene:LPERR01G03470 transcript:LPERR01G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHRDAIKSSVHNTSASRRREQAVAIGKERREALIRAKRVCQAPISGSDEAEMEEGDMVVDEDKACLEAKTAHAVEELKSALSNQGKGAQKKKIEVLRDLRRLLSQPGLPLVDAAVKAGAVPLIVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLARLMFSSKGSTARTAAWAMSNLIKGPDPKAANELINVDGLLNAIIANLEKGEEELATEVAWVVVYLSALSERAIGLIARSSIPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLIVGNNIIDQALSGLIKCLKSDTRVLRKEAAWALANIVAGSLEHKKLIFASEAMPLLIHLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLEMVMRGYPNGQGPKLVEMEDGIEAMERFQFHENELMRNMANGLVDRYFGEDYGLDE >LPERR01G03470.2 pep chromosome:Lperr_V1.4:1:2442057:2447713:1 gene:LPERR01G03470 transcript:LPERR01G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHRDAIKSSVHNTSASRRREQAVAIGKERREALIRAKRVCQAPISGSDEAEMEEGDMVVDEDKACLEAKTAHAVEELKSALSNQGKGAQKKKIEVLRDLRRLLSQPGLPLVDAAVKAGAVPLIVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLARLMFSSKGSTARTAAWAMSNLIKGPDPKAANELINVDGLLNAIIANLEKGEEELATEVAWVVVYLSALSERAIGLIARSSIPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLIVGNNIIDQALSGLIKCLKSDTRVLRKEAAWALANIVAGSLEHKKLIFASEAMPLLIHLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLEMVMRGYPNGQGPKLVEMEDGIEAMERFQFHENELMRNMANGLVDRYFGEDYGLDE >LPERR01G03470.3 pep chromosome:Lperr_V1.4:1:2442057:2448354:1 gene:LPERR01G03470 transcript:LPERR01G03470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHRDAIKSSVHNTSASRRREQAVAIGKERREALIRAKRVCQAPISGSDEAEMEEGDMVVDEDKACLEAKTAHAVEELKSALSNQGKGAQKKKIEVLRDLRRLLSQPGLPLVDAAVKAGAVPLIVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLARLMFSSKGSTARTAAWAMSNLIKGPDPKAANELINVDGLLNAIIANLEKGEEELATEVAWVVVYLSALSERAIGLIARSSIPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLIVGNNIIDQALSGLIKCLKSDTRVLRKEAAWALANIVAGSLEHKKLIFASEAMPLLIHLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLEMVMRGYPNGQGPKLVEMEDGIEAMERFQFHENELMRNMANGLVDRYFGEDYGLDE >LPERR01G03470.4 pep chromosome:Lperr_V1.4:1:2446850:2448040:1 gene:LPERR01G03470 transcript:LPERR01G03470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAADISCSPVVLLFFSLTRISSSTSLAAAGEILASHSRKSASTSAASVSSPSRFIPLAITLYMYSSTSQPPTLTAASYTLHAARPLPFATNARTSRVSLYTSSSPSTNPRRLSFSSRNASTSAAARARDQWPWRWSSAMAARRSALRRRPRVEEAAAAVAAEDGERSEAVRRLRDCTARIASVERERPRWSGPRLSRGPHVMRPRAPRRGRAGWEVGVRCGRERRDAMGGGERRESGS >LPERR01G03480.1 pep chromosome:Lperr_V1.4:1:2447236:2448006:-1 gene:LPERR01G03480 transcript:LPERR01G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLPHLTPTSQPALPLRGARGRITCGPRDNRGPLQRGRSLSTEAILAVQSLKRLTASDRSPSSAATAAAASSTLGRLLKADLLAAMAELQRQGHWSLALAALHVARSEPWYRPDPALYATFVSSSDSSSDSAAAVDALVDAFLEEKERRRGFVDGEEDVYKLTRLVRALVAKGRGRAAWRVYEAAVRVGGCEVDEYMYRVMARGMKRLGLETEAAEVEADFREWEARISPAAARDVLDEMRVREKKSSTTAA >LPERR01G03490.1 pep chromosome:Lperr_V1.4:1:2448884:2451639:1 gene:LPERR01G03490 transcript:LPERR01G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLAAILALSHSAATAAAADRITALPGQPPVNFSMYSGYVTVDATAGRALFYWLIEAAVADPGSAPLVLWLNGGPGCSSVGYGASEEIGAFRINADGKSLYLNPYPWNNVANMLFLDSPAGVGYSYSNTTSDLFTPGDNKTAHDSYTFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLSQLVYRNNKGVERPILNFKGFMVGNAVFDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFEPSTHASKSCDKIYDVAEAEQGNIDAYSIYTPTCKKTSFHKRRLIKGSRPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFKYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYEEEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPVHSIQSL >LPERR01G03500.1 pep chromosome:Lperr_V1.4:1:2452069:2453000:-1 gene:LPERR01G03500 transcript:LPERR01G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSRQASNSSSRSSYVGNSNAVVVSRSTANLSDSNQAQSKRAPAPHMLQEIVAGEKGANASELEGQVHAGIFLASKTKKYWVDERTRYNYFMLFPRGLSITWSEDPKFWTWHPLKEESDADTQIEVVSLQNVCWLEINGKIELSHLTPGVTYKVVFEVMLTDPAYGWSVPVNLQLKFPDGTVQQHKENLQEKIRWKWLELKVGEVKTQQGQKGDIEISMFEYDGGNWKRGLVIKGIKILPKH >LPERR01G03510.1 pep chromosome:Lperr_V1.4:1:2454211:2462695:1 gene:LPERR01G03510 transcript:LPERR01G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNDAEHNVQSVEQQLCTIITSSLRATVPDLDVEPMLEVSKPGFGDYQCNNAMSVFSNIRGSGTNFRNPMAVGQRIQDMLKHGIKTWAPILPVKRAVLDFSPPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVEDLQTFYKASKKRFDDDPNFKEKAQQAVVRLQFIALTWLINPKQFWSLQGGEDKYRAAWEKICQISRMEFNLVYKRLNVKLEEKGESFYNPYITPVLEELTNKGMVVESCKDGWLAPRTKRKKKYPQASHVGFGLVLGSDGKRFRTRCSEVVQLVDLLDEAKARSKAQLIKRITENGLIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARIYSIIKKANKDIEKLKMTGAITLDHPDERFLGLHLIRFTEVVEQACADLQPHRLCDYLYSLSEAFSKFYTNCQQQRQDRQRGRDVASHSVLPLPRLKPEPQLGPCVSLTVGENNLYFMSEKPHHEECFEALVYCKKPKSSHEEENRWSWQPQPPPPYVRFHKGYSTRGDMKAYAVVGDTHILVSTEGCGTYAFDVARSAWSKAGDWALPFKGHAEYVPEHGLWFGFSSASDDDGCVLGAWDLYSTVVGQQQPVARVLQEGFSVRGVVSASRDVSLGAGNLCVAKFFEKIRSYRVCDEGCCFEETSRSFALLTGAEVQRHRGKALDVIKHKSCGSCRYSFGQGKYSSSVISHVDGASNVV >LPERR01G03520.1 pep chromosome:Lperr_V1.4:1:2464412:2466910:-1 gene:LPERR01G03520 transcript:LPERR01G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGRSSRVAPLHSIDDIFSDFFARRSAIIRALTEDLQTFVALCDPDLDGMCLYGNSDGTWELAPLPEMVPPDLPEPVLGVNHQRGALQVNDWIAILACHCDSWLLAVAFFHGARLDCDGRVRLFDMINDLPTVYEVVFGAKQSRKRSSMDNGTRDTLIPEVANIAEDEAHEEDDEYILLKKRDDGEIYLRRRDKKKILKFS >LPERR01G03530.1 pep chromosome:Lperr_V1.4:1:2467705:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNTARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.2 pep chromosome:Lperr_V1.4:1:2467707:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNTARLCRHKLECRNRLPQPHKCHYGACPPCKLICGEELSCGHRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.3 pep chromosome:Lperr_V1.4:1:2467707:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNTARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.4 pep chromosome:Lperr_V1.4:1:2467707:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNTARLCRHKLECRNRLPQPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.5 pep chromosome:Lperr_V1.4:1:2467707:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFENRLPQPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.6 pep chromosome:Lperr_V1.4:1:2467705:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRGACAPCPLMKTISCNCGQTFFENRLPQPHKCHYGACPPCKLICGEELSCGHRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.7 pep chromosome:Lperr_V1.4:1:2467705:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVCDKRLRCGNHKCASPCHRFLVGLRRIRNHRNAQKSAIQLAFAGTNLNAGCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03530.8 pep chromosome:Lperr_V1.4:1:2467705:2472734:-1 gene:LPERR01G03530 transcript:LPERR01G03530.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAASGSPSSSRKPIPVAAASTRQPAPSPAAAPAAPSPSNPSGISDSDPSSYSSSGEETDLTSSDPAAASVVSSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFAALHLHCIQSWAHQSASAAPSPTWGCPKCRFPYPKSETPTSYLCFCSKTVDPAPDPWILPHSCGDICGRHLNANRDSGCEHTCLLLCHPGPCPPCPAIVPNARCFCGSRRETRRCSHQRYSCLGKCNKRLGCGIHRCPVDCHDGPCPPCAVLGNHKCECGETMEERLCSERVFQCKRECGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEASTCGSTCEKVLGCGRHKCHERCHRGLCVETCRLVITKSCRCGCLKKEVPCYQELTCERKCPRMRNCGRHACRRRCCPGDCAPCPEVPCGTEKNQKPPKCSKKCNTARLCRHKLECRNRLPQPHKCHYGACPPCKLICGEELSCGHRCHGPIAPPNPEFTLKPIKRKKEKHIDCTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLDCGNHYCTKACHVLQIPLSQPEGDQSAIQSLASASAFAEPCEECDLPCQRVREPSCSHPCPLPCHLDDCPPCKVLVKRPCHCGAMVHAFECMYYNNLNAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRRSGCDPKQIPKNQYGIGLLACGEDCMKKVRAAESELHLRKTQEIKSSVVEVENVPKRKKRRNRGPERVESSKFQEIKAFALKCLLVIFLFIVVVAGLYLLWKGVYWLSDWMNEMEEQRVRQRHLKPGRM >LPERR01G03540.1 pep chromosome:Lperr_V1.4:1:2473910:2474770:-1 gene:LPERR01G03540 transcript:LPERR01G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPHINGNNLPAPSGETFAKFFECWISEQSRDLAALRSAAAASNPPPPEPDLRRLVDRVLGHYEYYYRTKSAAASHDVLRMFSPSWTSTTENLYLWCGGWRPTAAIHLLYSKSGAQLEAHLPLFLAGGGLGSDSDLGDLSADQLQAADQLQRSTILKEREIDNAAASAQESLATAKMVELAGGGGLDGEGMEMEMKAKEDGMKRVLEMADGLRMETMRAVVALLRPSQAVHFLIAAAELHLAVHEFGRRKDGAASPQQPPS >LPERR01G03550.1 pep chromosome:Lperr_V1.4:1:2478744:2479562:-1 gene:LPERR01G03550 transcript:LPERR01G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMQRLVFSLILSMSIVATSAQGPTAAPAAPAPATPTTPATPAAPAPASTKTTNITGILAKAGQFNTFIRLLRSTGAAEQIDNQLNSSRSGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLLQYHVVSTLIPMSQFDTVSNPLRTQAGSSSPGEYPLNVTAEGQQVNITTGVVNATVDNTLFSGDNLVVYQVNKVLLPMAIYGTPAPSPAPSLAPAKKKGKSPATSVADAPEAADATPDATTSLAAARVTPVTAGAGFGVVLALASVWLGL >LPERR01G03560.1 pep chromosome:Lperr_V1.4:1:2480965:2483883:-1 gene:LPERR01G03560 transcript:LPERR01G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIQPNNEPINAPLPLGQKLFVRAGNDAALKIGPSCYGSVATRSNDLPSSSQAAQYSVSSQIDNRTAAVKRKNPIIYPEYSINGNGYCASSSSSAQFSNYPQPTLFSESQIPPSIGPSNWNGQSLVNQEGTPRNVRARHNYANISLEPRPVQSVSNVAPSTSMKRNGTSFPRMGTTPSGASGMSSHGRSYYPMGSSNSTAPVPTLHGSSGSAIFTNDGVFAPRTVHGDTIPSYIHLPSVPSSSSTAIPHEVTIPSYPPTSATTSTSTRANQPFPIRAVASSRHARNVPVIGHANSGRNRRARSSYDGYPLMIDAQHLIMMQQLALLRQSREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLADEKISGCVREVIFCSSDEQQNDQDDGSCPICLEEYKDNSLLGILKCNHDFHTDCIKNWLKKVKRPWTQWADQA >LPERR01G03560.2 pep chromosome:Lperr_V1.4:1:2480965:2483883:-1 gene:LPERR01G03560 transcript:LPERR01G03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIQPNNEPINAPLPLGQKLFVRAGNDAALKIGPSCYGSVATRSNDLPSSSQAAQYSGHRVKHPGALHNSYVHYPSGSSHGHLSYNAQTEPAITYPHRSEEEFTPVSSQIDNRTAAVKRKNPIIYPEYSINGNGYCASSSSSAQFSNYPQPTLFSESQIPPSIGPSNWNGQSLVNQEGTPRNVRARHNYANISLEPRPVQSVSNVAPSTSMKRNGTSFPRMGTTPSGASGMSSHGRSYYPMGSSNSTAPVPTLHGSSGSAIFTNDGVFAPRTVHGDTIPSYIHLPSVPSSSSTAIPHEVTIPSYPPTSATTSTSTRANQPFPIRAVASSRHARNVPVIGHANSGRNRRARSSYDGYPLMIDAQHLIMMQQLALLRQSREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLADEKISGCVREVIFCSSDEQQNDQDDGSCPICLEEYKDNSLLGILKCNHDFHTDCIKNWLKKVKRPWTQWADQA >LPERR01G03560.3 pep chromosome:Lperr_V1.4:1:2481660:2483883:-1 gene:LPERR01G03560 transcript:LPERR01G03560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIQPNNEPINAPLPLGQKLFVRAGNDAALKIGPSCYGSVATRSNDLPSSSQAAQYSGHRVKHPGALHNSYVHYPSGSSHGHLSYNAQTEPAITYPHRSEEEFTPVSSQIDNRTAAVKRKNPIIYPEYSINGNGYCASSSSSAQFSNYPQPTLFSESQIPPSIGPSNWNGQSLVNQEGTPRNVRARHNYANISLEPRPVQSVSNVAPSTSMKRNGTSFPRMGTTPSGASGMSSHGRSYYPMGSSNSTAPVPTLHGSSGSAIFTNDGVFAPRTVHGDTIPSYIHLPSVPSSSSTAIPHEVTIPSYPPTSATTSTSTRANQPFPIRAVASSRHARNVPVIGHANSGRNRRARSSYDGYPLMIDAQHLIMMQQLALLRQSREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLADEKISGCVREVIFCSSDEQQNDQDDGSCPICLEEYKDNSLLGILKCNHDFHTDCIKNWLKVKNSCPICKAAAA >LPERR01G03570.1 pep chromosome:Lperr_V1.4:1:2486478:2491657:-1 gene:LPERR01G03570 transcript:LPERR01G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQGGSGDGREEDGAKVGLPALDISFAFPQATPASIFPPSASDYYQLDDLLTTGEQSIRKKVRAIMEKEIAPIMTVYWEKAEFPFHAIPKLSTLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFVLVHSSLAMVTIALCGSEVQKQKYLPPLAQLTAIGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLLVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMSREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALPKARLKLLQHYYYLLVLVA >LPERR01G03570.2 pep chromosome:Lperr_V1.4:1:2486994:2491948:-1 gene:LPERR01G03570 transcript:LPERR01G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYDRTGFPWAQSVLVFVPYKKRQACARESDTLRLFHSSSSRFAPTLSVSSSASPPACSRSSAHEAPGRRDGGEASGDGREEDGAKVGLPALDISFAFPQATPASIFPPSASDYYQLDDLLTTGEQSIRKKVRAIMEKEIAPIMTVYWEKAEFPFHAIPKLSTLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFVLVHSSLAMVTIALCGSEVQKQKYLPPLAQLTAIGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLLVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMSREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALPKARL >LPERR01G03570.3 pep chromosome:Lperr_V1.4:1:2486994:2491657:-1 gene:LPERR01G03570 transcript:LPERR01G03570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQGGSGDGREEDGAKVGLPALDISFAFPQATPASIFPPSASDYYQLDDLLTTGEQSIRKKVRAIMEKEIAPIMTVYWEKAEFPFHAIPKLSTLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFVLVHSSLAMVTIALCGSEVQKQKYLPPLAQLTAIGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLLVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMSREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALPKARL >LPERR01G03580.1 pep chromosome:Lperr_V1.4:1:2501366:2501787:-1 gene:LPERR01G03580 transcript:LPERR01G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKAAELQDPEIRAELDRRARDEGETVIKSGTGGKSLDAQERLAEGHNACVRRKKGGLSRTTESGKERADAETGAVLIEPDEKTLKEVKKDLGRK >LPERR01G03590.1 pep chromosome:Lperr_V1.4:1:2506395:2508536:1 gene:LPERR01G03590 transcript:LPERR01G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLKELQPYDGCDPSVYRGPILLPRQASSTPPAVLPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLSTLRRMVPDTKQMDKATLLASVVDQVKDLKRKASEITKQMPLPPETNEVSIECFTGDAATAIAGNHKTLYIKASISCDDRPDLIAGLTQAFHGLRLRTVRAEMISLGGRVQHVFILCKEEGIAGVSLKSLKEAVRQALAKVASPELVYGSSPFHSKRQRILESHCSIMSI >LPERR01G03600.1 pep chromosome:Lperr_V1.4:1:2509282:2512437:-1 gene:LPERR01G03600 transcript:LPERR01G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSVPSASDAATTPSPAAATTAPREATLGRHLARRLAEVGAREVFTVPGDFNLTLLDELEAEGDVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPKMRVAKSCKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALAARLKKNTAAYENYRRIYVPPGEPPLSQPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >LPERR01G03610.1 pep chromosome:Lperr_V1.4:1:2515494:2518585:-1 gene:LPERR01G03610 transcript:LPERR01G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAFATHGPPLLLHLLILHLLFGFQQLCYSLGTHSNRTAVMPVPCLPDQASALLRLKRSFTVTNEWDCALASWRSVTDCCRWEGVRCGGANGDVGRVTSLTLARCGLVSAAIDPAFFDLTSLRYLNLAWNDFNGSQIPVVGFQHLTELTHLNLSSSKFAGQIPHAIGRLTNLVSLDLSTKFYLFDQDDEFLSLASYSRNWFLVAPNIRSLVKNLRNLKELHLGRVHLSDNNGVEWCGAFSNSTTPNFRFLAYQIAASRFPFLSVVDGEKYNSSWVSLNYFRNLRLAYCNISNFPNALRNMYWVGYLDLSGNQIHGSIPQWAWETCSELSVLNISHNKFSSVGYDYLPFDIEIVDLSYNFIGGPIPIVGPDTWLFDCSNNMFSLITQNFSSQLSGMSFLLASRNNLSREISPSICDARDLQLLDLSYNNFSGSMPSCLMEDINSLNVLNMKGNQLVGELPRNIVKGCALEALDFSDNLFEGRLPRSLVACKDLEVFDIGDNKINGVFPCWMSMLPRLQVLVLRSNTFIGELGCSAFEEENNCEFENLRIFDLASNNFSGTLPYKWFKRLKSMVEKSSNENLMMQYHNNMHDIETYQYATSITYKGHDLTFSKILRTLVVIDVSDNTLHGAIPKSIGELVLLCVLNMSHNALTGSIPSQLGVLHELESLDLSSNDLSGEIPQELAQLHFLSVLNLSYNALVGRIPNTPQFLDNLSYLGNIGLCGFPLSKECGNMTIQNSHPSEKKHVDVILFLFVGLGVGIGFAVIIVVTWGIRVRKRSQDNRFPFWNKIICM >LPERR01G03620.1 pep chromosome:Lperr_V1.4:1:2523117:2523879:-1 gene:LPERR01G03620 transcript:LPERR01G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGLLRRSMRCAPILAGAQVFKLSRLADAPLPCVCRHAAQSSPRLLPTYGLLLPVGEETIRMRKERWRGACRRRAARSVRCACGVRGGSAATVEIGSREVGFPVPSH >LPERR01G03630.1 pep chromosome:Lperr_V1.4:1:2524109:2524353:-1 gene:LPERR01G03630 transcript:LPERR01G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTVTFNVSGPSANRWPTRATSPTTARSSRRWFHVVLRSTTRTLTLAVRADNLCLEGFRGSNNT >LPERR01G03640.1 pep chromosome:Lperr_V1.4:1:2525576:2526388:-1 gene:LPERR01G03640 transcript:LPERR01G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTVTFNVSGRDNYNDFINSIRKQVANPRHFSHNRPILPPVEEPPRRWFHVALRSTTRTLTLAVRADNLYLEGFRGSDGTWWELTRGIIGGGAAYAGFGGSYSDLLGNTDKLVDVTLGPERMAHAVDTLAAGQREKESVVTLLLMVNEAVRFVTVAGMVAGLMDPRAKVKSGKISAEMKKQVNGWQDLSKALLTMDALQLEDPDDGKNKKKGDAKKVEEERKAWEAAQKLAVEAAKAVGTLLFVDSKKVPRGMTKAKALELFRGI >LPERR01G03650.1 pep chromosome:Lperr_V1.4:1:2528252:2534803:1 gene:LPERR01G03650 transcript:LPERR01G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLSELLLILVLLTFYCSTQTVATTTIPVHCNPHQAEALLHLKRSFLNPDLSSWIPSTDCCHWEGVTCDTSSGQVTALDLSNYNLKSPSDQELAKWWLDARQFCRMSHRKVFDGLVMLTAWMIWKERNNRIFNNNFKTADQIYNEIIEEFMIWKQAGVVKIRDKESPVLIEHAKTGAGLCPVSAATLPDFPPGSQLESLDLQTTNFSSEIPASFVHLKSLKFLSLSNLRSPKQGATFIANLPSLDMLWLSGSGTEKPLLSWIGRIKLGDLMLEDYHFSSPIPHWIRNCTSLESLTLINCSFSGPIPAWIGNLTRLANLDFSCNSLSSKIPKLLFALPSLERIDLSSNQLNHLTGYIPKSFFDLRRLGVLWLESNQLSGTLELSLVWKLERLKSLILSNNMLSVIDGEDGYSFHCFPKITQLGLSSCNLTKIPGALININGMFDLDLSNNKISGVIPSWIWDIWKNSLSWLDLSNNMFTSLENNPPVLPLHTLHSLNLRSNRLHGNIPIPLITTTTTTRYHVFLDYSNNSFSSIMHDFGRNKISGYVTSSICAKPYLEILDLSHNNFSSMVPSCLIQNGVVSMLKLRENIFHGVLPKNIGEGCKLQTIDLNSNQIIGKLPRSLSKCKSLEVLDIGNNQILDSFPTWLGNMSNLRVLILRSNQFYGPLRLPTESDATSRYFSGLQIIYLASNNLSGSLQTNWFEKLETMMVNSDQGDVLSLQGVVGLKESLYQNSMIITFKGFDLTFTKILTTFKMIDLSNNAFDGALPKSIGKLTTLHGLNLSHNSFTGRIPSNIGKLAQLESLDLSLNQLTGTIPQELASLTSLAILNLSYNNLTGQIPQGPQLLSFGNISFEGNAGLCGRPLSKQSNDSGKKATRPSTSSRDSVGLIILFVFVGSGFGIGFTVAVILSVVSRAQRWNRNISHPTPTNTVT >LPERR01G03650.2 pep chromosome:Lperr_V1.4:1:2528230:2534803:1 gene:LPERR01G03650 transcript:LPERR01G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLSELLLILVLLTFYCSTQTVATTTIPVHCNPHQAEALLHLKRSFLNPDLSSWIPSTDCCHWEGVTCDTSSGQLLFALPSLERIDLSSNQLNHLTGYIPKSFFDLRRLGVLWLESNQLSGTLELSLVWKLERLKSLILSNNMLSVIDGEDGYSFHCFPKITQLGLSSCNLTKIPGALININGMFDLDLSNNKISGVIPSWIWDIWKNSLSWLDLSNNMFTSLENNPPVLPLHTLHSLNLRSNRLHGNIPIPLITTTTTTRYHVFLDYSNNSFSSIMHDFGRNKISGYVTSSICAKPYLEILDLSHNNFSSMVPSCLIQNGVVSMLKLRENIFHGVLPKNIGEGCKLQTIDLNSNQIIGKLPRSLSKCKSLEVLDIGNNQILDSFPTWLGNMSNLRVLILRSNQFYGPLRLPTESDATSRYFSGLQIIYLASNNLSGSLQTNWFEKLETMMVNSDQGDVLSLQGVVGLKESLYQNSMIITFKGFDLTFTKILTTFKMIDLSNNAFDGALPKSIGKLTTLHGLNLSHNSFTGRIPSNIGKLAQLESLDLSLNQLTGTIPQELASLTSLAILNLSYNNLTGQIPQGPQLLSFGNISFEGNAGLCGRPLSKQSNDSGKKATRPSTSSRDSVGLIILFVFVGSGFGIGFTVAVILSVVSRAQRWNRNISHPTPTNTVT >LPERR01G03660.1 pep chromosome:Lperr_V1.4:1:2538075:2546711:1 gene:LPERR01G03660 transcript:LPERR01G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISTKQRFPILILLICCCSIYTAATNDTASPCRPDQASSLLQFKASFVGTTLPSWRAGSDCCHWQGVGCDMASGRVISLDISELNLKSNRLDPALFNLTSLRILSLASNYFWEAPLPASGFERLTDMIHLNFSNSGFSGQIPIGISRLKKLVTLDFSSNGDVLYFDEPSFQTVIANLSNLRELRLDGDQFEGQFPAKIFQLKIFEEIGYWHNRNSKELPSLKCELPSLKELIFLGIENSGLEVEKLALPCVGHLKQLTDLTLYQYDLSQSTLSWIGNLTSLERLEMSGRNLPMPVPHQIANLANLTSLMLRDGDYGQQQQVPSWISNFTKLTQLDISYAPFSGSIPSTIGNLSQLEYFTSLYCLLNGKIPHSLFALPRLEQFVASGNQFSGSLEDIPSPLTSSLSVIDLSENQLSGLIPKSFFQLTNLRSLDLYSNKLTGTVELSPVWRLKWLNFLRLSNNLISLVDDEDETFPSILNINTLILASCHLTKLPGALKHLGAISDLDLSSNQITGAIPSWIWKNHLNKLDLSHNKFTSLELSPSLVNMTTLTYLNLSFNRIQGSIPIPVTTVAEIVLDYSNNNFSSIIPNFGIYLENASYINFSNNKLSGHVPTSICKASKVVIMDLSGNNYSGSVPTCLTESVNLSVLKLRNNQFQGVLPENSREGCNLQSIDANGNQIEGKLPRSLSYCQELELLDVGNNQIVGSFPFWLGTLPNLRVLVLSGHFTRLQIIDLASNHFSENIHSEWFEHFESMMENISDKSEILRYYTNTSLKGSYQDTTFVTYKGGSLLFTKILRTFKMIDLSDNSFGGPIPKSLGKLVLLHGLNLSHNDFIGQIPSQLSSLAQLESLDLSWNRLSGEIPPELTSLTSLAWLNISYNNLTGRIPQGNQFVSFSNSSFEGNVNLCGKPLSKQCDTPGSTPPSASAPSDANSFWQDRLGALDEPQTFRPISPKKSDSKEEGIEIRILTYLEGPEQA >LPERR01G03670.1 pep chromosome:Lperr_V1.4:1:2546863:2550637:-1 gene:LPERR01G03670 transcript:LPERR01G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLRCTELIGLQDKDLEFRKKMHPTWERAFNLIVPNVKYHHDANLNVTTLGDDAAESLTNPSTTDNLLFERSRSDKCGSFQNEKLVDKSRETRLVRSPIPGGICPEKLGCDMLRWVSSLSFSNPVAGSCDALKSLPPRLRYLRDVRLKMAGSRPPLCRPRPPRSSDVMWLSPPSPPSPPSPQRTPAQRQHSVPARHDRKAAADAVVAVNDRFSWSSAAA >LPERR01G03680.1 pep chromosome:Lperr_V1.4:1:2551147:2551959:-1 gene:LPERR01G03680 transcript:LPERR01G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAAEIKGSDAAVVVVAADAHPAAAIRSVPARHDRKAAADVLVAENDRFSLISAVAWSEEHGIGRATLACSSRRRNSTIFCLKQLIVYRKRTSISSLLCLCDWATAMANGLLIGVSPFLDHLTD >LPERR01G03690.1 pep chromosome:Lperr_V1.4:1:2552513:2553489:-1 gene:LPERR01G03690 transcript:LPERR01G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSDDGYGGVGMEGEVDAEDEMMACGGGEKKRRLSVEQERALERSFKVENKLEPERKAWLARDLGLQPRQVAVWFQNRRARGGLQLLAWA >LPERR01G03700.1 pep chromosome:Lperr_V1.4:1:2568994:2569551:-1 gene:LPERR01G03700 transcript:LPERR01G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPLATILISLLAATATANNGYTTPPASTPPPQNGGGESKLLVRIEGLVYCQSCAHRNSWSLDGAKPLPKSKVAVTCRDAKNRVSGWRLAVADETGYFLAEFGVTKVVDFFMGDPRRACYVRLLASPDEECNELTNINFGIEGAPLRDEGKKWAGQGFDNVVYASGPLAFRPAKCAPRQYYH >LPERR01G03710.1 pep chromosome:Lperr_V1.4:1:2571340:2577475:1 gene:LPERR01G03710 transcript:LPERR01G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGGGYGGRGRVGILRVVCARGGGGGRGGGGGGRGGGGGRGGGREGDWVCPDPSCGNVNFARRTECNKCGTPSPAGGGGGGGYNRSGGGGGGYNRGGGDFSSGGGGGSGGGYNSGGRGGGGGRGGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGGDESGYDAGGYGQVPPQGPPAYGGSAGDYAAPPTSYGGNNAYNSDSAVPPPSSYAGGPGSYPPSYGAPPTNPPYGGAPGGQGGLPPTYDGGYGGRPRPGGGGPGAPPPYHGGGGGGGGGGGGGGGYTDTATPEAAAKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKSAPRAPAYGGHGGGRGGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >LPERR01G03710.2 pep chromosome:Lperr_V1.4:1:2571340:2577475:1 gene:LPERR01G03710 transcript:LPERR01G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGGGYGGRGRVGILRVVCARGGGGGRGGGGGGRGGGGGRGGGREGDWVCPDPSCGNVNFARRTECNKCGTPSPAGGGGGGGYNRSGGGGGGYNRGGGDFSSGGGGGSGGGYNSGGRGGGGGRGGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGGDESGYDAGGYGQVPPQGPPAYGGSAGDYAAPPTSYGGNNAYNSDSAVPPPSSYAGGPGSYPPSYGAPPTNPPYGGAPGGQGGLPPTYDGGYGGRPRPGGGGPGAPPPYHGGGGGGGGGGGGGGGYTDTATPEAAAKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKSAPRAPAYGGHGGGRGGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >LPERR01G03710.3 pep chromosome:Lperr_V1.4:1:2571340:2577475:1 gene:LPERR01G03710 transcript:LPERR01G03710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGGGYGGRGRVGILRVVCARGGGGGRGGGGGGRGGGGGRGGGREGDWVCPDPSCGNVNFARRTECNKCGTPSPAGGGGGGGYNRSGGGGGGYNRGGGDFSSGGGGGSGGGYNSGGRGGGGGRGGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGGDESGYDAGGYGQVPPQGPPAYGGSAGDYAAPPTSYGGNNAYNSDSAVPPPSSYAGGPGSYPPSYGAPPTNPPYGGAPGGQGGLPPTYDGGYGGRPRPGGGGPGAPPPYHGGGGGGGGGGGGGGGYTDTATPEAAAKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKSAPRAPAYGGHGGGRGGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >LPERR01G03720.1 pep chromosome:Lperr_V1.4:1:2576349:2579581:-1 gene:LPERR01G03720 transcript:LPERR01G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSSSMAAAAARKRALTEQRFSELSPALSPEVVKALKSGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLSFVVPVVEILRRRPSPPRPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNFKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEAKAPSKDGARQELGPSKTPLGLRLEYMICEPSNKSSQLVDFLVQNTGKKIMVYFATCACVDYWAIVLPLLDSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERESSTNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLAMEYGLLQVPSMPEVKHHSLSLEGFTPVNDVDVTKVKYKDKAREKQRQKTLKRKAEELAQRPERDRRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELTHEYRLLKKLKRGVIDEDEYEKLTGFGESDDGDSSDGGDSELDERKERGNKVLKKVKQKGKSKGSRKFEGRNKQKTRRR >LPERR01G03730.1 pep chromosome:Lperr_V1.4:1:2580224:2582826:-1 gene:LPERR01G03730 transcript:LPERR01G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRLSPPRLRVPSLSPHPPLRRSRFSPLRAAKLEAVLSIGTHLIPHPRKVETGGEDAFFVNSDANGVIAIADGVSGWAEKDVNPALFSRELMAHTSTFLKDEEVNYDPQLLLMKAHAATTSVGSATVIIAMLEKSGILKIASVGDCGLKIIRKGQVMFSTCPQEHYFDCPYQISSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESSGVDEAAKALAELARKHSVDVTFDSPYSMEARSRMDDITVIVAQVKAVVIPDDEGVDEEKGQGTEPGSAVAVATSEQNEG >LPERR01G03740.1 pep chromosome:Lperr_V1.4:1:2583050:2589517:1 gene:LPERR01G03740 transcript:LPERR01G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSMRSRRDLPPPEKTIEKLENMVAGGNYYEAQQMYKSTSARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAVMFVDTLVKGALPYYEETFDRIRKIYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRAESCSSFLRAAIRWSAEVGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGDDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLKDANLLMDELKDLLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKSSTDRDPVFEELLDEIAAKFYGIRRQNPLEGLFGDMFRI >LPERR01G03740.2 pep chromosome:Lperr_V1.4:1:2583050:2589517:1 gene:LPERR01G03740 transcript:LPERR01G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSMRSRRDLPPPEKTIEKLENMVAGGNYYEAQQMYKSTSARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAVMFVDTLVKGALPYYEETFDRIRKIYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRAESCSSFLRAAIRWSAEVGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGDDPKKFASMLANFMGKDANLLMDELKDLLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKSSTDRDPVFEELLDEIAAKFYGIRRQNPLEGLFGDMFRI >LPERR01G03750.1 pep chromosome:Lperr_V1.4:1:2590059:2600547:-1 gene:LPERR01G03750 transcript:LPERR01G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGTLVWIPASDDPPSTPPPAAAAAVSEAPILHPHPQPDAADSGDAIIEGPGAADECRLPSMADLFNQALDKLVATDRVDGAIKDEGKGGVFTTGLGRSVAVSDKSIEKAKALVGEVVEETSIKRKQPFGDGSHLECKLAESNVSFKGGVHKYNLSPMFQTGTGKAVSLSKDSIQKAIVVLEGNVEHSAITVQSMFHTGLARSDPVSRSSIDKAMAVLEEKTTARQGDAAGVDDKEHFPLFQTGLGKAVSVSVASIQKAKAVLEQNYANEGNMEDFGRPDQSLVFQTGSRRPVSVSERSSSVVKEGGSENIRLGRPVAVSHTSIQKARTSLDEEDAKISGHGDTSLCTTTFRTETPTSVLTSGGLIMNDRSVTPEGDVSMQGKKFGADGLMPLFQTGLGRSISVSKSSIKRASTLLEPRNITKELEDEAHSDDGCATPMFRTGSGRSITASENSRKKAHVVLEAEEAAKNVNNDAGEAIDPMLHAGIQKLPQNRSSSHKANTLIEEGSSIKEDCGSEMPMFRTGSGKSVLISDSSVLKARAVLEEEGNMKRENHRQHNNVDKHIPVFTSPLKTSCRRTVNISSVGVSRAATLLGLEENTLSTQLLGRVEDKLGTKIMVERENSEQHQFGVASVRGISGGRPMSSCPPENQVLMGPHQQFAFSKTTISDSSGQAIRFSTAGGRSMAISSDALQRAKNLLGESDLEVLPNNFLGHSSASACKEMLQNSTGLCKEGEPDLLKINRGNSKTEPAKFSFSAMPDRKHTGSLGYALPDTTVANGSSARFHAAKDYHHINGIPKIPKPLSSCSFGAENASDTKDKDRRLQMPSGPLVDITNYMGTHSVNTGYVTSEKRRIGGRNSISPFKRPRSSRFIAPMNVKNPSPAGASKLPIQISPSRMKLSSCYPFQHERKSYKEYFGGPPHFKCLKEDITDEVKFMDAKKAEMYKFNTDTGAEEFQTMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAPGKFLKIGNVLEELKYRYDREVNNGHRSAVKKILEGNASPSLMMVLCISTIYSCPDLNNSKQEDGRAHANEDNSENKSLVAATRNMSAKIELTDGWYSLDASLDAALSDQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWNETLGLCKQAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERLSDGGSVVRSERMERKALQLYHQRVSKIAEDIQSEHGEYCHNTNDNEEGAKICKMLERAAEPEVLVASMNSEQLLSLSHYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSSHREGLITIWNPTEKQKSDLVEGQIYSVTGLVPSNYFTEVSYLHGRGSSTAWKPLASGQTTNFEPFFTPRKAVELSHIGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKSEEQDDCLLAVSFSSPTTDEDSAFFNHALSGHIVGFSNLVKRQKDQMRHMWVAEATESSTYTLSHEIPKKSHLKDATTSAEKWASSSHADWTKMKPMAVGCST >LPERR01G03750.2 pep chromosome:Lperr_V1.4:1:2590059:2600547:-1 gene:LPERR01G03750 transcript:LPERR01G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGTLVWIPASDDPPSTPPPAAAAAVSEAPILHPHPQPDAADSGDAIIEGPGAADECRLPSMADLFNQALDKLVATDRVDGAIKDEGKGGVFTTGLGRSVAVSDKSIEKAKALVGEVVEETSIKRKQPFGDGSHLECKLAESNVSFKGGVHKYNLSPMFQTGTGKAVSLSKDSIQKAIVVLEGNVEHSAITVQSMFHTGLARSDPVSRSSIDKAMAVLEEKTTARQGDAAGVDDKEHFPLFQTGLGKAVSVSVASIQKAKAVLEQNYANEGNMEDFGRPDQSLVFQTGSRRPVSVSERSSSVVKEGGSENIRLGRPVAVSHTSIQKARTSLDEEDAKISGHGDTSLCTTTFRTETPTSVLTSGGLIMNDRSVTPEGDVSMQGKKFGADGLMPLFQTGLGRSISVSKSSIKRASTLLEPRNITKELEDEAHSDDGCATPMFRTGSGRSITASENSRKKAHVVLEAEEAAKNVNNDAGEAIDPMLHAGIQKLPQNRSSSHKANTLIEEGSSIKEDCGSEMPMFRTGSGKSVLISDSSVLKARAVLEEEGNMKRENHRQHNNVDKHIPVFTSPLKTSCRRTVNISSVGVSRAATLLGLEENTLSTQLLGRVEDKLGTKIMVERENSEQHQFGVASVRGISGGRPMSSCPPENQVLMGPHQQFAFSKTTISDSSGQAIRFSTAGGRSMAISSDALQRAKNLLGESDLEVLPNNFLGHSSASACKEMLQNSTGLCKEGEPDLLKINRGNSKTEPAKFSFSAMPDRKHTGSLGYALPDTTVANGSSARFHAAKDYHHINGIPKIPKPLSSCSFGAENASDTKDKDRRLQMPSGPLVDITNYMGTHSVNTGYVTSEKRRIGGRNSISPFKRPRSSRFIAPMNVKNPSPAGASKLPIQISPSRMKLSSCYPFQHERKSYKEYFGGPPHFKCLKEDITDEVKFMDAKKAEMYKFNTDTGAEEFQTMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAPGKFLKIGNVLEELKYRYDREVNNGHRSAVKKILEGNASPSLMMVLCISTIYSCPDLNNSKQEDGRAHANEDNSENKSLVAATRNMSAKIELTDGWYSLDASLDAALSDQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWNETLGLCKQAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERLSDGGSVVRSERMERKALQLYHQRVSKIAEDIQSEHGEYCHNTNDNEEGAKICKMLERAAEPEVLVASMNSEQLLSLSHYQEKQKKSDLVEGQIYSVTGLVPSNYFTEVSYLHGRGSSTAWKPLASGQTTNFEPFFTPRKAVELSHIGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKSEEQDDCLLAVSFSSPTTDEDSAFFNHALSGHIVGFSNLVKRQKDQMRHMWVAEATESSTYTLSHEIPKKSHLKDATTSAEKWASSSHADWTKMKPMAVGCST >LPERR01G03760.1 pep chromosome:Lperr_V1.4:1:2600953:2607484:1 gene:LPERR01G03760 transcript:LPERR01G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEVRTEDCSPAQVRKKRTRRKSDGPDSIAETIKWWKEKNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSHCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTAVEAAHAYDEAARAMYGSTARVNFADNSMDANSGCTSAPSLMMSNGPTYVRSDEKDELESPPFMVTNCPPAVLHQSAKKDVLECVLPQVQDVKTEVSNDLRSIHEEQKTLEVCQSEGSVLHKEVSVTYDYFNVHEVVEMIIVELSADQKMEVHEEYKEGDDGFSLFSY >LPERR01G03760.2 pep chromosome:Lperr_V1.4:1:2600953:2607484:1 gene:LPERR01G03760 transcript:LPERR01G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEVRTEDCSPAQVRKKRTRRKSDGPDSIAETIKWWKEKNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSHCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTAVEAAHAYDEAARAMYGSTARVNFADNSMDANSGCTSAPSLMMSNGPTYVRSDEKDELESPPFMVTNCPPAVLHQSAKKDVLECVLPQVQDVKTEVSNDLRSIHEEQKTLEVCQSEGSVLHKEVSVTYDYFNVHEVVEMIIVELSADQKMEVHEEYKEGDDGFSLFSY >LPERR01G03770.1 pep chromosome:Lperr_V1.4:1:2603595:2605536:-1 gene:LPERR01G03770 transcript:LPERR01G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNFPLDVWGWITNLPPFSQWRSNSMSLCICPMPSAPESSQPSMNLSVVKTPPTQPCYVTFSLFANYRVPISLWTSKPVHLKSKTQQSLDEQEMIELFVEIVNWVLRNGPDKKLSFRFPGAQVQGNLKDVFNIVFLSLAFLVCIYEAPHDLRCGCLESLRTQLTGPKCKGAAKTLVRMLGANLEDQWMQTMNLAVTNWIVELRSSHHSSGVSSPLFSYGLSASGLWKVQLYCPVIAMGMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEVKVDNIRCDVDSLVTETLMNERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPMHEYGLEKGIEGSFDPPNSFGLKASVSESLTLAMKPWKFEESVHGNTATLNWFLHDGVNGREVYSSKPSKISLLQPRAWFRDRYSTVYRPFTKKGGIIFARDEYGDSVWWKVCGAALGKTMEWEIRGWIWLTYWPNKQKTFHSETRWLEFRECLQLPLTKFP >LPERR01G03780.1 pep chromosome:Lperr_V1.4:1:2607043:2612074:-1 gene:LPERR01G03780 transcript:LPERR01G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASRGGSTIGNPPEQNECWKEYSDRRSAGQRYGKDNTWALGKRSRRGLPHRHLPYVRNVKPGMPLFLFNSDDRKLHGIFMATSPGQLNIDRFAWMSVQSNATATPFPAQVRFTTKTQCTPLPENKYKSVIIDNYSKKQLGYFYFELNHAQTRNLISLFAPSPVRAIRNKPIILGPPATTHTVPNAWDRPLPYLKAKAHVLSDQVKSEHNVSNLDKDASDYDDLAGSIKEDKESENDDQHAKMAFPLKPQELSSLQQKGSHSLEDAPVSSKAQCIRQDTWLAATLTKDSSNATSQCDISLKDNTSSVQCHGYAEHQIISNLSKTSKAMEKKQIDSDQGLLLKELVKGDEIRVEQLEQQYGKLQFEHNSSAPLFGVTHSNVEEPAILLSGGHDGINWLSSLDSYCPATDILETLRPMSSARAYMAVATLKDHVCIFGGWNGIHTLLYNTVECYNKGGNKWIALPRLNRKKGFLAGATLNDRIFAIGGGAGSRSFSEVEMFDPAVGKWMYSLSMQQPRLAPAAAELNGVLYVTGGFDGNISAERYDPREGFWTQLPSMGTRRGSHSVVVLRDSLYALGGQDRNTMLSSVEIFDTHANSWRVGSPLSVPRAFGCAVAVDGNAYLLGGIQGIGEYVETVEVYKEGQGWSVSGSKAVGKRAFACAVAI >LPERR01G03790.1 pep chromosome:Lperr_V1.4:1:2618812:2619413:1 gene:LPERR01G03790 transcript:LPERR01G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSAAQQGGVVGRILIVSPESELERGEIYFLIPASSWFLAGLCVVSSAVW >LPERR01G03800.1 pep chromosome:Lperr_V1.4:1:2620021:2621257:-1 gene:LPERR01G03800 transcript:LPERR01G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDSPLMGEFIQFLKSNWGTNSRVSQRRRRLRQLVAMVRGVADAAEDRAALRGGSLNAWLQQLRMVALRGQAVLDATTDATAVAGAARMLLAGVRMMFVCSAEVDRLAQAVDELERLAGPGGDLDLFVKVLQLDAAAAAMDIDGEEGVGISWVRSANSSPAILPNPGEKRKRGGISSIVDGDGDGESLREMGPKCRKHGLNLTISV >LPERR01G03810.1 pep chromosome:Lperr_V1.4:1:2622104:2623305:-1 gene:LPERR01G03810 transcript:LPERR01G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLLPEVDAELADAETEPVDDANLITSVQVTAEWNSFRDQHANDMFDEYLLSHGALELDTMATARGNKRIYLTWTDEMDAALLAVLVEHHNNGDHAQNGWKPHVYNSAIKNVRERCNVEITKDNITSRCKTFDKHYEVISKILSQSGFGWDWVNDKLLIDSDDVWNKYVEANKSSACYKNKVVKNWEAISTIYSKDHANGEGAQTGAEAAQVQPEQVDDASPDLQPKKQRTSEAILSILGDMKTSFNDSLKSTEPLPMPSVTPPAKILATLQMIPDLARCDMLKSYGKFILNERLFQALMELPMDMRKEWLLLLN >LPERR01G03820.1 pep chromosome:Lperr_V1.4:1:2625115:2625789:-1 gene:LPERR01G03820 transcript:LPERR01G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDSPLMGEFIQFLKSNWGTNSRVDQRRRRLRQLVAMVRGVADAAEDRAALRGEAVLDATTDAAAVAGAARKLIAGVKALFVSSTEVERLADAVEELERLAGPGGDLDLFLKVLQLDAAAPAAMEIDNLHTASSWVSSGISPSAILHTAGKKRKQSERSTIIEGDGESGTPVMPPVPQRAASARAVAMAMQRARGRIGNPARPRPPAAGRSLVRQLSEIDLK >LPERR01G03830.1 pep chromosome:Lperr_V1.4:1:2627720:2628838:-1 gene:LPERR01G03830 transcript:LPERR01G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKCGPGCSSSRPASAAGSYTHGSLATDRLELLTLVWLLMIHHGLGDAAAEVKLFTTDDHSLPELGSLESDAGNNWVPRRGRPRYSFKFRRDREHEPSEARARAKCLVLPKLVPETFT >LPERR01G03840.1 pep chromosome:Lperr_V1.4:1:2629175:2629389:-1 gene:LPERR01G03840 transcript:LPERR01G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAGSINSCYKQANYSIGQFNAIDSGFSHESTSRVACKIISVFGLRKQWRSLWHVKARN >LPERR01G03850.1 pep chromosome:Lperr_V1.4:1:2634683:2639907:1 gene:LPERR01G03850 transcript:LPERR01G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNVGNSTTTSSKRTCPLADITNITPAKTNEKHDSAIDNNAEGSTLKTTLREGHFADITNLNAAELKKKRARERYALLTVDQNKDIVQKNRENRRQRNDESTYGSSYHAVTLYPCLLPTRNSRWSSIDDVRSGQHHEVKGRLQDIHRAACVPP >LPERR01G03860.1 pep chromosome:Lperr_V1.4:1:2640015:2641442:-1 gene:LPERR01G03860 transcript:LPERR01G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTERRIRSKKRKATEAKKRRVRSRKRKACGGGVVRLPDHVVAEVLVRLPARSLARLRCACRSWNAEISSPGFQDRHHALSAAKLAFLPSAPRHTNFNLTIQSRNGRPRQWLTYCHDCPRTIGSNPCHGLVLLWRLCGDEEHYYSVYNPTTGDILHLPSPHQPDRAVGIGFHAAAREFKVVAIRIVVQLGELHAIVLTIGDARGWRRPAASTTSIGFTGDEYDDVRIERERAVFADGRLHWILTTKYLDKGPHSIVSFSLADESLCLIPQPPFATADLVPFDLNAVHFGRLSMNSGVRSESHEMVSVPVGTTIAELGGRLCMVRDVRHMAAGGGGGLLFEIWKLQDYETRSWTLDYRVDLKPAAAGRRRDDDRLTAPWLVMPLMYLDDDAGGGFRPGEGKKEKRKLLLATTAHEAHVYDPDSGTLRTVASFAGAGDSWEDGSLRVMLYQESLVRFPGLKQGKGEVEFVRLEV >LPERR01G03870.1 pep chromosome:Lperr_V1.4:1:2643708:2647225:1 gene:LPERR01G03870 transcript:LPERR01G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPEQHAGIYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPTPRTPEEAARLVVTTLKNHQKADVEGLLTFYGLPIPNGAAASTPVPHTTHAPKPEGCKFELHTLPVDAKAVADGDTVTVYVDTADPRESGRVPREIQKAAADRTKARAVRDYQKADGLQKMMADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNGTA >LPERR01G03880.1 pep chromosome:Lperr_V1.4:1:2648489:2650982:1 gene:LPERR01G03880 transcript:LPERR01G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATNSHRHHRERGNHAHAHGGGGGATNRVWASPFLLCFVAAVVLLLFPQKKGSRLGCIWRVPLLMGNHHGVKYELHTLPVDAKAVTDGDTITVHVVMADHPGSFSVPQEVHRAAADRDEALMDKNYQRADELQKIILDAGFRQLTNSTGGQVLTKKYRIRLRGIDAPESSMPYGREAKEELITLVQGKRLKLSVYGTDRYGRLIGDVDCNGVFVQEHMLKKGLAWHYTAYDHRPELARCEHQAKKSQMGLWSSPNPDKPWEWRKEKRIKNSR >LPERR01G03890.1 pep chromosome:Lperr_V1.4:1:2651073:2653308:1 gene:LPERR01G03890 transcript:LPERR01G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRARVMSSGVYTYKHRCEGGVDIHDVYVKRSTFRVLFSYVGAAFLIANVLHALLSKERLCINSFWSILFSAIVTKCLQYKPVKKESLLIMPTFGVQLEETFGATFYSGRVHRQFVPIGKILKPLLNEQVTPVTCYWNLVLLLHGEDKLMCVFQNLYPPAKMMVPIWKSLCTFTNSGGTGHPIAPQPNVEHIHTDQDIH >LPERR01G03890.2 pep chromosome:Lperr_V1.4:1:2651039:2653308:1 gene:LPERR01G03890 transcript:LPERR01G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRARVMSSGVYTYKHRCEGGVDIHDVYVKRSTFRVLFSYVGAAFLIANVLHALLSKERLCINSFWSILFSAIVTKCLQYKPVKKESLLIMPTFGVQLEETFGATFYSGRVHRQFVPIGKILKPLLNEQVTPVTCYWNLVLLLHGEDKLMCVFQNLYPPAKMMVPIWKSLCTFTNSGGTGHPIAPQPNVEHIHTDQDIH >LPERR01G03890.3 pep chromosome:Lperr_V1.4:1:2651073:2652766:1 gene:LPERR01G03890 transcript:LPERR01G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRARVMSSGVYTYKHRCEGGVDIHDVYVKRSTFRVLFSYVGAAFLIANVLHALLSKERLCINSFWSILFSAIVTKCLQYKPVKKESLLIMPTFGVQLEETFGVHRQFVPIGKILKPLLNEQVTPVTCYWNLVLLLHGEDKLMCVFQVNLTCFQLVFM >LPERR01G03900.1 pep chromosome:Lperr_V1.4:1:2659774:2660529:-1 gene:LPERR01G03900 transcript:LPERR01G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAKEENVMTAELQLKAESAIGGRSGRGGSKVFSKLMSRESAAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAVSHSVLPPLTPPPSYYNNKVSSAVAGGGGGKKGGGKNGGGGGGILRFFVLPKIIRLRRRRRKAAAASSASSASCGTSDQEESSTEAASFYSSMSFRSTQSPTWSSSMRSLGQNHGQAFSSDEEDHDDDDDMAATACFRVRHESFRAIKNCRVAITVRSAMASTTAAVQKAA >LPERR01G03910.1 pep chromosome:Lperr_V1.4:1:2665238:2670222:1 gene:LPERR01G03910 transcript:LPERR01G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFTAPEKGDSFNILDGESLPLPSKTAGLISAKGKLCQLCEQYLTEALFFLQQNETQSEILSVLHQACAHLAPLKQQCITLVDYYIPRLFLEASVVEPENFCESVHFCRKRMMLSVPTRGDMCDLCQHVLDDLLTILRDPDMQLEIIELLLQTCNTADNYVQQCKMMVRKYVLLILVKTRKFLETTDVCSVIHACKTGAEPSAETMHLSAASSRQWK >LPERR01G03920.1 pep chromosome:Lperr_V1.4:1:2670757:2674401:1 gene:LPERR01G03920 transcript:LPERR01G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNVSNKESLRAEIHLIYWVGGKIRLTSHKSFSLANKRTGLTSDGKLCQLCEQYSTEALFYLQQNETQTEILTILHHACSHLAPLKQQCITLVDYYIPMFFLEVSVVKPEKFCESVHLCRKGTMLRLPTRGDTCGLCHHAVVEALIMLKDPDMRLEIVEILLKACSKAENYAQKCKKMVLEYVPLILLKSEKFLETSDVCSAIHACNTGTQASAETMLLSATS >LPERR01G03920.2 pep chromosome:Lperr_V1.4:1:2670646:2674401:1 gene:LPERR01G03920 transcript:LPERR01G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIFHRDTNVAESRDSFNLLGHKSFSLANKRTGLTSDGKLCQLCEQYSTEALFYLQQNETQTEILTILHHACSHLAPLKQQCITLVDYYIPMFFLEVSVVKPEKFCESVHLCRKGTMLRLPTRGDTCGLCHHAVVEALIMLKDPDMRLEIVEILLKACSKAENYAQKCKKMVLEYVPLILLKSEKFLETSDVCSAIHACNTGTQASAETMLLSATS >LPERR01G03930.1 pep chromosome:Lperr_V1.4:1:2674159:2677786:-1 gene:LPERR01G03930 transcript:LPERR01G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRATFERAAAAESGGHEPVAAFRGKDWGVGEVFRSFLFEQGGLDMIPVLDESNLGLIKPNTLVRFRGMVQDILGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNHWTRESSPGPDLRKMSSCLTSEQREKRKRDAENDAMDVSENGSEETSSSSKKAKDDGVQISNSSLQMSMNEEHVPEMNGVDHHIPGSSFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPSDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRAPAVEPSPILLKSIRQSLLSHLTLILGKDELAAQCLLLHLLSRLRNKVNVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQTIPLSIEYLNTATLQPRKDNRSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMPTDVQLLTLSEGKSNILPSDLVVPFRPSSVPAVNVSSEELESWRWYLTTVRSLPQSTETETYQMIQDEMVSAMREDRSLGCSELSRWLTMAQITAASFGEKSLSMEHWQMVKELERLRKERLQ >LPERR01G03940.1 pep chromosome:Lperr_V1.4:1:2679190:2682262:-1 gene:LPERR01G03940 transcript:LPERR01G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRPLFLLPLLFLLLHIQLPPPAQSLGSSSAAKYTNHTIQALPPRVILIPCLPDQASALLRLKRSFTITKNSISTFQSWITGINCCNWEGISCGDGNGRVTSLDLAERRLKSSGLNPAIFHLTSLRHLNLACNSVNGSQLPQFGFELLTKLTYLNLSSFDFNGRVPTISISRLTNLVSLDLSTRFVDASVQDGSLMKMDSSSDSIKLVEPNFEALIAKHTNLRELHLGYVDLSDDNGRLKWCDALASFTPKLEVLSLPLCQLSGPICGSLSTMQSLVVIDLRFNSLPGPIPDFTAFPSLRVLQLRRNMLQGYVSPLIFQQEKLATIDIRYNLELSGSLPNFSSVSNLENIFVTGTNFSGEIPSSIGNLKSLKKLGLGASQFSGELPSSIGWLKSLDLLEISGTTIVGSIPSWIKNLTSLTALRFSMCGLSGSIPSFIGELPQHISNFTELRMLFLHSNNFVGKVNLTSLWGLQHLRYLDLSDNNLVVVDGEVNSSLAHFSKLELLFLSGCNITKFPNQDRINWLDLSNNHIHGSIPPWAWESWNNGIDILNLAYNKLTTVGYNPFLPFQIEVLDLSNNMFEGTVPIPHGFARELDYSSNKFSSIPLNFTTHLFDVTIFNAHGNNFSGEILPSFCTATKLQVLDLSNNNFNGSIPSCLINNVSRIQILNLNANKLHGEFPDIIKEGCSFRALYFSGNNIKGQLPRSLVACQNLEILDAGNNQISDSFPCWMSALRRLQVLVLKSNKLFGQVVQSLADEESNCAFPNAIIIDLSSNNFSGPLPKDKWFKKLKSMQHRDINTSLVMDYAVKNLGVTYVYTAIVTYKGHDTRFPSILRTLVFIDFSNNAFSGSIPETIGELVLLQGLNMSHNLLTGSIPSQLGGLKQLEALDLFSNELSGVIPNELASLDFPGMLNLSYNKLEGKIPESAHFSTFANNSFLGNNGLCGPPLSKGCNNMTESNVIPPKKKSVDIVLFLFSGLGFGLGFAISIVIAWGIPIRKWSQ >LPERR01G03950.1 pep chromosome:Lperr_V1.4:1:2684511:2687219:1 gene:LPERR01G03950 transcript:LPERR01G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTETFKVRSRDHNHNKFIKTIRTKAADPGRFSRDIPVLPPAEEPPRRWFHVVLIGTQTVTLAVRGDNLYLEGFRGSDGTWWELTPGIIGDGAATYAGFGGSYLDLIGGSRKLVDVTLGPEEMARAINTLAARMPDDIHDGKAQRRARESLVVLLLMVNEAVRFKTVAGMVAGLMNPRATVKSGTISDDMRGHVNEWHNLSKALRTMYLVEPKYMGKVEEEKVDVEKTKAEKEDEEKRKARKAAEKVYVEAANVLGIMFVDDKVPGGMTKEEVLALSRGRGKN >LPERR01G03960.1 pep chromosome:Lperr_V1.4:1:2688288:2692773:1 gene:LPERR01G03960 transcript:LPERR01G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLFTVTFNVSGRDNYNDFINSIRRQVANPNHFSHNRPILPPSEAPQPPSRWFHVVLRSTTRTLTLAVRGDNLYLEGFRGSDGTWWELTRGIITGGNAATYAGFGGSYSDLLGNTDRLVDVTLGPERMAHAIDTLASRTPSDLADGAAQQRARESVVTLLLMVNEAVRFVTVAERVAGLMDPRATVKSGKISVEMKRQVNGWQDLSKALLIMDAVVPKDAKKIKDEKKADEKKEEEERKAWEAAEKVAVEAAKAVGILLFVDSDKVPRGMTAAKALELFRGNFSFSVLLHNDPMALDPLFTVKFNVIRGDDYSPFIARIRKKVANPRHFSHNLPVLPPVDPPPRRWFHVVLIGSTTRTLTLAVRADNLYLEGFRSSDGTWWELTRGIIGGGGATYAGFGGSYRDLLGNTDKLVDVTLGQEQMAHAVDTLASRTPGGAAAGQREKESVATLLLMVNEAVRFVTVAERVAGLMDSRSKVRSGTISPELKKQVNRWQDYSKSLLIMDAVQPKLLKNKDEEEDDAKAYMTEAEKKDEKEKNVWVKAEKEAVEAANVVAILLFVDVNKVPHGMTKAKALELLHGN >LPERR01G03970.1 pep chromosome:Lperr_V1.4:1:2695908:2699878:1 gene:LPERR01G03970 transcript:LPERR01G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFFIQERHASTTSSSMIFGVALSRGSAATWSTALGTAAGSDAPALSTGRSREETMPDGDGGRWRTSGVLAALELTGGGGGGRRRCRC >LPERR01G03980.1 pep chromosome:Lperr_V1.4:1:2702298:2703146:1 gene:LPERR01G03980 transcript:LPERR01G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTVTFNASGRDNYNDFINSIRRQVANPRHFSHNRPVLPPAEEPPRRWFHVVLRSTTRTLTLAVRADNLYLEGFRSSDGTWWELTRGIIGGGNATYAGFGGSYSNLLGETDRMIDVTLGPEEMAHAIDTLAARTRGGAAAGQREKVSVATILLMVNEAVRFVTVAGMVAGLMDPRAKVKSGKINAKLKEQVNGWKDLSRALLIMDALQLEDPDDGKNKKPDAKKAEDERKAWEAAQKLAVEAAKAVGILLFVDSGDKKVPRGMTKAKALDLFRGTGI >LPERR01G03990.1 pep chromosome:Lperr_V1.4:1:2705568:2708260:-1 gene:LPERR01G03990 transcript:LPERR01G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFIFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFSFDYTSQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQSNQVKEGESDPLISDSLSTAENGGSAGDEDALKVPMWSSKYSKA >LPERR01G04000.1 pep chromosome:Lperr_V1.4:1:2712811:2714374:1 gene:LPERR01G04000 transcript:LPERR01G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPSSPATAWSITVRLRHRRWLDLRAAAENVVLPGWWRWRGHGGERLSLLLRLRRGLRLAVVSRCAAAAKSPRPSCRILRFLRSKLPRFPSILRRRRKNPPSPARATAAAIAASRSQLWQNRALGWPARRKATTAMATAALCLVAVLAVAMVSIAAFQAMSGDYGRGYYEPVGHSKSWRFLVARKLARWLELEPRLYAWLMKLSLNRLLNW >LPERR01G04010.1 pep chromosome:Lperr_V1.4:1:2714319:2724133:-1 gene:LPERR01G04010 transcript:LPERR01G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGALPNARTYTVVVEHLASAGFLDEALRVFRLLPSLRAPRTTRQYDVLGSALAEAARFDLLRWLIREMVAVDGVLPGPQMRAAIAAMRESGHIDDITEDFADELSPNGKIGYAVDDVDGEGDIDSDSDEDNGDVTARITLKPWLDPRELARALEGWDQREVAELESAGIVWTPRLVCKLLRSFRKAETAWEFFCWVACQPGGFAHDRHTVARMVAILARAGHVELVERLLDKVRSDGIALPFATVRLVVDFYGLSKKPDAAIRVFREADAICGGVGRPNLGLLCSSLLRTLAKCGRGDDAVELVEEMVAKGLVPDLQTFSGLMEHLAGGGDLKGVHRLLGMVRQCEMQPDGYMYSVLIRAYCKRERAALALKLFDEMRGAGVKPDAPTKALLVKSLWREGKLREAAQVEERCDEMTAASEGLPEASPGHVWTATAADLEKSRKSTQSRQRQYFEQKKRQQQRPGAQSQVDVAGTGSQAYHDQAPRSLDVLNLNNLATPICHNSGPENVDSVVPPLDFSPLNASAIEAQKVTSVCGNLKEASSQPRTLPLPPKVMRYAQNKARRSIPFNATKELDSVINGINVLKERRFPENMISPLDESAYKRSKQFNCNFPHSFDNHDNKFFPEDEDMFYEQEAEKGWQSRLDDTLTDENSERLWKMKSFNSEDHFPTPRVKQFDTLDYGLKDRYSPEKRTSTRTNTRFETSGIPATHDLFSDHPLMGNGDGTVLFDWERHPPIKKISNSNNTFGPSAWSFDMTILYSEESCSSATVVKDGSCKKPSLSVECEENKMKEKDDFHISFDKLDIPRMDANLDGISLFNNLEEHHKRTDDQNNLEAGYWSDKATKKQRTREPSCRLSLKEKFSNWDSTSTTTHLKDGTGLNNPSSCTVLLHEDNPFNSVSDMSTYQTAGSSSPERRPASKVPPVFHRPGNAIFDDDIDLQRPARRPASKVRPVFHRSDNAIFDDDIDLQRPERKPASKVPPVFHRPENAIFDDDIDLQSPVSDICGDEIEFSKPNSSTCIKSDIDMSTFLAEKVDKRKEDNFDSSKNRNADMFLEKKSVSSVSQNIVGQHRSCPQQPGKDSLRQGLSPGIDFQDSRLNSFWEDDHADNGTFQGDIELSNLLARKNGDDEDKIKKLSKPETKMLTETPRAYADYRNEMGETEICSEGSEVTNPPGVQKQTSLVTQVPANLGCLQETSTEMLQVHAHVECVTRENIENPSVALNTPLHLRNKIPDVDHSKSNFMLHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMFEHRVLRRLCVQKIVVDTPTKNKLEKDTHFRRMEDGYHVLPRSV >LPERR01G04020.1 pep chromosome:Lperr_V1.4:1:2725521:2729178:-1 gene:LPERR01G04020 transcript:LPERR01G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFVRVYSGGELVKGPNGVEFGSLPEEGLWFKGKPAFGELIDAVYKKLGWEPTQHTIRAQGRLNVGGGAHRHFIMVPVDDDMSWSNYVKAVFNGTEWNCLEIFVQAENCSLTEGIPSERAVMAIEPNYAQRQNGQPQNPELDMPFFIPSMVTASPPNAHPQNARQRKPRKSIRTFADNGGQVADSGNQDKNGTSEAVDTISYELIGQYDADHRACALASGQIYMPERVMRQFGLHQVCPPPLRDTSVELHWCRRGRVHNDWAQKHKTFVDMWEAKEQDVVMEDRPYDHSSYMDYLRWYRRSTRIRLCTPKRISIGHKGGASGGIAIADSEDPFRASQLRYTPRAHLIHSVTDKLTILAKEATSQKGCSRGECRAFVEQVTRTCVEVIADIGGSSLCDIVDLVPRSSTAAIAAVEPYAEQQRDEEEDIHHSTAPDQETESGLDSEKRSRFQNGRTQAGRKIQTRSSGKRKRGRSGSR >LPERR01G04030.1 pep chromosome:Lperr_V1.4:1:2730657:2732507:-1 gene:LPERR01G04030 transcript:LPERR01G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQYQGSLTGSESGSEIQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPASRKEPEDLASQSESEAKSEDGDDDGIAYTLHQRILELEDELNTVNQKLRDANEKLEVLEEKSLRCRCDSKENGNSDDQSATKEKLQSSQEEINNLKISLEVLSEENSRLLGQNKKLEAEIVNLKGEIASDRRQFEEKLSHSDAEIDKCRQELAEASEKLLQEKSANSSVTKELQETIESIRLKLEKVSEEKLLVEDKFKKLEEANSEAEKYNQELFHATERLSEEKFRHEAEILTLNQAIEDLKSKLERLAQERSLFKSWFADLEQVVERGRRILPE >LPERR01G04040.1 pep chromosome:Lperr_V1.4:1:2736221:2738228:-1 gene:LPERR01G04040 transcript:LPERR01G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMPTRKSHSWWWDSHISPKNNKWLAENLEEMDKQVKDMLRLIEDEGDSFAKKAEMYFQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLGVSESDSETQSTPPTPEPNSEEKTPKEKRKSRAAGFDVFLGSGGSSDVSKKGSDGSSSSSSDSDSEVDEAREGNGGVPFELHERIAELEDELQEARDKIEALEEKNTHCQCEKLEEKLKASHSEISSLQKELEEKVRSLDNYKEINSEKEDLEAAVLANKEEIEELKERLASADKQYEGQLAHRDHEIEKCKKELEQVYDKYSHDKSTLETEIRKLQDAVKNFESDVEKISQEKSQLEAQVRELEQASHRLDDSAAEIMKLQETIKDLEARVNNDSNEKKMLEERAIEFERVRSELEGSRTEVAELQAKINNLKADLDRALQDKSQLESRIKDLEQTIACNLEEFSLEKTSLGAEIQKLTEANASLEGKIQQLHDEKSEASMDSEKHISKVNQDITNLKTELEILSSEKATIDNKLSSLLTDITTRDEKIKEMDTHLNQLHLEHVKLIGEADAAQKAVSELRARVIELEEEVEKQKAMVTDGAEGKREAIRQLCFSLEHYRHGYHQLRQMLQGHHKRPLVMAT >LPERR01G04050.1 pep chromosome:Lperr_V1.4:1:2745798:2747231:-1 gene:LPERR01G04050 transcript:LPERR01G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCMGKEKSDQEDKIDFKGGNVHVITSKENWDQKIAEANKDGKIVIANFSASWCGPCRVITPVYAEMSQTYPQFMFLTIDVDELTDFSSSWDIRATPTFFFLKNGQQVDKLVGANRPELEKKVAALAESA >LPERR01G04060.1 pep chromosome:Lperr_V1.4:1:2749178:2753486:-1 gene:LPERR01G04060 transcript:LPERR01G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETASPGQDGINMHARWRIPVGGGIGARWVATGGSSSTKLAPLGQGVKGLARPVEAARSAAARYRQAVGLQAEAFWRRNYMLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDERREREEAEQRQQEEEAEALRREEQRLREEYQERKRREAENLEKTT >LPERR01G04070.1 pep chromosome:Lperr_V1.4:1:2755442:2759438:1 gene:LPERR01G04070 transcript:LPERR01G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAQTAAAGAPQTHPTPSQPAAGAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGGPHNQAGGGEVSIRIVGSGEAGAAVERREEDRVMEDDSEAAHAHAQGNPSTSATSGGEGGGGRGDSSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKDGDLVRPLVALSPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMILMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTSLRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >LPERR01G04080.1 pep chromosome:Lperr_V1.4:1:2760173:2764375:1 gene:LPERR01G04080 transcript:LPERR01G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSKGSHPPGKLGTFESPHTWQLSAPTGQTEAGSSDDQEIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTVDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPWMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTSPP >LPERR01G04090.1 pep chromosome:Lperr_V1.4:1:2764965:2769114:1 gene:LPERR01G04090 transcript:LPERR01G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAGHGAGAGRRRRAWRWAMRAAASAVVWTAVVQLASIAGLWRPRVLADCGGGGGGGGGAVAGLAALAGEDRVAPARLSPPVLVPRRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSNFGDIFDVSHFINSLRDELMIVKELPMKLQLRTKRRLYSMPPVSWSNETYYLKRVLHLARKHKVIHFNKTDARLANNGLPVQLQRLRCRVNFDALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETQELTKMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPDELRPFQNHSTQMAAMDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFHKTIQLDRVKLVELLDGFQGGAMSWDEFSAAVKKAHQHRMGQPTDRKVIPGRPKEEDYFYANPQECLGSNEGLREIL >LPERR01G04100.1 pep chromosome:Lperr_V1.4:1:2770015:2771998:-1 gene:LPERR01G04100 transcript:LPERR01G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSLLNAVFRRMFTSAGLLPASATVDAAGDGATLHFWAHPSLLLPSKEKTATAVTKPVVVLIHGFGPDPTWQWAAQVGPLSRHFDLVVPTLLFFGSSTTAAAAGRYSDAFQAAAIAALLADHLEVFRRRREVHVVGTSYGGLVARQLARSLPSIAGGGGIGNKLVVCGSDLVKGEEDDAALAAKGGVEEVTELMAPADGKGLRRLMALCVHRPPKFIPEFIVRDLLRKYFADKREEKIQLIKGIVTEEQDPQLNSPLPQEVLIIWGEFDQIFPVEKAYKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSSAIANGAK >LPERR01G04110.1 pep chromosome:Lperr_V1.4:1:2775195:2776279:-1 gene:LPERR01G04110 transcript:LPERR01G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPITSRHGEAAAAVNAGDMAAAEERERKGLWSPEEDERLYTHITRCGVSTWSSVIGLRRSGKSCRLRWMNYLRPDLKKEPITDREAETIISLQKLLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQTAAAAVAESRAKTEPPALAAGDEPCADAAAVEATAAAPSSPPPIAARLPVFACQLLDGGAVAATQSSPTATEQLVNGAGSSESEASAGGNGGGGEDYSYSGGGVDGDMVRHLLALDDLDLLIDVPGLLDSWECELYYPEDSSSSMSN >LPERR01G04130.1 pep chromosome:Lperr_V1.4:1:2801895:2802353:-1 gene:LPERR01G04130 transcript:LPERR01G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRLAAQDSGGKASFNGTRLALNRCSVAAASASSLQRRFEEDGAHVRRGVRSNVSPSPPLPGGGATSCGPARFTASSSTPVAAARRRRPWIWAASTRRRWTTTSRWRNWFVAGAAASAQCASTALHAATVAFTTAATFTLASNASTAA >LPERR01G04140.1 pep chromosome:Lperr_V1.4:1:2810622:2813623:-1 gene:LPERR01G04140 transcript:LPERR01G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSNSAMSPVVSSPAAATAPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGETGIPTALPVVTAAAPRLAAAAMPAPGHSHAHAHQLAAVTAGMYGGGRRLGVIDGGGDRIAHTAGCYYARSNSAADVAPVLPYASMANWTVNAISTTTTNSGSESIGMVDHKEGGDSSIGSITEICALASMRRLQEDGQPIHPRH >LPERR01G04150.1 pep chromosome:Lperr_V1.4:1:2820592:2835600:-1 gene:LPERR01G04150 transcript:LPERR01G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAAAAVAALGRLVDRTRVPDPTLQRHAVAAAVAALVSRALRSGLRFPPHDHPFVQALASGCGGARAELPRQAARMVAEGVSGVLGFLRPFVMFAAVRKGDAAFVKDLIGALAAAAVAAPNSDSTVPVLKLLAECLLHFGRGDGEEMRLWLSSVECLVDAYVVLLRKLAHAQMTTYDAQASSVELIEMLLSQCSFHHQLMGTACAALGLSKHLLLVQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLAGLKLLTFLIEWRHENALRTNEAVHYFREEILCVLPVINLVMSPSKSVKSVASHVLSRLHLLVLDLLAPCSSEQHDSSFVHHISKPATILPKLVHHLWSQSSPSGFMFTKYITSRGLPESAGNLTEANYWTNQINEHLSALHKEKLTLDSLSSKKIPSVATSLLVSSAVSVLVMHPKLGTSAAQSLALLGATDPTLGMPLLVLILFYTKVLYSDNDHITNILLSLLESLPSFAVHGFVLPVALQLISTMLNKDVKPVLYAIAVRLLCKMWTFTDWAFQTLQGILDPENISNFIDDREVITSIAASVRDVCKQNPDRGVDLILSVSASIESRDSVVQALGLESLSYLCEADVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDAEAYPGTSKHLIQTLWSIGTYREKNANPLWVKARGTAFRSLSHYKISLIKDAIPDFGRINYEYFINERNLEVLKAMESFQAESIKFEHINRHRLTTDKTTTTVHKFEKLLDAFPQAVFKGKSGYHRLPGAALLTLNFTPKDILHEGKSKDLHRVHAAYEQALVEMAESMYISRNMVLALLALHSWKSFVSNWMQAVIACLDTKESTKSNKASKAADDIFKILCKCVPISTPRVVVNIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSAALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYSCQVLLARADNTTDLDLEATSKLTERASFEEILHTLTTSLVQLCPLSCYSLKKLNICGIRSLEGMEEKYISLDDDPWAVAGLVLGLGNSVVSLYRLGASEAVIDVKNILISWIPDVDSSSVLFDENDSALLCMGSCLALPSVVAFCQKVELLNDDLDALFNRYTSLATELLRLKKSGTIFQNLLMAICIGAGSFLSCILNDGVHAMKFTDVKNLLDTLKHIYTHPYPPLVHLGGILGAVNAFGAGAGDLTGIPWQPTKSEIKHEKESSLVRGPLLTSPVGETLSTSMIQEIFLLAKDAEDDQMQNYAAWSISFLRSRWLLKNQNICDDDCSQSSSDSNQSTSFSNESLVWNLSLWLKDLNFEKPDNMVSASTIATVLGCLSKAPRLPAIDWGVIVRRCMKVEAHISDKLTNHHDHKLLREESLYFSLAHASHISPLLHFIDDLTDLPRFRRLEINLQSILLQYLSHLMKLFSHSRLDKFYEDLTEYLYSPTSSYLEYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIHNLLHLLSLHKDGQPEFIEEWSAAIKCLTVAKKSLLGDTLQVEISSSFSEVERIDVSKNIMIRARMCSSGCGSADELGNIKTTILSTRLDGVWWNVLVEVATAVYSADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSICDDHRNSVADKLWLLTTRIYAWAEQSTQDACHDLIHESEADKANFLANILRCTCIAVEDHLAVEKKLKLANLEAL >LPERR01G04150.2 pep chromosome:Lperr_V1.4:1:2820592:2835600:-1 gene:LPERR01G04150 transcript:LPERR01G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAAAAVAALGRLVDRTRVPDPTLQRHAVAAAVAALVSRALRSGLRFPPHDHPFVQALASGCGGARAELPRQAARMVAEGVSGVLGFLRPFVMFAAVRKGDAAFVKDLIGALAAAAVAAPNSDSTVPVLKLLAECLLHFGRGDGEEMRLWLSSVECLVDAYVVLLRKLAHAQMTTYDAQASSVELIEMLLSQCSFHHQLMGTACAALGLSKHLLLVQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLAGLKLLTFLIEWRHENALRTNEAVHYFREEILCVLPVINLVMSPSKSVKSVASHVLSRLHLLVLDLLAPCSSEQHDSSFVHHISKPATILPKLVHHLWSQSSPSGFMFTKYITSRGLPESAGNLTEANYWTNQINEHLSALHKEKLTLDSLSSKKIPSVATSLLVSSAVSVLVMHPKLGTSAAQSLALLGATDPTLGMPLLVLILFYTKVLYSDNDHITNILLSLLESLPSFAVHGFVLPVALQLISTMLNKDVKPVLYAIAVRLLCKMWTFTDWAFQTLQGILDPENISNFIDDREVITSIAASVRDVCKQNPDRGVDLILSVSASIESRDSVVQALGLESLSYLCEADVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDAEAYPGTSKHLIQTLWSIGTYREKNANPLWVKARGTAFRSLSHYKISLIKDAIPDFGRINYEYFINERNLEVLKAMESFQAESIKFEHMLVFSVFLLSQDVYRHPLLKLSLYYSNRHRLTTDKTTTTVHKFEKLLDAFPQAVFKGKSGYHRLPGAALLTLNFTPKDILHEGKSKDLHRVHAAYEQALVEMAESMYISRNMVLALLALHSWKSFVSNWMQAVIACLDTKESTKSNKASKAADDIFKILCKCVPISTPRVVVNIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSAALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYSCQVLLARADNTTDLDLEATSKLTERASFEEILHTLTTSLVQLCPLSCYSLKKLNICGIRSLEGMEEKYISLDDDPWAVAGLVLGLGNSVVSLYRLGASEAVIDVKNILISWIPDVDSSSVLFDENDSALLCMGSCLALPSVVAFCQKVELLNDDLDALFNRYTSLATELLRLKKSGTIFQNLLMAICIGAGSFLSCILNDGVHAMKFTDVKNLLDTLKHIYTHPYPPLVHLGGILGAVNAFGAGAGDLTGIPWQPTKSEIKHEKESSLVRGPLLTSPVGETLSTSMIQEIFLLAKDAEDDQMQNYAAWSISFLRSRWLLKNQNICDDDCSQSSSDSNQSTSFSNESLVWNLSLWLKDLNFEKPDNMVSASTIATVLGCLSKAPRLPAIDWGVIVRRCMKVEAHISDKLTNHHDHKLLREESLYFSLAHASHISPLLHFIDDLTDLPRFRRLEINLQSILLQYLSHLMKLFSHSRLDKFYEDLTEYLYSPTSSYLEYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIHNLLHLLSLHKDGQPEFIEEWSAAIKCLTVAKKSLLGDTLQVEISSSFSEVERIDVSKNIMIRARMCSSGCGSADELGNIKTTILSTRLDGVWWNVLVEVATAVYSADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSICDDHRNSVADKLWLLTTRIYAWAEQSTQDACHDLIHESEADKANFLANILRCTCIAVEDHLAVEKKLKLANLEAL >LPERR01G04160.1 pep chromosome:Lperr_V1.4:1:2839071:2842271:-1 gene:LPERR01G04160 transcript:LPERR01G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAVGSTRAGEGRNSGGGGGMAPPSSLRIHAPSREPKARTMAGAGKVNNPRRRGGGGGGGHMPLWRVAVFASVALNVATLALLLHHYAATSPHHHHYAAAAVDWRDRSTASMAPSTGKPAVTPDSVINLDHGDPTMFEAFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPDLDRQVRRLHRIVGNAAADDGYHVLVGTGSTQLFMAALYALSDAAGDGDGDGEPVAVVSTAPYYSSYPAVTDFLRSGLFRWAGDADTFKGDTYIELVCSPNNPDGTIRESVLDSKTGNGKTIHDLAYYWPQYTPITKRADHDIMLFTVSKSTGHAGTRIGWALVKDREIARRMTKFVELNTIGVSKDSQLRAAKVLAAVSDGYERRPEMTSSSPTTSRLFDFGRQKMVERWSMLRAAVAASGIFSLPEETSGFCNFTKETAATNPAFAWLRCDREDVDDCASFLRSHKILTRSGEQFGADPRYVRVSMLDRDDAFEIFVNRLSSLK >LPERR01G04170.1 pep chromosome:Lperr_V1.4:1:2856326:2860229:-1 gene:LPERR01G04170 transcript:LPERR01G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASASNSAGAGGSGELLDLMSPNPQEEGEDRLRRRRSSNGSAAADDVLPSYDFQPIRSTAAAAPASWGSLDSGSGSKAASASYNLKSAGILEPHVLKKVSHEEDRSSFGTVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDELKLTIGNYNGSTDGKLRQLENMLREVQTGVQILRDKQEIVETQLHLAKLQTPKSDALSSESVGPAQTDSHQQPVAPQQAAIQPQHQALVASQPPALPALPAPNAPPPPPTLQNQPPSQYPGHLPHSQVPSVAPSAPVPSVPGLPRDPYYTPPAQPTETMHHQYQAPPVPQLQAPPAPPQQYQSAPQFPQYSQPPQSGMVNPSTPLPPAAPQQPDEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQSQSMYEPPAGRPNSGPPPSYGSGGYGQQGGSGYSESYGYSGSPSHRGNAGMKSSPSPFAPSGQSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQPQKGWFGR >LPERR01G04180.1 pep chromosome:Lperr_V1.4:1:2861403:2864545:-1 gene:LPERR01G04180 transcript:LPERR01G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKSKDGLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPTVDGAAAGSFLGFDAPSAADRHVVPIGKLIDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSESTTTGQRPYVLLLPIVEGSFRACLEAGKVEDYVDMVLESGSSKVKGSVFRTALYLHAGDDPFDLVKEAMKVVRVHLGTFRLLEEKTPPPIVDKFGWCTWDAFYLKVHPDGVWEGVRRLADGGCPPGMVLIDDGWQSICHDDDDPNSGAEGMNRTSAGEQMPCRLIKFQENHKFREYKTKSGEVGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGSPGLPPSKVVVPRLSPGLERTMEDLAVDKIVNNGVGLVDPKQARELYEGLHSHLEASGIDGVKVDVIHLLEMLCEEYGGRVDLAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPAGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPIYVSDAVGCHDFDLLRRLALPDGTILRCEGGYALPTRDCLFSDPLHNGKTMLKIWNLNRFSGVLGAFNCQGGGWSREDRRNKCAAEFSVPVTARLRPGDVEWKKNGNFPVVAGGEQFAVYFVEGRKIQLLRGDEDVEITLEPFTYELLVVAPVRVLTPPEGEIGFAPIGLANMLNAGGAVQGLEVNDGEAPAAEVEVKGGGEMVAYSSARPRVCRVNGQDVEFEYVDGLVTVDVPWTGSSEKLSRVEYVY >LPERR01G04190.1 pep chromosome:Lperr_V1.4:1:2870157:2876758:1 gene:LPERR01G04190 transcript:LPERR01G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAR >LPERR01G04190.2 pep chromosome:Lperr_V1.4:1:2870157:2876345:1 gene:LPERR01G04190 transcript:LPERR01G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAR >LPERR01G04190.3 pep chromosome:Lperr_V1.4:1:2870157:2876758:1 gene:LPERR01G04190 transcript:LPERR01G04190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAR >LPERR01G04190.4 pep chromosome:Lperr_V1.4:1:2870157:2876695:1 gene:LPERR01G04190 transcript:LPERR01G04190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAPTSGIAESW >LPERR01G04190.5 pep chromosome:Lperr_V1.4:1:2870157:2876758:1 gene:LPERR01G04190 transcript:LPERR01G04190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQALYGLGVPFKEN >LPERR01G04190.6 pep chromosome:Lperr_V1.4:1:2870157:2876695:1 gene:LPERR01G04190 transcript:LPERR01G04190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSCYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAPTSGIAESW >LPERR01G04190.7 pep chromosome:Lperr_V1.4:1:2870157:2874729:1 gene:LPERR01G04190 transcript:LPERR01G04190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSSGLEPGIPDNQNETQQPPSEWMEETLINMFLSGYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAR >LPERR01G04190.8 pep chromosome:Lperr_V1.4:1:2870157:2874729:1 gene:LPERR01G04190 transcript:LPERR01G04190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGEAGAASGGGGGGFEWDEDSQLYYHASTGFYHDPIAGWYYSGRDGQYYIYENGNYMPWTPDEGNEPKAHCPCDESNQGFLEPSCYSNVEVNAETSLGGSQTNEGDRSETAEIETGNFASENAPSSLCDNTLHQTDDEMQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPSFTSTDLWDWDMVKEQVSKGRPMTRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKHLASLSSYDSSNPTKDWGFPNIYANPDNNLDKQSSAECQSQVMDVFSMEGVSAASTREHNIIAYRDRAAERRILHRGTGIGPGQKQSSSTNFYEHEETSEGMDLNFRSSGLDSAKKMMENMGWKEGEALGRSTKGIVEPIQPTANKHGAGLGWKQAR >LPERR01G04200.1 pep chromosome:Lperr_V1.4:1:2881649:2889297:1 gene:LPERR01G04200 transcript:LPERR01G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRWRRPDMASSPAALLLCCAVAVASIGGAIAVDAQGAALLAWKRTLRGGDAALPDWNAGDASPCRWTGVTCNADGHVTELSLQQLDLLGGVPDNLSPSMGATLERLVLAGANLSGPIPPNLGDGLTSLAHLDLSGNSLTGPIPTSLCRPESKLVSLHLNSNRLEGSIPDAIGNLTSLRDLIVFDNQLAGEIPPSIGLLSSLEILRAGGNKNLQGKLPREIGNCSNLTLLGLAETSISGPLPASLGELKNLETLAIYTALLSGPIPPELGRCSSLQNIYLYENELSGGIPPQLGELTNLENLLLWQNNLVGVIPPELGSCVRLTVVDLSMNGLTGHIPPSLGNLSSLQELQLSVNKVSGPIPAELSRCANLTDLELDNNQITGGIPPEFGKLTSLRMLYLWANQLAGVIPPEIGGCVGLESLDLSQNSLTGAIPRSLFRLPRLSKLLLIDNSLSGEIQPEIGNCSSLVRFRASGNHLAGSIPPEIGKLGSLSFLDISSNRLSGEIPAEIAGCRNLTFVDLHGNAIAGVLPMGIFRGMPSLQYLDLSYNAIAGAIPADVGMLGSLTKLVLAGNRLSGEIPPEIGSCSRLQLLDLSGNSLTGSIPASIGKIPGLEIALNLSCNGLSGTIPKGFAGLARLGVLDVSHNQLAGDLQPLAELQNLVALNISFNNFTGRAPATAFFTKLPASDVDGNPGLCVSGGRCPGDAAAVDRARAAARLLHXSPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRAAAAGNVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGNLLHGNGGVVEWEVRLSIAVGVAEGLAYLHHDTVPAILHRDVKSDNILLGDRYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEATFGEGQSVVQWVREHLHRKRDPAEVIDSRLQGRLDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSIAKWADPRQPGSPTKPTQAHSHTSSLAYSTTSSV >LPERR01G04210.1 pep chromosome:Lperr_V1.4:1:2889771:2895528:-1 gene:LPERR01G04210 transcript:LPERR01G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLASLFLGAMHTQLSTTTTVPFHGKEELRRYRKIMAQVARLKKASSPDGDVIDCVPPHLQPAFEHPKLRGQKPEAEPEERPKVAGGDTTAAEEEVFPAQAWTDGGESCPEGSIPVRRTKRRDVLRSSSAVRFGRKAPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSAKVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSNLFTHLGRHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAASLRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >LPERR01G04210.2 pep chromosome:Lperr_V1.4:1:2889771:2894613:-1 gene:LPERR01G04210 transcript:LPERR01G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPYGSITTTTVPFHGKEELRRYRKIMAQVARLKKASSPDGDVIDCVPPHLQPAFEHPKLRGQKPEAEPEERPKVAGGDTTAAEEEVFPAQAWTDGGESCPEGSIPVRRTKRRDVLRSSSAVRFGRKAPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSAKVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSNLFTHLGRHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAASLRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >LPERR01G04210.3 pep chromosome:Lperr_V1.4:1:2889771:2894613:-1 gene:LPERR01G04210 transcript:LPERR01G04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVKEEQREEEDNPFQPRKHPNSSLLFLLLQATTNFREKNPRDQLGPRRSMMASSGFRRFSFRQIVVALLLLLASSSSSCLVYAKHKGGNSTTTTVPFHGKEELRRYRKIMAQVARLKKASSPDGDVIDCVPPHLQPAFEHPKLRGQKPEAEPEERPKVAGGDTTAAEEEVFPAQAWTDGGESCPEGSIPVRRTKRRDVLRSSSAVRFGRKAPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSAKVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSNLFTHLGRHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAASLRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >LPERR01G04220.1 pep chromosome:Lperr_V1.4:1:2900328:2906254:1 gene:LPERR01G04220 transcript:LPERR01G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQLAKQVTSAYLRRGASVGIGGVAPRIAAPARTFSPAAPPAVADEFRALGLFRSPLARQGAQGVWSGAAVRAGAPNLGVGAFPLLAGRVARGFYPQLSGHKLVKGLGTGSTLAAVMLSSTKVAYADEQQQPSQGNGIIGPRTKRQITKLLPVIKKYQLPVGLVALIALGWQNPLGLFINILLLLYSSRPNLHSIYLFLREFRHGQTHQNTGSWKEEDVLTRKVDAKDYKLFSTGMVETAEGEVLHVIGILGSWWIYRVSHGK >LPERR01G04220.2 pep chromosome:Lperr_V1.4:1:2903797:2906254:1 gene:LPERR01G04220 transcript:LPERR01G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLIIIHVNSSYETEQGAVHLWEQSGSPLIPLAEFLDPHVTKTYAVSPDKETLEILTQISNQRGVEVLAKIFYGDPSKKLYEAVDQVPLNCLVVGNRGLSTLKRALMGSVSSYVVNNATCPVTVVKDIAHGSCSS >LPERR01G04220.3 pep chromosome:Lperr_V1.4:1:2903939:2905533:1 gene:LPERR01G04220 transcript:LPERR01G04220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLIIIHVNSSYETEQGAVHLWEQSGSPLIPLAEFLDPHVTKTYAVSPDKETLEILTQISNQRGVEVLAKIFYGDPSKKLYEAVDQVPLNCLVVGNRGLSTLKRALMGSVSSYVVNNATCPVTVVKDIAHGSCSS >LPERR01G04230.1 pep chromosome:Lperr_V1.4:1:2906780:2908360:1 gene:LPERR01G04230 transcript:LPERR01G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSSAAAALESAITAAAASPEQPEEAQRRKRKSSDRRSDGPRRRRSPALQRQQQGRRRIRPHRAFHGRVQEFLLQPLPHRLLGSPPPPQRRGLLLLRSLPPPEQTVCCFFAVFGRDRLRKLSVETCIIVGEPPRRGQLTEKQLRPNRKKQRHRRKVRDMEDIRAFCPSYEEALQINRTDEQVLSEEEERHFHCC >LPERR01G04240.1 pep chromosome:Lperr_V1.4:1:2910567:2915266:1 gene:LPERR01G04240 transcript:LPERR01G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAANSGGRAAAGILFRRAAASALPTPNSTAATATTPQRISHYLAHHPRATWEALSAAFPAAASPHGSHADAVLLSLSKHRHSSSPELVARNALTFFHWSASSSSSSSPHSLRAYCLLVHLLAGAAMIRDASMILESAIARHPSSPATPFLDAFFAAYEDTGTAATATTRGLHLLVHAYARARLPEEALEACRYLAQRGVIPSMSAFNAVLHAAQRSGRFGVAWEVFELMTLRRVYADQSTVELVIGVLSREGKLARMASLVERIHGKKCAPGVVAHVALTLRIFEEGRTEQGILLLRRVLQRSMVSDDIAYSLIVHAHCQDGDLKQGRMEECSEYMDKMLREGFVPDICTCNEMVEALCDSGEVGKANELLTAVMDKGFVPNQNTYLRLINGYGKVGNAEVLMSPGSPSQMNKEGTPMLESCLPDFAMLSASSVQNLMQAA >LPERR01G04250.1 pep chromosome:Lperr_V1.4:1:2914684:2914965:-1 gene:LPERR01G04250 transcript:LPERR01G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARWLKLLVLVSLVATALRATTSLLAAHVIAPPPILHGDSGSDGFAMKNRRRMEGALAAFDARRLRPHGGGGVGGFEEDKRLAPTGSNPLHN >LPERR01G04260.1 pep chromosome:Lperr_V1.4:1:2917610:2922698:-1 gene:LPERR01G04260 transcript:LPERR01G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRWWRWWVAAVFAGVFAVILPSSTTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGSIPGSIGRLGMLQTLDMSDNHLTGSIPSSVGDLKNLNYLKLNNNSLSGVLPDSLATINGLALVDLSFNNLSGPLPKISSRTFNIAGNPMICGIKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHHIAVICGVTVGSVVFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >LPERR01G04260.2 pep chromosome:Lperr_V1.4:1:2917610:2922698:-1 gene:LPERR01G04260 transcript:LPERR01G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRWWRWWVAAVFAGVFAVILPSSTTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGSIPGSIGRLGMLQTLDMSDNHLTGSIPSSVGDLKNLNYLKLNNNSLSGVLPDSLATINGLALVDLSFNNLSGPLPKISSRTFNIAGNPMICGIKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHHIAVICGVTVGSVVFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >LPERR01G04270.1 pep chromosome:Lperr_V1.4:1:2925905:2930345:-1 gene:LPERR01G04270 transcript:LPERR01G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDFTSSPPATPPPAPSIRVGVDARDEERLDQDHQYYRCAGELLRAAAVGDLHLLATLPRTAAFLANLIRCDLGMAAEVARHGGEGGVGGVRSSCGRGVLHLAAANGRTDACLFLLLALGLPVDSRSASGAPPFSRLISCFVFGVARSFTPASRETALLLAATFGHTSTAAYLLDRGADPSTPDPDGGETPLHWAAYNGDSELARLLLVRGADVGAANARGTALHVATAQGHAAVVGVLLDHGADPNKIANCVFTPLVSSLLGRSLECMKLLIQAGANVNGAGFNGATPLMLACSRSGSIGFIKCLLESGANPNIHDELGRLPVEIAAIHAEREAIEVLFPLTCQVHTILNWSVDGIIRFVKSAAYKERMRDASCKRKDDLKEQGNSAYNNKDYDDAILLYSMAMKFDNTDAILYSNRSACWLNLGIGDEAISDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASDVLHRALKLDPRNATIAKALRDVLEAGRPRMEESAAACIHQKKRMECSTTFEIHRKCVASLGRSGDVPRRPLWGSGWMDLPPVNNVPESSESSGVI >LPERR01G04280.1 pep chromosome:Lperr_V1.4:1:2931981:2932410:1 gene:LPERR01G04280 transcript:LPERR01G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRLLLPWAAAPAPTKSPTIGEISDKTPPLPRPLAWLVAFIRFVDLPPARPNLPLRSRSLLHLLHHETIITTLTPQYYSVWPITDPACVRRDPWRASPLLLWGAYMSAASMVSACTRLFLPRAPHAVLGDIDGVGAC >LPERR01G04290.1 pep chromosome:Lperr_V1.4:1:2933648:2934178:1 gene:LPERR01G04290 transcript:LPERR01G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLPWAPAAAPPPKPTVGEMTALTPRPTLWLAAIFLPLVPTHCSTVASYWLCSSPDLPMDPWRLTPWTDPAFSACILRDPWTRSPPLLWGAYTAVASLLSVYMRLFLPRAPHAVRKALDEVAVRRVGFPLGLLAGIGPCSGVKWLAVPFDCVFLILIAAVLALWVRLIRTYGH >LPERR01G04300.1 pep chromosome:Lperr_V1.4:1:2936001:2940496:-1 gene:LPERR01G04300 transcript:LPERR01G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAGALLSPGGRADSPLLPCADSSSSSRYAHGNRARAALLRTPEQPPLAYLLRLAPLLLTGAATSRSLTAGGYRWFLAAAASEGTAQWLISPSPYWVLGFGRPVQFRHPTVVKVATSVATVVPVVLSVLPFFHQVYTGSFDRTIELYGAPAMAGGLLNSIIWLMYAIVTSKKELSIGLLLTHAFLCMATFAYLMSICAHKRATKQGYALGAFFIVCLSIISTVPHWDINPSEFVKDCFGYLGLFLLATCHLIQICGILDGLTKRSQKIATVVDVLPSCLMNMVTSIITAQAHPKQQLILASSIIGFAFTFIEIILVIIGPILGYVFPLAHNRIVDPEALTQHDHPSDTLNLNSSTQDAEPNPMLLRDLGIKYLLRDLEIQYWVARGRLEALQQSGAVAQTRQCRDKTADERAESQSQVESGVPEDQRVTCHLVFY >LPERR01G04310.1 pep chromosome:Lperr_V1.4:1:2941108:2943315:1 gene:LPERR01G04310 transcript:LPERR01G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELLLLLLRGANVGTTRQFDAARQHQHALSCDWADFGPYLLLILEVWCGNSCLGEELLQEGIACSIICVDLSPVAV >LPERR01G04320.1 pep chromosome:Lperr_V1.4:1:2946823:2950339:1 gene:LPERR01G04320 transcript:LPERR01G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLIVQASKRGDTSLKASVTNASLIAEERITVLVIGSGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDISDSVAVIAFCRKMGVGMVVVGPEAPLVTGLVNDLVKAEIPTFGPSSKAAALEGSKDFMKKLCDKYNIPTAQYRTFTDPVEAKQYVKDQGAPIVVKADGLAAGKGVVVAMSLDEAFEAIDSMLVQGSFGSAGSRVLMMRLESDLAQVLLSACRGELGDVSLTWSPEMAMVVVMASQGYPGSYKKGTVIRNINKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARERAYDAVEV >LPERR01G04330.1 pep chromosome:Lperr_V1.4:1:2956824:2959665:-1 gene:LPERR01G04330 transcript:LPERR01G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTTAPEYWLNWRFMLCAIWVYSCMILAFFLIWKYEAGSSSPGGNGDGGVDSEEAARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVMSFFILASILVVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNCGDRSGADHGTYIIAPTGESAYNHSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLLGTHSINVVFMIGDTALNSLHFPWFRIAYFMLWTGVFVNVQWIIHANVSIWWPYPFLDLTFPKAPVWYTLDEILTVFGGSSAALPLLCFIRPGYEAQTISAGKMVSSQLYLCAVANKNIYGVTSLQFPSSCCYILQQMPRTWSC >LPERR01G04340.1 pep chromosome:Lperr_V1.4:1:2959727:2960729:-1 gene:LPERR01G04340 transcript:LPERR01G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERYPAL >LPERR01G04340.2 pep chromosome:Lperr_V1.4:1:2959685:2960729:-1 gene:LPERR01G04340 transcript:LPERR01G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding THNHRDFHEVVDFPGAAKIKFSSAIKAVRVSESETSLDPPGLTTSPEIHSRSPAAVSGNEFVKTISSL >LPERR01G04340.3 pep chromosome:Lperr_V1.4:1:2960201:2960729:-1 gene:LPERR01G04340 transcript:LPERR01G04340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRL >LPERR01G04350.1 pep chromosome:Lperr_V1.4:1:2964918:2967135:1 gene:LPERR01G04350 transcript:LPERR01G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRRSPRLRELNEKAEVNNNEVSATKPIVLQDSTTRKEKEKVPIVQSREANLQRTPFPHQLNLQSSRQINIDGSAYMSHLLGMNETQTSHQVHDRFGFSSASFGQSSSQPQNQVKYAGIVPREGQTTAYLYYPLLSSFTPNASTPSASASASKQGAPMVHDNTTEQLKKLVEENNFGGSNSNPRSAIHQQNHHISSFYPVHPLPLTNPPLQRPARNGHPPLPPSYPRSELTTGNGNTRSASVLGKRPAEENYFHPPPPPPAAAAINADDEMAAVERGPQCRLQTVDLLSLIDSVGTPEFMANSARVLRSDDAAGGGSLYEQPRRDAVPAAEPSLVLGLGLGDGNGNERDEVWSFWNSSAMARTMERKRGTEGEDAMRRRP >LPERR01G04360.1 pep chromosome:Lperr_V1.4:1:2967138:2971383:-1 gene:LPERR01G04360 transcript:LPERR01G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGNRSAGKEAAAGAGDSPPPPPPPDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFAGGERDYTKIEGDTGPLVYPAGFLYVYNAIKFLTAGQVFPAQILFGVLYIVNLSLVLLLYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIVYHKWYLGLLIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVLFALLGAAALQVLLGMPFLLSHPVEYISQAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLVVFAHYKWLNHEGGLFQFLYSRFKDATSIRQFIFAKPKLSILSNEHIVTVMFVGNFIGIVLLFTAIPFVENTFSNICEDHYLRWCGALLEYLSFYCLFINAFAIPAHLHLVWVMELTCRVPLRQWEEMKNSIDKSGMMISNRKHQEGLCNSDNILAVSLFRSPLLKRSSIPNCRSPWIH >LPERR01G04370.1 pep chromosome:Lperr_V1.4:1:2973105:2978300:1 gene:LPERR01G04370 transcript:LPERR01G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPAASRSVAWTSPRHRDGAGNASTRVSAAAALPLLLVRVRGGTRHAMSMAPPSPPLLMSCGGRDVAARAVAAAAEAADDAGGVAETARLGAMIVAWYLLNIYFNIYNKQVLEALPFPYTITAFQLAFGSLIIFLMWAFKLHPTPKLTTAQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTEVSFNWIGFWSAMASNLLNQSRNVLSKKLLGGEEEALDDINLFSVLTILSFLLSLPLMLFAEGVKFTPGYLLSTGLNLQELCVRAALAGFCFHGYQKLSYMILARVSPVTHSVANCVKRVVVIVSSVLFFRTPISLVNALGTGAALGGVFLYSRLKRTKPKEA >LPERR01G04380.1 pep chromosome:Lperr_V1.4:1:2975994:2980563:-1 gene:LPERR01G04380 transcript:LPERR01G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGATAKGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPEGPPPSPYPAQQPPPERLRSSDPSEAQGGASRSQNAHPVDDRARNDHYERCTEAAGNRTQNVPFTEQKTRSNPSSQPSSAAGVYPAQNAPSSNIVSEVASGDRISTEAYRAKHEITIVGNEAPAPFMTFQSTGFPTEILREVQQAGFSSPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAIKFGRSSRISSACLYGGAPKGPQLRELERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVRQVQPKRQTLMFTATWPKEVRKIASDLLANPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARNYGASAIHGDKSQSERDSVLSEFRNGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCEQDSKYASDLVKILEGANQSVSQQLRDLISRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGFGSQSGGSSSFHSSFHNSSSGNQYGDTSSGFQTSFHNNSSNNRTSDNSSFHASSNNQPGDGLSFHERFYSSSRGSDQSRANNCGFRDRSRSPPNNRNHEDTGSKAVGVSNW >LPERR01G04380.2 pep chromosome:Lperr_V1.4:1:2975994:2980563:-1 gene:LPERR01G04380 transcript:LPERR01G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGATAKGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPEGPPPSPYPAQQPPPERLRSSDPSEAQGGASRSQNAHPVDDRARNDHYERCTEAAGNRTQNVPFTEQKTRSNPSSQGNEAPAPFMTFQSTGFPTEILREVQQAGFSSPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAIKFGRSSRISSACLYGGAPKGPQLRELERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVRQVQPKRQTLMFTATWPKEVRKIASDLLANPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARNYGASAIHGDKSQSERDSVLSEFRNGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCEQDSKYASDLVKILEGANQSVSQQLRDLISRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGFGSQSGGSSSFHSSFHNSSSGNQYGDTSSGFQTSFHNNSSNNRTSDNSSFHASSNNQPGDGLSFHERFYSSSRGSDQSRANNCGFRDRSRSPPNNRNHEDTGSKAVGVSNW >LPERR01G04380.3 pep chromosome:Lperr_V1.4:1:2975994:2980563:-1 gene:LPERR01G04380 transcript:LPERR01G04380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGATAKGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPEGPPPSPYPAQQPPPERLRSSDPSEAQGGASRSQNAHPVDDRARNDHYERCTEAAGNRTQNVPFTEQKTRSNPSSQVQQAGFSSPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAIKFGRSSRISSACLYGGAPKGPQLRELERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVRQVQPKRQTLMFTATWPKEVRKIASDLLANPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARNYGASAIHGDKSQSERDSVLSEFRNGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCEQDSKYASDLVKILEGANQSVSQQLRDLISRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGFGSQSGGSSSFHSSFHNSSSGNQYGDTSSGFQTSFHNNSSNNRTSDNSSFHASSNNQPGDGLSFHERFYSSSRGSDQSRANNCGFRDRSRSPPNNRNHEDTGSKAVGVSNW >LPERR01G04390.1 pep chromosome:Lperr_V1.4:1:2987604:2989851:-1 gene:LPERR01G04390 transcript:LPERR01G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGGEGGEGGSASRVGDWGVDLGEGWDWRSIPKLLSSACLFICSGGCFGCCDSAVRHLGQLSKSLIPPDQNYIGEELWSTTTIEVEQPWALDQHGGGTSHGPPEYVNHGLVLWKEIRKDWTANRRPIPEIKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >LPERR01G04400.1 pep chromosome:Lperr_V1.4:1:2992031:2995491:-1 gene:LPERR01G04400 transcript:LPERR01G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGASKVYATIDLEKARVGRTRMITNEPVNPRWYESFHIYCAHLASHVIFTVKIDNAIGASNIGRAYLPVQELLNGEEIDKWLDICDNDRQPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYAEIALVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSEVQCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVQLRDLSDTIIPPSPVMFPEDRDTWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDIIQALQAKGIEANPKDYLTFFCLGNREVKQDGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWYEHMGMLDDVFQRPESVECVQKVNRIAEKYWDMYSSDDLEQDLPGHLLSYPIGVANDGVVTELPGMEYFPDTRARVLGTKSDYMPPILTS >LPERR01G04400.2 pep chromosome:Lperr_V1.4:1:2992033:2995477:-1 gene:LPERR01G04400 transcript:LPERR01G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGASKVYATIDLEKARVGRTRMITNEPVNPRWYESFHIYCAHLASHVIFTVKIDNAIGASNIGRAYLPVQELLNGEEIDKWLDICDNDRQPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYAEIALVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSEVQCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVQLRDLSDTIIPPSPVMFPEDRDTWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDIIQALQAKGIEANPKDYLTFFCLGNREVKQDGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWYEHMGMLDDVFQRPESVECVQKVNRIAEKYWDMYSSDDLEQDLPGHLLSYPIGVANDGVVTELPGMEYFPDTRARVLGTKSDYMPPILTS >LPERR01G04410.1 pep chromosome:Lperr_V1.4:1:2997697:3002720:1 gene:LPERR01G04410 transcript:LPERR01G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILTGLPQLDKFLITPIETMATSEMVALFFLFSGLLRSSLVHSQGLQFGFYDNNCPDAEDIVRSTVEKYYNSDATIAPGLLRLHFHDCFVQGCDGSVLISGASSEKTAPQNFGLRGFEVIDDAKSQLETKCPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGRISSASDAKALPSPTDPVSVQRQKFADQGLTDRAHTIGQTDCIFVSYRLYNFTATGNADPTISPSALPQLRALCPATATGPGRRVALDLGSPGAFDVSFFKNVRDGGAVMESDQRLWGDAATQATVQSFAGNVRGLFGLRFSYEFPKAMVRMSSIGVKTGNQGEIRRKCSKFN >LPERR01G04420.1 pep chromosome:Lperr_V1.4:1:3003218:3006066:1 gene:LPERR01G04420 transcript:LPERR01G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRALNAAKYTFVLLLVLAIRSVQSEAPQRPTYNVLNYHAAGDGKTDDTKAFLAAWGEICNDNRWPILTIPGGRTFLLNEVRFDGPCKSPVKIQMDGNIVAPNKIWTSQEDSLITFYSVDNLTLEGKGQIDGKGAIWWTCFTQQLLAFAVCNNLAVRNIHLMNSAIKHMTLYRCIQVHLHNISITAPEDSPNTDGITMAISNHVYISNCSIQSGDDCVSILSYTSDVNITDTICGPGHGISVGSLGETEEALVERITVSNCKFFRTMTGVRIKSWQGGKGQATGFVFQNLNMTTVQNPIVIDQFYCPHGTCPLKDGGVAISDARFINIHGTSSEQEAVKILCSQSVHCQGIYLSNINLSWENHTAPANATILNAQGTIVEPVIPKVNLP >LPERR01G04420.2 pep chromosome:Lperr_V1.4:1:3003416:3006066:1 gene:LPERR01G04420 transcript:LPERR01G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYTFVLLLVLAIRSVQSEAPQRPTYNVLNYHAAGDGKTDDTKAFLAAWGEICNDNRWPILTIPGGRTFLLNEVRFDGPCKSPVKIQMDGNIVAPNKIWTSQEDSLITFYSVDNLTLEGKGQIDGKGAIWWTCFTQQLLAFAVCNNLAVRNIHLMNSAIKHMTLYRCIQVHLHNISITAPEDSPNTDGITMAISNHVYISNCSIQSGDDCVSILSYTSDVNITDTICGPGHGISVGSLGETEEALVERITVSNCKFFRTMTGVRIKSWQGGKGQATGFVFQNLNMTTVQNPIVIDQFYCPHGTCPLKDGGVAISDARFINIHGTSSEQEAVKILCSQSVHCQGIYLSNINLSWENHTAPANATILNAQGTIVEPVIPKVNLP >LPERR01G04430.1 pep chromosome:Lperr_V1.4:1:3006282:3009825:-1 gene:LPERR01G04430 transcript:LPERR01G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRNLAVEGDAPPAAAAGGGGEGAQRRAAGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKSVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFFKFVPLFMRDFAYDNVADNRYTFFGRSESESCEIL >LPERR01G04440.1 pep chromosome:Lperr_V1.4:1:3020909:3022542:1 gene:LPERR01G04440 transcript:LPERR01G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTNPAQCGGGAALPLATLNHVSVVCRLFNYGIGIHLLQAEDPESLPPKKKEINPKDNHISFTCESLDVVQRRLKEMGVSYVQRRVEEGGIHVDQVFFHDPDGFMIEVCTCDKLPVVPLVDAAAAQSISGRTTPPPPATLACKIRSPPTTKASFIGEVIDVVASNGGSGGAMKI >LPERR01G04450.1 pep chromosome:Lperr_V1.4:1:3028281:3036220:1 gene:LPERR01G04450 transcript:LPERR01G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLAAMMAAAAADDAPLVLHGIGAAAHLLVALAVAGRIVFVWSCGLRRRGKDGEVGGGGVVGIRGGGGFRWGGVVAAAATWGLAVFGVALAAYAAYYRGGGGGWTRGAVAEEADAVARAAAWLLLAAYLRFRGGGGGEEEERFPAALRMWWAVFLLLSVVAFAAHAVASLHGVPVPARSWALDGVSVLAGVALLLAAFLGRREPRGGVSAAAEEEPLLNGGAAIENNGDDCAAAGASIFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPRLDPRDSVFDLLPSFKSNLDALAAGERDVTAFKLTKALVRTVWWHVAVTAFYALVYNLATYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVQKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQARQGTRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDKEKYDRVIESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGDLASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDAILGSGEEFMELVGAHKDALTALDAIDVTNEGSETSSSRKIANLSRSASVDKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQLLFQLLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTNIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFIVFIPVITACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKGNEFISTNSHLMDAFSRPKFYNAAAMEWLCFRLDTLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMGIPAEPPLSVQDDKLTQDWPSEGEIVLNNLHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPAVGQILIDGVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDSQIWEALDCCQLGDEVRRKELKLDAPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRLQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >LPERR01G04460.1 pep chromosome:Lperr_V1.4:1:3035078:3035941:-1 gene:LPERR01G04460 transcript:LPERR01G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLKEGGVESDEEIRRVPEMGGGGGSASSGAGADERGKEDGKQSASAAAAGAGGQEQAPAARKRGRSAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGKGGDGGAGGGKKHHFTKS >LPERR01G04470.1 pep chromosome:Lperr_V1.4:1:3040141:3041133:-1 gene:LPERR01G04470 transcript:LPERR01G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMSEDHSQRDQEGEVLELRHSRSVGSIGLQRRHGDGAGHTRCSNSQAFHTRHVPPAQDPPSPKVPGCIFCGIFKKAGPSEFSIDCNKLKVTVVTGS >LPERR01G04480.1 pep chromosome:Lperr_V1.4:1:3041888:3042070:-1 gene:LPERR01G04480 transcript:LPERR01G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAERGNGEAGGTVSGLPPCALGWFRAAASPPRYGRESHPCRNLKPSRATPHGSSATAA >LPERR01G04490.1 pep chromosome:Lperr_V1.4:1:3042820:3046802:-1 gene:LPERR01G04490 transcript:LPERR01G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLAGTHRGRMPLSAAAAVAGGAALFCASSPPTVALMEENGEDAAAKVALNPDKWLEFKLHEKATVSHNSHLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFANLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSSDWRGGVGYISKDMALKGLPGPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTADMVYKF >LPERR01G04500.1 pep chromosome:Lperr_V1.4:1:3050341:3052947:-1 gene:LPERR01G04500 transcript:LPERR01G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRVKTGGREEGSMGFGIEAVVVLVAAWLATAPPCALASSRRFNASIRQENLVNSTSGSTAATSGVFDPSKSKRLSWHPRVFLYEGFLSDMECGHLVSMARGNMKPSSVVTDGDSNSSYNNIEDTVVSKIEDRISLWSFLPKEYGESIQVFKYGVNKSDSVKDEPKSGNGSHRLVTILMYVSDVKQGGETVFPRSEMKDAQAKEGALSQCSGYAVRPAKGNAILLFNLKPDGEPDKDSQYEECSVLEGEKWLAIKHIHLRKNDYPKSSLASEDECTDEDDRCVSWAASGECDRNPVFMMGSPDYYGSCRKSCRVC >LPERR01G04510.1 pep chromosome:Lperr_V1.4:1:3053784:3055318:-1 gene:LPERR01G04510 transcript:LPERR01G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNGMEMREGGNNRGRYESDDVIVISPSPMAWTRGVDPPAPAPAAEEKKLFYKTRMCDAFVMSGRCMHGEGCTFAHGAAEIRSSLTAVAGGWIKPEAALTPPPAQAVAAPQGVQGGGQEFVARASYGGGGGGGGNLGYNARANYGGGMGHEFIGRANYGGGGGHRSITKVCFEFRDLGRCRFGASCAFPHVSAAEIRQGSRLSYSSMTEPPARANMAVTVPRTFISVPSMAPPPLPYVVHNNNGNGGDGYGGLSMMPPAHQPEQEGRKLTRLEILSRKKMSGIYGDWMDGYVSP >LPERR01G04520.1 pep chromosome:Lperr_V1.4:1:3060414:3063222:-1 gene:LPERR01G04520 transcript:LPERR01G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHELMSPCSCVWTLSQLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSISVRSSIGGGDTPASSCRYKPHKANQAEWEAIRRLRAATGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSTGCLYAMKVVDKEALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFSISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRHTNLPSSGKLPPPPSSSPSSCVPPTIQPVLSCIFRGVHKCHHAAKERDAASDGGDDDSAESVAAATATTEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMVYGRTPFKGETNEKTLINIIKQPVTFPPLAGAGAGEWEEMRTAQELMIQLLTKNPKKRLGSVMGSAEVKRHPFFKGVNWALVRSVRPPEVPPSPAPAPATKKVMMMSRKERQEPYNYRPEDHHFEYF >LPERR01G04530.1 pep chromosome:Lperr_V1.4:1:3066871:3069512:1 gene:LPERR01G04530 transcript:LPERR01G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAVAVTQNTNQRSGGATREYRIRSLARSAARLSFFSSVSGGASDHRTADFRRADSPAVPQPASQQFMKYSSGLGGDSSANGDSSSTRLAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGYPDAPRCGFSALAVKVLKTYDVPITGRDILGDLKLKESVKAHTNWPTFPQVFIKGEFVGGSDIILDMHQASELIVPSFHYQKGQLKDILGDIAQKGEQNESS >LPERR01G04530.2 pep chromosome:Lperr_V1.4:1:3066871:3069510:1 gene:LPERR01G04530 transcript:LPERR01G04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAVAVTQNTNQRSGGATREYRIRSLARSAARLSFFSSVSGGASDHRTADFRRADSPAVPQPASQQFMKYSSGLGGDSSANGDSSSTRLAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGYPDAPRCGFSALAVKVLKTYDVPITGRDILGDLKLKESVKAHTNWPTFPQVFIKGEFVGGSDIILDMHQASELIVPSFHYQKGQLKDILGDIAQKGEQNESS >LPERR01G04540.1 pep chromosome:Lperr_V1.4:1:3070385:3073642:-1 gene:LPERR01G04540 transcript:LPERR01G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKKRGIEEYDVVFARVAARGRGGAAAIVRGMSFGGSSSVASGGGRRLEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAADDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYSVNLSVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKSDDVVLYKHGEKSAEALKSTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >LPERR01G04550.1 pep chromosome:Lperr_V1.4:1:3077453:3077851:-1 gene:LPERR01G04550 transcript:LPERR01G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGAAFSVRPAPAARPCAAVSAAAGAARFRADGSSGGGGGAKWWAPLLGWSGQPDYIDAQPAASTTAEEMPAAMAQRGGGGRRFGVLTEEKARELRARMMETESFHDCMYHSAIASRLASASLADDGKH >LPERR01G04560.1 pep chromosome:Lperr_V1.4:1:3081976:3083319:-1 gene:LPERR01G04560 transcript:LPERR01G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAGDRRSDWAGLLDDILSIIMERLEIRDLVRSGAVCATWRATSAAIRRARFPLPSSAANQLPCLFYAAAGDKASPAVVHCPFTGESTRAAFPFAPVVAGYSTVGAGHGWIVTADEQSNLRLHNPITGAQASLPPITGIHHVDTFSSSAAAPMYKYNVLMRSGPGDDDMEPVPFGAGEARDCMYNRVVLSSSPSAASDCVALLAHMEDGELSYARVGDEQWTWISPDEHPCLRHSSGFVDFFHDDDKKLFYALRHDGSIYTLDLNGNSPIVTRIKGKTQPRMIPAGMYILRAPWGDILVVKRWRSYVDLMETSSDQNPDEIEDNLDSIVGINDEIYPYLELRTTDIEVFKVDFDRRRLVKMKKFDDHALFLGYNATMCLSTKDYPMLKPNCAYITDDSPEYISNYKDSWREIGIWDMEKKTLQRFECAEDSPPWLNFPSPVWIKV >LPERR01G04570.1 pep chromosome:Lperr_V1.4:1:3083480:3084630:1 gene:LPERR01G04570 transcript:LPERR01G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLTGGETTAAIACRAFLLDLSPPPPLVSGFGGGKKRMERGRDTPADGDHIQVWKKYRMEHRHFTPENRTAKTNSMTKMKEIELFI >LPERR01G04590.1 pep chromosome:Lperr_V1.4:1:3089320:3094667:-1 gene:LPERR01G04590 transcript:LPERR01G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYLRPTDDGTSATALRRAAFDGNLGRLKDIIKDLGFGKRKTRNAVLAFDKDGIGLLLAAACQGHLNVCKYLVEEFGADMNAAAVGGVTPFMASAESGDVPTVEYFLDHGGDITKVDDKGCAVLHHAAGTGCCKVTEFLLSKGVPVDMDCGRGTPLFHAANNGKDKTLKILLDHHADAGADVNGKGTIVSPLMLAASQGGYTSFIKFLLKAGANPNVPDDLGWLPIEYAASRDCREEVEMLFPFTSPIPNVLNWSVDGIISHAKVKDKRPMDQNQKDSRKSILKAQADLAFRQKMYDCAAKVYSLAIDHGPTAVLYANRSICRLLTGDGEGALSDAHRCRMMRPKWAKACYRQGVAHILLKEYKHACDALMDAQKMDPESFEIERELRKAREFMGKTSR >LPERR01G04600.1 pep chromosome:Lperr_V1.4:1:3095731:3100088:-1 gene:LPERR01G04600 transcript:LPERR01G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKTPFKGVIADIDGRVAWYKHDWTAGIRSGFRILAPTVYIFFASALPVIAFGAQLSRETNGILTTVETLASTALCGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQHALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPGNADHSSNIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRNKMVQTATEGRINCVSSLEIYGKMQAVFIEMDCEKNTDSVHKELKSLKDAMLQEGGEEGKLDGEFDLRKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKQIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIASSRRYRVLEGPHASFMESVPSRTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFIMIIIRQHVLPKFFEPNDLRELDAAEYEELEGVYHDHTLEDGGSDSGSCGSRDDAEILDELTTNRGELKHRTFSHRKERHLQVHSNAVQPRSGDTEKWSEC >LPERR01G04610.1 pep chromosome:Lperr_V1.4:1:3104633:3109946:1 gene:LPERR01G04610 transcript:LPERR01G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIATSRSTGGDSTTATAAAHFVLVPMMAQGHAIPMTDMARLLAEHGVEVSLVTTPVNAGRMAGFIAGVEEVGLAVRLVEIPFPAADFGLPDGCENVDMLPSKDLFSNFLLACGALRQPLAARLREQQRRPPVSCVIADMIHWWTSDIARELGVPWLTFNGSCTFASFARHIIQRNNLLENITDDQEIVKFPGFPTPLELPKAKCPGVMCVPGIDQIRDKIYEEEMRSDGRIMNSFQELEALYIESFQQTIGQKIWAVGPMCLCHRDSNAIASRGNKASMDDTECLQWLDSKKPGSVVFVSFGSLASTEPQQLVELGLGLEASKKPFIWVIKAGKKLSEVEEWLTDGFEERVKDRGMIIRGWAPQMMILWHQAIGLFMTHCGWNSTIEGICAGVPMITWPHFAEQFVNEKLVVDHLKIGVEIGVKGATQWGSEQKEVKVTRDAVETAVSMLMNEGEAAQEIRMRAKDFGMKARKALEERGSSYNNIKLMIQEMGNRKNTSAAQPCPLLAKPAPVDQQRGSMTTTTTAHFMLVPMMAQGHTIPMTDLARLLAEHGAQVSLVTTPVNAARMAGFIAGVEEAGLAVQLVQLPFPAAEFGLPDGCENLDMLQSKDHMRKFLEACGALREPLMARLRQHCLLPSCIISDMMHWWTSDIARELGIPWLTFSGFCTFASLARDIVYRDNLLWNHTDDEEIVKLLGFPTPLELPKARLPGSLCVPGLEEIREKIYDGEMQSDGKVVNSFHELETLYIESYKQATGKKVWTVGPMCLCHRDRNTMAARGNKASLDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASNKPFFWVIKAGNKFPEIEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDHLKIGVEVGVKGVAQWGIEQNEVMVTKNAVEIAVSTLMNDEEAAEEMRMRAKDFGVKARKALEEGGSSYNNIRSLIQEMGNKQNASG >LPERR01G04620.1 pep chromosome:Lperr_V1.4:1:3110628:3112628:-1 gene:LPERR01G04620 transcript:LPERR01G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALLSRARDMLDGIPHRWGSAAIASSSASGHGAEDAVAGYVRMLGAGVRPDAYTFPSLLKAVARGAAAAAEVGGAVHAHVVKFGMESSAHAASSLIVMYAAMGDAAAARALLEACPGATGGAPPPVMWNALISGHNRSGRFDLSCRSFADMVRAGAMATPVTYVSVISACGKGKDLLLGMQVHKRVVGCGMFPDLRVGNALVDMYAECGDMDAALVLFEGMLVRSMASWTSVISGLVRSGQVDRARELFDHMPERDTVAWTVMIDGYVRVGRFREALETFRRMQFCKARADEFTMVSVITACAQLGAQETGEWARIYMGRVGIKMDIFVGNVLIDMYSKCGSIERALDVFGDMHNKDKFTWTAIILGLAVNGRGKEAIDMFYRMLKASLIPDEVTFIGVLTACTHTGLVDKGREFFLCMTEVYNISPTVVHYGCLIDVLGRAGKLKEALHTIDEMPMRPNSTIWGTLLAACRVHGNSVIGELAAERLLELDPDNSTAYILLSNMYAKSNRWKDVQRIRQVIMEKGIKKEPGCSMIEMNGIIHEFLAADRSHPMSKEIYSKLETVLIDLWNAGYVPDVTEVFVEVTEEEKQKVLYWHSEKLAIAFALLTSESNVTIRIVKNLRMCLDCHNAIKLISKLYGRKVIVRDRTRFHHFRHGSCSCKDYW >LPERR01G04630.1 pep chromosome:Lperr_V1.4:1:3117140:3118963:1 gene:LPERR01G04630 transcript:LPERR01G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAHPSTSKEERKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVLQVLFSDHGKLNRLAELSGGGGASLAFDLPGGARCPSKREVLAQHHELRRLREDLARLQVQCSALQAQVDRLSTERRRRGGGGGGGFFKWSTFWFGGMSADVARVEDSESGMERQTPASGKKGRGAGAASATPTPTPKWRKSMS >LPERR01G04640.1 pep chromosome:Lperr_V1.4:1:3119250:3122278:-1 gene:LPERR01G04640 transcript:LPERR01G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDESLGFPKAYARLCRGSAGSGGFPYGHGPPHGFLPYVLHPNEAMRAKDLNEMFPVLDAEAAATANPRGYANLLWKQMDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCSRGGRTVPSNLRIVQAQVCKKKQNKLEFLVPWWDLQLGISVNQFLSIFASKNADFRNRAFAFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKVGLAPAAIVSSRGSDNSVLRSLDANRPVRPNYPLIAAKKFTSDKDENFNLPVSGHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEANEMKQKNEEERVTIQDMEALLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDDGFLEERHNESEKYFPGRRLRSRSPLRQELRVFLEEDQRASDAYISLEEEEIAGRTSTMGNFKNSPYKVISFPRRSMEENTVDTERGRASVREKLENLAIKERQRGRRRERTMAARGSRGASTPVRLRDDKGKAAMVQCESETEKSQTISVPRTSSVPPSPPYRVTGMYGTPRYPAEKSVMLKKNDVMNRRGVGRSEDDVNINHTGKGTVDKWLHMLMEEQQDPAAAYHSSEDHNNIDEIASDEHQKQSRIDDESCRNEITEECSDEIVEVGAESATDHQGTAMCRNSFEVKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRSVECMSRKPKVVGDDDGRYGYEDSVSTSSSKFLNRCKQAIKKAVNK >LPERR01G04650.1 pep chromosome:Lperr_V1.4:1:3124921:3127149:-1 gene:LPERR01G04650 transcript:LPERR01G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDIATGGGSTTAHFVLVPMMAAGHAIPMTDMARLLAEHGAEVSLVTTPANAARMAGFVAGVEEAGLAVRLVEIPFPAADFGLPDGCESVDMLPSIDHFSNFLEACGALRDPLAARLRRLRQRTPVSCVVADTMQWWTGEIARELGVPWLTFNGSSTFASFARYIIYHDNLLEDFTDREEIVTFSGFPTPLELPKGKCPGSRRSPGLDQVIEKINKKQMRSDGRVMNSFQELEDLYIESFEGTTGKKIWAIGPMCLCYRDNNTIAARGNMASADEARCLQWLDSKNPSSVVFVSFGSLATIEPQQLVELGLGLEASKKPFIWVVRAGKNFPEVEEWLADGFEERVKDRGIIIRGWAPQMMILWHQAIGVFVTHCGWNSIIEGICVGVPMITWPQFAEQFLNEKLVVDHLKIGVKIGVKGGIQWGSEQKEVVVTRNDVETTVSMLMNEGEAAQEMRMRAEDLGIMAKRALEEGGSSYNNIRLLIQEMGNKQNAG >LPERR01G04660.1 pep chromosome:Lperr_V1.4:1:3135506:3137689:1 gene:LPERR01G04660 transcript:LPERR01G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDLTLDYKPNGDCGGGGGAYPKQSPAAAAAIAVDHGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQSAAAARPLVLEEFIPLKNIGIDVTAAAAEKAAAASEKASWMVSAQLWNAPANGAAAAKGPETPKEHSEQQHHPLAVDSSPRLIAALDAGGGGVGGGAFLPFSKEINGGAMAGDVGSNAAALPELALAPAEKVDAIAVAGEGEKKPYAHDNNGVAVARSQNGGKTSSSSAPDGHAVGPPPASAPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMAAAPAATAAAPQLVVLGGIWVPPEYATQAAGPAIYGAHPATPQPHYTAVAAHEYYHHHHHHQSPSAAAAVHHLQHHPAAAALVHHRAVAAAPPPPQPVAPAYSSKSPDSDGRGSGGGGGGRDRSESIDEEGQGDQEREDDDDDDEMAAATAANDGAGADDDDDEINAATTSAGGINY >LPERR01G04670.1 pep chromosome:Lperr_V1.4:1:3140192:3143512:1 gene:LPERR01G04670 transcript:LPERR01G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRLQLVAALLLPLLAVVSSFDPFHRDAQPMGGAGGQGPFIPHEYVRFADVKRQCKSVLAAGTELTFDANRANALMPELSFVKADWNHAAAGDAPLMPFDATDLPEGAGGDAAAAHELLALASFSLTHVDGGGGGGAARTAMNVSGVLGVAVSRNGTSPEMGPYVSPEFKVWPGNTELKILLEGVYTENAGGERVLCFVGDAVLPSRRDGGGDPWDWAKHSDRDGFQPPVTRDGNVLLVIRYPKTLTLTTRAVNAELKSTNGKTHAAYFDAVKIASQLGAYSNYQFGSDELVATACKKYPYHDDILAAGDGVFYKGSAFCGMLDRFSSEDVLAVVPNYRCNTTYGAAACRRMGPFEVDKAVEATNGGFASVRVVMQEVRCEPRTVNGEITARVSAVFRAVPPWEHAYTAAKRSGLSGMTLSAEGTWHASSGQLCMVACLGVGDTSCHSRVCLYLQTTFSATRRSITVGQITRIDRSHGSVDYFPLTFQRTVHPSELWSRFGVSGGGAPLTMGYRYTKTKQAGEFLRRSEPFDFGAVIAKSLLSYPRKSGDVSDEKTSLSNLADELTLHVPAVPDPFPRGRFEKPFLQLEVLSLGSLVGRISPSPSSSFPGTPAAVNAVSSSSPPSSDATAVLNVSAELTISGDAYTNVSTIALEGVYNPVDGRMYLIGCRSITLPWKVFSSLTEVNDGMDCSVEVKVEYPPTTARWLINPTAKVHITSTRSVAVSGAGAGDPLHFNATALQTLPIMYRTQRQDILSRRSVEGILRIATLSAAIAAEFTQLMYIKSHTDVMPYVSVVMLGVQAVGYSVPLITGAEALFARISAAAAGDGGDGSTPLPPSYEVDKSQLYWTIDCIVKILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDKKVLVYTTITHLAGFVVILTAHYISMLGRPVNAESTYMDSRGQAHVLREWAVTLEEYIGLAQDLFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHMYDYVRAAPAINPYFAEEYEFVNTSLDFYSRFGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNEGAVAGLRRDASLS >LPERR01G04680.1 pep chromosome:Lperr_V1.4:1:3144071:3145232:-1 gene:LPERR01G04680 transcript:LPERR01G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSVREEAAVAVSSPAAKATGGRRGCIRSTRGPWTVRRTGRGGAVTTSLRHPTARERENNRQRERRRRQVAARIYAGLRAGAGYALPKYADQNDVLRALCAEAGYLVDDDGNVTRKNEPLGDGSGRVGSSSDQLQVSSYSGATEAAVAQHREQEISLELTLSFTYM >LPERR01G04690.1 pep chromosome:Lperr_V1.4:1:3151740:3152493:1 gene:LPERR01G04690 transcript:LPERR01G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGWVEDIATWVPVFPGIPHEHETQSSYLVYLFMRSWSNGELRLPAYKDCGDPRKRFLTHLLTSPGNDSELSTLYGVRNLVSCISTVQRRENFCC >LPERR01G04700.1 pep chromosome:Lperr_V1.4:1:3156058:3165236:1 gene:LPERR01G04700 transcript:LPERR01G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAWEAEKMLDVYIHDYLLKRNLHSTAKAFQAEGNVSSDPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSEVAASYIEMYEERVKNSVQRNTLDEAPAKQQRFTENIGQLLESNSSSMVKSVAIPSQASGQIFHGSAGGMSGTLQHAQARNQQLQASTQEIKVDTDALHMRAAGADGSLIGVPGTNPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTPSPGEMDSRRLRMLLSSRSIVPGRDGQSNSYTEIIPSVGPSLQNVCSPVQRMETDMLMKRLSSSTSKAAVSNSSFNILYSVSNHKSLITFQASRKKWGLEVLLSLAACPIHSTEVSKNQNGRKRKQPISSSAPANSSGTANTAVPSSEPSTPSSQSPVDTISMPSLHHSANISKALVVYGASTPSTMGSPANHLADMDHFVEDGSLEDNVDSFLSHDDADRRDTVTRCMEPTKGFIFREISSVQASMNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSILEEHSLLITDVRFSPSIARLATSSFDKTVRVWDADNQGYSFRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRLGGYLAAASENAVSILDVETQACIRRFEGHTKHVDSMCWDPTGEYVVSVSEDTVKSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >LPERR01G04700.2 pep chromosome:Lperr_V1.4:1:3156058:3165236:1 gene:LPERR01G04700 transcript:LPERR01G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAWEAEKMLDVYIHDYLLKRNLHSTAKAFQAEGNVSSDPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSEVAASYIEMYEERVKNSVQRNTLDEAPAKQQRFTENIGQLLESNSSSMVKSVAIPSQASGQIFHGSAGGMSGTLQHAQARNQQLQASTQEIKVDTDALHMRAAGADGSLIGVPGTNPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTPSPGEMDSRRLRMLLSSRSIVPGRDGQSNSYTEIIPSVGPSLQNVCSPVQRMETDMLMKVSKNQNGRKRKQPISSSAPANSSGTANTAVPSSEPSTPSSQSPVDTISMPSLHHSANISKALVVYGASTPSTMGSPANHLADMDHFVEDGSLEDNVDSFLSHDDADRRDTVTRCMEPTKGFIFREISSVQASMNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSILEEHSLLITDVRFSPSIARLATSSFDKTVRVWDADNQGYSFRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRLGGYLAAASENAVSILDVETQACIRRFEGHTKHVDSMCWDPTGEYVVSVSEDTVKSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >LPERR01G04710.1 pep chromosome:Lperr_V1.4:1:3166286:3182393:1 gene:LPERR01G04710 transcript:LPERR01G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGKEYVAWNFERTGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDESFEIVILPEFTSLPFPSVDLPEKVRIAVDKVILAESADRKQQLAAWVADKKKVSAFALDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLLKLGQFDVTLLSVQTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLINLGNRYFEKQSLKATFANSPADPTLDLNMQMTKLAHGLLSGKYSLPNQEGQEGIRPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQADPGKHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPDFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGMQPGEELLPEGASGDNKGEPAYPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDIDDPISKGSQATEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEASISVPADSSTSNIESDDAHMPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >LPERR01G04710.2 pep chromosome:Lperr_V1.4:1:3166286:3182393:1 gene:LPERR01G04710 transcript:LPERR01G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGKEYVAWNFERTGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDESFEIVILPEFTSLPFPSVDLPEKVRIAVDKVILAESADRKQQLAAWVADKKKVSAFALDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLLKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLINLGNRYFEKQSLKATFANSPADPTLDLNMQMTKLAHGLLSGKYSLPNQEGQEGIRPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQADPGKHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPDFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGMQPGEELLPEGASGDNKGEPAYPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDIDDPISKGSQATEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEASISVPADSSTSNIESDDAHMPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >LPERR01G04730.1 pep chromosome:Lperr_V1.4:1:3205528:3214670:-1 gene:LPERR01G04730 transcript:LPERR01G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGEAAFARTGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGERRVEGDAAALCEVDVTGLSPGDRTALVDRLLADSGDVENFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRMKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGDITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFASMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKAFLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPVLYKHRDLHFYPPWAFTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQPFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNIQAVVSKDEIQHRSPRRKNDRVALELRSYLHSASLNGLNLKDQRGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMQLVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDERGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSIQMEQILGVDFADYYRQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADGITSTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >LPERR01G04730.2 pep chromosome:Lperr_V1.4:1:3205528:3214670:-1 gene:LPERR01G04730 transcript:LPERR01G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGEAAFARTGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGERRVEGDAAALCEVDVTGLSPGDRTALVDRLLADSGDVENFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRMKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGDITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFASMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKAFVIGKRLHDELDIPYNRNRNHPAALSKSNYGVRRLELLKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPVLYKHRDLHFYPPWAFTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQPFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNIQAVVSKDEIQHRSPRRKNDRVALELRSYLHSASLNGLNLKDQRGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMQLVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDERGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSIQMEQILGVDFADYYRQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADGITSTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >LPERR01G04730.3 pep chromosome:Lperr_V1.4:1:3205528:3214670:-1 gene:LPERR01G04730 transcript:LPERR01G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGEAAFARTGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGERRVEGDAAALCEVDVTGLSPGDRTALVDRLLADSGDVENFFRRIRSRFDASVTNPLLTLPLLCVSGCVEASRSANTYADMWAQLALTSAAEKIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRMKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGDITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFASMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKAFVIGKRLHDELDIPYNRNRNHPAALSKSNYGVRRLELLKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPVLYKHRDLHFYPPWAFTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTSFEKWQPFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNIQAVVSKDEIQHRSPRRKNDRVALELRSYLHSASLNGLNLKDQRGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMQLVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDEHPFLTHSYAAQLLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSIQMEQILGVDFADYYRQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADGITSTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >LPERR01G04730.4 pep chromosome:Lperr_V1.4:1:3205528:3214670:-1 gene:LPERR01G04730 transcript:LPERR01G04730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGEAAFARTGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGERRVEGDAAALCEVDVTGLSPGDRTALVDRLLADSGDVENFFRRIRSRFDASVTNPLLTLPLLCVSGCVEASRSANTYADMWAQLALTSAAEKIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRMKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGDITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFASMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKAFVIGKRLHDELDIPYNRNRNHPAALSKSNYGVRRLELLKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPVLYKHRDLHFYPPWAFTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTSFEKWQPFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNIQAVVSKDEIQHRSPRRKNDRVALELRSYLHSASLNGLNLKDQRGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMQLVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDERGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSIQMEQILGVDFADYYRQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADGITSTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >LPERR01G04740.1 pep chromosome:Lperr_V1.4:1:3218851:3223135:-1 gene:LPERR01G04740 transcript:LPERR01G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRLARRAVETDAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAALNKVKDIVWEPSISKYGSDDGLPELREALLDKLRRENKLMNSSIMVTSGANQAFVNLVLTLCDAGDSVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLQIAYPNEADGLHAQLLKVQDNIPICASIVGQRLALYALDSGPEWIRERVRDLVKNRELLMDAMSPLGESAVKGGEGAIYLWAKLPENCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAADRLRRGLQELVTEGMVQ >LPERR01G04740.2 pep chromosome:Lperr_V1.4:1:3218853:3223065:-1 gene:LPERR01G04740 transcript:LPERR01G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRLARRAVETDAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAALNKVKDIVWEPSISKYGSDDGLPELREALLDKLRRENKLMNSSIMVTSGANQAFVNLVLTLCDAGDSVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLQIAYPNEADGLHAQLLKVQDNIPICASIVGQRLALYALDSGPEWIRERVRDLVKNRELLMDAMSPLGESAVKGGEGAIYLWAKLPENCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAADRLRRGLQELVTEGMVQ >LPERR01G04740.3 pep chromosome:Lperr_V1.4:1:3220406:3223135:-1 gene:LPERR01G04740 transcript:LPERR01G04740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRLARRAVETDAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAALNKVKDIVWEPSISKYGSDDGLPELREALLDKLRRENKLMNSSIMVTSGANQAFVNLVLTLCDAGDSVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLQRISDLCRKAGSWLVVDNTYE >LPERR01G04740.4 pep chromosome:Lperr_V1.4:1:3218853:3219560:-1 gene:LPERR01G04740 transcript:LPERR01G04740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRVGYIAYPNEADGLHAQLLKVQDNIPICASIVGQRLALYALDSGPEWIRERVRDLVKNRELLMDAMSPLGESAVKGGEGAIYLWAKLPENCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAADRLRRGLQELVTEGMVQ >LPERR01G04750.1 pep chromosome:Lperr_V1.4:1:3225350:3229038:1 gene:LPERR01G04750 transcript:LPERR01G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAETPGSSSSASPHESRLLQSLAARGWSFRDPNNEIIQELLHASPSPSPSPEAVETELLDVDLRLFGGKSLPDRAAAATGRRISYLHGPIVLQVVSIRDIYRSSIDASFKNPQQHRLLRFVLTDGNSEVVAIEFSPIPFITEDIAPGTKIRLENKIPVHNGILCLSAKNVSIMGGVVQSLHEEWQMNKKFSGLSRPSLRSSQNDDGVGPPPFEKLDIEARPSRTSRSQAYPDNNTRTLEVNRHNVPVSSSSKPVNEGSSDVRKETAASRVEPKQPSSDGRPKEVSEAVPVQNQAAAQKLLQKMAQAAPEDRYGRGNRFKGKGRQEDTPVFTLDEWEKRKSAGLKATTESFIDDTSRDEELARQLQEQLDLEDSYRGPPESSDADPSVVPKKRVAGEEISEGEDEGGEGVEGEDEAGEDFSSIQTSSGVAVSSCDTWNGIST >LPERR01G04760.1 pep chromosome:Lperr_V1.4:1:3229500:3233078:1 gene:LPERR01G04760 transcript:LPERR01G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGVWIRAAVAVAAGGAIAARAVRRKSVDSSAVFVGVPAMVAHTVAGYRFAGMLLVFFFTASRMTRVGEKRKRALDPEFKEGGQRNCMTVHTTLIGRKQVLSNSGIASILVVLIALVTGGRDRCLDMRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSFLGATLQYSGYCNVRKKVVGFDGPTVTRISGLNILDNNGVNVVSVFLTTLITAMACVYIF >LPERR01G04770.1 pep chromosome:Lperr_V1.4:1:3232305:3232653:-1 gene:LPERR01G04770 transcript:LPERR01G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPATQDMSYYDHVQKRHEDKGCLYAWTQNLKSSKTLLVDSDELVSLHHVSIEKRS >LPERR01G04780.1 pep chromosome:Lperr_V1.4:1:3239140:3241571:-1 gene:LPERR01G04780 transcript:LPERR01G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSVAFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWNTKSFSMRHTECSRYDGWPETAF >LPERR01G04780.2 pep chromosome:Lperr_V1.4:1:3239460:3241571:-1 gene:LPERR01G04780 transcript:LPERR01G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSVAFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWNTKSFSMRHTECSRYDGWPESKRELCSADVRHVRYEHVQLSPTTFVSLMVLS >LPERR01G04790.1 pep chromosome:Lperr_V1.4:1:3246336:3247703:1 gene:LPERR01G04790 transcript:LPERR01G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVLVLAAVLLAFAATGVAVAGGDGFSVEFIHRDSPSDLVWVKCKKENVNVTSPTEKQFDPSRSSTYGHVSCNSAACAALGHGAACDGDSNCCYLYAYGDGSNTTGLLSTETFTFDAAGDGEKSRQVRVGGVKFGCSTATGGSFPSDGLVGLGGGAVSLVTQLAGKVTSLGRRFSYCLVPHTSNTSSALNFGSHAAVTEPGAASTPLVDSGIETYYTVSLAAVKVGNKTVAELSPSKSNIIVDSGTTLTFLHPSVMAPLVDELSRRIGFPRVESPDGVLDLCYDVTGGGAPLVGGIPELALEFAGGGGAEVVMKGENAFVMVEEGTICLAMIATKEEQPVSILGNLAQQNIHVGYDLDAGEVTFAAADCVRRFSSS >LPERR01G04800.1 pep chromosome:Lperr_V1.4:1:3248182:3248772:-1 gene:LPERR01G04800 transcript:LPERR01G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGRSSKGKKEIEIKLIENTNSRHVTFSKRRSGLFKKASELSTLCGAAVAVVAFSQAGRPFAFLSDPTADSLLRRRSSLVVTSCAGGEEVDAMRRAAEEAAARHAAEKARMSDAADKIVAAAAAAGSAMWWESAGVEALGEAELAVLDVALRRLKGDVCHRLSNAGGVASARRRRRGSSSLSLKLAFCMLHIT >LPERR01G04810.1 pep chromosome:Lperr_V1.4:1:3249696:3250463:1 gene:LPERR01G04810 transcript:LPERR01G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKRRGRRMRDLPEEVLLEVLCRVGNVKQLFMLAATCRWCLHRGVVLMKLVPRTGTDGAAAATTTTTNNLLGVCNPITGERHVLPRLIRVAAAAAAPVVTSYAIITPGDLNNQSRRRSTTTTFSQLLVILTTRLNDVYLYSYCAATRKWTTTPTVCPGVDLRRFSLAGEKSAVPSPRRGALALHRHHPNLLRPLQAQRGCRGHDARLLHTPPPPPLVAGSGTALLHVGASGDLAVPADAAKRGVGSASTRAE >LPERR01G04820.1 pep chromosome:Lperr_V1.4:1:3252523:3257959:1 gene:LPERR01G04820 transcript:LPERR01G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNVSHHDHTIDIVRNDVTFPSTSHQGNHNGPGELRQTRGPLNDVPHVQESSAVATVASISRNASFARRDQGHRQLNPLNSGFWISIELIVSLSQIIAAIVVLSVSRNEHPHAPLAQWLIGYTVGCIATLPHLYWRFLHRNRQTTEQESTSQVSSEREIYEPNSYVVVSSAHGSEVVDGANNTGVSRIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTFLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFKSKKIHDGDAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGSSTNASDSSSDSNRVRNDVESQQ >LPERR01G04820.2 pep chromosome:Lperr_V1.4:1:3252523:3258059:1 gene:LPERR01G04820 transcript:LPERR01G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNVSHHDHTIDIVRNDVTFPSTSHQGNHNGPGELRQTRGPLNDVPHVQESSAVATVASISRNASFARRDQGHRQLNPLNSGFWISIELIVSLSQIIAAIVVLSVSRNEHPHAPLAQWLIGYTVGCIATLPHLYWRFLHRNRQTTEQESTSQVSSEREIYEPNSYVVVSSAHGSEVVDGANNTGVSRIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTFLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFKSKKIHDGDAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGSSTNASDSSSDSNRVRNDVESQQ >LPERR01G04820.3 pep chromosome:Lperr_V1.4:1:3255290:3257959:1 gene:LPERR01G04820 transcript:LPERR01G04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNVSHHDHTIDIVRNDVTFPSTSHQGNHNGPGELRQTRGPLNDVPHVQESSAVATVASISRNASFARRDQGHRQLNPLNSGFWISIELIVSLSQIIAAIVVLSVSRNEHPHAPLAQWLIGYTVGCIATLPHLYWRFLHRNRQTTEQESTSQVSSEREIYEPNSYVVVSSAHGSEVVDGANNTGVSRIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTFLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFKSKKIHDGDAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGSSTNASDSSSDSNRVRNDVESQQ >LPERR01G04830.1 pep chromosome:Lperr_V1.4:1:3260244:3260462:1 gene:LPERR01G04830 transcript:LPERR01G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSASSVCSAYRHLSSSPMPPPPRRRRSGKVGGVGLAGRCNAVLKEHKTRLYILGRCVSMLLCWHHHSD >LPERR01G04840.1 pep chromosome:Lperr_V1.4:1:3263242:3264447:-1 gene:LPERR01G04840 transcript:LPERR01G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIESLHVFKPAISGNDVASMGPARMIERALAKALVHYYPLAGRLSSSSDSGEAQVDCANAGVWFTEAEASFTLEDVDYLEYPMMVSKDELLPPTPPGEDERQLVLLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMSAVGDLARGSDSLSVDPVWGRETLPNPTSALIGSLPTAAGAKRLEYLAVDISADYIDHFKSQYNAGAGAGAGGIGAARCSAFEVLIAKAWQSRTRAAGFDPGTTVHLCFAMNARPLLAASLPRGGAGFYGNCYYIMRVSALAGKVARSPVAEIVRIIKDGKRRMPSEFARWAAGEFAGVVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHQGLLDMN >LPERR01G04850.1 pep chromosome:Lperr_V1.4:1:3270906:3274671:1 gene:LPERR01G04850 transcript:LPERR01G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRAAPASFRDRTSEFRAAVETAARHHASSSSAAAESSGYGGAGPLGASRGAASASSEFSARAAKIGLGIHHTSQKLARLAKMAKRTSVFDDPTKDIQELTAVIKQEITVLNSAVVDLQVLCNSQNESGNLSKDKTTHSTTIVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANASNPFMRQRPLVTRDVPESSVPPAPWASDSASTPLFQRKKTNGDGASSSSSQPVMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >LPERR01G04860.1 pep chromosome:Lperr_V1.4:1:3277081:3280366:1 gene:LPERR01G04860 transcript:LPERR01G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRRGGAAGSDEMKLNTGNVFAALESLKKKKKGGDKAKSGSSSSTGRKKDSSQQSQQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGQGDDAAKEEEEEDDAVHAALQEISFPLTAEKKGSNQTGDGEKKEDAPAPSESKSSKKKKNKKAKEAKETQEPVDETEETASAEPDEDTTSVDVKERLKKMASMKKKKSGKEGDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR >LPERR01G04870.1 pep chromosome:Lperr_V1.4:1:3281025:3294837:1 gene:LPERR01G04870 transcript:LPERR01G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRVRPFASLRRGRVVVDAVLSEPSVMVAQRRDFSWLGIPAPSEGSAKRHSGEEGIDYRTKTRRVAREKAAGEWYEERDKAAREAAESGYVVPSAQSVSPSSVDEMLDDDEPMGNGKSSPPHLCADEMHRKDHHIDPAGIESGSKHADLERTFGVKARIPGISFWSRMIQNPSRRRYRRKANVKLMSDVDNSSQQRILKRSAYAAVAYFRNKSSGNPDESSSGPGKSSSDGGHTNAGSETTSTDYGELPPEEDNLVSTMSTCNTDLNESYRNQQPSQLGSHTSDDDGRLSQAHVLEKHKNVYEDHREEFDFGAFGSCTYAHNWASFWPFQVKGFPVRLNAPSASLNDQIQKLKLLFAIGPEDVSAELSQGVDQIHPGGVQQSLPITLDTVYINGGNLMLLGYGDQEPREMKHANGHIKFKNCYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGSVTAVFNCQGPLDAPVFVGSGIVSRKSLSISGMLPSAASEAVMQNKEAGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEGDDSAMDINLSGSILLDKVLQRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMTSYIDDYLLHKEMYQMKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFRFQGKVVKYSQLVDEKNYGALQGIIDQNKLESDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNGPLFFGTQEAIEDERLLSIFLQKGQLRSNICYHPGNLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSRYELQGEYVFPGTRDRFPMESQTNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQSLLSVGFNAESLHDQLKAIEMYPDWLDDDMIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGLYSNNDGLRLDKLFIQKENATLHADGSILGPLTNLHFAVLNFPVGLIPALVQALESSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEELEVGDSKQGIIRIPVWAKDRGLPNDVSETRIMRDKPEEGWDFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYADVLLQVKGTVDQPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCISSMESRVGRKGRLSMKGTLPLQNSEPSTDDKIELKCDVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLASKKSSSFPAGFDQRTASQDVSHFLGSLSTRPDGQQSETERTLEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGALTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >LPERR01G04880.1 pep chromosome:Lperr_V1.4:1:3297390:3305496:1 gene:LPERR01G04880 transcript:LPERR01G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLHLAIGLGPWDHLAVAVAAAHRSPPPRARGVRPPPPIDLLHPELSRGRAAVLLLPSHRSSFSGSKRKRSTGLSSDTVDDELYGPEAARTSSGDSTWTDDSFNGRRRVAPAHSLSRNKDRHFELEVNSGGVAVIRQPRGVLRLRKLAQNVSTKSSTGGSKVLRSNGITKATQVRRRNKRGKSQTLKENRVGGNDPISCLKVENGTCGQDNSTNFCSENDVAAEKQPTVSGEPSKHVHPVKKNSVHVQEEENVNLEENAARMLCSLSGDKCASSLRKSAKSLNRSSKGYFVQRSDHLKDSCIKGEDMAGPARSLRNRDGKVSSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEAHQKSNRHNSRSIYKKYDEREDMDRNSTGSSESGPIISLLSRSNHSRSATSSNTNKQNHTHSDVAPTLPGSSHANGSAEILEDRSTLVDSRFRFVYSRKRPYRRKNRVLNISEQDSDLNRKVIGALFASVSGRWYGTENVTSKRHGILVLSQPLKSLYKIMSEACHIWLLNTLILLQHGALVALWPSVHLDILLVDNTLGLKHLLLETCLRSAVSFFCSIVGSFNSYSKQSKHNESKMPCTSVRVQISGLDGRSQGLPVDAQDYLFFTDDIDPVIFCLDERSESVQNHLDVAAAPSLLFFHHFKLCDESNLASNGLPEAVPYDLVHLAAPASEACSVCVSPSNAGPLSMGTAGCINHSGSACSKPNTAERSINLERNCSSIGGANMTSRTICLPQKSQERCSSVIIPQDRTSDPPDKLLNKDEKVTQPTSDLIQELNHPIGRATPTAPRTTSHRSRFTSISRTFGDGSKLWQEDVMMTGFAGSKKPRTQVSYSVSPRSDDPGLKHKGHFRKIQSHSCAKTNDAKRLHDNSRNEQSSPESLTCVANVLVTVGDRGWREYDTQIMIDSDGQSERRICVKLAEGTRYAHKVCQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKLMHDECYSHNIRAACVKNIPIPGVAETNDDHDAAPFVRSEDYIRHIGTDVEMALDESRVIYDMDSDDEAWVSSCSKVGKDSTSGKLTDDLFVRILDKFEKFAYSHNCNELSIDQMKELDCDNIPLDTIKVVHDYWQDKRKKKGMALIRHFQSAMWKIYEKQLQEWESTVFRIHGSSNGYQEKLPSKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGCHSFPREQDGFYRPGRKYSEHAGDGRACESYDGGSIYSPTGYSPRLSVRTESPCSFDGSERSPTPRFLRINSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNTVIHDWQSSKHLFPGASQSPRVDIEELKQRDASSAAQHAAAMAKLKRERAHLLMHKADLALHKATVALMMADAIKTSSRDSSRDGRRDLRDDER >LPERR01G04880.2 pep chromosome:Lperr_V1.4:1:3297390:3305496:1 gene:LPERR01G04880 transcript:LPERR01G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLHLAIGLGPWDHLAVAVAAAHRSPPPRARGVRPPPPIDLLHPELSRGRAAVLLLPSHRSSFSGSKRKRSTGLSSDTVDDELYGPEAARTSSGDSTWTDDSFNGRRRVAPAHSLSRNKDRHFELEVNSGGVAVIRQPRGVLRLRKLAQNVSTKSSTGGSKVLRSNGITKATQVRRRNKRGKSQTLKENRVGGNDPISCLKVENGTCGQDNSTNFCSENDVAAEKQPTVSGEPSKHVHPVKKNSVHVQEEENVNLEENAARMLCSLSGDKCASSLRKSAKSLNRSSKGYFVQRSDHLKDSCIKGEDMAGPARSLRNRDGKVSSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEAHQKSNRHNSRSIYKKYDEREDMDRNSTGSSESGPIISLLSRSNHSRSATSSNTNKQNHTHSDVAPTLPGSSHANGSAEILEDRSTLVDSRFRFVYSRKRPYRRKNRVLNISEQDSDLNRKVIGALFASVSGRWYGTENVTSKRHGILVLSQPLKSLYKIMSEACHIWLLNTLILLQHGALVALWPSVHLDILLVDNTLGLKHLLLETCLRSAVSFFCSIVGSFNSYSKQSKHNESKMPCTSVRVQISGLDGRSQGLPVDAQDYLFFTDDIDPVIFCLDERSESVQNHLDVAAAPSLLFFHHFKLCDESNLASNGLPEAVPYDLVHLAAPASEACSVCVSPSNAGPLSMGTAGCINHSGSACSKPNTAERSINLERNCSSIGGANMTSRTICLPQKSQERCSSVIIPQDRTSDPPDKLLNKDEKVTQPTSDLIQELNHPIGRATPTAPRTTSHRSRFTSISRTFGDGSKLWQEDVMMTGFAGSKKPRTQVSYSVSPRSDDPGLKHKGHFRKIQSHSCAKTNDAKRLHDNSRNEQSSPESLTCVANVLVTVGDRGWREYDTQIMIDSDGQSERRICVKLAEGTRYAHKVCQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKLMHDECYSHNIRAACVKNIPIPGVAETNDDHDAAPFVRSEDYIRHIGTDVEMALDESRVIYDMDSDDEAWVSSCSKVGKDSTSGKLTDDLFVRILDKFEKFAYSHNCNELSIDQMKELDCDNIPLDTIKVVHDYWQDKRKKKGMALIRHFQSAMWKIYEKQLQEWESTVFRIHGSSNGYQEKLPSKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGCHSFPREQDGFYRPGRKYSEHAGDGRACESYDGGSIYSPTGYSPRLSVRTESPCSFDGSERSPTPRFLRINSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNTVIHDWQSSKHLFPGASQSPRVDIEELKQRDASSAAQHAAAMAKLKRERAHLLMHKADLALHKATVALMMADAIKTSSRDSSRDGRRDLRDDER >LPERR01G04890.1 pep chromosome:Lperr_V1.4:1:3306409:3307896:-1 gene:LPERR01G04890 transcript:LPERR01G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAMANSGEEMNNPPHFLVVTYPAQGHINPARHLALRLMRATGARVTLSTAVSAVRKMFGEMPEGEFVDGAGVRYAPYSDGYDDGFDRNVHDSATYMTNVRLVGARTLAGVLDRLRGEGRPVTRVVYTLLLTWVSGVAREHGVPSALYWIQPASVLAAYFHYFRDTDGARRAVAAAAARRDRMGIVSVPGLPPLRLRDLPSFITVADGDDDPYAFVLDSFRDIVDVLAAGESAPAVLANTFDAMEPEAVASLRRHGVDVIPIGPVLSFLDAGDGDVTAGAGKADLFKHDDASSYLDWLDTMPARSVVYISFGSLSTMSRRQIREVSRAMADGDHPFLWVLRKDNRGEDDDASLAAATFAADGGERRGVVVEWCDQVKVLAHPSVGCFVTHCGWNSTLEAVASGVPTVCVPQWTDQGTNAWIVVERLGVGVRAAVSREDGVLEADELARCIDVVTSEAVRGNAAAWREKARAAVADGGSSEMNLRAFVGKVGAN >LPERR01G04900.1 pep chromosome:Lperr_V1.4:1:3311090:3316921:-1 gene:LPERR01G04900 transcript:LPERR01G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATQEQSERFLSMTLGGDMMPPPPAAAESGGDGDVGGGGERCVAVVVEEACCGCAQLVVGPPNPMMARYVYAFVFLATNMLAWTLRDFGHPILSELRRLRGCRGAGFCLGAEGVLRVSLGCFIISHTQKAKLDGDLQLFFFMMFLSTMRTRKMHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSQLIQLYGKVAHFGAGAFLVIQLVSVTRFITWLNDCCRSETNLKRCHMQVQVVSIGAYVGSILGVVLMYVWYAPRPTCKLNILFITVTLVLVQLMTAISLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKRAEVATSADWVNIASFVIGVIVIVTATFATGIDSKCFQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVSWNANQRMERWTIDVGWASTWVRVVNEWLAAIVYIWMVIAPVVWKGRQVSSSPECT >LPERR01G04900.2 pep chromosome:Lperr_V1.4:1:3308078:3316921:-1 gene:LPERR01G04900 transcript:LPERR01G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATQEQSERFLSMTLGGDMMPPPPAAAESGGDGDVGGGGERCVAVVVEEACCGCAQLVVGPPNPMMARYVYAFVFLATNMLAWTLRDFGHPILSELRRLRGCRGAGFCLGAEGVLRVSLGCFLFFFMMFLSTMRTRKMHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSQLIQLYGKVAHFGAGAFLVIQLVSVTRFITWLNDCCRSETNLKRCHMQVQVVSIGAYVGSILGVVLMYVWYAPRPTCKLNILFITVTLVLVQLMTAISLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKRAEVATSADWVNIASFVIGVIVIVTATFATGIDSKCFQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVSWNANQRMERWTIDVGWASTWVRVVNEWLAAIVYNLVSELAKAIR >LPERR01G04900.3 pep chromosome:Lperr_V1.4:1:3311090:3316921:-1 gene:LPERR01G04900 transcript:LPERR01G04900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATQEQSERFLSMTLGGDMMPPPPAAAESGGDGDVGGGGERCVAVVVEEACCGCAQLVVGPPNPMMARYVYAFVFLATNMLAWTLRDFGHPILSELRRLRGCRGAGFCLGAEGVLRVSLGCFLFFFMMFLSTMRTRKMHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSQLIQLYGKVAHFGAGAFLVIQLVSVTRFITWLNDCCRSETNLKRCHMQVQVVSIGAYVGSILGVVLMYVWYAPRPTCKLNILFITVTLVLVQLMTAISLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKRAEVATSADWVNIASFVIGVIVIVTATFATGIDSKCFQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVSWNANQRMERWTIDVGWASTWVRVVNEWLAAIVYIWMVIAPVVWKGRQVSSSPECT >LPERR01G04900.4 pep chromosome:Lperr_V1.4:1:3311090:3316921:-1 gene:LPERR01G04900 transcript:LPERR01G04900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATQEQSERFLSMTLGGDMMPPPPAAAESGGDGDVGGGGERCVAVVVEEACCGCAQLVVGPPNPMMARYVYAFVFLATNMLAWTLRDFGHPILSELRRLRGCRGAGFCLGAEGVLRVSLGCFFETEEYHIVYLQKMRTNPILLAEKNVASVLVSALVHCEFCDFLDDSDLQLFFFMMFLSTMRTRKMHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSQLIQLYGKVAHFGAGAFLVIQLVSVTRFITWLNDCCRSETNLKRCHMQVQVVSIGAYVGSILGVVLMYVWYAPRPTCKLNILFITVTLVLVQLMTAISLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKRAEVATSADWVNIASFVIGVIVIVTATFATGIDSKCFQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVSWNANQRMERWTIDVGWASTWVRVVNEWLAAIVYIWMVIAPVVWKGRQVSSSPECT >LPERR01G04910.1 pep chromosome:Lperr_V1.4:1:3322322:3325201:-1 gene:LPERR01G04910 transcript:LPERR01G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAACILIVVCSAVAVFGGAAALDFSGDGGGGGGGEGMRTSAAVRVDPTWRFPSSRLRDAYVALQTWKRDAIFSDPQNLTADWVGPDVCNYTGVVCAPLPSDRRVLAVAGVDLNHGDIAGYLPPELGLLSDLALLHLNSNRFCGVVPSTLRRLRLLHELDLSNNRFVGAFPRVVLAMPSLRFLDLRFNEFEGAVPRELFDRPLDAIFLNHNRFRFEIPDNFGNSPASVMVLAHNSFGGCLPASLGNMSGTLNEIVLINSGLNSCLPTEIGLLREVTVFDVSFNRIVGSLPAAVAGMRKVEQLDVAHNLLAGEIPAAVCGLPRLRNFTFAYNFLSGGESPSCARVVPGGAGERRNCLTNRPDQRSPQQCAAFYARPPVNCDAFHCKPFLPALPPPSPSARDELSCAVNL >LPERR01G04920.1 pep chromosome:Lperr_V1.4:1:3335054:3339566:-1 gene:LPERR01G04920 transcript:LPERR01G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLLAPSPPPTALRCQPSSGRERRGAASIVASSSASSGDAGTSSSSPAAAYVLARRAVLLGVSALPLLRAREAAAAAVATPSGGDLATETKDIPKPDEPQPGETQVETPLSEASQPEPSLPVTQEQTPGSPLPGLLNAIAVAASGVLAGLYGTSQQEKKALQSAISSMEIKLAENEVAISSMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEKLKEEIHQLESSLAQAGDDKDVLEAKLKEKLDDANILQEKASLLSQEIDSKDIRIRELSSLLSSKEASYHNLCALSDQTKESLELAEAKIQQLEDEVRRTRDDLSSKICSIDLLNEKLQALNSEKNEADKKLSELSKDYADLNASSEARESRDSELLLEKDNMIKQLDGKLSDALSDSIKDREIIAVLNKELDATKGMLENEVAAVKSLSESLQSTEEALADSQSEVSKLSKELDEANRMNQDLVLQISKLQDEFNEMQESLTNKLGEVESISKALSDELVSVKEMVHKGQEELESTSNELASIVEARDNLKKELLDVYKNLESTSQELVAERKTVSTLNRELEALVKQLQMDSEARKALEADLDEATRSLDEMNRSALSLSKELEETNIRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRPQKAKTLPRTSASLEVSQAPPNEQPVNDDQNTSKVPAGSQYAAKKITRRRKGGEST >LPERR01G04930.1 pep chromosome:Lperr_V1.4:1:3341812:3342887:-1 gene:LPERR01G04930 transcript:LPERR01G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAVAVAGGGGMSVPCAGGGGVFFRFHGDLKSGPDAAAATRSDDAKSRSFDLGAGKIPGPGSLPASIAGDGARGFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQAVAAAAMDVTEAAAAAEAYWVVKCAAADAAGGGGVEDDDFLSSCFICKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIGSPRSSCSSYQEDIFMAGMIVAT >LPERR01G04940.1 pep chromosome:Lperr_V1.4:1:3358771:3368758:-1 gene:LPERR01G04940 transcript:LPERR01G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRRPRRRQQSILSFLQKKPAAAAEGATPERPPRPPTASVAGIMERLVRPPQQQQGRDQNASQARHAEQRALPVKNQTTSNECSRVLFSGSCNAEYNRATMPIAEIGSNITPLEEPLKSLRPSMDEFVRASTLSTELGSDQNPLPECPKNLSFESSNKNCIGANLSFEEFDVQTPQDPSKGVLWRSSRGADTPLTESDSDRTPLQHPSKKFSLLSSKTLFGPDSNCTPTRGSSEKISPGPSGLPYIKATKLFTEFDSNGTPSPNHFKKPSSMFMNDKCVGAGAALFSEFDSSPLKPETPAMRAVIPRLKRVQEDQCVAISDPCSHFWGPNKKVKPAQCSPDEKKVHDEIAESARSKFEWLNPSNIKDANRRRPTDPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEVGQKELDWKMTVSGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKARGSNSVIQRKLVNVSTPSTVGDSNIEADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVGLVIPFHWFNRLEAIIINITFQVSPKEIIYETTGLSKETHRLIKKYASAGSVKMQLTPLYGLDFSDASEIQMLVNSRGYFKASTTSWLSALDSSVNKDSVICALGGLISHLTRLMLEDALKNGEVLPYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPSGKRLLRRWICHPLKDTDAINERLNIVEGFIQNCGLGAVTLEHLRKVPDLERLLGRVKSTVGLSSVVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLDILQRQDHGVSALSKAVDIPTLSSLGELICQFEEAIDNDFPRYQDHDVKDNDANTLAILVEILVGKASEWSLVINALSTIDVLRSFAAMTLSSFGTMCRPKILQKEKAPVLRMKGVWHPYAFAESANGLVPNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCQTCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEVVRCRLLFATHYHSLTKEFASHPHITLQHMACMLNPRNNGDGDGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERAAVAGEAMRSKIAGNFRTSENRAEFSTLHEEWVRTIMAICSVKDVHLDEDTMATLFCVFHELKADFRKGR >LPERR01G04950.1 pep chromosome:Lperr_V1.4:1:3369243:3373526:-1 gene:LPERR01G04950 transcript:LPERR01G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAKRERPSASTEDPPAAPAPAEDPLVLLRRRWELASVLNFLRVFEPVIEGNLGLSAEEIEAALIDNNRNLARIHIALMKGIPPASKHLKVDDGWITATAKKLSDWWSWVSEDANPFRNNPGKEIEMYKQQDPITRLLILKALCEVRSEQNDAVWYVNDEMKKGVDISNFRKEKLGSSSNGTLYWYDGDSTIGHRLYSEHVTIDFKRNLKGKNGRLTKPVLNIQWETVATNLVEFVEISEKLCSKGRAESAIGEHLKAEIIPAVEKLQKKKERDLKRQQKKDELLAYATTFQTRSLRERRPVNYNYSDYDRSIKEAIKLAAKGKEIVPQKEADKKEKRAGADGESDISPEQNKDGGQEDAGGSDISLEQNKDGGQKDAGGSEISPEQKEDGGQEDADSLDDLSSEEDEDRDYSDKDDGSSGSDGENDAYDPNKGEEEEVFVPRKKTRLAARGFNGKPQQGLRRSRRNMKNDEDMLPGQVTPQAMTKKTMRQRPTPISKQFSLSGSEDDRDMVVADSEEESGSS >LPERR01G04960.1 pep chromosome:Lperr_V1.4:1:3377177:3383241:1 gene:LPERR01G04960 transcript:LPERR01G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLIGRKYSDPELQRDLPAFPFRVSEGPDGFPLVHARYLGEERSFTPTQLMAMVLSNLKAIAESNLNAAVVDCCIGIPVYFTDLQRRAVLDAAHIAGLRPLRLFHETTSTALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLSHAYDRSLGGRDFDEVLFKHFAAKFKEEYKIDVYQNARACTRLRVGCEKLKKVLSANPEAPMNIECLMDEKDVRGFIKRDEFEEISAPILERVKGPLEKALAEAGLKTDDVHFVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDCQNGDNQQTVVFPKSNPMPSVKALTFYRSNTFTVDVTYVDTGDLQISPKISTYTIGPFHPSKGDKAKLKVKVRLNIHGVVSVESAQMLEEEEVEVPVATTEPSKDSAKMETDEAPAEAASGTDVNMQDAKASADAAIEGAENGTPNSEEKSVPMETDTKIEPSKKKVKKTNVPVAELVYGALGVKELEKAVEKEFEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVMAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEARYKEWMDRGPAIDQLAYCITSFRDAALSKDPKFDHIDIEEKQKVINECSDAEAWLREKRQQQDALPKHANPVLLSSDIKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTETPAGGAQTPEQQPQGDEAAGEPASEGGATESTGEQMETDKPEGTEAA >LPERR01G04970.1 pep chromosome:Lperr_V1.4:1:3391476:3399708:1 gene:LPERR01G04970 transcript:LPERR01G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRRATSTTHLAGDRRRITRIPTGAAQIHHHHHQPQPQPQPAPEPLVPAQQQQQPDQPAPAPPPAQQEGEARYRRPMVRLQVVPDEDHVPDNYNYGGGADEMGITAELYAAVERHLPEGLIGAPRDVKRYFMRGVLKDYVPSPAERIRCCSFIVPTFLQAIDANTEESISSIMTMVAPGVYAFLMLKPTFCEMLMAEVNNFWTWARSRNQRIMSPTTLAAHVRGAVLNDFGLQRMLDNLMKNFISPISSVLFPEVGGNTLDSHHSFVVEYGEDNGGRGFHVDDSEEHFVHPNVPGQVVLHHGSHRHGVFPVTSGQRINMVMWCKRGMKELMTDFSGFCGECQFERTARQVQHIQDLVVCYLTRFQISNRKSETIHT >LPERR01G04980.1 pep chromosome:Lperr_V1.4:1:3401582:3404656:-1 gene:LPERR01G04980 transcript:LPERR01G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALWRFVVARRRELPFVRKEVGAAVAASVDPPRLVLDAVGDYLAAEEGAGEGQCWVLGLLLRSLFDSDGRKPPEIGDTLVERAAGVAKDWSEKFGIKIELLAQDNQEVEMTEAPHMENTDAIETVEKKEEHEAEIEEEEEEDPEEMVPASEEEANEEEEEEEVQKDGGEADDKVVDAAKIGKAEKKKVEEDKMELGGEVKEGDKGDRGEKGVLGQAEVQVFLQIVAAFGLKDRYDVDFLRRLFADNGQRRELARIACILGFEDSLKDVIEELIKSDNVIEAIYIAHEAGLLEQFPPVQLLKSYIKSFTNKTQVALKSGRNSSSIVEEANNSECNAYKSIIKCVEACQLTSVFNLDGIRKKVVRLEKEKADRKKPSGMNRFQNKRGRGAAGPQHFPPSKYARGSSSNYASSFRNPVSRYSAGFVGPAPGARPHFVSGSSMAARRGVLYGGPGATFGAAHNYGAGHHH >LPERR01G04990.1 pep chromosome:Lperr_V1.4:1:3408460:3410308:-1 gene:LPERR01G04990 transcript:LPERR01G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVRAAAADLAVTFLWVLCVSTLGASTAAVTSYLRIHEGFHYALLVTVSLLSLLLFSFNLLCDALGGASFNPTAIAAFHAAGLSPSSKDNSLFALALRFPAQAAGAVGGALAISELMPEKYKHMLGGPSLKVDVHTGAVAEGVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRALFPPPAPKPKSKKA >LPERR01G05000.1 pep chromosome:Lperr_V1.4:1:3414018:3414503:-1 gene:LPERR01G05000 transcript:LPERR01G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGYSPRFHRQSSCSCAPSISMSRRYVRAGFDLAGDDDDYESYEYDYLAAAEFDGKPASHHGARAPAAASGQGQRGCGTRLSRLWRRILRENKRRILLCATGCVPSSSSAAARVPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAA >LPERR01G05010.1 pep chromosome:Lperr_V1.4:1:3418461:3420497:-1 gene:LPERR01G05010 transcript:LPERR01G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQQRCEPTDTGTTSKLGCSTMQSLKPGKYINKSSGNGSSSKYRRVDTSPPCQLYTVKQTAPEDGSFMEAPLHDSKIVSARPPRRSGKIKLQLFPINEEIQKALQQENHNPYLELSLAPRKKISSVVQHLNAKWGNSRCARGELMLFPDDARHGNITGSVKWTLCDSCTAADVHVAVGSPSRFRLRYGWFATNFGQQHSGASLGSVHSAGKVINNEPLNPVSREQKQMTCFTEFPSNFAAPSIEANTVKTVENQSKETPLSWIDCISNISFGALLAEAAPSQDSKQLLPQNNSSFPQVPLTGDSFDAAIASLIARQQASSQPKVSNPSLWDAEETCHAFPSLNRTTSRTPGTVPGSCAASTFSVLVTILESGTDGGKQCSTEDRREEPNPQISLLGNDANNMKLDIPVSESTGEPEVGASCSRLLSGTDSLSLSDLFANSLDAFQKFSFSKA >LPERR01G05020.1 pep chromosome:Lperr_V1.4:1:3421560:3424522:1 gene:LPERR01G05020 transcript:LPERR01G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKAHQVWAFIWLPKLCGILGLAHLHLVHGDLVRHALLYDDVIVTSRATRRDEQQQQKAPLLFFSVCRRRFEEGEWKFLMAAHAPAAAAKKRRPEEGAEEEMHLAFRGAANALSQVYAQAVAKQQASFLAGERRAMEGVYGWIRSKHEEGLEVSVADLVAFLLAEIEHRSGVIPGSLQHTSAQPADSFPSTNVQSNSFSFGNVAAALNSDTAETDQTQIPGMLNALPNPSRENLYSNHLAHFSAYGSTNSLPNINGPQSNHSPQQQNFMHCNSYVPSMDES >LPERR01G05030.1 pep chromosome:Lperr_V1.4:1:3425319:3432492:-1 gene:LPERR01G05030 transcript:LPERR01G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTVGFNVVLVTEFSQFLGQALELPSMSASNEKWIDGLQFSSLFWPPPQDAQQKQNWYMFKIPDTEWTLGHISSLKAQILAYVEYFGQFTADSEQFPEDIAQKEYSEQWALACGEILRVLTHYNRPIFKVEHQHSEAECSSTSDQASSCDSIETKANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRQAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVADGSRLTNGRSRCKKKQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVLPGKTNGISNELHNSISSAILHTRRILRILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEDKNISSSDGALEKKDASSSHRKNGFSRPLLKCAENVQLNGDVASTSGKSIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINPSKLIQGEHRNGIKSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLAC >LPERR01G05040.1 pep chromosome:Lperr_V1.4:1:3444494:3450770:-1 gene:LPERR01G05040 transcript:LPERR01G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALAHHAAAAAAAAAAPPPCTPTAAMPPSTTSATTIAFSFQPSPPTGALPHHHHHHHHGDILGYHHPTISSSSTTATIHGAAAAIVPPPRSSPPHPWSCEEGDRGKGAAAAVMGINAAATDKAGGGGVQQQPHQLGIGAVRMKKAGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTHHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDDAARATAEMTFIW >LPERR01G05050.1 pep chromosome:Lperr_V1.4:1:3455342:3490260:1 gene:LPERR01G05050 transcript:LPERR01G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKRSQPHLDLSKFREAFISPTRRLFGLLSDHGDLILSMIDVDQSRVESPNTLSDSCSPVVFDCFSSIPSVKSLAWAQCCDASSQLEDSVFNEVLVVSSDDSITLHAFCHSYKNKLTDNTTLDTGELHGEWKEWHPTQNSVLEDGDSGPKNYFRSFLTTIIGSVSNGKYQAKFPLKSSLPHSVEVVSFSLYDTTLSFLKFWSTNYPTVMNGEVSHGHVPTTEASCNCQWECLNVLPSSSGHLIGLVLIRNEPVSCEVHQCNMKDILIVVLELNQWGIQWNFVADLQNAYDGLFPNQQWVDFQMSDDFLACLNSGGFVVIWNVRTCNIVASFNVLERCSTNLEFPSESKTTTVKNFGGENTYVGNHTGRRFKRLVLASYSLVLAVVDEVGIVYVFYAEDILDFKTSMHGNFHLHSTYHSGNSFAAWEATGHEIGSLTFCGHQSVQQLVNDFSERDSPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTQMKDGLAYADRMAVSSPLRRMILPTCRLQENVISLSPFGLTRIFKNHNADGNKHIKIVHTKFLMASSFLDERDINASFLERSSFQKGSTFTGECVLCPFQGYVYLITKDSLSVVLPSISVSSFSSHNTGIKFWQPISASGGACDALDFSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWMQLALHYTKIGDLEQSLNMLAEINLAEEGVLQLLLASVYRLLSRTESDNEVVVSSKLMVLAVRFATRMIKCYGLLKQNKDNTVKLHEMASLLGVIRSIQSRISAKNQNSIRMGDDKKSVKIGTEVSPNNSSLPIVVVDGTSAGQSSGIESRDRQESAPAMFELISGSNGQLALTPVEPSISKHHVFDNYQVTDQVVIPSTQGSIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESLSSDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIEADLRQLMQGTVRRLLRRQIAEEMKKRGYMSTNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIQDAAKIVTLPGEDKPVLVLHIHNHSHIECGDVDGAVVGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHVDAHFSWESQFEYFVAHNDVMEACKLLDVIPDSVLLEGVLRVNVENSQATYNALSDVATLDYKLYLCDSEEIEPVCMEIPHVKVFRSLCNQESTSWMRLIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLINTSEICSDVLDDAYRRNCERALHKLVLRFCVQYNTPSLLDLYLDYCNLALEKDSIPSLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVSRNRGLSSQCTLENLSPRLQQFPTLWKTLLSSCFGQDGYGCLNCSPTTEYGKSPISEYLRWRYTIFSSAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAESSEELFTHTVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANARQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSYQQNKQCDLSSPRSSGLHVLSHGADIAPTLARALAEDYVQSDHLHVLEQKQPSKTPKREHPSQPLKAILHQLEKSSLPVLEEGKTCGFWLLSGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVGAKEIRDSRLRTHILTILKNMMSTRRKSSSNVTSGSSDSSFFAFDGENTMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLEITAAREMSSIKVDDISSRIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFLSCGSSSTATSNFPNFDAQQKILKSISEESKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDEASHAQLNSSKEESAMTGWVTVTVVKAADAVLSTCPSIYERRCLLELLAEADFSDGGSSSAYFRRSYWKIILAEPSVCKDGDTYRWNDAMDDASLLASLEKDGRWEQARTWARQLESSDISWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMKYSLPPLQAGLFFLKHAEAVGKEIPARELHDILLLSLQWLSGTITNSSPVYPLHLLREIETRVWLLAVESESQSKADGEFAPPSATQNLATGNNTNIIEQTADVITKIDNSMSSPRMKTAERNGMRDTTPSFHQHLQLFESNVEGTNNTKAKRRSKTNMLLKRVANDSVESSINDSDDNSNSFNSSKIAGQARNLLSEEEFAKMEASLSDWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLVDVALRVANNSSNGETSLSCFDTEALSILQSLGSNTIDPPEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQESFEEAKFLVETHTMRASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFNPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNRWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPMQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMKLRLQLATLATGFGDVLDACSRVLDKVPENAGPLILRKGHGGTYLPLILIAQDDTTGSMVSGSKELAAAMSPVTGPPRCRSVLPVPPPRRRWPPSPAGGIAAVSFSYRCTKLLCPSFRFLPHPDSDPTTLPKSHSRSPPFQTNQKRLTSTHPSASADRLLSPAPPPPPMVAVDPPPQEASAPAAPPAMKRSTSFDRVPEEARRILHRLAGELWGGDDGVDPAALSVSQLKGAMTNEVFRVTWPGGGEGDHRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLARFPNGRIEEFINARTLSAEDLRDPEISSLIAKKLREFHDLDMPGPKNVSLWQRLRRWLEEARDRCSAEEAREFSLEKLGDEIAMLDNVLSGVDQRVGFCHNDLQYGNIMIYDESRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIEEQRRFVQTYLSSSGENPSDAEVEHLLGLIAKYTLASHLFWGLWGIISGHVNKNIDFEYKEYARQRFDQYWQTKDKTLGCKSNYGVVSCWIPPPRSMAEMLLRIPSPASSLRLRHFTVPVDWDDARLQSPRTAVNSSTAVSLPSIPGVIGGATVAGKEEWATMASDRPAPDQKEAKVMGGGGGDEQEAADEMEKKVHKYSRGKAANLGALRDKKLRGQLAAREKLYGRSAKVAAQTEKWLPTEVGCLEPEDDLEKTYRFKQEDIVKGVDLLSSRKAFDMVLPLLGPYTLGYTGNGRYMALGGRKGHVAMMDMLNFELIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGHTDVMRVNPYNAVISLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAVAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYSARAQSLDFSQKGLLACSNGSQVEIFRDTGAQNYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGFSSILVPGSGEPNFDTFVDNPMETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKNIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLDQYNENDGRPDKKQRIGEEVELPKALQRFAKSRQ >LPERR01G05050.2 pep chromosome:Lperr_V1.4:1:3455342:3490260:1 gene:LPERR01G05050 transcript:LPERR01G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKRSQPHLDLSKFREAFISPTRRLFGLLSDHGDLILSMIDVDQSRVESPNTLSDSCSPVVFDCFSSIPSVKSLAWAQCCDASSQLEDSVFNEVLVVSSDDSITLHAFCHSYKNKLTDNTTLDTGELHGEWKEWHPTQNSVLEDGDSGPKNYFRSFLTTIIGSVSNGKYQAKFPLKSSLPHSVEVVSFSLYDTTLSFLKFWSTNYPTVMNGEVSHGHVPTTEASCNCQWECLNVLPSSSGHLIGLVLIRNEPVSCEVHQCNMKDILIVVLELNQWGIQWNFVADLQNAYDGLFPNQQWVDFQMSDDFLACLNSGGFVVIWNVRTCNIVASFNVLERCSTNLEFPSESKTTTVKNFGGENTYVGNHTGRRFKRLVLASYSLVLAVVDEVGIVYVFYAEDILDFKTSMHGNFHLHSTYHSGNSFAAWEATGHEIGSLTFCGHQSVQQLVNDFSERDSPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTQMKDGLAYADRMAVSSPLRRMILPTCRLQENVISLSPFGLTRIFKNHNADGNKHIKIVHTKFLMASSFLDERDINASFLERSSFQKGSTFTGECVLCPFQGYVYLITKDSLSVVLPSISVSSFSSHNTGIKFWQPISASGGACDALDFSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWMQLALHYTKIGDLEQSLNMLAEINLAEEGVLQLLLASVYRLLSRTESDNEVVVSSNLYFSRAPDNTVKLHEMASLLGVIRSIQSRISAKNQNSIRMGDDKKSVKIGTEVSPNNSSLPIVVVDGTSAGQSSGIESRDRQESAPAMFELISGSNGQLALTPVEPSISKHHVFDNYQVTDQVVIPSTQGSIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESLSSDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIEADLRQLMQGTVRRLLRRQIAEEMKKRGYMSTNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIQDAAKIVTLPGEDKPVLVLHIHNHSHIECGDVDGAVVGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHVDAHFSWESQFEYFVAHNDVMEACKLLDVIPDSVLLEGVLRVNVENSQATYNALSDVATLDYKLYLCDSEEIEPVCMEIPHVKVFRSLCNQESTSWMRLIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLINTSEICSDVLDDAYRRNCERALHKLVLRFCVQYNTPSLLDLYLDYCNLALEKDSIPSLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVSRNRGLSSQCTLENLSPRLQQFPTLWKTLLSSCFGQDGYGCLNCSPTTEYGKSPISEYLRWRYTIFSSAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAESSEELFTHTVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANARQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSYQQNKQCDLSSPRSSGLHVLSHGADIAPTLARALAEDYVQSDHLHVLEQKQPSKTPKREHPSQPLKAILHQLEKSSLPVLEEGKTCGFWLLSGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVGAKEIRDSRLRTHILTILKNMMSTRRKSSSNVTSGSSDSSFFAFDGENTMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLEITAAREMSSIKVDDISSRIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFLSCGSSSTATSNFPNFDAQQKILKSISEESKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDEASHAQLNSSKEESAMTGWVTVTVVKAADAVLSTCPSIYERRCLLELLAEADFSDGGSSSAYFRRSYWKIILAEPSVCKDGDTYRWNDAMDDASLLASLEKDGRWEQARTWARQLESSDISWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMKYSLPPLQAGLFFLKHAEAVGKEIPARELHDILLLSLQWLSGTITNSSPVYPLHLLREIETRVWLLAVESESQSKADGEFAPPSATQNLATGNNTNIIEQTADVITKIDNSMSSPRMKTAERNGMRDTTPSFHQHLQLFESNVEGTNNTKAKRRSKTNMLLKRVANDSVESSINDSDDNSNSFNSSKIAGQARNLLSEEEFAKMEASLSDWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLVDVALRVANNSSNGETSLSCFDTEALSILQSLGSNTIDPPEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQESFEEAKFLVETHTMRASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFNPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNRWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPMQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMKLRLQLATLATGFGDVLDACSRVLDKVPENAGPLILRKGHGGTYLPLILIAQDDTTGSMVSGSKELAAAMSPVTGPPRCRSVLPVPPPRRRWPPSPAGGIAAVSFSYRCTKLLCPSFRFLPHPDSDPTTLPKSHSRSPPFQTNQKRLTSTHPSASADRLLSPAPPPPPMVAVDPPPQEASAPAAPPAMKRSTSFDRVPEEARRILHRLAGELWGGDDGVDPAALSVSQLKGAMTNEVFRVTWPGGGEGDHRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLARFPNGRIEEFINARTLSAEDLRDPEISSLIAKKLREFHDLDMPGPKNVSLWQRLRRWLEEARDRCSAEEAREFSLEKLGDEIAMLDNVLSGVDQRVGFCHNDLQYGNIMIYDESRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIEEQRRFVQTYLSSSGENPSDAEVEHLLGLIAKYTLASHLFWGLWGIISGHVNKNIDFEYKEYARQRFDQYWQTKDKTLGCKSNYGVVSCWIPPPRSMAEMLLRIPSPASSLRLRHFTVPVDWDDARLQSPRTAVNSSTAVSLPSIPGVIGGATVAGKEEWATMASDRPAPDQKEAKVMGGGGGDEQEAADEMEKKVHKYSRGKAANLGALRDKKLRGQLAAREKLYGRSAKVAAQTEKWLPTEVGCLEPEDDLEKTYRFKQEDIVKGVDLLSSRKAFDMVLPLLGPYTLGYTGNGRYMALGGRKGHVAMMDMLNFELIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGHTDVMRVNPYNAVISLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAVAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYSARAQSLDFSQKGLLACSNGSQVEIFRDTGAQNYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGFSSILVPGSGEPNFDTFVDNPMETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKNIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLDQYNENDGRPDKKQRIGEEVELPKALQRFAKSRQ >LPERR01G05060.1 pep chromosome:Lperr_V1.4:1:3490698:3494357:-1 gene:LPERR01G05060 transcript:LPERR01G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKKQRRPGEVSWPKVVLKKWLNLRSKDSEFNADEDDGDDGSDFDENCGCDGNGGEARRRADGDLADDQTADGGGAPYRLRRRNSETLRAQYINTKELRLRVGTFNAAGKAPPSDLDISGWLAGGGEPADVYVLGFQEVVPLNAGNVFGAEDGRPAMAWEDLIRGALRRTPRPATATAAPRYKCYSHPPSPSRLGDVTESAAGDVIFLGTDTDTDADDTNSPPESEFAGDATAATPRRLTRLNHFNAAMDDDDDEFSGDEQPPPPPLQQRTLAKTMSRSDRVGLAWPEQPLDLVAKHATATATAAAAAAAAARSFGKYRSFRASSRVAAAGDEDLAVMMADLHLDGDLAAAARKRRSPYVRIVSKQMVGIFLTVWVRRGLRKCVQNVKGAVSVSMSIYQTMFCFVCTHLAAGEKAGDVHKRNADVQEINRRTHFTGPGDMGVPRDIYDHERIFWLGDLNYRIDIAYERAHELVSTMDWTQLAEKDQLKRELKKGRAFDGWTEGLLEFAPTYKYELNSEKYIGDDQRGGRRTPAWCDRILSYGKGVRLLSYGRAEVTVSDHRPVMATYAAEVEVFCSKKLQRALTLTDAEVEAGTVVAGF >LPERR01G05070.1 pep chromosome:Lperr_V1.4:1:3502570:3507740:1 gene:LPERR01G05070 transcript:LPERR01G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWSLILLYPEVSLAIICFFWLSLFRLIRQRQKSTLPVNWPVIGMVPFLVQNLHYIHDKVTDALREAGCTFMVSGPWFLNMNFLITCDPANVNHCFNANFNKYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKYGSEVELEGVFMRFSLDVSYSTVFAADLDCLSVSSPIPVFGQATKEAEEAVLFRHVVPPSVWKLLRLLNVGSEKKLANAKVVINQFIYEEIAKRKEQASNEVQGDILSMYMKWSINESTRKQNDDEFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEERILQELKGLQSSTWPGDFRVFECDAIRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGNDCMEFKPERWMSKSGRLRHEPSYKFLSFNTGPRSCLGKDISLSNMKITVASIIYNFKVELVEGHEVMPQSSVILHTQNGLMFQEMELVQWLLAFVGDFYPEIMVTAACLFLLFRRHRRRRRAAERLPTNWPVIGALPAIMVNAGRVHEWVTEFLAAGGMSHVIEGPWGSPGDVLVTADPANVAHMFTANFGNYPKGKEFAAIFDVLGDGIFNADGESWAFQRRKAHALLSDAAFRAAVALNTARKLAAGLVPLLDAFAGDGGVVDLQDVFMRLTFDLTAMFVFGVDPGCLAVDFPTVPFAAAMDDAEEVLFYRHVAPVPWLRLTRRLGVGHHRKMANARKVLDASIADLIALRRHRRAAAAAAGDGGERDGVSDADLLTSYLACQDETGKDGADFDAFLRDTTLNLMVAGRDTTSSALTWFFYLLSTHPAVESKVLAELRCENLAGAGEVDLKRLVYLHAALSESLRLYPPVPFEHKAARSAETLPSGARVGPTRRVIVSLYSMGRMESVWGKDCEEFRPERWLTSPAGRFRHERSSKFPAFNVGPRTCLGRDLAFAQMKAVVAAVVPRFRFTVVGGDGDGGGAPPKPKLSIILHMRDGLKVKVHRRRED >LPERR01G05080.1 pep chromosome:Lperr_V1.4:1:3511390:3513321:-1 gene:LPERR01G05080 transcript:LPERR01G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLNGRTGSSQFARRLPLPLLAPISSIAVARRVGQEERRPAASAASSIAVAAGSDQFCHCQGCLGKYTLLQDEENPRLAMFERRLPCFGCGIGWSSFLVPVDLRLFTAANTTIKTPESALVLLHQRLRLVFLFTISPEHNSTLLVYNNYLKLESICGEQNYFLKRSP >LPERR01G05080.2 pep chromosome:Lperr_V1.4:1:3511481:3513328:-1 gene:LPERR01G05080 transcript:LPERR01G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAYQIERTDRIKPAGSDQFCHCQGCLGKYTLLQDEENPRLAMFERRLPCFGCGIGWSSFLLGFLCPLIWYYAATLYCCKYYNKDPRERPGLAASAVAVSLPFHDKP >LPERR01G05090.1 pep chromosome:Lperr_V1.4:1:3514393:3516327:-1 gene:LPERR01G05090 transcript:LPERR01G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAVAASGSVRDLPPSKRFKFVGSGGASAPCLPAKKRVWPPVLETAALPVCLPAKKRAYAPPPTTPAAEGDFVLCLPVKKRAYAPTADDAVAPACLPAKKRVHLPPPPPEKAAISPSTPVAAKKPVNEAAPEKTVVSLTIPVGAKKRVNAPPPEKTVVSPIIPVAAKKPVNAPPPSPETNASPRVPFKDVVNTLPPARNAGVSPIVPAKKGGRSPPSPRDIAAPPVSVCLPSNKRVMPPFLPSPSPPPPSMESDRPRVAATKEAKPQASNKRGGAITNPRLVNDVKDCAKAEASKISEKPINPKEIKEEVFKKPQAKSPKKSKDQPCKNSCSVICSKQSEEIQVESLDKACKAIDLNEAAREEDSSNGEETALEPKQQSMAAAEEEEEEEEEEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLSQSIPDGDWFCSLCSRSGAGGAKKKPPRCCLCPARGGAMKRTADGGDRWAHIACALLVPEVFFRDADGRDGVDCSRIPASRFAMDCYVCESTRGCALQCSQPKCGLGFHVSCGLDAGLCIEYREAKSGAAAAGGGGGGVVAGFCLEHTKLWEKQQLTGKYKIVSRGQK >LPERR01G05100.1 pep chromosome:Lperr_V1.4:1:3519636:3520691:1 gene:LPERR01G05100 transcript:LPERR01G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAGLQTDILGVIVKKLAIPDYLRFRAVCTSWNHICRDVYNCPRTDPWLMLPTDLQAHGGSKFFCVPERKNQTIHLPATFTIFGSTWVPVGSSHGWLIFYSPSLGDMKLLNPISGADFNLPKIGCRAFYKAMLLEMNDTSFTVAVILRDQRGYKVTRKGSKSWSSVESKHDLVEILKHNRKIYTMDIYGTVALWAEPPRSWPDEDALQMYGPNHNLVHYSQHGKLNCLVESPAGDLMRVKRQSNDKFVVRMLNKEKFSWEKVDNIGDFSLFVSYYSSVCYRAGDQFNLKANCIYFIDSYSNLCAFNLENGTKELVNGLEAAEAYAYPEPHAVRHRPEGQKYMWLLPSLR >LPERR01G05110.1 pep chromosome:Lperr_V1.4:1:3521174:3521749:1 gene:LPERR01G05110 transcript:LPERR01G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTAQRSPAPWQRQRQHDGRCGDRARRAVLVVAFAGVTAGFAVATYRARHRPRDVAFLAVTYWLVALLLCLVEKLESLRPPRPDADATAAAREAVQRRRVRLGVWAVAVALGNTVAWRVSDAVPFLALKLGVWGVTLVALGFACYFIFRSKDGDCCDAEHGRAQADAGGRRATSLPEKASGEMSPEEKV >LPERR01G05120.1 pep chromosome:Lperr_V1.4:1:3525543:3526043:1 gene:LPERR01G05120 transcript:LPERR01G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTDDSLSKKLQQQDDGDDAPRRAARRLLPCVAGVLVAGHFATCAYRAAGNPSDLAFVAAAYTILGLLLYCVGSFEALAADASPDAAAARERMRPPVWALSAALTALFTSRVAPMMPPPLDAVVVGMAVAVTVGGFCLLFMGNAGEEEDDDDDDEADSDQDIEKV >LPERR01G05130.1 pep chromosome:Lperr_V1.4:1:3527936:3531114:1 gene:LPERR01G05130 transcript:LPERR01G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSARAEVVVPSDWAAATAAVSSETAPPVVIVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFNFVEEALTDLLNPSLRQSERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISVQSKNLPDGMFWLDCGQTGPKMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFANDISLATNKELAYALTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTAEAGIVRGVDVQRGILYVITPIPLEHLQSVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >LPERR01G05130.2 pep chromosome:Lperr_V1.4:1:3527936:3531114:1 gene:LPERR01G05130 transcript:LPERR01G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSARAEVVVPSDWAAATAAVSSETAPPVVIVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFNFVEEALTETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISVQSKNLPDGMFWLDCGQTGPKMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFANDISLATNKELAYALTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTAEAGIVRGVDVQRGILYVITPIPLEHLQSVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >LPERR01G05140.1 pep chromosome:Lperr_V1.4:1:3531791:3532273:-1 gene:LPERR01G05140 transcript:LPERR01G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMFGLETPLMTALQHLLDIPDGEGGATGGKQGGGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLKSGDIRVQVEEEKVLVISGERRREEKEDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >LPERR01G05150.1 pep chromosome:Lperr_V1.4:1:3533109:3537247:-1 gene:LPERR01G05150 transcript:LPERR01G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAAGRCLLLSRPSPLHRLRLLRTALSTTTASATASPAAVTAPPATPEPPSRHELLLERLRHRHLKGASTAPPTSPQRGKEGRGGEGGERGGAQQQRRVVEVVESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLDGTSVVLGSHTGSGKTLAYMLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRVANNPHHASTKGRASALKVTTQKGRRGVALSTKSSRVVKDTTSKRRSPIRSQPKSKSSSSGKAKPVRSAKPSKSSSSPKVAKPKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >LPERR01G05160.1 pep chromosome:Lperr_V1.4:1:3538058:3538507:-1 gene:LPERR01G05160 transcript:LPERR01G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDLDINDGNDAVDVVIDMGQQLGVQASGGAGGDDAATAAKKKALRLPRYLGAVGVLTGAMAVAAAVHGSPPPAGTLLARGGGLAYYLGLGGSFAAGVGEVWAAMWVAGAGDDGDRRAAVGRKVLFAGVVPFLVVVAIGGVGVHLKN >LPERR01G05170.1 pep chromosome:Lperr_V1.4:1:3540587:3543340:-1 gene:LPERR01G05170 transcript:LPERR01G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALARIHGGKTALTTLDDRSASGTPFHATATITAGTTTPATIIAAGFVHDPYTPTAMHTSINFKMISCLSLGVRS >LPERR01G05180.1 pep chromosome:Lperr_V1.4:1:3542744:3543790:1 gene:LPERR01G05180 transcript:LPERR01G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVVSPDESLTAPLLEPAAAAARSRGSSPSPSVEVRLYRRGAGPVAVFRSSLVGPRRDRLQVRAIHAQHALRALFAFNPNSSLRGLRIRPDPSAAGGYSALPFRDGAAISLDGEPKGSWTKPAAMIVAGVVVPAVMVAVAWNGVPEALRSSRVVNAVFPPWILASAVIIYARVRNRPRAPPP >LPERR01G05190.1 pep chromosome:Lperr_V1.4:1:3544007:3547492:-1 gene:LPERR01G05190 transcript:LPERR01G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRRLGNLPPKPEPFKPPAFTPKVDADEQPKSKEWLDAREPDELEDLEDDLDDDRFLEQYRKMRLAELREAAKAAKFGSIVPITGSDFVREVSQAPPDVWVVVFLYKDGIPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSDPVLNDGQGGSESSRDNVIEGVRRKFIEKVVAQHEERDEDDSD >LPERR01G05200.1 pep chromosome:Lperr_V1.4:1:3547784:3553890:1 gene:LPERR01G05200 transcript:LPERR01G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSNLTNFMQKNMGLSPDEKQLSVSGQNWGGVDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTIVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALSREVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGANISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKNLKIMNLGDGQGASGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASQSGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERANMKNKNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGASAMDVDSGSGGDESD >LPERR01G05200.2 pep chromosome:Lperr_V1.4:1:3547680:3553890:1 gene:LPERR01G05200 transcript:LPERR01G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSNLTNFMQKNMGLSPDEKQLSVSGQNWGGVDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTIVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALSREVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGANISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKNLKIMNLGDGQGASGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASQSGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERANMKNKNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGASAMDVDSGSGGDESD >LPERR01G05210.1 pep chromosome:Lperr_V1.4:1:3555449:3560333:1 gene:LPERR01G05210 transcript:LPERR01G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVGMGLGRIVLLAGAGLAGSVAIRNGGNLSGILAELQVLRDKDAGGSGGGSTVTDALLKSVQELTVDVRKITSEAAVVYVDAAGKGVASSLVAPAAAAGALGYGYMRWKGISISSVMYVTKQNMANAVARMTKHLEQIQGTLADAKNKLTRRIKHLDDRLDQQRQISEQIRDEVTGARMTLQGIGSEMQKMKEVARGLDGKLDSIEATQNYSLAGVMYLVQFIEQNGGRLPHSVEHLQRTAKLSGFTGDQKQLQGLGQLLAIESGTPLEGHRSTSARLFNAAA >LPERR01G05220.1 pep chromosome:Lperr_V1.4:1:3560752:3566494:-1 gene:LPERR01G05220 transcript:LPERR01G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGEKSPALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKSVGNLLYTVATKYPSNALVHRPVLIDYIVSTKIKIPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVSEVLKHNMEAILEQRYHINVGNLCGQVRKRHPWGDAKATKDEIDKMLAEILGPKTEADNVKPVKKKKEKPAKVEEKKAAAVTVAPPSEEELNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSATAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRAMVVLNPLKVVITNLEDGKVLDLDGKMWPDAPADDASSYYKVPFSKIIYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTSKPKGVLHWVAEPAPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPHSKELIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENLVFNRTVTLRDSYGKTGPK >LPERR01G05230.1 pep chromosome:Lperr_V1.4:1:3571630:3576325:1 gene:LPERR01G05230 transcript:LPERR01G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSFKVTRISEGAVKPSAETPSETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGAIRDALAKALVFFYPLAGRIVEQEEKPGSPAIRCTGDGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPNIKPGPLPELPVLALDYIVLDFPTGYIDGLKSQYKVHSGKFCSGFDVLTAKLWQCRTKALKLEPDATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLRSSLMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFAEVDYGFGSPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKDHSEEFIRDMKEDLP >LPERR01G05240.1 pep chromosome:Lperr_V1.4:1:3577286:3582857:1 gene:LPERR01G05240 transcript:LPERR01G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGLALVDLDGDVLANCARFLVARDVASLSMACRPLRAAAYCDAVWYRLFRDQWPFQQVPHGALGLRELYIHRHTEVQQMKFTDPISAIYYPNPTEVTPSHLMLDGNYIWLSQGPIARRLRVDFPELELVETYKSHGARITCMRLFPLIDTPLFRGGSQTNEKALVTSSADRTVRLCWKGHSRCFKGHSGPVTALSDKLLGNGEFKVLASGGEDCTVRLWSMSARGKSHPLISTLHGHEKMLSLLSVAWHKPSLLVSCSKDSKVKVWDTMAPPSSGSSSCVGSTHLSTNGVPIAVKCHESLCYIASGSEVTAIDLRTMKKASVVALRDHRILSCEMLPSEWLICTGIKDKALLWDIRKSQELPNTVAELHSDDPVTFLHLDPYKVITGAPSGDQVNVWETRTGHLANTLNCGEPAEAADRSTLSAMAVDGCRIAMVGSSSELDVVQYRDFLMSSVPASLPGNTEEVSKFWGPQQYSDDEDEDDDEDDSDEEDHEHVWFAA >LPERR01G05250.1 pep chromosome:Lperr_V1.4:1:3584228:3588891:1 gene:LPERR01G05250 transcript:LPERR01G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEAGGLPEVGSGGGKSAAAAKNPGLPRRRRLRQQQRRPAMPPSPVPAPAPTAVQRLFEACREVFGASDGAVPSPAGIERIKSVLENTSAADVSLTPNMPYFRRVNSHGTPKITYLHLYESEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGKMYVKSYDWAEASQDSTDVRLQGLRLAKVKVDGILNAPCETSVLYPEDGGNLHCFTAQTACAVLDVLGPPYDDGSGRHCQHYNVASSAPSAGDSRSFPRGDGYAWLEECERPANFHLVGSTYMGPRILDN >LPERR01G05260.1 pep chromosome:Lperr_V1.4:1:3597439:3600730:1 gene:LPERR01G05260 transcript:LPERR01G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDTAMIGGAPPIVFESFASTQRDSTIIKKEEKIELTKAEMGEVREENERLKTMLSRIVSDYQSLQMHFLGVVKVKDQSAADENPPAAAELAADEPDDLVSLRLCTRPNAAAGAAAAKRRKGNHERTSSSSSSAAGGEEDDVDGRLSLGLRCAISDEDAGGEVKAAAATVMNLSSDSSGHAAGAGEATQPITNKASRSSSGGGGGGEGADDEVMQQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAAAMLTSGSTTSTMHHMPFGGAGAGIFAGGPTTISTATSCPTVTLDLTAPPHSLPHHHHHPYAAAAGGFDHGSSRALPAAWSSGYLAYGGIGAAARPSPFGQFGGMVAARPAPPEMHLFGAGGGQTATASAAYMQRGIGGGAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRAGGAAAQNK >LPERR01G05270.1 pep chromosome:Lperr_V1.4:1:3605322:3605888:-1 gene:LPERR01G05270 transcript:LPERR01G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHDTSSYAYSSLYGFPPLMADDVAAVDYNRSSFFPAAESSYCSHHHHHQLPVVLAGGGGNSNEYYYSVSSSSSSSTAATAAAASSRIGFRTRSEVEVLDDGYKWRKYGKKAVKSSPNPRNYYRCSAPGCGVKKRVERDRHDRRYVVTTYDGVHNHAANAPTPPWSIVRPAAAAAHSSESSF >LPERR01G05280.1 pep chromosome:Lperr_V1.4:1:3610670:3613961:-1 gene:LPERR01G05280 transcript:LPERR01G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAASDEESRRRVASSLTSRYSDWVVESLDELQGSFLLTDPSIPGHPIVYASRGLAALTGYPPAEVLGRNARLFQGAGTDRAAVAGVRDAVRCQRPHQTPILNYRRDGAAHWVLLHLAPVFHAADGAVLHFLAVQVPIPPPPPPARCRVARPTVVVFAPCREEARVEEELSCPCASHAGEVFVDVDKRGLDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCKFLNGPGTSAEVLEEINQHICSEQACTVHLLNYRKDRSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKHDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >LPERR01G05280.2 pep chromosome:Lperr_V1.4:1:3610670:3613961:-1 gene:LPERR01G05280 transcript:LPERR01G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAASDEESRRRVASSLTSRYSDWVVESLDELQGSFLLTDPSIPGHPIVYASRGLAALTGYPPAEVLGRNARLFQGAGTDRAAVAGVRDAVRCQRPHQTPILNYRRDGAAHWVLLHLAPVFHAADGAVLHFLAVQVPIPPPPPPARCRVARPTVVVFAPCREEARVEEELSCPCASHAGEVFVDVDKRGLDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGYSREEILGCNCKFLNGPGTSAEVLEEINQHICSEQACTVHLLNYRKDRSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKHDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >LPERR01G05280.3 pep chromosome:Lperr_V1.4:1:3610670:3613961:-1 gene:LPERR01G05280 transcript:LPERR01G05280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAASDEESRRRVASSLTSRYSDWVVESLDELQGSFLLTDPSIPGHPIVYASRGLAALTGYPPAEVLGRNARLFQGAGTDRAAVAGVRDAVRCQRPHQTPILNYRRDGAAHWVLLHLAPVFHAADGAVLHFLAVQVPIPPPPPPARCRVARPTVVVFAPCREEARVEEELSCPCASHAGEVFVDVDKRGLDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCKFLNGPGTSAEVLEEVAFHVWVHLDEGAKHDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >LPERR01G05280.4 pep chromosome:Lperr_V1.4:1:3610670:3613961:-1 gene:LPERR01G05280 transcript:LPERR01G05280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAASDEESRRRVASSLTSRYSDWVVESLDELQGSFLLTDPSIPGHPIVYASRGLAALTGYPPAEVLGRNARLFQGAGTDRAAVAGVRDAVRCQRPHQTPILNYRRDGAAHWVLLHLAPVFHAADGAVLHFLAVQVPIPPPPPPARCRVARPTVVVFAPCREEARVEEELSCPCASHAGEVFVDVDKRGLDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGYSREEILGCNCKFLNGPGTSAEVLEEVAFHVWVHLDEGAKHDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >LPERR01G05290.1 pep chromosome:Lperr_V1.4:1:3622704:3623423:1 gene:LPERR01G05290 transcript:LPERR01G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMFRGLTRITSSIANHGVSRVLSFTGSFNDLISLLHNAEGSPPSTAAVAADSPAQNHDVEVPAPAPAIGLDQAADEAKRVSKSVQTVSLFAASASLVMFVNLPGAKNDQPPPPAWRAALQSVTLAFICLGLFTSLGLSMFSIVATAGDGDAAVARAQKAAMVTAVACVLVSFTLRMCMTLPGVLAAVFLLLVAGAGAGAGIYLSLAGRRRQIGLDEEAGDAAVQLTSTEPSYVTT >LPERR01G05300.1 pep chromosome:Lperr_V1.4:1:3632590:3633063:1 gene:LPERR01G05300 transcript:LPERR01G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGNNKIILVSSDGDHFEVSEAVASMSQQIQHMIEDDCTENGIPLPNVTSKVLTKILEYCEKHVAVSSEKEADAEEKLKSFDAEFIAVDMTMLYDLILGANYMHIKSLLELACQRVADLIKGKSPEEIRKVFGIKNDFTPEEEEEIRKENAWAFE >LPERR01G05310.1 pep chromosome:Lperr_V1.4:1:3634877:3642027:-1 gene:LPERR01G05310 transcript:LPERR01G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAAAPAPAVGMGAAAAEPLAAVAEEGEEAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLETSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFHGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEELDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGTTDMDPSREPNGRDKHMHTVSSGDPMIQ >LPERR01G05320.1 pep chromosome:Lperr_V1.4:1:3646842:3653973:1 gene:LPERR01G05320 transcript:LPERR01G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSPLNYNFYYVECCIGTSKLFLGHHNGDYIEMIDDEADFGNIIDASAEGQTAAKFRPKVRTKPRKTSVPSRSLASQPTVESTDAKVKILDKDNTSQEQSIDKKTALLGYHGSETFGDACASGGMLDIPSEDAVTVSLGLVSNPDGALDSSTVCASSVHKFSENEEHIDDSSHVATHKESMVVLDTQTPPTCSSGKTIDDLADFEGMCDVTQVEEERVAKFQPKIRAKTSKATSKSGRSDQKAGAFTGDVILQSKEDGKNHAGCSDKQLHPPTRHQESVQIPYSQAHLGTHDSTIDGIVNLDSIIEEPAQKEMAAKFQPNLRPKAGGASPGVAETSDATAVVTPAFGISSVDVVSQDAEEDSHREGFRDDSCQKHMDEEVITVSDTGPPQDLDATVDLDSHAEMLNSHPDGSLPIIGERSVEATFKFQSYVRRKKGKEKSVSFAPPNDSDVVTPTDTNSDRSNLSHFCQDITIGENLSNLPQKATEKLCINDEHHPDDQEYNDPENQFPEGEPSDHVIQQELKRDARETGTSMKLRSREKLQKDGIPEHIADDNMDEDFAEPPSEEQDNDSGDEYSARGKQRGRRKPRNKNIDKEPLRGTKRTLGDSTIEESQKQKVQKNKSKASSGGQKETSKDSSVEKPEKKLTHRIRQKRMKEVKTLLETPDHEINRMKLGVTHLRLLQEAKERIKGKEIPSGLSSSNHSSQFEDMDDGYNEQENWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSDTDLFYQGLRQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKRHPMQVHDAIMHRSRDNLYFKQVIKQLNIEDVQTDVNNTHGQEGTSNEKDPGKKNMSGEFINEEEENSSNWSDKDLGMHGSEFEEKEHFSANADDDLGDVFDWY >LPERR01G05320.2 pep chromosome:Lperr_V1.4:1:3646842:3654157:1 gene:LPERR01G05320 transcript:LPERR01G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSPLNYNFYYVECCIGTSKLFLGHHNGDYIEMIDDEADFGNIIDASAEGQTAAKFRPKVRTKPRKTSVPSRSLASQPTVESTDAKVKILDKDNTSQEQSIDKKTALLGYHGSETFGDACASGGMLDIPSEDAVTVSLGLVSNPDGALDSSTVCASSVHKFSENEEHIDDSSHVATHKESMVVLDTQTPPTCSSGKTIDDLADFEGMCDVTQVEEERVAKFQPKIRAKTSKATSKSGRSDQKAGAFTGDVILQSKEDGKNHAGCSDKQLHPPTRHQESVQIPYSQAHLGTHDSTIDGIVNLDSIIEEPAQKEMAAKFQPNLRPKAGGASPGVAETSDATAVVTPAFGISSVDVVSQDAEEDSHREGFRDDSCQKHMDEEVITVSDTGPPQDLDATVDLDSHAEMLNSHPDGSLPIIGERSVEATFKFQSYVRRKKGKEKSVSFAPPNDSDVVTPTDTNSDRSNLSHFCQDITIGENLSNLPQKATEKLCINDEHHPDDQEYNDPENQFPEGEPSDHVIQQELKRDARETGTSMKLRSREKLQKDGIPEHIADDNMDEDFAEPPSEEQDNDSGDEYSARGKQRGRRKPRNKNIDKEPLRGTKRTLGDSTIEESQKQKVQKNKSKASSGGQKETSKDSSVEKPEKKLTHRIRQKRMKEVKTLLETPDHEINRMKLGVTHLRLLQEAKERIKGKEIPSGLSSSNHSSQFEDMDDGYNEQENWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSDTDLFYQGLRQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKRHPMQVHDAIMHRSRDNLYFKQVIKQLNIEDVQTDVNNTHGQEGTSNEKDPGKKNMSGEFINEEEENSSNWSDKDLGMHGSEFEEKEHFSANADDDLGDVFDWY >LPERR01G05340.1 pep chromosome:Lperr_V1.4:1:3678719:3691573:1 gene:LPERR01G05340 transcript:LPERR01G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLGLLRLLLLVVVVGVAVGGGAGLARGGDAPDREAPDPYYSILTWHDYSPPSPPPPPPPPSAPAATCEGDLHGVGNFTSRCEVSEEVELDGDVYITGEGSLVLLAGAALTCQKPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKEGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGAGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGGGKMSASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGRSSGCPDNAGAAGTLYEAVPKSFTVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMQIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDTIEAQRLILSLFYSIKVGPGSILRGPLVNGSSDDVAPKLNCEDNICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVVHFNRARSVTVHTSGTISATGLGCRSGIGQGKMLNSGVSGGGGHGGRGGDGVYNESHAEGGSTYGSADLPCELGSGSGNDTTKFSTAGGGIIVMGSWEYSLPSLSLYGSVESNGESSTDVVTNASIGGPGGGAGGTILLFVRTLSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGISKGKGFPGENGTITGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCSQCPPDELPHRAMYISVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHACLRSCRSRALYEGLKVTAAPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQSTALGYCQLGLVVYAIGEPVGAELDGSPRIKIEQHSLTQNTNADTQLGHSRAKDALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFVCGLVHYKSSTKRHPSRQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAMLFYGIKER >LPERR01G05340.2 pep chromosome:Lperr_V1.4:1:3678719:3691573:1 gene:LPERR01G05340 transcript:LPERR01G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLGLLRLLLLVVVVGVAVGGGAGLARGGDAPDREAPDPYYSILTWHDYSPPSPPPPPPPPSAPAATCEGDLHGVGNFTSRCEVSEEVELDGDVYITGEGSLVLLAGAALTCQKPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKEGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGAGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGGGKMSASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGRSSGCPDNAGAAGTLYEAVPKSFTVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMQIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDTIEAQRLILSLFYSIKVCRVEDIDIWGLVQGTVVHFNRARSVTVHTSGTISATGLGCRSGIGQGKMLNSGVSGGGGHGGRGGDGVYNESHAEGGSTYGSADLPCELGSGSGNDTTKFSTAGGGIIVMGSWEYSLPSLSLYGSVESNGESSTDVVTNASIGGPGGGAGGTILLFVRTLSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGISKGKGFPGENGTITGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCSQCPPDELPHRAMYISVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHACLRSCRSRALYEGLKVTAAPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQSTALGYCQLGLVVYAIGEPVGAELDGSPRIKIEQHSLTQNTNADTQLGHSRAKDALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFVCGLVHYKSSTKRHPSRQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAMLFYGIKER >LPERR01G05350.1 pep chromosome:Lperr_V1.4:1:3692599:3694621:-1 gene:LPERR01G05350 transcript:LPERR01G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLLMNCLVAYRMEPEVFKSVASFLRIENSVRDTRGVRVEVKLAMFILPVNQTHWKITSNPRFFTYFKMLPLYFTI >LPERR01G05350.2 pep chromosome:Lperr_V1.4:1:3692441:3694960:-1 gene:LPERR01G05350 transcript:LPERR01G05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLLAAAASSPRGRPGGDASSPRRRLLLLNSTASSSQSPAATPPSMDIPWGRGLLPGPHFLLFLLLLGVVVDSSPSPSSPLLHRHRLVSSNAVDSSKSRSNAGGTMAMKGGWIWIISHKTHTTYHLKMVNTTMELTRSPIKLMKEMCLGTLL >LPERR01G05350.3 pep chromosome:Lperr_V1.4:1:3693168:3694960:-1 gene:LPERR01G05350 transcript:LPERR01G05350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLLAAAASSPRGRPGGDASSPRRRLLLLNSTASSSQSPAATPPSMDIPWGRGLLPGPHFLLFLLLLGVVVDSSPSPSSPLLHRHRLVSSNAVDSSNLPVNQTHWKITSNPRFFTYFKVQY >LPERR01G05360.1 pep chromosome:Lperr_V1.4:1:3696352:3700131:1 gene:LPERR01G05360 transcript:LPERR01G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLASRLGSGTGPARWSPYSRPSSDPAPRGGSTGTTSRPPLRVAPATARLLGPARKEDPAAATPGSRCRDARKQEPNMEMKSPNPKPTAEREEEPIVQKSRDGGGGFVFLCDLPGHTEAISGISLPAGSDKLYSGSTDGSVRIWDCNSGKVAGLSPLSALLPSGSLHAIQCVDIIKMGGKIGCMITHGTWVFFGITKSVEAWNTQTGMKFSLHGPSGLVCSMTIKDERLFAGTGDGRIMVWKFPDEKSDFGPVEILSGHERPVISLGVSATMLYSGSLDKTIKVWDLKTLKCVQTLCEHKAPVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHAEEHGLRTLFGMHRVGKTPFLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPQEEQPATPAPVK >LPERR01G05360.2 pep chromosome:Lperr_V1.4:1:3696352:3700131:1 gene:LPERR01G05360 transcript:LPERR01G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLASRLGSGTGPARWSPYSRPSSDPAPRGGSTGTTSRPPLRVAPATARLLGPARKEDPAAATPGSRCRDARKQEPNMEMKSPNPKPTAEREEEPIVQKSRDGGGGFVFLCDLPGHTEAISGISLPAGSDKLYSGSTDGSVRIWDCNSGKCVDIIKMGGKIGCMITHGTWVFFGITKSVEAWNTQTGMKFSLHGPSGLVCSMTIKDERLFAGTGDGRIMVWKFPDEKSDFGPVEILSGHERPVISLGVSATMLYSGSLDKTIKVWDLKTLKCVQTLCEHKAPVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHAEEHGLRTLFGMHRVGKTPFLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPQEEQPATPAPVK >LPERR01G05370.1 pep chromosome:Lperr_V1.4:1:3701472:3704789:-1 gene:LPERR01G05370 transcript:LPERR01G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYLLIATLIVASCQAHSTRGDAPFAASLPGDLVNSGKLRTDPNATVPASTDFGNITSAMPAAVLFPDSPTDIADLLRAAYAASPARAPLTVSFRGRGHSTMGQALAGGGVVVNMASMGGGGGGGGAARINVSEDGAYVDAGGEQTWIDVLHVATARGGVAPRSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVLELDVITGHGETVTCSKAVNPDLFNAVLGGLGQFGVITRARIAVEPAPSRTRWLRLLYDDFAAFSSDQQRLIAASPRSHDAPATPWSYVEGSAYVSGSRGAAGLAASLANAGFLSDADVARLLALAAARNATALYSIEATVNYGGNYSTWTAAEVDVAVAKAIGEMRFEAGFVFTRDVAYEEFLDRVRGEEVALDKVGMWRVPHPWLNLFVPASRIADFDRGVFKGILQGAANIAGPLIIYPVNKSKWDPAMSAVTPDEEVFYVVSLLFSSVANDLDFLEAQNKKILRFCDLAGIGYKEYLAHYTIRGDWVRHFGANWDRFVQRKDKYDPKKLLSPGQDIFN >LPERR01G05380.1 pep chromosome:Lperr_V1.4:1:3718942:3723011:-1 gene:LPERR01G05380 transcript:LPERR01G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNARTCPTRGGGGVRLFGVSLTSPAEVAMKKSASMSCIASSLGGGGGGGGGTSPPAGGGGGGGAVAGYASDDPTHASCSTNGRTERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVIEQLMLRSTRDEATSSNQLPISHLVKQKEPEFTRNLSDLQLRKHEESEFTEPSIAALDLEMNHTVPFKPKPVLTMPAFYPALIPVPLTLWPKNVGEPGTAHEILKPTPVNEKEVINKADEIVGMSKLSIGEGSSESTEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >LPERR01G05390.1 pep chromosome:Lperr_V1.4:1:3732565:3743051:1 gene:LPERR01G05390 transcript:LPERR01G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISKTNLNCISLGDPDTQKSVSLLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLSTDEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVAEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDYVVGLQICRDRNAQPQVWEYVAPVKGSTLHRVVLDGRERYSIAFFVEPRHDCIVECLPTCKSDTNPPKFPPITCSAYLTQRYKDTHADLTAYKA >LPERR01G05390.2 pep chromosome:Lperr_V1.4:1:3732565:3743051:1 gene:LPERR01G05390 transcript:LPERR01G05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISKTNLNCISLGDPDTQKSVSLLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLSTDEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVAEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDYVVGLQICRDRNAQPQVWEYVAPVKGSTLHRVVLDGRERYSIAFFVEPRHDCIVECLPTCKSDTNPPKFPPITCSAYLTQRYKDTHADLTAYKA >LPERR01G05400.1 pep chromosome:Lperr_V1.4:1:3737756:3743071:-1 gene:LPERR01G05400 transcript:LPERR01G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGAPVTSTCATTRLPPSPFYTPRPPPSHYLLPHALLPPDAIPIGGDARTPRTHATRHQPIPAASRSRRLLRRAHLAAMLSARTAATTSPLWKRGGGSEGGSSGNGNGKGSGCTSCREVRRRRAAAVRVRAASPRRVEAVAMESAAETEKEVVAAAGGGVEDKYGEDRATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLDVLRNWPERNIQCLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQAGTGIAELIALEISKQTKAPLEECRKKVWLLDSKGLIVNSRKDSLQSFKKPWAHDHEPVATLLDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVISGAVRVHEDMLLAASEALADQSTQENFEKGSIFPPFTDIRKISARIAAKVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >LPERR01G05400.2 pep chromosome:Lperr_V1.4:1:3737756:3743071:-1 gene:LPERR01G05400 transcript:LPERR01G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGAPVTSTCATTRLPPSPFYTPRPPPSHYLLPHALLPPDAIPIGGDARTPRTHATRHQPIPAASRSRRLLRRAHLAAMLSARTAATTSPLDKYGEDRATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLDVLRNWPERNIQCLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQAGTGIAELIALEISKQTKAPLEECRKKVWLLDSKGLIVNSRKDSLQSFKKPWAHDHEPVATLLDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVISGAVRVHEDMLLAASEALADQSTQENFEKGSIFPPFTDIRKISARIAAKVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >LPERR01G05410.1 pep chromosome:Lperr_V1.4:1:3745895:3746071:1 gene:LPERR01G05410 transcript:LPERR01G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMFPNHIGTRGWALLGNGMGTLRPRQEMEWIEDSGTAVVAVAANSNMRIVSATGT >LPERR01G05420.1 pep chromosome:Lperr_V1.4:1:3764294:3765096:-1 gene:LPERR01G05420 transcript:LPERR01G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAASFSPSRCVYGLYDGDFAKKKNPADALLAPKFLRSPALEVSPELGRRDVDARRGLEEERIEDFGCGGDGPGRTLGVGAAAAAPTGPSFGPASLGRCVRLRPPPRFSGRVGGSVAATTTCFDAAGGDFPA >LPERR01G05430.1 pep chromosome:Lperr_V1.4:1:3767424:3771090:1 gene:LPERR01G05430 transcript:LPERR01G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESFFQEAVIPEQRLVDGVAFPAVLVPTSAADVTNLDDFLTAVRSERPSRVEPLLRSAGAVLLRGFPARDAAGFDRAVDAFDYDELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLENDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRNVAEERAAKLGMKLEWTDDGGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADIIAGCGEILEEECVAVPWQQGDILVIDNWAVLHSRRSFVPPRRILASLCK >LPERR01G05430.2 pep chromosome:Lperr_V1.4:1:3766593:3771090:1 gene:LPERR01G05430 transcript:LPERR01G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESFFQEAVIPEQRLVDGVAFPAVLVPTSAADVTNLDDFLTAVRSERPSRVEPLLRSAGAVLLRGFPARDAAGFDRAVDAFDYDELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLENDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRNVAEERAAKLGMKLEWTDDGGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADIIAGCGEILEEECVAVPWQQGDILVIDNWAVLHSRRSFVPPRRILASLCK >LPERR01G05430.3 pep chromosome:Lperr_V1.4:1:3767424:3771090:1 gene:LPERR01G05430 transcript:LPERR01G05430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESFFQEAVIPEQRLVDGVAFPAVLVPTSAADVTNLDDFLTAVRSERPSRVEPLLRSAGAVLLRGFPARDAAGFDRAVDAFDYDELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLENDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRNVAEERAAKLGMKLEWTDDGGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADIIAGCGEILEEECVAVPWQQGDILVIDNWAVLHSRRSFVPPRRILASLCK >LPERR01G05430.4 pep chromosome:Lperr_V1.4:1:3767990:3771090:1 gene:LPERR01G05430 transcript:LPERR01G05430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESFFQEAVIPEQRLVDGVAFPAVLVPTSAADVTNLDDFLTAVRSERPSRVEPLLRSAGAVLLRGFPARDAAGFDRAVDAFDYDELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLENDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRNVAEERAAKLGMKLEWTDDGGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADIIAGCGEILEEECVAVPWQQGDILVIDNWAVLHSRRSFVPPRRILASLCK >LPERR01G05430.5 pep chromosome:Lperr_V1.4:1:3766623:3771090:1 gene:LPERR01G05430 transcript:LPERR01G05430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESFFQEAVIPEQRLVDGVAFPAVLVPTSAADVTNLDDFLTAVRSERPSRVEPLLRSAGAVLLRGFPARDAAGFDRAVDAFDYDELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLENDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRNVAEERAAKLGMKLEWTDDGGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADIIAGCGEILEEECVAVPWQQGDILVIDNWAVLHSRRSFVPPRRILASLCK >LPERR01G05440.1 pep chromosome:Lperr_V1.4:1:3777446:3780160:1 gene:LPERR01G05440 transcript:LPERR01G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRSSFGEATAAAESGLELSLGLPAYFSKPLSGRAEAGVNEEGSSGFALQSKGSNASKSRQSAAPVVGWPPVRSFRRNLASSSASRPSPPSSTSSPQQNGGAPPASKDGGGGVGSNKEHGQNNKGLFVKINMDGVPIGRKVDLTAYGGYADLSAAVDKLFRGLLAAQRNPADINGGEVDMAADAGGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPLSMMRASGSRKRGAADS >LPERR01G05450.1 pep chromosome:Lperr_V1.4:1:3782420:3784975:-1 gene:LPERR01G05450 transcript:LPERR01G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSKVKMLLSYVDKLPTGREEGLYYGLDLGGTNFRVLKVQLGGSKKHVVNTESREVTIPPPLMSGTSSELFGFIASELAKFVADEEENTNLSNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIVDAVGEDVVAELQTAMAKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGNMIYEKLTSGMYLGEIVRRVLLNLSLQSGIFGAIDNSKLKTHFHLRCSPYSAIPAQKTVLPSAEKSILYFKLDTKISVDVIYRTPHISAMHHDETPDLKVVAEKLHQALEITDTSLETRKMVVEICDIVSRRAARLAAAGVAGILMKLGRNVCVDKQRSVIAIDGGLFEHYTKFREYLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSQ >LPERR01G05460.1 pep chromosome:Lperr_V1.4:1:3788362:3790100:1 gene:LPERR01G05460 transcript:LPERR01G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNLWGGGGGSGSGKKEQGRSTAAAAPQPPPDRKRWSFAKSSRDSTEKDSTATAVGGNAAIAKAAEAAWLKSAAVEVVRLTSQGPPSSVFVRAGGGGRAFAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAIRAARSSRAAAAALHLHHHHPPFRPPRYSLQERYVDDTRSEHGAAAAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRASSVYDAGGEEWYATSVSSPLFAAPPPRISARHNFPEYEWEKPRPATAQSTPRLAAAAQYMMPATPTKSVCGGAGASPKYMSSTQSSEAKTRSQSAPKQRPDAVAGGGAARKRVPLSEVTLEARASLSGVGMQRSCNRVQEAFSFKSAVVSRFDRSSEQLAADRDRDLFLQRRW >LPERR01G05470.1 pep chromosome:Lperr_V1.4:1:3799330:3799704:1 gene:LPERR01G05470 transcript:LPERR01G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHGQRQSGGATSGSTDTGIHWHQAVTGVRHHSSMLRVDVTRLGIWAGVADGYRWRVLTAASTLVLAACGSAGGMPCVPAARGGERELLGIATGGGRRLRVRVASKDRRVGVSDDGSTRKSF >LPERR01G05480.1 pep chromosome:Lperr_V1.4:1:3810509:3813903:-1 gene:LPERR01G05480 transcript:LPERR01G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMRGPPSPVIPKEIASHVLEGIELCDGLQINDIEPFCQDEIVLYRQCAEKRDKEIREKMQDSEYKLGISMPLEEANERSTQLQSEVTLLERWMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALGHGMEKREN >LPERR01G05480.2 pep chromosome:Lperr_V1.4:1:3810511:3813596:-1 gene:LPERR01G05480 transcript:LPERR01G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQDTMEETILVGDDLMRGPPSPVIPKEIASHVLEGIELCDGLQINDIEPFCQDEIVLYRQCAEKRDKEIREKMQDSEYKLGISMPLEEANERSTQLQSEVTLLERWMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALGHGMEKREN >LPERR01G05490.1 pep chromosome:Lperr_V1.4:1:3814130:3816404:1 gene:LPERR01G05490 transcript:LPERR01G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVSAYLMAVLAGNSNPTAEDLTTILESVGCEIDDERMELLLSQVSGKDITELLAAGREKFASVPSGGGGVAVAAAAPASGGAGGAPAAEAKKEEKAEEKEESDDDMGFSLFD >LPERR01G05500.1 pep chromosome:Lperr_V1.4:1:3817307:3818440:-1 gene:LPERR01G05500 transcript:LPERR01G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSDPHPPSSPAAAISSVLAADDLLREILLRLSFPTTLVRAALVSRRWLRLASDPSFLRRFSARHPPRLLGFYHTAGDDERTHDEVPGFVLLPDPPPELAVALRRRDWFRLDPGASGLDVHAILKSRNGRFLVVKSSQYGPHVSIISPMRCSAAREEPPALPFILKLPRKQGEIVHDSCISIILPEDGGDDSSFTFVEFLRDDQEMFAKIVSVEAGVLDLNNVRKSASIQIQTSMRNTTSIGSNLLVNGTLYQLGEKEHIIGLNLASMELFLIKFPDGVEQLDQMGNLELLRAGDSGFYLIHLKGFQIHVWLCTADCGDGGGDWELVDTVCLRQLFGQIAEPNWESGDDVIVLHKVEDNVEVLLQINRVISTYIS >LPERR01G05510.1 pep chromosome:Lperr_V1.4:1:3821524:3821706:1 gene:LPERR01G05510 transcript:LPERR01G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRWPSMSQSRYSSTYSCHDMPPFLYLPTNLCLLDRLPPLPLCVAIAIHCGQHLNI >LPERR01G05520.1 pep chromosome:Lperr_V1.4:1:3822689:3823880:-1 gene:LPERR01G05520 transcript:LPERR01G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLLLLLSLFSAVAAAGGDDVGIPVGDGDYCDSWRLGVEAHNVRGWTTVPRKCDNYVENYMRGHHYRRDSAVAADEAISFAQTLQLAADANATWVFDVDDTALSHVKFYKKHGFGYHRTDEPLFLEWLVAGKASALPNTLRLYKKLLLLGIKIVFLSDRPDTPELRNATATNLIKEGFDCWDELILRSENSTTTGSVVEYKSGERSKLEEEKGMVIVGNIGDQWSDLLGSPEGQRTFKLPNPAYYIDNYMHAGVRSVATTAATATSSSYSS >LPERR01G05530.1 pep chromosome:Lperr_V1.4:1:3831534:3836824:-1 gene:LPERR01G05530 transcript:LPERR01G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLFPYLCSLLYSQLARRTSTHACENSLPDACESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEREGELVVCKIFYQTQPRQCSWSTTTSDRGGAVAATTAPAAQQEQRRRDSGSGSCSSRDHEAVSATSYPAGYAVAAAVEMQHLKHAGDHFSFAPFRKSFEEVGIGGDQAPSNHLAGRSEQQHAGQEQQPHRPLLATTTALPATAFLISRATNPVSTIVPPAMQHASVVLDHDQFHQQQQQKLDRRSAGLEELIMGCTSSSTKGETSIPHSQETEWPYPSYWTPDNQDHHG >LPERR01G05540.1 pep chromosome:Lperr_V1.4:1:3841535:3847326:1 gene:LPERR01G05540 transcript:LPERR01G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGALKRHGLDGQMLNIAIRTASTSVAQSSSGGFWTWLTGARSNALPPPDFKLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEEPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKASANREMMGYSYAALKTYTPEMVEVLIDCVRNPAFLDWEVKEQITKLKAELAEASSNPETLLLEALHATGYSGALANPLIASESSVSRLNTNVLEDFIAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPSVTGPAKPKSTYVGGEYRRTADSLNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTFSWGRHGKGLHSRLNHLANEFDQIKSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGQVDQTQLDRAKATAKSAILMNLESKASATEDMGRQVLAFGERKPVEHLLKVVDGVTLKDITALAEKIISSSLTMASHGNVLNVPTYDSVSGKFRSK >LPERR01G05550.1 pep chromosome:Lperr_V1.4:1:3854768:3859533:1 gene:LPERR01G05550 transcript:LPERR01G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPAPVVGVPEKKLLELKESRATAAAAAAPSPSMAAKWAMKKKLVGGDGGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVFFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDSIKHRGINQVYILGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNLVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSAVHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGSAAAV >LPERR01G05560.1 pep chromosome:Lperr_V1.4:1:3859415:3862548:-1 gene:LPERR01G05560 transcript:LPERR01G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAESRCVEEKVSPHSQEDKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELQRCKHFSERRTATYIASLARALIYLHGKHVIHRDIKPENLLMGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDNHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPPKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRGQ >LPERR01G05580.1 pep chromosome:Lperr_V1.4:1:3878791:3879798:-1 gene:LPERR01G05580 transcript:LPERR01G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGLTSGVPPWSSHLPVSGVDAGAGDEMTPYLLAALREYLPSNSDIGGDDEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDSCEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPPTTQQMSSPRSPVAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPRSPPSESPPLSPDGAAYGSPKAAAAAGGIYSLPSTPLSSTAASFVPNLEPIDLGLIGGEEPVKRVESGRALREKVFERLSRDGAVSVSGDSAAAAAGVGVDVAWVSDLIN >LPERR01G05590.1 pep chromosome:Lperr_V1.4:1:3894931:3910000:-1 gene:LPERR01G05590 transcript:LPERR01G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDSTNNDGRSQPTNAQHADRPTHVGGCGPLPIRRVAGCPLLRRRCYRRLCMEELSLDVNMPVAELKAFKFSLMTSSDMEKFSSASIIEMCDTTNAKLGLPNGEPQCATCGSRSVRDCDGHFGVIKLAATVHNPYFLEEAVQLLNQICPGCLTLKPNGDTKGDDGTTVQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGKVTYKSKNKAPHETLPQDFWDFIPDDNQPPQSNTTKKILSPYQVFHMLKKLDPELINQITPRRELLFLSCLPVTPNCHRVAEIPYGHSDGPRLTFDDRTKAYKRMVDLYSKKSDGESFTSTDTYGTKWLKEIILSKRSDNAFRSIMVGDPKINLNEIGIPVDLALNLVVCEQVSSYNFETINLKCNLHLLAKEVLLVRRDGKLIFVQKANKLEIGDIAYRLLQDGDIVLVNRPPSVHQHSLIALSAKLLPIHSAVSINPLCCDPFKGDFDGDCLHGYVPQSLQSRVELEQLVSLSHQLLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQMLCSSVSFTPMPSVIKSANSQGPLWTGKQLFGVLLPSGMNISFDQKLLIKDSEVLTCPSGSFWLQNNASSLFSTMFKQYGCKAVEFLSFTQDVLCEFLTMRGLSVSLSDLHLFSDHYSRRKLSEEVHLALDEAEDAFRIKQILLNPVSIPNQNYYDGPDDLSDSYGQSGFTQVSLPIIKASVTSFKSVFNDMLKMVQQYVSKDNSMMTMINSGSKGSILKFVQQTACVGLQLPASKFPFRIPKELSCISWNRHKSLSSEITDNTSEYMGGQSLYAVIRNSFLDGLNPLECLLHAISGRANFFGENAEVPGTLTRKLMYHLRDTYVAYDGTVRSSFGQQIVQFSYDTADGMHNDHYLEGEPGDPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKNRYGFEYASLEVKDHLERVDFSDLVDTVMILYGGSDMEKTEGNSWITHFHLSQETMKIKRLGLEFIVGELVDQYNALRKQLNNAIPSVCVSNSTCSVGNECIKNQTCCITMLVQVESSSTSQLDIMERVIPSILATKLKGFLEFKNVKVQCHQDNELVVKVSMSEHCKSGKFWATLQNACIPIMELIDWERSQPEKVYDIFCSYGIESARKFFVESLRSTTDAIGKNIRRQHLLVVADCLCVSGQFHGLSSQGLKQQRTWLSISSPFSEACFSRPAHSFINAAKRDSVDNLSGALDAIAWGKEPYAGTSGPFKILYSGKSHETKQSKNVYDFLHNPEIQAFEKNAMATYRKRTERKNKQRSALNSEGNVDQKFLDAKVGIWENIIDMRTCLQNMLREYTLNAIVTEQDKSCLIEALKFHPRGNDKIGAGIREIKIGVNPSHLNSRCFIVLRNDDTTADFSYKKCVLGAANSVSPELGCYIEKILSNRAIRSHQL >LPERR01G05590.2 pep chromosome:Lperr_V1.4:1:3894931:3910000:-1 gene:LPERR01G05590 transcript:LPERR01G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDSTNNDGRSQPTNAQHADRPTHVGGCGPLPIRRVAGCPLLRRRCYRRLCMEELSLDVNMPVAELKAFKFSLMTSSDMEKFSSASIIEMCDTTNAKLGLPNGEPQCATCGSRSVRDCDGHFGVIKLAATVHNPYFLEEAVQLLNQICPGCLTLKPNGDTKGDDGTTVQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGKVTYKSKNKAPHETLPQDFWDFIPDDNQPPQSNTTKKILSPYQVFHMLKKLDPELINQITPRRELLFLSCLPVTPNCHRVAEIPYGHSDGPRLTFDDRTKAYKRMVDLYSKKSDGESFTSTDTYGTKWLKEIILSKRSDNAFRSIMVGDPKINLNEIGIPVDLALNLVVCEQVSSYNFETINLKCNLHLLAKEVLLVRRDGKLIFVQKANKLEIGDIAYRLLQDGDIVLVNRPPSVHQHSLIALSAKLLPIHSAVSINPLCCDPFKGDFDGDCLHGYVPQSLQSRVELEQLVSLSHQLLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQMLCSSVSFTPMPSVIKSANSQGPLWTGKQLFGVLLPSGMNISFDQKLLIKDSEVLTCPSGSFWLQNNASSLFSTMFKQYGCKAVEFLSFTQDVLCEFLTMRGLSVSLSDLHLFSDHYSRRKLSEEVHLALDEAEDAFRIKQILLNPVSIPNQNYYDGPDDLSDSYGQSGFTQVSLPIIKASVTSFKSVFNDMLKMVQQYVSKDNSMMTMINSGSKGSILKFVQQTACVGLQLPASKFPFRIPKELSCISWNRHKSLSSEITDNTSEYMGGQSLYAVIRNSFLDGLNPLECLLHAISGRANFFGENAEVPGTLTRKLMYHLRDTYVAYDGTVRSSFGQQIVQFSYDTADGMHNDHYLEGEPGDPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKNRYGFEYASLEVKDHLERVDFSDLVDTVMILYGGSDMEKTEGNSWITHFHLSQETMKIKRLGLEFIVGELVDQYNALRKQLNNAIPSVCVSNSTCSVGNECIKNQTCCITMLVQVESSSTSQLDIMERVIPSILATKLKGFLEFKNVKVQCHQDNELVVKVSMSEHCKSGKFWATLQNACIPIMELIDWERSQPEKVYDIFCSYGIESARKFFVESLRSTTDAIGKNIRRQHLLVVADCLCVSGQFHGLSSQGLKQQRTWLSISSPFSEACFSRPAHSFINAAKRDSVDNLSGALDAIAWGKEPYAGTSGPFKILYSGKSHETKQSKNVYDFLHNPEIQAFEKNAMATYRKRTERKNKQRSALNSEGNIGVNPSHLNSRCFIVLRNDDTTADFSYKKCVLGAANSVSPELGCYIEKILSNRAIRSHQL >LPERR01G05590.3 pep chromosome:Lperr_V1.4:1:3894931:3910000:-1 gene:LPERR01G05590 transcript:LPERR01G05590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDSTNNDGRMEELSLDVNMPVAELKAFKFSLMTSSDMEKFSSASIIEMCDTTNAKLGLPNGEPQCATCGSRSVRDCDGHFGVIKLAATVHNPYFLEEAVQLLNQICPGCLTLKPNGDTKGDDGTTVQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGKVTYKSKNKAPHETLPQDFWDFIPDDNQPPQSNTTKKILSPYQVFHMLKKLDPELINQITPRRELLFLSCLPVTPNCHRVAEIPYGHSDGPRLTFDDRTKAYKRMVDLYSKKSDGESFTSTDTYGTKWLKEIILSKRSDNAFRSIMVGDPKINLNEIGIPVDLALNLVVCEQVSSYNFETINLKCNLHLLAKEVLLVRRDGKLIFVQKANKLEIGDIAYRLLQDGDIVLVNRPPSVHQHSLIALSAKLLPIHSAVSINPLCCDPFKGDFDGDCLHGYVPQSLQSRVELEQLVSLSHQLLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQMLCSSVSFTPMPSVIKSANSQGPLWTGKQLFGVLLPSGMNISFDQKLLIKDSEVLTCPSGSFWLQNNASSLFSTMFKQYGCKAVEFLSFTQDVLCEFLTMRGLSVSLSDLHLFSDHYSRRKLSEEVHLALDEAEDAFRIKQILLNPVSIPNQNYYDGPDDLSDSYGQSGFTQVSLPIIKASVTSFKSVFNDMLKMVQQYVSKDNSMMTMINSGSKGSILKFVQQTACVGLQLPASKFPFRIPKELSCISWNRHKSLSSEITDNTSEYMGGQSLYAVIRNSFLDGLNPLECLLHAISGRANFFGENAEVPGTLTRKLMYHLRDTYVAYDGTVRSSFGQQIVQFSYDTADGMHNDHYLEGEPGDPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKNRYGFEYASLEVKDHLERVDFSDLVDTVMILYGGSDMEKTEGNSWITHFHLSQETMKIKRLGLEFIVGELVDQYNALRKQLNNAIPSVCVSNSTCSVGNECIKNQTCCITMLVQVESSSTSQLDIMERVIPSILATKLKGFLEFKNVKVQCHQDNELVVKVSMSEHCKSGKFWATLQNACIPIMELIDWERSQPEKVYDIFCSYGIESARKFFVESLRSTTDAIGKNIRRQHLLVVADCLCRPAHSFINAAKRDSVDNLSGALDAIAWGKEPYAGTSGPFKILYSGKSHETKQSKNVYDFLHNPEIQAFEKNAMATYRKRTERKNKQRSALNSEGNVDQKFLDAKVGIWENIIDMRTCLQNMLREYTLNAIVTEQDKSCLIEALKFHPRGNDKIGAGIREIKIGVNPSHLNSRCFIVLRNDDTTADFSYKKCVLGAANSVSPELGCYIEKILSNRAIRSHQL >LPERR01G05600.1 pep chromosome:Lperr_V1.4:1:3910021:3910435:-1 gene:LPERR01G05600 transcript:LPERR01G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAESSKRQGHNSQAGPDYGRPRVSVMNGTDRGPAQACCAEPPAARRPPGRWPPPFPLPTTVTSTPPAAVAQFAAAVAQPASTAVAAPPGRRSAPPRDG >LPERR01G05610.1 pep chromosome:Lperr_V1.4:1:3913701:3914866:1 gene:LPERR01G05610 transcript:LPERR01G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPANGRSARSTTAEESEYSLIITGLSTNATTADITIAKSPDGRYNLTEGWKEFITKADIKEGQTCAFHLYKKNGKVELMVMTL >LPERR01G05620.1 pep chromosome:Lperr_V1.4:1:3916895:3923460:-1 gene:LPERR01G05620 transcript:LPERR01G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTVATAVSSPTRPTANLAPKVADLACCAADPEVADTPVADPACCAADPDVANLPAKAAGRRSRAVVPAPLIRRPSRMSHARPSILRASAELLLLLCNMLSRRSQRKAAARGVGVRDMGCGAATSSVLVPAFPRRIFSTATRCVAGWSRRRPPTSSGCTGLLTRRYTAAPPHLSRGVGRGCRHFHLSSASAGDHLAHALCQTPPISASNLKIWVRLLCPTGKAGCNDGIVLGLSWLVDQVTNSKRIKAMSSKFGYFILSERCGAEEAVTTWLNVGDDDPQPYPRWQMGPLEFHMPTPNVAD >LPERR01G05620.2 pep chromosome:Lperr_V1.4:1:3916895:3923460:-1 gene:LPERR01G05620 transcript:LPERR01G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTVATAVSSPTRPTANLAPKVADLACCAADPEVADTPVADPACCAADPDVANLPAKAAGRRSRAVVPAPLIRRPSRMSHARPSILRASAELLLLLCNMLSRRSQRKAAARGVGVRDMGCGAATSSVLVPAFPRRIFSTATRCVAGWSRRRPPTSSGCTGLLTRRYTAAPPHLSRGVGRGCRHFHLSSASAGDHLAHALCQTPPISASNLKIWVRLLCPTGKAGCNDGIVLGLSWLVDQVTNSKIEEFVLLWTSTIFATILRNSFSFGYFILSERCGAEEAVTTWLNVGDDDPQPYPRWQMGPLEFHMPTPNVAD >LPERR01G05620.3 pep chromosome:Lperr_V1.4:1:3916895:3920571:-1 gene:LPERR01G05620 transcript:LPERR01G05620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSYWVSHGWLIRSLTARFGYFILSERCGAEEAVTTWLNVGDDDPQPYPRWQMGPLEFHMPTPNVAD >LPERR01G05620.4 pep chromosome:Lperr_V1.4:1:3916895:3920658:-1 gene:LPERR01G05620 transcript:LPERR01G05620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVRRHQFQPQTLKSGFGFYAQLGRQDAMTGSYWVSHGWLIRSLTARFGYFILSERCGAEEAVTTWLNVGDDDPQPYPRWQMGPLEFHMPTPNVAD >LPERR01G05620.5 pep chromosome:Lperr_V1.4:1:3921202:3923460:-1 gene:LPERR01G05620 transcript:LPERR01G05620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTVATAVSSPTRPTANLAPKVADLACCAADPEVADTPVADPACCAADPDVANLPAKAAGRRSRAVVPAPLIRRPSRMSHARPSILRASAELLLLLCNMLSRRSQR >LPERR01G05630.1 pep chromosome:Lperr_V1.4:1:3931909:3933508:-1 gene:LPERR01G05630 transcript:LPERR01G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGHRSIQESGGGGGVRLFGVQLHVGGSPLKKCFSMECLSSSPSPSPSYYAAAAVAASSSSPTVSSSSSLVSVEEAAGEKMASGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVPTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVVEDAQRAPSSVNERLNLKDGTTNSVPEMGFPALSLGISNRPKPEAMLPPPSLTLTPSCSPAVSSSTPPRIHHPSSLKATKPQVQLQAPDLELKISTARQTDQTGSSPRTSFFGTIRVT >LPERR01G05640.1 pep chromosome:Lperr_V1.4:1:3940009:3942525:-1 gene:LPERR01G05640 transcript:LPERR01G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERICFGGKICLAFKDCSSAQRLRRCCFTIFVFTTSLLKVMRVLQLGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRERVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINKRHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDQRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLESSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >LPERR01G05650.1 pep chromosome:Lperr_V1.4:1:3959938:3961406:-1 gene:LPERR01G05650 transcript:LPERR01G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAVAGRSPPAAPPLSKVATSALHGEDSPYFAGWKAYDEDPYDAASNPDGVIQMGLAENQVSFDLLESYLLRYPDAAATGGAGAGSFRENALFQDYHGMKSFRTAMASFMGKIRGGKARFDPDRIVLTAGATAANELLTFIFADPGDALLIPTPYYPGFDRDLRWRTGVNIVPVRCDSSNGFQVTPAALEAARDAAADAGMRVRAVLITNPSNPLGTTATRAALDAILDFVSRHVDIHLISDEIYSGSVFSSPDLVSVAELVAESRRGGDVAERVHIVYSLSKDLGLPGFRVGVVYSYNDEVVRTARRMSSFTLVSSQTQRTLAAMLADEAFAGEYIATNRERLRARHGHVVARLARAGVPCLRGNAGLFVWMDMRRLLAGGDGDGDGELRLWERMLREVKLNISPGSSCHCSEPGWFRVCFANMSLDTLDLALDRISSFMDRWNKAKGQQQQH >LPERR01G05660.1 pep chromosome:Lperr_V1.4:1:3983665:3987293:1 gene:LPERR01G05660 transcript:LPERR01G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPPPAPSSPSSPGTPSPEIAEVTTTPSVEAPPSVEAPAPSDEPEEEEAGVKPDRQSSSSSSSSSSSSSSSSNASKAEKNLSQIDAAVESTTIPLVEVVTTSPENEPVASGDGGTVVRQPDDAAVTVSGDGGGEVRPDDWATWPQDGGGEVLLPTPATTTTQAAPEVQTMAQPSPVVAAGFDPERIPASIFQAKPAASSQAEWSVASNESLFSIHGARPSTDLCGFIAGESRSHFDYFYDEAMASAGGGGDQPDWKLSTVAEGTERDAAVPGSATSDASDADKSTQKAVAFRRHDSGSAGSSSNFSFAFPILPETTTSPRKRDYGGGVGMYRPLKKEVEQQQQPPTAMPVPTFEEMTTEEERRRRRSGEFWWWWCCCGELCGCCWFACSWSSCCCCCRWQWWWRCCDCDCDCCSRCCSCPCPTLCRCNWCLCF >LPERR01G05670.1 pep chromosome:Lperr_V1.4:1:3986291:3986810:-1 gene:LPERR01G05670 transcript:LPERR01G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKLTVDKLGSTIQVDGLMSLKKSADRVIGSKIPHAKNHGIVTLELELPTSTNSYDLFQAVKPDYLLQLFASINEVKFAPRFSREMMHTLILCTTSNRFTSCLRKVLVHLPPLNGIPLLEPLFSSCAPSCEVTILFHADSSDDIRLVVKSFWILRFPEIRWLWGTWN >LPERR01G05680.1 pep chromosome:Lperr_V1.4:1:3986930:3988502:-1 gene:LPERR01G05680 transcript:LPERR01G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGGDPFDSLPAAIAADILSLVADASDIAACRLASRPLLAASYACSRIRLRAAAGNVASLLGPHLRCLELDASEGRGHPDDAAWVEEGEFDEGDDLHLTAMEAVAAWAATAAGAALREVAIADYWPQSCWRKAEALPVISRFCHNLLKLRLRNAWLSVDGLRTMANLTHLTLEFIRLDDEDLTKLNECFPCLQILNLIGVEGSRTPRLTFIS >LPERR01G05690.1 pep chromosome:Lperr_V1.4:1:3990018:3994070:-1 gene:LPERR01G05690 transcript:LPERR01G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAFSPISAAFLRRRHRFPLHHRARRRLLAAVAARNEVLELTCEGLAFKGKGVCKPEGSTFVLLCDGALPGERLLARVRRIRRGAFAEAAKLRTLEPHHDAVEAPCPLAADCGGCKTQSLSYAAQVRHKYLQVRELLVNFGRFDPRKLESSDSDDGILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDDELVKEEKTEGDGYSLGLHAPGFFDKVLHVETCLLQSEPADKVLAIVQETWMDPALGLTPYDVHKHIGFLKHLMIRTGRNVDTGAPEVMVNFVTSCYKPELLGPLVNKITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLRGCYTLKTVIPVDMFPHTPHIEYDI >LPERR01G05700.1 pep chromosome:Lperr_V1.4:1:3996463:4008669:1 gene:LPERR01G05700 transcript:LPERR01G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGFDDRQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKKWKKVSASVGGKSADAVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSLKESDQMVSASGKRRKHGQATGQKEKELPHAHRSYLERRTYGLSSFKKRYYGDLVKNIPRHPSARRTPRVPVIFPADMNVADAARPEIENAINYTKKASEVINNELGRSPDGSSGISESAQVVQGQIFLETKGTGDSQILGGLKKRRIEQSMDQYQTIKDEHDTTVAAKEGKDLTDYQNLTGFFSPDEMLVLDVLESLVTVPNKISGPKINIPSGAVEKSDSTFPHRREEGPSKRSKQGKQVGECSASKTRTKRRKKLIAEAVPNHLNLPVEHQVDTTECALNSDPERGTLDLPESILNISTEVPDLPSQMKPEIYMSRRTKRKSKIQCRSNYDICNGADHLQARRLQHCLSSESLRIWCTYEWFYSAVDYPWFMDNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLVAEKEKLEDYREKVIVRHPRTRELCNGKVVMMEHGGYKVQFDRPDLGVDKVKDTDCMPVNWSDNLPNDHKKKSFLSNNSHNGGVEQISKLSSKENWNHISGEPESSKILYIPSDEQAEIAVDTEKLSNKSSSGNCGPLQPLQPVDEIHLSDSEYRQQPAKIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQILALVPTASSSARLPMYM >LPERR01G05700.2 pep chromosome:Lperr_V1.4:1:3996463:4008669:1 gene:LPERR01G05700 transcript:LPERR01G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGFDDRQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKKWKKVSASVGGKSADAVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSLKESDQMVSASGKRRKHGQATGQKEKELPHAHRSYLERRTYGLSSFKKRYYGDLVKNIPRHPSARRTPRVPVIFPADMNVADAARPEIENAINYTKKASEVINNELGRSPDGSSGISESAQVVQGQIFLETKGTGDSQILGGLKKRRIEQNEMLVLDVLESLVTVPNKISGPKINIPSGAVEKSDSTFPHRREEGPSKRSKQGKQVGECSASKTRTKRRKKLIAEAVPNHLNLPVEHQVDTTECALNSDPERGTLDLPESILNISTEVPDLPSQMKPEIYMSRRTKRKSKIQCRSNYDICNGADHLQARRLQHCLSSESLRIWCTYEWFYSAVDYPWFMDNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLVAEKEKLEDYREKVIVRHPRTRELCNGKVVMMEHGGYKVQFDRPDLGVDKVKDTDCMPVNWSDNLPNDHKKKSFLSNNSHNGGVEQISKLSSKENWNHISGEPESSKILYIPSDEQAEIAVDTEKLSNKSSSGNCGPLQPLQPVDEIHLSDSEYRQQPAKIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQILALVPTASSSARLPMYM >LPERR01G05700.3 pep chromosome:Lperr_V1.4:1:3996463:4008972:1 gene:LPERR01G05700 transcript:LPERR01G05700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGFDDRQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKKWKKVSASVGGKSADAVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSLKESDQMVSASGKRRKHGQATGQKEKELPHAHRSYLERRTYGLSSFKKRYYGDLVKNIPRHPSARRTPRVPVIFPADMNVADAARPEIENAINYTKKASEVINNELGRSPDGSSGISESAQVVQGQIFLETKGTGDSQILGGLKKRRIEQSMDQYQTIKDEHDTTVAAKEGKDLTDYQNLTGFFSPDEMLVLDVLESLVTVPNKISGPKINIPSGAVEKSDSTFPHRREEGPSKRSKQGKQVGECSASKTRTKRRKKLIAEAVPNHLNLPVEHQVDTTECALNSDPERGTLDLPESILNISTEVPDLPSQMKPEIYMSRRTKRKSKIQCRSNYDICNGADHLQARRLQHCLSSESLRIWCTYEWFYSAVDYPWFMDNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLVAEKEKLEDYREKVIVRHPRTRELCNGKVVMMEHGGYKVQFDRPDLGVDKVKDTDCMPVNWSDNLPNDHKKKSFLSNNSHNGGVEQISKLSSKENWNHISGEPESSKILYIPSDEQAEIAVDTEKLSNKSSSGNCGPLQPLQPVDEIHLSDSEYRQQPAKIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQILALVPTASSSARLPMLPADEDVRTEKKTPRSGRPGRGAAASSTSKRNRLASPPAAAAAVASQVTAPSAATGSTEVTPRPHLPRIICEIPPPATGHFAFTAATAPAHAAGNPSSLVGPTLTPHRRRGALLSTMSPSSTSPRRRRRRRRRQVLSFSLSRFGR >LPERR01G05700.4 pep chromosome:Lperr_V1.4:1:3996463:4007207:1 gene:LPERR01G05700 transcript:LPERR01G05700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGFDDRQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKKWKKVSASVGGKSADAVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSLKESDQMVSASGKRRKHGQATGQKEKELPHAHRSYLERRTYGLSSFKKRYYGDLVKNIPRHPSARRTPRVPVIFPADMNVADAARPEIENAINYTKKASEVINNELGRSPDGSSGISESAQVVQGQIFLETKGTGDSQILGGLKKRRIEQSMDQYQTIKDEHDTTVAAKEGKDLTDYQNLTGFFSPDEMLVLDVLESLVTVPNKISGPKINIPSGAVEKSDSTFPHRREEGPSKRSKQGKQVGECSASKTRTKRRKKLIAEAVPNHLNLPVEHQVDTTECALNSDPERGTLDLPESILNISTEVPDLPSQMKPEIYMSRRTKRKSKIQCRSNYDICNGADHLQARRLQHCLSSESLRIWCTYEWFYSAVDYPWFMDNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLVAEKEKLEDYREKVIVRHPRTRELCNGKVVMMEHGGYKVQFDRPDLGVDKVKDTDCMPVNWSDNLPNDHKKKSFLSNNSHNGGVEQISKLSSKENWNHISGEPESSKILYIPSDEQAEIAVDTEKLSNKSSSGNCGPLQPLQPVDEIHLSDSEYRQQPAKIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQILALVPTASSSARLPMLPADEDVYGITCPSILPHPDGDNH >LPERR01G05700.5 pep chromosome:Lperr_V1.4:1:3996463:4009005:1 gene:LPERR01G05700 transcript:LPERR01G05700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGFDDRQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKKWKKVSASVGGKSADAVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSLKESDQMVSASGKRRKHGQATGQKEKELPHAHRSYLERRTYGLSSFKKRYYGDLVKNIPRHPSARRTPRVPVIFPADMNVADAARPEIENAINYTKKASEVINNELGRSPDGSSGISESAQVVQGQIFLETKGTGDSQILGGLKKRRIEQSMDQYQTIKDEHDTTVAAKEGKDLTDYQNLTGFFSPDEMLVLDVLESLVTVPNKISGPKINIPSGAVEKSDSTFPHRREEGPSKRSKQGKQVGECSASKTRTKRRKKLIAEAVPNHLNLPVEHQVDTTECALNSDPERGTLDLPESILNISTEVPDLPSQMKPEIYMSRRTKRKSKIQCRSNYDICNGADHLQARRLQHCLSSESLRIWCTYEWFYSAVDYPWFMDNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLVAEKEKLEDYREKVIVRHPRTRELCNGKVVMMEHGGYKVQFDRPDLGVDKVKDTDCMPVNWSDNLPNDHKKKSFLSNNSHNGGVEQISKLSSKENWNHISGEPESSKILYIPSDEQAEIAVDTEKLSNKSSSGNCGPLQPLQPVDEIHLSDSEYRQQPAKIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQILALVPTASSSARLPMYM >LPERR01G05710.1 pep chromosome:Lperr_V1.4:1:4005620:4008884:-1 gene:LPERR01G05710 transcript:LPERR01G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRAPRRRCGVRVGPTRLEGLPAAWAGAVAAVKAKWPVAGGGISQMILGRWGRGVTSVEPVAADGAVTWDATAAAAAGGEANRFRFDVELAAAPRPGRPERGVFFSVLYGFQEQGRGKDLVKLEEIGTAMISLEECCWEMQLQQQQQQKRGAPHQQLVVVPIRVRKDGWASDAILYVNVELVDLNSPSENISRTVSLRESKTRPIPTPTMHNNRKSSLETGGGTYQEDDEAIGGWESRELTSRDGETKLKTAVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDDAHMALFPNRHFDLDTVLAASTATVAVQLDRAFVGFFQPESFASLSGAMSFDDIWREIAASADAIAAGEPGRADVYIVSWNDHFFVLKVEGDCYHVIDTLGERLFEGCDKAYMLRFDASSEMRLSLSPEEEEGEVIVTGKDCCREFIKRFLAAIPLREELEMEKKGCADAAPHRRLQIEFHFTVLKQQEQATR >LPERR01G05720.1 pep chromosome:Lperr_V1.4:1:4018862:4021172:-1 gene:LPERR01G05720 transcript:LPERR01G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPDREDCKDKLQVPSVNNGGNKGRKWKLWRSSSGDHGSLWRGSRGGHHRSASSDAASDDTSSIADAFTAAVATVARAPAKDFMAVRQEWASIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAEEGWCDTQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGAMKCNQQPKPTSYGRLNQSGMLLKHQNFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKICEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSVRARPNYMNMTESIKAKQKACNAQRNSAVKQLEDRKVSGVERKVAQA >LPERR01G05730.1 pep chromosome:Lperr_V1.4:1:4027216:4031303:-1 gene:LPERR01G05730 transcript:LPERR01G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSSHVTTSFSDSDSASVAGGDADAAAPDAERRLLDVLDRVEVDNLPVILSVANLCDKSCIKLLERCLDMVVRSNLDMITLEKSLPPDVIKQIIEARLSLGLISPEDKGFPNKHVRRIQRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADLTFDGRKAVQISKRLTKHGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGDSLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLSSGANLPPEIKQTAVDLNDSPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDPSAEKRKRFHDLQDVLRKAFDEDKEEYDRSALSSSSSSTSIGAIRPRR >LPERR01G05740.1 pep chromosome:Lperr_V1.4:1:4043762:4044118:1 gene:LPERR01G05740 transcript:LPERR01G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPRLTMGAAGEDQEEAPAARVGRLVRESPVVVFARRGCYMAHVMRRLLAAVGAHAVVIELDAGAAEEEAVAAGAALPALFVGGDPVGGGLEGLMGLHLSGRLVPRLREVGALCA >LPERR01G05760.1 pep chromosome:Lperr_V1.4:1:4057633:4060638:1 gene:LPERR01G05760 transcript:LPERR01G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKDLAEIQQQQLMLAADENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPKTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEPGCVHHEPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAQQQQVGILFAGGGGGGGGLQMPAVVDQVAAMGMMQEICLKREQQQQFVPSWMEMMGGVGGGAAMYGAAPAARMEQESFMGSSTPSESGGGGGGGGAQVGVMSFGGFSSSSSAAAPAPPLAGVGGAAAAHMSATALLQKAAQMGATLSRPSNQGQMAPATTATAAAPTSNATSTSVVAGGGAGGYGLAFDASRFVGADDRSATRSDRDTGNGGGGGAVAGAGNDGMTRDFLGLRAFSHGDIMSMAGFDPCLSTSASPAAAYEQHHHHSSNKPWHG >LPERR01G05770.1 pep chromosome:Lperr_V1.4:1:4064854:4068645:-1 gene:LPERR01G05770 transcript:LPERR01G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNVASKGGGGVGGGRSGKTSADRSAPVIDGGAALILPFLLRSSVSSQITLKSCVHSPPNAAHTGSFVYIIMKQWDAQSITQLVDVVAQQKEQCYWGDRGPSSLGWVNIVRYFKDNNTRGFDKKQIQSKWVDLKRQYFRWRDGLKQTGLGRDPNTGEIDVDPVWVSAVEGDSAGEKYKRPPCCEQLYHIFGHTPCDRGRLVSAGGHGFGSGSSDESPAMPRDLSDTPMPPRSIGQSSKRSSREQSVCSPHKKSSKTPSLDDCIQDLDTLVRDYKRHKICYQMHHNR >LPERR01G05770.2 pep chromosome:Lperr_V1.4:1:4065538:4068645:-1 gene:LPERR01G05770 transcript:LPERR01G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNVASKGGGGVGGGRSGKTSADRSAPVIDGGAALILPFLLRSSVSSQITLKSCVHSPPNAAHTGSFVYIIMKQWDAQSITQLVDVVAQQKEQCYWGDRGPSSLGWVNIVRYFKDNNTRGFDKKQIQSKWVDLKRQYFRWRDGLKQTGLGRDPNTGEIDVDPVWVSAVEGDSAGEKYKRPPCCEQLYHIFGHTPCDRGRLVSAGGHGFGSGSSDESPAMPRDLSDTPMPPRSIGQSSKRSSREQSVCSPHKKSSKTPSLDDCIQDLDTLVRDYKRHKSSRDIENEEMAKVPLQEE >LPERR01G05770.3 pep chromosome:Lperr_V1.4:1:4064854:4065448:-1 gene:LPERR01G05770 transcript:LPERR01G05770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPKSPCKKSSKTPSLDDCIQDLDTLVRDYKRHKSSRDIENEEMAKLHQILREDGFSESDLICYQMHHNR >LPERR01G05780.1 pep chromosome:Lperr_V1.4:1:4068920:4075897:-1 gene:LPERR01G05780 transcript:LPERR01G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLACCAVLLLSSVLGSRGTVLDPSPAAANSPVAQEQPSSPPEPTIALGPVTLPTAPSAPSASPPAEKGAVSPAVPTQPQNAPSPVTPPKEYNAPPPVELTPPAPTNVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVVRKPNLPIVPPPSVNNPPSTPIGSAPIIAQAPHQQALAPSSDHSNGNSVPPAKASPPHKNNHIARAPPPKESSSQTGTAHKLPIRGSAPAASPLPQNTNMPAIPKNGSSVSHVRPPPTVAAPKPATSSRYHSRGGVPKKGEHLPFAPSYPPSHAQGPEVSRAPRQAGAKRHHAPPPMFQGRTNLPARSPSSAPVSSRTPHHSNKRPHISPTLPPIPPQAGPKAPSAHPIWALPPPPPNLDCNSLTCPEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSDFAEEVSSGVNMVRRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFENLWSKKISLKPSIFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVSRPKRKGNGSLIAIVVLSTVIALIICSLAAWLLTIRFRGSDGLAQRFPHNALPKFSRSSGTGHTLLAGRYSSPSGPSGSLGSSIATYAGQAKTYKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAELEMLGRLHHRNLVKLLGICVEENTRCLVYELIPNGSVESHLHGADRDTAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPDVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDDGLGSGSFSQELAAQATAVYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNKKFWQRLRSLSRGSMSEHGASPDFETRSQCRANESEPAPADRRRLAGAAELCCSILQGKRAAKALRPLYWAEMG >LPERR01G05780.2 pep chromosome:Lperr_V1.4:1:4068920:4075897:-1 gene:LPERR01G05780 transcript:LPERR01G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLACCAVLLLSSVLGSRGTVLDPSPAAANSPVAQEQPSSPPEPTIALGPVTLPTAPSAPSASPPAEKGAVSPAVPTQPQNAPSPVTPPKEYNAPPPVELTPPAPTNVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVVRKPNLPIVPPPSVNNPPSTPIGSAPIIAQAPHQQALAPSSDHSNGNSVPPAKASPPHKNNHIARAPPPKESSSQTGTAHKLPIRGSAPAASPLPQNTNMPAIPKNGSSVSHVRPPPTVAAPKPATSSRYHSRGGVPKKGEHLPFAPSYPPSHAQGPEVSRAPRQAGAKRHHAPPPMFQGPHISPTLPPIPPQAGPKAPSAHPIWALPPPPPNLDCNSLTCPEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSDFAEEVSSGVNMVRRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFENLWSKKISLKPSIFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVSRPKRKGNGSLIAIVVLSTVIALIICSLAAWLLTIRFRGSDGLAQRFPHNALPKFSRSSGTGHTLLAGRYSSPSGPSGSLGSSIATYAGQAKTYKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAELEMLGRLHHRNLVKLLGICVEENTRCLVYELIPNGSVESHLHGADRDTAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPDVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDDGLGSGSFSQELAAQATAVYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNKKFWQRLRSLSRGSMSEHGASPDFETRSQCRANESEPAPADRRRLAGAAELCCSILQGKRAAKALRPLYWAEMG >LPERR01G05790.1 pep chromosome:Lperr_V1.4:1:4090233:4090733:-1 gene:LPERR01G05790 transcript:LPERR01G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEVAGGERKRKRGVGVGDGEDDNDEEEVYEGIAEEEVEELMRWLEMEISPPAASDEISSSFSSAAAADDDPPAGFVTINGNEESCGPSFSAAASSVMASVDTRGGAPPAPPPLPWPLPPPPPATSSSAAAGDVAAESERDDDEWWLVELLTGGPALVVVEEA >LPERR01G05800.1 pep chromosome:Lperr_V1.4:1:4093813:4094739:-1 gene:LPERR01G05800 transcript:LPERR01G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPAATAPAAAGGGGPPKMYQRPIYRPQAPAKRRRGGGGGRSCRFSCCCCFFYAILVILLLAFIAAVAGGAFYLLYHPHRPAFTLSVAKVNKLTLSSSSAAAAAPTVTSSIDFTLTARNPNKKLVYLYDDFSVTAATAANAVPLGEGSAAGFVHDAGNITVVKATVSASALGVDPTTAGTDIKKSGEIAVTLDLETKAGVKVGGLKTKKIGVLVHCEGIKVAPPAPPPSPSPAKKKKGGVKLAVVSAAGAAAADAPSPSPADSDDDTTAAAAATTPSPPATAATVARVCQVRIRVKIWKWTF >LPERR01G05810.1 pep chromosome:Lperr_V1.4:1:4099129:4101184:-1 gene:LPERR01G05810 transcript:LPERR01G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKSAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAAGVYPEDLLVSSSSAAGCGGDKDVEKVGERLQGVGISAADGSSSAGDASASKQEEVKRLPGGKIKKKDKQEIVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVAAA >LPERR01G05820.1 pep chromosome:Lperr_V1.4:1:4111554:4113399:1 gene:LPERR01G05820 transcript:LPERR01G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSWIHGGYAHANGASNNGFMCGYASSCSPGEFQLKEQQQQQQLVSSQIQHHLNQISMHMSMDDDQSTVYDGAAMDDLFIPSGSFPSSSSSSASYRSTSVSYSADTSPATAAPHVLGAPAPAAGFIQFPEVSSHAPPYTGFSPPAASGGAFRRYARHLGPRRAVAKSGGGQRMFKTAMSVLSKMHVAAMAYSRQCYYQQQQAAAAAAEAAPSGNQLQHMISERKRREKLNDSFVALKSVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRELEARLASTAAPPAAAAATVEKGEEIVEIEVTSAGAGAGAGANGEDQESTCTLNVAVTTPPATSRGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >LPERR01G05830.1 pep chromosome:Lperr_V1.4:1:4124557:4127865:-1 gene:LPERR01G05830 transcript:LPERR01G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASSTPPRWRDLGLAPLKMIRRSFPQARAEINAKTSNFQESSLQLLLPAKLYSYIETVPGKFLLSTFFLILRCWEFEGIKIL >LPERR01G05840.1 pep chromosome:Lperr_V1.4:1:4128611:4130673:-1 gene:LPERR01G05840 transcript:LPERR01G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRPARRGAPAEPRRRLPPRAGEPGPLRHELRHRGPRRRVPLPPLAPGVAHRLPRLHGRVARPLLPPRRPHRALRPRRRRRRGPRRARRRHARPTAAHRRHRQHPLLAAHWGTARPAPRRAAQGGGQR >LPERR01G05850.1 pep chromosome:Lperr_V1.4:1:4133239:4141835:1 gene:LPERR01G05850 transcript:LPERR01G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFAAGDSPSPTAALLPHSHSGATPSPRPSSTSSRLHILLTAALAITTSYLLLHHHHRTPLSAAPAATARPQVKLEKPVVILISSDGFRFGYHHKAPLPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMASHESKWWLGEPLWVTAVDQGIQAATYFWPGSEVKKGGWDCPEKYCRHYNGSVPFEERVDSILGYFDLPSDELPQFMTLYFEDPDHQGHQVGPDDPAITEAVEHMDEMIGRLIDGLEARGVFEDVNIILVGDHGMVGTCDKKLVFLEELAPWIELEKDWVLSMTPLLAIRPPDDLLLQDVVSKMNEGLRSGKVENGEYLRMYLKEDLPSRLHYSENYRIPPIIGLVEEGYKVEMKRSNKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNMEPAPNNGSASFPDTILLPKFCDNVAIFQEEQVYCNQLQELPAGYSVGNNSQC >LPERR01G05850.2 pep chromosome:Lperr_V1.4:1:4133239:4139430:1 gene:LPERR01G05850 transcript:LPERR01G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFAAGDSPSPTAALLPHSHSGATPSPRPSSTSSRLHILLTAALAITTSYLLLHHHHRTPLSAAPAATARPQVKLEKPVVILISSDGFRFGYHHKAPLPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMASHESKWWLGEPLWVTAVDQGIQAATYFWPGSEVKKGGWDCPEKYCRHYNGSVPFEERVDSILGYFDLPSDELPQFMTLYFEDPDHQGHQVGPDDPAITEAVEHMDEMIGRLIDGLEARGVFEDVNIILVGDHGMVGTCDKKLVFLEELAPWIELEKDWVLSMTPLLAIRPPDDLLLQDVVSKMNEGLRSGKVENGEYLRMYLKEDLPSRLHYSENYRIPPIIGLVEEGYKVEMKRSNKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNMEPAPNNGSASFPDTILLPKFCDNVAIFQEEQVYCNQLQELPAGYSVGNNSQC >LPERR01G05860.1 pep chromosome:Lperr_V1.4:1:4146207:4155458:1 gene:LPERR01G05860 transcript:LPERR01G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATSWLTAAAATAIAAVAIVLIVWRTRRRRRRPGLPPGSFGWPLVGETLDFVSCAYSPHPESFVDKRRSLYGSSVFVSHLFGSATVVTADAEVSRAALQGEAARALVPWYPRSLTELMGNSSILLINGPLHRRLHGLVAAFFKSDHLKSQLTADMHRRLPAALAAAAQSSESPLLRVQHLAKSVVFEILVRGLIGLESGSEMQQLKQEFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQRIIREKRAGRGAANLPPRAAIDVLISDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRQKTDKGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVELKGHLIPKGWCVFVYFRSVHLDDSLYDEPYKFNPWRWKEKDMSSGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTKKINDD >LPERR01G05870.1 pep chromosome:Lperr_V1.4:1:4154751:4160454:-1 gene:LPERR01G05870 transcript:LPERR01G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPPSKADSAAAAAASELDGLPRFEKNFYVESPSVAGMTEDEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQESTKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVSPELANMGRGYRDRYRGHGGGRTVLKQSYDKSGDALGGPRAFPKKDGDPMQL >LPERR01G05870.2 pep chromosome:Lperr_V1.4:1:4154701:4160454:-1 gene:LPERR01G05870 transcript:LPERR01G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPPSKADSAAAAAASELDGLPRFEKNFYVESPSVAGMTEDEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQESTKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVSPELANMGRGYRDRYRGHGGGRTVLKQSYDKSGDALGGPRAVAANCTAWQCVEERTVANMHTCGWAR >LPERR01G05880.1 pep chromosome:Lperr_V1.4:1:4163879:4173350:1 gene:LPERR01G05880 transcript:LPERR01G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPLAHAAPVLLLLAILLLAVAAAVFLGLRGRRQREARLPLPEPAAAAEEEISEEGGREKGRRRKARRRQRKGGGGGEGDDAAQQQLLRQRPHFPLASVAGSLQRRINARYDDLAARASQAQPITIHQIHEFIKCLVDARNELQHKSETVERRCRIKKALLSNPYYSRKGNSDRLCEQVHKLEAEHKRLKKDADIYNYLQEEIQKSEPYKMLVEFSVQMEAYEHAPGCPAREMTFEELLAEEKKDPAFWQRVKNQTSTSSK >LPERR01G05890.1 pep chromosome:Lperr_V1.4:1:4172768:4177567:-1 gene:LPERR01G05890 transcript:LPERR01G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAADHSHRGGGGARPGLAVGLGSLAAAALRREHRRRAVAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYTGNIAAAFGSAYYHLKPDDDRLIWDRLPMMISASSLLSILVIERVDERVGLSCLLSLLSLILVSSACERVLDDMRLWVVLNFAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFALVTLILTVMLSFRNIKIVSYAVTDKRGPFPRCAVFSALSPPLPLLQAIGVAVGFSPPPPATNRSTTTRRPPAPSHGIASSAPTSAVPAATSPSRSTATCARSPLRFPTPTRSPSPSAPPPPRGSPPPSMHTSSTSASTRSLTSPAISSPPTLGSAAPPTHAMCSTQCRRGRGRRSAGTRSSPPTPKAATPTPRGTRSTAWSPPATARPDAVTWTALLSAHARCGIHTDVLDLFGEMRRSGCEGSAESMAVALSACPYVGELALAKGKAIHGCGVVKGVIHGYLFVTNSLSLEVLDKMEQIGGAVTPKVISWSAVIGGFASSGNTDCALDLFRQMQRWWLSPNVVTMATVLSACTDLLALRLGRELHGHAMRAKLDRHSLLENGLINMYAKCGKVAGARKVFNGMQKRDLISWNSMMAGYGMHGLCDEALTLFTDMAGAMVEPNGITFVAVLSACSHARRVPEGRRFFNQMVRDHNISPSMEHYTCMVDLLGRAGLLTDASELVETMPMRPDLCVWGALLNSCRIYGDAAMAEATIAKVLQAEAHITGNHTLITNLYAMCGMWDESKRVRMMTKEAGLRKNPGQSWIGVDNKVVAFVAGNTSPSLAGAEDVFGVLGYLYQEMEDEHCTMDYLNCKHLTEEIK >LPERR01G05900.1 pep chromosome:Lperr_V1.4:1:4187303:4191852:-1 gene:LPERR01G05900 transcript:LPERR01G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQMRMAVLLFLNCIVKSTPAATASLPWPPPPTTTTTSFLDDLAADLAIAPLIRADHDATERASADFGGNLSSSSSAAAAAVLYPSRPADIAALIRASCAQIPPAAPFPVSARGCGHSVRGQATAPGGVVVDMPSLASRRGATPSQRRVAVSVEGRYVDAGGEQLWVDVLRASLAHGLTPVSWTDYLRLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKERDLFDAVLGGLGQFGIITRARIVLAPAPAMARWVRFVYTSAAALTADQERLISVDGKVVGGLMDYVEGSVHLSQRSLVDTWRTQQPTSPSSSSTSSFFSDVDEARVAALAEEAGGVLYFLEGAIYYGGAAAGVPSAADVDRRMDVLMDELQYARGFAFVQDVSYEGFLDRVYDGELKLRDAGLWDVPHPWLNLFLPRSHILDFALGVFHAILRHTPAMGPVLIYPMNRNKWDGNMSAVITDDDDEVFYTVGILRSAETAGDVGRLEEQNEQIIEFCEENGIPYKQYLPYYSSQAEWEKRHFGAKHWPKFAQRKSKYDPKAILSRGQRIFTSPLA >LPERR01G05910.1 pep chromosome:Lperr_V1.4:1:4203649:4216695:-1 gene:LPERR01G05910 transcript:LPERR01G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLAAAGAQMREPPPLPAAVGAELERLEGKLGQPTCAETRHLAEIGEAAAARVLRTVWEYPRPVRTLSGFIRHLARQEGMKLNAGGIPTAHSAACISGPSREEESVSTPLCYDEVQMDVQSPDNGIASGVSNHAMDNVGSPRTPSKQMPFHLHGNGGSVRQVARLVPHLARLTVESPCGQISSALQNQHCSQVGASAQAMVSPVSNQMAVPLYPIDSPTRAMDPAVYNDDMMQVGSPGHCMQNPIEIDSPIQTLRRIFSTPSLVRDLSRRIENMSGPSGSPTGPMCVMPQRHTMTSHATDNALREAASPQMLALEDEKIEILSVEYIRSLKFLSMTQFELEIWRRFGRWNIAAVDRAKNLDSDPGMTKVYHCNVEIRGDTVVKLFKGPYVENTRTHLQKVVGDDNILVVKFIGKPSETKTEFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEDRMKEEKKKCTSAVRCIFVRTESGWSMDEPYVLSGRTIGQARKLFMHIHTAPTLAKYMARFALILSKTITMDADLSAVHVELIDDLPCLDEHGNIVRKDGEPLIHTDGTGFISEDLAQKCPTSIFKGKCLKSQGIVACDESETTMRPNSLKRHRFLTTEHLSPGTILVRPSMVKIKADPEISGVQSVNSFEIVSARNAKKRLPAFESVNSFEIVSTSNRPRRTLTSRFLIALLCYGGVPEEYFMELLQNAIEVAENACYDYDDALKIAFNYTDMEDSMSVRMILSGIPLEESYLQFRLAIMAKQERKGIKQGKIPIDECYYLMGTTDPTGTLKPNEVCVILENGQLSGDVLVYKHPGLHFGDIHVLKATYIRDLEEYVGYAKYAILFPTSGQRSLADEMANSDFDGDMYWVSTNPKLLEHFQPSEPWVGVIKPKRTKQKRPQDFNETKLERVLFHEFLKTRFTPSYALGTAADSWLVYMDRLLTGSLYESEMKLIKEKMLELVDLYYLALDAPKSGDKVNIAKGLMVKQYPHFMDRNNCPSYHSSSILGKIYDKVEDDKSLQSDNVQPIGVSLLPCFMEREAPPEIKHLWQQRYKEYLADSVLLFNVVVEEEERDMKFQELYEKYKHMLYDASEFELTQRCLEDVFSEACVIYQIVYEKARSVNKAGTCGFAWKVAGRALCHFYALKNEGDTAMCSLPLLRKIIKKDHRR >LPERR01G05920.1 pep chromosome:Lperr_V1.4:1:4222143:4222768:-1 gene:LPERR01G05920 transcript:LPERR01G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEGISLLPCFAEIDAPLATKHLWQHRYKEYLTDSRLLLHLSENYVARCCFAWNVAGRALCHFYAFKNVGDTVPCSLPLLRNFKFIKKYRK >LPERR01G05930.1 pep chromosome:Lperr_V1.4:1:4225287:4230806:-1 gene:LPERR01G05930 transcript:LPERR01G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQQQQPPPPADERRPERERREEERKGMEGSDKGGAGDDGSPAPLPEMVQIGNSPTYKLERKLGKGGFGQVYVGRRINSSPHGSRNSNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPQPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPLNTDGAQKLVYQVGQKRGRLTVAEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVSDDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTSQVHELSHYFLHKEWIMEQWERNYYITSLAGSNNGSSVVIMSTGVRTYLKYFPGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRKKPSDETQETLRTSAFPGQHVKVTIPS >LPERR01G05940.1 pep chromosome:Lperr_V1.4:1:4237113:4237859:-1 gene:LPERR01G05940 transcript:LPERR01G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVAAVTGGGGGGGGGMEPGFGKRLMHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRHVLLAHHHPHAVAVRGGAAASAAGDDSSSSPDAAMESSFYHHNPRDVEFSCTTTPTPSLSSFAPGAWFRGGRSRHAGGGGGGYGGLDASVVARVFEMLNTSEVAASPSMMGGATPSPLLALSLGRSPAGARQLRVTDSPFPVEPAEGVEVDGRVDDKATDFIKRFRQQLLQQPTAATPEYYRG >LPERR01G05950.1 pep chromosome:Lperr_V1.4:1:4253353:4253919:1 gene:LPERR01G05950 transcript:LPERR01G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARVLEWEGVVVSPVAGATADEAWPPLSDFLAFHRWNPRVAKCRLAAASPRVVRYCEGTPRDGDASEQEPDWAHETLLEHDAEGRFFRYEMNDNNMGFGAFFATFRVVPRDAGDGRVERDGCELRWEFECEPIRGTPREALVARLQFGLDAMAARVQEHVRAARAAENGVAAAADELNRPDNSIAV >LPERR01G05960.1 pep chromosome:Lperr_V1.4:1:4260065:4268088:-1 gene:LPERR01G05960 transcript:LPERR01G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTVLSDEEDEVEVEEEEEEEEEENPRPSRRGRDEDRDDDDDEEDEEDEGQNEYEKDGFIVDDADEEEEEEEDEERESDDERRMKKRKKKKKRESDGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERLQYSLFGDHQDAPIEEDIVEEDQQVDEDEDGDPEDEMAGFIVDEDEIDANGQVVKRSKGKGKKQRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSAEMRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERMQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFSNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKNLDDNEEQNNEVENDKVDNRKMVWHKLLWAVQTLDKKWLLLQKRKVALKLYYEKRFDDENRRIDDVTRQALNQQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVAQQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETAQDVLRGARHMAAVEIGCEPVVRKHVRSIFMNKAVVTICPTHEGNSIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPEDAKKELTSEARENYLSDCVSKSAQLWDEQRKMILDDAFLNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISVRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHHLEQFLTPDEKYEVVEQIMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASSQIIDLLEDTRIHPESYALAKTLAKDVYTADAPHEANEMDDDEQEMAIEHVRENRDMLVELLLDEYAKSTEQVHKLETLKDIRLELLCGFKDLRTAYAEPSPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASEMRRRPFSRSNHDPYYREQDMSSQNEEDKRRKQKELAKKHFKPRMIVHPHFQNLTSEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGSKSEVDELLKAEKAENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGYRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGSGGGAGGGNDGWRGDGNHDRDRPFSGRSGGTGGSSWGGGGDAGSGGGDAAGWGGDRNRGNGGGSAWGSGTPAGGSDGGGGWGAAAGGSNNDAPGWGSDKKTVPAQDGGGSGWGGGGGW >LPERR01G05970.1 pep chromosome:Lperr_V1.4:1:4271000:4271542:1 gene:LPERR01G05970 transcript:LPERR01G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKDHHQQQWSGGVEATLPRTPPSAAWARVAGAAFFAAHLYLPGIDVCERVADAGDVRHVASSASGMWARERLLETDHAARRLRYAVVDSNMGFGRYVATLRVVDLEEDGGGGGGGHGGGCRIVWGFECDAVRGGGERWSEAAMVARLGDSVKGMAERVQRAVAEEEEEGEGGVAA >LPERR01G05980.1 pep chromosome:Lperr_V1.4:1:4274163:4278558:-1 gene:LPERR01G05980 transcript:LPERR01G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGEEMMMTSGATGRIVPVFRSVLSRRALLRLAVALHSLFLWVVLLVGGVAGRRRSPAAAGEGAETGTRRNRRAAEEEDVRRRRALAEEVAMVEDADGEGARRWETVVVAGARRNALFCRVWAPAAAGEMSGRYLHFAELLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVQDIEVLLGKIVLENPGIPCFLLGHSTGGAVVLKASLFPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKLQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTIPFMVLHGTADRVTDPLASQDLYKEASSRHKDLRLYDGFLHDLLFEPERDEIAADIIDWMERMLALRNV >LPERR01G05990.1 pep chromosome:Lperr_V1.4:1:4281882:4282487:-1 gene:LPERR01G05990 transcript:LPERR01G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGESAVSPAPAARRETKPARRISMEGLQRAMSDLALELTKSSPESAAGADAAKLPAITEQQQQQQAAETEARCECCGMMEECTPGYAARVRERYCGRLVCGLCAAAVSAEAAKTATATATMEEAVAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSSRSKPNSHGGHGGGLTRSSSCIPAISKDINRAAAATANN >LPERR01G06000.1 pep chromosome:Lperr_V1.4:1:4285411:4292368:1 gene:LPERR01G06000 transcript:LPERR01G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSSPSHASASSSSLPFAAGQPCRASWRPRPNRGPTSPPPPPLSLRARASMNPAPPPQGEQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGETVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFLEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHNKNELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVLGLPLGDPSMKAPAAIMYNILGEDEGEAGFTLAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKFSVKARLDKLLQREAYDPMKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNVPFELTIVSAHRTPERMYHYALSAKERGIEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELWDKSTEYQQDLRDIVLEKAKRLEELGWEEYSKLYLKKH >LPERR01G06010.1 pep chromosome:Lperr_V1.4:1:4295292:4295777:1 gene:LPERR01G06010 transcript:LPERR01G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVVIRPDNGGEVETVRCACCGVAEECTAAYIAGVRAAFCGDWLCGLCSSAVKELARRRRDAPLEAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRASASCQERRRRPGDGDAASRAVALARSASCEPRFLADVVISGSSNGAASGDRCR >LPERR01G06020.1 pep chromosome:Lperr_V1.4:1:4297093:4301727:-1 gene:LPERR01G06020 transcript:LPERR01G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPVLANVDPKQIKVWFQNRRCREKQRKESSRLQQLNRKLTAMNKLLMEENDRLQKQVSHLVYQNSSNGYFHRATTDTSCDSVVTSGQPPQHNVAAVHPPATAAQQPRDASPAGLMGIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGTNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPNMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMIAQKMSMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFNNGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFSNLPMSRLGGFSGQVILPLAHTFEPEELLEVIKLGNASNYQDTLVHRDLFLLQMYNGLEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATQRSRIAGVNGAKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIAVALSSSSRIVPPVAGAAGQLAPATPEAATLSRWICQSYRFHFGAELIKSADANSSSESVLKAVWHHSSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPGIMEQGMAYMAGGVCVSSMGKAAAYEKAVAWKVVDDGGGAHCICFMFINWSFV >LPERR01G06020.2 pep chromosome:Lperr_V1.4:1:4297093:4301769:-1 gene:LPERR01G06020 transcript:LPERR01G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARESSAAAAREAAMMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPVLANVDPKQIKVWFQNRRCREKQRKESSRLQQLNRKLTAMNKLLMEENDRLQKQVSHLVYQNSSNGYFHRATTDTSCDSVVTSGQPPQHNVAAVHPPATAAQQPRDASPAGLMGIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGTNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPNMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMIAQKMSMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFNNGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFSNLPMSRLGGFSGQVILPLAHTFEPEELLEVIKLGNASNYQDTLVHRDLFLLQMYNGLEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATQRSRIAGVNGAKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIAVALSSSSRIVPPVAGAAGQLAPATPEAATLSRWICQSYRFHFGAELIKSADANSSSESVLKAVWHHSSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPGIMEQGMAYMAGGVCVSSMGKAAAYEKAVAWKVVDDGGGAHCICFMFINWSFV >LPERR01G06020.3 pep chromosome:Lperr_V1.4:1:4297093:4301769:-1 gene:LPERR01G06020 transcript:LPERR01G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARESSAAAAREAAMMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPVLANVDPKQIKVWFQNRRCREKQRKESSRLQQLNRKLTAMNKLLMEENDRLQKQVSHLVYQNSSNGYFHRATTDTSCDSVVTSGQPPQHNVAAVHPPATAAQQPRDASPAGLMGIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGTNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPNMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMIAQKMSMALYKIQALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFNNGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFSNLPMSRLGGFSGQVILPLAHTFEPEELLEVIKLGNASNYQDTLVHRDLFLLQMYNGLEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATQRSRIAGVNGAKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIAVALSSSSRIVPPVAGAAGQLAPATPEAATLSRWICQSYRFHFGAELIKSADANSSSESVLKAVWHHSSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPGIMEQGMAYMAGGVCVSSMGKAAAYEKAVAWKVVDDGGGAHCICFMFINWSFV >LPERR01G06030.1 pep chromosome:Lperr_V1.4:1:4304422:4312053:-1 gene:LPERR01G06030 transcript:LPERR01G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGGASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSSVPSADDADGGEIHARLLRREIQAVLAFLVLFVVKIVKEENWEIFIADSLLYAKGLLLAVTLVINYWLTVSYLLGFLVIYAVAQQPPYDGLDHSNRLTPLQLEGLLTEEPTTRFWLVEFRTSYSNKNISFAIIDLGHFPNAAAKFGISMWDHLPTYILFDKATEVARFPEITSESKVFVPKVTKKLLCQHFDLDRRLIEYLSRCHDTSDPEYFFS >LPERR01G06040.1 pep chromosome:Lperr_V1.4:1:4312309:4315427:1 gene:LPERR01G06040 transcript:LPERR01G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRPDHRRHHPPFLRDLSSPISSSMRLPPASIRRETEASTTKPPPPPPLLFLDEFSHHSPSPKPSTPPQAAMSPSPPPPHRGGGLFSTPLRPNGSASPAAWWPPCRDEIGRDREGSPVDGIVQQQQPQPQQSPATASGQQSQQQLQQKVTLITLPPPREVARPEMPRDSAPAAGRVDEEEWVTVFGFSPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALDKHGQQLNSVLIIGVKQVDPWQRPYLNENTNENFQVGATVPFPSQPVVPSPFVTRNALAPLPSNSMPNGIGNGSGHGASGVIASPTRSVLSKVMDLMFGL >LPERR01G06050.1 pep chromosome:Lperr_V1.4:1:4316524:4324365:1 gene:LPERR01G06050 transcript:LPERR01G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSLLARAARQARRVASSAAPITAQELGQAAYVVARAAADWGVVSNALARAALALPASEPDGRHRAAVDLVFAAAMLAREAQNSGMLVLSEIIGDLQRAGHNYVSSMEFEARRRCPGRAGADGFVGCCAGATMRMHLSVILKLPFCVHIVFSVAILPYLKGMGGLKNLKSSDFPISSHTFVLIFCTWWLCVALGVVCSSRGRSTCKNIVALILDMFSQVVGRCALLGVTLLGIFFTHLELAPDDINSLKAFVWIAVFAHEDCMSRQLEELQVEEISKVDADVVDLAMEGNQTARLSRCQGGRSGGAALGLAREVVEVAMSAVVTPKLEHCWWFIAAALLSPVGDC >LPERR01G06050.2 pep chromosome:Lperr_V1.4:1:4316524:4324365:1 gene:LPERR01G06050 transcript:LPERR01G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSLLARAARQARRVASSAAPITAQELGQAAYVVARAAADWGVVSNALARAALALPASEPDGRHRAAVDLVFAAAMLAREAQNSGMLVLSEIIGDLQRAGHNYVSSMEFEARRRCPGRAGADGFVGCCAGATMRMHLSVILKLPFCVHIVFSVAILPYLKGMGGLKNLKSSDFPISSHTFVLIFCTWWLCVALGVVCSSRGRSTCKNIVALILDMFSQVVGRCALLGVTLLGIFFTHLELAPDDINSLKAFVWIAVFAHVLVSVLDFWSRCQGGRSGGAALGLAREVVEVAMSAVVTPKLEHCWWFIAAALLSPVGDC >LPERR01G06060.1 pep chromosome:Lperr_V1.4:1:4327088:4327562:-1 gene:LPERR01G06060 transcript:LPERR01G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQLLRQYRYDDDEDPVVIDKSVCRFAQGFGVMLSIIFVCWRIIGSRTAGDDYPLMFLISMSLFPAIAAFVW >LPERR01G06070.1 pep chromosome:Lperr_V1.4:1:4336051:4336488:-1 gene:LPERR01G06070 transcript:LPERR01G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHDVAALSIKGARAVLNFPDLAPSLPRPASLSPRDVQAAASLAAVMHNSSSSSSPATAASPACVPAPDEHRGAVREAETRQAEEENPAPAVTTAAAVAEMVFDELAPLWVEDVVEYGTLDQCWTAYDAIEPIGFQPLLWEY >LPERR01G06080.1 pep chromosome:Lperr_V1.4:1:4342901:4345430:1 gene:LPERR01G06080 transcript:LPERR01G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQEFRVKEELNAAVHAGTMIQYVLYFQARDDSGIVDKKNALLSAFHSELVDGIRMVYSTSTRHSPALGRQKMKTAVRIQHVLIFLKSNFSKHNSNLL >LPERR01G06090.1 pep chromosome:Lperr_V1.4:1:4349495:4355780:1 gene:LPERR01G06090 transcript:LPERR01G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAVDDGPPAAEKLSVTGVAMVRREEEARKHARGGGLRGEEAAAAAAMERRRAAAMAMAACRVRSPVPRAVEGEQVAAGWPPWLVAVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPLPAVALVDLIQQIEEQHLWHCKARQGIAGGKQHKYDPERRTRESRAVPAPDANAELASSLQKRQNQINTKSRSEMFNPSKEDSASGFPIEPPRPTHAVESSQDSQRVYSTRTFHSGPLVNQNNPSKAGRSENDNGNATVVTQAEAFPHERRLSESINERFSSSGKYDQAFHQKDERSSRVDGAIGYGSKGNKIHHSGPLICPSGNADQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDIGAAPVFPSSRSSYRAVQQ >LPERR01G06090.2 pep chromosome:Lperr_V1.4:1:4349495:4355780:1 gene:LPERR01G06090 transcript:LPERR01G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAVDDGPPAAEKLSVTGVAMVRREEEARKHARGGGLRGEEAAAAAAMERRRAAAMAMAACRVRSPVPRAVEGEQVAAGWPPWLVAVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPLPAVALVDVLLSVDPADRGTASLALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERRTRESRAVPAPDANAELASSLQKRQNQINTKSRSEMFNPSKEDSASGFPIEPPRPTHAVESSQDSQRVYSTRTFHSGPLVNQNNPSKAGRSENGELHLSGVTNASNFRVVVSTRSNLRSDNGNATVVTQAEAFPHERRLSESINERFSSSGKYDQAFHQKDERSSRVDGAIGYGSKGNKIHHSGPLICPSGNADQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDIGAAPVFPSSRSSYRAVQQ >LPERR01G06090.3 pep chromosome:Lperr_V1.4:1:4349495:4355780:1 gene:LPERR01G06090 transcript:LPERR01G06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAVDDGPPAAEKLSVTGVAMVRREEEARKHARGGGLRGEEAAAAAAMERRRAAAMAMAACRVRSPVPRAVEGEQVAAGWPPWLVAVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPLPAVALVDLIQQIEEQHLWHCKARQGIAGGKQHKYDPERRTRESRAVPAPDANAELASSLQKRQNQINTKSRSEMFNPSKEDSASGFPIEPPRPTHAVESSQDSQRVYSTRTFHSGPLVNQNNPSKAGRSENGELHLSGVTNASNFRVVVSTRSNLRSDNGNATVVTQAEAFPHERRLSESINERFSSSGKYDQAFHQKDERSSRVDGAIGYGSKGNKIHHSGPLICPSGNADQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDIGAAPVFPSSRSSYRAVQQ >LPERR01G06100.1 pep chromosome:Lperr_V1.4:1:4365714:4367982:1 gene:LPERR01G06100 transcript:LPERR01G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDRNSPTSSSSSSPGKTPRGGADHYSPLASKSPRSFLLPAPGSAVAAVVESRWALPAAIAAFLFLAVTLTVTSSSNLSVAESLSAFFPASHAAGLADPHAGQNASSPPPPPPGAGVARLAYLVSGSKGDLDKLWRTLHALYHPRNLYVVHLDREAPVAERLELAARVANSSVFRRVGNVEVIRRANMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVFSSIPRNTNFIDHTGNLGWKEGQRARPLIMDPGLYASHKQDIFYVSTHRDLPTAFKLFTGSAWVGLTRDFAEYVVWGWDNLPRVLLMYYTNFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPSQHPHTLNLDDFPAMVRSGAPFARKFRGGHPLLDLVDGELLGGRGNVTGEMFVRGGWCVGEGGGCDVIGDDWVLRPGIGAERLGKLMDRIVRSEAFANSQCK >LPERR01G06110.1 pep chromosome:Lperr_V1.4:1:4370241:4372410:-1 gene:LPERR01G06110 transcript:LPERR01G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENSWLRRTKFSHTVYTRLDCHWVPIAPLDRDVEQKLQRFVSMGKSVSMPVNRDEDAVAAFKHSVSLPTARSSLQLNKEKANKKKADFEIPSSPPVNSVSSKGLRARSLVKSPSSAMLLSYLNKARPNQDSGLKKADGPHHKPRSKSPLPSVVPSDVFREARASSKRFTSPPPKRRGSERSIYGKSLGRQVSDMSQNPDLHSTPVTSDKHNSVKDSSWTKRYFDNGGRRRVSALDTTEIRRNHGVSMAEEVQTTVDWTLDPSKLLVGHKFASGAYSRLFKGLYDDKPVAIKFIRQTDDDDNGKMAAKLAKQYNSEINALSHLYHKNVIKLVAAYKCPPVFYIITEFLDGGSLRSYLNSTEHHPIPLEKIISIALDIARGLEYIHSQGVVHRDIKPENILFDGNFCVKIADFGIACEETLCDALVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMVSGRIPFDDLTPLQAAYAVATRHARPIIPPECPMALRPLIEQCCALQPEKRPDFWQIVKTLEEFHSVLSQGGCLDSLKNSNCQDQKKRLLHWIQKLKPSHST >LPERR01G06120.1 pep chromosome:Lperr_V1.4:1:4387117:4387701:-1 gene:LPERR01G06120 transcript:LPERR01G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTQPPPPSPAVTLPLPLPAAAASAADPSNTFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGASSAASPPAPAKPAPAPPGPKKPAFKLYERRSSMKSLKMRFSPRGGGLEVLSPSMLDFPSLALGSPVTPLPPLPGSDEAAAAEDRAIAEKGFYLHPSPRGNAGAAGDLQPPPRLLPLFPLQSPGRQ >LPERR01G06130.1 pep chromosome:Lperr_V1.4:1:4393679:4394086:-1 gene:LPERR01G06130 transcript:LPERR01G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVGVAVFALVVAVALLPSPADAGAGAMDAMAAAKARRQMVSASTCDGGIVGGNCDMDVDDLAGAGGELRRSMAAAAARLYISRAALTADMVPCNKKGRSYYHNCANQTAAASDPYRRGCSGITRCHNATIN >LPERR01G06140.1 pep chromosome:Lperr_V1.4:1:4397597:4398431:-1 gene:LPERR01G06140 transcript:LPERR01G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLAGVVAAVVLVAAASCGEAARDFYVGGRDGWTTNPSEPYNRWAERNRFQVHDRLVFRYAKKGDSVVVVSQSHYDACNATDPFLRDGGGAGESTFVFDNSGPFFFISGDPARCHAGESLIVVVLAVRNNNNSNNTTSPNPPPPAIPSPAASGKNGTAPAPSPKSSPAPPPSAPSPAGGSFTAPAAGGTNGTAVAPSPETVTGKNGTASSPSTAASMRGGASALLMLFVVISGALSVV >LPERR01G06150.1 pep chromosome:Lperr_V1.4:1:4409200:4410627:1 gene:LPERR01G06150 transcript:LPERR01G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRSMFGVLLLAAAFAMAASVAESRSILIEKNTSQEDGGDAKKPDCVPAFDPRSFPGHGGTTTPVPGHSGGSTTPTPSHGGGSGTLPAPAHGGAAPSHGGGSYGSSPVTPSPAGGYGSPTPVHGGGYGTSPSGPSGGGAYGGGSPPTTPSHGGGSSPASHDGGHGLVPTTPGSCDYWRSHPMEMWSALGRWPSSIGHFFPGVGNGNGGGVSIQDALSNTRGDGAGELLREGAAALLNSMTRSGFPYTTQQVRDALATATAAGDGDGDAAAAALAAAFKKANEGGGRA >LPERR01G06160.1 pep chromosome:Lperr_V1.4:1:4413242:4421628:-1 gene:LPERR01G06160 transcript:LPERR01G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSVTEMAPAPAGSGSSAAAAGSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANKTIVGDSFNTMSLRDLKQVETRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVAENERGQQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGLSPISLARVCRPTGNGWSIFIQPNGLSFIVKFIWLT >LPERR01G06170.1 pep chromosome:Lperr_V1.4:1:4437246:4443237:-1 gene:LPERR01G06170 transcript:LPERR01G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAFSIRGYAASMRGAAAAEGRSPFGIERLPAMEAKRFRWWADELAAAVEAAAPPPPPPPPPQPQSRRTLGKARAPKKRSISDLFAAAPPLAVPPPPPAADSGGGGAKEPVVVADDDEALCAIMRRSKEKKRRLRRLEEEETAAAETTTPESEGNFAAKEGLGKIKLSSELDPPQASKKPDGVHHARTDEERSPDLKRRKKVKITNLEKNKKKKIDKKRYGDSTKATKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGNTKGKEVIELCRKSVKRVKFSEVDDVLGINKQSICKLFSDALASSSSSSTDMSSEGDKYIGAESSSSHMPEKSFSKEARKDTDHEDSLELTSTQLSSNLIDLNEALPESTDLNYPYVSISEEPNPEPTQHVPLDSDVQITDEGRQNQQGLSFNSHGSVCQSVPEAALERGTKSVPSGTFLHSEVVEVSDTHFVCPPLKMTRELAESHGDCSSGSVKDAMGKGKSPCTMDSFQQNQKCHAFNLNFGGGQLSSEGEFPPCQPQECNASSGGASSFHSEMAVQQECRPAAGHTVRLMGKDLAVSTTRGKYLAEAEPQKTHFNTEDHSTKLFLELPRQGRPYLSLQAQSFSNVSANSASSSQSHIRYTASQNLSHSLRTTNALSGDRLQYEERFSYLSDSQPHGNALLGCPSLPSHGNAALHQDSSYLWRRYSDPLSRTASPSAPILPTTAQYATPSSIYHANFPQSYGAISAGSSVHHHNSPGISFTRPGRRVEEASDSRRDADLPSRNAENVAARAAIPDMSNTPSGGRHVQRSGPVKLIPGAKHILMPSDSTGDGTSIPVYSCVSFGSRRGNGSAPQNMGAELFKL >LPERR01G06180.1 pep chromosome:Lperr_V1.4:1:4478247:4480849:1 gene:LPERR01G06180 transcript:LPERR01G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADDAALCTRCDRNVHAANRLAGKHHRLPLLSSASNSNSPSSSSATAASSSPPPPKCDICQECHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLDLHDDDENHPQHPDPPKPPLQQQQQPPPPAPGPAAASTRKRSPTPLYNDDDDVIDFTITGNLPDWSLVNDQFTSPAPPPPPPVVVATTKAAGQPKRSSAAAVFAGLAGGSPDWPLDEFFGFADFNAGGFGGGFTTENGTSKADSGKIGSMDGSPNGRSSSTTSSAAAGDFFGQVPEVHWAVPELPSPPTASGLHWQGGPRYGVADAGGAVFVPDISSPENPFRCFAGGGGQNMKRRRRG >LPERR01G06190.1 pep chromosome:Lperr_V1.4:1:4487039:4489859:1 gene:LPERR01G06190 transcript:LPERR01G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNSLVLGRVIGDVVDPFSPAATLRVMYNGVRVVNGEKLRPSAVSERPRVEIGGDDLRQFYTLVMVDPDAPNPNNPTLREYLHWLVTDIPGTTDANHGRELVCYESPQPVAGIHRVAVVLFRQMDRGAVDQPSLLRHNFSTRTFADNHCLGDPVAAAFFTCQPEGGIGGRRFPTSSSRPPPV >LPERR01G06200.1 pep chromosome:Lperr_V1.4:1:4491228:4493253:-1 gene:LPERR01G06200 transcript:LPERR01G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAVEAEAMEEGRERKEVNCENSEDGSNNNSSSSSTRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNLSTGGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTIAFATCGRFPWKQVPSYVVAQVLGSTMASLTLRVVFAGGERDQHFFGTAPAGSNAQAVALEFVISFFLMFVVSGVATDNRAIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVVGPVVGTVGGAWAYNLVRFTDGPLREITKTASFLKSARIRS >LPERR01G06200.2 pep chromosome:Lperr_V1.4:1:4491228:4493253:-1 gene:LPERR01G06200 transcript:LPERR01G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAVEAEAMEEGRERKEVNCENSEDGSNNNSSSSSTRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNLSTGGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTIAFATCGRFPWKQVPSYVVAQVLGSTMASLTLRVVFAGGERDQHFFGTAPAGSNAQAVALEFVISFFLMFVVSGVATDNRAFIVNFIYFETNGGLIVPCVRTQIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVVGPVVGTVGGAWAYNLVRFTDGPLREITKTASFLKSARIRS >LPERR01G06210.1 pep chromosome:Lperr_V1.4:1:4494674:4496758:-1 gene:LPERR01G06210 transcript:LPERR01G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGGGGGGMGMAGGGAGVGGRMPTWRERENNKRRERRRRAIAAKIFSGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGYKPPERMDVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPSMTNGVDGNPILPWLKTLSNSPASKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPPWTGSNSPCVVNSTPPSPGRTMLPDPAWLAGIQISATSPSSPTFSLVSTNPFNVFKDAIPVGNSSSRMCTPGQSGTCSPTIPGMAPHPDIHMMDVVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >LPERR01G06220.1 pep chromosome:Lperr_V1.4:1:4500411:4502287:-1 gene:LPERR01G06220 transcript:LPERR01G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAARRLSSSSAAVAGGGGGGGSRAARPGALSSLFSVNSTPKTRASRPDPGDGTPRERRKQKPKPKPKPRQPWGETAAALLRRFYDGGYLPGPDLSEAPHVLPPDAVKGVAERFGHDHQVVAKWLSGSDLKKVALFGCPSVERRTIFASKRLRAFFNIQEAKICSSCKLRNSCQFASQEVSRHDKVILSDTMRILTLFVLDAYPHQLEVTPELKDSICKLVKDTINLSQ >LPERR01G06230.1 pep chromosome:Lperr_V1.4:1:4520932:4522491:-1 gene:LPERR01G06230 transcript:LPERR01G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHPLPHQHQQHQKAANLARTFTKLLRRKRSDSAATAAEPGVPDSAATAASVAGVEYECSVDSAAAAAGVPSLSKLKLSGNLGAAYSLDAFFRNATEKNAPATAAAAGQQTSPQVSPDVAKDSLMANLFAGVSAVKAAYAQLQMAQCPYDAEAIQAADAAVVAELTRLSDTKRRYLRDPAAAARNAAAAGHTPLFAHAEEQRHLLKTYQITARKLEGELRSKEFESDRARGSLAAELRAERAMEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLISMQSAGWDLAGAAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLDDREFYDRRRFFEEFTELKAAPASEFLDVATSTPRWGGFGKFIRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRTGARFSEVYMESVCDGRSDEAAAAEERVVGFTVVPGFRVGRTMIQCRVYLSRPGRRQ >LPERR01G06240.1 pep chromosome:Lperr_V1.4:1:4536450:4541083:-1 gene:LPERR01G06240 transcript:LPERR01G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAADQALLDLIPQIHALFADPLRVISYKWLSRNFSVSSNDAKRLLQEFVNKHGSDLKVIYSMSGWLKSNPTNYCVKLISGHKLEEARQEFKDSCSVQMYSIQACLPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVLNSCVKRTANGKLVSSLPPKPINNVAAAAQPKPSSAPKEQSAKGLQQGLPGSSSPKKVMTSKAEKDNSSILDKTANAPVVKEPSIALQANKNKAQNGKAQPSNGGSLATMWGRASAKPKTPATTNSTVVPSVAVTADAQICATEVADADSSDDEQGVHYKRGSSANNRKRRAVFDLSDDDEDDNVVVIASPEPPEQCTTNPVTEAAQESKPKQENLENKQEVEKDMDGCIEMTNSPQCKSKSGNIVNHSGVTLKQKNTDPPTNGNKKDHAAETTSSSPKRKKVLKTRIDERGREVTEVVWEGEGSAGDKAEKNVSDTGAASRATLPSKPQPVGNTDKSNASSKTAGNKKPTKAGTKQAGTKQGNIMSFFKKVSVQTRTRAFVTSPCGQIQVWALQPSTIYCTNTLAGVAFVSDTHVLFITCRCNRQVYIHPSRTTTGQPLYVECLSSTILFCSTTSKAE >LPERR01G06250.1 pep chromosome:Lperr_V1.4:1:4556800:4559210:1 gene:LPERR01G06250 transcript:LPERR01G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVSFLIFFLTAAGFYYRPWSNTYLFGVYTVTHDGEYADMTSWRAEPVVVVWSANRDQLVQQNSTLSFTADGDLVLRNPDGGLVWSTNTSGQSVAGMTLTESGNLVLFNNNNLPVWQSFDHPTDSLLPGQRLVQGMRLTPSTSAENLTASSLYYLTVYSDGLYAFAGSSNSQPYYEFTVTTGNKSQNYPAYLTLTNRSLDIFVPSSSSANLEHLSLRSPAVSLQYIRFESDGQLRLYEWQANQIKSRWLYVQDIFPFQYCDYPTACGEYGICSNGLCSCPIATASNIQYFRSIDDRRPHLGCTLETPISCQSLQDHQLISLPNVSYLYYNSSPVSELSDEESCKQACLTTCSCKAALFRYFDDKSAGACTLVSQVLSLKTYHPGYDSLAFLKVQITPASHLAKHRLITLVLVLVGVASFFIMLTIVLAIVWIRRQQGNDAEDEFAQLPGMPTRFTFEVLKLATKDFSNKLGEGGFGSVFSGQLGEERIAVKCLDQASQGKREFFAEVETIGRIHHINLVRGLAYLHEECTQRIAHLDIKPQNILLDDNFNARISDFGLSKLIDRGQSHVTTRMRGTPGYLAPEWLTSHITEKVDVYSYGVVVIEIINGRPNLDHSNLGGGVQLVKLLQEKAENSHLEDMIDRKCNDMTLHQQEVIRIMKLAMWCLQNDCNRRPSMSLVMKVLEGERDVEANLNHNFFD >LPERR01G06260.1 pep chromosome:Lperr_V1.4:1:4560952:4563495:1 gene:LPERR01G06260 transcript:LPERR01G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVFLLFFLTASHATLQTYGDNQNPGPVANVSRVWSSNTSRDVLLRSVPGNGNRKLSFAAGFYCSSPCDAILFAVYITSGSGDVPMVVWSANRDLAAHQSATLSFTAGGDLVLADADGSIVWSTGTSGKYVVGMTITDSGNLVLFDYYNVPVWQSFENPTDSLLPGQMLMEGMMLRPNSSAINWTTSRMFYLTVRSNWLYAFAGSDQPQPYYQLFPYDGNNEIIAHYQYKPSCVTLVNGSISISGCVSPSYRPDETNLPPTRSLQYLRFESDGHLRLYEWQEFKQHWVIAEDIFELQYCEYPTACGEYGICSSDIRGAPITDEESCKKGCLSNCSCKAALFVSYHLNSTLYLPDQFYPNLSSPMPYVNACYLLPQVLSLMTYLDTSNYPREAYWMSTLYLKVQSTHPHHPSKKKTALRYALGAAAAALVTLTVISMVIRQRVNRQRADEDDFADLPGTTTRFTFKILKAATNDFSSKLGEGGFGSVFLGKLGNEMVAVKLLDRAGQGKKDFLAEVHTIGNIHHLNLVKLIGFCAERSHRLLVYEYMPRGSPEKWIYYLHNNAPLDWCTRKRIITDIARGLSYLHHECKQRIVHLDFKPHNILLDDRFNAKVADFGLSKLIEREMSRVMTRMKGTPGYMAPEWLTSQITEKVDVYSFGVVVMEIISGRKNIDYSQPEENVQLITLLQEKAKKGQLEDMIDKNSEEMHLHEEEVIEVMKLAMWCLQSDSSKRPCMSVVVKAMEGERTVEDTLDYNFFNLSPAVSVPVEQLSSVHPKASILSAPR >LPERR01G06270.1 pep chromosome:Lperr_V1.4:1:4564260:4564633:-1 gene:LPERR01G06270 transcript:LPERR01G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRGRERTRRAVEEEILEGRDMEVDRAGRRSRARAWLLLPGGAPMMRTERADGDALGNIFMESAFRKGIDASYEVLHGGSS >LPERR01G06280.1 pep chromosome:Lperr_V1.4:1:4572388:4574372:1 gene:LPERR01G06280 transcript:LPERR01G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTVIFFVGLPPQLAVRSSQKTQKISYKDRLVRDVSCILDSGKRLTSSTEEPTAKVDSRFCQSKDVAMAISSVREKLMGGDVSPDSARKLGREMNYLKDLAKTLSQ >LPERR01G06290.1 pep chromosome:Lperr_V1.4:1:4577735:4580005:-1 gene:LPERR01G06290 transcript:LPERR01G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGSEIMRSTAGDAKEEEQPPRRGSAFDKFTATESAEAQVFIRAAITDAFEYMKMTEKDVVEKYRRAGKLHKYDPDKEWQKRFARVARAHPPPPCLMALMPQMKQYLQYLDEEDGREDPKYDSNGEVLST >LPERR01G06300.1 pep chromosome:Lperr_V1.4:1:4580277:4587714:1 gene:LPERR01G06300 transcript:LPERR01G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETESSPPPPPAAAAAEGRKPRRLRGHKKGAVTCCVASSSRPGVVASSGEDGLLCWFDLRTKDVLFTMEAAKQPISSMCFKAGNEDLVYAAAGNEILSFDVRMGPQAEPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIINTIQKCMYKRLREAHTSVSLCSATGMFNVDVSSFMILFFLSYYKICSSVQFIPWRPWTGSPEMQNGSSGSGQCFNPPFVHSIAVSEEGILGGLYKVCAVARGDGVVDVVDLEYELAPAKSKVLPRMAGLSLSSKSTDIGDGCGSQSQGKRIHLDYTLGGHASSVSCVTFSAFGEKGKFLVSGGNDSSVKLWDWSKGFSSETNSNGELVLDMNVNKKVNWLCTAPTDSDNLIVCDTSKVVKVYSLP >LPERR01G06310.1 pep chromosome:Lperr_V1.4:1:4584325:4587573:-1 gene:LPERR01G06310 transcript:LPERR01G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSTHLRAPAPWPPPKNSRPRTRVKCGVLAPSGHVLEAAAAQRGTKNSLNRTPRTDVTLQIERFCRSGELAEALRLLGSDGVDARSYGTVIQLCSEMRSLEAGKRAHFLVRASGVGKDGMDSVLGKKLVLMYVKCGDLENARKVFDEMPQVSDVRVWTSLMSGYAKAGEFQDGILLFRQMHYSGVRPDAHAISCALKCIANLGGIADGEVVHAYLEKLGLGVQCAVGNALISLYSRCGHVEGALQVFDGMPHRDVISWNSVISGCFSNGWHGKSVELFGKMWSEGLEINPVTMLGVLPACAELGYDLVGRVIHGYSVKTGLLWEFESLENGIDENLGSKLVYMYVKCGELGYARKVFDTMPSKSNVHVWNLMMGGYAKLGRFQESLLIFEKMHDCGITPDEHTISCLLKCITSLASVMDGLVVHGYLVKYGFGGQCAVCNALISFYAKSNRIEDALLAFDEMPHRDVISWNSIIGGCASNGLFDKAIELFVRMWLEGQKLDSTSLLSVLPACAQLHYSFIGRVVHSYSVRTGLISETSLGNALLDMYSNCLDWRSTNKIFRNMEQKNVVSWTAMITSYIRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGLESLNHGKSIHGYAIRNGIEKVLPVANALMEMYVKCGYMEVARFIFDHVTDKDIISWNTLIGGYSRNNLANEAFTLFSEMLLQLRPNAVTISCTLPAAASLSSLERGREMHAYAVRRGYLEDNFVANALVDMYVKCGALLLARCLFDRLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGNGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYACMVDLLCHTGHLNEAYEFIESMPIEPDSSIWASLLHGCRTHRNVKLAEKVAEMVFELEPENTGYYVLLANIYAEAEKWEAVRKLKNKVGGRGLREKTGSSWIEVRGKVHIFVADNRNHPQGVRIAEFLDDVARRMQEEGHDPKKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLPQGRPIRVTKNSRVCSHCHEATKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >LPERR01G06320.1 pep chromosome:Lperr_V1.4:1:4587814:4589203:1 gene:LPERR01G06320 transcript:LPERR01G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILLQHHQISPNYVAKLAFPGSFALHKVNKRSKEELIAFFKSIQTSIAEESPRTSRRTRKKSSDPFEEVDKKKQSYGIGNASEENADGEPKVLNLNDMKVAQLRELARARRMKGYSKLKKSELIDRLKGV >LPERR01G06330.1 pep chromosome:Lperr_V1.4:1:4589647:4591895:1 gene:LPERR01G06330 transcript:LPERR01G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR01G06330.2 pep chromosome:Lperr_V1.4:1:4589647:4592697:1 gene:LPERR01G06330 transcript:LPERR01G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR01G06340.1 pep chromosome:Lperr_V1.4:1:4593983:4597658:-1 gene:LPERR01G06340 transcript:LPERR01G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPAPEPMAVDAAPPPPPHAVAAAPAAAPSVGVGVGQKVPQKKDGGGDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEPVAIKKVLQDRRYKNRELQLMRAMEHPNIVCLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNILVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQALFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQNGHNFVHAGS >LPERR01G06340.2 pep chromosome:Lperr_V1.4:1:4593983:4597658:-1 gene:LPERR01G06340 transcript:LPERR01G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPAPEPMAVDAAPPPPPHAVAAAPAAAPSVGVGVGQKVPQKKDGGGDAVTGHIISTTIGGKNGEPKQAKCLETGEPVAIKKVLQDRRYKNRELQLMRAMEHPNIVCLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNILVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQALFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQNGHNFVHAGS >LPERR01G06360.1 pep chromosome:Lperr_V1.4:1:4610502:4611316:-1 gene:LPERR01G06360 transcript:LPERR01G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYVCHRYLLGVRGFQLPGANRFQDPFLFPKIASEAKQQRSLKTTSWRHAISDAEQQCSPTYGANP >LPERR01G06370.1 pep chromosome:Lperr_V1.4:1:4615599:4620251:-1 gene:LPERR01G06370 transcript:LPERR01G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRFVPGAAALALPPRVPPPFCLAPTTPSPDLEAPAAPPPAPVPLPLAFIRQSAGHGIFVASAMSSFRRLVHLVTSDCSIRNTYSLRSIDMSALFAAAAAGNRGGESHHHPPAEPSPLPPPDISFYPPFQNCHGQGSMEFMLLGGNHNKVVAADQTGRTVLYDPDQHAIRTMRSLNEPKTTRPVSVTIGDDLYVLETILPEPPDQDGCFECFEWDTAVGSDRDWHPRVLPTPPYEHEPEADDVKYPVLGIKSYTVVDGTKIWISKADTGTYAYDTAAGAWSKAGEWTLPFTGHAHYVPEHGLWFGLSGTRAHGCVLRAADLAAASPRRPPATRNLWRDLVPPAEWRVALVPHLVHLGAGKFCIARFFDTDPFYGQYTYAVFTGVEVVRCADADGGLRMVKYGSKLYMLLSSMGYKHEGSGSSLGRIKKGYNYVWDYRRHGVLGHLFRRIEKVETRLKRLRRCQWFAFLLMGILTHEVLILNKKVAALKGEPKVAALKGEPSAHELGLCLFFPR >LPERR01G06370.2 pep chromosome:Lperr_V1.4:1:4615599:4620296:-1 gene:LPERR01G06370 transcript:LPERR01G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFGPNREGAQQCRRPRPSAPRAAAFLPRADNALSRPRGSGSATAGPRPSAPGLHGYKHEGSGSSLGRIKKGYNYVWDYRRHGVLGHLFRRIEKVETRLKRLRRCQWFAFLLMGILTHEVLILNKKVAALKGEPKVAALKGEPSAHELGLCLFFPR >LPERR01G06370.3 pep chromosome:Lperr_V1.4:1:4616597:4620251:-1 gene:LPERR01G06370 transcript:LPERR01G06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRFVPGAAALALPPRVPPPFCLAPTTPSPDLEAPAAPPPAPVPLPLAFIRQSAGHGIFVASAMSSFRRLVHLVTSDCSIRNTYSLRSIDMSALFAAAAAGNRGGESHHHPPAEPSPLPPPDISFYPPFQNCHGQGSMEFMLLGGNHNKVVAADQTGRTVLYDPDQHAIRTMRSLNEPKTTRPVSVTIGDDLYVLETILPEPPDQDGCFECFEWDTAVGSDRDWHPRVLPTPPYEHEPEADDVKYPVLGIKSYTVVDGTKIWISKADTGTYAYDTAAGAWSKAGEWTLPFTGHAHYVPEHGLWFGLSGTRAHGCVLRAADLAAASPRRPPATRNLWRDLVPPAEWRVALVPHLVHLGAGKFCIARFFDTDPFYGQYTYAVFTGVEVVRCADADGGLRMVKYGSKLYMLLSSMGYKHEGSGSSLGRIKKVLRMG >LPERR01G06380.1 pep chromosome:Lperr_V1.4:1:4627829:4628162:-1 gene:LPERR01G06380 transcript:LPERR01G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLLGGNHNKVVAADQTGRTVLYDPDQHAIRTMRSLNEPKTTRPVSLTVGDDTSSRQSSHAVFTGVEVVRCADADGELAWP >LPERR01G06390.1 pep chromosome:Lperr_V1.4:1:4631088:4632638:1 gene:LPERR01G06390 transcript:LPERR01G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGSKGGGGGGGGKEAKKPLLLGRFEVGKLLGQGNFAKVYHARNVATGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLHEVMATKLRIYFVMEYVRGGELFARVVSSGRLPEPDARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDGAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVVSRRGYAAAMADLWSCGVVLFVLVAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSPELIRLLRRVLVTNPQRRATAEEIMGNEWFKVGFRRFSFRVEDDRSLTRFELDDADDVSASPPDTPRTVDDDVVGAARTRPAGALTSCESAPSLLEGRFGLGGSSRRRSSLNAFDIISFSPGFDLSGLFDHHDDGGATDHHHQQQQENNHTVRFVSAAAVEVIVATLEATATAAGMAVREKEDGSIIMEGTREGAHGALAVAAEIYELTPELVVVEVRRKSGGAAEYEEFFRSRLKPSLRNLVCDDRTPPCPDDDSGELISRSL >LPERR01G06400.1 pep chromosome:Lperr_V1.4:1:4634197:4635247:1 gene:LPERR01G06400 transcript:LPERR01G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSTWPYVLVAVPRDEAQLEFPLTSVLGQIHLLRRVAAAAVRHVRPRRRGLLQAPPLPLLRRRRSGLHQGHQHVPRIRAQEGGLCCGSRRGSSSSGGGGCRPCSGDRRREALGGYVRAG >LPERR01G06410.1 pep chromosome:Lperr_V1.4:1:4636147:4637532:-1 gene:LPERR01G06410 transcript:LPERR01G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVSILMNRYELGRMLGQGTFAKVYHARSLASNQSVAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSAFRECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVIIFVLLAGYLPFHDSNLMEMYRKISKGDVKYPQWFTTDVRRLLSRLLDPNPNTRIAIEKLVEHPWFKKGYKPAVMLAQPHGSNSLKDVQTAFSADDKGDEGKAKELASSLKPVSLNAFDIISLSEGFDLSGLFEQDKEQKANSRFMTQKPASAIVSKLEQIAETGSFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFYVVEVKKSAGDTLEYETFCNKGLRPSLRDICWNGQSELPSLAESSTLTPSSKSVSRQAI >LPERR01G06420.1 pep chromosome:Lperr_V1.4:1:4640581:4644141:-1 gene:LPERR01G06420 transcript:LPERR01G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSGPRRTTVGPHHPLFVFLVVLLLQSHAGRVDATLNGEGMALLELRERVEADPHGAFRDWHPTDATPCSWSGVQCFDGKVEILNLTGRELVGTLAPEIGGLQLLKSLLLQKNNFRGEIPKEFGGLTALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNQFHDVISSLGIQDTADEQAGCLSRKLGCWVGLKDWISFGDSGEKYSTNLASLSEPHIIRNLQSIASAMRRRLLGEVGNLPALSGNDAKSSGPANSEESQRAIDVLSLGSGSFSAFPNSEGEVLESALNSDPAALQSATANQSTGDESGAKYSKWVYLVIFPAAILLISLIVASILVWRKRGRAPIGPWKTGLSGPLQKALVTGVPKLHRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAISSIKEWPRSSETCFRKKIDTLSRVNHKNFINLLGYCQENQPFMRMMVFEYAPNGTLCEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHELNPPVSINDVRSDTIFMTDDYAAKIADVGIWKEVALKAKTAKDDSSSRSESPLDLAGNVYCFGALMIEIISGKLPESNDHEFMCNWAAEHLKGKNYSKLVDASLKDHKGNELEAVCEVIQECIDPDSNQRPTMRDISRKLRQILNISPEAATPRLSPLWWAELEILSAEAT >LPERR01G06420.2 pep chromosome:Lperr_V1.4:1:4640581:4644141:-1 gene:LPERR01G06420 transcript:LPERR01G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSGPRRTTVGPHHPLFVFLVVLLLQSHAGRVDATLNGEGMALLELRERVEADPHGAFRDWHPTDATPCSWSGVQCFDGKVEILNLTGRELVGTLAPEIGGLQLLKSLLLQKNNFRGEIPKEFGGLTALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNQFHDVISSLGIQDTADEQAGCLSRKLGCWVGLKDWISFGDSGEKYSTNLASLSEPHIIRNLQSIASAMRRRLLGEVGNLPALSGNDAKSSGPANSEESQRAIDVLSLGSGSFSAFPNSEGEVLESALNSDPAALQSATANQSTGDESGVPKLHRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAISSIKEWPRSSETCFRKKIDTLSRVNHKNFINLLGYCQENQPFMRMMVFEYAPNGTLCEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHELNPPVSINDVRSDTIFMTDDYAAKIADVGIWKEVALKAKTAKDDSSSRSESPLDLAGNVYCFGALMIEIISGKLPESNDHEFMCNWAAEHLKGKNYSKLVDASLKDHKGNELEAVCEVIQECIDPDSNQRPTMRDISRKLRQILNISPEAATPRLSPLWWAELEILSAEAT >LPERR01G06420.3 pep chromosome:Lperr_V1.4:1:4640581:4644141:-1 gene:LPERR01G06420 transcript:LPERR01G06420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSGPRRTTVGPHHPLFVFLVVLLLQSHAGRVDATLNGEGMALLELRERVEADPHGAFRDWHPTDATPCSWSGVQCFDGKVEILNLTGRELVGTLAPEIGGLQLLKSLLLQKNNFRGEIPKEFGGLTALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNQFHDVISSLGIQDTADEQAGCLSRKLGLSEPHIIRNLQSIASAMRRRLLGEVGNLPALSGNDAKSSGPANSEESQRAIDVLSLGSGSFSAFPNSEGEVLESALNSDPAALQSATANQSTGDESGAKYSKWVYLVIFPAAILLISLIVASILVWRKRGRAPIGPWKTGLSGPLQKALVTGVPKLHRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAISSIKEWPRSSETCFRKKIDTLSRVNHKNFINLLGYCQENQPFMRMMVFEYAPNGTLCEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHELNPPVSINDVRSDTIFMTDDYAAKIADVGIWKEVALKAKTAKDDSSSRSESPLDLAGNVYCFGALMIEIISGKLPESNDHEFMCNWAAEHLKGKNYSKLVDASLKDHKGNELEAVCEVIQECIDPDSNQRPTMRDISRKLRQILNISPEAATPRLSPLWWAELEILSAEAT >LPERR01G06430.1 pep chromosome:Lperr_V1.4:1:4646317:4648043:-1 gene:LPERR01G06430 transcript:LPERR01G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTAELAMADAAHQKPKFRGTAIPIAETRRIPSAKLITAAVRSPSASMRPAGTDKRLISSTEVRGLVPSKRSSPASSIEDEDAIASYPTWVILHRVGARRDSFDGDGTTSAVSYTSSGEQISVSLELAKPPRTSLLTLDWPQGPHPSEGTTSYPQVIAADRNLVLLEINSEAKYPHPAAMDHFVYKAGGGGEPSLTRLPVCYWKGTSNRGNPRPRIMSRVATGILCRSNDFVVAELEGKAGPCAVDIYLWYSGSDRWKVFRDVYISNANTDVSADLCWWSTDAVLPYRRGYLIWIDYFRGMIVGKIDSTEKPLVWYVPFPINPVRGNTYDSDYGRGYPETSRSLCDTRDGIKFISIDRCGSSFSITLWSWCGDQTWRKDSKLDADQFFDLDSENCFPNVQPEFPVVDVENPYVVYFLLNEGLDLDATTLMIKVHIKKKILVDCTRLNGSSSSNNSYMAARLMSKGFSFISSTMPSYLSRRTMKSGRCC >LPERR01G06440.1 pep chromosome:Lperr_V1.4:1:4651953:4656499:-1 gene:LPERR01G06440 transcript:LPERR01G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAAASPSHRCLLTVLLLLPLAASAAIPHRHRLPSYHLASLDASADSAPPTTFFEVDRPIRPPRGSLGPCSTLLLSHSFGYTYGRPPVTAAYAPPSCLGGGAASVALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVTRYASLLAEPGEIAVYLGNLVDSTYTGVYHANLTLHLYFHPAPPPSPPQQADLIVPISRSLPLNDGQWLAIQNGTDVQGKKIAIPSNTYRAVLEVFVSFHSNDEFWYTNPPNEYIEANNLSGVAGNGAFREVIVKINDDIVGAIWPFTVIYTGGVNPLLWRPITSIGSFNLPTYDIDITPFLGKLLDGKEHYFGFGVTNALDVWYIDANLHLWLDHKSERTTASLISYDASQMVLNVDSAFSGLDGQFITSASRHISATGSVKSSYGEVTTNFYQRFSYENSNVYSKNGTVQVVNQTIDAKSGVFAKDALAVLQSEELHQIFPLYVYTGTSDQEDDEYTLISLVKLGVNEKKTSGGKMGFSYNSLRNSQSAHGNMKVKKNLVVGGLGETHQERSISKKKVAIEETLVLYSLYAQVHNFPQTFHRLQLHSNYIRLQPSAMASMATTSCLLAILLLPLLQPLVALAVPSRSRFPSTLDLAAAFDSSASEQQQPQPPTTFFEVDRPIRPPRGSVGPCSTLLLSHSFGYTYGRPPVTAAYSPPPRGRIFDRIFGVWLSGAELLRGCTAEPRANGIVWSASRDVSRYAALLAEPGEIAVYLGNLVDDTYTGIYHANLTLHLYFHPAPPPPPSQQQHADLILPISRSLPLNDGQWFAIQNSTDVQSMKVVIPSNTYRAVIEVFVSFHSNYEDWYMHPPNEYIEANNKSDLPGNGAFREIIVKVDGDVVGAVWPFTVIYTGGVNPLFWRPITAIGSFDLPTYEIDITPFLGKLLDGKEHDFGFSVTNALDVWFIDANLHLWLDHKSEKTFGSLVSYEALSLALEVDSDFSSLDGQFVTSSSRQISATGWVKSSYGKAMTTFNQSFSYRNSNVYSKNDEITDWANW >LPERR01G06450.1 pep chromosome:Lperr_V1.4:1:4662817:4664580:-1 gene:LPERR01G06450 transcript:LPERR01G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAFHRRCLLLLCLCFTLLFHFHSCAASPRDLRFTAEDIAAVEAVLPSSLRQRQAKNTFFEVARPLRPPRGSSGPCSTLLLSHSFAFTFTKPPVTAAYSPPSCLSDAAGGGGGGARAVSLAVLEWRADCRGTQYDRIFGVWLGGAELLRGSTAEPRPGGVTWSVSKDVTKYASLLAAGNSTLAVYLGNLIDDTYDGVYNADLTLHLYFRRAARSPTASSAPADRVVPVSRSLPLNDGLWFVVDNTTDIESTRLTVPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVHLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNLPSYDVELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSSATSGGVIAYDAPKLTGKIVSNSSDGIDGRYDATASRNITATGWVRSSRGNITTTFTQRLTFVHTNVVSDKGSAQAINQTTAADTEVSGDGPHTQQVHQSFPLYIFLGGDGSGTSSQRLMRRVEIGLDETRSGGGAEESTSTLHNAQTAAAEVVLRDDQVVGASWRMHQVYEYGGSDGGCYSRNVSSIGYDVLFDHNEESCAGTRGR >LPERR01G06460.1 pep chromosome:Lperr_V1.4:1:4667384:4669168:-1 gene:LPERR01G06460 transcript:LPERR01G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHLLLVLVFIPTTVASPRNLRLSPADIAVSDTAADDTPITYIEVDRPLRPPPGSSGPCSTLFLSHSFGFTYTKPPVTAAYSPPDCLVAAGDGASAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPNGVVWSVSKDVTKYASLLAAAGNSTIAVYLGNLVNDQYTGVYHANVTLHLYFHPTPATTRQRASATSPADVIVPVSRSLPLNDGLWFQIQNASDVESASIVFPSNTYRVVLEVYVSFHGHDEFWYTHTPDGNGPFREVTVLLDGDLVGAVWPFPVIFTGGINPLLWRPITGIGSFNLPTYDIELTPFLSKILDGKAHELGFAVTNAVDVWYVDGNLHLWLDATSTATTASLVSYDATRLAAKTTTSQFDGADGQYYHTTASQRISATGWVASSSHGNVTTNATNTFTFENTNAFTSDGGAETVNQTTIAYAGVTVTDHTGAILYSQTSDQSFPLYVDIEAKQMTSHTANVTYTVAREYHETTVTAGMWWSGATPPQRRYLRNAQIGAVDVEMRDGTAVSATWGTRQTYRREAPDGCYFRNVTSRGYGIVFDESNEICPKESSAAARGEGSEETSSS >LPERR01G06470.1 pep chromosome:Lperr_V1.4:1:4670724:4672129:1 gene:LPERR01G06470 transcript:LPERR01G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVIVIVIHVIFTGLYVVSKAAFDHGMNTFIYIFYRQAFASVLLLLLAINLERNTISLNVNNLGLKYTTSTVQAATANSEVIRLRTLPGAAKVAGVGLCLAGVLVIAFYAGPAIGPVNHHRAFGGGGQRGKGKKWMLGTVPAGSVHRDMVAVDRADGYPSKLLATALQCTLSAAQSLPLAAAVEHDQAAWRLRFDAGLLAVAYSGVFVTGVSYYLQAWCIEKKGPVFLAMSYPLSFVFTIFFSSFILGEVVHLGSVVGGVLMVAGLYSVLWGKSKEAATATVSAASAGDCCNSESDSKLQHGRLTSPEQQV >LPERR01G06480.1 pep chromosome:Lperr_V1.4:1:4679211:4681051:1 gene:LPERR01G06480 transcript:LPERR01G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLSGTAMAVGWDLRQLEIMDGGITRPGSGVEHTCCGPIFSKPENNRLVGMCGGSSVTRSQIHNAQRAR >LPERR01G06480.2 pep chromosome:Lperr_V1.4:1:4679476:4681051:1 gene:LPERR01G06480 transcript:LPERR01G06480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLFLFVLGYVLSRFSPLLSFFWVQKWRGDEMRRRDPFSGCRMRLQPHSRAHNHPRRRTILGDAWWGPCRGRRWRWDGI >LPERR01G06490.1 pep chromosome:Lperr_V1.4:1:4685558:4687193:1 gene:LPERR01G06490 transcript:LPERR01G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKPYVIVIVIQMILTGLYIVIKAAFDRGMNTFIYFFYRQAAASVLLLTLAFILERRNAPPISLRLLTKLFFYALIGNTIGLNMTNLGLKYTSTTAAGVGLCLAGVLVIGLYAGPDIGPVNHHRAFGGSGRGGGQSGKGKKWMLGTFLMVVYTVTWSLWTVLMASLLKEYPSKLMATALQCVLSVPQSLLVAVAVERDPAAWRLRFDAGLLAVAYSAVFVTGVCFYLQAWCIEKKGPVFMAMSYPLGFVFTIFCSSFFLGEIVHLGSVVGGVLMVAGLYSVLWGKSKENDVGKLTTTTVTVSSIVTQQETAVAPAADSSSRDSEIRRERLVSQEQQV >LPERR01G06500.1 pep chromosome:Lperr_V1.4:1:4699385:4714402:1 gene:LPERR01G06500 transcript:LPERR01G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFIFIFYRQASGSVLLLALAFILERRNAPPMLLWLSTKLFLYAPLGYTITLNVTNVGLKYTSSTVQAATANSVPVATFFLAVLLRRARSSLLDEFVALDHGLTLQEAIRLRSLSGVAKAAGVALCLAGVLVIAFYTGPGIGPVNHHRLVSGGGQEHEAAENGKGTNWILGTFLMVLSTVTWSLWTVLMPSLLKEYPSTLLTTALQCALSAVQPLPLAAAVERDPAAWRLRFDSGLLAILYTGIFATAVSLYMHTWCIEKKGPVFLAMSYPLGFVFTLFCSSFILGEVVHLGSVVGGVLMVAGLYSVLWGKSKENDMAKLTTVMVSSAVTQEETAVAPASCRQQQPLQVGSVPATMDAKKPYVIVIVIQVIFTGQYVVSKAAFDHGMNTFIYIFYRQAAASVLLLLLAIILERRNAPPMSLRLFTNIFLYALLGNTISLNVNNMGLKYTSSTVQAATANSEVIRLRTLRGAAKVAGVGLCLAGVLVIALYSGPAISPVNHHRAFGGGGKSGKGTKWMLGTFLLVLSTATWSLWTVLMASLLKEYPSKLMATALQCALSAAQSLPLAAAVEHDPAAWRLRFDAGLLAVAYSAVFATGVSYYLQAWCIEKKGPVFLAMSYPLGFVFTIFCSSFILGEIVHLGSVVGGVLMVAGLYSVLWGKSKEAVTATGYATVEAKEVAATASAGDGCNSESDRKLQQGRLASPEQQAMDAKMPYIIVIVIELIYTGLYVISKAAFNHGMNSFIFIFYRQAASSALLLPLAITLERNTATLNMYNLGLKYTSSTVASATGNSMPVVTFFLALLLRQEVIRLRSSSGAAKAAGVGFCLAGVLVLALYAGPAINSLNHHHVFGHEASEISGRNSAVTTTTRTRWVEGTLLMMLSNATWSLWTVLMASLLKEYPSKLLATALQCVISAAQSLLVPAAVERDPAAWRLRFDAGLLAIAYYGVFVTGVSFYLQAWCIEKKGPVFLAMASPLSFVFTILCSSFLLGEIVHLGSIVGGVLMVAGLYSVLWGKSKEHKALALTTATSTFAAVEKETAAAPETDTSNSDRELKQGRLDSPEQQV >LPERR01G06510.1 pep chromosome:Lperr_V1.4:1:4715645:4717477:-1 gene:LPERR01G06510 transcript:LPERR01G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCYVRIVLFLLLLVNPLPISIASLPKLRLSPSEIAALEAHAPPPPPDKPTTFFEVDRPHRPPPGSFGPCSTILLSHSFGLTYNFPPVTAPYSPPPCLAAAGGRASAISLAVLEWSATCRGVQFDRILGVWLGGVELLRSCTAEPRANGVVWSVSKDVTKYASLLAARDASTLAVYLGNLVDAQYTGVYHGNVTLHLYFRHPPQPPRQPGLGPADVIVPVSQSLPLNGGQWFQISDDDDVESASLAVPANAYRAVLEVYLSYHGDDEFWYTYLNPNDGNGPFREVTVLIDGDIVGAVWPFPVIYTGGINAYLWRPISGIGSFNLPSYDIELTPFLGKLLDGQEHEIGFGVTDAQDYWYIDGNLHLWLDPRSTAKTTAGIISYDAPPLDKVTAVSSRGPGNEFYQTTAFRHVSATGWVQTASYGRITATWTQRFSFVNTNTLRDDRERLINQTTDAYSGVHVTDHAGVVYSQEAEQSFPLYMYRGQVNQSSNDTYTVETSVQLRFEEERVAAGRAGFWSRSLNNAQDAAVDVDVREGEALGMSWGTQQTYRYEATDGCYYRDVASEGYGIVSDQIDEVCANGSPAAGRGIGGVTELGGGAGTGVAWLSS >LPERR01G06520.1 pep chromosome:Lperr_V1.4:1:4725337:4734173:1 gene:LPERR01G06520 transcript:LPERR01G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGVGAAAAKKGGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHAQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVHLTSRHFASPSFDGRSLSENRSTPDVRSNPDNISRSSSFSSKPRLSFTEQVLDTKPTVVPHENGHDKLSNINLHKLNSSPLHTRLNGTSADELGNDSKQSSLLDDMTARSPSVKWDEKTEITTSTTSVYCDDVVMDKAEHVEAKCLSPEQQEIDHREMETLEQQEALHQKAKLLLVSSGLNHHDEVPSETDNYVDALNTLESETETESELQPKSQVAPVPSVNVYVPQMELIDNSATQSPDSSVSEFPDACENSGMPPASESLVDFTSLSSADAPDISQPVLSGYTAVSHTKGSPIATNTPMSNTEDVPAPSEISESASRAYITTVSNQSSPDANEIPESKAEDALIDSLEKSEPGPSSSTATLPNKKSSVLSQSPDTISENVSRGCGEGTASVISYPQCTISDMPTNEVSTTKISPDDTSGDSFVISQRRPQDYPGKNGDNAESGGTAEMSNSQPMPLHESLEIGCATQGPPTNAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGYSEPQLCHPTGYTESAQVDVPNGNAPLTSSFVGKFVGICPGSASHSSSEANQSTVRTTDTVIGQTEGSSGCSTSFEHSDHNNIIGKQTSISELLESEGSVENGAEMYSKTDLAGRNNMNLVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANDTDESTQISAVAPTETTFEASQFEKKTENDTNGLPKSSLFSSCHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDGNLHENTDDMMLPTFQLLPGASVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDAVGLEDHDMHNVPNQIGSFGVPISSFVEFEQMDLSGMKSTVSLADLGDDNGLGTLDSHPAGELPNFDTLMATSKHEHVDAFIPHNPVNLSPDEDQLPPPPPLPPMQWRTMKQVASVEEERGSAVNDMLKSTSGQAPVHTPVLEEHLPPAASPDQQGHAKENDRKVDGVKEISNSSSIEIRASLLQQIRDKSGQLKLNGHEKSKAVDNDIKNLDERGELLQQIRSKTFNLRRTNASKTNTSSPSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >LPERR01G06530.1 pep chromosome:Lperr_V1.4:1:4735291:4740481:1 gene:LPERR01G06530 transcript:LPERR01G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLFVKLPGGRRIGLTSPRCVVHRRAGSLSSSSALREMASVAEGRREEYSIDARLRQLAPEKLSADDRLVDYETLLVARFLVILEDLHGSDFRQVVEECLRLSGEYQGEGDPARLSELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKTEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPHEVFDALRSQTIDIVLTAHPTQSVRRSLLQKHARIRSCLTQLCGEGISEIEELEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRARANELHRKSSRKYAKYYIEFWKKISPGEPYRIILGDVRDRLYNTCERARQILSKGISSIPEEQTYINVEQFLEPLELCYRSLCDCGDKLIADGSLLDFMRQVSTFGLSLVKLDIRQESDRHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGTDLRQSEEVADVLGTFRVIAELPGDSFGAYIISMATAPSDVLAVELLQRECGVKNPLRVLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRALLDDMASVATDEYRSIVFREPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMEHDGGLATLREMYEEWPFFRVTIDLLEMVFAKGNPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVARHRDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSSAAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >LPERR01G06530.2 pep chromosome:Lperr_V1.4:1:4735291:4740858:1 gene:LPERR01G06530 transcript:LPERR01G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLFVKLPGGRRIGLTSPRCVVHRRAGSLSSSSALREMASVAEGRREEYSIDARLRQLAPEKLSADDRLVDYETLLVARFLVILEDLHGSDFRQVVEECLRLSGEYQGEGDPARLSELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKTEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPHEVFDALRSQTIDIVLTAHPTQSVRRSLLQKHARIRSCLTQLCGEGISEIEELEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRARANELHRKSSRKYAKYYIEFWKKISPGEPYRIILGDVRDRLYNTCERARQILSKGISSIPEEQTYINVEQFLEPLELCYRSLCDCGDKLIADGSLLDFMRQVSTFGLSLVKLDIRQESDRHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGTDLRQSEEVADVLGTFRVIAELPGDSFGAYIISMATAPSDVLAVELLQRECGVKNPLRVLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRALLDDMASVATDEYRSIVFREPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMEHDGGLATLREMYEEWPFFRVTIDLLEMVFAKGNPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVARHRDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSSAAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >LPERR01G06540.1 pep chromosome:Lperr_V1.4:1:4743196:4748283:1 gene:LPERR01G06540 transcript:LPERR01G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATPASPPSDDGYSKESKEKKPRPIDGEEENIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASLIFGEHKVWVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLKKYAAAVSVAAAGVILGWPFSILVFLPVTVCSLIRGSFRRVFLSGFLTSLFLLVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPLFYFRNGFNNFNFAFVLALLFLGIVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDIFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEYHEDTGPGSVLCVGSEWHRYPSSFFVPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYLKDIGACNLLVELDLRRPFPSRGSDFSTWETLAALPFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRKLRADQE >LPERR01G06560.1 pep chromosome:Lperr_V1.4:1:4752190:4761650:1 gene:LPERR01G06560 transcript:LPERR01G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEDCLAIIPLEDLVYIESREDLFYRAKLTVQAERYDEVVEAMNGVAKLDESLTVEERNLFAVGYKTLIDAKRASIRTLGSIEMEEETKGKERHARMAVEYRRKVEAVIDIIDKYLITHSSGAESSVFYYKMKGDYYRYLAEFKIGPKRNLLSDLSLKAYEAYKHALAKLAFILTASKTAETDLSPADPIRLSLALNISVFYNDIMNSPDKACRVAKHAVDEAVSEPHLVSDEKYNDSEPILRVLKSNLELWKSDMADDADLAPLEWTQVGSITLPIYTATLIAYGPPMAENKIDATVNGQSNPQSATPSAQMDNGAPTTTTTEEQPQAAIF >LPERR01G06570.1 pep chromosome:Lperr_V1.4:1:4761745:4762239:1 gene:LPERR01G06570 transcript:LPERR01G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRSRQGCLLGNYLLAHFTDIHHLVPIHKSKTHSISSDKISVKQQDLRIFRSNTREFNLGTDAETSFGIFIGVININPHEPSILHVLEDQESSLSGNPQEVNMIKDEGRVQWLSTQPGEHHPNK >LPERR01G06580.1 pep chromosome:Lperr_V1.4:1:4764407:4772459:1 gene:LPERR01G06580 transcript:LPERR01G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHPSCCLLCSPSRVEEVSNVQENNDAGVDNSSEESEDVKVCDICGDVGVEKKLAICNRCNDGAEHIYCMMVMIPEVPEGDWLCEECQTEIQIKKENNTLEDSQDKVSTISIRDKVKAANVGNEEFKEEDKGNHTSRKRTKEDAGIIDEAEPGTVNVCCLPCVHPNCPSFPTGLEERIVPSTSNKTVKEEDGSSCIGGSGTANKTEAGYGHQQTSDGINNISNLKLQDGERHNLQSHSNDVPRTSEVHGLSGMTLKNKSSKMSEIKRSEEVVDVKVCDICGDVGAEEKLAVCSRCSDGAEHIYCMRVMMQEVPKAEWLCETCHYEVECEKGKTKVQTSDLKVGCSKGQSIVEPMEKLVNTTKGRSSSETAVDAENVGSKVSDSGNEMNGANKRKDGDAGITSLARKDPLSRESSFKLYSNKVKDPAAQVSTSLACNSLRNQMAPLRGQLSKSTSFNSSKIPKVKQLNDEIPQKPKTLKDSLSCPMRKEGPMGILAKSASFKKPKSLDLVNKAKPSTVINPSVSGNARNDILTSILGSRSLTGSVAVPVPSKAQASAQHLNKGNTMANSNILGTCVESAKSSLGHSDVKKPLHAKGYANIKLTSADGSLGMLSPGAQRKTILVPDFSHQDDQMKNPPSLVPNSFSSVRTNEQDTRYNWSIPCNASFRDPQTVSSMRGRGGFQLWRTGRYPVLCEGLQSHLSYVASPKVLEVTKKFPSNIQLEELPRQNVWPPHFHENGPTVDSIGLFFFASDTQSYETHYSKLVENMVKDDLALRGNIETTELLIFASNTLPNNFQKWNLFHFLWGVFRVRRKDTLNLPPDLPTHDDNHGCPNGVKTLFHPVGGKPLEGHSNDSITTRFPTNNSGAINDYLPAPTRKNLKLANSEQKDKMKDSSKDNGFDVNMELNNSIVSLVREKGNKTRNIKTDNAEHLIDGGNVNSTQVSFSNMETISHVTRAVPKRNAEVANLDDKVNGRPEHKKIKLGDGGSGCPG >LPERR01G06590.1 pep chromosome:Lperr_V1.4:1:4781245:4785291:-1 gene:LPERR01G06590 transcript:LPERR01G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGSPAAASVDSAAVAAAAKEAEYQTGVQKLVDLLSKLNPAAKEFIPSSAVVSSPSKKALSADAPVFDYYSIGGGNGGGKESGADAYQQRRRRNGYINQGRRRMNERARHADREDSIRRTVYVSDIDHTVTEERLADIFTNCGQVVDCRICGDPHSVLRFAFIEFADEEGARAALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQFDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEFVHGESFEDTGEATF >LPERR01G06600.1 pep chromosome:Lperr_V1.4:1:4796206:4806361:-1 gene:LPERR01G06600 transcript:LPERR01G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHQDMSLVLASDNGNGSEGRMWREMPCMSLKGICADKTSLQKEQTKSEKQKPVNVQSRVAEESLDPYSARVIQRKLVYIVGMPSDFASDKLLRQKNFLGQYGKIENIIIDNIGVHQQIPDSGRVYVTFSREDEAVRCIQAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDVCTKDEVAVFCARLQQLLGMDTKGLQPRSGNTLPPPGDCISRTAICNGNSKDKTCNNDCGVLTNYGNKNPGTLPATTPRDSNISTLTEEKNHDSTPNDQHALSASVTQELAPLGPKGHNRNDQLSSNGDKSQVYVQSTNGILNSKQVSSAGNGTVGTSSTKQYVNVVSQGSSGSGRRFTVLTRQSTSTDTRSKATGQVGNVISDSKKLTLANNEQSDRIKISRCDNVKLASQRTEKASQMLPNLTTAIGKAPAEADEKNAHSDISEKPACGIQMQHKESTAAHRSTALQSLRDSAMSNNLPTLDVKSQISVVPDKLSDSHRKSASETQLQTFSHKKTDVSSSDIASASDACGITNNQVTFTNGKQQASSRGDHNLHKREKTPSGDHSLYKRDKTQSGDQISSQHAQSVLSPKPLTSVSSMDITAKENKEIKRHACPPGFQEFSRPSDSDKITSVSSPNCSVLCSAPDALVQDSCSTADQPDNISWVSECLGDSGDNTAQSNSVGIPSALGSTDATWRSVQFPTSCFSGASNQCLVSPPYPSGLSQHMVGGIERTMNCYCSYPSISGIANHRPEYWSGSAHSYMSIGGYDTFHQNTSGMTPGTVGTLPQQSSPTAPCNDWTMGSGDSGLKSPQVDQTYPMYSLF >LPERR01G06600.2 pep chromosome:Lperr_V1.4:1:4798031:4806361:-1 gene:LPERR01G06600 transcript:LPERR01G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHQDMSLVLASDNGNGSEGRMWREMPCMSLQKEQTKSEKQKPVNVQSRVAEESLDPYSARVIQRKLVYIVGMPSDFASDKLLRQKNFLGQYGKIENIIIDNIGVHQQIPDSGRVYVTFSREDEAVRCIQAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDVCTKDEVAVFCASYWEWIQRVSNRVQEILCPLLVTAYQELPFVMETPKIKLATMIVEY >LPERR01G06600.3 pep chromosome:Lperr_V1.4:1:4796206:4798024:-1 gene:LPERR01G06600 transcript:LPERR01G06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRILAHCQLQLHEEKNHDSTPNDQHALSASVTQELAPLGPKGHNRNDQLSSNGDKSQVYVQSTNGILNSKQVSSAGNGTVGTSSTKQYVNVVSQGSSGSGRRFTVLTRQSTSTDTRSKATGQVGNVISDSKKLTLANNEQSDRIKISRCDNVKLASQRTEKASQMLPNLTTAIGKAPAEADEKNAHSDISEKPACGIQMQHKESTAAHRSTALQSLRDSAMSNNLPTLDVKSQISVVPDKLSDSHRKSASETQLQTFSHKKTDVSSSDIASASDACGITNNQVTFTNGDHSLYKRDKTQSGDQISSQHAQSVLSPKPLTSVSSMDITAKENKEIKRHACPPGFQEFSRPSDSDKITSVSSPNCSVLCSAPDALVQDSCSTADQPDNISWVSECLGDSGDNTAQSNSVGIPSALGSTDATWRSVQFPTSCFSGASNQCLVSPPYPSGLSQHMVGGIERTMNCYCSYPSISGIANHRPEYWSGSAHSYMSIGGYDTFHQNTSGMTPGTVGTLPQQSSPTAPCNDWTMGSGDSGLKSPQVDQTYPMYSLF >LPERR01G06610.1 pep chromosome:Lperr_V1.4:1:4817050:4820153:-1 gene:LPERR01G06610 transcript:LPERR01G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAARPPPADVRVIDLSATGASRSAAARALVAACEDHGFFRVTGHGVPPELVRAAEAAASRFFAMPQQDKEAAAGQPLGYASKRIGSAGDLGWIEYLLLCLTPSTFPSAPDAATSVTPSWPLRELLAEYSAAVRRVACDVLELMAEGLGGDVPADALARMVARDDSDSILRVNHYPPRPEQLAGAAPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQVLTNGRFRSVKHRVVVNSEKSRVSMVFFGGPPPGERLAPLPALLGDGGRSRYREFTWKEYKGSGCKGRLADDRLCRFEN >LPERR01G06620.1 pep chromosome:Lperr_V1.4:1:4834839:4836761:-1 gene:LPERR01G06620 transcript:LPERR01G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHDGKPTAEAARSYWRWQKEDFFPEPSFASWSAYRAALAATPARFRDRFAGRSTDEDELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARAWTSYLASLINKPASALRIQTSLAEGYNELDPIAVVVIAVTTTVAMLSAKGTSRVNWVASAVHVLVIAFVIVAGFLHAKTSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRNAAYSVAFANVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPKTGTPVHATMLIAIAGACIGLFSSLDVLSSLLSVSTLFIFMMMATALLVRRYVTLASFLATVHKYKFGTYYVKGVTSRTHATRLVALLAVVIGSSAGIAAYWGAAPERWVGYTVLVPLWVAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFIRFGVCTAIMLLYYVLVGLHATYDVAHGACSDVDKEVYDDAVDVDDAKAVAVDAATADVERAGTKI >LPERR01G06630.1 pep chromosome:Lperr_V1.4:1:4841814:4842440:-1 gene:LPERR01G06630 transcript:LPERR01G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSSDCLSTVEEAAPATPSTSSGRIVVRIRLQPPWTPEEDACLERLAKANGFRHWRRVASEMPMPPWQRRRSARHCRDRWREHLARDVYHRPFTPDDDAELAFLRLRGAGGGDSWKDVSRAAYCRTSRVMRRRWRELRKSDAFLGALYGAQPPADQEAGMDAAMDDAPTHSDVLTSSVASYSAGCGAVASGGNVTAVAPRFACLAV >LPERR01G06640.1 pep chromosome:Lperr_V1.4:1:4845114:4848009:1 gene:LPERR01G06640 transcript:LPERR01G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLISALDCTSTPGARRAPAPAAPRRRGIRVRQAPRCQWRAPRAARAQAAATHEPDPEHQAPANGAPSASPMTALKVGAGVALVLALGGASWRARGGSAGHPVVVQPAAVCCSINAVGTDGASRASAERSAAAAATMRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEHIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETATADEIEKMSKNAMDAWKDFKTKYELAKGSTDSNT >LPERR01G06640.2 pep chromosome:Lperr_V1.4:1:4846324:4847922:1 gene:LPERR01G06640 transcript:LPERR01G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDHGWRPFEQYVSICALMASTSPHLRHRAVAIASSMVWRLNGCMEIAMSAPLPTRSACTSSSGSTVSCILASTQTLNLAFGNSLCRRCFTMTPFMAGFS >LPERR01G06650.1 pep chromosome:Lperr_V1.4:1:4846610:4849382:-1 gene:LPERR01G06650 transcript:LPERR01G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRVRSPPVLQAKLLCLSLLYLLTTLPLALYVSFSDPDSASRCLLPFILPFRSSSPAPSLFQYPREYGEHKHALPSTRALCSDPAVFSDYKTVLEEINNLSASPSAAPALRYQNGRRNSFAGNLSTDERRSFFNHTDSSVEIPCGFFKEFPVRKAGDFFGLIRIFIENKFLMCWFSDRLAMEKCSGVVVASAILNDHDKIRQPKGLGSETLSTACFFMFIDDATHKVLASHNILAGEAGTVGAWRVARLGGGEHRLPYENPAMNGVIVKHLLHRLFPNARFSVWVDAKMQLTVDPLLLVHALLVGKGADMAISMHPFNLHTMEEAIATARWRKWGDVEAIRAQMETYCSNGLQPWSPGKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMNPKVKMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQSITRMASSGDITGSSCERYLLNMWGEPTG >LPERR01G06670.1 pep chromosome:Lperr_V1.4:1:4851496:4852433:-1 gene:LPERR01G06670 transcript:LPERR01G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGLIVLLLAAAAVLASAAVPPSCERIECPAYEVVDSANGFEIRRYTDAMWVSTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTQVSPSDGPFCVSSFVVSFYVPKKNQPDPPAADGLHVQKWAGTRYAAVRRFGGFVADSDIGEQAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >LPERR01G06680.1 pep chromosome:Lperr_V1.4:1:4854952:4855449:-1 gene:LPERR01G06680 transcript:LPERR01G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAQREGAEVYHGAALCAEKAVELLAETNMPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQISRQVSYAAEVTAFVEDRRMKKMTGVKTKELLIWVSLCDMFIDKDDHSKITFKTPTGLGRTYPVEAFAKEDDGKAKVPAAAVANGKETAVKASK >LPERR01G06690.1 pep chromosome:Lperr_V1.4:1:4863383:4867485:-1 gene:LPERR01G06690 transcript:LPERR01G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDTRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDYTVDSFFAIDIILTFFLAYLDRKSYLLVDDPKRIAARLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYHNPARTWIGAAIPNYRSESLWIRYITAIYWSITTLTTTGYGDLHAENSREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKIEMLSHICLRYKTEGLKQKETLDSLPKGIRLSIACNLFLPVIEKVYLFHGVSFTCMHQLVTEMEAEYYPPREVVILQNEAPTDVYILVSGQVEARIEIDGTEKVQEVLCGGEMFGEIGGLCSIPQSCAFHTTKVSQLLRLNTAVLKNIIKENSEDRQIILNNLCQKMNQDHRFSTEVMEKSLHMLHHFGEYNKCSASNQANEIEVKSNNGHSMALEWKRVTIHMYPQKNKRPEVPHAKVIKLPGSLDELFAIACQKFNNYRPTKVFNPEFAEIDDITNFEAM >LPERR01G06690.2 pep chromosome:Lperr_V1.4:1:4863383:4867485:-1 gene:LPERR01G06690 transcript:LPERR01G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDTRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDYTVDSFFAIDIILTFFLAYLDRKSYLLVDDPKRIAARLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYHNPARTWIGAAIPNYRSESLWIRYITAIYWSITTLTTTGYGDLHAENSREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKIEMLSHICLRYKTEGLKQKETLDSLPKGIRLSIACNLFLPVIEKVYLFHGVSFTCMHQLVTEMEAEYYPPREVVILQNEAPTDVYILVSGQVEARIEIDGTEKVQEVLCGGEMFGEIGGLCSIPQSCAFHTTKVSQLLRLNTAVLKNIIKENSEDRQIILNNLCQKMNQDHRFSTEVMEKSLHMLHHFGEYNKCSASNQANEIEVKSNNGHSMALEWKRVTIHMYPQKNKRPEKFNNYRPTKVFNPEFAEIDDITNFEAM >LPERR01G06690.3 pep chromosome:Lperr_V1.4:1:4863383:4867485:-1 gene:LPERR01G06690 transcript:LPERR01G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDTRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDYTVDSFFAIDIILTFFLAYLDRKSYLLVDDPKRIAARLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYHNPARTWIGAAIPNYRSESLWIRYITAIYWSITTLTTTGYGDLHAENSREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKIEMLSHICLRYKTEGLKQKETLDSLPKGIRLSIACNLFLPVIEKVYLFHGVSFTCMHQLVTEMEAEYYPPREVVILQNEAPTDVYILVSGQVEARIEIDGTEKVQEVLCGGEMFGEIGGLCSIPQSCAFHTTKVSQLLRLNTAVLKNIIKENSEDRQIILNNLCQFFRTGQKMNQDHRFSTEVMEKSLHMLHHFGEYNKCSASNQANEIEVKSNNGHSMALEWKRVTIHMYPQKNKRPEVPHAKVIKLPGSLDELFAIACQKFNNYRPTKVFNPEFAEIDDITNFEAM >LPERR01G06690.4 pep chromosome:Lperr_V1.4:1:4863383:4867485:-1 gene:LPERR01G06690 transcript:LPERR01G06690.4 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDTRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDYTVDSFFAIDIILTFFLAYLDRKSYLLVDDPKRIAARLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYHNPARTWIGAAIPNYRSESLWIRYITAIYWSITTLTTTGYGDLHAENSREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKIEMLSHICLRYKTEGLKQKETLDSLPKGIRLSIACNLFLPVIEKVYLFHGVSFTCMHQLVTEMEAEYYPPREVVILQNEAPTDVYILVSGQVEARIEIDGTEKVQEVLCGGEMFGEIGGLCSIPQSCAFHTTKVSQLLRLNTAVLKNIIKENSEDRQIILNNLCQFFRTGQKMNQDHRFSTEKFNNYRPTKVFNPEFAEIDDITNFEAM >LPERR01G06690.5 pep chromosome:Lperr_V1.4:1:4863383:4867485:-1 gene:LPERR01G06690 transcript:LPERR01G06690.5 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDTRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDYTVDSFFAIDIILTFFLAYLDRKSYLLVDDPKRIAARLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYHNPARTWIGAAIPNYRSESLWIRYITAIYWSITTLTTTGYGDLHAENSREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKIEMLSHICLRYKTEGLKQKETLDSLPKGIRLSIACNLFLPVIEKVYLFHGVSFTCMHQLVTEMEAEYYPPREVVILQNEAPTDVYILVSGQVEARIEIDGTEKVQEVLCGGEMFGEIGGLCSIPQSCAFHTTKVSQLLRLNTAVLKNIIKENSEDRQIILNNLCQFFRTGQKMNQDHRFSTEVMEKSLHMLHHFGEYNKCSASNQANEIEVKSNNGHSMALEWKRVTIHMYPQKNKRPEKFNNYRPTKVFNPEFAEIDDITNFEAM >LPERR01G06700.1 pep chromosome:Lperr_V1.4:1:4870213:4874914:1 gene:LPERR01G06700 transcript:LPERR01G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSAPSSSSSAACPAPHRPRLRSRRSIRPLPPRRVLSSSATSSSCRPSALQEYQFRKFTTRPTSRRATLPIASTFDEDLGDFSLALDSGDDPLGVAVYSSESEWSDEEVMLNPTSDIDLPAMSKTQFEGAEALAGFLFAPVADHMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSNSTGVNGAAVITFIFAMVGLLDDISNLVMDRNRKIPQWIRSFVQIVAGIYFSIWLSSTNISTPYNMKFLVPLPPPLGLAFIGKVYLVLAAICSLSMGTGVTLVDGLDGLAGGVAALALAGLSIASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRIGSFALGGAIATIAACSGMFIPMFIACSIFLVELLLVILQVPFHMAMKHFHGTNRYPLRILPSHYFLRLWGLKEPYIVAGAYIISCLLTAFAGYLGLVSA >LPERR01G06710.1 pep chromosome:Lperr_V1.4:1:4875753:4879064:-1 gene:LPERR01G06710 transcript:LPERR01G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESFSGYLAPFVVAALAFYLLVEQLSYHRKKGPLPGPPLVVPFVGSVAHMIRDPTGFWDAQAARARESGVGLAADFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAAIQQRVILSHLRRWLDRSAAKPEAIRVPCRDMNLETSQTVFAGPYLTKEARERFERDYNLFNVGLMALPVDLPGFAFRRARQGVARLVVTLAECVRASKARMRAGGEPECLIDYWMQDTVREIDEAKAAGKPPPAHTADEELGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPDSGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPDTFDPDRFFSDARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVEFRRDRTDGCDDPVYMPTIVPRDSCSVYLKQRCANWGLDLLWAPAGKGMIRTGTGFTDPDAFDPERFFSEARREEVHNFLAFGAGAHLCAGQRYALNHLVLFVALFATLVDFRRDRTDGCDVPLYMPIDNRAEGRLRRLPQGALRQAAIVLRAVE >LPERR01G06720.1 pep chromosome:Lperr_V1.4:1:4892024:4893292:-1 gene:LPERR01G06720 transcript:LPERR01G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHHHHHAHGHHHHQRSPSMGAFLAAPLPPFPLPPPAPANGGAQPQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLEAVTDDNAGGVDGSHDFDRLDDDQLMSMFSDDLQPPPPPQQQAASSPSDHNSMNDEKQDKGETEEAQSECDGDGAAPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNGESQPAGDGNDKAADLIATEGTAAPS >LPERR01G06730.1 pep chromosome:Lperr_V1.4:1:4903908:4918288:1 gene:LPERR01G06730 transcript:LPERR01G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQSVASRVAEEVGVRLGEEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKRRRPDLRLIISSATIEARSMSTFFNIRRKNSSLESADHLPNPEPAILSVEGKGYTVEIHYAEEPVSDYLQASVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPIPKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTAPLGFQVAEIPLDPMISKMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEKKVIEIRDQLLRIIKRFGIPLTSCDRDMEAVRRAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >LPERR01G06730.2 pep chromosome:Lperr_V1.4:1:4903908:4917153:1 gene:LPERR01G06730 transcript:LPERR01G06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQSVASRVAEEVGVRLGEEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKRRRPDLRLIISSATIEARSMSTFFNIRRKNSSLESADHLPNPEPAILSVEGKGYTVEIHYAEEPVSDYLQASVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPIPKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTAPLGFQVAEIPLDPMISKMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEKKVIEIRDQLLRIIKRFGIPLTSCDRDMEAVRRAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >LPERR01G06730.3 pep chromosome:Lperr_V1.4:1:4903908:4918288:1 gene:LPERR01G06730 transcript:LPERR01G06730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQSVASRVAEEVGVRLGEEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKRRRPDLRLIISSATIEARSMSTFFNIRRKNSSLESADHLPNPEPAILSVEGKGYTVEIHYAEEPVSDYLQASVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEISDIESLVVAPIPKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTAPLGFQVAEIPLDPMISKMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEKKVIEIRDQLLRIIKRFGIPLTSCDRDMEAVRRAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >LPERR01G06730.4 pep chromosome:Lperr_V1.4:1:4903908:4916625:1 gene:LPERR01G06730 transcript:LPERR01G06730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQSVASRVAEEVGVRLGEEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKRRRPDLRLIISSATIEARSMSTFFNIRRKNSSLESADHLPNPEPAILSVEGKGYTVEIHYAEEPVSDYLQASVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPIPKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTAPLGFQVAEIPLDPMISKMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEKKVIEIRDQLLRIIKRFGIPLTSCDRDMEAVRRAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >LPERR01G06730.5 pep chromosome:Lperr_V1.4:1:4903908:4916692:1 gene:LPERR01G06730 transcript:LPERR01G06730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQSVASRVAEEVGVRLGEEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKRRRPDLRLIISSATIEARSMSTFFNIRRKNSSLESADHLPNPEPAILSVEGKGYTVEIHYAEEPVSDYLQASVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPIPKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTAPLGFQVAEIPLDPMISKMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEKKVIEIRDQLLRIIKRFGIPLTSCDRDMEAVRRAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >LPERR01G06740.1 pep chromosome:Lperr_V1.4:1:4925608:4931388:1 gene:LPERR01G06740 transcript:LPERR01G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSSYPLVPLLLFLLAAAAYGRLISDGSPASPSTTSLSAVIRLSTSAAASATPAPAAEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQDNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKTLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNENVIKKLFHKIDMDHSETLSRAELHALIVGINFEEIDFDKEDAVDKIMDDFDTSGNEIVEEAEFVAGMKRWLNEAKRTVPASGAYSNKFISDYHARTRQDHDLLVDRSDEAVESVENPGWSITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMALFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >LPERR01G06740.2 pep chromosome:Lperr_V1.4:1:4925608:4930905:1 gene:LPERR01G06740 transcript:LPERR01G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSSYPLVPLLLFLLAAAAYGRLISDGSPASPSTTSLSAVIRLSTSAAASATPAPAAEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQDNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKTLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNENVIKKLFHKIDMDHSETLSRAELHALIVGINFEEIDFDKEDAVDKIMDDFDTSGNEIVEEAEFVAGMKRWLNEAKRTVPASGAYSNKFISDYHARTRQDHDLLVDRSDEAVESVENPGWSITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMALFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGCTEVVVRWHQMRLLDEVWRL >LPERR01G06740.3 pep chromosome:Lperr_V1.4:1:4925608:4932958:1 gene:LPERR01G06740 transcript:LPERR01G06740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSSYPLVPLLLFLLAAAAYGRLISDGSPASPSTTSLSAVIRLSTSAAASATPAPAAEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQDNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKTLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNENVIKKLFHKIDMDHSETLSRAELHALIVGINFEEIDFDKEDAVDKIMDDFDTSGNEIVEEAEFVAGMKRWLNEAKRTVPASGAYSNKFISDYHARTRQDHDLLVDRSDEAVESVENPGWSITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMALFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >LPERR01G06750.1 pep chromosome:Lperr_V1.4:1:4930819:4932283:-1 gene:LPERR01G06750 transcript:LPERR01G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSSHLLHHHHQQQQQQQQTQLAKYGGGGVARASRKNKPKKVPQRGLGVAQLEKLRIEEQKKMEGGGATALSSHLAPPLPPPPSLALSAALQSRSAADGGGFIPPAMWSPVDPIKYKRSMCPQTPSPMVSTGLSLTAAASQRHHPTEPPSNQMYSSSGGSRSSATAAAEEERETAGVDRSWPFMFEGMAAFRTTTTSRAPPPVAPLPFSARMMTGELAGLADFGPDPSRYEFRATNYFGANASYSDWTSDFAHCKSSKENGRPRDPAFLTLSSQPPHLIKQPHLMPSYNDFSAIASQASE >LPERR01G06760.1 pep chromosome:Lperr_V1.4:1:4946104:4951725:-1 gene:LPERR01G06760 transcript:LPERR01G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGVDAVTALISEYDGGLALELVVFSLAVVVLRYAAVLYANHLVDSLSDLHAAVGAAGMGSDRRRRHRPGSSSSSSGAGGGLDSAAIARLPCYVLSRRHNHGCSAVAQTAASAECAVCLGAVVEGETVRALPCCPHAFHALCVDAWLRLRPTCPLCRADVPVPVTTLRARHVPHGHGGADTPNSWLFAESSRYSTRARLLFMGLSFTIGILSFVIYLAIWYTCTRRRRRSGAAGVASSSAAGDQEASSAAANGHGMSAAAISALPTFAYEAAAPAVAVDCAVCIGQVDAGEKVRRLPKCSHLFHAECVDAWLRAHSTCPMCRAAVEGPATAAAAKKTSAGADTPPVVASPSPAPAETLPLPPV >LPERR01G06770.1 pep chromosome:Lperr_V1.4:1:4953353:4954021:-1 gene:LPERR01G06770 transcript:LPERR01G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNNNGEHGLHEQTTGCLPVDQSCFALSRLSYHSSNPSVCCSTTSYLEVLAISFASLLAILIVLCVIRCYLMQRAVHRVAVSAAAAAAVAGNNATSNKRRPPGLREDDIAALPKFEYRGTGDECDRWECAICLCAMDDGEVARQLPRCMHLFHRGCVDMWLVAHTTCPVCRAEVVVVKPPDDDGDGGRCVERPDQAEAAPVSSTSEPARLENGERDLEAQ >LPERR01G06780.1 pep chromosome:Lperr_V1.4:1:4955876:4956169:-1 gene:LPERR01G06780 transcript:LPERR01G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNQSKFESERHLCRFSGEPSRLCPPPSHLSLPVGAVHKAEHARTAATRIMMFSSTYRGTGESRARVTVGGINNNQVVTMEAMRRLWQLGGRGGRT >LPERR01G06790.1 pep chromosome:Lperr_V1.4:1:4958151:4958810:-1 gene:LPERR01G06790 transcript:LPERR01G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTTTYAYLPGGEVATGGGSGCCSTSTLFGALASSFALSFFLISIFLCLRYLHLGRHRRNRTSFPDEQQQQQPTAQLRFGLDAAAIARIPSFPYHRAHHGVEASAAAGAIECAVCLNAVDEGETVRQLPACGHMFHQACVDVWLASHASCPVCRGKAEPADELADDIAAMPVVVVSMSVVVPIEMLEDEMVGASSTSPERLAGVRSSGQEMDLERK >LPERR01G06800.1 pep chromosome:Lperr_V1.4:1:4962140:4964711:1 gene:LPERR01G06800 transcript:LPERR01G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPRRARRTGSEYVDNLRERDITFFKRRNGLFKAASDLSILTGASIAVVLEDRNREKYHAVGTPTVEKVVNAVLSSDNMEVTEPLVDEQTNARLTALENELALVTEESVRKEETTQASKDRYKKVQKEEEDDDENDLKMKRLFFPKSNNLELDQINELFTEILQIREQVRERLPPLNGNGRRGESTLLNFGGSRVPPRARPPRPAQWRPSAAAQWRRTAAAQWQQTAAAQWRPPQLSNRFGSRNRQLHVGSSSSSSAPFVADRALPPPPAPPVTRGSLLIPELPPPATPGSPWGHLLPLKSPRFAPGTEPPYFGIQAQQAQAHVNNQLQPEPLQMPVENHFAPQAHVNNQVATLSTILGQPGPLQMPVENHFPPQAPLMQGTLPFSNQAPAFAPVSAPLQMHVEDYPVSPLLQEQFSYPDQAPQFAPMPVSSEMPMQANFPHSQLMQDPVPFSNEAPVVVPPQASLQMPVEAHFPNSQLLQQPLTYTNQAPQFAPMPVSSEMPMQANFPHFQLMQQPLTYTNQAPQFAPMPVSSEMPMQANFPHSQLMQQPVSFSNEAPVVAPPPAPLQMTLSQSQMPVEAHMPLQAQLYQEPFLVPVQAPVNDPPQAPLQMPMEDHQPPAAEVYNQEVAEHQHLPQGYENNGNRIEIVEPSQPLAATGANDDGSSAVTNDNLFSIQQWADSPFYDEQFYLSVALDGGVPETDLANIEQASSTGLGNGITDEELHAGN >LPERR01G06810.1 pep chromosome:Lperr_V1.4:1:4972835:4977177:1 gene:LPERR01G06810 transcript:LPERR01G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGAVNVEMHKIFKFNRMRRRCCCNYVPASGKRTGSFRDGMDAFDVQESTPLPSAECRLGDCRMCPRVQLQTGIRVMPFGPRRGWRFSLGDQPRRRRETDRDWSFAAGSSLPFSGASARLEEGGEGRRKKGSNCVGPAQITGEQRRWLLRSWTPTTDQLTESDGSSARGPVAAMYFAGE >LPERR01G06810.2 pep chromosome:Lperr_V1.4:1:4972835:4976416:1 gene:LPERR01G06810 transcript:LPERR01G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVTDDDADDCAAAAAALAALGTSASARQSGHVVLERNHASTHSTWKTCPQLGSSRTFSPSASSDRHTAQSGATLPPLFRYAVTGSAVSADASSPRRPLTPNEFSDRKMPPAMPRRPPPPPPPGRVTK >LPERR01G06810.3 pep chromosome:Lperr_V1.4:1:4972835:4976416:1 gene:LPERR01G06810 transcript:LPERR01G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVTDDDADDCAAAAAALAALGTSASARQSGHVVLERNHASTHSTWKTCPQLGSSRTFSPSASSDRHTAQSGATLPPLFRYAVTGSAVSADASSPRRPLTPNEFSDRKMPPAMPRRPPPPPPPGRVTK >LPERR01G06810.4 pep chromosome:Lperr_V1.4:1:4972840:4976416:1 gene:LPERR01G06810 transcript:LPERR01G06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVTDDDADDCAAAAAALAALGTSASARQSGHVVLERNHASTHSTWKTCPQLGSSRTFSPSASSDRHTAQSGATLPPLFRYAVTGSAVSADASSPRRPLTPNEFSDRKMPPAMPRRPPPPPPPGRVTK >LPERR01G06820.1 pep chromosome:Lperr_V1.4:1:4973227:4973844:-1 gene:LPERR01G06820 transcript:LPERR01G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETALSGSPPAPRSEDSWSQQQSYTFSGRVLLTAVVILFVIAVIFAVTRVLLYYFVTRPGGGGGGGRRGIAGGIFRSLNSFGVSGRRGLDASALTALPVTAYRKRGGSVAPDCAVCLSELADGEKVRELPNCGHVFHVECVDAWLRSRTTCPLCRAEAEVPKAARAAAAAAQSSASSSVTVVVDIHGGSDDSSGGGRVVRGATR >LPERR01G06830.1 pep chromosome:Lperr_V1.4:1:4988144:4989933:-1 gene:LPERR01G06830 transcript:LPERR01G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVLIYGIRRGGGGWTRIAAAAAVASPGKKAAGDLDPRHHHRVGDGGGVGGGGGQEEADDHRLLQLHHHGGGGGEETPPVPVFHLQHLQAAAAVRQSSSSAEYALLAPMGDSSGGQSHSPLISFGGGIGHHQHHHHYIQQFTAQATTPQPAPPNRARGGRAGGEIVPAMTTTRSRGGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWHPTAAAAGGNAAPPSSSTHPDSGDNSDDQAQAITVAHTAFDFAGGGGGGGGTSFLPPSLDSDAIADTIKSFFPMGGTNAGGEASSSTAAANSSAMGFNQSYTHDLLSRTGSQSQELRLSLQSLPDPIFHHHRSHGGAGAGDGNGTTTQQSLFPAAANYSFGGGGAMWAEQAAAQNQRMAVPWNMPDPGGGGSGAAGYLFNVSQQAAHMQEAAAAAMGGHGQSQFFFQRGPLQSSNNQPSSERGWPESVEAENNQMSHHHHHHQGAGLSPSVSSAAAAAIGFAPGVSFSGFRLPTRIQGDEEHNGGGGNGDKPPPPPSSVSSASHH >LPERR01G06840.1 pep chromosome:Lperr_V1.4:1:4996152:4997359:-1 gene:LPERR01G06840 transcript:LPERR01G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKDGRDISQVEAWALLLSVVAEKKNNKKRRLIDDACVSKGICTKHCVAVHTGMACPAIPPPPPAPPTYGASSSTSHESVRTDLFVYMSLLKLLLQDDLFNINLLFVQAPCNISVNGVENPDDTLARICQSIWQLFWEQFPLDDDMGPF >LPERR01G06850.1 pep chromosome:Lperr_V1.4:1:5003357:5005751:-1 gene:LPERR01G06850 transcript:LPERR01G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEFSFSDGGWGAALADHFARRADVVLRGFSGYNTRWALRVLERAMEGAAGGGEGADPAAVTVFFGANDASLPDRKQAHQHVPLEEYQSNLRAISAHFKEQWPTAKIIFITPPPIYEPARIRDIYGEDDPSKLPERTNEAAGTYAQACLTVGKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPFGNKILFDCVLETLESIGFSQGSLQQDLPLFHDIDPNDPLKSFEV >LPERR01G06860.1 pep chromosome:Lperr_V1.4:1:5007980:5013955:1 gene:LPERR01G06860 transcript:LPERR01G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSSKPFSRKSHGPNSSKAAAAAGAGAGVFDAHNGTHIRTVTFSLSTSPSTRRELRRRLTAELAQVRATSKRLNSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGPSNPGLSAEARRKLYAPVFKTCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSKLAAGHYKSPREFAADVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGVEATPKQHTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAEHPVAGQDEVHHDLELEKTEDARLDEVEQDHMPPVQETLQNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENADQVVLEVLVAIQALRLVIQTQIVHQQMALTLHSHPERNIYRPGALRMEISPAPAPAPKHARDLGPDSVRVESSPDLILMSGWKVHRQFCHDIVSEIQCCPVNFGYVGSLTKPMLVSFSLSVLVSLWVP >LPERR01G06860.2 pep chromosome:Lperr_V1.4:1:5007980:5010110:1 gene:LPERR01G06860 transcript:LPERR01G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSSKPFSRKSHGPNSSKAAAAAGAGAGVFDAHNGTHIRTVTFSLSTSPSTRRELRRRLTAELAQVRATSKRLNSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGPSNPGLSAEARRKLYAPVFKTCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSKLAAGHYKSPREFAADVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGVEATPKQHTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAEHPVAGQDEVHHDLELEKTEDARLDEVEQDHMPPVQETLQNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENADQVVLEVLVAIQALRLVIQTQIVHQQMALTLHSHPERNIYRPAEINAK >LPERR01G06860.3 pep chromosome:Lperr_V1.4:1:5007980:5010008:1 gene:LPERR01G06860 transcript:LPERR01G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSSKPFSRKSHGPNSSKAAAAAGAGAGVFDAHNGTHIRTVTFSLSTSPSTRRELRRRLTAELAQVRATSKRLNSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGPSNPGLSAEARRKLYAPVFKTCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSKLAAGHYKSPREFAADVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGVEATPKQHTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAEHPVAGQDEVHHDLELEKTEDARLDEVEQDHMPPVQETLQNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENADQVVLEVLVAIQALRLVIQTQIVHQQMALTLHSHPERNIYRPGILISGHSFSCICFPNSNYSIGAFFQVLGLHLVIRGAKKKRIILRTMKIHNACAI >LPERR01G06870.1 pep chromosome:Lperr_V1.4:1:5014447:5019187:1 gene:LPERR01G06870 transcript:LPERR01G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRLPPLPLRRPHGLAPRRLFAAAASASPPAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDADEVEAGSYEAGAASSLAEMGALVLSTADPLSKARLTHTAFSRWVAGLPVGVAGAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLHDTLGDGFFADFARVADDESRHFRWYSQRLNELGFSYGDMPVHNLLWRECAKTSSDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSAEIVTKVAEEELAHVSVGLHWFLKVCQMMDRVPDATFRDLIKEHDVVLKGPFNYPARNEAGIPREWYEEKFKHETLSKLSEVHDRLACIVEMEKENSSLNG >LPERR01G06870.2 pep chromosome:Lperr_V1.4:1:5014447:5016954:1 gene:LPERR01G06870 transcript:LPERR01G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRLPPLPLRRPHGLAPRRLFAAAASASPPAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDADEVEAGSYEAGAASSLAEMGALVLSTADPLSKARLTHTAFSRWVAGLPVGVAGAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLHDTLGDGFFADFARVADDESRHFRWYSQRLNELGFSYGDMPVHNLLWRECAKTSSDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSAEIVTKVAEEELAHVSVGLHWFLKVCQMMDRVPDATFRDLIKEHDVVLKGPFNYPARNEAGIPREWYEEKFKHETLSKLSEVHDRLACIVEMEKENSSLNG >LPERR01G06880.1 pep chromosome:Lperr_V1.4:1:5024539:5026861:1 gene:LPERR01G06880 transcript:LPERR01G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSKAVASVVIVVFLAVARHASSQSYNAIYNFGDSITDTGNLCVGGCPSWLTTGQPPYGDTFFGRPTGRCTNGRVIIDFLGKLILIKLYRKFQSKPDHFGLPLLPPSKASGSDFTKGANMAIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLMPSICGSGKAYTYETSDCKNYLSNSLFIVGEFGGNDYNAPLFGGKSITEVKGYVPQIIDKITSGVETLIGLGAVDIVVPGVLPIGCFPLYLTLYQSSSQDDYDENGCLKSFNSLSDYHNGLLKQGLAGVQSKYPAVRLMYGDFYNQVTQMVQSPGSFGLKYGLTVCCGASGKGSYNYNNQARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLRGPYCSPAILH >LPERR01G06890.1 pep chromosome:Lperr_V1.4:1:5042004:5043438:1 gene:LPERR01G06890 transcript:LPERR01G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVSVAAAFLVLAVLRPPQAAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKPSICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFIPDVVDSIGKGIEKLIEEGAVELVVPGVLPIGCFPVYLSIFRKEPEMYGARSGCIKDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIRFVLHAEKYGE >LPERR01G06900.1 pep chromosome:Lperr_V1.4:1:5043956:5044588:1 gene:LPERR01G06900 transcript:LPERR01G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSIGNVRDFLGRAHCPIAVQDEQGFGDLTEATGMLADSRLYILMLESIGHDKTNGISKLSTIMTMHKYYTK >LPERR01G06910.1 pep chromosome:Lperr_V1.4:1:5045464:5045796:1 gene:LPERR01G06910 transcript:LPERR01G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCRYDKYEPRPYHTISQSISLNLTVFLLSLTGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILEKRHH >LPERR01G06920.1 pep chromosome:Lperr_V1.4:1:5045896:5069913:1 gene:LPERR01G06920 transcript:LPERR01G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEERKKLDLDVRYINPICFLLPCSSSSSRMKLLLLVLLLLLPAISCCFPSRRRYDSIFSFGDSYADTGNGATLGLPFVPPFLAYNGSFRQGANFAVAGATTLDARFFSDLPGVGKFVLNTTSSVQLRWFDSLKPSLCGPAREFGVNDYSFSVFGKTLPEVRAIVPDVVKTISTATERLIRMVGAKTVVVPGIPPLGCSPPNLAIFPSADPADYEPGTGCLKQFNEIAVYHNTLLQGAVEIVQKAHPDVQVVYADFFTPVIRIVESPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMQGATVCGDPSTHLFWDGHMTEAAYRFIANDWLNSIKIFRDSFADTGNDVIVLAENSLRNPTTRPPYSMTFFSHPTGRFSDGRLILDFIAPLRARAAGSGGGGGGGGRLTNTMKLLLIVFVLLLPAICCCRRYDSIFSFGDSFADTGNDIVVLAANSLPNPTTRPPYSMTFFGHPTGRFSDGRLILDFIGTGSGTSSSDAGQEIDDGAGGNHVAGASDLEPTWICALMLPLVVPSIESLAILSGDAAEKLGLPFVPPFLAHNGSFRQGANFAVAGATALDASFFTAIPGAKPVLNISSSVQLGWFDSLKPSLCGPGAARGCKDSFRRSLFFMGEFGVNDYNLVVIFGKSLPEVRSFVPEVVKTISSATERLIKKDGANTVVVPGIPPLGCMPSNLAMFPSTDPAGYEPDTGCLKKFNEIALYHNTLLQGALKNVRKNHPNVRVVYADFFTPVIRVVKSPTTFGFTSDILRCCCGGGGKYNFNMSAGCGMQGATLRGFLLRHRQHRTHLRPGETFFVHPNGRLSDGRLVIDFIAEARGLPLLPPSFAPNRSFEQGANFATAGATALDRAFFVANNFTVPSPFNISIGDQLGWFDDMIKHSLLAAGGCKGYFSSSLFVVGELGLNDYVAVLLAGRDVNVSRSLTPQVVRTISTATQKLIYGGARTVLVSGIPPMGCASANLVTFGSSSEADYEPDTGCLKNLNLLSMEHNRQLRQALIRLGGENPGVKIIDGDFYSPIAELAATPRRFGIDGKDGALRACCGSGGERYNINMSAMCGVDGATACDDPWDGVHLTEAAYHHVADGWLRGPIHA >LPERR01G06920.2 pep chromosome:Lperr_V1.4:1:5045896:5069913:1 gene:LPERR01G06920 transcript:LPERR01G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEERKKLDLDVRYINPICFLLPCSSSSSRMKLLLLVLLLLLPAISCCFPSRRRYDSIFSFGDSYADTGNGATLGLPFVPPFLAYNGSFRQGANFAVAGATTLDARFFSDLPGVGKFVLNTTSSVQLRWFDSLKPSLCGPAREFGVNDYSFSVFGKTLPEVRAIVPDVVKTISTATERLIRMVGAKTVVVPGIPPLGCSPPNLAIFPSADPADYEPGTGCLKQFNEIAVYHNTLLQGAVEIVQKAHPDVQVVYADFFTPVIRIVESPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMQGATVCGDPSTHLFWDGHMTEAAYRFIANDWLNSIKIFRDSFADTGNDVIVLAENSLRNPTTRPPYSMTFFSHPTGRFSDGRLILDFIVTNTMKLLLIVFVLLLPAICCCRRYDSIFSFGDSFADTGNDIVVLAANSLPNPTTRPPYSMTFFGHPTGRFSDGRLILDFIGTGSGTSSSDAGQEIDDGAGGNHVAGASDLEPTWICALMLPLVVPSIESLAILSGDAAEKLGLPFVPPFLAHNGSFRQGANFAVAGATALDASFFTAIPGAKPVLNISSSVQLGWFDSLKPSLCGPGAARGCKDSFRRSLFFMGEFGVNDYNLVVIFGKSLPEVRSFVPEVVKTISSATERLIKKDGANTVVVPGIPPLGCMPSNLAMFPSTDPAGYEPDTGCLKKFNEIALYHNTLLQGALKNVRKNHPNVRVVYADFFTPVIRVVKSPTTFGFTSDILRCCCGGGGKYNFNMSAGCGMQGATLRGFLLRHRQHRTHLRPGETFFVHPNGRLSDGRLVIDFIAEARGLPLLPPSFAPNRSFEQGANFATAGATALDRAFFVANNFTVPSPFNISIGDQLGWFDDMIKHSLLAAGGCKGYFSSSLFVVGELGLNDYVAVLLAGRDVNVSRSLTPQVVRTISTATQKLIYGGARTVLVSGIPPMGCASANLVTFGSSSEADYEPDTGCLKNLNLLSMEHNRQLRQALIRLGGENPGVKIIDGDFYSPIAELAATPRRFGIDGKDGALRACCGSGGERYNINMSAMCGVDGATACDDPWDGVHLTEAAYHHVADGWLRGPIHA >LPERR01G06930.1 pep chromosome:Lperr_V1.4:1:5074529:5077407:1 gene:LPERR01G06930 transcript:LPERR01G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRLTVLVAGVILCSLVRLSWCAGGGGGQRVQNYTSMFSFGDSLTDTGNLVASSPISFSIVGKYPYGITYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRSNDVRQGVNFAVGGATAMDPPFFEKIGASDKLWTNLSLSVQLGWFEKLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPIVTSAVVDATERLIKAGVVHLVVPGNLPIGCSSAYLTVHSSSKKRDYDSNGCLKTYNDFAQHHNTVLQEKLQLLRLRYPQVRIMYADYYGAAMSFAQNPNQFGFRHGALRTCCGGGGPYNFNPTASCGLRGSSVCTDPSAYANWDGVHLTEAGYHAIADSILNGPYTSPRLL >LPERR01G06940.1 pep chromosome:Lperr_V1.4:1:5081089:5083816:1 gene:LPERR01G06940 transcript:LPERR01G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPSNSVAFLLLLFLHGCAHYAHANPVHPMIDSIFSFGNSYADTGNFIKLAAPFLPVIPFNNLPYGETFFGHPTGRASDGRLVLDFTAKQFGLPFVPPYLGDVQNFTRGANFAVIGATALDLAFYQMNNITSVPPLNSSLSVQLDWFHKLKPTLCSTTQGCKDYFGKSLFFMGEFGGNDYVYLLAAGKTVDEIMPYVPKVIKAISAGVEVPNGCIPILLTIYASPNATDYDASTGCLRRLNEFTRYHNSALFAAVLVLRQKYPSVAIVFADYYEPVINFLRNPERFGFSRSSKLRVCCGGGGPYNYNVTAACGLPGATACTNPAAAINWDGIHLTEAAYARIADGWLRGPYALPPILAAVRT >LPERR01G06950.1 pep chromosome:Lperr_V1.4:1:5086291:5089425:1 gene:LPERR01G06950 transcript:LPERR01G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLASKLVSFLLFACLHYAQANYPLIHSIFSFGNSFADAGNFVKIAAPLLPINPINNLPYGETFFGHPTGRATNGRIIMDFIAEKFQVPFVPPSLDQAQNFTHGANFAVVGATALDLAFFLQNNITSVPPFNASLSVQIEWFKKLKPTLCSTVQECREYFRRSLFFMGEFGGNDYVFLLAAGKTVEQLFPYVPKVVEAISAGVEAVIREGAVHVVVPGEMPNGCVPIFLTLYGSKNKRDYDDHTGCLKKQNALARYHNSVLFEAVLELRRKYPSVKIVYADYYKPVIDFVKKPARFGFSASSALNACCGGGGPYNYNATAACGLPGAAACPDPAASISWDGIHLTEAAYRRIADGWLHGPYAHPPIVAAVCS >LPERR01G06960.1 pep chromosome:Lperr_V1.4:1:5092737:5096286:-1 gene:LPERR01G06960 transcript:LPERR01G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQMIPSGFSALLRRKHSSYFHHKRSVSTSCPTYSFRSHFSLLLPHKNQPFFSFFLTSIMRCRAVVFAAVLVVFLVACSVAAAAAAVTINRKRQNRAASPSAAACDMFAAGSWVVDESYPLYDSATCPFIRAEFDCRRFGRPDKQYLRYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTMSPASGKVDPSTTARFEDFNVTIVYYLTHYLVDVVNEKAGRVLKLDSIDQARNWLGADVLVFDNWHWWPRSGPTQPWDYIQEGNTVVKDMDRTQAFTKALNTWARWVDANLVQTNTRVFFQGISPSHYRGQDWGASARATCMGETQPVNGTAAGAYPGGLIPQQAILKNVLASMAKPVYFLDFTHLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQQ >LPERR01G06960.2 pep chromosome:Lperr_V1.4:1:5092737:5096052:-1 gene:LPERR01G06960 transcript:LPERR01G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTPPTSTIKEAYQQAALHIPSAHTSRSSSLTRTSLSFLSSSPPLKMRCRAVVFAAVLVVFLVACSVAAAAAAVTINRKRQNRAASPSAAACDMFAAGSWVVDESYPLYDSATCPFIRAEFDCRRFGRPDKQYLRYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTMSPASGKVDPSTTARFEDFNVTIVYYLTHYLVDVVNEKAGRVLKLDSIDQARNWLGADVLVFDNWHWWPRSGPTQPWDYIQEGNTVVKDMDRTQAFTKALNTWARWVDANLVQTNTRVFFQGISPSHYRGQDWGASARATCMGETQPVNGTAAGAYPGGLIPQQAILKNVLASMAKPVYFLDFTHLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQQ >LPERR01G06970.1 pep chromosome:Lperr_V1.4:1:5100217:5102785:-1 gene:LPERR01G06970 transcript:LPERR01G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNFDLGISFDEFEDNMKKFMEELIEVPMQYFDNAVDTAVGFIEDIHERIYDPSPSDDADEAQDKANELFKGPPCDNVIIGSSATSETVACNEEMCSPSTSTVTVQDSFMVSTDARETESILTKCSESTSSEGGDIEVNDQCVLPMDTSEAEISEEEVLLCNSEKASESCTSEDIILLGRTVNVGKEVILWNPGKPAEPQSPDHEVNKDKVMEHVEFHGSFHLESSGCGGAIIIGGTITNCEEQTESQSTKDPEPEESINHGVTVMHELTTDLSNSADESNTWFNDSIQFVDIDLKDDQERTEEDVSPVCQPKNTSFKKKLLKSLVNKLRWSKKERDVNQAAPDRSQEEVDVRYQAVSSSDDLDDDWELVNLHKAP >LPERR01G06970.2 pep chromosome:Lperr_V1.4:1:5100217:5102785:-1 gene:LPERR01G06970 transcript:LPERR01G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNFDLGISFDEFEDNMKKFMEELIEVPMQYFDNAVDTAVGFIEDIHERIYDPSPSDDADEAQDKANELFKGPPCDNVIIGSSATSETVACNEEMCSPSTSTVTVQDSFMVSTDARETESILTKCSESTSSEGGDIEVNDQCVLPMDTSEAEISEEEVLLCNSEKASESCTSEDIILLGRTVNVGKEVILWNPGKPAEPQSPESSGCGGAIIIGGTITNCEEQTESQSTKDPEPEESINHGVTVMHELTTDLSNSADESNTWFNDSIQFVDIDLKDDQERTEEDVSPVCQPKNTSFKKKLLKSLVNKLRWSKKERDVNQAAPDRSQEEVDVRYQAVSSSDDLDDDWELVNLHKAP >LPERR01G06980.1 pep chromosome:Lperr_V1.4:1:5104733:5111558:1 gene:LPERR01G06980 transcript:LPERR01G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAKKVLVPIVAGTEPVEATVPIDVLRRAGAHVTVASAAGDGQLVVEVMYGVRIVADALVADQADDHFDLVALPGGVPGAANLGGSAALEAVVRRHAGRGGLCAAICAAPPLALASWGMLNGLKATAHPLFVDMFPPEVIAVDASVVVDGNAVTSRGPATSTEFALALVEQLYSKDKADQIAKEMLVRYEAGHTMKEFNSAQWKCNGTPKVLVPVANGTEEMELITIIDVLRRAEANVVVASADDDAGVEIVARHGMRIVADTTLDEAADADQMSFDMIILPGGTPCAKTIGSNEKLVTLLKKQAEACKPYGAIGEATAHVLEPHGLLERECKRFQGKKAAAHPSAKDGSAGECEMSRVVVDGNVITSRSAGTAMEFAVAVVEKLLGRDVARQVAEGLLFAY >LPERR01G06990.1 pep chromosome:Lperr_V1.4:1:5116622:5119420:1 gene:LPERR01G06990 transcript:LPERR01G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAASSLATRAASWPRRLLYRAFASAAASSSEPPPKRVLVPVAAGTEPVEAAATADILNRAGARVTVATADPAAGDHGLLVEAAFGVKLVADGRIDDLEGETFDLIALPGGMPGSANLRDCKVLEKMVKKQAEEGRLYAAICAAPAVTLAHWGLLKGLKATCYPSFMDKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSVEVAGPLYVRPQPGADYVIDEYNKVEWIYSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQIVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLIDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSSCDSRVVVDGNLITSKAPGSATEFALAIVHKLFGREKAVNIAKELIFM >LPERR01G06990.2 pep chromosome:Lperr_V1.4:1:5116622:5119540:1 gene:LPERR01G06990 transcript:LPERR01G06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAASSLATRAASWPRRLLYRAFASAAASSSEPPPKRVLVPVAAGTEPVEAAATADILNRAGARVTVATADPAAGDHGLLVEAAFGVKLVADGRIDDLEGETFDLIALPGGMPGSANLRDCKVLEKMVKKQAEEGRLYAAICAAPAVTLAHWGLLKGLKATCYPSFMDKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSVEVAGPLYVRPQPGADYVIDEYNKVEWIYSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQIVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLIDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSSCDSRVVVDGNLITSKAPGSATEFALAIVHKLFGREKAVNIAKELIFM >LPERR01G07000.1 pep chromosome:Lperr_V1.4:1:5122362:5124949:1 gene:LPERR01G07000 transcript:LPERR01G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALSVIVLGSWICMSFCLVDRLLPGDEMGSAPFGNAAGELYEYQGYHGGFAGGAVAAAASMEMTKKNFGKGGGDRDEKAAMALKSHSEAERRRRERINAHLATLRTMVPSTDKMDKAALLAEVVSHVRKLKVAAARVGGGVPSGADEVAVEETTTTNTTNLIRATLSCDDRSDLFADVKLALQPLGLEVVGSEVTTIGGRVRLAFHVSKRDGASADVVASVRHALQSVLDKASSGFDAFAPRASLLSKRRKVSTFESSTSSS >LPERR01G07010.1 pep chromosome:Lperr_V1.4:1:5133807:5139541:1 gene:LPERR01G07010 transcript:LPERR01G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPAAAAMPDTLSDAFAAAVLMSSTDKPDTLPPGRLSPVSPLTHSSAANKLHPTPSSSSGSSGSLSRAPAASALASRRSHSGEIPLPSDAPPRGSPRPGHRRTGSGPLIFTSGSSSCSSSATSPLTNALPAGNICPSGRLAKPLPFSSSSSSSSAATPPPPPAPRAARHDVLGSGTANYGHGSIVRSRSGGVVVGGEEDAAVRRALSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGRIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSMLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFIANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDPGNAEVIAMHNNVKAVARARSLGNELFNSGKVSEASLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYAKMERWAESVKDYEVLRRELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEVITGMEQFQMATSLPGVSVIHFMTPLNQQCCKISSFVNTLCTRYPSINFLKVDINESPAVARAENVRTVPTFKIYKNGIRVKEMICPSQQLLEYSTLGGPGAHEADMLTSSRGVLRRAVLAFLPFQIGTHYSCPITVSTPVAYATCSGGFMGNLEMGYS >LPERR01G07020.1 pep chromosome:Lperr_V1.4:1:5152527:5156772:1 gene:LPERR01G07020 transcript:LPERR01G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRARGDPLVVGRVVGDVVDPFVRRVPMRVEYPPRDVTNGCELKPSAVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGASFGTEVVCYESPRPTLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPAAAVYFNCQREAGSGGRRMGALY >LPERR01G07030.1 pep chromosome:Lperr_V1.4:1:5157559:5163523:-1 gene:LPERR01G07030 transcript:LPERR01G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAPAPRIRVDSDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNDSSLLSKSDSIDGINIIFKSTGLSVLSSNGSLPQSDPCVVLEIRNLTLLTPRSGNILITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDVIYHVRGSMELQTSNSGPDEPSDMQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWIEDVYHSPNNDPQSTDPLTFLSEVSTSDGVGSKSEMPTTDELIRVLEVVKLGYILPRFDGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDTNEAHLYSQIEAAGITYISIGHRKTLHKFHNKVLYISKSDSTDSVPRNWDLKPTDQMPIEESSSFAS >LPERR01G07040.1 pep chromosome:Lperr_V1.4:1:5164319:5176007:-1 gene:LPERR01G07040 transcript:LPERR01G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPTVTAAAPGEVDEEPPPTGEGSSSMMPAKRRRERVIPSRFRDSVVALPSAAKKAAPGAGGDALYDVEVQAGEDALLGATTCEENPVLTEEELYLACRNIRRISPSCRFSSSVVTSLSDSGGNGRAQEGKCSVVGERKRRRDGGGGQEGRSTPVVECKPKRDGGERKVDFYWPEEFVLGDVVWARADGKKRPAWPALVIDPMLHAPRVVLNSCIPGALCVMFFGHSYCGLRDYGWVKQGMIFPFLEFQNQNLYKIKASRFCEAIEEAFLAEGGLFELDKDGGCSLEKSVNGHSIPDGLQEGSGSNNEQECQPDAQVVSKLPGCCDICGNRLPCKIAQKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDRTCINMKIANQNARVYSVEQMNTPNSSEVCSSSPVAGVGAMPASRIPLKRCTAAAVPGEVVMEEEDSPPSAGGGGGSGGGGGGGGGGVVVPAKRRRERVVPSRFRDSVVTLPVAKKGKPAVAAPVVKSAAAAGGGGADGEVYNVEVRAVEPKGETFGAVETAVWTGDERPPETEEELYRACRNISRSSSSSGGFSGSVVTTVTSLSNAGGNGAPPEGRSVVVECKPKRDGGEKREDFYWPEDFVLGDVVWAKAGKKSPAWPAVVIDPLLHAPEVVLNSCIPGAQCVMFFGYSSGGHGRDYGWIKQGMIFPFVDYLDKFQGQSLYKLKASRFREAIEEAFLAERGFFELEMDGGCSLEKSVNDPSIPDGLQEGTGSNNEQECQSEAQVVGKSPGCCDSCGNRLPSKIAKKKKLAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCINMEDLENAEYFCPDCKSRRNTVCPVEKEQTETPNSSECASISKEKLPEMIPVFCFGMDGMYLPQKHMILCQCNCCKGRLMSPSEWERHTGSRKKNWKMSVKLKSNGDQLLDHIPCANLKSCTPSIDKEELLKLLANSFSPVCARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGACDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDEKMEPAMGILSIPSESFKKTCVICKQMHGACTQCYKCSTYYHALCASRAGYRMELQSSEKNGRNITRMVSYCSFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQTGARLVRKENLHEEVFPLNISDCPAARCLAYDMLKNKKERGEAIAHRIMGPRHHSQESIEVLSACMDQKDDKPFATFKERLQYLQKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDGKSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >LPERR01G07040.2 pep chromosome:Lperr_V1.4:1:5164319:5176007:-1 gene:LPERR01G07040 transcript:LPERR01G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPTVTAAAPGEVDEEPPPTGEGSSSMMPAKRRRERVIPSRFRDSVVALPSAAKKAAPGAGGDALYDVEEGRSTPVVECKPKRDGGERKVDFYWPEEFVLGDVVWARADGKKRPAWPALVIDPMLHAPRVVLNSCIPGALCVMFFGHSYCGLRDYGWVKQGMIFPFLEFQNQNLYKIKASRFCEAIEEAFLAEGGLFELDKDGGCSLEKSVNGHSIPDGLQEGSGSNNEQECQPDAQVVSKLPGCCDICGNRLPCKIAQKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWIANQNARVYSVEQMNTPNSSEVCSSSPVAGVGAMPASRIPLKRCTAAAVPGEVVMEEEDSPPSAGGGGGSGGGGGGGGGGVVVPAKRRRERVVPSRFRDSVVTLPVAKKGKPAVAAPVVKSAAAAGGGGADGEVYNVEVRAVEPKGETFGAVETAVWTGDERPPETEEELYRACRNISRSSSSSGGFSGSVVTTVTSLSNAGGNGAPPEGRSVVVECKPKRDGGEKREDFYWPEDFVLGDVVWAKAGKKSPAWPAVVIDPLLHAPEVVLNSCIPGAQCVMFFGYSSGGHGRDYGWIKQGMIFPFVDYLDKFQGQSLYKLKASRFREAIEEAFLAERGFFELEMDGGCSLEKSVNDPSIPDGLQEGTGSNNEQECQSEAQVVGKSPGCCDSCGNRLPSKIAKKKKLAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCINMEDLENAEYFCPDCKSRRNTVCPVEKEQTETPNSSECASISKEKLPEMIPVFCFGMDGMYLPQKHMILCQCNCCKGRLMSPSEWERHTGSRKKNWKMSVKLKSNGDQLLDHIPCANLKSCTPSIDKEELLKLLANSFSPVCARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGACDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDEKMEPAMGILSIPSESFKKTCVICKQMHGACTQCYKCSTYYHALCASRAGYRMELQSSEKNGRNITRMVSYCSFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQTGARLVRKENLHEEVFPLNISDCPAARCLAYDMLKNKKERGEAIAHRIMGPRHHSQESIEVLSACMDQKDDKPFATFKERLQYLQKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDGKSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >LPERR01G07040.3 pep chromosome:Lperr_V1.4:1:5164319:5176007:-1 gene:LPERR01G07040 transcript:LPERR01G07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPTVTAAAPGEVDEEPPPTGEGSSSMMPAKRRRERVIPSRFRDSVVALPSAAKKAAPGAGGDALYDVEVQAGEDALLGATTCEENPVLTEEELYLACRNIRRISPSCRFSSSVVTSLSDSGGNGRAQEGKCSVVGERKRRRDGGGGQEGRSTPVVECKPKRDGGERKVDFYWPEEFVLGDVVWARADGKKRPAWPALVIDPMLHAPRVVLNSCIPGALCVMFFGHSYCGLRDYGWVKQGMIFPFLEFQNQNLYKIKASRFCEAIEEAFLAEGGLFELDKDGGCSLEKSVNGHSIPDGLQEGSGSNNEQECQPDAQVVSKLPGCCDICGNRLPCKIAQKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDRTCINMKIANQNARVYSVEQMNTPNSSEVCSSSPVAGVGAMPASRIPLKRCTAAAVPGEVVMEEEDSPPSAGGGGGSGGGGGGGGGGVVVPAKRRRERVVPSRFRDSVVTLPVAKKGKPAVAAPVVKSAAAAGGGGADGEVYNVEVRAVEPKGETFGAVETAVWTGDERPPETEEELYRACRNISRSSSSSGGFSGSVVTTVTSLSNAGGNGAPPEGRSVVVECKPKRDGGEKREDFYWPEDFVLGDVVWAKAGKKSPAWPAVVIDPLLHAPEVVLNSCIPGAQCVMFFGYSSGGHGRDYGWIKQGMIFPFVDYLDKFQGQSLYKLKASRFREAIEEAFLAERGFFELEMDGGCSLEKSVNDPSIPDGLQEGTGSNNEQECQSEAQVVGKSPGCCDSCGNRLPSKIAKKKKLAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCINMEDLENAEYFCPDCKSRRNTVCPVEKEQTETPNSSECASISKEKLPEMIPVFCFGMDGMYLPQKHMILCQCNCCKGRLMSPSEWERHTGSRKKNWKMSVKLKSNGDQLLDHIPCANLKSCTPSIDKEELLKLLANSFSPVCARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGACDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDEKMEPAMGILSIPSESFKKTCVICKQMHGACTQCYKCSTYYHALCASRAGYRMELQSSEKNGRNITRMVSYCSFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQTGARLVRKENLHEEVFPLNISDCPAARCLAYDMLKNKKERGEAIAHRIMGPRHHSQESIEVLSACMKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDGKSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >LPERR01G07050.1 pep chromosome:Lperr_V1.4:1:5178925:5182147:1 gene:LPERR01G07050 transcript:LPERR01G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDDDEEQAEDPILGDTEGMCSLSPVQRVYGFAACLVAGLALMILSLIVFIRPIKFAVMFTFGNILAVGSTAFLMGPSQQLRMMLDPVRLYATAIYGGFVILALICALWIHSKVLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLEYNSLFGRQTSRTPGATRHH >LPERR01G07060.1 pep chromosome:Lperr_V1.4:1:5182370:5185565:1 gene:LPERR01G07060 transcript:LPERR01G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVISLLRPPREIESSESERRTTMELRKRPRPKRLDPDFVSSPPPRKRARRQQPAARPPPPPPAATEARRRRAAKRRRVIIQSPVAGLQPSVCGPCEAPLRACGFPRASFLARRRDPFDRYEADMWTEVAKHLFGAELVRLSSTCRWFRRLLADESIWRHAFLRDLSLLPSGAGRYPPLRRPLHRSWRLLYAAAFSKLQPPSATDTPVPLTDVIRVPSILPPDGAHSYWFRESNKHIGAFRIGGFLLESPHMVLTAMLEMPRWLPPLDDGPQIAIGMTGACFLPNARPGIWIADFHLVKCPTCTLNKCAGVLQVLEARHCELFCEKDFQDKTWEYEELGEHYNDKETAKAACAIFNASIRAHESISCVLSSKTWIRKCNDPQPKAHCRPYAVALNSNLLAKSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >LPERR01G07060.2 pep chromosome:Lperr_V1.4:1:5182370:5185450:1 gene:LPERR01G07060 transcript:LPERR01G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVISLLRPPREIESSESERRTTMELRKRPRPKRLDPDFVSSPPPRKRARRQQPAARPPPPPPAATEARRRRAAKRRRVIIQSPVAGLQPSVCGPCEAPLRACGFPRASFLARRRDPFDRYEADMWTEVAKHLFGAELVRLSSTCRWFRRLLADESIWRHAFLRDLSLLPSGAGRYPPLRRPLHRSWRLLYAAAFNGAHSYWFRESNKHIGAFRIGGFLLESPHMVLTAMLEMPRWLPPLDDGPQIAIGMTGACFLPNARPGIWIADFHLVKCPTCTLNKCAGVLQVLEARHCELFCEKDFQDKTWEYEELGEHYNDKETAKAACAIFNASIRAHESISCVLSSKTWIRKCNDPQPKAHCRPYAVALNSNLLAKSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >LPERR01G07070.1 pep chromosome:Lperr_V1.4:1:5187457:5187942:1 gene:LPERR01G07070 transcript:LPERR01G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKQRLYSLKLLVKTLHKMKKMMMKTSTSSSSSNKGGKKIASSKPTPEAASATAVEAAMGSNKAKVNQRIRGAQGGGQRKGVVRVKVVLTKEEAARLLSLTAGAGAAGGRKTAAQIVAEIKRMEARRAFAAAAAAWRPALESIPEEHHHSPRRSLEIQVV >LPERR01G07080.1 pep chromosome:Lperr_V1.4:1:5192720:5194599:1 gene:LPERR01G07080 transcript:LPERR01G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLFARGPCTAHGPVSSGLILPPPPLPLRPPARNLHAFSPAQPPPQHAKKPHTHALPPLPLPLSLTPSSPSTSSPLTTLHKPSIPISISTSYPIDLLTNGVSVREIAGARRAPAAADPGGGSEGGGAVRAGDAADGALHALPRRSAGDDALRHLLQQPRGAQPDGPFPRRPRRRLQLRQGGRRRRPPRHRLLPRLRPPRRLWPLH >LPERR01G07090.1 pep chromosome:Lperr_V1.4:1:5194039:5202491:-1 gene:LPERR01G07090 transcript:LPERR01G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGIAVVLLVAAMAPAAIAGAGGHDYGKALSKSILYYEAQRSGVLPSNQRIAWRGNSGLADGKSNGVDLAGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGEQMAAAGELGHAVEAIKWGTDYFVKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAAASLVFRISNPGYANQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQATNDRRYLDYLANNADALGGTGWSINEFGWDVKYPGIQVLAAKILLQGNAGEHDAVLRSYRQKADFFACSCLGRDASNNVVRTPGGMVYHQRWNNIQFVTSTSFLLTVYSDHLPSGATVACSSGSPARPSDLLDFAKSQVDYILGSNPRGTSYMVGYGDVYPRQAHHRGSSIPSIRASPSFVSCREGYSNWYGRQGSNPNLLEGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGVLARLAAGGRVRQSIKEGIAANRTSLPPLSHGANHQQHVSPIEVEQKATKSWTQNGRKYYRYAVTVTNRSPTKKTVEELHIGIGKLYGKVWGLEKARYGYVLPSWMPALRAGESAAFVYVHAAPPADVWITGYKLAGRRAHHHLLGLALVVLAAVTAQVVRGHDYRLALSKSILYFEAQRSGVLPKNQRISWRGNSGLLDGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGKQMAAAGELGHAVEAVKWGADYLVKAHPEPNVLYGEVGDGDTDHVCWQRPEDMTTSRQAYRLDPQHPGSDLAGETAAALAAASLVFSRSKPRYSNLLVQHSKQLFDFADEYRGKYDDSIPVAKSFYASFSGYADELLWASAWLFQATNDRRYLDYLANNADALGGTGWASTEFGWDLKYPGVQVLAAKILRQGKAGEHAAVLQRYQQKAESFVCSCLGKNGAGSVGRTPGGLLYHMNWNNLQFVTGASFLLMVYADQLSGAGVQCPAGASPPSELVGLAKSQVDYILGNNPKGMSYIVGYGAKFPQHVHHRGASIVSIKSNPSFVSCKDGFANWFVRPGNNPNLLDGAMVGGPDEHDEFADERNNYQQTEVATYNNAPLMGVLARLAGGHGRIGQSLDDKNITATGSKSTANQTSLPPLPPAADATIQITQKLTKSWTVRGRPYRRYTVTLTNISRTRKTVTELHIGIGKPQDRIDVLGLEKTRHGYVLPNWRSSLAAGKSATFVYVVNAAQPADVWVIGYKLV >LPERR01G07100.1 pep chromosome:Lperr_V1.4:1:5207783:5212284:-1 gene:LPERR01G07100 transcript:LPERR01G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSPTELQSSAQGTNEVQSNQLNPMDTDAPAGDSGSLSVASTDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAHMQNGMHHMPVNLPMGYPVIQQPMMPAPGQPHIDPMACGLSGGHVANGIPAADLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >LPERR01G07100.2 pep chromosome:Lperr_V1.4:1:5207783:5212284:-1 gene:LPERR01G07100 transcript:LPERR01G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSPTELQSSAQGTNEVQSNQLNPMDTDAPAGDSGSLSVASTDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLGDVAKSVDDDISVLRVLYLWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAHMQNGMHHMPVNLPMGYPVIQQPMMPAPGQPHIDPMACGLSGGHVANGIPAADLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >LPERR01G07110.1 pep chromosome:Lperr_V1.4:1:5220804:5225174:1 gene:LPERR01G07110 transcript:LPERR01G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRPEPPNDAALVLVIRVRIAIGVVRVGFLLLAALPRRLRPPPAVLGVAAAPHSHRARLAVPVAVAPEDEAQKGGELPPTADGSLLLLLRDGDRGGDCQIRLRRRLHRRLGQHKEFLRGGFAVDGGIGHREVGGAARRRWGDEEEADGGGNGEGGACGGHACLGRIV >LPERR01G07120.1 pep chromosome:Lperr_V1.4:1:5224199:5224690:-1 gene:LPERR01G07120 transcript:LPERR01G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAALAVAAAVCFLLVAPAPASRPANLPVPDAAVDREAAAEKLLVLPEPAVKAAAEADLTISTAIAVPEEEEKGPVRRGGQFSSLLCLVFRCDGDGDGEASAVAVRSGGDSQDGGWWPEPAGKGCEEEESDSDDSDCDSDSDDEDEGGIIGWFWSLAHRF >LPERR01G07130.1 pep chromosome:Lperr_V1.4:1:5230312:5230844:-1 gene:LPERR01G07130 transcript:LPERR01G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQEVYFVFMNFDPDYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRSAKEVRVVEKNQELA >LPERR01G07140.1 pep chromosome:Lperr_V1.4:1:5248627:5249994:-1 gene:LPERR01G07140 transcript:LPERR01G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTVRVAVGILGNAASMLLYAAPILTFRRVVKKGSVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENSTVSSINGLGILLEIAFISIYTCMRKVFVGSIGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFVGCPMGILQLVLYCIYRKSHEEAEKLHDIDQENGLKVVTTHKKITGSEPEVQKD >LPERR01G07140.2 pep chromosome:Lperr_V1.4:1:5248627:5249904:-1 gene:LPERR01G07140 transcript:LPERR01G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAAPILTFRRVVKKGSVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENSTVSSINGLGILLEIAFISIYTCMRKVFVGSIGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFVGCPMGILQLVLYCIYRKSHEEAEKLHDIDQENGLKVVTTHKKITGSEPEVQKD >LPERR01G07140.3 pep chromosome:Lperr_V1.4:1:5248627:5249994:-1 gene:LPERR01G07140 transcript:LPERR01G07140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTVRVAVGILGNAASMLLYAAPILTFRRVVKKGSVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENSTKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFVGCPMGILQLVLYCIYRKSHEEAEKLHDIDQENGLKVVTTHKKITGSEPEVQKD >LPERR01G07150.1 pep chromosome:Lperr_V1.4:1:5252019:5252402:1 gene:LPERR01G07150 transcript:LPERR01G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPPPSSCWDSLMSALSSSGRPERPPATMLSLQEQLIAASEHAGLERLRERAGALQRELDGVAGETEAAEAAARAAERRAAEAEGEVRAAERERESLREVEEEIEAMDRRIKLLEAIVATITPNPN >LPERR01G07160.1 pep chromosome:Lperr_V1.4:1:5255901:5262097:-1 gene:LPERR01G07160 transcript:LPERR01G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKMKQGSGFSGEEVIAEFERLTKDAAIVQRETLRRILAENAGVEYLRDLGLAGRTDPDSFRSCVPLATHADLEPYIERIADGDSSPILTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRTSYAFRNREFPVGNGKALQFIYSSRQTKTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGSGGADFSQSLYCHLLCGLLAAGEVQIVSATFAHSVVLAFQTFERVWEELCADIRSGEVSPARVTTPAVRNAVEALLGGPMPELADEIARKCVALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGELPLVAAEYGASEGWIGANVEPATPPELATFTVLPDIGYFEFIPLRPLDGGGAAGGGCYAEAEPVGLTEVAVGEQYEVVMTTFAGLYRYRLGDVVEVSGFHNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAALAAEKLEVVDYTSHADTSSSTSPGHYVVFLELNAEDAAAVDGDVLQSCCDELDRAFVDAGYVGSRKTKAIGALELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAACTVKVLFSSAYD >LPERR01G07160.2 pep chromosome:Lperr_V1.4:1:5255903:5261808:-1 gene:LPERR01G07160 transcript:LPERR01G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKMKQGSGFSGEEVIAEFERLTKDAAIVQRETLRRILAENAGVEYLRDLGLAGRTDPDSFRSCVPLATHADLEPYIERIADGDSSPILTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRTSYAFRNREFPVGNGKALQFIYSSRQTKTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGSGGADFSQSLYCHLLCGLLAAGEVQIVSATFAHSVVLAFQTFERVWEELCADIRSGEVSPARVTTPAVRNAVEALLGGPMPELADEIARKCVALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGELPLVAAEYGASEGWIGANVEPATPPELATFTVLPDIGYFEFIPLRPLDGGGAAGGGCYAEAEPVGLTEVAVGEQYEVVMTTFAGLYRYRLGDVVEVSGFHNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAALAAEKLEVVDYTSHADTSSSTSPGHYVVFLELNAEDAAAVDGDVLQSCCDELDRAFVDAGYVGSRKTKAIGALELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAACTVKVLFSSAYD >LPERR01G07170.1 pep chromosome:Lperr_V1.4:1:5271413:5273575:-1 gene:LPERR01G07170 transcript:LPERR01G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKAAALEEELVVKSFRIASLEARVSLLESENARLRAVMAKGEPPDHGASGKRAPSFRRLEEISRGGRNHDVIEVSDSEEEEEGKGIGVDVRKGKGAEEGLVAIPTPRKRAALRVVTRESGDEDEDEIEDAEGGGDGDGDGGDQGSVSCGDNVGLEDDDVTVTPRGRKRAAALVFTSNSEDEAGSLVGRGRRKSAGVGDDGNVEGEGVTRSRKRALCGVSDNESEDDGGGGGVVFSSGHASPVVATGIESDDDMVPIREVVKKMRRERVSKGGGEPSENKGFSTPATRRSARLAKTQSNRAKSARRALNFVEPKDCEESEYDSEEDDDMDEFIVDDSDCSENSSSSAEPKDSDASAPCEGSSPESEESDDEINYKDVMACIGRKRNAKEWKYEAEMLYAFAEHPELCMKAVCALYRKQTKDEQDVKATIVHNKQGFNQIDASRGSSIAEFLLDGDSFGPLKKTVNDLENYDCYALEFCRKLAARYSKQLFSIYQNKEDPYFHP >LPERR01G07180.1 pep chromosome:Lperr_V1.4:1:5276429:5276683:1 gene:LPERR01G07180 transcript:LPERR01G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGQKAAAGCGGRYFQMPLHYPRYRKEEYERMPEWQLDRLLSEYGLPVHGTLQHKRAFAIGAFLWGAGAGNNNSGGHGAA >LPERR01G07190.1 pep chromosome:Lperr_V1.4:1:5278652:5281249:1 gene:LPERR01G07190 transcript:LPERR01G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLHLPAAAARPPARRRRIVAEATATGGGVKQQQVAVVRKGKEAVGKVIGVADPVREGRLLPPPLFSVPVTPSESPAAARQREEDEEERRRYYLNMGYAIRTLREELPDLFFKEPSFDIFRDDIVFKDPFNKFEGIDNYRRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWESHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPKKFKVMPVEELIRSLGCPSTPRPTYFEISSQSLSIAPCYLRLAWTRCYLLLCHMLSLANLGEG >LPERR01G07200.1 pep chromosome:Lperr_V1.4:1:5285583:5290007:1 gene:LPERR01G07200 transcript:LPERR01G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLTPKDVVPEGAKKPISEGLSPRKWLRDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVLAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFLDEPAFKKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKFEILDTTKQAAELLRSLAKDLSNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQIKISRVVSVKNQSGEPETKTTENTVPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLVTFTSLLIEFVARLDHLVEAAEKLANMARFKQQTAN >LPERR01G07210.1 pep chromosome:Lperr_V1.4:1:5290285:5295406:1 gene:LPERR01G07210 transcript:LPERR01G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCVASSSSCPSTVFTTRAPHRPSLRPPPRVPRHARPPGRSVQAVSCLPRWGSEKVTVHASSGEKGAEETAIRRGRRGRAWPLGASCGLALAAVAGVLMLQGSQQALAGTQFVGLQAGDVLGDLEDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGAVIFLGTFGALAVMTIISVVLGRAFHYVDGIIPFSFGGTDFPVDDFLAACLLVYYGVTTLLDAASGDGEKMNEEQEEAELAVSKFSGNGAGIMSAAGTVASTFVLVFIAEWGDKSFFSTIALAAASSPPGVIAGSLAGHALATLIAVLGGSLLGTFLSEKIGYLSSAVSPSSDSAFSLESGESSAALLSLLMEFSSSTFIWRYMLAWKNGAAPASRTENRLPEAVSTLTILRRRTSIRCVVVTLMASSRLVASRRSRDTKFRAMPPATTSPRAGGTESSVKA >LPERR01G07210.2 pep chromosome:Lperr_V1.4:1:5290285:5294356:1 gene:LPERR01G07210 transcript:LPERR01G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCVASSSSCPSTVFTTRAPHRPSLRPPPRVPRHARPPGRSVQAVSCLPRWGSEKVTVHASSGEKGAEETAIRRGRRGRAWPLGASCGLALAAVAGVLMLQGSQQALAGTQFVGLQAGDVLGDLEDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGAVIFLGTFGALAVMTIISVVLGRAFHYVDGIIPFSFGGTDFPVDDFLAACLLVYYGVTTLLDAASGDGEKMNEEQEEAELAVSKFSGNGAGIMSAAGTVASTFVLVFIAEWGDKSFFSTIALAAASSPPGVIAGSLAGHALATLIAVLGGSLLGTFLSEKH >LPERR01G07220.1 pep chromosome:Lperr_V1.4:1:5294526:5296765:-1 gene:LPERR01G07220 transcript:LPERR01G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVDGVVVVGGGELEVFDAGRWGGGGYELGVAVGRRFGEAIRSRMRGDAVLRQQLLPFASTAEGRELVDALRDANRARYPRYWDEMVGTADGARVPLLHVILVNFRKEILPFIPTKEEEEHHKQEVSADDDCSDVLLVSDLTAIAAHNEDGNIALLGHTYVAFTLDSVPPARGEVVAGGIARNFVSRDLLEATSLDDAINKVSSPEMSVGHSYNLIDVRRRRIVNVETASGNRFSVREAGAAPFFHANMYRHLRVNQVEDENSMSRERRAAELSPDSKEKALSLLGDTADDKYPIYMTGPTLYTLCSVLVDLDEKTMTIYKGNPKNRDAVRVFRIL >LPERR01G07230.1 pep chromosome:Lperr_V1.4:1:5326143:5330212:-1 gene:LPERR01G07230 transcript:LPERR01G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAGEPRRVVLLVDVDPLLPSPKASTPASASRYLAAVLPAAASLLSASSSSPTTYSFLSAARLFFSSLSPVLSSSLLPRPLPAAPTPLSFHLHPETLASLAPLRRLALPAAACAHPRAPPSSSIAKSILQIEHDYSWDDEPPHGRRRRRFGETPNLVVLFTAAAEFEEFGGDASFGGRFRGVFRPVRDRLAAKGVQVCWVAVGDCGEEVARVVRELGWWFTTADAVTLGSAVAPPGLVWGGLGVGGGEGGVRRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWMLVSGGVFKIHVKAVCEVGNWEQLVDRDGDVAMLRGWLREAGKGDGEEDVEKEFFAHKIVELMLGDEKDKIGRGKPIWQLILVFLHRKNYCAMVSVSDGDGNPIDGVIVPLSINYALLHVAKDGACFGQVVAKGPELLDSCIPDTSKEQSARKKRSKLISKLFEATTWSSFCDVLLKRADGSIPMVDLEDLYFSRYSATSKKLRFLKCWMKQVKRQCLSTPSSILPEEEKCLSSKDETEANNPVSEEDASAPLANFSVDGADCDKEDKPMDETNCNKVEKPLDDGTSEFSSMEDLEAFLGSVPQKIEQSLCSDDADLGNLAGRLVGLSVQALMIKHGKITVRYFDRGEVEDASDGKIACEASSILLRKPKELVSKYKDSNSACTKSQQTPKYSTIYKIREHELQILLRMEVMNSELGPGIDEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILEFAEKTIKIRYMDSMEDVIKKIYTEMEFDLFDDEEMECSDSLPSSSNHEIDGSNSRGSHISHSAPHVPRRDHHHQGSGGSRHEERLARAEERRNRERRLSSFTSWVPDLRRVWALKHPGKEPAVPTSRQASKRRKRRRAACTDMVCETPMTAGNRKQPQQDSGDDDAGSLATVSKVLFNDDD >LPERR01G07240.1 pep chromosome:Lperr_V1.4:1:5332539:5335749:-1 gene:LPERR01G07240 transcript:LPERR01G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQMVEAEKKRIRQEYERNEKQRDIKRKIDYSKQLNDSRIKVLQAQDDLVKSMVEAARKELLYISRDHHAYTNLLKTLIVQSLLRLKEPAVILRCRKEDRELVESVLESAKNAYADKANVYPPEILVDRNVYLPSASSHYDAHGPSCSGGVVLASRDGKIVCENTLDARLEVIFRKKLPEIRRSFFEQIAA >LPERR01G07260.1 pep chromosome:Lperr_V1.4:1:5346334:5349469:1 gene:LPERR01G07260 transcript:LPERR01G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLPSAGDAGDLGLGVLVDRRRSGHALLRLRRGSPADVSRFSSAGLDEAKVFDEMAQQQSAFPATRRTVSKLAYANNESDTLLPGQFLHKNQMLVSKDGSFKFGFDSSSSFGIWLVKCYDELVWQSDKKYSFFNSWSASLTLSENGTLLLLDSDSLIWSNHYVKKTSVSVVLVLLDIGNLVVRDKTNDSLVFWQSSDYPTNTILPGGGLGFNKITGKNTSLISPSSYYTLELDTRRRGFIIRDIPRGSMLSGNFPSWMKIREDGTDFVMLYDAQTYLRLEEGGLFTTKPVDARSGLIIFSYAQMKNVTKNFSEKLGEGGFGSVFKGTLLGYSGFAVKYLKCVVQVEKQFRAEVQTIGMIQHINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSESSEKLCWKLRYLIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGKHTYFPIYAACKLNEDDAMCLLDSRLEGNSDAEQLERACRIACWCIQDAEDQRPTMGQVVLMLEDAMDVLVPPIPRSLQNFVGLEDHSTDLGSS >LPERR01G07270.1 pep chromosome:Lperr_V1.4:1:5350131:5353830:-1 gene:LPERR01G07270 transcript:LPERR01G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLLTPAAVALLLLLLSSPVESRAISLSAASDGQRYDAIFSFGDSFTDTGNNPMAFKWYSIPDPVMRPPYGITFFGGIPTGRNCDGRLVIDFIAQNLGLPLLPPYLSHNGSFRQGANFAVGGATALDSSFFHAVDLSGTTNPFPLNTSLGDFFGRSLFVVGEFGINDYQFSFGKRSMQEIRSFVPDIIRTISMSKLIGDGATTLVVPGMIPLGCSPPVLVIFADSDASEYDAKTGCLKEPNEIVMLHNSLLLEAVEELREKHPYVTIIHTDLFRHISEMVLNPGKFGFRKDSLSVCCGGPGRYHYNTGVICGDEGATICMDPSKSLFWDGVHLMEAAYCHEPVDLLGVAPKLSWICGQNWGRTRVPRYRPFDGRGVRR >LPERR01G07270.2 pep chromosome:Lperr_V1.4:1:5351011:5353830:-1 gene:LPERR01G07270 transcript:LPERR01G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLLTPAAVALLLLLLSSPVESRAISLSAASDGQRYDAIFSFGDSFTDTGNNPMAFKWYSIPDPVMRPPYGITFFGGIPTGRNCDGRLVIDFIAQNLGLPLLPPYLSHNGSFRQGANFAVGGATALDSSFFHAVDLSGTTNPFPLNTSLGDFFGRSLFVVGEFGINDYQFSFGKRSMQEIRSFVPDIIRTISMSKLIGDGATTLVVPGMIPLGCSPPVLVIFADSDASEYDAKTGCLKEPNEIVMLHNSLLLEAVEELREKHPYVTIIHTDLFRHISEMVLNPGKFGFRKDSLSVCCGGPGRYHYNTGVICGDEGATICMDPSKSLFWDGVHLMEAAYCHEPVDLLGVAPKLSWICGQNWGRTRVVTWGRR >LPERR01G07280.1 pep chromosome:Lperr_V1.4:1:5359046:5362274:-1 gene:LPERR01G07280 transcript:LPERR01G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRLPLLLLILTCAAISAAAEPDADKAALLDFLAGLNGGSHSRINWPYSPRVCGNWTGVTCNPDATRVIALRLPGLGLSGAVPPNTLGRLTALQVLSLRANNLSGGFPDELLSLDSLTGLHLQFNAFSGSIPSGIGRIGASRLQVLDLSFNGFEGSIPGELSNLTQLVALNLSNNSLSGGVPDLGLPALQSLNLSNNRLVGDVPMSLLRFGDAAFAGNNVTRRGLSPVTPAVDSSPPPPSPVASPAGKRRRGVRLSQAAVLAIVVGGCVAVSVIVAVFLVAFCSNRNGGGGEEEARMSRVVSGKGGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFFSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNSQQYGCVSDLGLASLMNPITTRSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVKMIEEVRRIDTGTRTSTEASTPVVDVQNKA >LPERR01G07290.1 pep chromosome:Lperr_V1.4:1:5368475:5370754:-1 gene:LPERR01G07290 transcript:LPERR01G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKHSFSALSAAIILSVIILFVGSYAAVASDTLFPGQSLSGSETLVSENGMFELGFFSPGGTKHYLGIRYKNIISNNPVNFWVGNRIPITNFLNAKLYVDSGELYIEELGSVLWTSNSVRNGSGTAVAVLLNTGNFVVRGQFNSSVVTWQSFDQPADALLPGAWLGLNMVIGANILLTLYKPPYNCTLMINQSRKRGFIMFIDGHDYLGTFPDWMVTYEGNGNLVRLNDPEIPNDIEFMKLHLGQVSLLRWLDNATISGWQPVWNYPSSCNVSAFYCGAFGICTSKGTCECIDGFRPTDPNEWELGHFVSGCSRITPLNCQGVVSTDSFVMLDNLQGLPDNPQKVMAATSKECRTACLSECYCAAYSYHSGCKIWYNMLLNLTSSDNPPYTKIYMRIGSPSKSRPHILIFILTFGLMVVILIVLMLLLIYKKPSCVARQSKMEGFLAVYSYAQLKKATRNFSEKLGEGSFGSVFKGTIAGSTVVAVKMLKCLGHGEKQFRTEVQTVGTIQHTNLVRLLGFCTRGSRRLLVYEYMPNGSLDSHLFQENPRLLSWNLRHQIVIGIARALAYLHEECRDCIIHCDIKPENILLDAEFCPKIADFGMAKLLGRDFSTALTTIRGTIGYLAPEWISGQVITHKADVYSFGVVLFEIISGRRSTEKMRYGNHRYFPFYAAAKVNEGDVLCLLDDRLEGNASVKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGVVDVELPPIPASFQNLMDGYDSDIYSVEV >LPERR01G07300.1 pep chromosome:Lperr_V1.4:1:5375722:5378040:1 gene:LPERR01G07300 transcript:LPERR01G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSSRATLTVPLAAIFLLLTGNGYSATTDTILPGESMSGNQTLISKNGEFELGFFNPGVGIHYFVGVRLRKLTSYSPTFWIGDRVYVVDLPHASLELFGDSLFIKENGASLWWSSPSSSSSSSVAAVAILLDTGDLVVRDTRNASRVMWRSFDYPGDALLPGGRIGLDVATGKNVSLTFEGFSHNGSLRADPSRTNGFVLATDRRDVLGVFPNWMVTSQDNGRSMSLNRDPNNSVEFLQLKVGQVSLMRWSESDAGWVSRWTFPSGCKSGAFFCGDFGACTIDGECRCVDGFTPSDAKEWRLGYFVTGCSRSVKLSCETNGDDSFAVVDRLQGLPYNGFDETVDSDEDCRAACLNKCYCVAYSYESGCKLWYYNLYNVSFADKPPYRKIYVRLGSKIRSKRGLDTRWIVLLVVGSVAVASAILALVLLFRYRRDLFGSSQFTAEGSMVVYSYAQIKKATGNFSDKLGEGGFGSVFRGTLPGSTTGVAVKSLKGLAYAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNKKLLVYEYMPNGSLDAHIFSEKSSLLSWEVRYQIAIGIAKGLAYLHEECEHCIIHCDIKPENILLDEEFSPKIADFGMAKLLGRQFNAALTTIRGTRGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTVAMKFGDHRYFPSYAASQVNEGDVLCLLDGRLEGNANVEELDITCRVACWCIQDRENDRPSMGQVVHMLEGVVDTDVPPIPASFQNLVEGDDSDIHIYSEESWRLRTQD >LPERR01G07310.1 pep chromosome:Lperr_V1.4:1:5379949:5382282:-1 gene:LPERR01G07310 transcript:LPERR01G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPQAPLLLFLLLLSIVGGELLSAAVASTDTIVAGKPMAGNQTLVSKNGKFELGFFTPGSGIHRFLGVRMRKLAGKSPTFWIGDRVGVIDLAAVSLEIFAEKLYIKESGASLWSSNSSAAAAAAVAVLLDTGDLVVREEGNSSRVMWRSFDYPGDSLLPGGILGIDAATATNVSLTFKGFSHDGSLRADASRTNGFVLATDGRDVRGVFPDWMVTSHGNGASLVLNEVMNYSIEFLQLNLGQVSLMRWSESGGGGWVARWTFPSGCKSGGFFCGDFGACTAAAAAGECRCVDGFTPSYPDEWRLGYFVTGCSRSLPLNCEANGGQTDGGDDDTFAIVDKLQGLPYNPQDETVATDEDCRAACMSKCYCVAYSTESGCKLWYHNLYNLSLADKPPYSKIYVRLGSNVRRKGGLTTRWIVLLVIGSLVVASAMLALVLLCRYRRDLFASDKFEVEGSLIVYSYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGHGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMPNGSLDAHIFSEKSGLLSWQVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDKEFCPKICDFGMAKLLGREFNSALTTIRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTERMKFGSHRYFPTYAAVQVNEGDVLCLLDSRLEGNANVKELDNTCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLIEGDDSGIYSEESWQLRTQD >LPERR01G07320.1 pep chromosome:Lperr_V1.4:1:5383454:5389429:1 gene:LPERR01G07320 transcript:LPERR01G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRFAPGGGGGGAGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSHFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFLCQRMMTSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQDSLSESSKLTGFGSNHQYLECIKSAYDFASCELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCSYPSDKLAAADVDQSMPLSLTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLLKVENLKALCLQYATSIQLLIPSIDVAKPENTTKSKMPRSKVRKSENRGQQLKLASENVVMSESILKFEAEFNSELQSLVPTLSNSLQAEPYLTHLGRCILGVGIDQ >LPERR01G07320.2 pep chromosome:Lperr_V1.4:1:5383335:5389682:1 gene:LPERR01G07320 transcript:LPERR01G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRFAPGGGGGGAGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSHFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFLCQRMMTSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQDSLSESSKLTGFGSNHQYLECIKSAYDFASCELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCSYPSDKLAAADVDQSMPLSLTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLLKVENLKALCLQYATSIQLLIPSIDVAKPENTTKSKMPRSKVRKSENRGQQLKLASENVVMSESILKFEAEFNSELQSLVPTLSNSLQAEPYLTHLGRCILGVGIDQ >LPERR01G07320.3 pep chromosome:Lperr_V1.4:1:5383665:5389682:1 gene:LPERR01G07320 transcript:LPERR01G07320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRFAPGGGGGGAGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSHFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFLCQRMMTSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQDSLSESSKLTGFGSNHQYLECIKSAYDFASCELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCSYPSDKLAAADVDQSMPLSLTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLLKVENLKALCLQYATSIQLLIPSIDVAKPENTTKSKMPRSKVRKSENRGQQLKLASENVVMSESILKFEAEFNSELQSLVPTLSNSLQAEPYLTHLGRCILGVGIDQ >LPERR01G07330.1 pep chromosome:Lperr_V1.4:1:5390330:5392695:1 gene:LPERR01G07330 transcript:LPERR01G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACGRWWLVIAAVASALAVSGPAFYWRYKQGFPSSSSATAVVSPSCPPCSCDCPPPLSLHSIAPGLVNFSTAGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATLYQREAEKCNAATETCEEARERSETAISKEKKLTALWEQRARQMGWQDSRVTSI >LPERR01G07340.1 pep chromosome:Lperr_V1.4:1:5413361:5414434:1 gene:LPERR01G07340 transcript:LPERR01G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAFVAVKRTEHVEVTSLIVDSSAAKGNKGKVVAAAAAAGVMPARVRVFCDDVDATDSSSDEEDDEEEVTVRRRVKRYVQEIRLQRATALPVKAEVLPPVVSTAKAAATAVVLSGRKRKAEGGGGEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGADATTNFNRSGDLLADVPPEVAKRVPQPPEAEKNASPATSYDSGEESHAAAAASPTSVLRSFPPSAVDKKPSPSPPLVVRESDESSGGFFGCSFSDDGGYAGELPPLYSDFDLLADFPEPPLDFLSNLPDEPFSLAPFSDDDDGDDGDAPSPAAEQQVDDFFQDITDLFQIDPLPVV >LPERR01G07350.1 pep chromosome:Lperr_V1.4:1:5427061:5429725:-1 gene:LPERR01G07350 transcript:LPERR01G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEAEAVLETIWDLHDRVSDAIHALSRAHFLRSVRRRGGDKGKPAAGGHVRVKGGGGGGDGDVGEEAASLDAVAEEARSLHAIRAALEDLEEQFEYFLSVQSQQQAERDVSLARLEQSRILLAIRLNGHRGVNQKIIDEALDFVRNVCHGIWPSLSVNKPGKLGSHSEADCNNDRQKGSNFLGQMIASSLALVRNSFSTRNFGGLLGHSGVLAVGMLTLLQLHWLSSGQQGPSVGKYSYKMINQENLSRLEASKDTRMSHLDVPISFA >LPERR01G07360.1 pep chromosome:Lperr_V1.4:1:5430006:5432856:1 gene:LPERR01G07360 transcript:LPERR01G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGRGANWGACSFLRFGRIAKWNITFGSSGKRGWVRKKRKNTVEVAITVLFGTNEQPLGIAHSISYRTEKFTLHCINDTTCNR >LPERR01G07370.1 pep chromosome:Lperr_V1.4:1:5434134:5439895:1 gene:LPERR01G07370 transcript:LPERR01G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERAPEPPPPPAAAEREMGFAERAVAASGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNPGVNGLGPSCSSECFQYRGTMDVFHKVTRQEGIFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKGSNIGGKPPGMWKTLLGVLSLGQSINHPENFRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIGVVGEQSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEASLGLNDLYLKDPARVLHMNTRRILQEVWSKEGINGIFRGVGPRMARAGPSVGIVVSSYEVVKHIMHRKHIELTLQTHQT >LPERR01G07370.2 pep chromosome:Lperr_V1.4:1:5434134:5439895:1 gene:LPERR01G07370 transcript:LPERR01G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERAPEPPPPPAAAEREMGFAERAVAASGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNPGVNGLGPSCSSECFQYRGTMDVFHKVTRQEGIFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKGSNIGGKPPGMWKTLLGVLSLGQSINHPENFRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIGVVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILQEVWSKEGINGIFRGVGPRMARAGPSVGIVVSSYEVVKHIMHRKHIELTLQTHQT >LPERR01G07370.3 pep chromosome:Lperr_V1.4:1:5434134:5439895:1 gene:LPERR01G07370 transcript:LPERR01G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERAPEPPPPPAAAEREMGFAERAVAASGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPYRGTMDVFHKVTRQEGIFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKGSNIGGKPPGMWKTLLGVLSLGQSINHPENFRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIGVVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILQEVWSKEGINGIFRGVGPRMARAGPSVGIVVSSYEVVKHIMHRKHIELTLQTHQT >LPERR01G07380.1 pep chromosome:Lperr_V1.4:1:5438521:5440210:-1 gene:LPERR01G07380 transcript:LPERR01G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRRPKPTPANEAAEVVFDPSASGARRPRRAGAPSSSSAGEWHNFMGSSLSDMYQKPVVEKSDDTSDEEPDIDIGKLLKDVELFGASTFKERKKIENRKVVQLGGKAIKKHRTPLSVSKPAMKNQRKREDKKAEEEKLLGIFRKRDYKNDKAQKIRPEDRVLRATQGHFKNGVLDVKHLLAAPKPSGRDAPEQKMRMGKKKGKGKQKGGKGGRRKRR >LPERR01G07390.1 pep chromosome:Lperr_V1.4:1:5455544:5459307:1 gene:LPERR01G07390 transcript:LPERR01G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFAETEGKRAHDPLYNQQRRPATGVPVDDVDIVVDVPGAVIVGAGPAGIAVGAMLGLAGVAYVVLERCGCIASLWRHRTYDRLCLHLPKRFCELPLMPFPISFPEYPTRDQFLQYLDSYARRFSVEPVFRRAVISAEYDGDSWWVYTREVIAGAGAGAGGEQAVLGSTMTVYRSKWLVVATGENAEPVVPEIEGAGRFRGQIMHSSEYRNGEGYAGKRVLVVGCGNSAMEVCLDLCNHNARASMVVRDTVHVLPREMLGQSTFGLSVLLLRWFSVQTVDWLLLLLAHLVIGDTARLGIPRPSLGPMELKAVSGKTPVLDVGTIAKIKSGNIKVVPAIQCLREHGVEFVDGRTVDFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKSNGWKGQNGLYAVGFSRRGLLGVSKDATNIVEDIVQRWRDMGYERCETKK >LPERR01G07400.1 pep chromosome:Lperr_V1.4:1:5475392:5477595:-1 gene:LPERR01G07400 transcript:LPERR01G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPESSSVGNYISGANFIEFSGVKVVTPSGNVLVDDLTLRVELGSNLLITGLQIDLIFRDFSIHILSLDNFLIQVLFLEIFEAQDDKSVVIVEHSCAGRVWPLISSTFSNSTIAVLKLELAGDGEEAGQVDSCEFCSSRSSFFLLSNGPEVQTITFGNRMGCKVKTLFQTWS >LPERR01G07420.1 pep chromosome:Lperr_V1.4:1:5486616:5493626:1 gene:LPERR01G07420 transcript:LPERR01G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSKKKSKKKKKPTTPAATSGEAPTPPAGPAAADSLSPQPETLTLAAAAAAVSETESSSSCEASTWWSSSAFNPGCSSSSSGGGASTSFSAFSSSASTASSSVTGDERRDMAWLLDAFGCATIDQVDSAYREAGGDAFLAAGILGSSPESQLPPPPPPPDLSPRAGSGSKKATRRPKRVAVAATGMVADVIGKGYTRPATSPVSTTNGWKGRDGVSLTNAWKTSDDGSSDRKHSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGISSLQDMERCFPNANGMISPNIFIENGLSGEESTAGIKKSSYQFADEMRRMSYNHSENEHDYFWGELQNSYLKVACAEPHSTISARSTGSRSRTPQQVLESLFKIPEQRTYEPSSMDWKKVVKKLQSYNSPIASNNQERPKNGNGYQEFRGGAARHYDTMKTYYQKAAMAYSKGDKSYASYLAEEGKHYRELARKEDEKASREIFEARNKHITNTVTIDLHGQHVKQATRLLKVHMMICTCMPSTFLRVITGCGVEGTGKGKIKRSVIDLVQKERIEWHEENAGTIVLKLGGPREYRFLEHDSDSD >LPERR01G07420.2 pep chromosome:Lperr_V1.4:1:5486616:5491167:1 gene:LPERR01G07420 transcript:LPERR01G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSKKKSKKKKKPTTPAATSGEAPTPPAGPAAADSLSPQPETLTLAAAAAAVSETESSSSCEASTWWSSSAFNPGCSSSSSGGGASTSFSAFSSSASTASSSVTGDERRDMAWLLDAFGCATIDQVDSAYREAGGDAFLAAGILGSSPESQLPPPPPPPDLSPRAGSGSKKATRRPKRVAVAATGMVADVIGKGYTRPATSPVSTTNGWKGRDGVSLTNAWKTSDDGSSDRKHSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGISSLQDMERCFPNANGMISPNIFIENGLSGEESTAGIKKSSYQFADEMRRMSYNHSENEHDYFWGELQNSCMCGAPLNNICKINRLEIQDTTTSVGITVQNT >LPERR01G07430.1 pep chromosome:Lperr_V1.4:1:5494281:5501218:1 gene:LPERR01G07430 transcript:LPERR01G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAILRAALRRSRPAAAALLLHRPLPSSRSLLPLPPPLGFAGFGYSTVADETTAAARPKGKARKNPMKQSRIDFTKVDAALLPTVILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTLVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLPNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMSHPEVMIARQAIEEGRGLVVLVNKMDLLRENRLLFDKVIDAVPKEIQTVIPQVTGIPVVFMSAMEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFNIGGIPIRVVQRSIPRKETVKSNTRNTRPRINTRMRTEKRTTVSEPTTS >LPERR01G07440.1 pep chromosome:Lperr_V1.4:1:5501591:5508187:1 gene:LPERR01G07440 transcript:LPERR01G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRSSSASASSSASASAANPFHAFLPFLPSPSPSPSPTSASPSPPGPHLAVPLVLPVTSSSVAAAPDSSRGAQPMPAGARMGKAGGGGGVGKGGGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKKILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAASKLLKSLPQRSRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMIQNRHTRRRRDIVDDSLTSEMIEESADSLLEPPEVQELMNEIGPVGIPLSVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATAISSFTDSKDADCFQTNKQSQTLESDVDNSNHGKHDKSNHGQSHLPFSNLLPNIWPGHDRNFKAQERGSTFKRCDASINNDLQLNPLLPKITMVGISMGEGGQMSKANLKKAMDDLTKELEQVGEKTIFSEEKDPLFVANVGDYSRITKISST >LPERR01G07450.1 pep chromosome:Lperr_V1.4:1:5506842:5508912:-1 gene:LPERR01G07450 transcript:LPERR01G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQAFRHLARRRWLSNVPESTVYGGPRPQDASSSAAARRVTVTSLRGKHRRREPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPGPVAAAATSALRIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPRFCKQFGNVGHVINKALSEYKQEVENRTFPGPSHTPYKITATDVDGFASALQKMGLDEAANAAAAAAENAEKDVKLPENN >LPERR01G07460.1 pep chromosome:Lperr_V1.4:1:5513552:5515893:-1 gene:LPERR01G07460 transcript:LPERR01G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSRLLTPRVHLNNSGFFPPSSIISPSSLSRQLRCTPPPHHSRVSRRATSNGAPAPSPAPPEPAAVYGGGGANQQAAAPRRVTLATLRGKHRRGEPISMVTAYDYPSGVHVDAAGFDICLVGDSAAMVSHGHDNTLPISLDVIIEHCRSVKRGAARTFLVGDLPFGSYESSTPQAVDSAVRVMKEGGVDSIKLEGSAPSRISAAKAIVEAGIAVMGHIGLTPQSVSALGGFRPQGKTVDSAIKVVEAALALQDAGCFAVVLECVPAPVAAAATAALQIPTIGIGAGPFCSGQVLVYHDLLGMFQHPLHAKVAPKFCKQYGNIGDAINRALSQYKQEVETQSFPGPSHTPYKLAATDVDAFLNALVDKGLNAAADAVENTEKEINGTPQLNKIYA >LPERR01G07470.1 pep chromosome:Lperr_V1.4:1:5522564:5523019:-1 gene:LPERR01G07470 transcript:LPERR01G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVQKPEAELADLSLKNVGRGGATLAGRVDVSNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLTAGDTTRLDIPVKVPFDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >LPERR01G07480.1 pep chromosome:Lperr_V1.4:1:5536068:5537473:-1 gene:LPERR01G07480 transcript:LPERR01G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFLKFLRGGVAAAGNRGGAVAATTIAATACEDGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVDAGDVGSGGEEVVAVDDAAAAVTGGEPAGDGKVVELVSDSSTPSPASFLRPATKFRVLMLKLRKPKVAAPAECNNGGGGGGGSPASKTNRFLIKFRVEDAPFVSLFTRDNSSRTSDAGAAAGGVRPAVQAPDSSSSAAAITAEERRFAKEMLVKYLNKIKPLYVKVSRRYGDRLRFAGASEGEETDLEPDPSPSPVPAGLKQVCKRLGKSRSASSAVAAAPSPSPPPSATGAAQPQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSTSDTRDGGRADTKDGGGGGGA >LPERR01G07490.1 pep chromosome:Lperr_V1.4:1:5537590:5537778:-1 gene:LPERR01G07490 transcript:LPERR01G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLSNRLTPDFPDTVESAMNGQDGTAAALPPHGWSLARSLPPPVLSSSKGSPKESFFEC >LPERR01G07500.1 pep chromosome:Lperr_V1.4:1:5541248:5544282:1 gene:LPERR01G07500 transcript:LPERR01G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPHAAEGGASPKSETLMEKIADKLHIGGGGDGSSSDSEADERKLPKPSAPPAPAEDSFVDSAAAAAAEAKAKVFRLFGREEPIHKVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGLLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSYCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEVKKQYAVFDAKVLSKVPRGPLKDKKY >LPERR01G07510.1 pep chromosome:Lperr_V1.4:1:5547232:5552793:-1 gene:LPERR01G07510 transcript:LPERR01G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGGQGGQARAGVTVERVEQELRRLVADGADSKVTFDEFPYYLSEQTRVVLTSAAYVHLKQADISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHNKYGAGSSSDSSFKRSISETTLERVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSLPKLKRNASTSSDMSSLAAQGPPNNAGSLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSTKMYVMFEKLLNKLEGPVLVLGSRIVEMDSDEELDERLTALFPYNIEIKQPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMFLGRYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMYDKDSMKLEAKYDASKVPDKGIAPVAAKSETKPATLLPPVPPPAVAAAPSVESKTEPEKFEKKDNPPPAAKAPEVLPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLKRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESRELILRSLLSKEKVDEGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNPSDVSKIKEKDEAIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >LPERR01G07510.2 pep chromosome:Lperr_V1.4:1:5547232:5552793:-1 gene:LPERR01G07510 transcript:LPERR01G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGGQGGQARAGVTVERVEQELRRLVADGADSKVTFDEFPYYLSEQTRVVLTSAAYVHLKQADISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKSFKRSISETTLERVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSLPKLKRNASTSSDMSSLAAQGPPNNAGSLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSTKMYVMFEKLLNKLEGPVLVLGSRIVEMDSDEELDERLTALFPYNIEIKQPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMFLGRYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMYDKDSMKLEAKYDASKVPDKGIAPVAAKSETKPATLLPPVPPPAVAAAPSVESKTEPEKFEKKDNPPPAAKAPEVLPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLKRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESRELILRSLLSKEKVDEGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNPSDVSKIKEKDEAIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >LPERR01G07520.1 pep chromosome:Lperr_V1.4:1:5557161:5561999:1 gene:LPERR01G07520 transcript:LPERR01G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFRAAPPPLPLQQQQTHPWMWQQPFQPAAATSFWRKDNVQEHVGRLQETIKLASAVMDELEDIARTRDSGDGSTQEHDLSSAKSSSDHDCSSAERPRHFVEIARAMKISQDTHEALATDAANYLCTQLQNLLAPIYPAINQGGPWEERSAMVRLVQKLQKSKRNKRWRKRKRKHVAELFQKVHSSTCFIAYVDTIKVAKYQNDIMHLDVSQEHADYDRIDQEADEWRARQIAKDIAQRKMENMKQIAEKKANEEKRRLESELELALMVEKLRELRSIRVQKLKKQGHFLPEEDDKYLERVKAAVDEEERQAASAARTDAAKDAILTAEESRKAVQCTNSQEDDSEQAKGVSTLELNQTDAGTSDGGHHASQKTEQELHRDEGKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFVRPGGSRIPGHWVQPPPPADEVWASYLVQNHSPSKSS >LPERR01G07520.2 pep chromosome:Lperr_V1.4:1:5557161:5561999:1 gene:LPERR01G07520 transcript:LPERR01G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFRAAPPPLPLQQQQTHPWMWQQPFQPAAATSFWRKDNVQEHVGRLQETIKLASAVMDELEDIARTRDSGDGSTQEHDLSSAKSSSDHDCSSAERPRHFVEIARAMKISQDTHEALATDAANYLCTQLQNLLAPIYPAINQGGPWEERSAMVRLVQKLQKSKRNKRWRKRKRKHVAELFQKVHSSTCFIAYVDTIKVAKYQNDIMHLDVSQEHADYDRIDQEADEWRARQIAKDIAQRKMENMKQIAEKKANEEKRRLESELELALMVEKLRELRSIRVQKLKKQGHFLPEEDDKYLERVKAAVDEEERQAASAARTDAAKDAILTAEESRKAVQCTNSQEDDSEQAKGVSTLELNQTDAGTSDGGHHASQKTEQELHRDEGKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFVRPGGSRIPGHWVQPPPPADEVWASYLVQNHSPSKSS >LPERR01G07530.1 pep chromosome:Lperr_V1.4:1:5565122:5570476:1 gene:LPERR01G07530 transcript:LPERR01G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADESGAPPRSVAGDVHIPASRSAEEPIFRERHGDLDIAMASSPDTTAFHAARPVVSISLPASPARFGVAMPEVDLHRHAMTDAPPCTLPLPLPARPPATTTAAAQVPDRIMFRSQPIAVHGAGAAGMPQHGRRPQAHDGGGGGATRGVARRDTSYDSFKTWSGKLERQLTTHLRGGWQQHQHQNQQEQQQPEQQNDEERDADADATSAAATPAKSDRPYNSSSMPRVQRFFAALEGPELDKLRSSEELALPSDKTWPFLLRFPVSSFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMLAVSALYACKLFLYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPHVAASLPHWLWYLLMSPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRIAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIATIRYSSEVKNAFTQSLCIGLSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITDRKLRPIAEIREQRRDKDDLEAGVPAPSSYAGDRSTTTTTDSCQFAGQF >LPERR01G07530.2 pep chromosome:Lperr_V1.4:1:5565122:5570476:1 gene:LPERR01G07530 transcript:LPERR01G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADESGAPPRSVAGDVHIPASRSAEEPIFRERHGDLDIAMASSPDTTAFHAARPVVSISLPASPARFGVAMPEVDLHRHAMTDAPPCTLPLPLPARPPATTTAAAQVPDRIMFRSQPIAVHGAGAAGMPQHGRRPQAHDGGGGGATRGVARRDTSYDSFKTWSGKLERQLTTHLRGGWQQHQHQNQQEQQQPEQQNDEERDADADATSAAATPAKSDRPYNSSSMPRVQRFFAALEGPELDKLRVPSPCRLQFIQHLAYNTIPNNSSPAAVVVFVSCSRRHHQSSEELALPSDKTWPFLLRFPVSSFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMLAVSALYACKLFLYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPHVAASLPHWLWYLLMSPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRIAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIATIRYSSEVKNAFTQSLCIGLSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITDRKLRPIAEIREQRRDKDDLEAGVPAPSSYAGDRSTTTTTDSCQFAGQF >LPERR01G07530.3 pep chromosome:Lperr_V1.4:1:5565122:5570476:1 gene:LPERR01G07530 transcript:LPERR01G07530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADESGAPPRSVAGDVHIPASRSAEEPIFRERHGDLDIAMASSPDTTAFHAARPVVSISLPASPARFGVAMPEVDLHRHAMTDAPPCTLPLPLPARPPATTTAAAQVPDRIMFRSQPIAVHGAGAAGMPQHGRRPQAHDGGGGGATRGVARRDTSYDSFKTWSGKLERQLTTHLRGGWQQHQHQNQQEQQQPEQQNDEERDADADATSAAATPAKSDRPYNSSSMPRVQRFFAALEGPELDKLRSSEELALPSDKTWPFLLRFPVSSFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMLAVSALYACKLFLYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPHVAASLPHWLWYLLMSPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRIAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIATIRYSSEVKNAFTQSLCIGLSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITDRKLRPIAEIREQRRDKDDLEAGVPAPSSYAGDRSTTTTTDSCQFAGQF >LPERR01G07540.1 pep chromosome:Lperr_V1.4:1:5583850:5584908:1 gene:LPERR01G07540 transcript:LPERR01G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGGRSSSRLRDRLARMFRPGSLLRSTCNNNATASTSSSSSTAAAAKAKAVTSTAAAASACSSSRALLAGADVDRDSFLASSRRDYSAALVGRTESFSTALDRLHHRRVAGVAAPPLPPSRFSLDASPESKKKEEETAAGAAARVDVRRFHHRHASLGGGKSDKTRRLLLSSNPYGFTTSDDADTDGDDVFSSDDDDDDRRRVKKVESAEAFFSSSRSFSSDSSEFYTKKKNKNNHNTKPKPPPPAQGIRRKRRTAASSCVETCGVRDGFRPVISAAEEQVRRGFAVVKRSRDPYTDFRSSMVEMVVGRQLFGAAELERLLRSYLSLNSPRHHPVILQAFSDIWVVLHGG >LPERR01G07550.1 pep chromosome:Lperr_V1.4:1:5592333:5599053:1 gene:LPERR01G07550 transcript:LPERR01G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVVHLSVHGRLRRSPEITHARTSYRPSPLRCRAFKQETDNGEEPSPSPSTPEVRKRRKGPLYKLKAAIQGLAGSRTAAAEVYGGEYQRAVEKAEEIFFSVATQVGRYVITMVSSGVVLAVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSSESVLQTINELEENIKDGFSVAKRKQRKTLLQAKVVGTSCDVCKPEDVKELVNFAQAELGSIDIWINNAGTNKGFRPLINFSDEDISQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRAKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGKAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >LPERR01G07560.1 pep chromosome:Lperr_V1.4:1:5606170:5610273:1 gene:LPERR01G07560 transcript:LPERR01G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPRRLRIALGAAKGLAYLHEDCHPKIIHRDIKAANILLDARFEAKNMICYIKILSLIILLLTNRYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVRSHQSQMDDSLVDWARPLMIRASEDGNYDPLVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYSSNEYDTGHYNEDLKKFRKMAFGSGGLDSGQHTPTIEFGQNHSVSIGDGHQMPETEMGNVKKDGDDNGAGDSHAS >LPERR01G07570.1 pep chromosome:Lperr_V1.4:1:5610823:5615055:1 gene:LPERR01G07570 transcript:LPERR01G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEEIYLPDTIDVGGAGRQQRSSGCEC >LPERR01G07580.1 pep chromosome:Lperr_V1.4:1:5616389:5628135:1 gene:LPERR01G07580 transcript:LPERR01G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPSSSFASLWQSPLFLLLLASVIVLVPLFYLLTSPEKKPPPSCGDDGDGRQTLPLPPSPPGAVPFLGHLPLLGPLPHRKLRSLAASHGAVMLLRLGGAAPTVVASSPAAAREAMRTRDAAFASRAPVRMAQRLIYGRDMVFAPYGEYWRQARRVAVLHLLSPNRVASFRLLREREVAALLAGVRLAAGAVVNLSNALMSYANGVISRAAFGDGGHGLDGETLRRLFADFEQLLGTMTLGEFVPWLVWVDKLMGLDAKAARTAAVMDGLLERVIAEHRERRRRGETVGDGDHRDFVDVMLDVSEEEERAGGEDVQFDTVAIKAIVLDMIAAATDTTFTTLEWAMAELINHPSTMRKLQHDIRAAVGGAGTQVTEDHLGELHFLRAVVKETLRLHAPVPLLVPRETTEDTQLLGYRVPARTRVVINAWAIGRDAAAWGDDAEEFLPERWLDGDGGGGEAVEYAAQIGQDFRFVPFGAGRRGCPGAGFAAPSVELALAGLLYHFDWELPPAPAGGTARVDMSELYGLSVRLKAELNLVAKPWSSNMELSSLAPLLHSPLLLAALVLVFSWRLIVPSTKRRPPPPCGDGSRQLPLPPSPPGELPFLGHLTILGSLPHRKLRSLAAAHGPVMLLRFGRVPTVVASSAAAAQEVMRTRDTAFASRPRVRAAERLIYGRDMVFAPSGEFWRQARRVAVLHLLSPNRVASFRLVREREVAALLDAVRRRAGIGVSSVNLSELLMSFANGVISRAAFGGDGGYRLEKAKELFADFEEILGTVTVGEFVPWLAWVDKLKGLDAKAAGTAAAMDGLLERVIADHRERRRRGETVGDGELDHRDSVDVMLDVSEEEERAGGEDVQFDSVAIKAVILDMFAAGTSTTFTTTEWVMAELINHPPVMHKLQGEIRAAVAATAIAGTPVTEDHLGKLHFLRTAIKETLRLHAPAPLLLPRETTEDTELLGYRVPARTRVLVNAWAIGRDTATWGDTAEEFVPERWLDGGGAESTAEYAQQLGNDFRFVPFGAGRRGCPGVGFAAPSVELALANLLYHFDWELSPPATRLDMGELFGLAVRLKADLNLAMRTRGLAFASRASVRMVERLIYAHRVIVLHLLNPCRIVSFRRETRGRRTLLDLVRRGVTGNDAMISMSNLLMSRMPMASSRVPIQQCRRQRGVINSCSATTPRRPARMQVVNIAWAIGRDAAAWGESSEEFSPSNVSTAAGEVVEYTVQIWQDFRFVPFGAGFATPSVELVLAGLLCHFHWELALAMVKRRRRGLTWLGLRCRCMYDDSA >LPERR01G07590.1 pep chromosome:Lperr_V1.4:1:5628274:5630572:1 gene:LPERR01G07590 transcript:LPERR01G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLVAVSLLAIVLPLLYIILRPRHGDVGSRRRLPPSARGLPLIGHLHLLGALPHRALRRLAAAHGPVLLLRLGRVPVVLVSRDVALVARVARAAASNSAVDLVEHLIVYSNAVVSRAAFGDESARGLYDGDRGREMIRKVFKDFVELLGQEPMGELLPWLGWRTFEALDAILEKVIDDHRGRRREGRRHVDDVDGDHRDFVDVLLDDMFVAGTYTMATVMQWAMAELIIHPPAMRKAQEEIRSVVGSTGHVTEDHMDKLPYLKAVFKGDAPTAPANPAARATGTTGGR >LPERR01G07600.1 pep chromosome:Lperr_V1.4:1:5631409:5633659:1 gene:LPERR01G07600 transcript:LPERR01G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLAVAVVVVSLLAIVLPLLYLALLPRHGDVGSRTRLPPSPRGLPLLGHLHLLGALPHRALRRLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRTRDLAFASRPRSAMAERLLYGSRDVAFAPYGEYWRQARRISVVHLLSAHRVLSYRRVREEEAAALVARVVRAAAAGAVVDLVEHLIVYSNNVVCRAAFGDESVRALYGGDGDRGREMIRKVLAEFVELLGQEPMGELLPWLGWVDALRGLNAKAQRTFEALDAILEKVIDDHRRRREGRRQMDNDGVDANGDHRDFVDVLLDVNETDKGAGIQLGTTEIKAIILDMFSGGTDTTTTAMQWAMAELITHPHAMRRAQEEIRSVVGDAGHVTQDHMDKLPYLKAVFKETLRLHPPIPLLLPREPPADAEILGYKIRAHTRVIINGWAIGHDTVTWGEDAEEFVPQRFMNSSVDFKGQDFVLVPFGAGRRGCPGVWFTVLAMEMALASLLYHFDWEAAALVGGKSKSGTSSLDMSEVNGVAVHLKYGLHLVAKSHFG >LPERR01G07610.1 pep chromosome:Lperr_V1.4:1:5636303:5636617:1 gene:LPERR01G07610 transcript:LPERR01G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELITHPPAMRKAQDEIRAAFSDASHVTEDHMDRLPYLKVVLKETLRLHPSNPLLLPRQPPADAQILGYIIPAHTRVIINGWAIGRDPETWGRMRKSSCQRDS >LPERR01G07620.1 pep chromosome:Lperr_V1.4:1:5640299:5640727:-1 gene:LPERR01G07620 transcript:LPERR01G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLEYGDGNREEVRSLIGKVKEEYWRRMPEQQRQKVIANLAEKRRIKEEKAKKERALVAAAVGEKKRRATQSLRPRPTAVDKQRRAWKPRWWLWLMAPMQPHEFVVIKWIITVELAVVFALGYVLVCRKTGLKFNHVMTF >LPERR01G07630.1 pep chromosome:Lperr_V1.4:1:5648078:5650816:1 gene:LPERR01G07630 transcript:LPERR01G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLAMATQPSPAALLPYRLRLRLRPTPHHAASPRLRKRAGKLRVLTIKAAQSGVNNVFVESPPDNEASVTGAAYGFRGATTSLTNEILTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTEIGAKQAEKCRDALANMKFDVCFSSPISRAKSTAEIIWKEKDEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFQVNGIYPVRKLWGTAREAWKEILLTPGENMFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >LPERR01G07640.1 pep chromosome:Lperr_V1.4:1:5649199:5652412:-1 gene:LPERR01G07640 transcript:LPERR01G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKARWIVAVVLRVAAVIAAAVAAALMVMSHQTVTVFGMEVQAKFRYTPSLVFFVAANAAVAACSLVVLLVPSSTSKFAARLLLMADVMLGMVLTGAVAAAGAISELGKNGNSHAGWMAICDQVPLFCDRVRSALIAGSVAIVLYDLMLMIDEEFIHATAQEL >LPERR01G07650.1 pep chromosome:Lperr_V1.4:1:5657239:5668343:1 gene:LPERR01G07650 transcript:LPERR01G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAALAGAGVAGVAVELWWGVVERQGPGVYDWAGYLELAAMARRYGLRVRAILAFHQCGAGPHDPLWIPLPKWVLEEMDKMPDLSYTDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQVGMGPGGELRYPSCPTEKLSQPGSSSELGEFQCYDKFMQASLKARAQIIGMEDWGNGGPACTDGSQQNPEETDFFRADDGCWNTPYGRFFLEWYSGMLLLHGERLCMVADSVFSGTGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERNNSKSSPEGTLRQLMVAAKMCSLPLNGENSMTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFDFHNWNRFTKFVRQMSDARTFLARLEFRRGQQYLSSMSVVWVVSRACAYT >LPERR01G07660.1 pep chromosome:Lperr_V1.4:1:5663317:5668655:-1 gene:LPERR01G07660 transcript:LPERR01G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPSSSAGMGGEGAGAGELWPFDSLTTSLLFSSVSSSPPLPPLPASSSSWLTPPSPLWLFDERQQLPLDMGPAVSGGAAAAVAAPTPEAAVAAAVVDEVNRTRSGNSDTTSKRVEHINSKWQFHLSLDDNTDSASLFKERLTQALRYFKESTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDARMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSLYTGDDDYILEFFLPPNCRNEDDQNALLGSILARVKRCLRSLKVVGNGDSNEICLQISNVLMIENEDLKTNVHLENSEGCFRESPEGNASHRDHEVVDNDDNKVSTMSERNLLADDNSQNNGASAGRPNGSNASDSSLLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNIEKSSPNKVAELSNLAVEGDRDSSLQKPLENDSNLAMLMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCQGSPANQTFVCQPIASTFVEPPQLIPEGFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFASAVDQPFLTRSSNLGLMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGIFNIKYLDDDHEWVKLACNADLEECMEISRHSGSHVIRLLVSDIAAHLGSSCGSSG >LPERR01G07670.1 pep chromosome:Lperr_V1.4:1:5679861:5682532:-1 gene:LPERR01G07670 transcript:LPERR01G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLVIRAPKYHRRADIVESAIHPVSEPPRYSRQHTSRKMHKSQSRVCVHHLLQHVADIIDHETRAGSPIPNSNPLARSATVARRPPVNPKHFRQTSMQLHSDWGNHKEQEKSSSKGKGFLKSLLTRRRWRNDESLYSYLDEY >LPERR01G07680.1 pep chromosome:Lperr_V1.4:1:5687632:5691381:-1 gene:LPERR01G07680 transcript:LPERR01G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGGGGGVGDPELVAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSLLTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKIGFNVGMRFKMSFEGEDVPVKKFSGTIVGDDDLSPQWSGSEWKSLKVQWDEVTSVNGPERVSPWEIETCDATAPAITVPLQSSVKNKRPREPSETLDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHLGYGAVSSSSVCQNPLVLESWLKDFNSSSKGVSPTLSEISQKLFQVTSNEARLATWPARSAYQAEEPTSKLSTNTALSGYRTEEVAPNASKVVEGKKEHGMFRLFGVDLMKCTSISATTDKASVGTEETSAKAAGSNEDSSQLSAFSKVTKDHIVVDESPREIQSHQNYAKKNRIKVQMQGNAVGRAVDLANIDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMKVGDDPWLEFCQMVRKIVLYPIEDEKKIDPHPKSLPSANPEQDHKTEL >LPERR01G07690.1 pep chromosome:Lperr_V1.4:1:5720774:5721064:-1 gene:LPERR01G07690 transcript:LPERR01G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALRLPFPDDEEALAREAVEVAAAEAEAEEAERREGELARRTPKRERRRRGDGGAGWTWRRKLWLIVLADLVLLCVLFAAWLAVCRGFSCIGR >LPERR01G07700.1 pep chromosome:Lperr_V1.4:1:5723321:5724400:-1 gene:LPERR01G07700 transcript:LPERR01G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKDVTVADVYRPPPTSVSLFDISTIEEPWLIAKKNAEEEEASDDDDDEDEEEEEEEEGKKATTMVPLPLLEKLDGYDLAPASWSEVSKALEDIKPTFTENTKIPPKKKKKTKKKKTTTQPPPPPPAAAKQPDQPAKTPVAAPPPEVEVERRAPPPELTGRRVVKDNPFMMRDRESKGSDAAAAARWRRRDPFEGYPERRPPGPTGGGVVLYTTTLRGVRRTFEDCERARQAVDTCAEAVARGEACGGSGAKDGRGCEGCGGARFVPCWECGGSCKVVVAAGDGGGVERCGKCNENGLMLCPICH >LPERR01G07710.1 pep chromosome:Lperr_V1.4:1:5727656:5732069:-1 gene:LPERR01G07710 transcript:LPERR01G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTPSKRPFQKSSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPLFRILCPISKSGNVIGKGGGIIAKIRQDTGVRIRVDEAVMGCDERVIVISAVDKDKVVKQEQTKENDGGVASSVDGNHGKEKDDSKEEKDESTKEMDDSEKGLVNEEKDDLEKDQDKEERDESGKDDDKEVNDSSVAKDTNSELEPQLEPEKGIPLAVKAILLVYDRIFVDEMENETGEASVERNHVSLRLLVLDSQVGWLLGKNGSVIKQLSTDSGSEIRVSKDKLPLCALPRDELCQITGELNSVRKGLNAVAQLLFAHPPKESDVPVPGAHPSGSSSRSFFNQPEVLPPGMQPNLHLPFQGPPNVALPNFPESLMHGHGPVPPEPLTFRLLCSSDKVGGVIGKGGNNIKTIQNDTGCEIKVLDTVPKSEDRIIFISGPAHPGDGISPAQNAILHVQRKILPASNTKEGALCRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPNGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMASTVPNVQPPFGLMDRPYAGNHDSLSPRNFPNVPQFHKDFVGRPLDEMPAPSTMKFSGAKITVADPVANAMDTAVLISGTPDQMHAARSLIQAFVLSEPLDP >LPERR01G07710.2 pep chromosome:Lperr_V1.4:1:5732078:5732670:-1 gene:LPERR01G07710 transcript:LPERR01G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFYSFIKKNQKQKLDSLRRRRCDRASESVINLPPSREAGGLLLASSPAAAFAFAFACVDLYPSPRRAAVPPASAAPASRRIIARWFRLQKFR >LPERR01G07720.1 pep chromosome:Lperr_V1.4:1:5742062:5745982:1 gene:LPERR01G07720 transcript:LPERR01G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAAALDPTHWLVVRAGSSAGSARDPIPARGRKGRAPFLLLSHLSPTSQIASLLPPPEIPSRTRETRGITTCEFGELGSGPRRRGGEILGRRPRRGVVVGFGGGRARFLVCGRGGASGGRSSPAPPVLDSVAIRAREPKSGIMVMKMEAEDDGANGGTRGTWTDEDRALSASVLGTDAFAYLIKGGGASSEGVADATLPVDLQNRLQELVESERPGAGWNYAIFWQLQRTKSGDLVLGWGDGSCREPRDGEMGPAASAGNDEAKQRMRKRVLQRLHSAFGGADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQLVAESSDTLQTIRSVFSGAIGNKAGVQRHEGNGSSEKSPGLAKIFGKDLNLGRPSASPGVGVSKADERSWEQRTAAAGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNETTPLNNGVVDSPTATQFQLQKAPPLQKLPQLQKPQQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKRPAGIDGESAEVDGLCKEEGPPPAIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEAERERLLESGMVDPRERTPRPEVDIQVVQDEVLVRVMTPMESHPVRTIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRAMSSG >LPERR01G07730.1 pep chromosome:Lperr_V1.4:1:5747863:5749569:-1 gene:LPERR01G07730 transcript:LPERR01G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARAFNGVLAAAVARGDHAVAVSLFNRAAARDGGAGVSPTVHTYGILVDCGCRAGSLGLAFAAVGRIVKTGWRPEAVAFTGLIKRLCGERRIRDAMDLVLRRMLELGCAPNVVSYNALLKGLCDCRRSRDALQLIHIMDEIGGDCLPDVVSYSTVMDGLFKEGEVEKAYGLLGEMRAQGISPNVVTYNLIVAGFCKAGIMEKAEKVLDDMVENGVMPDCTTYTSLIHGYSTKGQFKEVVRLFEGMSRQVKRGLKPDVTSYGILLYGYASKGALVEMNNLFELMVRNNIAPDRHIFSILIHAYAKHEMVDEAMLIFKEMGQQGLNPDVVNYGIVIDALCRIGRVEDAMSHFNQMIDEGIAPNIVVFTSLIHCFCASGNWEKGEKLVSEMINKGISPNVVFFTVIVDNLCKVGRIIEAENIFKLMVQTCQKPNVIAYNTLIKGYCSTNKMDKAMALFHDMVSAGLKPDVVTYDTLINAHCNNGRTEDALALFRDMLRRGVKPTIIMYEKISDKSFHSGRTTAAEKEEYGEVIMME >LPERR01G07740.1 pep chromosome:Lperr_V1.4:1:5750153:5755498:1 gene:LPERR01G07740 transcript:LPERR01G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPETLDTLAGWFAQSLSPDAAARRAAEQSLASAACTPGFALALLGLASSPRHDLQARLAASVYFKNLLRRRWPKPSADGDDACGDHLPPNDCAIIKAHLLQLLLTAPPLIQAQLSEALAAAAASDFPSKWESLLPSIVSSLGTALSAGDVPATNPLLAAAASLFTRFRNTFDNNAIRIDLKYSLDNFAAPLLEVFLSTSRRLQAAAATVTRPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPALEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRHDAEGSDADTLRRAACRLLRGLAANFREQVAALVSAQVQQMLAAYAADRRNNWKEKDAAIYLVISLMQRPGATGGGTPVIDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFKDQIPKATALSLLPSVTMFLTHESNVVHSYAATFIENLLIIKDVVQVPGVNVVTRTPRYVAADINPYAQQIVQNLSKALNIPESYENPYLMKCLMRVLGIANVAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNMSQPPLSQNYMQLFGVLLSSATWDRPPCVPALVRLLRAFLRKIPNELNQEARLPNILLIFRSLLSRSSTEDSAFFMLNTLVENVSFDIMNPHISEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPAVLVGSVDAIQPNLFITILQRFWIPNLKFIKGALEVKLTSVASTKLICESAALLDVAAAQTWGKMLDSIVALLSRSDQDGAQQEQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTSIV >LPERR01G07750.1 pep chromosome:Lperr_V1.4:1:5755764:5759851:1 gene:LPERR01G07750 transcript:LPERR01G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATIHIQEGDYLRGDDRNGEKTAEIMTRVELGVAFGSEKLLNLEMLLMEIASRFNEIEPLLLDAQLLSAKSLEKVSEFDLLYCILDSEVKEMEKLVGSIEMDIGNAEIMASSDEDSVSGLEGKIQDATASLKQMQDLISAIRRQSANFGKVIDPSHGNSGASEDGGYENGHVSSHTPLHSEDKRNFLQMLDQSIANELDLGKQLHDSESVMEEFKVKLRHAEHESFFLEESVEAILERMFAAENASELFLGVSKELIDNINNIRLHLRASNRREGDLKSKLDQSLKKLNGSNSTPEKMKQGTENVTGEAMQSQTKPDSEFLTLQDKIQKLEEWLRESKSGLSLKITSARENEVEDVQNMPWSEITLKNIVSDLKDAIFRAQSRTQNTETKCKELSHANIQLNEELKSLNSQGSDRVGLLEKKLKESVTQLEHTRASVEAIVEHQSMLKSSMSDMEQTIEDLEERNLKAETRAEYAESKCMLLTDTNLELSEELSFLRGRVESLENSLCNANQLKISTAKDIGMKTKSITDMVAKLALERERLHLQIVTLTKKNRMLAQKCKENITEVTPLNNKVTVNEGELRPTKVMEEIISDSSPTQTTVKRTADTLGEEGQMTAPREDKSGVHSTLDTVRSIEPTVLNWKYIFAAFLVLLAAFLVCLFYQ >LPERR01G07760.1 pep chromosome:Lperr_V1.4:1:5760384:5761866:-1 gene:LPERR01G07760 transcript:LPERR01G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAQRVLPLLLLLALLSPAARGAETPEYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKNAYSIAQYSNPFRIIGRLNEVWFDVDCKSTGFEAY >LPERR01G07780.1 pep chromosome:Lperr_V1.4:1:5777181:5778337:-1 gene:LPERR01G07780 transcript:LPERR01G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASLWLQLAAPPAAAPHNHSSSSSSSLVCKKHSHAARVSLVCCSSSNSSASVVTKEQEGVAAASSQEEQVVVPETEFISYRDDPNFRGCRGCGRDELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVSSKKKTRIRKKY >LPERR01G07790.1 pep chromosome:Lperr_V1.4:1:5779996:5783325:1 gene:LPERR01G07790 transcript:LPERR01G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSVECLSLPDSSSSVAAAGGAMDGDDVGIALHAHGALLAAAAARAAASAKGGGGGAPLGAGSGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCMFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >LPERR01G07800.1 pep chromosome:Lperr_V1.4:1:5787222:5789202:1 gene:LPERR01G07800 transcript:LPERR01G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGITLSPDMAVGQIEGDQSSYHTPQVILLQLAVPGFPLAKMSVLESDSVAAVKLRIQTIRGIAVRNQRLVFEGRELSRNNGYIRDYGVRYGSVLHLVTRISDPRRTVVRTVYGRKLKSLVVQGRNLRYVKKEDSKNSECPSDVGEGMTLVNGEKLDESTLISDICENNCSDIDFLVSKSEKFSKKEIDECFEQLSIEPNIENKLQGDDARKKYPLIEPILVNPSVTLTPTVMDMIEATLDGLEKGHTPVKSTEGTGGVYFMLDSSGQEYVAVFKPVNEEPMAKNNPNGYPFSSDGEGLKRGTRVGEGAFREVAAYILDHPVSGYRVSDELGFAGVPPTVLVQCLHGYTDKSTKDREEKEEKEPKIGSLQMFMKNSGCCEDIGPGMFPVQEVHKIAVLDMRLANADRHGGNILFHKDEDGQIVLIPIDHGYCLPESFEDCTFEWLYWPQARQPFNIETLNCIKSLDEEEDIKLLKLHGRELSPKCVRVFRVSTMMLKKGAARGLTPYEIGNILCRENITIKSKIEEIVEEAEGVVPSGTGEEPFMEAVSSIMDRRLNELLN >LPERR01G07810.1 pep chromosome:Lperr_V1.4:1:5789621:5795243:1 gene:LPERR01G07810 transcript:LPERR01G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRWSAPEAEHLAVDRRDADAANFRRHHPMRQHTQHRHPPLHHQQRVHLHHRRRRRRLLLLLHHGHGVRRGCEGGDGEAGGHGLGAAAVDEAGGDVDGPELAAGAGDAELAVEVRERRAVGVAHRRRLLERERHRPVRPRRERRQPHRRHRDVRRAWPEQHEQRRPRPSRRQQQERRRHHARRHRRRPPPRRRRQRRRQRSPLMVLLEIVVVLRLRYGRRWWDLPYRLPLRRRVTRWRGSSPGRGVAGGGRRRRRRAGSRRRLHVCVVIKWELGVGIKGFGRLCVRVAIDFCWVERWICRFRGGMMASSGWVACAVEMESGGGERGEVADGFRRLLAAASAAAVGCCRGEDRRILAVGFAGYADGCTVCVRIYLVQFQTCCFSFTYVF >LPERR01G07820.1 pep chromosome:Lperr_V1.4:1:5799247:5799606:-1 gene:LPERR01G07820 transcript:LPERR01G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGFIMTETRGGENRTGAARRQAVALLVSGDHTVVYRCAVLGHQDTLYAHAQREFYRDCDVAGTVDFVFGNAAVVLQNCTLWSRRPLLGQVNTVTASAQRYPNQGTGISVRPYSRAV >LPERR01G07830.1 pep chromosome:Lperr_V1.4:1:5800035:5800718:-1 gene:LPERR01G07830 transcript:LPERR01G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPRRSRHKPSSGAPTVATAAASSSSCASSAWRSPSASPCRWLSPSSSGGRPRALPTEAITRRTCGVTLYPKLCVIALTAFPGAGDAELVPMSLNATHRRVANALYNAKSLAGATAAAAYGDCVELLDAAGRSALPPPSSVDDDDVITSHDTYQESLDEVNAGNDDRIRPQMLAYISNLGEHLSNSLAIFAARGRPDADVDATAGGGFPRWMKIGVV >LPERR01G07840.1 pep chromosome:Lperr_V1.4:1:5801992:5802465:-1 gene:LPERR01G07840 transcript:LPERR01G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATLTSPEPTQAQLRCTDGRHRRRLLVVLCIVGVALAVGVAVAALTSHDTCQDGLDEVNASNDDRIKPQMLAYISNLGEHLSNSLAIFAARGRPDVSPRII >LPERR01G07850.1 pep chromosome:Lperr_V1.4:1:5809233:5809430:-1 gene:LPERR01G07850 transcript:LPERR01G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSTRRVVIEVGETQRPGLLLETGAGEIEADIVVAKDGTGTHRKIHDAIKAAPEHSHRRRVAW >LPERR01G07860.1 pep chromosome:Lperr_V1.4:1:5811852:5816349:-1 gene:LPERR01G07860 transcript:LPERR01G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLWRERWPLRFGVSGCANFGGADGKKRGRPPGSQTIGKSKMDQKTALVYQRLALLDSSSSSSSSDKDDDFEPGAEAIDYDDMDDVVVVEAAPIKMLRPNQVDGEQRTVPAIPQTCYTQSTDLWNGLTNTIKVPVKGQNKCVSAQGCCGSAMERAKELQTKLPAEQPSFVKKMLQSHVVRGFWLGLPADFCNKHLPKVDTVIVLEDENGNSYDTTYLGGKQGLSARWRGFALDHDIKVGDVVVYIVREKNLTTTDGVLGLMSLDACKKQKISKEERSDNAKSGEHPKTTTNSSKGDHNYTQNLVKEGIDGIRFSDSEIIFDDVTSLSNFNIIVDGLVIDCKFLDHQRMMYYELCCSQKSFLHNNLLKHLNFKLVVGVIMETINIAEGIRACKSDTSSHEDFLVWKKTLQSFELLGMKVAFLLKRVDDLLGLPAQPRDSSECAKYKEIKLARSRAGVKMKALESKLSSLTDELKKMDAEMEEMESSVRKHDVALKKIATAPW >LPERR01G07860.2 pep chromosome:Lperr_V1.4:1:5811850:5816793:-1 gene:LPERR01G07860 transcript:LPERR01G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTALVYQRLALLDSSSSSSSSDKDDDFEPGAEAIDYDDMDDVVVVEAAPIKMLRPNQVDGEQRTVPAIPQTCYTQSTDLWNGLTNTIKVPVKGQNKCVSAQGCCGSAMERAKELQTKLPAEQPSFVKKMLQSHVVRGFWLGLPADFCNKHLPKVDTVIVLEDENGNSYDTTYLGGKQGLSARWRGFALDHDIKVGDVVVYIVREKNLTTTDGVLGLMSLDACKKQKISKEERSDNAKSGEHPKTTTNSSKGDHNYTQNLVKEGIDGIRFSDSEIIFDDVTSLSNFNIIVDGLVIDCKFLDHQRMMYYELCCSQKSFLHNNLLKHLNFKLVVGVIMETINIAEGIRACKSDTSSHEDFLVWKKTLQSFELLGMKVAFLLKRVDDLLGLPAQPRDSSECAKYKEIKLARSRAGVKMKALESKLSSLTDELKKMDAEMEEMESSVRKHDVALKKIATAPW >LPERR01G07860.3 pep chromosome:Lperr_V1.4:1:5812699:5816349:-1 gene:LPERR01G07860 transcript:LPERR01G07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLWRERWPLRFGVSGCANFGGADGKKRGRPPGSQTIGKSKMDQKTALVYQRLALLDSSSSSSSSDKDDDFEPGAEAIDYDDMDDVVVVEAAPIKMLRPNQVDGEQRTVPAIPQTCYTQSTDLWNGLTNTIKVPVKGQNKCVSAQGCCGSAMERAKELQTKLPAEQPSFVKKMLQSHVVRGFWLGLPADFCNKHLPKVDTVIVLEDENGNSYDTTYLGGKQGLSARWRGFALDHDIKVGDVVVFHLVRSTKFKASIYSKREKLNHN >LPERR01G07860.4 pep chromosome:Lperr_V1.4:1:5811852:5816349:-1 gene:LPERR01G07860 transcript:LPERR01G07860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLWRERWPLRFGVSGCANFGGADGKKRGRPPGSQTIGKSKMDQKTALVYQRLALLDSSSSSSSSDKDDDFEPGAEAIDYDDMDDVVVVEAAPIKMLRPNQVDGEQRTVPAIPQTCYTQSTDLWNGLTNTIKGCCGSAMERAKELQTKLPAEQPSFVKKMLQSHVVRGFWLGLPADFCNKHLPKVDTVIVLEDENGNSYDTTYLGGKQGLSARWRGFALDHDIKVGDVVVYIVREKNLTTTDGVLGLMSLDACKKQKISKEERSDNAKSGEHPKTTTNSSKGDHNYTQNLVKEGIDGIRFSDSEIIFDDVTSLSNFNIIVDGLVIDCKFLDHQRMMYYELCCSQKSFLHNNLLKHLNFKLVVGVIMETINIAEGIRACKSDTSSHEDFLVWKKTLQSFELLGMKVAFLLKRVDDLLGLPAQPRDSSECAKYKEIKLARSRAGVKMKALESKLSSLTDELKKMDAEMEEMESSVRKHDVALKKIATAPW >LPERR01G07860.5 pep chromosome:Lperr_V1.4:1:5812584:5816349:-1 gene:LPERR01G07860 transcript:LPERR01G07860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLWRERWPLRFGVSGCANFGGADGKKRGRPPGSQTIGKSKMDQKTALVYQRLALLDSSSSSSSSDKDDDFEPGAEAIDYDDMDDVVVVEAAPIKMLRPNQVDGEQRTVPAIPQTCYTQSTDLWNGLTNTIKVPVKGQNKCVSAQGCCGSAMERAKELQTKLPAEQPSFVKKMLQSHVVRGFWLGLPADFCNKHLPKVDTVIVLEDENGNSYDTTYLGGKQGLSARWRGFALDHDIKVGDVVVYIVREKNLTTTDGVLGLMSLDACKKQKISSESKFPLLFCTFDCVIHGRC >LPERR01G07870.1 pep chromosome:Lperr_V1.4:1:5820631:5821227:1 gene:LPERR01G07870 transcript:LPERR01G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICDEQAELAIDPVRSDLNRAPAAWIDSRLLGSRRCYTAKGSAVVRRRPLLLVVSRRRSLSSSSGHLQPDSTTSPRHSIGQARTSRPVARELGWWTRRAGEASNGRVLALVTATQMQDGGGMDECDSGGGRQPRRRWPSQSSVLAGLPSLSCGLLPLFSLSCFSAILTTLLVPSACTSGFAEGGNCPPWRREGRGNS >LPERR01G07880.1 pep chromosome:Lperr_V1.4:1:5822712:5827798:1 gene:LPERR01G07880 transcript:LPERR01G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLKLFCLVKKKDTKKKGKSINPLCKASAPHPTVNVTNNSILDPVSTGTGTVQLVQKHVPECSSVISSMTMREYGSESDGYNLFNQFDVVQDFSDHYYASHSPGKTSKDWAKAIQNEWKLLQKDLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTTLQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKNSLAYNQTAFILSCRTMLYSLRNPPKHFECLVARHFHERERVILDACSAYISGTVVGSSSGDGTKYPRNNRTFADFKKSLEKYTELLRKDLATNHTRFLKVTRDSIASDEIAAVSSAADEIAVSACG >LPERR01G07880.2 pep chromosome:Lperr_V1.4:1:5823209:5827798:1 gene:LPERR01G07880 transcript:LPERR01G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSHWTGLARITRLLIVGVWSRISRMVLNKVLKLFCLVKKKDTKKKGKSINPLCKASAPHPTVNVTNNSILDPVSTGTGTVQLVQKHVPECSSVISSMTMREYGSESDGYNLFNQFDVVQDFSDHYYASHSPGKTSKDWAKAIQNEWKLLQKDLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTTLQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKNSLAYNQTAFILSCRTMLYSLRNPPKHFECLVARHFHERERVILDACSAYISGTVVGSSSGDGTKYPRNNRTFADFKKSLEKYTELLRKDLATNHTRFLKVTRDSIASDEIAAVSSAADEIAVSACG >LPERR01G07880.3 pep chromosome:Lperr_V1.4:1:5822839:5827798:1 gene:LPERR01G07880 transcript:LPERR01G07880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLKLFCLVKKKDTKKKGKSINPLCKASAPHPTVNVTNNSILDPVSTGTGTVQLVQKHVPECSSVISSMTMREYGSESDGYNLFNQFDVVQDFSDHYYASHSPGKTSKDWAKAIQNEWKLLQKDLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTTLQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKNSLAYNQTAFILSCRTMLYSLRNPPKHFECLVARHFHERERVILDACSAYISGTVVGSSSGDGTKYPRNNRTFADFKKSLEKYTELLRKDLATNHTRFLKVTRDSIASDEIAAVSSAADEIAVSACG >LPERR01G07880.4 pep chromosome:Lperr_V1.4:1:5822712:5827798:1 gene:LPERR01G07880 transcript:LPERR01G07880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLKLFCLVKKKDTKKKASAPHPTVNVTNNSILDPVSTGTGTVQLVQKHVPECSSVISSMTMREYGSESDGYNLFNQFDVVQDFSDHYYASHSPGKTSKDWAKAIQNEWKLLQKDLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTTLQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKNSLAYNQTAFILSCRTMLYSLRNPPKHFECLVARHFHERERVILDACSAYISGTVVGSSSGDGTKYPRNNRTFADFKKSLEKYTELLRKDLATNHTRFLKVTRDSIASDEIAAVSSAADEIAVSACG >LPERR01G07890.1 pep chromosome:Lperr_V1.4:1:5828616:5830033:1 gene:LPERR01G07890 transcript:LPERR01G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSPPAPAVRTLAPALTEDDLTSVGLTEQRSDKSKSDSMMWPSSLTRTQEWMIKKAKNLPLGLCPDQLVPADE >LPERR01G07900.1 pep chromosome:Lperr_V1.4:1:5828667:5831641:-1 gene:LPERR01G07900 transcript:LPERR01G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPHLSSRSFHLHPHLFSPPFLPRIHIHASPAAQTDPLPATWCVRPWRRALASLLSDWSLGVEFEGAGRLPTLSMNSMSYNKLSITNDRMDFNTRGNAGVSANPIPSTASNPTAHSQIPSDKKSRLKKKPDPADKTIQIPATNRTTNSAQSSAPPPTAKSETSATTTTSTKSPATSIARSNSLDSCTSSNVKRHTGGDRRWAAVRSASSGDSPLSLLHFRLLKRLGYGDIGSVYLVELRESDTFFAMKVMDKESLISRNKLVRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVNPTLVKSSSVNAGANVLTAGAGGESGCIQPSAFFPRILPKRNRKTSKSDFSLHHHHHGSQLLVVEFNAEPTDARSMSFVGTHEYLAPEIVRGEGHGGALDWWTFGVFLYELLHGITPFRGATNRATLRNVVDQPLRFPEGSTASGVARELIRGLLVKDPGKRIAARRGAAEIKQHPFFDGVSWPLVRSAHPPSVPDPVDFGQFGAREKPPAPAPAPATEKTTTAPAKVAKPAAVSDSSSGDFEYF >LPERR01G07910.1 pep chromosome:Lperr_V1.4:1:5836346:5843069:1 gene:LPERR01G07910 transcript:LPERR01G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSAAAAASRRSSSSSSMATAAAKRPAVAEGGKAAPPGAAAAKKRVALGNITNVAAGAGGRVAAAAAGKVGNAKLNSATSAAPVKKGSLASGRNVSTNRASAVKSASTKPAPAISLHESSQSTVQKESVLPPKVPSIAPTVALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDGSSVLASLQRRANENLHISEDVDVEETKWKKDAPSPMETDQICDVDNDYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQNDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWSSTLVHYTQYKSSELSDCVKALHRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSIPTEFFRDATHTWRTSNVANSYGIHTVT >LPERR01G07910.2 pep chromosome:Lperr_V1.4:1:5836346:5843069:1 gene:LPERR01G07910 transcript:LPERR01G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSAAAAASRRSSSSSSMATAAAKRPAVAEGGKAAPPGAAAAKKRVALGNITNVAAGAGGRVAAAAAGKVGNAKLNSATSAAPVKKGSLASGRNVSTNRASAVKSASTKPAPAISLHESSQSTVQKESVLPPKVPSIAPTVALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDGSSVLASLQRRANENLHISEDVDVEETKWKKDAPSPMETDQICDVDNDYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQNDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLSSTLVHYTQYKSSELSDCVKALHRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSIPTEFFRDATHTWRTSNVANSYGIHTVT >LPERR01G07910.3 pep chromosome:Lperr_V1.4:1:5836346:5840663:1 gene:LPERR01G07910 transcript:LPERR01G07910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSAAAAASRRSSSSSSMATAAAKRPAVAEGGKAAPPGAAAAKKRVALGNITNVAAGAGGRVAAAAAGKVGNAKLNSATSAAPVKKGSLASGRNVSTNRASAVKSASTKPAPAISLHESSQSTVQKESVLPPKVPSIAPTVALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDGSSVLASLQRRANENLHISEDVDVEETKWKKDAPSPMETDQICDVDNDYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQNDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWSSTLVHYTQYKSSELSDCVKALHRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSIPTEFFRDATC >LPERR01G07910.4 pep chromosome:Lperr_V1.4:1:5836346:5840663:1 gene:LPERR01G07910 transcript:LPERR01G07910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSAAAAASRRSSSSSSMATAAAKRPAVAEGGKAAPPGAAAAKKRVALGNITNVAAGAGGRVAAAAAGKVGNAKLNSATSAAPVKKGSLASGRNVSTNRASAVKSASTKPAPAISLHESSQSTVQKESVLPPKVPSIAPTVALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDGSSVLASLQRRANENLHISEDVDVEETKWKKDAPSPMETDQICDVDNDYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQNDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAARFVRVAQVSSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWALHRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSIPTEFFRDATC >LPERR01G07920.1 pep chromosome:Lperr_V1.4:1:5844146:5847459:1 gene:LPERR01G07920 transcript:LPERR01G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWKTKVLPGLNKFFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTEIEPKVVEVVESSPPEIKALLKDKKPASKIKKNAPAVTKFLEELAKIEFPGAKLVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKAEPEPAAAAPAAETTTETTSREVAVEEEKKEEAAPAEPAAAAAEAAPSTEVVEEKKEEAAAEAEAAAPAAEPEKK >LPERR01G07920.2 pep chromosome:Lperr_V1.4:1:5844162:5847459:1 gene:LPERR01G07920 transcript:LPERR01G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWKTKVLPGLNKFFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTEIEPKVVEVVESSPPEIKALLKDKKPASKIKKNAPAVTKFLEELAKIEFPGAKLVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKAEPEPAAAAPAAETTTETTSREVAVEEEKKEEAAPAEPAAAAAEAAPSTEVVEEKKEEAAAEAEAAAPAAEPEKK >LPERR01G07930.1 pep chromosome:Lperr_V1.4:1:5855483:5856285:-1 gene:LPERR01G07930 transcript:LPERR01G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLGSCHCRKADDDVEDRQPRRPFQPWPLHAAHFNLAKRKLELEDLSHACRRDSFCFMCVHAFCSHCCRAHHGLPLYYHVVIPINVDASTGKPIVPEQSPGWCPDKPSLEFVANLVNKEDYSTNLPRNAYCMRCCKAFPTALCHHHEYKCGTDAILRIAERDGQHCTRCTGNEPWDPLAIEEDDNGKVVMLLPVLRRSSPDVCVQCGGQVLDPKRGSLCSLSCDAAHSQDVAQRRERRDSARATQHLAKLRLDSV >LPERR01G07940.1 pep chromosome:Lperr_V1.4:1:5856764:5877827:1 gene:LPERR01G07940 transcript:LPERR01G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSGGGDGSYFARVLRGDPRLERVPLLPRRHLALPRVGIRLRCWTDLTPKFTDKIIMICLLRHFDKFGLSFPLCDFCMMLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYESSIERDDIKDPCTECRVSNVAVEQVWGRRRRRHQPRGEMAGYRGGSSSSSSAGGGGGGGGAAAFATRVLLLLTLLPMALAAFAFALQFPGMENSPLGSSSSSSGGGGGSYFAVTSSMMGSSAAADCAEILGRSASSSSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSNFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLGSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.2 pep chromosome:Lperr_V1.4:1:5856764:5877827:1 gene:LPERR01G07940 transcript:LPERR01G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSGGGDGSYFARVLRGDPRLERVPLLPRRHLALPRVGIRLRCWTDLTPKFTDKIIMICLLRHFDKFGLSFPLCDFCMMLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYESSIERDDIKDPCTECRVSNVAVEQVWGRRRRRHQPRGEMAGYRGGSSSSSSAGGGGGGGGAAAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSGGGGGSYFAVTSSMMGSSAAADCAEILGRSASSSSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSNFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLGSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.3 pep chromosome:Lperr_V1.4:1:5856764:5877827:1 gene:LPERR01G07940 transcript:LPERR01G07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSGGGDGSYFARVLRGDPRLERVPLLPRRHLALPRVGIRLRCWTDLTPKFTDKIIMICLLRHFDKFGLSFPLCDFCMMLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYESSIERDDIKDPCTELGAKAEASPTAGRDGGIPRGILLLLILGRSASSSSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSNFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLGSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.4 pep chromosome:Lperr_V1.4:1:5856764:5875750:1 gene:LPERR01G07940 transcript:LPERR01G07940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSGGGDGSYFARVLRGDPRLERVPLLPRRHLALPRVGIRLRCWTDLTPKFTDKIIMICLLRHFDKFGLSFPLCDFCMMLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYESSIERDDIKDPCTECRVSNVAVEQVWGRRRRRHQPRGEMAGYRGGSSSSSSAGGGGGGGGAAAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSGGGGGSYFAVTSSMMGSSAAADCAEILGRSASSSSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSNFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLGSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGVMTRIYAPMATHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.5 pep chromosome:Lperr_V1.4:1:5860092:5877827:1 gene:LPERR01G07940 transcript:LPERR01G07940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYESSIERDDIKDPCTECRVSNVAVEQVWGRRRRRHQPRGEMAGYRGGSSSSSSAGGGGGGGGAAAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSGGGGGSYFAVTSSMMGSSAAADCAEILGRSASSSSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSNFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLGSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.6 pep chromosome:Lperr_V1.4:1:5856764:5877827:1 gene:LPERR01G07940 transcript:LPERR01G07940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSGGGDGSYFARVLRGDPRLERVPLLPRRHLALPRVGIRLRCWTDLTPKFTDKIIMICLLRHFDKFGLSFPLCDFCMMLEWTGSFILKDELIHPAGAWEYSFRRLLLDVPDNVDMVIFPNYVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHKEKQSNMGLPNITQSSGDPLKATVRKILEMVDAQEEAMPPLSPPSFLNPIVESDLS >LPERR01G07940.7 pep chromosome:Lperr_V1.4:1:5856764:5859909:1 gene:LPERR01G07940 transcript:LPERR01G07940.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVFVFALQWLAPRDGEQPARILLLGRRRRILLRQGPPRGSSAGARPPPPTEASRSTAGGDSTPMLD >LPERR01G07950.1 pep chromosome:Lperr_V1.4:1:5867230:5867970:-1 gene:LPERR01G07950 transcript:LPERR01G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFPAAPLQAAHFNLAKHKLELEGLSQTCRRDSFCFMCVHAFYSHCCHMHHGLPLYYHVVIPISVDTSTGKPIVPERSPGCCPDKPSLEFVTNLVNKEYYSTNLPRDAYYMKCCTTFPIAMCHHHEYKCGKDAILRIVERNGRHCIHCTDNEPWFSYLESILGDPVAVEEDDRDEMVMVLPVLRRSDLSVCVLCGDQLLDPRRGSLCSLPCDETYIQEVAQRKVRRDAARATQRLAKLHLDYV >LPERR01G07960.1 pep chromosome:Lperr_V1.4:1:5876093:5879244:-1 gene:LPERR01G07960 transcript:LPERR01G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLRPGRAHPIASPLLRSRSSQLRLSCAAMKSYRLSELSDAEVSGLKARPRIDFSSIFGTVNPIVEDVRVRGDDAVKDYTVKFDKVALDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKSVVLATPPSRDGSICKEVLYCAKRAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADRYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWENLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRRLGPHVAKMAEVEGLEAHRRAVTLRLRDIEATVTV >LPERR01G07970.1 pep chromosome:Lperr_V1.4:1:5882356:5883159:-1 gene:LPERR01G07970 transcript:LPERR01G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPIPIPSPPPHRHIISIVVSSSKFAVIFYFVPLQTLITPNPTQPSLLFIQIICMASVGQIPYAESLRSVLFSVSRSLERLGAAPPTKAFWTSEQYLLELHDRLDIGWQSLSLMELTFGRFEARVREKATSLAAIAMKARTDERATSKAFLANCYLNILKYLVQGCRINMSALDDIQRSIKNKLLDVAGATENLQANIKVASEAMRMLGDILRSNIQYINTNEEGIPSTVKITLNFCREHVISVKTCADTPFRAFSFRRRLKKLVN >LPERR01G07980.1 pep chromosome:Lperr_V1.4:1:5886055:5887359:1 gene:LPERR01G07980 transcript:LPERR01G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLQSPFFLWSLPPPPPPPPFPPSNTPPPPPPPPPLPPPPPSPFCSGLPLPPPFPPPPGAIRFPLWHGPTTTIPAVGSPVSGVASSGRESLRRSPTTPRSGGKWDGGCHFVEHLPPGGGVAAAFSSGSGDAAASPFAGVLPELLPPKKRFLRFHPYAAAWTIQEMANRIVEGVRVPSAMADEHDGDGDGDGDDEGLLRAELRRLSIVRRPALVLTKRLTPSDMSRERARLALPESLVRGSPLMSMLTPPERHLVLTGENGGLPVQAFDRLGRTYRMSLKRDRSPTCRTFRLTGQWSLFVSRHADAMARHGAAAADDDDAFVEHMQAEEEYSTP >LPERR01G07990.1 pep chromosome:Lperr_V1.4:1:5888160:5892656:-1 gene:LPERR01G07990 transcript:LPERR01G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRYVGVGQGSSSSSCWAADVAAWGSSSSSSSQQQLQQQEQQQKRQRCQDSSNDQVGSSTSNSLQMSEPELQDFDNGENEEEDYFLEEDDCIYDDGDGCDYEFDGGEYFNERLADKFDDLDLPPGVEATVPWLQKIISKKVQSSSKLAIEDEKANKSQLFKQFDTVKNFSDHHYAAISGEGTKREWTKKIQQDWKLLEKDLPASIYVRVAEDRMDLLRAAIVGPKGTPYHDGLFFFDIQYTSSYPSRPPSVYYYSGGLRINPNLYNCGKVCLSLLGTWQGSGCEMWNPSQSTMLQVLVSIQALILNEKPYFNEPAYASSANSTSSERVSMEYNDNVFLYSCRTMLYSLKRPPEHFADLVTSHFRERGHTILAACRYYMEGNRVGSVVPDEEEEPKYGSGDAGASTSSSAVATALKPQPDKVLRGRKFNEDLKTLFEELLMEFNVKGADTSRFLAEKVKKNCGQPVGLRNAVQVSQWQWD >LPERR01G08000.1 pep chromosome:Lperr_V1.4:1:5895314:5900315:-1 gene:LPERR01G08000 transcript:LPERR01G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDCKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGQPDPLKGDSLNKAVRETANEAIAAVFSTEDPKPAVATEGLGKRIQGFGNTNFEPSRDEKKSFLSELSEVVGIGSASIKQGLTNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGNSKNMTSGSWGPSPSSSAPTDDTGSSQPGVKTREERLLETIATASGVRLQPTRDALQMFLTEATKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFSENSSSVVRCCDLPQVSLREKASKVLNLLVGDQSTGSNNLSETRTTIPAAQMPDLIDTGDQDDPGAQSSAKEGSENIMGNSTYTSSVDDLLGGEPIANISTTASNGNGGDPFADVSFHEAEIKDSNDLFSGMTVEEKTSASLHDNSSMNKNELPDIFGSSAEPFFQERVEDKGTVNDLMAGLNLNGTSQAQPGVKTEPNNTVNVSQLFDMNNQTSNVANSAALTDILGQGSFYQQQQVPLPYNLPPQMLFNPAFAGQQLNYGAMNALLAQQQQLLQNLGNFNAGLGHTSFNTMNSGNASVLPDIFNSSTQPQHVAVMSNAKKDETKAFDFVSIC >LPERR01G08000.2 pep chromosome:Lperr_V1.4:1:5895342:5900315:-1 gene:LPERR01G08000 transcript:LPERR01G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDCKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGQPDPLKGDSLNKAVRETANEAIAAVFSTEDPKPAVATEGLGKRIQGFGNTNFEPSRDEKKSFLSELSEVVGIGSASIKQGLTNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGNSKNMTSGSWGPSPSSSAPTDDTGSSQPGVKTREERLLETIATASGVRLQPTRDALQMFLTEATKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFSENSSSVVRCCDLPQVSLREKASKVLNLLVGDQSTGSNNLSETRTTIPAAQMPDLIDTGDQDDPGAQSSAKEGSENIMGNSTYTSSVDDLLGGEPIANISTTASNGNGGDPFADVSFHEAEIKDSNDLFSGMTVEEKTSASLHDNSSMNKNELPDIFGSSAEPFFQERVEDKGTVNDLMAGLNLNGTSQAQPGVKTEPNNTVNVSQLFDMNNQTSNVANSAALTDILGQGSFYQQQQVPLPYNLPPQMLFNPAFAGQQLNYGAMNALLAQQQQLLQNLGNFNAGLGHTSFNTMNSGNASVLPDIFNSSTQPQHVAVMSNAKKDETKAFDFVSVCAFIP >LPERR01G08010.1 pep chromosome:Lperr_V1.4:1:5901921:5903022:1 gene:LPERR01G08010 transcript:LPERR01G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHDNLVSEPLTMASDAERVLHVTVSNLLYPVTKDLLHQVFYVYGYEKIYMHQMETTVEASIQFQSRANAEYAQKLLHGRNIYDDVCCMDIHLGSQASATPVNSSPATLFSQIIKELRDSNKGEGEGRGGDKTEDGHERDHGKGASSYDSIATKGHHQDRAGGNALAEYHRQAASTAAAASSSSHLQAVHGKHGGARSRRSCHGVQLQTTNVRFLVEAAFKSKRAGGSTYPKAVSQCNRWVVFSCLGLATAPSSVKRRDDGNQGWFFGIATSGTIGCCDSGATDDGIDGVPCGDGRARQPQRLQRTRRKGAEQKRA >LPERR01G08020.1 pep chromosome:Lperr_V1.4:1:5904832:5912923:-1 gene:LPERR01G08020 transcript:LPERR01G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAAATPAPLLHLSRRLFSSSSSVSPFPRALAYRGGQAGGGRRRGPNHLLRRGNSTIEKRGKEMGGGGGGGGEAEVAFNKTRAEGKDGRKGRSMELKSRKLNPVNTICYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGMGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGGEQNVSSSQSQDATVIVDDEVVRLSAMFVKPRYDNEANSLTDNNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDTFNKMVHPSDVLGPSIPGPIVLLVDCPTKYHKHELFSLQSLGCFYEDSSEQTGTSKKVNCVIHLGPASVTKALDYQNWMRRFGAAQHIMAGHEIKNMEIPVLKGSARISSRLHFVCPHLFPSSGFWPMEPVNDVDSEKNKISPSQACESVSAANLLKFHLRPYAQLGLDRASIPSLSSYEDIVGELLSEIPEIKEVPEQIRKFWRSNANQNTMHSVGKHMLMVEEPWITENSSASNALDRKSSSGKLQDGTPLRGSDWRKQPKDNPHIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYIKLFAQGGILLDCGEGTLGQMKRRFGVSGADEVVKSLKCIWISHIHADHHTGVARILALRSKLLKGVDHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRHTLKPSIEAFLSNNVSESATPHLENTIFAPGSRMENYSRKPASPRDTTALANLKEVLHESGLEVLYSVPVVHCPQAFGVVLGAKENVSSDGKVIPGWKVVYSGDTRPCPALIDASKDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCVAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVEESDEVQEAVMY >LPERR01G08030.1 pep chromosome:Lperr_V1.4:1:5927102:5928670:1 gene:LPERR01G08030 transcript:LPERR01G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDWKSRPTSAGAARTPVHHHYAAAAAGGARSPARDPPRLSLSSVHGVDLPTIGGAGGMCSPWIQSPRHGRVPFPSSPAAAIYHCLSALHRLDGDVHALAVSRGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPAIAACGGTLVTSHSRDHHVRVWTVCASAVCDHIRAKKAATLPAKKNGILSTFVKRRQPPHHRDTVSCLVLHAAAGLLYTASHDHTVKAWKLSDGSCADSFVAHDGAVNAMLVNDADGCIFTASDDGTVKMWRRVYGGTTHTLIVVLRSTEQLSPVNALTICHSGPTRGRCFLYAGSSDGYVNVWEKEAAVGRPAHVGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDGGGGKGGATSHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDRSVKVWRIRVVGKEEEEDDDDDDEDDDLDDDGGGENDVASTPAGKEVDVAVDHAREEAAVVAEEEAEVVVGLGATPVLSPVWVEKRRTSRG >LPERR01G08040.1 pep chromosome:Lperr_V1.4:1:5930510:5931951:-1 gene:LPERR01G08040 transcript:LPERR01G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLELGHRGEAWEPGCLRGVIGELVFTFLFVFIGVASVMTAGKATDSSSALTAAAMAQALVVSVLATSGFHISGAHLNPAVTLAVAVAGQITLFRAALYALAQLLGSSLACLLLRCLTGGAATPVHALAAGVGPVQGAVAEAVFTFALLLVIRATILDPRGAAAAPPGCGPLLTGLLVGANTVAGGALTGASMNPARSFGPALASGVWAHHWVYWVGPLVGGPLAVVVYDLLFVEGGGTHQPLPQE >LPERR01G08050.1 pep chromosome:Lperr_V1.4:1:5934169:5934927:-1 gene:LPERR01G08050 transcript:LPERR01G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHHREASEPGFHRAILAELLLTFLFVFSGVASSMAAASTLTSPTIASLTAVSLAHALAVAVAVSSGLHVSGGHINPAVTLALAVTGHVTLFRSSLYILAQLLGSTLACLLLSLLLSSSGDLLPVHSLAAGVGAAKGAAMEAVLTFSLLFAVYATVVDGRREVGPLGPLMVGLVVGANILAGGLYTGASMNPARSFGPAVVSEIWADHWVYWVGPLVGGPLAGLVYEGVFMVPPGGHEPIPRNDADF >LPERR01G08060.1 pep chromosome:Lperr_V1.4:1:5937474:5948023:-1 gene:LPERR01G08060 transcript:LPERR01G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCDHHHQQQQQQKQQRLEGIEEEDGSAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALKLLSGKPVSDDAPAAMDGRRSRHEHERDAAAISPPISPRANLDTKLLRSAAAAAGGRGGGKSTTTTTMGNWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPAPDRPSSNGGGAGATGGGGAKTAAAIASAAALVASHCVEMAQAIGAGHDQILAAIQSAVNAQTTGDIMALTAGAATALRGAAMLRARLHKEIQAAALPASAAGGGGEPERDTSPFVFVSRGGELLKRTRQGILHWKLVTVYMNSSLQVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEDGSHKRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRRDSMKNASIRLARPLCAAGSAPRFLQGSISQNSIHSLVMEQGTSSNVAKHNKRKSSVQRWRPISTEAATPKANLNGMSNFVSKQVVENLASDGTTNVFIEVSTDNASLPENKVSVEGTMETNSLNKDPDGSDLSEKYSSSVQVDAPLMRFLKGKGGTMQKQIEEETGVKIIFPSSKEETRVVLEAKTSENIRKASEKIAKVLEEAVKSPMLDYSHFISLPLAIHPDLVEKLNHFQCSILGTSSNVDSDKDEDLSEGSIDEMDHEHKKVESPSVSIKMEIQVEESVGVKKDNKGSRPDFGINKSIFIKPKTFHLTVLMLKLWNKDRVTKASDVLQSISSHVMEALEDRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVPERDARQELKLHATIMNVRHRKSKKRNQRNDSFDARNIFKKYGEHDWGEYLIPEIHLSQRFKFGENGYYYCCSSIPLPEEMQTECLSK >LPERR01G08060.2 pep chromosome:Lperr_V1.4:1:5937474:5948023:-1 gene:LPERR01G08060 transcript:LPERR01G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCDHHHQQQQQQKQQRLEGIEEEDGSAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALKLLSGKPVSDDAPAAMDGRRSRHEHERDAAAISPPISPRANLDTKLLRSAAAAAGGRGGGKSTTTTTMGNWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPAPDRPSSNGGGAGATGGGGAKTAAAIASAAALVASHCVEMAQAIGAGHDQILAAIQSAVNAQTTGDIMALTAGAATALRGAAMLRARLHKEIQAAALPASAAGGGGEPERDTSPFVFVSRGGELLKRTRQGILHWKLVTVYMNSSLQVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEDGSHKRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRRDSMKNASIRLARPLCAAGSAPRFLQGSISQNSIHSLVMEQGTSSNVAKHNKRKSSVQRWRPISTEAATPKGMPQSLPANLNGMSNFVSKQVVENLASDGTTNVFIEVSTDNASLPENKVSVEGTMETNSLNKDPDGSDLSEKYSSSVQVDAPLMRFLKGKGGTMQKQIEEETGVKIIFPSSKEETRVVLEAKTSENIRKASEKIAKVLEEAVKSPMLDYSHFISLPLAIHPDLVEKLNHFQCSILGTSSNVDSDKDEDLSEGSIDEMDHEHKKVESPSVSIKMEIQVEESVGVKKDNKGSRPDFGINKSIFIKPKTFHLTVLMLKLWNKDRVTKASDVLQSISSHVMEALEDRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVPERDARQELKLHATIMNVRHRKSKKRNQRNDSFDARNIFKKYGEHDWGEYLIPEIHLSQRFKFGENGYYYCCSSIPLPEEMQTECLSK >LPERR01G08070.1 pep chromosome:Lperr_V1.4:1:5943700:5945283:1 gene:LPERR01G08070 transcript:LPERR01G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGSEWMAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFAMVTPSSAVFQVIIGAVGGGAAIGGGAAAGGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >LPERR01G08080.1 pep chromosome:Lperr_V1.4:1:5952354:5959813:-1 gene:LPERR01G08080 transcript:LPERR01G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHMDTYEIAAVKIESSKTKHPQLFYEAKLYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFCDLFARQGYEFDYVFDWTVLRYKQGQKVQHGSGVTRAISGSGDFDKQAGVNGAFHHNEAREHTGTSHLAGASAQLQVKRAADRGPHPNIQRTENIIQDVTARKEHLTASILPGAEWRKDGNSRQLGQLDAHQKQSFVSNTGIEDARDLNRACWISFPWNLQS >LPERR01G08090.1 pep chromosome:Lperr_V1.4:1:5962264:5962800:-1 gene:LPERR01G08090 transcript:LPERR01G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEGGKARRGGKKAAAAEKVAKQPQRGLGVAQLEKIRLHNQMLAALRSASSGAGAGIHDDTPSPPFAGAAASPFHYHLPLQADCYEAAADRRRMAGVQPYYEGMLPYGSGGRVAAPAFVAYDQVKGGDHHQQYGSPGQRQQQPQYYTWMSSGYDVDCSGGRSSAGSSSEELDLELRL >LPERR01G08100.1 pep chromosome:Lperr_V1.4:1:5973119:5973451:-1 gene:LPERR01G08100 transcript:LPERR01G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVELDYIGLSPPPSAGAGARVAVAADDDLKGTELRLGLPGSDSPDRRPAAATATTLELLPAAKGAKRVFSDEPALPPPTAAAGKGKEEEEKEDGSKKVAAPPQPAAK >LPERR01G08110.1 pep chromosome:Lperr_V1.4:1:5986619:5986904:-1 gene:LPERR01G08110 transcript:LPERR01G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLQIRRLRVCARPHPGELRDSAAGDAAASGWGRRRRRGVWSPRFLQGQRASLQSAVYGRRGGNLPT >LPERR01G08110.2 pep chromosome:Lperr_V1.4:1:5986573:5986904:-1 gene:LPERR01G08110 transcript:LPERR01G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLQIRRLRVCARPHPGELRDSAAGDAAASGWGRRRRRGVWRGNELLCRARSTDDVAGIFLRDELGERSSSPDCKGP >LPERR01G08120.1 pep chromosome:Lperr_V1.4:1:5995736:6004866:1 gene:LPERR01G08120 transcript:LPERR01G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGELKSSSLVQQMVWGGTSNSSSSSTMSSLRLQPCADEQDAASPASTMLFLPQQLLHTSSSSPCLNIPDEVNCSTAGTIPLEIFHGGGQQIGMSDQSWRQLLLGGLVGDHEKYSTATALLSKGLVDDEGPMPHEAAATYDFYGNAGAGEEMVQRSPEASCKSQLSQMLLASSPRSCITTSGLGSSILEFSNGAPAPAPAPEVARKNHLSGNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYLGHCCSANPMQQQNGIMAGERSSTGIFPEFPGQDAEKDSGKMQAKDLRSRGLCLVPVSCMTHLAVDNDVIIGPDFWAAVAGGGAPPPLAGINLQ >LPERR01G08120.2 pep chromosome:Lperr_V1.4:1:5995736:6004866:1 gene:LPERR01G08120 transcript:LPERR01G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGELKSSSLVQQMVWGGTSNSSSSSTMSSLRLQPCADEQDAASPASTMLFLPQQLLHTSSSSPCLNIPDEVNCSTAGTIPLEIFHGGGQQIGMSDQSWRQLLLGGLVGDHEKYSTATALLSKGLVDDEGPMPHEAAATYDFYGNAGAGEEMVQRSPEASCKSQLSQMLLASSPRSCITTSGLGSSILEFSNGAPAPAPAPEVARKNHLSGNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYLGHCCSANPMQQQNGIMAGERSSTGIFPEFPGQDAEKDSGKMQAKDLRSRGLCLVPVSCMTHLAVDNDVIIGPDFWAAVAGGGAPPPLAGINLQ >LPERR01G08130.1 pep chromosome:Lperr_V1.4:1:6007698:6010388:1 gene:LPERR01G08130 transcript:LPERR01G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRLLLAGAVAAVMMATAAEGFISKKTWSAIRQANRGGPFVGLVVPNAYEMDPVLNSPSFSPSLTLPYLDVQGRRFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFKVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRDLGFLNFSDYTATGRSPNELNSIWYQPEEIFPVSGVPEQRQHAFWVPVSKHYFALAEKLEELELPACVNGTTTCLPRAPKVTRVSKGCSANVFLDNAAYRQFLRRKFGCTPVEMESAAVALVAHQQGVPFLTIRSLSDLAGGGSDLGNEAAKFLAIAARNAVDVMLQFVPLLAGVGAVDM >LPERR01G08140.1 pep chromosome:Lperr_V1.4:1:6013971:6022134:1 gene:LPERR01G08140 transcript:LPERR01G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPTYASVVAHAATFLAELIADPLLRRHLLSAAAAGKRHPAATLQALSLVSDALDTASPPSPSTPAMRVLSLMSGAQAEEARELEREYEKVLDANCRSYALYLRNILDAGDAAITSPPPPPPELVFSVPNDDDAEEDDATVETDEGVAVVSSQNDVRYNPMWGDGDEAADLYPRQGSGKGRRELMRPPSLYPQRVAPHLIVQQQQSPPAAAGRGSPVAMLRRAEHSPATASDDSMDYSPSSSDLLAGREEKLKASPLSRPVTTRRHVSGGGEDEDDAAAAAAIQLSPESASPVTNHGGDVERQQNTAAATTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQRLHRSAHHLPNTNFVLKRLIASWRDTTMADSPAQFKTASPSPTSVIAQASLDTAVGELRAAVSCLCTSDDLADSEKSVLRIDRLWRDAAAGGGEHAVAAASLLAKPAVVNGFVEILFNSVSAHVLRVAVYLLAELASRDGAVVQTLTRVDSDVDCLVALFKNGLVEAVSLIYLLSPSPEQLVEMDMADALVSTVRRAGGDGDGDDVVKMCVKPKTAAVILLSRILVDGDRNGSSPGAVAKSALVSERFVRSVAASLEAEEVEEKVAAVRILLRCVAEDGHCRSSVVENSTLAGVLDVFHVVGDGDKFDIVRLLSELLKLKRRSAADRLLRMIKEATSFSMMHSLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEALDSLIQCLKNSDYPRSQLLAAETIMNLPGKFSSSGRPLARSSLLKLARVKERYRPLQEMSIVRGGGDGDDEMALSECLKAKNGELFTASLVSATWLVHMLSLLPDTGVLGAARVCLLRQLVIVFRSGKHGSDRALAMVALRSFMNDREGMHEIMTYIKDVLKTLRELKKSSGLAFEMLKLLSDGQESSIGMWNHKELNHADCSSNGGVTSIVYFKGFIFSGHSDGTLKVWEGSENILRLAHESQEHTKAITSLAVLQSEDKLFSGSLDRTIRVWQLRDALRCVEVHDTKDPVQNLAVAAAMACFAPQAAGVKVLSWNSGNSRVLNASKVVRSMALVHGKLFCGCNDSSIQEIDLASGTLGVIQPGNKRILGKASPIYSLHVHGDLLYTGSTSLDGASVKIWNSSNYNLVGTIPSSVEVRSLVVSSDLVYLGSRTGIVEIWSREKLTRIGALQAGGAGCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >LPERR01G08150.1 pep chromosome:Lperr_V1.4:1:6022518:6025758:-1 gene:LPERR01G08150 transcript:LPERR01G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPWMAEAARRWLEEAGATVDGSGHRAFNALPLTGVRVSLADGGRAVCSLRVPAELTDAEGRWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPANLHEEVELDGRVVEQKGKLTAVTVEIRKKDSGELVAIGRQWMSATRPKGPRHNQRPCSIAMDNDKSPSPSSPALAAAARRWLENPCDSLARSREHAAGDAFNAAVMPGFRVSIAEPGRLVCTFRVLATVTDAGGRFWHAGAVAAAVDNMCSAVVYTAGGVHVFTVNQAMSFFSPAPLGEEVEMDGRVVQRKGKMTAAVVEVRRKESGELVAVGRQWMTSTKARPEKKNGESRSKL >LPERR01G08150.2 pep chromosome:Lperr_V1.4:1:6023756:6025758:-1 gene:LPERR01G08150 transcript:LPERR01G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPWMAEAARRWLEEAGATVDGSGHRAFNALPLTGVRVSLADGGRAVCSLRVPAELTDAEGRWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPANLHEEVELDGRVVEQKGKLTAVTVEIRKKDSGELVAIGRQWMSATRPKGYQASSKL >LPERR01G08150.3 pep chromosome:Lperr_V1.4:1:6022518:6023155:-1 gene:LPERR01G08150 transcript:LPERR01G08150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDKSPSPSSPALAAAARRWLENPCDSLARSREHAAGDAFNAAVMPGFRVSIAEPGRLVCTFRVLATVTDAGGRFWHAGAVAAAVDNMCSAVVYTAGGVHVFTVNQAMSFFSPAPLGEEVEMDGRVVQRKGKMTAAVVEVRRKESGELVAVGRQWMTSTKARPEKKNGESRSKL >LPERR01G08160.1 pep chromosome:Lperr_V1.4:1:6031395:6036021:1 gene:LPERR01G08160 transcript:LPERR01G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVDGSIVNLGLWDTAGQEDYSRLRPLSYRGAHVFILSSSLISRASYENVQKKRKIRFDTDPSVGILVPRATSHEKTYTSSSVQMQSCLLISSPTKDKTQEFDRNSKMYIFQTFCHGKYLCVFPL >LPERR01G08160.2 pep chromosome:Lperr_V1.4:1:6031395:6032965:1 gene:LPERR01G08160 transcript:LPERR01G08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVDGSIVNLGLWDTAGQEDYSRLRPLSYRGAHVFILSSSLISRASYENVQKKVNC >LPERR01G08170.1 pep chromosome:Lperr_V1.4:1:6037061:6041838:-1 gene:LPERR01G08170 transcript:LPERR01G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRVWNCMLSRGTGAAPVLELTMPRHDTAAETAGDKPAEQHLQCEHFSIRGYVALLQKDPKFCTLSRIFHEQKKCDDHQASSSPFSVAKFRRWDCSKCLDNMKTSDHGTTPRTLSAKQAGTADGCSIKFVRSTFVPASVGSKRVSPTQSSQEKCADTPTLPKSAQEGNNSNCNAPSDKKGADPPMTDLQGPAQNSEMPANISENTSVDVGALPEVVPEITFQTEANGADQAPSSTKLSEAILKRNEDENGKTEEILVADQCNLTKDLKPMSGQKCDQICNSGPCEEVVPKRSAKSKCKEKTNKKLMKKSSNERTAQADISDVKLRWRKPKKVRLLSEIISANRAENSRSNEVHRETVADPCEDERSIIPLELRMDESVRHQTVGEDGLEPTMNKTKRKCSDVGNDGSSLMSWLNGKKKTVIEDVPQSVVDPAGNLSNKNVNPTVSTQHDDENSIQNGLEISMHKTDACQHESENSTQRCSSKGKKAGLDKRKTNSAASAKYGDANTRNNQSIPIPRTEDQCQMGSKNSDLRCLTKVVPAKRDIQNVSDIHEQSLPKQRKKQNPGLMHEKQQMIDDIPMDIVELLAKNQHERQLMVETDFPSTSHIQSKTTGDDDCVIVAAKDGSDYVPSVLDTNSQQKSLASKSVQKELQGHLAMSTQYASPHPLSLQISDHSKPTQEQQTHLRMEEMVTIAASSPLFSHHDDQYTAEPPTECWGRKDKKKLTWDHFKIATRNSPAATCGAQFRPSIQAVDLTSTRAMGSSSSYPTHQPVIAPLDCYAERAVNLVHARNFPSSIAAMEAGRLYDRGNAGQSLLYPKGPMPATHLLRMMDPSTSASFPNYETSSRNQMEFQAHNSQYAHNQYKGSTSTLYGSKLNGKVPLTLEDLSRRQLQPDLHRPFRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVPKGITSHQMNRKEPFEALNSGMFSEKWNALQLGSVSSSAEFLSTRNNITQSWTRGKGKMAHTLDRFVRQDICVTNRNPADFTTISDSNEYMDYR >LPERR01G08180.1 pep chromosome:Lperr_V1.4:1:6063001:6070097:-1 gene:LPERR01G08180 transcript:LPERR01G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQHVKEKVLAMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSERPAPLFNGQSPAARNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNATTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVETEDSVNQDSKKEQALVDIEDPTAQESNKEPNQSASEPSPFEQLALPAPPVSNGSANTAPKSDPGIDLLSWDDNPSTTENSLALVPVTDPVVDSTSSQNALAIVDIFSQNNTANNNAKPADPFGLNSSSGLPGSQPYNAPTQNHMQAPQPPQQVGLYPNGGAVNPGTSYNQSSQFNHTSSGWNGQMANHAMPPAQQVNYDDQGGALPPPPWEAQSAPSSEVSNGQLGGMQSLPTPSASQIGGMQPLQPQMNHMGVQQAQPMYNNGTMLPPAMQPSQPTVTQMQPGFGNQYGSLPPHSMPGMQFAGMQPSLMPGAQPVMMYAQPMMGMQFAAMPRPQMYGPHMSQYRLVQQQAAQYYSQSQGRPTYYTGMNDFSQKMSGLSMQDNSYMGMNSSSYQTTPSSSASMGQPLKPSKPEDKLFGDLLSIAKTKQK >LPERR01G08190.1 pep chromosome:Lperr_V1.4:1:6072473:6080012:-1 gene:LPERR01G08190 transcript:LPERR01G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNGKAPEKGGESSGPPSAPQEGGLLDRQGVPPKEIGPLKRWQKVLNPELVKGPWSKEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLSQVSCLPLNEYPAHCNSSPAMTQQNSEDSGCYAVREVENSSGCSQSSLAKVSCSQVHNANVALGCELQVHANVDKNEIHDSQSSVGQEACYTSSELVPSAIPEVHYHASSSNFDPDQHLQEEFAQGLNLHMSIDEVPNDSNFADNQNICSIENHERSLVPYDVAMEMPISMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVETDSFSRSNHQTDVYSSKADTEFLAPSYLLQTSNSSNVMEATYGQCPQMSVSPSFVSSNVMTDAPSDNRPEPKEMRVSQAEVVTESSISSGDAELFANPGSSNDMHVPSMMESIPEGGDQQVANAAEPEAGIELTQGVTASDEKQDEGALFYEPPRFPSLDVPFVSCDLVTSSDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKSGTEKDRGVSDISSVGIQTGCANATNDDAVIIKSVLRIERSASSKPLEKKLEFADEHKETLSYRTEQAKDGQSGGDDKHMDEQTKGEQRSATNTTTTYDNVPGNQPAGILVEHSGDLVSPDYGKSAMNQKRKTNMESLSACKEGLSAKSKPAELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPADRSYDALGLVKQINVQTAAALAEAREVLASGGQSDNINSDKENMKNPDAKEPGATKLQTKIMTEGRVLDFNECTTPVRSSERKAGSSLGRSLSSPIPSSHLLRNFR >LPERR01G08200.1 pep chromosome:Lperr_V1.4:1:6087951:6092763:1 gene:LPERR01G08200 transcript:LPERR01G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLPSKTKESSCPETAQCAVPCVVALQPTVCRNCRGRDWSPSQSNREDRSMMLQRDYLMSSSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRPESCEKSPDISAFREAMLEVSNAKKTVARSVNNGLDAQSRSSTDELKKVVKDSFYRKNTMSVYSNDEQASMSQSSHYAPSKSSLTKSNEQKKGAPRSLPSYASGQPEKSRSPSLVAKLMGLDGLPTHSSNTLKKDEMMKTVSSPRALFDIEMPKVQQNDTHMNSPYSRKSIVSLYDPTVVNELGSIKTVQRDKRIGQSQTLASKDIKVVSHTSRKQQVKETTEMSRRSSDKQKHHSTYRKGEGRKDAKSKTGSTSRSNANVVKRPDKNSMIASSSSSSTYRTRSPVARKSPSNSREKAVSSRSCKNSTIDDIVAYELHRKFRQFDGPSTEHSATPSDESCPSVGWDTEPCMDSTQEDLSESYEFSLTASPAGRTDSASGDSFHPSTYSASANEAEIKDEDSLILLSDQSFLTQAAELIGIGASGHLINRYKNIPKAQAENHKLHLDTATEQLERKHRQQNSLCYTGIWGQKCRTATYFSLEALLREICDATQKLNRYTDDDVGCGTKDTLYMKLEKDLKCTDVSINSVWDMGWEDWICMEETQCFIGDVGESILSGLIEEAALDMWVY >LPERR01G08200.2 pep chromosome:Lperr_V1.4:1:6088001:6092763:1 gene:LPERR01G08200 transcript:LPERR01G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLPSKTKESSCPETAQCAVPCVVALQPTVCRNCRGRDWSPSQSNREDRSMMLQRDYLMSSSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRPESCEKSPDISAFREAMLEVSNAKKTVARSVNNGLDAQSRSSTDELKKVVKDSFYRKNTMSVYSNDEQASMSQSSHYAPSKSSLTKSNEQKKGAPRSLPSYASGQPEKSRSPSLVAKLMGLDGLPTHSSNTLKKDEMMKTVSSPRALFDIEMPKVQQNDTHMNSPYSRKSIVSLYDPTVVNELGSIKTVQRDKRIGQSQTLASKDIKVVSHTSRKQQVKETTEMSRRSSDKQKHHSTYRKGEGRKDAKSKTGSTSRSNANVVKRPDKNSMIASSSSSSTYRTRSPVARKSPSNSREKAVSSRSCKNSTIDDIVAYELHRKFRQFDGPSTEHSATPSDESCPSVGWDTEPCMDSTQEDLSESYEFSLTASPAGRTDSASGDSFHPSTYSASANEAEIKDEDSLILLSDQSFLTQAAELIGIGASGHLINRYKNIPKAQAENHKLHLDTATEQLERKHRQQNSLCYTGIWGQKCRTATYFSLEALLREICDATQKLNRYTDDDVGCGTKDTLYMKLEKDLKCTDVSINSVWDMGWEDWICMEETQCFIGDVGESILSGLIEEAALDMWVY >LPERR01G08210.1 pep chromosome:Lperr_V1.4:1:6094095:6097546:1 gene:LPERR01G08210 transcript:LPERR01G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGVLLVAPMNAYLEQELDRRCRLFRLWEAPPEPDRRAEFLRANASSIRAVVPYAAHGVDAAMLDALPRLEIVASYSVGIDRVDLAACRARGVRVTNTPDVLTDDVADLAVGLAIAVLRKIPQADRYVRAGLWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFGCPISYHSRSEKPFPKYTFYTNVVDLAANCDVLIVACSLNKETHHIVNRKVIDALGPKGVLINIGRGAHVDEPELVSALLEKRLGGAGLDVFENEPFAPEQLFDLDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPINYYQRTKQEYPNYTYYPSVIELATNSDVLVVACPLNEHTRHIVNREVMEALGPKGALINIGRGPHVDEAEIVAALVDGRLGGAGLDVFEDEPNVPEALLSLDNVVLVPHVGSGTHETRKAMADLVLGNLEAHVSGKPLLTQVV >LPERR01G08210.2 pep chromosome:Lperr_V1.4:1:6094095:6097546:1 gene:LPERR01G08210 transcript:LPERR01G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGVLLVAPMNAYLEQELDRRCRLFRLWEAPPEPDRRAEFLRANASSIRAVVPYAAHGVDAAMLDALPRLEIVASYSVGIDRVDLAACRARGVRVTNTPDVLTDDVADLAVGLAIAVLRKIPQADRYVRAGLWKSKGDFTLTTRFSGKRVGIIGLGRIGLAVAKRVEAFDCPINYYQRTKQEYPNYTYYPSVIELATNSDVLVVACPLNEHTRHIVNREVMEALGPKGALINIGRGPHVDEAEIVAALVDGRLGGAGLDVFEDEPNVPEALLSLDNVVLVPHVGSGTHETRKAMADLVLGNLEAHVSGKPLLTQVV >LPERR01G08220.1 pep chromosome:Lperr_V1.4:1:6098261:6104270:1 gene:LPERR01G08220 transcript:LPERR01G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATSESDMTSLATSSPSRSPKAAAAYYVQSPSRDSHDDGDKSSSTQATPVYNNSPIDSPSHQSFSRHSRVSSASRFSGNLRSASGSRRAGHGHGHGRRRMNGKGGWRDVGVAIEEEEFDDDDDDDGYDEPSKCCVYAFRFSVLVLAFTMVCLIVWGIARHYKPGVVVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLKINVHNPSTMFGIHVSSTSIRILYSEIAIANGQMEKFYQPRSSHHVASVIVHGEKTPLYGAGATLALSNDRGAVPLTLDLAVRARGYVIGKLVMVTHIKRVKCPVVVDSGSSKPVRFTQSACSYT >LPERR01G08230.1 pep chromosome:Lperr_V1.4:1:6100910:6104154:-1 gene:LPERR01G08230 transcript:LPERR01G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPMNAENGVQLTLTSTVKNNGKIRINGDGLNGSHLKDKHEGGSNGALQPSNGQPKQQQQQQQEYECTACSKGHTCQAVIGQTRQMRALITARKPHQAQAAFHRLADGGHRPSLVTFTTLLTALTCQRAFDAIPWLLAEVELAGHRPDSIFFNALINALVEAGRVGEATNTFLRMRHVGCLPTTSTFNTLIKGFGLASRPLDAQRLFDDMMASCERGEAVKPNATTHNILVKAWCDAGRMEEAWRAVARMRAAGVGPDVVTYNTLASAYAKRDETWRAEELVVVEMAGIRTSERTWGIIVGGYCREGRLGEAFRCVRQMKDAGVPPNVIVFNTLIKGFLDAGDMAAVDDVLRMMDEFGIKPDIVTYSHQLNALSSLGHMTRCIKVFDEMTEAGIEPDPQVYSILAKGYVRAQQPAKAEELLRHMARLGVRPNVVTFTTVISGWCSVADMGNAMRVFDEMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMHDAGVRPKQTTFSLIADAWNAVGLVENANRALGDIVDDDEEPDRPVAIAIDGDSKLQSFERTNGHAKSSGLASMSLQAVRKSPEQRLLRRSCRIPVRWFCRKQLQMQYGMYGQGISSVKMVMNDLKYLHAHNHY >LPERR01G08230.2 pep chromosome:Lperr_V1.4:1:6100910:6103576:-1 gene:LPERR01G08230 transcript:LPERR01G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTVKNNGKIRINGDGLNGSHLKDKHEGGSNGALQPSNGQPKQQQQQQQEYECTACSKGHTCQAVIGQTRQMRALITARKPHQAQAAFHRLADGGHRPSLVTFTTLLTALTCQRAFDAIPWLLAEVELAGHRPDSIFFNALINALVEAGRVGEATNTFLRMRHVGCLPTTSTFNTLIKGFGLASRPLDAQRLFDDMMASCERGEAVKPNATTHNILVKAWCDAGRMEEAWRAVARMRAAGVGPDVVTYNTLASAYAKRDETWRAEELVVVEMAGIRTSERTWGIIVGGYCREGRLGEAFRCVRQMKDAGVPPNVIVFNTLIKGFLDAGDMAAVDDVLRMMDEFGIKPDIVTYSHQLNALSSLGHMTRCIKVFDEMTEAGIEPDPQVYSILAKGYVRAQQPAKAEELLRHMARLGVRPNVVTFTTVISGWCSVADMGNAMRVFDEMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMHDAGVRPKQTTFSLIADAWNAVGLVENANRALGDIVDDDEEPDRPVAIAIDGDSKLQSFERTNGHAKSSGLASMSLQAVRKSPEQRLLRRSCRIPVRWFCRKQLQMQYGMYGQGISSVKMVMNDLKYLHAHNHY >LPERR01G08240.1 pep chromosome:Lperr_V1.4:1:6105971:6109487:1 gene:LPERR01G08240 transcript:LPERR01G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLASHPVRTQVVSSGILWGLGDIGAQAVTHYAAPAARSHHQAKNPEDKDKEFKINWKRVAITSSFGFAFVGPVGHYWYEYLDRFILRRYQPKTFKFVASKVAADGLIFGPADLLLFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDAPWKQWFTSFQKIEGQKGKV >LPERR01G08250.1 pep chromosome:Lperr_V1.4:1:6112141:6114380:-1 gene:LPERR01G08250 transcript:LPERR01G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILVIGGTGMVGQQLVAASINAGHPTAVLVRPPACDDPVKAKLVDTLCNNGASLVYGDINDRESLVSAIKDADVVISAVGHTSPELEILKQMYGPPVTRVTVYGDGKHQAMFVNEKDMCTLAIKAIDDPRTLHKILYVRPAVNLCSLDQLVSLWEKKIGNDLEKCYVAEEELTGKIQASPFPLNFQLAMVHWTFLAETLEFEQITVSNDASDDDGVEATVLYPDMKYVTVEEYLDNLK >LPERR01G08260.1 pep chromosome:Lperr_V1.4:1:6124848:6125225:-1 gene:LPERR01G08260 transcript:LPERR01G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRPGWLPSIGFAFLSFNCGMAIYRSSSDPSSVAFIVVAYLALIALFRCLHLLERAPAGPREGPNRRLLKAAVWGLSTLLTLMFSFKVAAIMPLWGAVAVWGMGLGTIVAGFYAFFVHREAP >LPERR01G08270.1 pep chromosome:Lperr_V1.4:1:6129110:6132460:1 gene:LPERR01G08270 transcript:LPERR01G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQAEDEVPVYESGAEVVQKLQEKYKSSAPPPYPAMYSSVVGGIILDPTMMSLPIDDHMAHRGHAVFDTAMILDGHLYELDPHLDRFLRSAAKARITTTPFPKPNLRSILLQMTAASNCRKGSIRYWLSSGPGDFLLSSSGCPSPSFYAVVIPTDYTQSRHGVRAVTTSVPMKPPLFATMKNVNYLPNVLSIMDAEDRGAFASVWVDEEGFVAEGPMVNVAFVTRDGELVFPAFERILSGCTAKRAIALAPRLVDGGLLKKVSTRRIAADEARRDAVEMAFVGSGLPVLPIVDWDGQPIGDGEVGKTMLALSDLIWEDMKSGPDRIAVPYK >LPERR01G08280.1 pep chromosome:Lperr_V1.4:1:6133135:6135464:1 gene:LPERR01G08280 transcript:LPERR01G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAGEKPALRKPVFTKVDQLKPVTSGHTLTVKVVSANPVPGRARPGVGATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >LPERR01G08290.1 pep chromosome:Lperr_V1.4:1:6136876:6139996:1 gene:LPERR01G08290 transcript:LPERR01G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATGDRSSPQEHEPLSVEAAFAGQPPPPWWQQVTARSVAVSAVLGTMFSFMSMRTGLTVGMVPSFNMSASLLSYFVVRSWTQLMSRCGVASRPFTRQENVVVQTCVISCATLSLYGGFTSYLVAMTPTVAKLAGEPADGQDVYALHAGKIMAFLFLVSFSSVLCTLPLRKIMIVEYKLTYPTGSAVAGIVNSFHTPMGAVTARRQVMALFKSLAGSFTWSFFQWFYTAGDGCGFQSFPLFGLKAYHEKFYFDFSANLVGVGMLCSHLVNFSMLLGSIVSSWFIWPALQAKQGTWYTEPSPTSFKGLNGYKVPMGISLVIGDCLFQLGTIIVKATRHYLKGRQNDPVGITGDGDDADADEHKLQAKYDERRRNQVFLGDGIPDHFAVAGYVALAALSTALVPRIFPGTIRHQHVAAAYAAAPLLAFCNSYASGVLDWSLVYVYGKLSILAIGAWAGGVVAGLAACGVMVVIIGNSCELMHDFKTGYLTLTSPVSMFASQVIGTALGCVINPSVFLTFQRLAGGADMLGEPGSPYPAPTATVYRAIAVLGVEGVAALPRHAVALCGACLAAAVCLDVAAAAARARRWRVGGWVPNPMAMAIPFFVGPTFAIDMCVGSLVVMAWRRLDKKGASTMAVVVASGLICGDGLWALPSSVLTMLKVQPPICMKFLSSNQSQEMGLHFVPTPDGHLEVPMNR >LPERR01G08300.1 pep chromosome:Lperr_V1.4:1:6141573:6141932:-1 gene:LPERR01G08300 transcript:LPERR01G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSLLRSSASLLRAAPARSAAASARPSLRRALAAPPRILRSPFELSVCVESLLPLHSATAAARMTSMLAVPGQGLGWLTEGKT >LPERR01G08310.1 pep chromosome:Lperr_V1.4:1:6142202:6151624:1 gene:LPERR01G08310 transcript:LPERR01G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGSVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDSNDVIGDANQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKMDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTHDGTYLVSGSDDTNLRLWKSKASEQLGVLLPREKRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >LPERR01G08310.2 pep chromosome:Lperr_V1.4:1:6142196:6151624:1 gene:LPERR01G08310 transcript:LPERR01G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGSVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDSNDVIGDANQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKMDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTHDGTYLVSGSDDTNLRLWKSKASEQLGVLLPREKRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >LPERR01G08310.3 pep chromosome:Lperr_V1.4:1:6142196:6151511:1 gene:LPERR01G08310 transcript:LPERR01G08310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGSVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDSNDVIGDANQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKMDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTHDGTYLVSGSDDTNLRLWKSKASEQLGVLLPREKRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >LPERR01G08320.1 pep chromosome:Lperr_V1.4:1:6150186:6155570:-1 gene:LPERR01G08320 transcript:LPERR01G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTLRSPKADQHVVGFLPTPPPPTAGVVVNDDDDAFIVDDSLLDYIDFTCCDVVPFFHADYVDGDILPDLEVDPTELLAEFSSSPEDEPPQPASKSPTDEASAGEKKDGVKEDVVAAGDESTAAAHGKKGEEKVDEKNGGGGDEVVSAVTTDDSSAGTGCDGAKSSPSPSAEGHSKRKSSSSSAAAAAAASGKNSHGSKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQKYRSHRKHLMAREAEAVSWTQKRQMYSTAAVAASVPRKDAPATAAQVTPWPVIPTIGFPPPHAAAAAMAPHPPPFCRPLHPPQLLPVWPRHLAPPPLPAAWAHHQTPMDPASYWQQQYNAARKWGPQAVTAATPCIPPPMPPAAMLQRFPAAPVPGMVPHPMYRPIPPPPLPPHGNKLAALQLQLDAQPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGGGAAIG >LPERR01G08330.1 pep chromosome:Lperr_V1.4:1:6172128:6172716:-1 gene:LPERR01G08330 transcript:LPERR01G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNPKAFAEACKQTLPEDDLDVALLCLKWQAEINTPAWHPFKVVIVDGQERLNELKEHGDAVYSLVVTALREMNEYNPSGRFLMPELWNYRENRKATLEEAIQFILVGDMDTGASHMIRKSRPEPAWHT >LPERR01G08340.1 pep chromosome:Lperr_V1.4:1:6175382:6180208:-1 gene:LPERR01G08340 transcript:LPERR01G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTQNISQWTTQKTIRTTQNSLRTADNTVHQTQCTVSIDKYIVNEADFVECFKPLGKMDAQCYLWNQEWNDKVILNLEVTEALISHDKTILNDVLVPTVLERTNVIFVPFKVSDFWCLLVVDCNGTSGRLFYVDLETDVTRVSYNLYHDLVEFLTKLKWLRKSDAFWSITPSKVLCPKNHFGFLVLSRIPHYEEIDCTSEVAELAAKVVELSVLANVELQAFQSSMPTTRILWMQNKTLQVTTDKLKKLHCMVKKERLVAVGMIVEVLSVVLWHFLEYEVAAGLHFALFGCSTHRLLDAALACYLGAPWVAAPSHSPLGTTTLTAAPSPARDVDAGDDDDYEPPYEDGDYETHSDGDTDSEDDRCRSYRGPVPRGDGLLVRFNGSDYTCPICPGRMSSRWKRLIDIKAHVMGQAKSSRMRGEWKKW >LPERR01G08350.1 pep chromosome:Lperr_V1.4:1:6183505:6188242:1 gene:LPERR01G08350 transcript:LPERR01G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGASDDDLKKAYRKLAMKWHPDKNPTSKKEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPEASSFYPGGAHSTSFQFNPRSAEDIFAEFFGVHGPFSSMGGMPMPSVSSGMRGDPRFGIPSRFGGEGSTSMRQTSHQPTKPPPIENQLPVSLADLYKGVKKKMKISREIVDSSGRVSQQEEILPIEVKPGWKKGTRVIFEKKGNQAPNMTPADIVFIIEEKPHDIFTREGDDLVITEKISLVEALTGYTAHIITLDARSLSVPINSVIHPSYVEVVPGEGMPSSKEPNKKGNLKIKFNIRFPSRLTSEQKAGIKRLLGS >LPERR01G08360.1 pep chromosome:Lperr_V1.4:1:6188798:6192288:-1 gene:LPERR01G08360 transcript:LPERR01G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSGGGVAGLLRLRRASPAAPAVATPFPAAARCAASASAAVVHDGGQLVWGRQLRPALLLPGAGGVIPSAQAAKRQALRPPAAAAGSAGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLLSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFVLGQPVPLPLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPALIIEGPTLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >LPERR01G08370.1 pep chromosome:Lperr_V1.4:1:6209068:6213152:1 gene:LPERR01G08370 transcript:LPERR01G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGRIPLLLLLVLAAAANVVHALNQDGIHLLDAKRSLTVPDAALSDWNPLHSTPCNWTGISCDSAAGAGAVTAVSLPSINLAGSFPEALCRIPTLESIDLSGNYIGPNLTSAAVARCKSLRRLDLSMNSLVGPLPDELAALPELVYLKLDSNNFSGGIPPSFGRFRRLQSLSLVYNLLSGEVPAFLAGVSTLRELNLSYNPFVPAPLPPELGDLTALRVLWLAGCNLVGAIPASLGKLRNLTDLDLSTNALTGVIPREITGMTSVVQIELYNNSLTGKIPNGFGKLTELRGIDFAMNRLFGAIPDDLFEAPKLESVHLYANLLTGPLPESVAKAAALSELRLFANRLNGTLPADFGKNSPLVCVDLSDNSISGEIPPAICDRGELEELLMLDNMLSGRIPDGLGRCRRLRRVRLSNNWLDGDVPAAVWGLPHMSLLELNDNRLTGVISPVIGGAANLSKLVLSNNRFTGSIPPEIGLVSKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGIRSWKKLSELNLADNGFSGAIPAELGDLPVLNYLDLSGNGLTGEVPMQLENLKLNQFNVSNNQLSGPLPPQYATTAYRSSFLGNPGLCGDIAGLCADSQGRSRSRSGFAWMMRSIFIFAAVILVAGILWFYWRYRSFNNSKLSADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGADIENGGQGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDIKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIGLLCASSLPINRPAMRRVVKMLQEVRAEATRPRLDKDGKLSPYYYEDTSDQGSSV >LPERR01G08380.1 pep chromosome:Lperr_V1.4:1:6214708:6224919:1 gene:LPERR01G08380 transcript:LPERR01G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPSWVILSREVRACGDGADLSLDLAGAAPPRITELAVSRRVSPAEVDPSARWKDPCVVAIDAPAGLVLVLAPPPRGPTDAGTLRSWTDGDGNVRTFRVSTIPPPRFFVCDVAATTACHVPDPDGQIFNNDLGVFAAPGGGGKFMVAEFQFIVGGNEAKLLWFSSETGEWVKRVHNPLPRWIWRFPFVVSHDGKLWWVDNAAGLLFCDPFADEPDMEYLSDGKFRCVVMGCASDGSTPNVTMRTLVDPATGEWTLEYAVSFADIWASESYKATKLPEKAPALALVHPKNADVLYYFVEDQLMGVDMRAKEVVEYEAHKMTVPEDARVFSYCVLPMELPPALSAGNMIPWAHYFVCDVAATHVPYPKGHDLGVIAAPGGGHYMVVEFQLPYIVVSSLFESEIGMVPPPSSPSWVILSRGVRVDGELALPEGADVSLELAAPPRVAVLSVSRRVSLAEVDTSARVKSPCVLALDPSAGLVLVLAPPPPRPAGSGRIRSWTDGDGEVHTFHIDTIPPPRHFVCDVAAATASHVPDPDGQIFNNDLGIIAAPGGGYMVVEFQTMVGDDEATLLCFSSETGEWVEKDINNPLPGWIWTFYDIVTHDGKLWWVDTVAGILFCDPFADEPRMGFVPLATEEDDHEDDCIGCGYCRERYLATRRIVQLSAGKFRRVEMSFASKDAAPKITMRTLVDLATGEWALEYAASFADIWASESYKATGLPEKAPELAGAFVHPKNPDVVYFFLEEQLLGVDLRARKVVDCEASISSRGVLPWELPSALSAGPEVVAWSKHQAHFCVSGKKNPS >LPERR01G08390.1 pep chromosome:Lperr_V1.4:1:6225141:6227269:1 gene:LPERR01G08390 transcript:LPERR01G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSSSGSGRGVKRRRDGDEGGGGGGGQAADAAAEEDSNYLEMLPADMKERILAILPLDDAVRTSVLSPAWRDAVRRLLDGNRYAVDVVFTPHDNHERTVARLESAPPAPAPHVAVLSIVVSHAISSDDAWRVRRLLFCASPCTVEHLYVHLRAASTRNKVTFYFDNLSPLLRTLTLRRINFTSNYFNWRAPPFPYLTSIHLNSVGVRDDVMDKMVTICPNLRVLKLVCCTRLRNILVRATNLRFFTVAECENLEKVMVVDCNRLRSYHYSGRPNSFFIPPTGLLDDLYICTATAPMAGQVVDEWTWFRNSLPFLSRVTALTICSNCLKILYPLHGPGANAALANAYNFQSMREIQLLMFELKAVDLDNIYVFLNSCRRPNLTKFFVQLPAVGYGPFEEDFFDNQQEELPNDGLDNLEVAKIKNFNWRRYDLQLLVFLLRKTSSVPRLLIVSPRNLRFEDLGLQQADLSLIQAALNRGQLILETSDNDAVCRPFHSTIYKEF >LPERR01G08400.1 pep chromosome:Lperr_V1.4:1:6228539:6232556:-1 gene:LPERR01G08400 transcript:LPERR01G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLAVSLMAGLWRRLGTPKAVFGEDEWFFFSPRDRKYPNGVRPNRTAGSGYWKATGTDKPILASNGAHCLGVKKALVFYQGRSPRGNKTDWVMHEYRLLDIDIIAAHRPNHSMRLDDWVLCRVRKKGGTLTPETDDTSAPISHAAVAPAISYPAVKDTGEQLVQVPIAATFGVSGGVDDYWTTADGLLLMQYLQSGGGGGSGHEAAGSFTGGTPAAGHGESAPHALVPSSVLETIKRNLSFQAIDDDVYGLLQPSKRANCTRGTGEDEQLSPATSFMVSEADVLFI >LPERR01G08410.1 pep chromosome:Lperr_V1.4:1:6235781:6238680:1 gene:LPERR01G08410 transcript:LPERR01G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRHGGGEEVTTTPALEMLDEYWFFSNTLGKNGRRQGGRGESRPPRSPATAKGKEEGGETSRLFASGIRRLLRTPSLPSPRVGMGMSTSSSKDDDDEEEEVVADESSAAAAEEDDEMNWSSIYEGVLRTRIAEEGVSVRSALRRAPSMPVSSSSAADDGRRDRDASTTTPAMSRLRHAHSTMERHCRSHTPTKAERTPKTSRNQAPRRELRSFSANQQPLVRHESMFEDKKWKSSSDLESIEVQGFRDLGFVFDQEDLRESLADVLPGLRGGSDNDDANTNTNTSTNSAAAMGVRRPYLSESWYHVRRPAATTAAVRLQKAEARSAAEMKDKLRMWAQAVACNVRQEC >LPERR01G08420.1 pep chromosome:Lperr_V1.4:1:6238338:6242266:-1 gene:LPERR01G08420 transcript:LPERR01G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEPLDFEEEDPLLPTARPAKRKKVIGLDDLLEDYFATGKDELKAAGVKPKHKPKGHNSDDEDKKVREKEIKFRKFVEEYEEQSIEFDAGDDVSQWGQRVFGCQKSPSVISSTGVENCQLLQTFCSNDHLGFDLNIGQEEGFLEGLLMDGWLLKLVLVHGSVEDTIASWALTKLLYSSNKKLRVAASDFWNSVLSLNEAGKLLVNIGHFPSYSVLKSALLSYGYIFDSHTKASTSENVIADTADDGPPQNIVEWLKVTSACCKIRKVHSIFSSSEAEEFLDIVISFFLDRRLEGLLLILGDCLSSLILYFNTSEWESSCLSVAQSIAQRVRMDLNCLRIVDCITGTDYRSKFLRSQLALQFLKISFGLKVANVEKILKSVTSINVKDKDCNFFSLYIYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSNQIGCTDWRSYASKVRNKASYLMLFCKVQC >LPERR01G08430.1 pep chromosome:Lperr_V1.4:1:6243506:6250832:-1 gene:LPERR01G08430 transcript:LPERR01G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSTAAGLRVRRRRRAFVVVAVVVLIHGSPLSSFLTATGKELANFGALFFVPVGRNGEFGGIFREEISEYSNLDFQITSLLIAGSELALGSWNVKQGLALSPVHQGNELVWSGRVSVATGFTSEYNNYVVDDNKNVLRSESGEKRKLVLPEGIQDGDVIEIHDWWQDASEALFLRSAFKNVIFNGTENAKREVQSASLNKSLDAEDTVVQFIISCPRLGAGFTVVVTGSNPQLGNWRAQDGLKLNYVGDSIWKANWVSSLEFGPNREVDLDLSSSKPSRYVLLSDGALRESPWRGAGVAVPMFSIRSSEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDVKDGISQAKKQLDQKDVDYEAALATKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKIVSEGTLHHDIICFHYYIQYHLHMQLSESAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLGEGLWDFDRMSRPYIRQEILEEKFGSFWTVIAANFLNEYNKQCYEFQEDCNTEKRIIAKLKTSSERSLWLEKEDSIWRGLFDLIQNIVLIRDPEDSTKFYPWFNLEDTSSFGNLDEHIKNVLRRLCYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSDDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAQEETINDPTHPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKEDGVEESEVKLAQVQLNGKA >LPERR01G08430.2 pep chromosome:Lperr_V1.4:1:6243506:6250832:-1 gene:LPERR01G08430 transcript:LPERR01G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSTAAGLRVRRRRRAFVVVAVVVLIHGSPLSSFLTATGKELANFGALFFVPVGRNGEFGGIFREEISEYSNLDFQITSLLIAGSELALGSWNVKQGLALSPVHQGNELVWSGRVSVATGFTSEYNNYVVDDNKNVLRSESGEKRKLVLPEGIQDGDVIEIHDWWQDASEALFLRSAFKNVIFNGTENAKREVQSASLNKSLDAEDTVVQFIISCPRLGAGFTVVVTGSNPQLGNWRAQDGLKLNYVGDSIWKANWVSSLEFGPNREVDLDLSSSKPSRYVLLSDGALRESPWRGAGVAVPMFSIRSSEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDVKDGISQAKKQLDQKDVDYEAALATKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKIVSEGTLHHDIICFHYYIQYHLHMQLSESAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLGEGLWDFDRMSRPYIRQEILEEKFGSFWTVIAANFLNEYNKQCYEFQEDCNTEKRIIAKLKTSSERSLWLEKEDSIWRGLFDLIQNLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSDDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAQEETINDPTHPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKEDGVEESEVKLAQVQLNGKA >LPERR01G08430.3 pep chromosome:Lperr_V1.4:1:6243506:6250832:-1 gene:LPERR01G08430 transcript:LPERR01G08430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSTAAGLRVRRRRRAFVVVAVVVLIHGVPPPFQMVNLGASSGKKSLNTVTLIFKLPYYTQWGQSLLIAGSELALGSWNVKQGLALSPVHQGNELVWSGRVSVATGFTSEYNNYVVDDNKNVLRSESGEKRKLVLPEGIQDGDVIEIHDWWQDASEALFLRSAFKNVIFNGTENAKREVQSASLNKSLDAEDTVVQFIISCPRLGAGFTVVVTGSNPQLGNWRAQDGLKLNYVGDSIWKANWVSSLEFGPNREVDLDLSSSKPSRYVLLSDGALRESPWRGAGVAVPMFSIRSSEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDVKDGISQAKKQLDQKDVDYEAALATKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKIVSEGTLHHDIICFHYYIQYHLHMQLSESAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLGEGLWDFDRMSRPYIRQEILEEKFGSFWTVIAANFLNEYNKQCYEFQEDCNTEKRIIAKLKTSSERSLWLEKEDSIWRGLFDLIQNIVLIRDPEDSTKFYPWFNLEDTSSFGNLDEHIKNVLRRLCYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSDDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAQEETINDPTHPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKEDGVEESEVKLAQVQLNGKA >LPERR01G08440.1 pep chromosome:Lperr_V1.4:1:6258321:6258665:1 gene:LPERR01G08440 transcript:LPERR01G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATAAAAGVAADEEVRRAVEEKPVVVVGRRGCCMAQVARRLLLGQGANPAVLEVGDDAADPAALVSDKAGAVVAFPAVFIGGRLVGGLDRLMAMHIAGELVPVLKQAGALWL >LPERR01G08450.1 pep chromosome:Lperr_V1.4:1:6268763:6270419:1 gene:LPERR01G08450 transcript:LPERR01G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEAASGAGACISNPFAPLANQQQHEHPPPPPAKKKRNLPGTPDPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARMNAAAASGGVAAACGQGGYLIPAARPGMVMMPPGGGGGEQMKAMGQNQMMLGDVCGGHVGGGGLSLWGGAEGLPLPLQLPSMGGHIANGVVPPQLYADLFAAAGSGAPPPPQFDAAQLSWLYGNGKMASSNASELTSAATAAKEADSLFSNQQHAKPASSAPTDMSATALLQKAAQIGAVTSAAAMPQMSPFEHTAKSGGGGATASPASADECGIKFDGGAALFAASQLHNASLGVGGAMGELAGNVHYDVLSAVRHAGLKDGGGGGVGREETRDFLGVGVQALCSPSIHGWI >LPERR01G08460.1 pep chromosome:Lperr_V1.4:1:6273345:6275879:1 gene:LPERR01G08460 transcript:LPERR01G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIISIAQINHRITTRIQRRIYGHATDVEITPLNEDKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARQQELEELKQREESLAKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAAAPA >LPERR01G08460.2 pep chromosome:Lperr_V1.4:1:6273345:6276155:1 gene:LPERR01G08460 transcript:LPERR01G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIISIAQINHRITTRIQRRIYGHATDVEITPLNEDKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARQQELEELKQREESLAKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAAAPA >LPERR01G08460.3 pep chromosome:Lperr_V1.4:1:6273287:6275879:1 gene:LPERR01G08460 transcript:LPERR01G08460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIISIAQINHRITTRIQRRIYGHATDVEITPLNEDKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARQQELEELKQREESLAKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAAAPA >LPERR01G08460.4 pep chromosome:Lperr_V1.4:1:6273287:6276155:1 gene:LPERR01G08460 transcript:LPERR01G08460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIISIAQINHRITTRIQRRIYGHATDVEITPLNEDKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARQQELEELKQREESLAKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAAAPA >LPERR01G08470.1 pep chromosome:Lperr_V1.4:1:6276536:6277225:1 gene:LPERR01G08470 transcript:LPERR01G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNALLRAENAALRRHADQCSFCGAARHASSATTLQHQQQLLHVTGRPPPPHNAGNGSIGGFAGGGYNGVMSSVRAPPQHAQALPATAAAQTLTGGFVAQDERYRVVSVCPAAAAANGGAMARSGATVRGQGGDCREKS >LPERR01G08480.1 pep chromosome:Lperr_V1.4:1:6278007:6279780:-1 gene:LPERR01G08480 transcript:LPERR01G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVMQRKFEVLLVSQFTLYGILKGNKPDFHVTMPPAKAKPFYASLVEKFQKSYSTDAVKDGIFGAMMKVSLVNDGPVTMQVDSPSLQSPAQASNGDVGLVGDNEA >LPERR01G08490.1 pep chromosome:Lperr_V1.4:1:6280092:6286354:-1 gene:LPERR01G08490 transcript:LPERR01G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGLVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMRLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKYKPWTVSKAGVRELYMGRSSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTTMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDNLMDTAFGQTLVEPNMLVINVEKFVSTPSENDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGYLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELVMEVRDKDHMFDDSLGTCTINIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKDGAGESLGKVYAELPISTSVDKLDADELPGEKKALVDEMEQINIDGREQPGGLYVYRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDVPTPKSSGQGGLFNLGSFFRKNSRKGSSRDLDPSIPTTPGSQSATELDPRTPQTPHPNLKEAGEKRTSIKIVDEDAKPTSSAGDAEKLTEDVAKVMEKNVGEPGRSLTSILSRKISRKKPEDKLSDIPEQVEAKASELVKEGPPIPVVGKPIDGHPTTEDGNGDGDSGEAAETQTRELDKSI >LPERR01G08490.2 pep chromosome:Lperr_V1.4:1:6280478:6286354:-1 gene:LPERR01G08490 transcript:LPERR01G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGLVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMRLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKYKPWTVSKAGVRELYMGRSSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTTMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDNLMDTAFGQTLVEPNMLVINVEKFVSTPSENDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGYLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELVMEVRDKDHMFDDSLGTCTINIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKDGAGESLGKVYAELPISTSVDKLDADELPGEKKALVDEMEQINIDGREQPGGLYVYRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDVPTPKSSGQGGLFNLGSFFRKNSRKGSSRDLDPSIPTTPGSQSATELDPRTPQTPHPNLKEAGEKRTSIKIVDEDAKPTSSAGDAEKLTEDVAKVMEKNVGEPGRSLTSILSRKISRKKPEDKLSDIPEQVEAKASELVKEGPPIPVVGKPIDGHPTTEDGNGDGDSGEAAETQTSSQTLQ >LPERR01G08500.1 pep chromosome:Lperr_V1.4:1:6288560:6290527:-1 gene:LPERR01G08500 transcript:LPERR01G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVNSAKASKKSRVALSQERGGGDAVARDTLPPEEEAATAVVRRKEEKDTTYDMNNPDPRKGSKRRREQNKSRRRLLIHGGWPSKRLGLQICKGSFIHTKLLVKTRCGIWSERHQGAVKLTRLGYWKTYEYDVEKVKALQDALIREEGMEQWEEYGDGDREEVRLLIGKVKEEYWRRMPEQQRKKVIADLAEKRRLEEEKTKERAIAVAAACEKKRPGPAAQPRRPQQAMVSRIASRRKD >LPERR01G08510.1 pep chromosome:Lperr_V1.4:1:6293062:6294367:1 gene:LPERR01G08510 transcript:LPERR01G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSPSWVILSRGARVDGEGDGVLALPEGADVSLSLAAPPRVAVLSVSRRVSPAEVDSSARVKSPCVLALDPSAGLILVIAPPPQRPAGSGRLRSWTDGDGEVHTFHIDTIPPPRHFVCDVAAATASHVPDPDGQIFNNDLGIIAAPGGGYMVVEFQTMVSDDEATLLCFSSETGEWVEKDINNPLPGWIWTFYDIVTHDGKLWWVDTVAGILFCDPFADEPRMGFVPLATEEDDHEDDCIGCGYCRERYLATRRIVQLSAGKFRRVEMSFASKDAAPKITMRTLVDLATGEWALEYAASFADIWASESYKATGLPEKAPELAGAFVHPKNPDVVYFFLEEQLLGVDLRARKVVDCEASISSRGVLPWELPSALSADVLICSLEHALMPSRDPIAHVGIEQLQIMCLR >LPERR01G08520.1 pep chromosome:Lperr_V1.4:1:6295973:6296970:-1 gene:LPERR01G08520 transcript:LPERR01G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSHRRSGSADVEIIKQAGGRDEERVRAPTVVIRSEAIAVNHHHLLQILALGDGEESQIRRPDEDVAQPSAADELEDSKVGADGDHLVHDVIPDADGVEVDRGEVGERRRAPVEVVAGEVDPAEREGAEEGAEVVEVEGDLVPRRSGAEVDVEVLDGAGRGAEEEAPDLPRVVRADRVADDDGEDGDVRRGRGRRRLEARDGREDGGADGVVEREDGQYPLLHVRREHLEVVVVLFRRRIRRLAAAAAAAFVAVKPPLHSPAGAGARAGADLHGRLSRGISTSAGLISPLHHLDFSRKRTNRNELRGFLLELDAHLSRPLQ >LPERR01G08530.1 pep chromosome:Lperr_V1.4:1:6304658:6307188:-1 gene:LPERR01G08530 transcript:LPERR01G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGFVLGGCRLPPGFRFQPTDQEIIVHYLRKKIAGEATSIVADFDIYKFEPWDLPAKAVFGEDEWFFFSPRDRKYPNGVRPNRTAGSGYWKATGTDKPILASNGAHCLGVKKALVFYQGRSPRGTKTDWLDDWVLCRVRKKGGALTPETDETSTSISHAAVAPAISYPAGKDTGEQLVQVPIAASFGVSGGVDDYWSAADGQLLLMHYLQSGGGGGSGHHAAGSVTGEMPAAGHGESAPHALVPSVLETIKRNLSFQAIDDDVYGLLQPSSKRANCTKGGGGGDEEQLSPATSFTVSEADELFI >LPERR01G08540.1 pep chromosome:Lperr_V1.4:1:6315976:6317892:1 gene:LPERR01G08540 transcript:LPERR01G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEGIDIDEDTDLSLRRCTSIGEAVRMLPTIAASENLIADWFQVFYIGPNDDNQIWFAYNEANFADNPLVTASIFENPSDISLSSPLSYADSTANFLACIRPCLLPSGISSGRKSNLLTYEFYYPSIAARQFGLGQLPIKLHFADKLKTATIIAIILHPSSSKSWDTFWDKWSDHLYHLKVSRYCKKFYTDFKTDAKPTRSPSRSSHTLVLESPHQENIFGFDISEFVPDDDEITSQPPSASEELKNKLKEIANRLEASIDSLVTKARQRIHDRQAFSHRQAALDTATAAAKKKKEELDSTSAALSTLSANLERLEKHKAELEALLVKVNEEISTTRQEIADHPQAMSVKKEQVTAVILHACSLHKEL >LPERR01G08550.1 pep chromosome:Lperr_V1.4:1:6341130:6344535:1 gene:LPERR01G08550 transcript:LPERR01G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLRLRSGRITAAREGGDTPGERILLQPHDYVGSMAKCTETFWVLDAGLMKQRALTYSPGLHHIFDEILLYAASNKRRDPAMSSLCVEVDVVECRVSVFYNGRGIPVELRDENNGIYAPEMFFGRFHDSSSSNNNNDDGYGVKLANLFSTEFVVETADGCRMKKYRQVFSDNMGKKSVPHITDYQGENWTMITFKPDLARFNMTYLEEDHVMLMWKRVVDMAAILDDTV >LPERR01G08550.2 pep chromosome:Lperr_V1.4:1:6341140:6344535:1 gene:LPERR01G08550 transcript:LPERR01G08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLRLRSGRITAAREGGDTPGERILLQPHDYVGSMAKCTETFWVLDAGLMKQRALTYSPGLHHIFDEILLYAASNKRRDPAMSSLCVEVDVVECRVSVFYNGRGIPVELRDENNGIYAPEMFFGRFHDSSSSNNNNDDGYGVKLANLFSTEFVVETADGCRMKKYRQVRRDCLILLPCCPLVRNNIS >LPERR01G08550.3 pep chromosome:Lperr_V1.4:1:6341130:6343477:1 gene:LPERR01G08550 transcript:LPERR01G08550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLRLRSGRITAAREGGDTPGERILLQPHDYVGSMAKCTETFWVLDAGLMKQRALTYSPGLHHIFDEILLYAASNKRRDPAMSSLCVEVDVVECRVSVFYNGRGIPVELRDENNGIYAPEMFFGRFHDSSSSNNNNDDGYGVKLANLFSTEFVVETADGCRMKKYRQVFSDNMGKKSVPHITDYQGENWTMITFKPDLARFNMTYLEEDHVMLMWKRVVDMAAILDDTV >LPERR01G08560.1 pep chromosome:Lperr_V1.4:1:6348065:6354743:1 gene:LPERR01G08560 transcript:LPERR01G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATTILLSLCGFMEKLKCFKVCTEMLLAKADKRKKANRDKRREAMFFPGLITLVRAGLWEAVVGGAASRTGSGGTDTVSGMRVRSGQWEGVAGNATRKISIWIQRWLGRGRPTRALWLAVGTRD >LPERR01G08560.2 pep chromosome:Lperr_V1.4:1:6348530:6354743:1 gene:LPERR01G08560 transcript:LPERR01G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATTILLSLCGFMEKLKCFKVCTEMLLAKADKRNPGRRKTVRAGLWEAVVGGAASRTGSGGTDTVSGMRVRSGQWEGVAGNATRKISIWIQRWLGRGRPTRALWLAVGTRD >LPERR01G08560.3 pep chromosome:Lperr_V1.4:1:6347952:6354745:1 gene:LPERR01G08560 transcript:LPERR01G08560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWHIKLYVTRLSLVFLPSVQVRAGLWEAVVGGAASRTGSGGTDTVSGMRVRSGQWEGVAGNATRKISIWIQRWLGRGRPTRALWLAVGTRD >LPERR01G08560.4 pep chromosome:Lperr_V1.4:1:6348065:6354743:1 gene:LPERR01G08560 transcript:LPERR01G08560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPSDHLLDRPRCPAIAARHPSTATADADTPTTSHAAALIRSTSTGNPVAVPLLQRKRPIPLHRLVQRIDPGRLTRHIMEAAWHIKLYVTRLSLVFLPSVQVRAGLWEAVVGGAASRTGSGGTDTVSGMRVRSGQWEGVAGNATRKISIWIQRWLGRGRPTRALWLAVGTRD >LPERR01G08560.5 pep chromosome:Lperr_V1.4:1:6352287:6354743:1 gene:LPERR01G08560 transcript:LPERR01G08560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATTILLSLCGFMEKLKCFKVCTEMLLAKADKRNPGRRKTVRAGLWEAVVGGAASRTGSGGTDTVSGMRVRSGQWEGVAGNATRKISIWIQRWLGRGRPTRALWLAVGTRD >LPERR01G08570.1 pep chromosome:Lperr_V1.4:1:6369540:6373410:1 gene:LPERR01G08570 transcript:LPERR01G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETDGGLNKWHSTKIPSLAKVLPTCRAKPAPSECPAMHTLLLPCLSIADLSASRAPVNLTEPLKLSRVKNRKSSSRSLTTVDCLSTVPLMARTTFGAPLGLNTIKSDSAASWSHSRSTP >LPERR01G08580.1 pep chromosome:Lperr_V1.4:1:6372473:6374659:-1 gene:LPERR01G08580 transcript:LPERR01G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGVGKKPAALATTWEFHATGPRNLSNPSWRDLIRSSWTDPNYRRIAISCFVQAAYLLELDRQEKRTGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTLESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAKDGIFVECHLFNPPSVSLGMGLRKLQEKADKVLKRYISTGSSSNAGELSQPGEEGGATSEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTTEKHEGRSGAHSKLFVIAKGPKKFLDAHGLQQWWSDDYELQLAVYDSKLMYRHLKSLYVNK >LPERR01G08590.1 pep chromosome:Lperr_V1.4:1:6378980:6387773:1 gene:LPERR01G08590 transcript:LPERR01G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPRRVSFRDGRLASRKAEEAALRRYQAAAWLESVIGHFGLSRCPSEQEFVAALKNGIVLCKAINKIQPGAVLKVVANAPCDSQPSAAFQCFENIRNFLVAVQELKLPRFEASDIEKDNIDAGSVGKIVDCVISMKSYHDWKQRGGSNGPPKHLKSPLAARSASHVQSEYVCSESSSTQKRLDLIETDSERQTKQNMGPNVEEAMERLQKVILNCMISCKENLDNDSLKKDPLNLVGTILSRQLEKEQLEPLLQLFSPEGATIKNGSNLPIESSNPQVENRRRLLQAQETKLLELKTMFQEVKVDFRSLKTQFQDDIIKLGDNIQGISKAALGYNQAVKENRNLYNMLQELRGNIRVFCRIRPLINSESVSSIEHIGNDGSIMVCDPLKSQTTRKIFQFNKIFGPTTTQGEVYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLSSQELGISYMALNDLFSISTSRVDVKYDIHVQMVEIYNEQVRDLLNIRTCSSNGLLSLPDAKMCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYLESLSTLKFAQRASSVELGTAHANKESNEIRELKEQVNTLKNALATKEQEKSSLKLKENTVMRAKQVPERTPPRPRRLSLENPGIGKGGIPDRKGSKSPLSAIKFNRDHVTIHDSIDGLKINTMHQESVMQMPAGFSEDPVRDETEKIITTVDTIPLCGLPTAADAYISSKQSGLDTLLRTPCRSRNLNLEVSQTDEPFSAAKSEKMTMSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHSGQATPAKMNSLTNCDGPSPITADLRLRRRQSLTGLPPPPTMSRRSSLGGKSDISAKNSPISACAAREYKLLPFSWVPTIKEAPKHHPQ >LPERR01G08590.2 pep chromosome:Lperr_V1.4:1:6378980:6387773:1 gene:LPERR01G08590 transcript:LPERR01G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPRRVSFRDGRLASRKAEEAALRRYQAAAWLESVIGHFGLSRCPSEQEFVAALKNGIVLCKAINKIQPGAVLKVVANAPCDSQPSAAFQCFENIRNFLVAVQELKLPRFEASDIEKDNIDAGSVGKIVDCVISMKSYHDWKQRGGSNGPPKHLKSPLAARSASHVQSEYVCSESSSTQKRLDLIETDSERQTKQNMGPNVEEAMERLQKVILNCMISCKENLDNDSLKKDPLNLVGTILSRQLEKEQLEPLLQLFSPEGATIKNGSNLPIESSNPQVENRRRLLQAQETKLLELKTMFQEVKVDFRSLKTQFQDDIIKLGDNIQGISKAALGYNQAVKENRNLYNMLQELRGNIRVFCRIRPLINSESVSSIEHIGNDGSIMVCDPLKSQTTRKIFQFNKIFGPTTTQGEVYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLSSQELGISYMALNDLFSISTSRVDVKYDIHVQMVEIYNEQVRDLLNIRTCSSNGLLSLPDAKMCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYLESLSTLKFAQRASSVELGTAHANKESNEIRELKEQVNTLKNALATKEQEKSSLKLKENTVMRAKQVPERTPPRPRRLSLENPGIGKGGIPDRKGSKSPLSAIKFNRDHVTIHDSIDGLKINTMHQESVMQMPAGFSEDPVRDETEKIITTVDTIPLCGLPTAADAYISSKQSGLDTLLRTPCRSRNLNLEVSQTDEPFSAAKSEKMTMSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHSGQATPAKMNSLTNCDGPSPITADLRLRRRQSLTGLPPPPTMSRRSSLGGKSDISSNDKRGAKTPPPVNPAAKAKRWL >LPERR01G08600.1 pep chromosome:Lperr_V1.4:1:6388304:6393043:-1 gene:LPERR01G08600 transcript:LPERR01G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSSSPWAGRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSVAYFPWSIKPIYGILSDCIPIKQKKRTPYLIISSCLSLFPWLILGLSEPLRSSSNFLTAMLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIVFSALPLFQLVSCIFVEESPKGFESTIDSAALKHVEDQTVDTSFPGKGSSELYKYEGTRRRKGARKNSKRKSFSRRPEDHAKSNKSMNSRLYLSLKSAAFSLCTAFKQPTILRPMAWFFFSNAAIPNISTVMFYYQTEILHLEASFLGTARVIGWFSLMLGTYIYNRFAHVGLAIIGILDILLVLQLHIHYGISDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTFGSFLGAALASALSISSAQFDNLALGLGVQLIGTLLPVGFLFLIPKEVTGLTS >LPERR01G08610.1 pep chromosome:Lperr_V1.4:1:6395892:6396539:-1 gene:LPERR01G08610 transcript:LPERR01G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRTPHHLTSPRHHSHSKWSRAILLAGAASSRRRVLVKAGGKIRRGGQARAAAKAAAASSAGEPPVLKERKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKAMRALADALAAAQLSSSSPQQAEAAGDEAEMER >LPERR01G08620.1 pep chromosome:Lperr_V1.4:1:6420020:6420322:1 gene:LPERR01G08620 transcript:LPERR01G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRVEEAGNMFCRIQEKDVACRNAMISGYCSNGSVHKTYALFEGMPNVGCRHNDAHIKHLGMCSDGTCQ >LPERR01G08630.1 pep chromosome:Lperr_V1.4:1:6420640:6421037:1 gene:LPERR01G08630 transcript:LPERR01G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHASTVKIGLERDVFVRNSLVVMYLKCSSICKPEALEIFQDMNHNFDQPDQITYIGLHARMLVKGRYFSKLLEEDPSVDLKHCSCMVDAESSGNLKTS >LPERR01G08640.1 pep chromosome:Lperr_V1.4:1:6423279:6423965:-1 gene:LPERR01G08640 transcript:LPERR01G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFSRHAGFFASLKQVEDRLAAEQQSPPPPPLFSYTMTASPLFLGAATNTTTTADRPGGESSGPALDFLTLPKDDERLPEQPRLGAGDGAAAAADESGDQDIARLMSLLGLSPPRRGGSDSDDSCGCDCSGGEGFMAKVVGVVGPKCEREKRRVDGWVDHFFGDGECAEPARLAHLLLAKASWSWEEEEEEEEVRHRGASPIIAFPATVKEFLDRDAPPRRTDEGQM >LPERR01G08650.1 pep chromosome:Lperr_V1.4:1:6424572:6425967:-1 gene:LPERR01G08650 transcript:LPERR01G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDHQPPFVSKQCIYSTSRAWYQCLFQSKMVRGAQPSASLLLFSLGLVLLYFTSGGTIGLAEGQKTWCVAKPSADEKVLTANLNYACSQVNCGVIQPGGPCYKPDNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >LPERR01G08650.2 pep chromosome:Lperr_V1.4:1:6424572:6425779:-1 gene:LPERR01G08650 transcript:LPERR01G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGAQPSASLLLFSLGLVLLYFTSGGTIGLAEGQKTWCVAKPSADEKVLTANLNYACSQVNCGVIQPGGPCYKPDNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >LPERR01G08660.1 pep chromosome:Lperr_V1.4:1:6430289:6436082:-1 gene:LPERR01G08660 transcript:LPERR01G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQTLLRLCTRTAAAAAAAGPPPPQLPQAPPPPTWVILGSIARVSATVDPSAAAADLSLALKSPPRVSLLTIPPRIFPDTTTPRNFPSVIAAADASGLLLLHANQGRCKGPTIIDRPDLQEFMWRDFVLDATTAAAAFPLPEPELVMDNGHMGLLAAAAGGSGGGYMVVELQPMYGADYAHLLCFSSDSGEWVRKKVHYPLSRRMLCPNGAVSHSGKLWFVDLSWCLIACDPFAAAPALRVVPLPPGKELKCGEAWGVLDKYRCVGVSAGKLRFVDMYQRNTAAPHPPKISVWTLADPGTDEWTLEHEASFAEIWADESYTATGLPNKIPVLALIHPKNPDVVYFFLEEHLFGVDVRARKVVECEVYELVAPPSFALATRFVRAWELPRELSSARMSSPPALPLPATLYATAAGVSHPAPEGGTAPPRDSPPPTSTWVILGSIPSVRAAGEGERADISVAFAAPPRVAILTVSDKVFPEPPTPRFFPFVLAADASGLLLLQANLGRSLTRKIIDNQRHQSLSWCDTASRYFVLNSVTGSAFHLPDPEEPILHQAMLGLITSPRGGSHYMVAELQPIIGSDKATILCFSSEIGEWVDKRVDYPLAPRPFVPIGVFSHHGRLWWVDLTWGIITSDAFADKPVLRFVKYTKEKVLQCREGWGVTDKFRYVGVSAGKVRFVDTYARPRRGLLPKVSVWTLVDPDSDEWTLECEARFAEIWADRSYKATGLSKEIPVLALIHPENPDVVYFFLEEHLFGVDVRAHRVVECERYKLVAPPSYCIANRFTRTWMLPRALSSGMANWSNAINLAEKGAGPSRRSAKKSGRIMGSPGDYHMVGMTRQTFIG >LPERR01G08670.1 pep chromosome:Lperr_V1.4:1:6442126:6442413:-1 gene:LPERR01G08670 transcript:LPERR01G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDTPTSREYIDRDRCAKINGLGEGDDLMVVIWTLVDPECSTWQPKYEIWGNDSYNKAGLKQDNVPTIVLIDPNNYDV >LPERR01G08680.1 pep chromosome:Lperr_V1.4:1:6444379:6444879:-1 gene:LPERR01G08680 transcript:LPERR01G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEPTHDPKKGKGSERALAAPGSEWRSSWVILPAIPMVISNEKNHLVPGTDVSLHFEEPPRTTILTISEHISDKLSFSSSQIPYIINVRSSGKLLIHATKGRHSASTYYIVDAHTGIATPLPPSLEQPIWSRWSVGFIEDPRHCGHHLVVQLHPMSTNQHKSLV >LPERR01G08690.1 pep chromosome:Lperr_V1.4:1:6449706:6450848:-1 gene:LPERR01G08690 transcript:LPERR01G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKGQWMKEDESEIWVVLARVPHVVEDGYLKPGIDNLLKFREPPGATRLVVHRNIAPRRKTIDDNPYIVDGDWRGRFLLCATQGPEPEAPVLDAFYSEPIDANHGLPKAYFICDTRTGRHSSTRLPDHGRPILNPRNACLLNLSAEAFAVAELQPTVGADHATLLLYESASGVWNHADLNYPPRDHPWGGHGVIMCNGEIWWVDLSYGFLAFDATNLALRFVPLPDGCELPPGEAAAAAADVEKRRCVGTNIGELRYVQIDERDGDPIVRMWTLLDEDAGMWSFDCVARFEAIWDDEGYKATKLPREIPAVAFVHPEHPGEVAYFFLNSRVFGVHLRKCRVLDWKFFEMLHPPMAYHSSRFVRLWRYIPALRDAPGFR >LPERR01G08700.1 pep chromosome:Lperr_V1.4:1:6457432:6461270:1 gene:LPERR01G08700 transcript:LPERR01G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLPSDPPDSDDGGNETSAWTGQKKHKLALDPCVSGDTNQDADACTSSFSDTQAKTAVQQVLEVTNKNIADAMRRTIFPGKEYLLKASSGEETSQRIDEQDIHFGPDIQFSNEDFDDFVRQLAPNSRHIDKFSRVSDDKFDEIMERHARYRIGFYKMFNKESGDKLKDPAEYSRGELLDENYFHRYEWDESLGWYFHPDHIGRAGLNDYQKLVLVNHVEEAEAFDLITNAVYRTFHHTQTMWGYAAKKIDMAKKIVRDHNKDVFFFVLPSAQMMSKTLK >LPERR01G08710.1 pep chromosome:Lperr_V1.4:1:6461995:6465863:-1 gene:LPERR01G08710 transcript:LPERR01G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPPFPGIQDVYRNLHPNFTIECKVLENGQRAIDFDGSYMKLHRCLYKIGPVEAQRLLKKGTPEKLAHSYLFLSTFSHSAALTVENYMVHVQRVTDEVKRDKIWENVRGIVEKCFSICGISPHESAMKFCSLIGHDCLSTIRGYPDTWDDYSKAACLTGVCLEINLKSAVNSSGIKWPEQSKGVVEPILASIIAYAMSTNKATYDTTILWDYVRLCKNTCKHFDELPQNVKDVLKDCYGIFQKMEEWEPDVWFMLYDASNMLL >LPERR01G08720.1 pep chromosome:Lperr_V1.4:1:6466954:6471160:-1 gene:LPERR01G08720 transcript:LPERR01G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSDLNKGDNKDETVLPMQITRVDVKEVKNSGYMARLTLKKPSNMPSSFPPLNPRMIGLDVGNPDDNHYMMVMQNINHKNILHMEAVKPLPYTAHLATLLHTTELGGKYDVLPSVELADIVRQIVQGWEELRVNGLYHGDMCLQNVYYCRTNGTIVVKLAGFKSKGSVSTEAALLKDLNGIGRILKSISSLVKGNTPGQEPRCILIDHLAVNLEHFVDFAQLGTIKDKILDHRDLSFNGMPSTVDDAVLYDQPTLCLDLYECIITRC >LPERR01G08730.1 pep chromosome:Lperr_V1.4:1:6471492:6475123:-1 gene:LPERR01G08730 transcript:LPERR01G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEVGAFTDFRCRTDYFQMLLGVYGLTECRESAHRDGGRFAGGGRFGVGGGREVGQHINVSGPDVSN >LPERR01G08740.1 pep chromosome:Lperr_V1.4:1:6495026:6495460:-1 gene:LPERR01G08740 transcript:LPERR01G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAEVGLRRRERHHRRIRGSRFRAEIMQIDPPGLLVIPRLAFFFFLSFLFVSCCLLLDRLIRCNPCRRGPPERGVPPSEGKPRAEAGAGSDVNDASGEGREADGVRRERRGRRRKGGGRRRRRKKGDSASKAEKEGGCRVG >LPERR01G08750.1 pep chromosome:Lperr_V1.4:1:6495623:6496461:-1 gene:LPERR01G08750 transcript:LPERR01G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPGEAPAALAVAFVVGYGALLFLLPFSVYALEFLRHNISIVRTPLSVQACAAVTPVALSVAVLAVLNQARRSSDVAFATCTVWAANIRTGREYMEAINRMRNKPQLMPDDPDAVLLGLDLTQIRDASVLLAVGVSAAYAVAGGVAVGGMSCKGLRYAAAFFAVPMLCILYFQNTYAYPVVRGGAQTARRQRPRPPKRDSQSMCSLRGSRVRRVRGRRRLGGRRRVLDRSLLCRRLFRAPNVSPAL >LPERR01G08760.1 pep chromosome:Lperr_V1.4:1:6498536:6501730:1 gene:LPERR01G08760 transcript:LPERR01G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDESPPWENLPTDLVRDITSRLGDIDRGRAEVICHAWRAAILEEGIPLLPPLRWFVVPRAGVPSNWRTERFFVLEKARRARYFGSYDGNWLFLSMDQARRDVLVSLDPDQAEFDDLPNVFRRGRGIPMSPDAPVPPLVRGSRIVIAAANLSCQPTEEGLCVAAGIMVSHPPYRDPRRIALWRMGDDAISRSIPLLLGEDVDLLYYSRSSNGGASSAFLTRGEHICCLPLQGSEDEKNDVPIILPCIPRVVYDQLHFPLGIDFYLTLVPALRASCLTVARRIAPDQKASDNYPYVAAVDQYGRLLLYATQGYPRRHDTFHPGPLGTHHGFDKAYFICDASSWVASRIPSHGRPILHPGNVGLISYPAKFFVAELQPNPTSFCITQSTTPGSTLRSTTRRATGHGAATAWCFTRVRSIWVDLSYGMLTCDLLYGAGESPEMKSILLPEGCELPAGTADLEKCRCVGIHEGCNGHPMVSMWTLIDEQAGECDIWEDELYRSTNLPASVPALALIDPVDDGDVVYFFLHSRLLAVDVRTGRVLEWQFFEMFHPPMEFHSSKLVRAWCMPEQSPDAETVLVSTSTAALPSPLVRELTTVARDMPWHTFLMMIKSSGAHTQLAAAW >LPERR01G08770.1 pep chromosome:Lperr_V1.4:1:6502505:6504371:-1 gene:LPERR01G08770 transcript:LPERR01G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYRTAVDITLAVSLAYATFGAAMAYPAVFPATLGLAFMLGYGLLLFLLPFSGAVWAATKQPLVYALEFLRPPKPIDLTRLSIIACSAVTPVVLSVVVLKVLSQGIAGGDIAFTTYAVWTADVAAVLSLAWCLTHGGTTGVAFTRRRQYEQSAKARKRMEKSKLGSDSPATVEPVDRDAIRNAKIRFAVALSTVFAVSGGIVVGGMSGATLTYAAVFFALPMCLLYFRDNYPHPTDHMPKQLEWCYLSAPMVIVLLSRLVLAARQATALDIRLVAVTGVMLVVDVAAIGFLGQRSTREMAKPRGTRASAAEIVASFVMVGLRYWLYLHVFYIIGNGSLYIISNGSL >LPERR01G08780.1 pep chromosome:Lperr_V1.4:1:6506137:6523402:-1 gene:LPERR01G08780 transcript:LPERR01G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVIHSPGHLPAHGVGGVALQGLKVVLSQTGDFNRFNTLREAAPSDSDFVQEAAAPGDIEHHVVGEDSSKKSNKDEAAAEEAMTNALVASEDVEEAEDELHASEDSDDDELAPEDMEEAEDKLLASEDGDDDELAPEDMEEAEDELLASEDGDDEELAPEDMEEAEDELLASEDGDDDELAPEDMKEAEDELLASEEEEEAEDKVDAPEYEEIHEEAMAGHEEAPARWICVSGRTLLLLVFIGIALVLLACAAHHFTDGKCMERLNKRDHQTCRRSPGFKLLAYHHLNHFSGHGRTGIGEAAGEEGDGGGAEGGGEGRVGDPGLRPGPNVVLNDDEEEERFPPGTDLKLGFGDHPFASAPSRTTPTSPPPASAARDLLLYATQGPDSNPPLLDAFYSRPLGVRDGFAKAYFVCYASTRVAVRLPGRSRPPAGYPPPRQRRPHKLLHKLLRRGAPAHAGVRHRQTPKPGWTLVDQLAGAGGWRLDCGARFEAIWEDDGYRATKLPREVPVVALIHPTHPGDVVYFFLRSRIFAVDVRACWVLEWQFHPYVAGGDYYGRLLLYACQEHEVDPPVLDAFYSMSLGEHHAFRKQYFICDTRCVVMSNSPRRQGTSFPARQEDVVVEQQWKTITEDGHHQFWMVLACFPHVVRDGYFKPGFDNGFKRQKQFRVAPGATHLVVHRSIAPRRKTIDDHPYIADGDEYGRFLICATQGPEPEPPVLDGFYSEPLGAHHGLMKAFFVCDTRTQTSIRLPDSGHPVLHPVLHPNNACLISLSSNTFAVADLQPTVGANHAMLLFYVLDSLSRDWKEIELNYPPLDRPWAGNGAFEWPSEHEIWWMDLSYGFLVFTFYLDKANWALRFIPLPEGCELPPGEGDVEKRRCVGMNNGKLRYVQIDENDGDPIVRMWTLDKDAETWSNDCETRLEAIWDDESYEATKLPREIPTVAFIHPNYLGEVAYFFLNSRLFGVHLLKCRVLEWQFFEMMHPPMAYHSSRFVRLCKKAEEDVVVERQWKRITEEGHHQFWMVLACIPHVVRDGYFKPGFDNGFKRQKQFRVAPGATHLVVHRSIAPRRKTIDDHPYIADGDEYGRFLICATQGPEPDPPVLDDFCSEPLGADHGLPKAFFVCDTRTQTSIRLPDSGHPILHPNNACLMSLSSNTFAVANLHPTVGADHATLLLYEFNSHSGDWTPFILNYPPGDRPWAGNGAFKWPNEDEIWWVDLSYGFLVFGFYENVDDADWVLRFIPLPEGCELPPGEAADVEKRRCVGMNNGELQYVQIDENDGDPIVRMWMLDTTAETWSFECEARFEAIWDDESYEATKLPREVPAVAFIHPDYHGEVVYFFLNSRLFGVDLLEGTVLEWQFFEMMHPPMAYHSSRFVRLCKKVFKSRSWSTKLIQS >LPERR01G08790.1 pep chromosome:Lperr_V1.4:1:6526633:6527430:-1 gene:LPERR01G08790 transcript:LPERR01G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMGYWVTYRFHAVEVNKWYTRLTHEFGIDQWPEYSDGDKETVRLLIGKVKEEYWRRMPEEQRQMVIANLTEKRRVKEEERLRLKEEKRRLKEEERLRLKEEKRRLKEEERRVKVEERLRLKEEKRRLNEEREQQLAVAALAHKLKSTIVMLYLH >LPERR01G08800.1 pep chromosome:Lperr_V1.4:1:6531011:6532749:1 gene:LPERR01G08800 transcript:LPERR01G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTAFPCPSTAYGPGDCDGAGCAYSRNAWPLHRVRHNGGAWRLCSSCVLLCHRKAICSVCLLFVFPNTEPVVSCSSCGAVAHLACIRDPSSYFVCPPCAAAAEGRAFSYVAPRPHAPDDDDELAARAVLIASRLALESITRTAADARKHAESRVREAAAARMRARHMLDVAARVAEAEAMDAEASSPSPVPAAVPRPASPELVKKKTPKSSAGNRSGDRPLKINSIQKPALAFAAAAAAAAAAASSTPLSTPSPGEVKKPMKQGRVYN >LPERR01G08810.1 pep chromosome:Lperr_V1.4:1:6541181:6548438:-1 gene:LPERR01G08810 transcript:LPERR01G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLQGMQLQYTASEFSTQQYAESGWSSSGTEVLHLDDVDQDTSVVRNMIHSKIVGYLKEQDNLCNFDLSILNTIGKRIDDHLFMEADSKIRYMDLKTLRDRLSAIMNSKSFKDGYKSFDHCMVVTNFAHSLADKPKLRPERFANTIFTPCASTLPKCCPSIDVLHAGHIKEHFSGDAHQNDNSQPSTSGSSSTLSAVWDQTTCSSAVRTHPMDSLSTANEQNLSTSNKNMYPATGQVHLLQQYGEFKIKLEDTWSQTLEQSDQSNITTGNHDLYHAKVQPYIIGEHKRDRCNSFMHQQHVFTTHHGACSPVSKRMDRVEQASNSTVSKPTSPTSDGSSGKQYPAKRLKVVPHLDHANVIEAPKEQQPVVNETDASAETAQSEATNSPTKSPCCTSLEDNIACTNNVRDTDMVRLSETVLQTEEEFRCENGDIEIKDAKVDLLDQILIGASLSARKRRGASILYALTSGELKDHLQSLYHDNCQSKVLTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGFYYVEVDKGGDKSSICGRCHHLSSAKAKYQKRCNYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNGDTDSLEPSTILGACELPRTKLSDHIEQRLSERLVQERQQRASASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKSEGLDVCLFAMYVQEYGSACPLPNRRHVYLAYIDSVKYFRPEIKSANGEALRTFVYHEILIGYLDFCKKRGFVSCSIWTCPSTKHDDYVLYCHPTVQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHRLGERLRTMKEDFLMLCLQQFCKHCHHPIVSGRSWVCTSCKNFYLCESCYAEELNIPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRTMDQSLAWRCSVCLGCNYCDSCYKQDGESLHIHKLRQTDKRHLMLQKYTLQDYLESLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARDGGGCHVCVFMWKLLFTHSLLCHNEDCSAPRCRDIKAYIADRSMADLSISG >LPERR01G08820.1 pep chromosome:Lperr_V1.4:1:6554040:6558111:-1 gene:LPERR01G08820 transcript:LPERR01G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTSPSPATPWAILATIPRDSATDAGADLSLTPAAPPRVTILTVPRRISPDPTTPRNFPSVVASDPSGLLLFSATQGRPTGPLVPVIGGDLATLLCFSSDFGEWAEKDIEYPIPGRPWGSHSVISHQGKLWWVDLTLGLLTCDPFDDMPVLRFVPLPEGKALPCDVFGGGGDEVDKCRWVQVSDGKIRFVEISPGVEPRVRMWTLAEPEAGQWAPEFDVASMRFGSYKKTGLTEKIPVLALVHPKNPDVVYFFLEEHLFGADMRAKRVVECDAYELIEPPSDIVSSRFVLAWELPPALTSGRDAEAHACGRPDLPAVVHSGNVRLTGRKDAQPSS >LPERR01G08830.1 pep chromosome:Lperr_V1.4:1:6560156:6564394:-1 gene:LPERR01G08830 transcript:LPERR01G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAQRQQPAALQPQPEQPAAAAAVPAPVQAQAQAQQQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKIVSGKSGDDTKNAEREKAKELLEISKNAKQKALSASGLSTVSPGAFQGGAKTQQGSSKAGQVSPLGKQNSLSGSAILAHGTQIKGIPTYMDDFKYGFPLSGLSLETMKWWGTDSHTETTPAKDENGEAPESANDTSKGMTDDELDWGADEAEGEADGAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGDQELCRLNKRQKIALAQVFGASLPEQLRRKLE >LPERR01G08840.1 pep chromosome:Lperr_V1.4:1:6575432:6579121:1 gene:LPERR01G08840 transcript:LPERR01G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGSGGGGGGGLLALDASPRPLGFLNLLSPAPFLTMEADNDGGGNGGGGGGRARRSIEVDFFSDEKKNMKKSRVSGAAAAATAASEADDGAAAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRPDHEEKSRSNNELAAMQAELGRMNEENHRLRGMLAQVTSSYQALQMHLVALMQQRPQMMPPTQPQPPPAHQDGKADGAVVPRQFLDLGPSGAGGEAAEEPSNSSTEVGSPRRSSSTGNKDQERRDSPDAPSTAAGWLPGRGMAPQLGGVAGKGHDQQAQEANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPQPGAPPNAAVPLNVARPPAPAQFQVPLPGGGVAPAFAVPPQVLYNQSKFSGLQMSSDSVAADAQFAAHPRPPMGVQLPGTLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAAAGNSNANNNNANAANTTSNTNNTSSNNTTSNNTNSETQ >LPERR01G08840.2 pep chromosome:Lperr_V1.4:1:6575432:6579121:1 gene:LPERR01G08840 transcript:LPERR01G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWIGSLRCLRSLSLTRAHVFFLQLAAMQAELGRMNEENHRLRGMLAQVTSSYQALQMHLVALMQQRPQMMPPTQPQPPPAHQDGKADGAVVPRQFLDLGPSGAGGEAAEEPSNSSTEVGSPRRSSSTGNKDQERRDSPDAPSTAAGWLPGRGMAPQLGGVAGKGHDQQAQEANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPQPGAPPNAAVPLNVARPPAPAQFQVPLPGGGVAPAFAVPPQVLYNQSKFSGLQMSSDSVAADAQFAAHPRPPMGVQLPGTLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAAAGNSNANNNNANAANTTSNTNNTSSNNTTSNNTNSETQ >LPERR01G08850.1 pep chromosome:Lperr_V1.4:1:6588137:6590151:-1 gene:LPERR01G08850 transcript:LPERR01G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYITVCSVLFIISKMLLSFLLYKKWARKKRIIENSLTGGKMVIFRSAVMQSLSPKSFLTLIMGLSSKDIIGSGGYGTVYQLHVGEKAAFAVKKLNRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAAHFNLLIYELMANGSLDTILHAKEDTRAALGWATRYKIAAGVARGLSYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNDSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAIDSALTEFPAEEVKFVFKVAEKCLESEPCNRPTMAEVVKMLEQGHRPDDFGPGEMGRD >LPERR01G08850.2 pep chromosome:Lperr_V1.4:1:6588507:6590151:-1 gene:LPERR01G08850 transcript:LPERR01G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYITVCSVLFIISKMLLSFLLYKKWARKKRIIENSLTGGKMVIFRSAVMQSLSPKSFLTLIMGLSSKDIIGSGGYGTVYQLHVGEKAAFAVKKLNRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAAHFNLLIYELMANGSLDTILHAKEDTRAALGWATRYKIAAGVARGLSYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNDSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAIDSALTEFPAEEVKFVFKVAEKCLESEPCNRPTMAEVVKMLEQGHSAY >LPERR01G08860.1 pep chromosome:Lperr_V1.4:1:6599046:6600894:-1 gene:LPERR01G08860 transcript:LPERR01G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSDSLKPSPAAPAPSKQPSSRFGMLTRFHAGYFRISLALSAQALLWRTLSDTSTSDDNNEDNPLLLGVAVARSLPSAAFVLLWSLALLTLVALCALYAARCLLRFQAVRAEFRHHVAMNYLFAPWISWLLLLQSAPPAFFPRGARSYQVLWWAFSLPIFALDVKIYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAIAASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREAAASVLMLTLAILSVAVTLALMVFTAIRTNDLLPHDDPFSCLPLIR >LPERR01G08870.1 pep chromosome:Lperr_V1.4:1:6609262:6610177:-1 gene:LPERR01G08870 transcript:LPERR01G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMRPVALLLLILNLCMYIILAIIAGWAVNISIDRGFIIGPGLRLPAHFHPIFTPIGNWATGFFVVFSLLAGVVGIASGIVGFSHIRHWNYHSMQPAVSTGLIAWALTVLAMGLACQEISLDRRNAKLGKMEAFTIVLTATQLFYVLAIHDGGRGPVPVERPHALR >LPERR01G08880.1 pep chromosome:Lperr_V1.4:1:6613942:6614373:-1 gene:LPERR01G08880 transcript:LPERR01G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAVFLMALLLAVAAAMPKHAEANDVATTMIRRSRFLASTKKLSPPPPPPFLPPLSYYNCKKKKSVCREKGSPGKTCCNGMCVDTKSSFAHCGSCHHVCKYGKTCCSGKCVDLQNDHKNCGACSVRCPKTKCNFGLCDYAG >LPERR01G08890.1 pep chromosome:Lperr_V1.4:1:6615813:6623818:1 gene:LPERR01G08890 transcript:LPERR01G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRLSARRPFSELGVSHAAVPIGRARIPSSTKGQSIGDGERSSRAWWAMEFEKIVVMNPVVEMDGDEMTRVIWKWIKDKELFFENQSSARISLGLYLGGQSPYALDAMHLVINTEQQIQCALMVEPLKLKLLME >LPERR01G08900.1 pep chromosome:Lperr_V1.4:1:6621357:6623400:-1 gene:LPERR01G08900 transcript:LPERR01G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAGDKYRSFMYGEGEKETVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKVRPEDQKSVHSQKFCASTNGMSFLSRKELMAIGGYNAFLRTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYAGPPRIVFKFRHWGYMEGPFKGHPPHGKRIEFFGICIFHVDEEMKVEKAEYFYERGNFLASFLSAPEAASSGSGCPVMGAN >LPERR01G08910.1 pep chromosome:Lperr_V1.4:1:6624831:6627377:-1 gene:LPERR01G08910 transcript:LPERR01G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNIGSRPTPVTDRGPRRDLRRR >LPERR01G08920.1 pep chromosome:Lperr_V1.4:1:6628478:6628726:1 gene:LPERR01G08920 transcript:LPERR01G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVAAGRSRRAADSVGEGSAATAWKRGGQEGLRKTSGRTAVSRGIRRQDDVRRRRRRRGEGGGGGGAARGREGGEKSARS >LPERR01G08930.1 pep chromosome:Lperr_V1.4:1:6633991:6635162:1 gene:LPERR01G08930 transcript:LPERR01G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASGFLRHGAAQSSNPYTALRKSPSILSPATGRSRTRSVSVVRCVLQAAPELKGGDVAGVFDFERYLSSRADAVNDALDRAVPVGTAPDRLGESMRYSVLAGGKRVRPVLAVAACELVGGDADAATPVACAAEMIHTSSLIHDDMPCMDDDDLRRGRASNHVAFGEPTALLAGDALLALAFHHAAAGSAARGLGSAAGAGGVAAGQAGDKYSEGAAHVSLATLEYIHVHKTARLMEASAVSGAIVGGGTDAEVERVRRYARCVGLLFQVVDDVLDVTGTSEQLGKTAGKDVEADKATYPKLLGGVDQARAYAAELLAMAEAELDGFDAERAEPLRYLARFIAYRQH >LPERR01G08940.1 pep chromosome:Lperr_V1.4:1:6636765:6637403:1 gene:LPERR01G08940 transcript:LPERR01G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLRDLRSKLQSRGNSFRAYCSGSLLLPISALVALLFCATDLSRLVVFFLPLVASTSVCCAAAYLLVAASESDDGGASAKEVVVVRGDRAEVGVLQVFDGANATVYAAGAGTAMRVGCFLHYRSGAGAGGGGGWTRRGVDEDGEEVVFAGRLAATGGGAGGEDDDDGGRELEEEVAALRVDRLAEGVWDSYFGGWSRWNYVTDGDDDRST >LPERR01G08950.1 pep chromosome:Lperr_V1.4:1:6638645:6639777:-1 gene:LPERR01G08950 transcript:LPERR01G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRILLLLFALCPFLLTASAVTWTPAFATFYGGSDASGTMGGACGYGNLYGAGYGTSTAALSTALFNNGASCGACFTIACDTRKTSWCKPGKSITVTATNFCPPNYALASDNGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCARTGGIRFTVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTEWMAMSRNWGANWQSNALLDGKALSFKVQADDGRVVTAYDVAPANWQFGSTYTSSGAQFY >LPERR01G08960.1 pep chromosome:Lperr_V1.4:1:6642462:6643761:-1 gene:LPERR01G08960 transcript:LPERR01G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVFAFLLLLSLCAPSSRLGEAAAQQWTSATATFYGGSDASGTMDMYSAGYGTSTTALSTALYGDGASCGACYLITCDTTSTQWCKPGGPSITVTATNFCPPNYNEPSDAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRTPCARTGGIRFGVSGHDYFELVLISNVAGSGAVAAAWVKGGGSSGTEWLAMSRNWGMNWQSSAYLTGQALSFRVQADDGSVVTAYDVAPANWQFGCTYQSNVNFS >LPERR01G08970.1 pep chromosome:Lperr_V1.4:1:6645011:6648556:-1 gene:LPERR01G08970 transcript:LPERR01G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAQWWSSLVVVAVAVALTFAAAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATAEDFFSGVLAKPAATNTTTGSAVTAANVEKVPGLNTLGVSLSRIDYAPGGGLNPPHTHPRATELVFVLYGDLDVGFVTTSNKLVSKTISQGDVFVFPRGLVHFQRNIGDKPAAVISAFNSQLPGTQSVAVSLFGASPELPDEVLATAFQIDGEEVDKIKAKFAPKKT >LPERR01G08980.1 pep chromosome:Lperr_V1.4:1:6651242:6660384:-1 gene:LPERR01G08980 transcript:LPERR01G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWCLDIDFRKARTKRLGGSIERRIQGVAVRRTARGRCYGRSGSAGDDNSIRQRIMSDMKDTNVAGPETVGNPDPMDQSADNPMPSAQEQEQAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGVAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >LPERR01G08980.2 pep chromosome:Lperr_V1.4:1:6651242:6660384:-1 gene:LPERR01G08980 transcript:LPERR01G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWCLDIDFRKLLSGLLKKKNSSSPSFPSLSLSSIRGTLSAGRARTKRLGGSIERRIQGVAVRRTARGRCYGRSGSAGDDNSIRQRIMSDMKDTNVAGPETVGNPDPMDQSADNPMPSAQEQEQAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGVAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >LPERR01G08980.3 pep chromosome:Lperr_V1.4:1:6651242:6660384:-1 gene:LPERR01G08980 transcript:LPERR01G08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNVAGPETVGNPDPMDQSADNPMPSAQEQEQAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGVAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >LPERR01G08990.1 pep chromosome:Lperr_V1.4:1:6665727:6666502:1 gene:LPERR01G08990 transcript:LPERR01G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGAKCKVGILQAVSNLQGVKSMDIDEENCTLTVLGPVDPVKIVHELKKKCFAAAVVSVEDDKPKEPAPPPAPEPEPEKCPCQCQNGCVCVKVCQPSCCHSPCVLPDCYFYKAYSYKPEPSYGVGYHIESGGHCTIQ >LPERR01G09000.1 pep chromosome:Lperr_V1.4:1:6667471:6675267:1 gene:LPERR01G09000 transcript:LPERR01G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRSDLIGKACKSEILATVATLQALYAYLNLFINSQPVICMRNRAICSIGLTYMLPPPSSGIKSMDIDAEKCTLTVVGTVDPVRISPWRMTSPRRRRRRTPARRPKRNWRRLGRSASQTAAPVALALPPVRLALALSPIRLALALSRTPATSLPVAATATNMACGLRRHHLGTAVTKRDILGENASSSSQDLQGTLKTVIKSDLIGKACKSEIMATVATLQGIKSMDIDAEKCTLTVVGTVDPVRIVRKLKKKCFAACIVTVEDDKPKEEEKKDPCKEAKEKLEKAWKEVCEKCTPCPPCPTPCPLPCPPCPTPCPPPCPPPDSRCYFPPNGCHRRGMWPPPPPPGCYEERYPWGECVIQ >LPERR01G09000.2 pep chromosome:Lperr_V1.4:1:6667471:6675267:1 gene:LPERR01G09000 transcript:LPERR01G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRSDLIGKACKSEILATVATLQGIKSMDIDAEKCTLTVVGTVDPVRISPWRMTSPRRRRRRTPARRPKRNWRRLGRSASQTAAPVALALPPVRLALALSPIRLALALSRTPATSLPVAATATNMACGLRRHHLGTAVTKRDILGENASSSSQDLQGTLKTVIKSDLIGKACKSEIMATVATLQGIKSMDIDAEKCTLTVVGTVDPVRIVRKLKKKCFAACIVTVEDDKPKEEEKKDPCKEAKEKLEKAWKEVCEKCTPCPPCPTPCPLPCPPCPTPCPPPCPPPDSRCYFPPNGCHRRGMWPPPPPPGCYEERYPWGECVIQ >LPERR01G09010.1 pep chromosome:Lperr_V1.4:1:6671590:6672024:-1 gene:LPERR01G09010 transcript:LPERR01G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFGGSAPALASTPTPRGRGGRRVQRRTAQEPEAPAMGFKLPVLNSTDGAHQELLVAAMEYSSIPQIVELPLVPEPAPVPVNADGASEEAARSILSPLLKDLISSVAVAGQDGAGLGLGIGFGGLGCVDIAGVNFCRIASPL >LPERR01G09020.1 pep chromosome:Lperr_V1.4:1:6672740:6677156:-1 gene:LPERR01G09020 transcript:LPERR01G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPAATYSGEEDDEGTDSDGSNPDHAAAPLDPPPPVPDASVTPPPPAPEPTTGGAIPPQPGSGTTAEDSRRLFQRLWTDEEELLILRGFLDFTTRRGTKFASHQYDTGPFYDEIRRRLSFDFTKSQLVEKLRRLKKKYRVCAARMAAAAANAQGGGGAAFAFRSAHEGAIYDVARHIWPPALKRGDGAASDEDDINPAATTTPGAMEDGFGGGVPAPASTPTPRGRGGRRVRRRTAQEPDAPAMGFTLPALNSTDGAHQEPVVAAMEYSIPQIADLPLVSEPAPVPVNADGASEEAVRSILSPLLKELISSVAVAGQTGTELGLGIGFGGLGCADISGVNFGTASMNPGVPSIDKWRQQQILELEVYLKRIELVREQVTAALEDLRSCLLDDAFSPRISLFVASGWWRRRPHAAAVAAIGREVAAAVRRWARRGARGRARRARQRARGRARRARRALLADLLPSLLQFLLGLLAGVLLLLLLGLVILHGDYACGEAFLLQLAHDAHRVDSPDHRHIIAGEMGRHTLERGNELTAEVNSVTAMAPLAGTSSESLSFDSTKIQLVEKLCRLKKKYRVCAARKAAATNA >LPERR01G09030.1 pep chromosome:Lperr_V1.4:1:6679160:6679811:-1 gene:LPERR01G09030 transcript:LPERR01G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIAKRNYTTRFPRDSFCVTCGASFRTGLCSHHDVHIVATGEPLNDAVLRSEEHDEQHCVPCTGDEWWMDVMGVALGDPVLTGVDEEVGILRAASGAQGDQDQMPPLSRRDDKRETERRQRRVARHAARQSGNN >LPERR01G09040.1 pep chromosome:Lperr_V1.4:1:6688771:6690832:1 gene:LPERR01G09040 transcript:LPERR01G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAISVSSTTALLVRLFSPECEPQNIAAYDKLGPKTG >LPERR01G09050.1 pep chromosome:Lperr_V1.4:1:6688988:6689272:-1 gene:LPERR01G09050 transcript:LPERR01G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGFGAHLLSSELPAAFGQMLRLRLRLHLHVLSPLSTAAARSSPRRHRPLAYSAARAGCALLVRRTARAPAAPPSDAALTRPATGRPRPPRC >LPERR01G09060.1 pep chromosome:Lperr_V1.4:1:6695775:6696224:1 gene:LPERR01G09060 transcript:LPERR01G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTAGIFSVGGVLAFWVLCRLHYGLRITVPRSLRWASCGAISVSSTSALLVRLLGPECEP >LPERR01G09070.1 pep chromosome:Lperr_V1.4:1:6699585:6708259:1 gene:LPERR01G09070 transcript:LPERR01G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVELTRRLCLRLPARPPPTWRPSPRGLLGAPSSLRLPRPPVPSLRCRALDSTRPVAVEGGLGDEEDEEEAESYFSVTSSRLSRVDYLGEKTKGDLNVRREHLDALDGNGKSTLHGPIEEIAWKEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLLRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVRSHVQKEQLITLIQQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRYADIYTSRVSNFLHYTPFIHLGMTLIRIPGGNSINVDGLC >LPERR01G09080.1 pep chromosome:Lperr_V1.4:1:6708372:6713236:1 gene:LPERR01G09080 transcript:LPERR01G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMRWMADGDGRWELDVETPATMEGTARPVPGDPLPLGLSRGPRVTRTKQLDFLHRFMASPLVPSFSASGAGLSVHHAHLFHVAQNWSVTILEQLHVQKLVAVVKEKLSNRQEFPHQNITLEASWPGLFIDNKGVYWDVPLSLSADLASVGSKSGLSYHVLLQQNSGEPKCFGGDEETSDVPVALLPGLCAKAAVSIKKSIDVWRKKEDKLKNVQPYDVFLSDPHVSFTGIIGAVASGSLGDCSKRMSMQNEIQKTNAFKYFDERNKFAAFADLFASINFTAQHGNFQRLFLDLTRVSARLDVSSGSLFLRGASRLAQDFFFSRRPDIETFCDVCPDVIVSLQQQIVGPFSFRVESSVTIDPKKHDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >LPERR01G09090.1 pep chromosome:Lperr_V1.4:1:6713577:6718440:1 gene:LPERR01G09090 transcript:LPERR01G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRGLLARLRHLSIAAPRVTTTYRLFSAEPLVSHPADDDDAGGEGGGGGGGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVIGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSVYKKPDTAILPFPTYFAQEGESEELLVADLGDVDPFMVAD >LPERR01G09100.1 pep chromosome:Lperr_V1.4:1:6721478:6726074:1 gene:LPERR01G09100 transcript:LPERR01G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPSSLTTTPTPRRLLEPSSRFPLPILRPAPPPPYSPPPPPSPPPSADAASAAAADPPDMDDACAVCAEPLEWVAYGACGHREVCSTCVARLRFVLRDLRCCLCMTPCPAVFVTKAMGDRTRMIADFSALRGAGGEGKAGEFWHHEGTQAWFDDADHYRLISTMCRLSCSVCDTNSDKEELTVKAAKVKQKNKIRSVDQLKGHLLNRHGLHMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMCEFCKSPFYGDNELYTHLTREHFSCHICQRQHSGHDYFTNYDELEVHFQRCHFLCQDKGCLEKKFVRHDVEEHRKRMPCAVDNSSLSPQNGIAAVGHGLGGQIDSSMAPLRSLSISSSSGQSSETCQSCARNRVLQQACLPPLTLQEVRDARIGSVLQEASFPSLPVQSRKAPTVRQSSRTAARIGDEQFHHISVTNNRNVALAQQGTRTLPENTRASELGQYNKGTENIHHNVQPRFLKSTALIPSGSTSRPLIPSSAGNGRQETLSNSQVLSSVEDIRAANRALVEKMRAALGMDQDMYNAFKEIAGEYRQGAINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGTDNSKQGNQKKKGKGEVPDAIGTSSAATDPLKDKLLNTTIKLQSNYMPQEGCCAVLQKEGYRTADGSSQGLQPGLSLKGAWQSRGAQRLFLSNAKK >LPERR01G09100.2 pep chromosome:Lperr_V1.4:1:6721900:6726074:1 gene:LPERR01G09100 transcript:LPERR01G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRCLISGWAQAMGDRTRMIADFSALRGAGGEGKAGEFWHHEGTQAWFDDADHYRLISTMCRLSCSVCDTNSDKEELTVKAAKVKQKNKIRSVDQLKGHLLNRHGLHMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMCEFCKSPFYGDNELYTHLTREHFSCHICQRQHSGHDYFTNYDELEVHFQRCHFLCQDKGCLEKKFVRHDVEEHRKRMPCAVDNSSLSPQNGIAAVGHGLGGQIDSSMAPLRSLSISSSSGQSSETCQSCARNRVLQQACLPPLTLQEVRDARIGSVLQEASFPSLPVQSRKAPTVRQSSRTAARIGDEQFHHISVTNNRNVALAQQGTRTLPENTRASELGQYNKGTENIHHNVQPRFLKSTALIPSGSTSRPLIPSSAGNGRQETLSNSQVLSSVEDIRAANRALVEKMRAALGMDQDMYNAFKEIAGEYRQGAINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGTDNSKQGNQKKKGKGEVPDAIGTSSAATDPLKDKLLNTTIKLQSNYMPQEGCCAVLQKEGYRTADGSSQGLQPGLSLKGAWQSRGAQRLFLSNAKK >LPERR01G09110.1 pep chromosome:Lperr_V1.4:1:6730762:6731121:-1 gene:LPERR01G09110 transcript:LPERR01G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMMAVAAVLVLAVAGAEARNIKTAAAATESKETVVQPQTFPPFDRFGSAVPAFGGMPGGSSIPGFSLPGSSGSTPGFGIGSMPIFGGLGGGSPGLGGGMPGSPAAASKQEKKP >LPERR01G09120.1 pep chromosome:Lperr_V1.4:1:6744002:6746706:1 gene:LPERR01G09120 transcript:LPERR01G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGAGTVAGDGPTYEQIWAVVEEVAADKLKGHEQWSMSSRHMSARGKFSLKIHGALRMKRFCWLYSGRSRLHMVPDPVFTTKICSVLMYIIMMATDILKFLYIGNDPRIL >LPERR01G09120.2 pep chromosome:Lperr_V1.4:1:6744402:6746706:1 gene:LPERR01G09120 transcript:LPERR01G09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSSFLLYEVCPFSLRSISPFSFRSSTGVGAGTVAGDGPTYEQIWAVVEEVAADKLKGHEQWSMSSRHMSARGKFSLKIHGALRMKRFCWLYSGRSRLHMVPDPVFTTKICSVLMYIIMMATDILKFLYIGNDPRIL >LPERR01G09130.1 pep chromosome:Lperr_V1.4:1:6756862:6765596:1 gene:LPERR01G09130 transcript:LPERR01G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMNDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDVMAKLIPEHAKKQCSYAGICSENVTFSALVCSVNEDALPRVITTPRGGEKRTGERTQGGVAGERGEMPLGKYYCDYCEKQFQDTPAARKRHLDGSQHQRARALWYDAVRRQEINGGGGARAPHLLQAGVCQQFVRTGTCKFGDSCRYFHPKTDPVNPGSVPSGNILGGHTSWDNLPPSLRPPPEGDRLHPSCLAIDSRPPQARDQPPFFLHIIIGEKSATLVHRQMLRTRLLCLLAADELPLLDVDANDDGSQQQEEGSHWLLVSGKSALLARHLLCFCKEVFAGWSQKGCDKGFGCSGAQLV >LPERR01G09150.1 pep chromosome:Lperr_V1.4:1:6778058:6779782:1 gene:LPERR01G09150 transcript:LPERR01G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKLAVPVAAGAAPTPPPRPSSVFFPFLCPRPPPIRLLKPACALRSGGGGGGGRPLGRAWPAVAAALFGAGFVLGPLLDGIHSRVGLQIYHGGAVDVGPLHTNILVPPLLGAFYSTVGMLQLLLDERVSPPPPESKATGTPQKTAASLVFLAVFIEVSAEMYRAGVASNVEAYVLFAGAELAWLLLDRTWLGFAVACLVGTACPLAEIPLIKLLDCWSYPNADVQLLGVGLVSWTTTCYFVYTPFLVNLARCLKAQLVVDGGVDDDAAR >LPERR01G09160.1 pep chromosome:Lperr_V1.4:1:6784348:6787156:1 gene:LPERR01G09160 transcript:LPERR01G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLVADAAAEGVAAVRAARRAVAVSATFPRVMVEGFLREYVGVDAVVGPEVRSVAGVVTGIVVDDEVYGAAARRLLGDGGDAVGLVGEGTTHCLFSSYYCKETFAASSDADMRHGAAAAVKPVVFHDGRLAFTPTPSAALAMYAFLPFGLALAVSRVAALSLIPYRLTFLAAAATGIRYRHSAATAAESRVAGGVGGGGGRLYVCNHRTLLDPVVVATALGKPVTAVTYSLSRVSELIAPIRTARLTRDREDDRRSMAALLARGDLVVCPEGTTCREAFLLRFSPLFAELDGGAAVNPVALDTRVGMFYGTSTAPAAKWMDPFYFMMNPAPAYRVEFLPRVAAGGGEDSIRVANRVQRMIGEALGFELTGLTRKDKYMMLAGNEGVVPSPAPAPASMKANKD >LPERR01G09170.1 pep chromosome:Lperr_V1.4:1:6790218:6795244:-1 gene:LPERR01G09170 transcript:LPERR01G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITTRSLRKPSLTVDPRSVGCGRWTNAGSVCVVPIWFRSQPSPFQIHSPSHPPIPFPSRCCVRRWRQRLGPHRRRPPTTGSPPTASTLRHRRRCCRRDASVNREPSPEIDDELFNEVYGKAYSGPVATTTDNVMPRENDEKKPITLDKSDEEDGLPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRSADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVEAVHRIIQESKGKNSSRSPKRDRSRSPVRTTTDIRPRHTESRWSHSPRSASLSQSKGYYSERPLDGRSRDDMPKFSKGSPQGRALKHTARASANYGAKGRPAQSKSPCQPSYLDDSLRSNDGNNQYAATYVPNAWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARACDKEEDEENYKHRESLRGIRENHMKRVTAMRSMHARKWDEFLEQSFKRHQQAQQTSYAQTSYPDYDQRTTQFAPSGTAIDSASAYPYSTDSYSAPRPHAGYSEFQHERHDDFGRTYGRY >LPERR01G09170.2 pep chromosome:Lperr_V1.4:1:6790218:6795244:-1 gene:LPERR01G09170 transcript:LPERR01G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITTRSLRKPSLTVDPRSVGCGRWTNAGSVCVVPIWFRSQPSPFQIHSPSHPPIPFPSRCCVRRWRQRLGPHRRRPPTTGSPPTASTLRHRRRCCRRDASVNREPSPEIDDELFNEVYGKAYSGPVATTTDNVMPRENDEKKPITLDKSDEEDGLPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRSADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVEAVHRIIQESKGKNSSRSPKRDRSRSPVRTTTDIRPRHTESRWSHSPRSASLSQSKGYYSERPLDGRSRDDMPKFSKGSPQGRALKHTGLILVLESSGTKVHILHLLPFAEASANYGAKGRPAQSKSPCQPSYLDDSLRSNDGNNQYAATYVPNAWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARACDKEEDEENYKHRESLRGIRENHMKRVTAMRSMHARKWDEFLEQSFKRHQQAQQTSYAQTSYPDYDQRTTQFAPSGTAIDSASAYPYSTDSYSAPRPHAGYSEFQHERHDDFGRTYGRY >LPERR01G09170.3 pep chromosome:Lperr_V1.4:1:6790218:6795244:-1 gene:LPERR01G09170 transcript:LPERR01G09170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITTRSLRKPSLTVDPRSVGCGRWTNAGSVCVVPIWFRSQPSPFQIHSPSHPPIPFPSRCCVRRWRQRLGPHRRRPPTTGSPPTASTLRHRRRCCRRDASVNREPSPEIDDELFNEVYGKAYSGPVATTTDNVMPRENDEKKPITLDKSDEEDGLPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRSADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVEAVHRIIQESKGKNSSRSPKRDRSRSPVRTTTDIRPRHTESRWSHSPRSASLSQSKGYYSERPLDGRSRDDMPKFSKGSPQARASANYGAKGRPAQSKSPCQPSYLDDSLRSNDGNNQYAATYVPNAWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARACDKEEDEENYKHRESLRGIRENHMKRVTAMRSMHARKWDEFLEQSFKRHQQAQQTSYAQTSYPDYDQRTTQFAPSGTAIDSASAYPYSTDSYSAPRPHAGYSEFQHERHDDFGRTYGRY >LPERR01G09170.4 pep chromosome:Lperr_V1.4:1:6790218:6795244:-1 gene:LPERR01G09170 transcript:LPERR01G09170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITTRSLRKPSLTVDPRSVGCGRWTNAGSVCVVPIWFRSQPSPFQIHSPSHPPIPFPSRCCVRRWRQRLGPHRRRPPTTGSPPTASTLRHRRRCCRRDASVNREPSPEIDDELFNEVYGKAYSGPVATTTDNVMPRENDEKKPITLDKSDEEDGLPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRSADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVEAVHRIIQESKGKNSSRSPKRDRSRSPVRTTTDIRPRHTESRWSHSPRSASLSQSKGYYSERPLDGRSRDDMPKFSKGSPQASANYGAKGRPAQSKSPCQPSYLDDSLRSNDGNNQYAATYVPNAWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARACDKEEDEENYKHRESLRGIRENHMKRVTAMRSMHARKWDEFLEQSFKRHQQAQQTSYAQTSYPDYDQRTTQFAPSGTAIDSASAYPYSTDSYSAPRPHAGYSEFQHERHDDFGRTYGRY >LPERR01G09180.1 pep chromosome:Lperr_V1.4:1:6797599:6809499:-1 gene:LPERR01G09180 transcript:LPERR01G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGQASSGRGLLQDSPRSPFLILLAAVLTLEFITGFNLVAQTSALNVVAPAISPSQSWTPVRSMLSQAKVDISISVGEQKREKLYSPAVTLSGHPPMSAPSYSSISASNTSAAPSGLVQPPVSPHNGCCSPNMVQKRGSQDCHCVYPVRVELFLRNVSLPSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNNSLSHHTVQINPVLVGDYVLLNLTWFRPLAPAPAPTFTISPKPSPSQASTLPRQSEDTSSNDRHFSLITVICIIIGALIVVLVIAFFICFRTYRKGKKKVPPIETPKQRTPDAVSAVESLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVYKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRANYLSTRVMGTYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDILEELADPRLGGKYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESIPTPPARPNVRQSATTYESDGTSSMFSSGPFSAAAVATAKIYTPGDKILLNCGSTTDGLDADGRRWIADTNNNTWLADSGKSSIMAAADELEPMLPSSIPYMTARVFTMDAVYNFSVNPRERHWLRLHFYPSSYNGLLPEDFQFSVSTSTGFTLLQNFSAFLTTKATTQAYLVREFSLPRAPEGFVTVTFSPTPMENVTYAFINGIEVVSMPDLFNDPVTMVGFADETVDVSGVAFQTMCRLNVGGAYIPPSNDSGLTRSWYEDTPYVLAPLEGSSIYGAGGHFHIRFPNDAAEWAAPAEVYMGGRSMGNDPRANQNNNLTWIMEVDTNFTYVIRLHLCELQLVHANQRVFDIYIDNKTAQTDVDVIEMASERGVPLYKDYAVSMSRNDTPVERLFVALHPSVMLRPQFYDAILNGLEVFKVNNTDGSLAAPGPDPYPLLAEAELGWGGPSDFSPDDPAKMARVMGGTAGGAAAAGVLAAICVAVYSNKKSKKLSGGDSHTSAWLPLYHSHTSGRSSGHITANLAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDNDVKVAVKRSNPSSEQGINEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYTNDGKKPQTPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKSGPTTLNQSHVSTMVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFETLLARPALDPSLPREQVSLADYALACNRSGRLADVVDPAIKDQIAPECLAKFAYTAEKCLAENGTDRPTMGDVLWNLESAMHAQDTFDSAAGRPVGASASAVAVEASCSSVVDEGSTSASVTTVGTSSTSHPHEACVVVLEADDVVAERDTFSQLVQPTGR >LPERR01G09180.2 pep chromosome:Lperr_V1.4:1:6797599:6809499:-1 gene:LPERR01G09180 transcript:LPERR01G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGQASSGRGLLQDSPRSPFLILLAAVLTLEFITGFNLVAQTSALNVVAPAISPSQSWTPVRSMLSQAKVDISISVGEQKREKLYSPAVTLSGHPPMSAPSYSSISGDSDISFYSSDSDSLVQHNGRSAAEIPTHVDAAPPDAASNTSAAPSGLVQPPVSPHNGCCSPNMVQKRGSQDCHCVYPVRVELFLRNVSLPSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNNSLSHHTVQINPVLVGDYVLLNLTWFRPLAPAPAPTFTISPKPSPSQASTLPRQSEDTSSNDRHFSLITVICIIIGALIVVLVIAFFICFRTYRKGKKKVPPIETPKQRTPDAVSAVESLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVYKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRANYLSTRVMGTYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDILEELADPRLGGKYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESIPTPPARPNVRQSATTYESDGTSSMFSSGPFSAAAVATAKIYTPGDKILLNCGSTTDGLDADGRRWIADTNNNTWLADSGKSSIMAAADELEPMLPSSIPYMTARVFTMDAVYNFSVNPRERHWLRLHFYPSSYNGLLPEDFQFSVSTSTGFTLLQNFSAFLTTKATTQAYLVREFSLPRAPEGFVTVTFSPTPMENVTYAFINGIEVVSMPDLFNDPVTMVGFADETVDVSGVAFQTMCRLNVGGAYIPPSNDSGLTRSWYEDTPYVLAPLEGSSIYGAGGHFHIRFPNDAAEWAAPAEVYMGGRSMGNDPRANQNNNLTWIMEVDTNFTYVIRLHLCELQLVHANQRVFDIYIDNKTAQTDVDVIEMASERGVPLYKDYAVSMSRNDTPVERLFVALHPSVMLRPQFYDAILNGLEVFKVNNTDGSLAAPGPDPYPLLAEAELGWGGPSDFSPDDPAKMARVMGGTAGGAAAAGVLAAICVAVYSNKKSKKLSGGDSHTSAWLPLYHSHTSGRSSGHITANLAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDNDVKVAVKRSNPSSEQGINEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYTNDGKKPQTPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKSGPTTLNQSHVSTMVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFETLLARPALDPSLPREQVSLADYALACNRSGRLADVVDPAIKDQIAPECLAKFAYTAEKCLAENGTDRPTMGDVLWNLESAMHAQDTFDSAAGRPVGASASAVAVEASCSSVVDEGSTSASVTTVGTSSTSHPHEACVVVLEADDVVAERDTFSQLVQPTGR >LPERR01G09190.1 pep chromosome:Lperr_V1.4:1:6815189:6815722:-1 gene:LPERR01G09190 transcript:LPERR01G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTSPALLIAVAVVAAASCLSTAAGDNSAVEKICKGTPYPELCATTAGKQASRYDGGAVDPITVLKMQVDAFDKRTQAARAHLAGAVKTATPAAATALDLCDSLYLDVEDNLGAARRAIGFKDAVTIRAMMSMAAQDMQGCNEQFKKVGEKNPMERFNQSLINMSEICRSLSNMI >LPERR01G09200.1 pep chromosome:Lperr_V1.4:1:6816887:6822316:1 gene:LPERR01G09200 transcript:LPERR01G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKASVDAEEGRRRREDNLVEIRKNRREENLLKKRREGLQAQAPVPASAASGVEKKLYHFDCVILPLFQILESLPAMIGGVYSDDNSLQLEATTQFRKLLSIERSPPIEEVIKSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALPPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTRPALPALAHLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLFKKAENFSAMLELCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVVNAGVISPLVNLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVSEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGETDKTLAAGDVNFFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMEEDDDAMGASTEAPPQGVPFDFSQGGGAPPQFQ >LPERR01G09210.1 pep chromosome:Lperr_V1.4:1:6821936:6822868:-1 gene:LPERR01G09210 transcript:LPERR01G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVTHDDLSRRKAVERRAARSSGRAAVSLVALSVLCGIVAFILCLAAEGSRSETSHYLMSVGGPGQGQVDVCFYNSSGRAPLAFAVGAFLLLAVAMFAEHAYMLLAVAAPDSSAAGLAVGEGHPRVPSDPARLTWQTCCLFFVTWICFGLAEVMLMVGIAVESGHVSDWRKPRPVCHRVRPGVFAAAGILGLITVVVGFVVYVTALQTNKLRAQYPVGGYFVGHGAPYPGVPHHNMPPPMPMPHGQHPHPPPPPQQAPSAPEITPAACQVQPSRAARVTKECADTV >LPERR01G09220.1 pep chromosome:Lperr_V1.4:1:6828488:6829417:1 gene:LPERR01G09220 transcript:LPERR01G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHADAAVTAPSSPTRRLICRTGADDDDDDGDGGGHLFFFSAPASPVHYILRSPPSSTTTTAAAAAAQYAAPAAADAGDFEFAGEEGAAAMSSAEELFVAGRIRVGCLSPIRQEVGFGEPDQGEEDCADGDGERPRPRRARSASPPRSPNHLAKATTEPSESFTSSSSSSSTSSSSSSSSSSAKNTRRRISLRDLLLGGATNDTSSSSSGAAAAAGERSLGFWPPSIWRSTKKTTTTAEAMLALPCPCPAPQPARRSASSERSSSSATATARRRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSR >LPERR01G09230.1 pep chromosome:Lperr_V1.4:1:6831245:6833366:-1 gene:LPERR01G09230 transcript:LPERR01G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILNMYVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMLRYVEIIGIAESNQTIRAEVCTDFGENFDPAPFNGLCKLANGQMKDMFL >LPERR01G09240.1 pep chromosome:Lperr_V1.4:1:6835444:6837250:-1 gene:LPERR01G09240 transcript:LPERR01G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTKWRWPRCFLLLTTACVCTWSITRCKSDEEAAAFHGHRKISTEDIVARAIICFNDRYIYSGCQGQYRLGPGGSLDVPPAAADAFCGGPCLAETSLVLGCVDGIMDNFRFYNGASVRDVRLALARGCGHGGSRGDFDVLRRLGGDGDAGGGRDYYGGAPRGLVTPPLPLLVVAAVVAVGRRRPT >LPERR01G09250.1 pep chromosome:Lperr_V1.4:1:6838983:6843198:1 gene:LPERR01G09250 transcript:LPERR01G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGIRPAASAAPQPPPPVASHRHQQPPRHAPLLRLWPLGGGGGGGGGGGERAGAVRGEEARSGQRAAAAAEVEREERHGEAEEEAGERKQGNWVMQMLRVQPQWVEAADAEATGGVRGGGGDDACCASCGGGEEEEEGCCGVGEEDDEEGSDGKVFDRATFSRLLRKVSIGEAKEFSKMSYLCNIAYMIPKITPKCLRRYDLRFVTSSVQEKAKTGLDQKQEHKTEKGESLDQESEVVENPEQGSNQKKESGLGVNPLGAYHVMSSAASYLHSRAMDVIPFGSRNDGKNDPTIMAFINGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDKGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVRAHLKSSGKSGALRFTGHSLGGSLALLVNLMLLMRGVVPASSLLPVITFGAPCIMCGGDQLLRRLGLPRNHVQSITMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSGTSLRQLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETQRERWRLIMWWPLTVRGVLAGAVDRTRLGVLLDAAVEGGRETARQARTHARLLVVLLVPAKLLVIGAFLVVRLM >LPERR01G09260.1 pep chromosome:Lperr_V1.4:1:6855078:6861082:-1 gene:LPERR01G09260 transcript:LPERR01G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >LPERR01G09270.1 pep chromosome:Lperr_V1.4:1:6863816:6870648:-1 gene:LPERR01G09270 transcript:LPERR01G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLETLDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVPVPLAAVPKAGASYPPLTAHTPFQTPAGPSLAGWMAAAATSSVPSAVVAASALPVPPNQAVSIMKRPTITDYQSAESEQVMKRLRPGGHGVDEATYPAPIPQTSWSVDDLPRTVVCTLPQGSSVTSMDFHPSRHTLLLVGSTNGEITLWEVGMRERLFSKPFKVWDMQACSSQFQSAVAKDSAISISRVAWNPDGDLIGVAFTKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSYLVEWNESEGSIKRTYSGFRKRSAGAVVQFDTAQNHILAAGEDNQIKFWDVDSTTMISSTEAEGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRPQFEASSMKVSGAPVVASISPNIGRMDHIDRNSPAKPSSMLNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNPQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPIGKATASVVPQHWQPNSGLVMQNDIADINPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNSQQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNVGIFDVENLRLRCRIAPPAYMSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGLPNGRTSTSSATSNPTADQIQR >LPERR01G09280.1 pep chromosome:Lperr_V1.4:1:6891037:6891231:-1 gene:LPERR01G09280 transcript:LPERR01G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKPKALLAQSKQKKGPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAATEGFVGN >LPERR01G09290.1 pep chromosome:Lperr_V1.4:1:6895369:6898779:-1 gene:LPERR01G09290 transcript:LPERR01G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLLMLLVLMVVCGGNGAAVFSGCSFESQEEAEAFEASLLRQACFNVSGGEEGQCVSRLDTARGGAGSGAVPVLRAAARDALGEAVGSVAAVARLASLSNHARDELAVRDCLELLGYSVDQIGWALDAMAEPDAVAAAEETTDASAAARRRGEAQQAEDDIHAWLSAALGNQDTCVDGFHGHIHGNGTTEDGRLRGRVEAAVARLTQLVTNLLAIHKRLRSVSTPPRHTDAAGDGELPPWVTDIDAVDGGERRKGRRNKGMRVDVVVAGDGSGKYRTVSEAVARAPSHSRRRYVIYVKRGVYEENVEVRKKKTNIVVVGEGMGETIITGSRSIAGGWTTFRSATVAVSGAGFIARDITFRNTAGPAAHQAVALRVDSDRSAFFRVSIEGHQDTLYAHSLRQFYRDCQISGTVDFIFGNGIAVLQRTTISTLPLAPGQIGSVTAQGRKDPNQNTGFSLHNCVVEANHPTYLGRPWKPFSRVVVMESYLGAGVQTRGWLEWDGDVGDLGTLFYGEYRNYGPGANVVGRVKWPGYHVIMDATVAARFTVRRFIDGLAWLPSTGVIFTADLAKK >LPERR01G09300.1 pep chromosome:Lperr_V1.4:1:6903094:6903671:-1 gene:LPERR01G09300 transcript:LPERR01G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAERGETGGNRRQENSEQTCPAATGRTTPAGDGSHAAGDPIMRDKNRRRYWLFMVASWAGFGSSMVLTLGLLTGVPSRSRAVQWPFLVAYSSLVLTFITSQPRTPLTLDILIWVVVMGVLTVGIKYRRLDKLRFWFCQPAL >LPERR01G09310.1 pep chromosome:Lperr_V1.4:1:6905263:6908148:-1 gene:LPERR01G09310 transcript:LPERR01G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNARGLPRRGVGRAGGAGAGAGASQAVASGVFQINTAVSTYQRLVNTLGTPKDTPDLRERIHKTRLHISQLVKDTSDKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKFAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENSVVATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >LPERR01G09320.1 pep chromosome:Lperr_V1.4:1:6911103:6914560:1 gene:LPERR01G09320 transcript:LPERR01G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAEVRHRTVEVASGVRLHVAESGPEDGAVVLLVHGFPELWYSWRHQMAALASRGFRAVAPDLRGYGDSDAPPDEGSYTLHHIVGDLVALLADIGRPRVFVAGHDWGAAVAWQLCLLRPDLVTALVVLSVEYHPRNPMRRPLQTIRAVCGEHHYICFFQKPGVAEAEFGRYDIKSLLKKLYGMRKPAPLIIPPDKTLFDSIDSDGSCPAWLTEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELNAPWTGAPVKVPTKFIVGDLDLTYNTPGVKDYIHKGGLKANVPNLEDVVVMEGVSHFINQEKPNEVSDHICGFFSKF >LPERR01G09330.1 pep chromosome:Lperr_V1.4:1:6914901:6917019:1 gene:LPERR01G09330 transcript:LPERR01G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSEMAAVRHRTVEVASGVRLHVRGVGPGGWPHGAARARLPGALVLVAPPDGRARLPWVPRRAPDLRGYDDSSTPPGRESYTVLHLVGDLVALIADLGRPHLCLLRPDLVTGLVNLSVEYQPRHPRMSVLQAARAACGDDHYVCRFQKPGVAEAEFARLDLKHLFKMVFGMRRPATLILPQDKTFFDALDSDGTCAAWLSEDDISYYAEKFNKTGFTGGFNYYRCMDLDWELTAPWTGAPINVPTKFIVGSLDLTYNTPGVKDYIHKGGFKANVPNLEDVIVMEGVGHFINQEKPDEVSDHICEFFSKFLV >LPERR01G09340.1 pep chromosome:Lperr_V1.4:1:6922360:6923206:-1 gene:LPERR01G09340 transcript:LPERR01G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPIAHAAATSKIPSNPAFQLLPCHALSPAASKGHRHSQRSGKEEENWESITTPSSCSSSSDDAVNTTVVNAFVKYVFEGRKQGRSGLHEALLRRRVESGGWRLGMDLTGRVHAVGCVRSEGQKSDLQVAACWRAGLLTARARSPCVLLGQNLAS >LPERR01G09350.1 pep chromosome:Lperr_V1.4:1:6944744:6945491:1 gene:LPERR01G09350 transcript:LPERR01G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEARRVAAGAAALRASSDVDRKPAAAGSPPPGAAAAGGHKIQLKSADMKEEMRLEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGNCSRLLLPAGNLQLLVQASCGGSCLPV >LPERR01G09360.1 pep chromosome:Lperr_V1.4:1:6950961:6960412:1 gene:LPERR01G09360 transcript:LPERR01G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQGKRPPARGLPKSKRADKPPVAMYGSDDDDEIDACKNPLLLSLRSQEITRIALPSVSDRMCSFGIAVHKQRDMIPLDADDARESEEDDLEQPVFDLEGISESETDDSEGDEDGNTDKAAYDEWDDKFIAKLKRAERAVKQIARGDDSMDEEEDDHKDRNLWGRGKNAYHDAGEQSGDDEEDYEETRRIQKEEESKLTMQDFGFEDGETVEEDKTIKVPSHKVKVPVGEPSFQTYDEKMDVLYSSAPELVGLLSELKEAHEELMVIGPVTNEITGGQDKDKKGKMQPLEVTQACLLAYSQAITFYLLMKAEGLSVQDHPVIARLAEMKSIVEKMKRVNMMNLPRQQGDVNDRLPDSSTMDVPDKIISLGKGNISRNLLLQDKVKGAEVAELTRTNHSNKDYHDIAKREDKDEHIGSQSLEMLKVRAALEAKLKEKGLYNLARLKPEKVSNTRLTPNKRNLQMLDDFDDEVVKNSQVVKPSKALVPVAKSNKNKVLLAINFTREFGRHKGYLLYQQGPSRELVVFVSGDDELPKRDDIGERRRRHELRVLARVGATHEDDDLPDEDVHAEGKLNQLSDDDQDHDIGPSESEDEFYKDIKRQRTEKLLTKEQKYSPNTIIQPLEEETEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >LPERR01G09370.1 pep chromosome:Lperr_V1.4:1:6961511:6963712:1 gene:LPERR01G09370 transcript:LPERR01G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRLGPLAAARRVFDGMPDRNMVSFVTLVQAHARRGDFLGAAALIRRLRWEGHEVNHFVLTTILKLVVAMDAPELAGGVHACACKLGHDHNAFVGSGLIDAYSLGGLVSDAEQVLNGILHKDGVAWTALVSCYSENDCPEDAFRVFSEMRALGYKPNTFALTSVLKAAVCLSSLVLGKGIHCCSIKTLYDADSHVGGALLDMYAKCGDIKDARLVFEMIPYDDVILLSFMISRYAQSNQHEQAFELFLRMMRSSVLPNEYTLSSVLQACTNMVQLHFGMQIHNHAIKIGYESELFVGNALMDLYAKCSDMESSLKIFASLQDANEVSWNTVVVGFSQSGFGEDALSVFCDMRAAQIPCTQVTYSSVLRACASTASIRHAGQIHCLIEKSTFNNDTVIGNSLIDTYAKCGYIRDARKVFRNMKECDVVSWNAIISGYALHGEVTDALALFDRMCKSNIEPNDITFVALLSVCSSTGLVNRGFSLFDSMRINHGIKPSMEHYTCIVRLLGRAGRLNDALKFIGDIPSAPSAMVWRALLSSCLVHKNVALGRFSAEKVLEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNIGVKKVPGLSWVEIKGEVHAFSVGSVDHPDMRVINAMLEWLNVKTNREGHIPDVNVVLHDVDEEQKSRMLWVHSERLALAYGLVMAPPGHPIRIMKNLRSCLDCHTTFKVISKIVKREIIVRDINRFHHFEEGKCSCGDYW >LPERR01G09380.1 pep chromosome:Lperr_V1.4:1:6975663:6975971:-1 gene:LPERR01G09380 transcript:LPERR01G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTSAAARALAARLAQSTRGYAASAASAAMRRAAAAAEGNGAGRMVHGKDGSAGAAAAGEVSWVPDPVTGHYRPSNWAAAADAADLRAAHLARSYAHA >LPERR01G09390.1 pep chromosome:Lperr_V1.4:1:6977852:6988155:-1 gene:LPERR01G09390 transcript:LPERR01G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEDQRGVVGVVEEEAEAARRRPPLAVEALREKIVEKVKENRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVTIAQMMAESRKCQVGEEVGYHIGHSNVSNLNSKRSKIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACAKQFMMKKNDLRLVLMSATADITRYKEYFRDIGRGERVEVIAIPSSPHSSIFQRKVLYLEQIVGILKMDSELLSAKYCSGPDATADAGLKPDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQADQRKGRTGRTCDGQIYRLVTGPFYNSLNDHEYPAILRLSLREQVLMICCAESRAINDPHVLLQKVLDPPNWDAIEAALESLVQIRALDKPITPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSLHEGILISIMLDIQPLPIIQPFGRQQLCKMYRDNYFEEDLQIGKKEATLVGNLCAFQFWQRMFKDKYHLDCLINVVNTQEPKASNDDDIMGTLHRFRPSFLVKINPPMYLQPSEFHHMCLHREVLTLENLNSLPLEAESSHFDAHRRCAATPYVSLTDFGASTVVKMLKTLIKEMKTQSAEEKVVTYRELGYVLPALENEMCVFFLNGSCNRGDTCHFSHSSRARRPTCKYFLTLQGCRNGNSCSFSHDSGSVSSPIISGICSQEDRATSVCCRRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGLHSFESDSVTKGLKVLQNLSDPSHLLTGGEHKLSVPWTKLRRVFWFADFYSTESISEQIVLKKFFEHIAIKTLSEKLSDLQVIVIMNNTKFVELQVERLARECFLFLGASFMFDEATLGWFSDTPSYPRGMQVSAPVAYIFNMHPPTGIQFGDYASELRKRIPATMVIPPPDRAARVVRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVAIHMKREQKYHGKVKAVIDSVREAGVKLL >LPERR01G09390.2 pep chromosome:Lperr_V1.4:1:6977852:6988155:-1 gene:LPERR01G09390 transcript:LPERR01G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEDQRGVVGVVEEEAEAARRRPPLAVEALREKIVEKVKENRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVTIAQMMAESRKCQVGEEVGYHIGHSNVSNLNSKRSKIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACAKQFMMKKNDLRLVLMSATADITRYKEYFRDIGRGERVEVIAIPSSPHSSIFQRKVLYLEQIVGILKMDSELLSAKYCSGPDATADAGLKPDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQADQRKGRTGRTCDGQIYRLVTGPFYNSLNDHEYPAILRLSLREQVLMICCAESRAINDPHVLLQKVLDPPNWDAIEAALESLVQIRALDKPITPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSLHEGILISIMLDIQPLPIIQPFGRQQLCKMYRDNYFEEDLQIGKKEATLVGNLCAFQFWQRMFKDKYHLDCLINVVNTQEPKASNGFLAKPEDEWCAFHNLTPTALNYISEICIPSFLVKINPPMYLQPSEFHHMCLHREVLTLENLNSLPLEAESSHFDAHRRCAATPYVSLTDFGASTVVKMLKTLIKEMKTQSAEEKVVTYRELGYVLPALENEMCVFFLNGSCNRGDTCHFSHSSRARRPTCKYFLTLQGCRNGNSCSFSHDSGSVSSPIISGICSQEDRATSVCCRRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGLHSFESDSVTKGLKVLQNLSDPSHLLTGGEHKLSVPWTKLRRVFWFADFYSTESISEQIVLKKFFEHIAIKTLSEKLSDLQVIVIMNNTKFVELQVERLARECFLFLGASFMFDEATLGWFSDTPSYPRGMQVSAPVAYIFNMHPPTGIQFGDYASELRKRIPATMVIPPPDRAARVVRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVAIHMKREQKYHGKVKAVIDSVREAGVKLL >LPERR01G09390.3 pep chromosome:Lperr_V1.4:1:6977852:6988155:-1 gene:LPERR01G09390 transcript:LPERR01G09390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEDQRGVVGVVEEEAEAARRRPPLAVEALREKIVEKVKENRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVTIAQMMAESRKCQVGEEVGYHIGHSNVSNLNSKRSKIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACAKQFMMKKNDLRDIGRGERVEVIAIPSSPHSSIFQRKVLYLEQIVGILKMDSELLSAKYCSGPDATADAGLKPDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQADQRKGRTGRTCDGQIYRLVTGPFYNSLNDHEYPAILRLSLREQVLMICCAESRAINDPHVLLQKVLDPPNWDAIEAALESLVQIRALDKPITPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSLHEGILISIMLDIQPLPIIQPFGRQQLCKMYRDNYFEEDLQIGKKEATLVGNLCAFQFWQRMFKDKYHLDCLINVVNTQEPKASNGFLAKPEDEWCAFHNLTPTALNYISEICIPSFLVKINPPMYLQPSEFHHMCLHREVLTLENLNSLPLEAESSHFDAHRRCAATPYVSLTDFGASTVVKMLKTLIKEMKTQSAEEKVVTYRELGYVLPALENEMCVFFLNGSCNRGDTCHFSHSSRARRPTCKYFLTLQGCRNGNSCSFSHDSGSVSSPIISGICSQEDRATSVCCRRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGLHSFESDSVTKGLKVLQNLSDPSHLLTGGEHKLSVPWTKLRRVFWFADFYSTESISEQIVLKKFFEHIAIKTLSEKLSDLQVIVIMNNTKFVELQVERLARECFLFLGASFMFDEATLGWFSDTPSYPRGMQVSAPVAYIFNMHPPTGIQFGDYASELRKRIPATMVIPPPDRAARVVRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVAIHMKREQKYHGKVKAVIDSVREAGVKLL >LPERR01G09400.1 pep chromosome:Lperr_V1.4:1:6991118:6994042:-1 gene:LPERR01G09400 transcript:LPERR01G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGAKPGGRGIGRGQDDSGSKGGGGRGRGGIGGKGGNKGMVLA >LPERR01G09410.1 pep chromosome:Lperr_V1.4:1:7018535:7032452:1 gene:LPERR01G09410 transcript:LPERR01G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHAAATAAAGGGGGGDGEGGGGGSPDTGLEGPMWRMGLGGGGGGGEGDAATRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGGTEFGGGTKNAAALDYPERAGQPICEYYMKTGTCKFGTNCKYHHPKQDGSVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGSTCKFHHPEFGGVQMTPGIYPSLQSPSIPSPHPYASLANWQMGRPPVVPGSYMSGSYTPMVLPSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEQGFPERPGQPECQYYMRTGDCKFGATCKYHHPRDLSAPKSSYMVNPLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALLLPDMPIAPYPIGFSIATLAPSSSSPDLRPEYISTKDQSVNQVTSPVAASEPAGSILPKGVFPTDTMMRAQTNTTGGSSSPGAESMWRLGLGGGGEGGAARLPERPGEADCVYYLRTGACGYGENCRYNHPRDRAASAALNGGGKSTHLAEYPERPGQPACEYYMKNGTCKFGSNCKYDHPRESSVQPVILNASGYPLRPGEKDCSYYIKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPPPMSSSHPYPQLAGWQMGRPPVLPGSYLPGSYPPMMLPSTVLPMQGWNPYISHVNQVASAGGHQTIQSGPFYGLSHQGPSSAVTYGSQYAPSLSSSAMPSSSSNQEPAFPARPGQPDCQYYLKTGSCKFGSACKYHHPQHLNTPKSNCILSPLGLPLRPGSHPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDVPVAPYPVGFAVAPVAPSSSSSDLRPEYVLTKEFSANQSVSPGTICGPAGAMLKAYAPHMLIRPQTSASGGIVTTHGGPNKT >LPERR01G09420.1 pep chromosome:Lperr_V1.4:1:7038428:7038766:1 gene:LPERR01G09420 transcript:LPERR01G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQPQQQGRGEAMAGGRRKALVHTPSGQVVSSYAELEARLMALGWERYYEDPSLFQFHKRGALDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >LPERR01G09430.1 pep chromosome:Lperr_V1.4:1:7040329:7041144:-1 gene:LPERR01G09430 transcript:LPERR01G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTQFDYSDEHGPLNLFIMLSEIPSAAPPPSPAVVTLRLVIQLESRGGVEVGDGEAEYHTMADAACRVPLRCLIADDGADAAERALGEMVAALAGLGCHHCPPTLWEELAPELPVAAAGIRARCVARAAAGAGLELCVHVLIMLTEEEEDDGECGCDDMDVSDVGGDEENGACQFTARPFDGPEMDDEGQQDLTSLDVSRLVRVALDEGDVEGEEAYRRAMDGAAGGGGGGAAVSPGSLAAVLDMALESVRQNAPRGGVVRRMRTGF >LPERR01G09440.1 pep chromosome:Lperr_V1.4:1:7060084:7060470:1 gene:LPERR01G09440 transcript:LPERR01G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIPMAAVVLLFLLAVAFTVVDAGVVGDAVAAAVAMRRTCRGGTVGECMEYFGVDGEGEDELAAAAGGGGGKRRMLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGGEANPYSRGCSAITQCRG >LPERR01G09450.1 pep chromosome:Lperr_V1.4:1:7092821:7098328:1 gene:LPERR01G09450 transcript:LPERR01G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQEAANESAQSSESCPLNTERSIDMVGIRRDRGHGEATIFTLRELADATKNFSKECLLGRGGFGCVYKAHLNDRQVVAVKQLDLNGLQGNQEFLVEVLMLSLLHHPNLVELYGYCVDGDQRLLIYEYMPLGSLEDRLHDLQPNQEPLDWTTRMKIAADAAAGLEYLHDGAIPAVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPEEQDLVAWARPLFKDQRKFPKMADPLLQGRFPKRGLYQALAIAAMCLQEKAKNRPFIREVAAALSYLASQSYERRNTASRSYLAGPSVPRVLDDQLNQDTSLPSQHGAHMSVHGGINHIVQEVKDNYWSTSHRSGRGRVAPNGADRERALAEANVWAETWRRQEKTSRMR >LPERR01G09450.2 pep chromosome:Lperr_V1.4:1:7093042:7098052:1 gene:LPERR01G09450 transcript:LPERR01G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFACLFSCPQEEEDDEEPRSGQRVSSVVYSKSSESCPLNTERSIDMVGIRRDRGHGEATIFTLRELADATKNFSKECLLGRGGFGCVYKAHLNDRQVVAVKQLDLNGLQGNQEFLVEVLMLSLLHHPNLVELYGYCVDGDQRLLIYEYMPLGSLEDRLHDLQPNQEPLDWTTRMKIAADAAAGLEYLHDGAIPAVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPEEQDLVAWARPLFKDQRKFPKMADPLLQGRFPKRGLYQALAIAAMCLQEKAKNRPFIREVAAALSYLASQSYERRNTASRSYLAGPSVPRVLDDQLNQDTSLPSQHGAHMSVHGGINHIVQEVKDNYWSTSHRSGRGRVAPNGADRERALAEANVWAETWRRQEKTSRMR >LPERR01G09450.3 pep chromosome:Lperr_V1.4:1:7093042:7098052:1 gene:LPERR01G09450 transcript:LPERR01G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFACLFSCPQEEEDDEEPRSGQRVSSVVYSKSSESCPLNTERSIDMVGIRRDRGHGEATIFTLRELADATKNFSKECLLGRGGFGCVYKAHLNDRQVVAVKQLDLNGLQGNQEFLVEVLMLSLLHHPNLVELYGYCVDGDQRLLIYEYMPLGSLEDRLHDLQPNQEPLDWTTRMKIAADAAAGLEYLHDGAIPAVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPEEQDLVAWARPLFKDQRKFPKMADPLLQGRFPKRGLYQALAIAAMCLQEKAKNRPFIREVAAALSYLASQSYERRNTASRSYLAGPSVPRVLDDQLNQDTSLPSQHGAHMSVHGGINHIVQEVKDNYWSTSHRSGRGRVAPNGADRERALAEANVWAETWRRQEKTSRMRPTPE >LPERR01G09450.4 pep chromosome:Lperr_V1.4:1:7093042:7098328:1 gene:LPERR01G09450 transcript:LPERR01G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFACLFSCPQEEEDDEEPRSGQRVSSVVYSKSSESCPLNTERSIDMVGIRRDRGHGEATIFTLRELADATKNFSKECLLGRGGFGCVYKAHLNDRQVVAVKQLDLNGLQGNQEFLVEVLMLSLLHHPNLVELYGYCVDGDQRLLIYEYMPLGSLEDRLHDLQPNQEPLDWTTRMKIAADAAAGLEYLHDGAIPAVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPEEQDLVAWARPLFKDQRKFPKMADPLLQGRFPKRGLYQALAIAAMCLQEKAKNRPFIREVAAALSYLASQSYERRNTASRSYLAGPSVPRVLDDQLNQDTSLPSQHGAHMSVHGGINHIVQEVKDNYWSTSHRSGRGRVAPNGADRERALAEANVWAETWRRQEKTSRMR >LPERR01G09460.1 pep chromosome:Lperr_V1.4:1:7102151:7108788:-1 gene:LPERR01G09460 transcript:LPERR01G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTDPGDVPQPMRGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYAGRPPFVGNEFTQLVKSIISDPAPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHNFWRSRMSIIPLPPQPAFDNMIELSSTPYLVERNGDKPFRQSTPPKPRDGLRKKDENSAKVFSTPVKNVQSGKKNNTKPSCKADGLKGVNILRMSRIAKKNLQRERDKENYRRHPTEASENETEVKIENNDMELDFGENPEGDAPDDNDGSDNTGSTEDEKPSTQGTDGNGENCMINQMDMLTDEGPVKAEAMIKTEQNCSENLDVVATPPSICMRKAQRAKATSGATTGSEPSDISAAFWHPTDLAVKPVMPSRKGDKAVETVPMLPFEALPAVEYIKLPREQMNALNSQILQSLSGTFQVSEKQNIIKYLEMISINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTVLDAELAGSGIFNALSDGLRDRHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVIGHLCYIYRATGKQENTRLIAGSCLARLARFSSSCARDDFQMTLLRVLEAATEEPSVILNEHRIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLRMISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNIKLCFALASAPDMDTDILSQLQVVRRIGNLLELVTAKDMDDFLEPTLELCRAFISRGIGSDKIMSLSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFVDLVGSSDSQISDLASDCLVLLLKAAPREATVGLLTNLPKLSIVLDLLKHGTSLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSTFKGSHDGRLADAASYLGAELQRLPRCG >LPERR01G09470.1 pep chromosome:Lperr_V1.4:1:7110876:7117293:-1 gene:LPERR01G09470 transcript:LPERR01G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSGGGVEMNTVQNCPLRTIYFESPCAFPEINSFTYETVATYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIYDTVPNALLSDSSTGKSFRPAYMLSDGRLERAGRTKKNSVSSNGTNNTEVEQAISRSASIIVVGDEMLFGTVEDKFGAALCKKLHAIGWRVSHVSVVCNEIDSVAEEVERCKSKDDMVFLFGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEIKCKNVIILAATNVDELETEWGCLLDTQESGLVTAKPFMSKHLSTSLLDVKIAPVVAKLCIEFSDVYIGCHRISRSGPLVVSFIGKDNQRVEAAAHKLSSSFEGQFSQIDDCKEAV >LPERR01G09480.1 pep chromosome:Lperr_V1.4:1:7123962:7126675:1 gene:LPERR01G09480 transcript:LPERR01G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDASDFHGKELTLKLHGDGKLGSLESSSGKFYDLVSFSAQQPDATVFLPSGSEAKAVGKIARRVNLVRYPDPEELEKPSFGNLTPSIKKSAGSSKKTKSRLTGASKNHSSQGSALSLRQQSAEPAQKHKQKRKDESSLGHSNVSGKSAEGSQSRSGDSNTTSEMPQTPVDKSKKKNKKVRIAE >LPERR01G09490.1 pep chromosome:Lperr_V1.4:1:7142272:7144722:1 gene:LPERR01G09490 transcript:LPERR01G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAPLPPELHRLLTLLPRLSSPRHLLQAHAHLLPRGGHRHTRLVSALLLASLRLPIPDHAVPLLRRVHPSVSLRAAARLPRVVGALRLVPQLHSLLLRGGHAADPHTAASLIKAYCSRGGIASARRVFDETAASGADVVSWNVMIDGYVKCGDLARARELFDVMPERNVVSWTMVIGAYAQMRRPEEAIGVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRRLYQEVPLMNAIIDMYVKCGSVQKAVEVFEGMEQKSVVTWTTMIAGFALHGLGLEAVGLFRQMEKENVSPNNVTFLAVLSACCLMEARGLLQDMPFKANAAIWGALLAAARTHGDTELGEQSLLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIEVDGMVHEFTSRDGSHPSLHMICEFLCAINSNIKSAGHIVVLPENLHDVEEV >LPERR01G09500.1 pep chromosome:Lperr_V1.4:1:7144762:7149833:-1 gene:LPERR01G09500 transcript:LPERR01G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLARTMRNLPDTLSSLMGFNKQLTPSWTESVSHIIDGLAPTKPQMTLMVQKAENIDQDNTESDSKVEKIQAELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRTRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFDPFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNRASECNNRFLFTFSMLEIYMGNIRDLLAPRNKNNGLKNAPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRFLIRISLTSLNMTERRKAKNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKSHVPYSPNEGDLCETICTLGFATRVRSIRLESEEPPEVKARKETVIIDLGQKVSNLEHECEDIRRKIKNLEETMEQLTGPPPTISNFDMSHLSSEELKTDVSSNVRSSKNQREVSSSLPRFMKPTASSQHRTGLNYYTPVIKRIKPPVPPRRRPSSVYAESVTVPVNAAPWQSECSMSMTSDMNWTPSIQDGTECSQDASEYEIKQVIFSEHDKSSHDQVTCYTDYQLAESRDMQNKTEVKGIVDIDNWLDQQIVEKTSTYQSKQVLDIPRVTEGEIYVSSIPSPTIMACTKADRQVKDKRIDLALQPSTDYAQDIKQTKIANQFTAQELCTPPFKEFCRNNEVKGHKDKNHVYHGKPRRSLQEKLEECMLEKPNKDSRSHSLQDEKHKIAY >LPERR01G09510.1 pep chromosome:Lperr_V1.4:1:7154656:7155393:-1 gene:LPERR01G09510 transcript:LPERR01G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGIRSFCHGVDSTSTTTQRCRLVGTDAASSTSFLTVPTASSSVAVPEEAAVTLEQMILQLDLEEAAAARNATRQQQPRRASCAGSSDEHSSRVLRSARDALSQYPRFSLDGRDAAMFPAASFSDGMGYEMDMERTLRMPATVAGESVVWCKPGVVAKLMGLDAVPVPVRRRRKAAAEGIIGGGGRKGRTEEEMEKERLFMALHGYDVAGSEYHAGGFHPGVGPYASGMGRIGDDGWDYRVPH >LPERR01G09520.1 pep chromosome:Lperr_V1.4:1:7185086:7185385:-1 gene:LPERR01G09520 transcript:LPERR01G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDLDAISRLSALRFLCLFNQQRFSWTVAGDGLFLNLRTCNINIALTFLQGAMPMLLELVLWLCASEDCVASDVGLENLPLLNSVVVYIYCKGATAR >LPERR01G09530.1 pep chromosome:Lperr_V1.4:1:7185494:7189263:-1 gene:LPERR01G09530 transcript:LPERR01G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGAMGTLLPKLGELLKKEYDLQKSVKEGITFLKAELERMQAALEKVSKDRDVRDLSYNIEDNIDTFMLHVDCLEPTNKHNFTWLIDKCHKSLSRLKTRHKLANDIKHVKIKVKEVMERRDRYKIEDSVAKAPIIVLRDILIELDKHKYMAFDAATLSERHLIDELREYLDNKRVITTTRISQVMEQVGDIYTMEPLSDDNSKKLFYDRIFGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVNKPVVDWSTVYDSIGFGPTNQNEVVQNMRKIVSFSYYAMPSYLKSCMIYLSIYPEDHYIVRIWIAEGIVQKEQGKTLFEVGERYFIELINKSMIQLDGSYDSVDGCRIHDMVLDLIRILATEENFVKILDKVPEVHSSSSQSSTVRRIALHKRGNQDENDSLAVDMEHLRSFDAFDCHIIMMPSPLSFQVLWVLVLEGCDVKGGLHLKHLGKLYLSRYLGLSTTNIFELPREIGDLKHLQTLDVRFSGLTELPMTVGELSKLMYLCVDGNTRIPIEVGNLKVTASAKAVLHRQDYKDMKKALLESVCSLRKIQSLGINCYSAREMYTWEDWDHWEPPQ >LPERR01G09540.1 pep chromosome:Lperr_V1.4:1:7197943:7202360:1 gene:LPERR01G09540 transcript:LPERR01G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVGGGGGGVMAGSVGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSAARSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEIGGMGMSKVIRADQKKFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >LPERR01G09540.2 pep chromosome:Lperr_V1.4:1:7197822:7202360:1 gene:LPERR01G09540 transcript:LPERR01G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVGGGGGGVMAGSVGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSAARSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEIGGMGMSKVIRADQKKFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >LPERR01G09550.1 pep chromosome:Lperr_V1.4:1:7207068:7210418:1 gene:LPERR01G09550 transcript:LPERR01G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMRRSNYHLFVVFFVLLLLTSMAAGRKMLINKHQVQSTGTSDEYSMQQEQEQQEDDETLVMVHKRILRQVKMNDYGTYDTTPTMAKPHAKEIPN >LPERR01G09560.1 pep chromosome:Lperr_V1.4:1:7211940:7228197:1 gene:LPERR01G09560 transcript:LPERR01G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERSQQTQSNVASSEVSTYRVRHSPSWSLRWDNRTHIEDMMENGMLFSNQSIGNIQRELKSGSVAPTEGRSYDDNLSNVFCGVKWQKSDSKMGSSKYLKADSQAVQSTSTNSPNEASSLRSLGTVVVASDIKIPKSLPSTPPKVPNADPSSSRNRSLHVDSNLMRKAHRSPGHQLCRQIVDSKVHSFNSSNESFSAEGKPSSSMPSAYCKDLTAGGSRPSKDPTAGGSKGESPEGWSTHRFSESVASSQREGWSVDSDLFGSANKRTRSNTSHSTSLAPGQEICKLCSKQLKERSTWNAHELAIVAVLFCGHSYHANCLDDITAESEKYDPPCPVCTHGEQYVTKLFGKQDSSKVKNKISKSVIRDADIDLSSKHHHKSLREPRLGPSSSMKDSIRVDQQEGMDSGKSSGENSLVSANTPSALLANPRSVASASRGEVEERSSLARSLRVGVSRAAEGGLARSGPGVSAAAAVELGRASKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEIPTLFSNHSSGSIRPETKSGSIAPDGFSNGGSPSDVFNKVKCYKSDRKRESSKITRSDLRAGRSTTSNSSPEAKLFRKSLDMVSVASDSKMSISVPSTPPAISGADPSSSSRGHYLPTDQDSMRKARRSPGYQLYRQISDSKIPSLRSLNESGSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNPTMHSPEQEVCKLCLKLLKERSTWNAQELAVLAVLLCGHVYHADCLDSITAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSRSISESESARKKGFWARHWKE >LPERR01G09560.2 pep chromosome:Lperr_V1.4:1:7211940:7228197:1 gene:LPERR01G09560 transcript:LPERR01G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERSQQTQSNVASSEVSTYRVRHSPSWSLRWDNRTHIEDMMENGMLFSNQSIGNIQRELKSGSVAPTEGRSYDDNLSNVFCGVKWQKSDSKMGSSKYLKADSQAVQSTSTNSPNEASSLRSLGTVVVASDIKIPKSLPSTPPKVPNADPSSSRNRSLHVDSNLMRKAHRSPGHQLCRQIVDSKVHSFNSSNESFSAEGKPSSSMPSAYCKDLTAGGSRPSKDPTAGGSKGESPEGWSTHRFSESVASSQREGWSVDSDLFGSANKRTRSNTSHSTSLAPGQEICKLCSKQLKERSTWNAHELAIVAVLFCGHSYHANCLDDITAESEKYDPPCPVCTHGEQYVTKLFGKQDSSKVKNKISKSVIRDADIDLSSKHHHKSLREPRLGPSSSMKDSISKPFLRRHFSIDSRPPRSVLRSGSTGRNGFWKKQSVASASRGEVEERSSLARSLRVGVSRAAEGGLARSGPGVSAAAAVELGRASKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEIPTLFSNHSSGSIRPETKSGSIAPDGFSNGGSPSDVFNKVKCYKSDRKRESSKITRSDLRAGRSTTSNSSPEAKLFRKSLDMVSVASDSKMSISVPSTPPAISGADPSSSSRGHYLPTDQDSMRKARRSPGYQLYRQISDSKIPSLRSLNESGSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNPTMHSPEQEVCKLCLKLLKERSTWNAQELAVLAVLLCGHVYHADCLDSITAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSRSISESESARKKGFWARHWKE >LPERR01G09560.3 pep chromosome:Lperr_V1.4:1:7211940:7228197:1 gene:LPERR01G09560 transcript:LPERR01G09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERSQQTQSNVASSEVSTYRVRHSPSWSLRWDNRTHIEDMMENGMLFSNQSIGNIQRELKSGSVAPTEGRSYDDNLSNVFCGVKWQKSDSKMGSSKYLKADSQAVQSTSTNSPNEASSLRSLGTVVVASDIKIPKSLPSTPPKVPNADPSSSRNRSLHVDSNLMRKAHRSPGHQLCRQIVDSKVHSFNSSNESFSAEGKPSSSMPSAYCKDLTAGGSRPSCSMPSAYSKDLTAGGSRPSSSMPSAYSKDPTAGGSKGESPEGWSTHRFSESVASSQREGWSVDSDLFGSANKRTRSNTSHSTSLAPGQEICKLCSKQLKERSTWNAHELAIVAVLFCGHSYHANCLDDITAESEKYDPPCPVCTHGEQYVTKLFGKQDSSKVKNKISKSVIRDADIDLSSKHHHKSLREPRLGPSSSMKDSISKPFLRRHFSIDSRPPRSVLRSGSTGRNGFWKKQSVASASRGEVEERSSLARSLRVGVSRAAEGGLARSGPGVSAAAAVELGRASKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEIPTLFSNHSSGSIRPETKSGSIAPDGFSNGGSPSDVFNKVKCYKSDRKRESSKITRSDLRAGRSTTSNSSPEAKLFRKSLDMVSVASDSKMSISVPSTPPAISGADPSSSSRGHYLPTDQDSMRKARRSPGYQLYRQISDSKIPSLRSLNESGSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNPTMHSPEQEVCKLCLKLLKERSTWNAQELAVLAVLLCGHVYHADCLDSITAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSRSISESESARKKGFWARHWKE >LPERR01G09570.1 pep chromosome:Lperr_V1.4:1:7225799:7228694:-1 gene:LPERR01G09570 transcript:LPERR01G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRDIVLPPGFGFHPKDTELISHYLKKKIHGQQIEYDIISEVDIYKHEPWDLPEKCNVPTQDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKVDKRTIGTKKTLVFHEGRPPTGKRTEWIMHEYYIDESECQACPDMKDAFVLCRVTKRSDWVSGNGNVLEDNSNPHLEPNDASPSVISTEQPGPAAALVVGTELNGEAAPVIVAEPPDGVATSAITADTPSPSDGINLDEWLEELFDPSFNPEQGLASADLSPDEQYVESSNQGALAPKMEQDYPSPNQNVADDDTDYLLTEDFYNILYPGTGDFSKLLEPIDEASAYQTYPGAAGPSNLAKNVENGTQKEELWLVQDNNEHTESNDAVDNGIVRRYRSGKAPETNETPGTFNYIHKARLLKTNKMVTGCSESINQTMKFENNSGRLVEHQKNRSHDVTSTEWSGEGKPSKDLSSNQGLFRGIRNAFTGCSEAGSNLILLAVFAVGVAAVALHVGQRLGFRL >LPERR01G09580.1 pep chromosome:Lperr_V1.4:1:7232682:7233847:1 gene:LPERR01G09580 transcript:LPERR01G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHRDQILPKSLITNFTDDPHRQHPCLRGEVVSLTPPRGTIAACGANASGSASPVPLPPFSEWRQQSTPPECTIASRWDARPFFRRRATLWPGLTTRRRRQYFATSDGAVPKPIVEDDNHDGRWMRRRPVMAAATQRRRRPIVAMSTAAKRRWPRQPFEAPARRWQQEMAVNGWRRGRFSHAAAMRRVPRARRSADGFAT >LPERR01G09580.2 pep chromosome:Lperr_V1.4:1:7232682:7233847:1 gene:LPERR01G09580 transcript:LPERR01G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHRDQILPKRGEVVSLTPPRGTIAACGANASGSASPVPLPPFSEWRQQSTPPECTIASRWDARPFFRRRATLWPGLTTRRRRQYFATSDGAVPKPIVEDDNHDGRWMRRRPVMAAATQRRRRPIVAMSTAAKRRWPRQPFEAPARRWQQEMAVNGWRRGRFSHAAAMRRVPRARRSADGFAT >LPERR01G09590.1 pep chromosome:Lperr_V1.4:1:7241424:7246965:1 gene:LPERR01G09590 transcript:LPERR01G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVHSLKPAAPAAAVSPPQQPSPAHMLVLGTGFVGRYVSQRLLTQGWRVSGTCTSPAKKTELEMLGVDASVFDATRSSLANLRSLQDATHLLISIPPIPGIGDPLLSSHSDLQSTLKDSNLQWLCYLSSTSVYGDCDGAWVDEDHTVNPKSASAKLRYAAEKGWLNLTDHLDLSAFIFRLGGIYGPGRSAVDTIAKRKSLSQRQKLRESKQYTARIHVADIYQAILASMSIRSARRIYNVVDDDPAPRAEVFAFARSLVERRHPSLLKDSAVSGTQDIIVAAEKRVSNARLKEELGTRLLHPTYKSGLESILDSWHTNSTLSTEISDI >LPERR01G09590.2 pep chromosome:Lperr_V1.4:1:7244666:7246965:1 gene:LPERR01G09590 transcript:LPERR01G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVHSLKPAAPAAAVSPPQQPSPAHMLVLGTGFVGRYVSQRLLTQGWSVTFSLLTVAVGRVSGTCTSPAKKTELEMLGVDASVFDATRSSLANLRSLQDATHLLISIPPIPGIGDPLLSSHSDLQSTLKDSNLQWLCYLSSTSVYGDCDGAWVDEDAVDTIAKRKSLSQRQKLRESKQYTARIHVADIYQAILASMSIRSARRIYNVVDDDPAPRAEVFAFARSLVERRHPSLLKDSAVSGTQDIIVAAEKRVSNARLKEELGTRLLHPTYKSGLESILDSWHTNSTLSTEISDI >LPERR01G09600.1 pep chromosome:Lperr_V1.4:1:7256466:7257896:1 gene:LPERR01G09600 transcript:LPERR01G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSDVRVLSRSLVKASDESIQPHVLPVSNLDLLPQTIQVSLFCIYPNPPPTANFRDVVAAFTAGLPSLLNYYFPLTGRIVTDPVSGIPEIHCYNQGAELVVGDAADVALSSLDYATVATSIRKVMFPYAADVALSVQVVSFACGGFTVSWCTNHVVVDGCALSALVSAWAELARSGTWTLPPASWPRHDRSVFRPHAAPSHCASLDAAFTPLDGERQVNVLTTDESFVGRLYYIDASDIARLRDAASRDGDGEQATRVQAVSAYLWKALAAVVGDRDARCRMGWWVDGRRRLTSQPELRNYVGNVVTFTAAEASVEEINRKPLPEVASMVRDAIAAPAPASSYDERFQEIVDWVEEHKGDKKRYIETVSIGLGSPAVNVTAFSSFAVDTDFGFGHAAMALPTSSFSARLCSAFVQIVARPGCDGSWIASAFVWPRLAAALEAGMVFKPVTTEYLGLRPASAVNLADMITSKI >LPERR01G09610.1 pep chromosome:Lperr_V1.4:1:7259763:7260276:-1 gene:LPERR01G09610 transcript:LPERR01G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYYADVALSVQVVSFACGGFTVAWGTNHVVHGARCDRRTGAAVETATVGLGSPALSVSAFSSFAVDTDFGFGHAAMAVPTTASAARLCSGFVQIVARPGGDGSWLASAFLWPQLAAALKPVTAEYLGLCPASASSAKRAGIITSKI >LPERR01G09620.1 pep chromosome:Lperr_V1.4:1:7260294:7260647:-1 gene:LPERR01G09620 transcript:LPERR01G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVNGGEVSVRVLSRSLVKASDESIQLHVLPVSNLNLLPQTIQVSMFCIYPIPPPTANFHDVVAAFTAGLPSLLNYYFPTRRPNLHRPGLRNPRDPLLQPRRGTRRRRCGRRGAL >LPERR01G09630.1 pep chromosome:Lperr_V1.4:1:7265129:7265861:-1 gene:LPERR01G09630 transcript:LPERR01G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVRVGSRRLVKASDESIQPHVLPVSILDLLPQTIQVSIFSIFPNPNPPAAGSFHDVVVAGCMARHWLGSSGGWVQAEGTRGAVPTEGPRGRTVRSGRWWRRIAAAREEDGADRGGCSLAGRWR >LPERR01G09640.1 pep chromosome:Lperr_V1.4:1:7276497:7276859:1 gene:LPERR01G09640 transcript:LPERR01G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMRALEARARACYSEASVSLSSDEFIQLLLLDGCFVLEFFSKCRNKEEAKQTYVPVCWSVNLILADMLLMENQVSFFIVDKFYNIVTGIQGSSKFLLNIIVDAYSRPGDPIRQPSAD >LPERR01G09650.1 pep chromosome:Lperr_V1.4:1:7276923:7277267:1 gene:LPERR01G09650 transcript:LPERR01G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSLEATVVMMTNTIPRAIELSEDGVTFIWRSAVEDRYVLPSVGIDNILRSLVANLIAFEQTQGELTEPRLLIGYMALMSQLVAQHTTSSYSVDMASYRAC >LPERR01G09660.1 pep chromosome:Lperr_V1.4:1:7290714:7293802:1 gene:LPERR01G09660 transcript:LPERR01G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEESSAAASPTAAMVAGWVVSGVAFWWTAFLLVRGLSGPKRSYDFCNRAVSTAHAVAAVALACLSVADWSSPIQPLASASSPPQMKALAVTLSYMVYDAACCYLNHDVRVDNTVHHLVSIVGIGAGLAYRRCGTEMVASLFITEISSPLLHLREILKEFGVKDTDLNLLVDILFALIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRYKLGKKRPAPKVAGD >LPERR01G09670.1 pep chromosome:Lperr_V1.4:1:7291376:7297471:-1 gene:LPERR01G09670 transcript:LPERR01G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAASSSGGAGGRGPAHHRTRLLLLLLLAVAACASTAGFLLRGATLDPCDDARGGSSAVAAARAGTAAGNPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSQGVQVLPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPETYVVHLGNSKELMEVADDNVARRVLREHIRESLGIRSEDLVFAIINSVSRGKGQDLFLQAFYQSVQLIQQKKLKVPIMHAVVVGSDINAQTKFETQLREFVMKNGIQDQVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVATLAENMVRLATHTEQRVSMGKKGYDRVKERFMEHHMADRIAAVLKEQATNPSITQPTN >LPERR01G09670.2 pep chromosome:Lperr_V1.4:1:7292742:7297471:-1 gene:LPERR01G09670 transcript:LPERR01G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAASSSGGAGGRGPAHHRTRLLLLLLLAVAACASTAGFLLRGATLDPCDDARGGSSAVAAARAGTAAGNPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSQGVQVLPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPETYVVHLGNSKELMEVADDNVARRVLREHIRESLGIRSEDLVFAIINSVSRGKGQDLFLQAFYQSVQLIQQKKLKVPIMHAVVVGSDINAQTKFETQLREFVMKNGIQDQVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVATLAENMVRLATHTEQRVSMGKKGYDRVKERFMEHHMADRIAAVLKEVLQKSREHSHS >LPERR01G09680.1 pep chromosome:Lperr_V1.4:1:7299074:7306601:1 gene:LPERR01G09680 transcript:LPERR01G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYFIIHFNQIICSSVIYLFHFSLVFPLAGGGHWTGSGCGGRKRILATAAARAPSALAAGGRPATHPRNAVGNVVRNRIGMLAGFKTLVSCPMSGIRSLIIVLLVIICMQWPAKAIVAVPNSNCFTFNNDSRLVDFTDLIGQYFEYNEKDSVPSDLVVQFCKDVQRRSQAGYVDFGRFINSHSFLTGSQPIDFIQTFRNGDLAHCETTFEKMGRTAQVNIICGQCPNNACQGKEGCICNVSYDELMCRATVELAMPCPKSGPRVFKGFSVGFHPRSSELVYNGLTRLGFEQFHHEFSFQSDQSHVSLYLSAVYSLSGLVGKPSFKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEITRSSPYEVNISIPIEGYDPVEFTLTKRCGHTQEKERNPLRGWATFGIISCIFIILFTLLCCGGFIYKTRVEHQSGLYALPGMTILSAFLDAVGGPSYTRADDHGRDHANQASWERIPGSTSQATHATKDSRYGSI >LPERR01G09710.1 pep chromosome:Lperr_V1.4:1:7326302:7332551:1 gene:LPERR01G09710 transcript:LPERR01G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQSTAMVAAPPAAAALFVAGDAPPHSAEQHVEKTALVVTQEEAAMHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGLSHLHDGEPGIAKKPRLPASNGELEEKTLSEILKNLETENPSMKIFTYRRLDWSKRAASLASLMNDDFVDPSKELNLQNMSKLGSGHDTTAIDQVAIIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGVSVHHVFKHVTEHADKALQYFVSVEPGKALSLLLRWIASYQTLFTKVCSKCRRLLLMDKSLALLLPPVQRPYHQTSIVGSDLLDAYHIGCSSYDV >LPERR01G09720.1 pep chromosome:Lperr_V1.4:1:7330853:7333805:-1 gene:LPERR01G09720 transcript:LPERR01G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDKDPGIKLFGRVIPRALEPEAEAAVVDGSDQPPAPAEIEEVEDEDQHKGKEDSNNNEMKVDVPQERENNEMKVDAPQEKKGDEMTDDVPQEKENDEMTVDASQSTDTESTEPVSTSTLDQTKEEQSQVNNAEGKAASDSKEENEKTENDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRMDISKSVHPEAFASAPSTPIQPIGRNETVLKFGPEVPLCESMASVLNIKEQNVTNAGAVPTGENRDDNSCASSITLCNLLPENAVHVDKNGAPVYCNGVGPVPQYYLGAPFMYPWNIGWNNVPMMMPGKSMSESASPPESCSTSSAPWMNSPMMPASRLSAPAFPYPLVPPALWGCLSSWPATTWNIPWVRTDGCISPSSSSNSSCSGNGSPLGKHSRDSVPLKEEKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPAIFKPFQFKGESKDQTSDSRPARALQANPAALSRSQSFQETS >LPERR01G09730.1 pep chromosome:Lperr_V1.4:1:7344017:7345239:1 gene:LPERR01G09730 transcript:LPERR01G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVQQQQRRRRGVHLLLLLLAALLLSLVCLLARVDAATTVSAANLESKEGAAATALVGQEAAVGEEGDQGERPAERVEMETINDYVPFGANNHHNPRQWP >LPERR01G09740.1 pep chromosome:Lperr_V1.4:1:7345539:7349682:1 gene:LPERR01G09740 transcript:LPERR01G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAEEASPATPPEIPTRCHHCAGPLSKDMETSSWTVPALVRDSFSMIGSAIGGTAGAFYGFNHSTSSYCLLVCMRWVSGWDNTSACTAGFIILSLSHSVILLCPHNFS >LPERR01G09750.1 pep chromosome:Lperr_V1.4:1:7351231:7352724:1 gene:LPERR01G09750 transcript:LPERR01G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRAAPRPVYLAAGDDDVPARRQLPLQPRAGASARRSGAVAELDIFSAERYFNADDVIKKKHDDDALAVVVAATPAPPPEMVDAASQSGRTVASSSEASWNSRSGLLSSHVTTVKTGGSANGVAVAAGGGKKSGQRWGIFSRDCPCAGRKAVTVDVASEPRSPATPRTTHSRFDNSHVIADGAIFKAKSPLPAACEMKISPGNSSAFPPLATKIFAAPPGIGRRVVVSSGGGGFTFPVSAVVDEPPRESLEVFRPIDEESVLADPPAEHLAGGLAVAGGGGGFGRGAAAVEEEAMSDASSDLFDLESFAAASSYPTTSYRGGGRGSRRNSREYYNDDLPYGAAAAAAVEPALSECMYAPSEVSVVWSVATAEGGGGAFDAASAANFSSAASACCVEEFTFAAPESGAAAAGHDGFTAAMSRSAGRKKGGGGFLNSCRCEKAVSVGPTPVRVVRPATEVMNGGKGGGGGGGVHAMGLAGGGAARFHAGRVHMPVRT >LPERR01G09760.1 pep chromosome:Lperr_V1.4:1:7357880:7359954:-1 gene:LPERR01G09760 transcript:LPERR01G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGAATEKTLTLEEQQQKINELRKELGEHSSAEIQCFLSDASCSRFLRARNWNVQKASKMMKSAVKWRTSYKPEKINWDDIAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKPNISYCWQIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGNNPATFEYNSYAERMRADDIKMGSSCNSNDITLPQGHPHVSADKEANNGDSDASSEASFYSGTESPKHEEGGSEPKNG >LPERR01G09770.1 pep chromosome:Lperr_V1.4:1:7363206:7368923:1 gene:LPERR01G09770 transcript:LPERR01G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAPPSSDAAWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGQRKPADFLRDLPPVPDIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQVIRIENLELMLKYGVEVWKLQNKQMESVLSRMQKMAVEYNEKIEAVNRERKFHQQNTGGQLHALTTEWQELCQKNIAIQAACVDLQNQIDQLELGAKELGLPIGDSTGSNKRASSAV >LPERR01G09770.2 pep chromosome:Lperr_V1.4:1:7363206:7368923:1 gene:LPERR01G09770 transcript:LPERR01G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAPPSSDAAWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGQRKPADFLRDLPPVPDIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQVIRIENLELMLKYGVEVWKLQNKQMESVLSRMQKMAVEYNEKIEAVNRERKFHQQNTGGQLHALTTEWQELCQKNIAIQAAWDCPLVTALDLTSEHHRQFEYRYRDSNGVETIP >LPERR01G09780.1 pep chromosome:Lperr_V1.4:1:7369588:7370944:1 gene:LPERR01G09780 transcript:LPERR01G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVACHYPARLAVTCSSSSSSKLAAPPPLPSRPLRVAALASAGAQAFLIERNPSGAKPCGGAIPLCMLDEFSIPTHLVDRRVTRMRVLSPSNLAADFSRSLPPGTHIPMLRREVLDSFLRSRAADAGATLVSGLVTSLSIPSLPSSDPYLVHYIDSSSSTRTVLEVDAVVGADGANSRVAREVSAGDYSTAIAFQERIRLPDEKMSYYDDLAEMYVGGDVSPDFYGWVFPKCEHVAVGTGTVAAKPHIKILQSGIRSRAGAKIAGGKVIKVEAHPIPEHPRLRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRVCGEAMAEEWGLTGAVTEAGLRRGYLRRWDDEFRLTFRFLDLLQRVFYGGNAGREALVEMCGDEHVQRRTFESYLHKRMAPPEPWADLRLLWRTAGAMVRCGVLGREVDRLRRLEQQQAQVMLPH >LPERR01G09790.1 pep chromosome:Lperr_V1.4:1:7371468:7374588:-1 gene:LPERR01G09790 transcript:LPERR01G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTTTSARDLHLLPVRSVWVVLHSCGKMGLTFTKLFSRLFSKQEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >LPERR01G09790.2 pep chromosome:Lperr_V1.4:1:7371468:7374588:-1 gene:LPERR01G09790 transcript:LPERR01G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTTTSARDLHLLPDMVQLTDNVVLHSCGKMGLTFTKLFSRLFSKQEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >LPERR01G09790.3 pep chromosome:Lperr_V1.4:1:7371466:7373662:-1 gene:LPERR01G09790 transcript:LPERR01G09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKQEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >LPERR01G09800.1 pep chromosome:Lperr_V1.4:1:7376482:7380299:-1 gene:LPERR01G09800 transcript:LPERR01G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWRVEACDSWRPPPPPSPSPPHAQAQLVLRAGGRGRGRGRGGVAPMFAALTVREGAEEVGKGKQLQLPPVAELVRHPLAMLALVPNSVALFGAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKIFRRKDGELSVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVESGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTIFDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLLSTGQKELEKLMQENQEKMS >LPERR01G09810.1 pep chromosome:Lperr_V1.4:1:7382686:7384675:-1 gene:LPERR01G09810 transcript:LPERR01G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSADAISVTCVGMPHPRSTQPLQICMSCGRRRGLHMDAEAGAAVDSGDGRSAARVEGVLRRFLAVQQRRAEAYSTLRRGFSEYMANGGELAFQQLCGNVTAEFNDCSKQVLEMIALLSMPENSRDDLANLLKDVQAHEREKLHLTARIQVLKKAGRPSERLVNHADCRSGKMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYELDALGAETVGNNLTEVEEAFPDTLLIK >LPERR01G09820.1 pep chromosome:Lperr_V1.4:1:7399030:7403281:-1 gene:LPERR01G09820 transcript:LPERR01G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLDSKSPAAARSAAAKAAAAASAAAVAAAASAASFQPEGLAVVLGHPQPTSAATGSAVGEKKKVVMIPQEAAEEEVEEEEEVEEVEEEVEVDEEEEEEVEEEIEAAEAEDDAERDADSIQALLSSFPKDQLVELLRDAALGHEDVLAAVHRAADDDPAQRKIFVHGLGWDATTETLSEAFGTYGQIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGAVSNPSPTPVAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFAKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGGLGGVYGAGTSGGRKGAVGYGAHSMPGGAVGGHVMPSPVSSLTSLPGGVAGAPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNTGVSAPLGGSGLPGVPGGYLGGYGSGGGYGGTPPGGPGRNYMGH >LPERR01G09830.1 pep chromosome:Lperr_V1.4:1:7406688:7410577:-1 gene:LPERR01G09830 transcript:LPERR01G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRGCHRRLSCLRSFPLLPTTTTTSTSTSMAAAPHSSQPGKTLRANPPPPSSAGSAPKRSRTMATDAAATATAHLASAGSSVMKAEFAKHAEYLNTLNDKRERLVKASRDVTMNSKKVIFQVHRISKSNKDEVLSKAENDLAVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLVLSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDENSEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDYDQ >LPERR01G09840.1 pep chromosome:Lperr_V1.4:1:7414655:7423618:1 gene:LPERR01G09840 transcript:LPERR01G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNVGGGAAAAGEAADPAGGGVGGGVGGGASPWRKTTPPPAAGEAAVMGAKSWPALEEARQKASAEATARPGAGNAGGGEQAKGAQVQQQSPPPLQSQASNRTHKFDGHGNPNKNNQAYHKNGPKRRSPAANGAPSYPAPMPYHQHPGQPLYYPVIPSQMILHEYPYSPFAVPAPSHDPHAGKPGYENSMPSFVPVDQAGGNEGNRPVPPQPRGDHAWRPPIGTHGARPHSGLEGRGHFNHNWQNPQMFGTRESTNLPQGVGPRAFLRPMAHLPHTLGYMNGPPYPGGPMPPMYYYMPVPVEPMRGPPRFVQNQPPPHPGLSPEAAELRAKILNQVEYYFSDTNLDHDDFLKSLMDEHGWVPISKVADFNRLKKMTTDIHLIVEALANSNLLEVQDGNIRRRSDWSKWVSLSGTSLPSPSSTSTTTGECITGGFSNKDAYAEDQKKHPRPEDIGNVADEPSQDTLSSSFTSLNKGLSTISIDDKPKSSSACSVNSQNHEAAFRTGDVKVQKVNTKIKGPDSQSGRGFSNDLPSDSPSFCGDQSTFLLDEELELEHAELSRDDIYSHKRVDDEEDDFFVDDQDVNRLIIVTQDDRSRSFSKEEASRINEGLYFYEDHAYNQRRSQAGTVDVDSKLAGGSKGNPINTGTNGIEESGQPIPRRRQNRGNRKAQSAHKQRFFPGNFTNNANNRSHYGGVSESPPSNSIGYFYGSTPENHSYRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCITERKKLGIGCSEEMNSLYRFWSYYLRDNFNEDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRSVYEDFEQLTLEFYHKGDLYGLEKYWAFHHFRNQDSSPISKHPELERLLREEFRTIEDFKARRAAEKETGSGSSNAAAASSHKADAK >LPERR01G09850.1 pep chromosome:Lperr_V1.4:1:7426418:7427029:1 gene:LPERR01G09850 transcript:LPERR01G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGVVVSLLFAAFSLPCLLLLLVVAEAGIRLASLAVRGGRLSWPSRSDFLRYRIARSGIGEAGGVTAAGEEDSTPPGEEWRERLAVAVYRREAAAAAVDCVFCLSRVDDGEEVRELRCRHVFHRACLDAWLALPRATCPLCRDCLVPPPSPSPPTPTMPPRTFPLDYYHDDDDIHFGFNDFSISGGSGGGGYHHGLAFWPM >LPERR01G09860.1 pep chromosome:Lperr_V1.4:1:7441220:7441471:1 gene:LPERR01G09860 transcript:LPERR01G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLAGDSTSVMAAEWYMGGHSLREFRPVAASPAVGERPAGEARNSEVVEEKKAKEESFEDLADVSRIAVDVMWP >LPERR01G09870.1 pep chromosome:Lperr_V1.4:1:7444031:7444279:1 gene:LPERR01G09870 transcript:LPERR01G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVQLLSELIGGESTSAMAAEWYMGGHSLREFRPSPAVGEQPADEARKSGVVEVEEKKAKEESFEDFAAVSRISVDVMWP >LPERR01G09880.1 pep chromosome:Lperr_V1.4:1:7447326:7447574:1 gene:LPERR01G09880 transcript:LPERR01G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVMLLSELLGGESTSVMAAEWYMGGHSLREFRPAAAVAMGERPAGEARRSEAVVEKKAKEETFDDLAAVSRIAVDVMWP >LPERR01G09890.1 pep chromosome:Lperr_V1.4:1:7447929:7449988:-1 gene:LPERR01G09890 transcript:LPERR01G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTIQYAVVDAFAAEPFKGNPAAVCLLDDADADADDRWLQSVAAEFNLSETAYLIRYSSSAAAARFRLRWFTPVAEVDLCGHATLASAHFLFTTVLEKKDSMVEFVTRSGVLTARKVPSPVSNNGVSGEKKLFVELDFPMVDLAEYSSAETATMADTLNGAGVISVWKSTAAGGDIIVELSSGQEVADIIPNLDEIRKCDGRGVIVTGPAPAGSDYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENKRVRIQGEAVTVMTGTLLA >LPERR01G09900.1 pep chromosome:Lperr_V1.4:1:7450948:7453791:-1 gene:LPERR01G09900 transcript:LPERR01G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVRRAARGGASSAPAAAALWAAASRALATAVGSDIVSAAPGVSLQKARSWDEGVDTKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVAVNDPYVLNGWAEKLQAKDTIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDDGKIKAFNVEKAPSEFKVSGAEVILDQI >LPERR01G09910.1 pep chromosome:Lperr_V1.4:1:7454045:7460759:-1 gene:LPERR01G09910 transcript:LPERR01G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDFSMCLDTLMRLVSHGHNWCSIVNVGVGGAIAMFRRPSATTAEGKAGSGAQMGIFRGASPSCPSAGNCAKWAQAYLKYCLCSKRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDLFNLTGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSYIYPRVKAKKARATSKPPRHQRADASLREKLLGPKVGEIRNNNHLCTTVPVSSSPITVNTEVVRHRHGLSSLGTDYYYTSARSLSSSPVPMAGTWSADHPANSPPEIDDPKESFVSEFSPAQYAPSPLIKNSLSVVPWMALLLGMSVLHFLLGTTAHQEVPNGIVIPVGRRLLLLADDHADSSLRHGSGSGSGIGSFLGWAMAVIYMGGRLPQILLNMKRGNAEANHFVAPTQPLTSIMYTSEKLGMVKTKAKSTVACGCWRMT >LPERR01G09910.2 pep chromosome:Lperr_V1.4:1:7454045:7459804:-1 gene:LPERR01G09910 transcript:LPERR01G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRGASPSCPSAGNCAKWAQAYLKYCLCSKRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDLFNLTGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSYIYPRVKAKKARATSKPPRHQRADASLREKLLGPKVGEIRNNNHLCTTVPVSSSPITVNTEVVRHRHGLSSLGTDYYYTSARSLSSSPVPMAGTWSADHPANSPPEIDDPKESFVSEFSPAQYAPSPLIKNSLSVVPWMALLLGMSVLHFLLGTTAHQEVPNGIVIPVGRRLLLLADDHADSSLRHGSGSGSGIGSFLGWAMAVIYMGGRLPQILLNMKRGNAEANHFVAPTQPLTSIMYTSEKLGMVKTKAKSTVACGCWRMT >LPERR01G09910.3 pep chromosome:Lperr_V1.4:1:7454045:7460759:-1 gene:LPERR01G09910 transcript:LPERR01G09910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDFSMCLDTLMRLVSHGHNWCSIVNVGVGGAIAMFRRPSATTAEGKAGSGAQMGIFRGASPSCPSAGNCAKWAQAYLKYCLCSKRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDLFNLTGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSYIYPRVKAKKARATSKPPRHQRADASLREKLLGPKVGEIRNNNHLCTTVPVSSSPITVNTEVVRHRHGLSSLGTDYYYTSARSLSSSPVPMAGTWSADHPANSPPEIDDPKESFVSEFSPAQYAPSPLIKNSLSVVPWMALLLGMSVLHFLLGTTAHQEVPNGIVIPVGRRLLLLADDHADSSLRHGSGSGSGIGSFLGWAMAVIYMGGRLPQILLNYTSEKLGMVKTKAKSTVACGCWRMT >LPERR01G09910.4 pep chromosome:Lperr_V1.4:1:7454045:7459804:-1 gene:LPERR01G09910 transcript:LPERR01G09910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRGASPSCPSAGNCAKWAQAYLKYCLCSKRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDLFNLTGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSYIYPRVKAKKARATSKPPRHQRADASLREKLLGPKVGEIRNNNHLCTTVPVSSSPITVNTEVVRHRHGLSSLGTDYYYTSARSLSSSPVPMAGTWSADHPANSPPEIDDPKESFVSEFSPAQYAPSPLIKNSLSVVPWMALLLGMSVLHFLLGTTAHQEVPNGIVIPVGRRLLLLADDHADSSLRHGSGSGSGIGSFLGWAMAVIYMGGRLPQILLNYTSEKLGMVKTKAKSTVACGCWRMT >LPERR01G09920.1 pep chromosome:Lperr_V1.4:1:7468160:7476873:1 gene:LPERR01G09920 transcript:LPERR01G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICTHHLLGPQKSDRSSPNGQPTRSRAVEERNLRRPRPPHHQSRPSLLFIATEAEHTNRSLLSLSPPPPVSISPLPAGESNPPSDAMERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >LPERR01G09930.1 pep chromosome:Lperr_V1.4:1:7477030:7478223:1 gene:LPERR01G09930 transcript:LPERR01G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPDGEPLSVHGKVSCLPLAREVGRRVLTASAAGDNFVVSPLSFHAALALVAAGTRGETRRELLAFLGSPPLAELSRAATTRLASRLIHLPQTSFACGVWVQRGRDLTPEFADAAASRYGAVAEHADFAAGADQARLRINSFVSRATRGLITDILPPDAVGPDTVVVLANAVHFNGNWSLPFHPPATFHAPFHLLDGAAVTAPFMTTPIHFQLDRHVATFPGFTALKLPYENDGEHQAKFYMLILLPDRNGALELADLYDMAVTTPEFIRNHTPVAKVPVRRLIVPKFKFSFKFEASPDMRKLGVTRAFAGGDFSGIVTGGEGLSISGVYHQATIEVDELGTVAAAATAVVIQQQGSARPPVDFVADRPFLFAIVEEITGAVLFLGHVVNPLAG >LPERR01G09940.1 pep chromosome:Lperr_V1.4:1:7479889:7482803:1 gene:LPERR01G09940 transcript:LPERR01G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAAASPLTSLDPSPNAASRPASASASAAAALRKRPVLLLDQRPHPSTPNPPPLDSSSGPNYLSAAAAAAAGVAQPPRRKKGGTSSARPRWQTALSVAAKNAVLLAVLLYVGDLAWRWSHPAPPPPVDHAALAGYDARVADVEASLARAFRMLQVQLEAVDRKIDGEVGAARGDLAALLEEKRLELEGQLKRLDARTDELNDAFIGLKGMEFVRKDEFEKFWNEVKESLGSGSGAEVDLDQVRALAREITMREIEKHGADGIGRADYAVASAGGKVVGHSEAYDAGKRGSIISAILGGDNASPQKMLQPSFGEPGQCFPLQGSSGFVEIKLRNGIIPDAITLEHVSKDVAYDMSTAPKDCRVSGWYEETHNEAYSGHVASAKRTALTEFMYDLDKKNVQTFDITAPDVGIVNMVRLDFTSNHGSSALTCIYRIRVHGHEPLSPGVSISQS >LPERR01G09950.1 pep chromosome:Lperr_V1.4:1:7484444:7487417:1 gene:LPERR01G09950 transcript:LPERR01G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGSYVPPQYIPLNGLDTEEDRVSAEENDAARHKLSRDPTQWSSGICACFDDPQSCCIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSIAPTITPPPTQTMDEP >LPERR01G09960.1 pep chromosome:Lperr_V1.4:1:7492014:7493171:1 gene:LPERR01G09960 transcript:LPERR01G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAAGVGGRERARWPGAGSDAKSGRGGQEQAPTPAPGAESSGIFVFDLEKEVMEKVTHHCFLDLFSDMQNRTYMAYEMDLVEFFLRHLGGLCSPGVFSQAFETKVLWPTDGLPSPTIKTEK >LPERR01G09960.2 pep chromosome:Lperr_V1.4:1:7490486:7492010:1 gene:LPERR01G09960 transcript:LPERR01G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIAAFPDDVLFEVLTRVGNIRDVLMLAVTCRRWLRRFTDPAFLRGLCPNPKGFFFQPTSSSARHAEPLASRRGIVLMQSRALDQPRPRPLQPYHRPTPRPTASAVLHPFPQQQPRQHRLRRGQLRHHHHRKTIFVLLLAASSSSPCNPTARCTSTRTPPPTMCTDLRLHVVGDAAVVHNGAAHWLCIDHELAKKLHCDDDYYLLYKLSAEVGTARVTLTKLHDVKAGGSPCLCVGRDGRLSDWSRRGSLCVDGDHQAAVGAGDDLEAWSGPRGATAAARGVERRRLGLCGATMACAG >LPERR01G09970.1 pep chromosome:Lperr_V1.4:1:7504441:7506580:1 gene:LPERR01G09970 transcript:LPERR01G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSYEEVEAATGGFAAENLVGKGSHGSVYKARLKGGAVVAVKRASHAQGEAKLANEIAVLAAAAGEKNDGVVRLVGVVAAEKGKGERMLVMEYMANGSLHDLLHGTPPPWRRRVEIALDVAEAVRGLHDGEPRVIHRDVKSANVLLARDGRARLADFSLAVTVVSDGGDGEEESPAPAGTIGYLDPSYTEAGRLGPESDVFSLGVVLLELVSGRKVMDVAASPSSIVAWALPLLAAGNARKVFDGRIPAPRRGAAAERARGKDRCQLFMVRTEDSNLKDKMHLLK >LPERR01G09980.1 pep chromosome:Lperr_V1.4:1:7507818:7510377:-1 gene:LPERR01G09980 transcript:LPERR01G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >LPERR01G09990.1 pep chromosome:Lperr_V1.4:1:7512328:7515451:-1 gene:LPERR01G09990 transcript:LPERR01G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDSVVVEECVSGPTAEENSGGCPSLFTNWPLMSAITDVAYAEVFSLWAVSNRNYGGLGFSSQDVGSVLAFSGFLLLILTIPLLSSYPFMAGLSGFVLQLVVNCASFLKNAITVTTITVFNILMNDAVAQDLRGSANGLAVTMMSIFKSIAPAVAGAIFSWAQRRRKASFLPGDHLVFFFLNIFTVIGLVSTFRPFYARSSTKQ >LPERR01G10000.1 pep chromosome:Lperr_V1.4:1:7520523:7521167:-1 gene:LPERR01G10000 transcript:LPERR01G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDQFLYQNTLDLLQRVWQREGTNLSAFYVAESIMSRGQKTYSALSDLEYRRDRVAEEDKHKAIQEALAGFLNDDVLDPKEKHYYDGRLEPASVDYNIDLDDPNFD >LPERR01G10010.1 pep chromosome:Lperr_V1.4:1:7550885:7553856:-1 gene:LPERR01G10010 transcript:LPERR01G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAAAFRRLPERVLLDKVARISRCCSSNNATTAAAETSDGRPIEVSFFPADPPALTRCVVQCPGLNPTASGHHPLIVAADGAFVLVRVTYPDPLYRPRDVSDLFIYRSGPGVPSLELVHPPSVNGCNLSRFTCVLSCDGGEHCLVVDPRWETYHLRVFSTQTKSWTTKVARPPGGLALDFCPSEVFSVGGGAMACVDLRYGILVFDSVAGEEQPQTRLIQLPPLMPINYRYNFSVGYSDCLPTLETIRHVICRDGWFRFIEIGYPELHDEQSDFRWEATMFKRLVRSDHECQCQWEPCGTAYSDELSPDIMFCPASLPDVLYSKDNNKLTLNNAVTYFPTMDFCGNDIVYMIATMKAFSPSGWVLSVNITNKKLEKISPFSMEGLSDFPVYRQSEFPKHLSKAPDTHLTKVLDGCTNRRKIQLVENNLLAALEQLQNIEMHMKSLSRRYNWSMPLLFSDSASSLNQKIQADAGNGLNDGSGSLAFSESLRLMGDLKLQIFAPIDVIKSKIQAAHGALYSLMGTLPSDALDKYFVDL >LPERR01G10020.1 pep chromosome:Lperr_V1.4:1:7568913:7580860:1 gene:LPERR01G10020 transcript:LPERR01G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHEDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLLHAGGKFGGSKSGYSVAGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDELSSRQGTRIRFWPDKHIFTTTIDFDFNTISGRIRELAFLNPELTITLTKEEGDSQVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKEMDGIIVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNLAKKSKTVKDKDISLSGEHVREGMTCIVSVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDLILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQVHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPGRRLLKQLKVEDAAEANVVFSSLMGARVDYRKELIQNASSMINIEHLDI >LPERR01G10020.2 pep chromosome:Lperr_V1.4:1:7568913:7580971:1 gene:LPERR01G10020 transcript:LPERR01G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHEDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLLHAGGKFGGSKSGYSVAGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDELSSRQGTRIRFWPDKHIFTTTIDFDFNTISGRIRELAFLNPELTITLTKEEGDSQVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKEMDGIIVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNLAKKSKTVKDKDISLSGEHVREGMTCIVSVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDLILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQVHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPGRRLLKQLKVEDAAEANVVFSSLMGARVDYRKELIQNASSMINIEHLDI >LPERR01G10030.1 pep chromosome:Lperr_V1.4:1:7585050:7585619:-1 gene:LPERR01G10030 transcript:LPERR01G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVVRAAEYMDRFGSLLKMGNAKVHTAKRAAQRLDKCLDARHTPESIAAAIIYITAQRSGTETSVRDVSMVTMSFV >LPERR01G10040.1 pep chromosome:Lperr_V1.4:1:7585670:7585885:-1 gene:LPERR01G10040 transcript:LPERR01G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLHLMATTTEHAKELFKKLKKAKICRGCNHDAMYAACRKERNPRTYTEIGNVMPDAHNTKKRKKTRRS >LPERR01G10050.1 pep chromosome:Lperr_V1.4:1:7585948:7587054:-1 gene:LPERR01G10050 transcript:LPERR01G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHGSAIRALVGKCVRRHASVIADTWRGHGRGLREVGRAEIACCGSGVGGHRSSAKKLHHHHVKLVISPSPPPQQQYECWSGRRGALPQPHPHPVQITLPRAQAPVQEGALQPDAAPSSGWRHFSRLHAYLAGGPPPGIRPSRR >LPERR01G10060.1 pep chromosome:Lperr_V1.4:1:7596257:7602922:1 gene:LPERR01G10060 transcript:LPERR01G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPKTTKKDHARLNNPFPRAVPRASVRHRDAAPPLSFPPSSKLAHEFPVGAHFRLRWDPSLGGALSLSSSGDRSHAAMWETVPGVAFVSAARALRDGAARLVPDRQRVDRIRALYRRDADFEATVFPVVVITGAVSAMKPGRSSPSCLCGRRRQRRGGAGRTVLTARYWVVLEEKSDTQVAFTVKLGDYQWSCDHHEDDAHPPKPPPPPSQSPPPQSPSLRIHRAGGSLRLRLAARGQSRKKKKFPSAGVPVMEELAPLVPPPPVAAEEEFNRVFVTFASTSDERFYGFGEQFSRMEFKGRRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVSGRILHGESPTELLTSYTESTGRPPVLPRWITSGAVVGMQGGTDAVRRVWKQLQDYDVPVSAFWLQLWWNWEVDDDHYPGWNDLVRDLRRRGVRTMTYCNPCLVPLDEQINGKCVQMGQKGNTKRHLFEEAKELGILVRDAGGEPYMMPNTAFDVAMLDFTNPAARAWFKSEILSPMASGDGGVSGWMADFGEGLPLDARLHSGEHPVSAHNRYPELWAQVNREFADEWKNSSADDVTGGEEEDGLVFFVRAGFRESSRWAMLMWEGDQMVSWQENDGIKSSVVGLLSGGVSGFPLNHGDAGGYCTVDLPLIRYRRTEELLLRWLELSAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKIYKAWEFYRIQLVQEAAEKGLPVARHLFLHYPEDQHVQKMTYQQFLVGKEMLVVPVLDKGRSTVTAYFPVSDGGSWKHVWTGDEFDSRRSRGGAREGMLHGFEAEVEARIGFPAVFVRVGSTVGERFVTNLRDLKVL >LPERR01G10060.2 pep chromosome:Lperr_V1.4:1:7596257:7602922:1 gene:LPERR01G10060 transcript:LPERR01G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPKTTKKDHARLNNPFPRAVPRASVRHRDAAPPLSFPPSSKLAHEFPVGAHFRLRWDPSLGGALSLSSSGDRSHAAMWETVPGVAFVSAARALRDGAARLVPDRQRVDRIRALYRRDADFEATVFPVVVITGAVSAMKPGRSSPSCLCGRRRQRRGGAGRTVLTARYWVVLEEKSDTQVAFTVKLGDYQWSCDHHEDDAHPPKPPPPPSQSPPPQSPSLRIHRAGGSLRLRLAARGQSRKKKKFPSAGVPVMEELAPLVPPPPVAAEEEFNRVFVTFASTSDERFYGFGEQFSRMEFKGRRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVSGRILHGESPTELLTSYTESTGRPPVLPRWITSGAVVGMQGGTDAVRRVWKQLQDYDVPVSAFWLQLWWNWEVDDDHYPGWNDLVRDLRRRGVRTMTYCNPCLVPMGQKGNTKRHLFEEAKELGILVRDAGGEPYMMPNTAFDVAMLDFTNPAARAWFKSEILSPMASGDGGVSGWMADFGEGLPLDARLHSGEHPVSAHNRYPELWAQVNREFADEWKNSSADDVTGGEEEDGLVFFVRAGFRESSRWAMLMWEGDQMVSWQENDGIKSSVVGLLSGGVSGFPLNHGDAGGYCTVDLPLIRYRRTEELLLRWLELSAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKIYKAWEFYRIQLVQEAAEKGLPVARHLFLHYPEDQHVQKMTYQQFLVGKEMLVVPVLDKGRSTVTAYFPVSDGGSWKHVWTGDEFDSRRSRGGAREGMLHGFEAEVEARIGFPAVFVRVGSTVGERFVTNLRDLKVL >LPERR01G10070.1 pep chromosome:Lperr_V1.4:1:7603731:7604636:-1 gene:LPERR01G10070 transcript:LPERR01G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRRPADTTTTTTTASFLGAASTPSPRPAPATPLPDVPPFLLNQHSTPQPPPLPPPTKQSSSKHHHRSRPARFVRSVRAAFRSFPILPAPSCRGLPSLPIPHLPGHHHGHGGGGGGRLRNHFHGATRTTGTLYGHRRSRITIAFHDSPGAPPALLLEIAVPTAKFIQDVSAAGMVRVTLECDKQQQHHPHHPPPAAERRLLDEPVWAAEVNGESVGYAARREATEADERVMRLLHATSMGAGVLPAADDHPTAADGEVTYMRAHFDRVVGSKDAETYYMHNPEGCATGPELTIFFIRT >LPERR01G10080.1 pep chromosome:Lperr_V1.4:1:7607225:7612325:1 gene:LPERR01G10080 transcript:LPERR01G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVSGFQNAPVTRAVVLASGLLSVVFSSQRRARALSLSYQGFVKNFRLWRIFASGFAFQSTPELLFGVYLLYYFRVFERQIGSNKYSVFSLFTISVSLLFEVLSLVLLKDTNYLSTLACGPYGLIFASFIPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRMKMLQVIASFFARFLAPSSGSSSRPPRSLVGDMTSRTGRAVQNHPPSGFAPVVEPPESSIAMLVSMGFDGNAARQALMRARNDINAATNILLEAQTH >LPERR01G10090.1 pep chromosome:Lperr_V1.4:1:7612874:7615913:1 gene:LPERR01G10090 transcript:LPERR01G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPPAGSSQDHMNALRSTALRRSTLQWEAAGAGAFFAPPFRSRRCRRRPHPPLATAAAPRSRSPSRARARAKLLADADTRDPWLASLSLLPVEDDGGAASTGWVIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEFIRKRLDTKSIIELLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGRAEALLLAAYGKGLVLPSGVFNKTL >LPERR01G10100.1 pep chromosome:Lperr_V1.4:1:7616212:7624878:-1 gene:LPERR01G10100 transcript:LPERR01G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPAAGGCSPQQDYPTIDPTSFDVVICGTGLPESVLAAACAAAGKSVLHIDPNPFYGSLFSSLPFPSLPSFLSPSPSPSSASSSSSAAAVDLRRRSMYTEVETTGEAPEPSRRFTVDLVGPRVLYCADEAVDLLIRSGGSHHVEFKSVEGGTLLYWEGGLYPVPDSRKAIFKDTTLQLTEKNLLFRFFKLVQSHIAADAAAAGEGEGEASGKLPDEYLDLPFVEFLKQQRLSPKMRAVVLYAIGMADYDQDGVDSCDGLLSTREAVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATSLLVDEDKKRYVGIRLASGQDIMCQQLIVDPSYEIPSLDMPCTTPVSNSPRKVARGICIISSSVKRDSSNVLVVFPPKSLEEEQVTAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFTTKASDDLEGHSETTSENNTEGVKPTLLWSCVYLQEITQGTSGTALSCPMPDQNLDYRSILESTKKLFSDICPNEEFLPRNSAPKYADDDSDSSEEENPCTRLIYQRQPALHRSKLSFGVNRHIIYSNGLSQNQQNYRYYSSCSGQQNIGIGNKIIHDLPRRVKIVEVGPRDGLQNEKNIVPTHVKIELIQILATSGLPVVEATSFVSPKWVPQLADAKDVMQVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYNDVALAAKERKIPMRGYVSCVVGCPVEGYVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPKEKLAVHFHDTYGQSLSNILISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLEKVMAAGEFICNHLGRQSGSKAATALTGKVTANASKL >LPERR01G10100.2 pep chromosome:Lperr_V1.4:1:7619723:7624878:-1 gene:LPERR01G10100 transcript:LPERR01G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPAAGGCSPQQDYPTIDPTSFDVVICGTGLPESVLAAACAAAGKSVLHIDPNPFYGSLFSSLPFPSLPSFLSPSPSPSSASSSSSAAAVDLRRRSMYTEVETTGEAPEPSRRFTVDLVGPRVLYCADEAVDLLIRSGGSHHVEFKSVEGGTLLYWEGGLYPVPDSRKAIFKDTTLQLTEKNLLFRFFKLVQSHIAADAAAAGEGEGEASGKLPDEYLDLPFVEFLKQQRLSPKMRAVVLYAIGMADYDQDGVDSCDGLLSTREAVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATSLLVDEDKKRYVGIRLASGQDIMCQQLIVDPSYEIPSLDMPCTTPVSNSPRKVARGICIISSSVKRDSSNVLVVFPPKSLEEEQVTAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFTTKASDDLEGHSETTSENNTEGVKPTLLWSCVYLQEITQGTSGTALSCPMPDQNLDYRSILESTKKLFSDICPNEEFLPRNSAPKYADDDSDSSEITR >LPERR01G10100.3 pep chromosome:Lperr_V1.4:1:7616212:7619490:-1 gene:LPERR01G10100 transcript:LPERR01G10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASKVAWSRLASPASPRALSSAARSLLLPGSGMPALERSPPGPPSGGDERPPGDGLTETGCSAAGAPRPLSSGDAAAEENPCTRLIYQRQPALHRSKLSFGVNRHIIYSNGLSQNQQNYRYYSSCSGQQNIGIGNKIIHDLPRRVKIVEVGPRDGLQNEKNIVPTHVKIELIQILATSGLPVVEATSFVSPKWVPQLADAKDVMQVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYNDVALAAKERKIPMRGYVSCVVGCPVEGYVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPKEKLAVHFHDTYGQSLSNILISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLEKVMAAGEFICNHLGRQSGSKAATALTGKVTANASKL >LPERR01G10110.1 pep chromosome:Lperr_V1.4:1:7625045:7625952:-1 gene:LPERR01G10110 transcript:LPERR01G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACCSAVVVLRLILEVFWDDDHHGRALAAVELPHDRPDLSIATPASRSPSSPVYVTAGKSVLHIDPNPSTAPSSPAFPSLPSPPSFPPLAIHATHAVVLPKIKLLLLRHSKVSDIVILPSLSNVFVPWFT >LPERR01G10120.1 pep chromosome:Lperr_V1.4:1:7628888:7629320:1 gene:LPERR01G10120 transcript:LPERR01G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVSSTEGAVRILLGKLADVLADRYTLLLGAHEEIQDLKDELESMNACLRDLAAGDDDHRNEQQVLLK >LPERR01G10130.1 pep chromosome:Lperr_V1.4:1:7632805:7645122:1 gene:LPERR01G10130 transcript:LPERR01G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVREVAFDAEDFIDIFWCHIGRQYGARGVHWYCVPKVVYTLKTLKVRNNLVIKIQNLRTRVQRVSERRLRYMLEPAGSTSKPTGGFLSSSNYIDQERRLSALNIDESQLIGMTDKTEKVIKLLDEGHVSNLKVVSIVGFGGLGKTTLAMTVYKNPAVRGIHSRAFVAVSQNYDPRTLLESLLKQLIQTPIFREPRSADEGTSTEEPLQGIEAWDIPQLINRCRNYLEDKRYFIVLDDLWRPEAWETLKIAFPDNDKQSRILITTRNHHVAEICCLHPHDCVYSMDPLPSEESRHLFFKRVFQLDKCPPQYQDLVDISKAILRKCHGLPLAIVSIGGMLSQMKNKTHAEWQKVCDRLDLGLETNDALGGMRKILSLGYYDLPYYLKACFLYLSVFPEDFEIKKGPLVRRWLAEGFIGRMCGSNLEEVAEKYFDEFIRRSIVTPTRIDSSGEVRSCRVHDIMLEVISTISVQENFISLLGNYRYSTTGHDKIRRLSIHVGGNKKQDFTCRNLSHVRSLTILGCMEKPIPITFADLTLLRVLDLEGCHWLSDKDLKDICKLYLLRYLSLRNTNISKLPKLVGNLRELLTFDIRSTYVRELPATITHLWCLKHLIAGCYKYYTRMHRVKHFSSKKAVTIPPGLKNMSALQSIAHVNISSSFRAMHELGELLQLTKLCAINRKGVQKWRPFATSLSKLSNSLRDLSVIHSYKWEYGLEFLINLSSPPVFLEKLYFWGRVSALPPWISSLSNLVRLSLRENYLRGKLIKILGKLHSLLSLKLYVDSYLGTKLCFEQNLFPRLKQLMIDNLENLDELSFSGGAPELERLTLAFVRAPRRGISGIENLPKLKEVEFFGRIVDSVVEGVIAAAKIHPNQPRVYRDETVDPRSHSAACMAFSFFYSCTFSS >LPERR01G10130.2 pep chromosome:Lperr_V1.4:1:7633161:7645122:1 gene:LPERR01G10130 transcript:LPERR01G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVREVAFDAEDFIDIFWCHIGRQYGARGVHWYCVPKVVYTLKTLKVRNNLVIKIQNLRTRVQRVSERRLRYMLEPAGSTSKPTGGFLSSSNYIDQERRLSALNIDESQLIGMTDKTEKVIKLLDEGHVSNLKVVSIVGFGGLGKTTLAMTVYKNPAVRGIHSRAFVAVSQNYDPRTLLESLLKQLIQTPIFREPRSADEGTSTEEPLQGIEAWDIPQLINRCRNYLEDKRYFIVLDDLWRPEAWETLKIAFPDNDKQSRILITTRNHHVAEICCLHPHDCVYSMDPLPSEESRHLFFKRVFQLDKCPPQYQDLVDISKAILRKCHGLPLAIVSIGGMLSQMKNKTHAEWQKVCDRLDLGLETNDALGGMRKILSLGYYDLPYYLKACFLYLSVFPEDFEIKKGPLVRRWLAEGFIGRMCGSNLEEVAEKYFDEFIRRSIVTPTRIDSSGEVRSCRVHDIMLEVISTISVQENFISLLGNYRYSTTGHDKIRRLSIHVGGNKKQDFTCRNLSHVRSLTILGCMEKPIPITFADLTLLRVLDLEGCHWLSDKDLKDICKLYLLRYLSLRNTNISKLPKLVGNLRELLTFDIRSTYVRELPATITHLWCLKHLIAGCYKYYTRMHRVKHFSSKKAVTIPPGLKNMSALQSIAHVNISSSFRAMHELGELLQLTKLCAINRKGVQKWRPFATSLSKLSNSLRDLSVIHSYKWEYGLEFLINLSSPPVFLEKLYFWGRVSALPPWISSLSNLVRLSLRENYLRGKLIKILGKLHSLLSLKLYVDSYLGTKLCFEQNLFPRLKQLMIDNLENLDELSFSGGAPELERLTLAFVRAPRRGISGIENLPKLKEVEFFGRIVDSVVEGVIAAAKIHPNQPRVYRDETVDPRSHSAACMAFSFFYSCTFSS >LPERR01G10140.1 pep chromosome:Lperr_V1.4:1:7640460:7646138:-1 gene:LPERR01G10140 transcript:LPERR01G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVGILLRKLGDFLCDKYVLLAGVCHEIQELKDDLESMNACLRDLAAAGDYAQTEQTRTWMKQVREVAYDAEDCIDNYWYHRGHHYHDESLVASWLRRTVIQPLETLRAMYKLAIEVQSLKARTLKVSERRLRYKLEAPATTSPPMIAVDSPGAGRYTTYDDLDRRLPALNIDESRLVGLRSKTKAILKILEDGGGDQSAAARRKVVSIVGFGGLGKTTLAATVYNSPTVQGIQHRAFVTVSRNCDLRALLESLLKQLTQTPLMMRDPRRYCDQEMAAGDHDLLRGIETWDISQLITMCSFLLEDKRYFIVLYDLWNPVDWENLKIAFPDNDKQSRVLITTRDRHVAENCCSDLCDRVHEMEPLPIQQSRKLFFNRVFQSDECPLQHKSLEKISEEILTKCGGLPLAIVSIGGMLARTENRTPAEWSKICARLGYGLGTNAALRGMRRILSLGYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFISGTHEWNPEEAAEKYLDEFVKRSIVTPTRVASSGVVRCCKVHDIMLEVVTAKCIQENFISFLGNSQHECNEMMVVGHDKIRRLSIHGAQKPHGADKTWEQYDDDNPLRRKKKKKKKLQTRNKDSQDIVPSINFPCARSLLMLGCIIKPLPVINFAHLKLLRVLDLEGCRWLSNQDLHDICRLALLRYLSLRDTGVSWLPKLVGRLEELMTLDIRETHIRVLPETITRLGNLKHLLAGRYRYYTRTHRVKIFEPMEAMTLPCGLGRMRSLRTISHVDVASSFSTMHELCELPWLTKLCAFNSEEGGNKWGRFAASLSKLSSSLRKLSIIHWRNGDSGLEAFMELTSPPVFLQKFYLWGRLSALPQWISHLSNLVDLSLRENFLDGEMVMEQLGRLPNLLSLKLYHQSYMGRVLHIRENLFHRLKQLIVDNLPNLDELGFQGGAPELERLTLAFLKEPVDGIVGIDKLPRLKEVEFFGHIIVDSVVEGMVAVCIAHPNRPRVYRDDRPMEDAESSS >LPERR01G10150.1 pep chromosome:Lperr_V1.4:1:7648161:7650427:-1 gene:LPERR01G10150 transcript:LPERR01G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMALKRPIDRGYLINTEVQREVWERVLRNLLQVDPTNSSLLLVEPQFNPPALQHATDELVFEELGFKSFCVADAPSLVHLYEASHQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSKDNPFRCSYILPDGITYKKGFVKDLDEARRYCSLPANGESANNDSAESDRSKFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQACHPYLQPVLFESIIVTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRRFFH >LPERR01G10160.1 pep chromosome:Lperr_V1.4:1:7652679:7655630:-1 gene:LPERR01G10160 transcript:LPERR01G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRAAAASSAPLLLLAAAALLLVGSASLALGAMAGHVLGGARDVPSAANSAETDSLARFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRHAGDATTFTNADLGAKKGEHEPGWRDVPVHDPVVKDAADHAVKSIQARSNSIFPYELLEIIRAKAEVVEDFAKFDILMKLKRGTKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >LPERR01G10180.1 pep chromosome:Lperr_V1.4:1:7671715:7673942:1 gene:LPERR01G10180 transcript:LPERR01G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVEQPASPPATAAPADAGGSSISSPTKMAIAVGHCHELRPPGVVAVRGRHCRLRSVTQLDDDGIGAHDVDHRDRSSGHNAAGLSPRRSLSSPCFTTTTTTVPPPPPAAAASDDEDNKKTLLLLPPRVEIVAGGHARGVHELIAEAACAIATGTRLVPAQSGVGGALLLEDGRSGEHVAVIKPLDDDGGVSGNGYASKAVLREVAAFLLDHDGFARVEPTALIRITRGAATTTASIQRFAAHEYDAGELGPSRFSVSSVHRVGILDVRLLNIDRHAGNILVKKTDPTPTSATSSSTPPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSASELDYVASLDPFRDAAMLRAELPALTESAIRILTLCTVFLQRAASAGLCLADIGDMMTREFSPTEEGLSLSALESLCKNAHEISKKPYHHQHHDDSDEEEEESTQFGMDDVVPAALPPHVVMVGGSGIAKSVSFSAAEQGAAGRGARKKRMSFKDLSGEEWAAFMERFEKLLPAALEAKKRAGLKLTRLGTSF >LPERR01G10190.1 pep chromosome:Lperr_V1.4:1:7674400:7681682:1 gene:LPERR01G10190 transcript:LPERR01G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPPPAEPATPAPPPAPTTKNPPASTAPTEDGEVEAGVTPPRTSAVGAVNWGTATLVGVFAGLLYGGSKEANASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIENRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGICFPLGWIQLKLAEKANLEMANSKSTDLAGQQGGVGAAIERFEGSLKK >LPERR01G10200.1 pep chromosome:Lperr_V1.4:1:7681291:7686696:-1 gene:LPERR01G10200 transcript:LPERR01G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAARFLAPPSPEPSRSGARDVVAMVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSAEEIARDIKRPKYFSPSEAVDYGIIDKVLYNEKSQQDGGVVSELKRSNLIYSAYNAVCSFLSSRSRVATFPFVRRFRRVIRAGRRGSLSLRGLARG >LPERR01G10200.2 pep chromosome:Lperr_V1.4:1:7677859:7681290:-1 gene:LPERR01G10200 transcript:LPERR01G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFAQDSPPLSILCAAKVAGVSLTIDPKLATGSAPTLHFGSGDFIHGVNTILRYIARVASASSFYGQDAIQAAYVDQWLDYAPVVLSGSEFEAACSFLDGYLSSRTFLVSYGLSVADIAVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIASDTLDEVVSAYVGKRGIGKSPAPSLKEKLPDSKQNVSTPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLINQGKAYVDDTPKEQMRTERMDGVESKCRNNTVEDNLALWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSQYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKEQRVLLTLTNGPEEPFVRILPRHKKYEGAGKKATTFTKKIWLEHADASVISIGEEVTLMDWGNTIIKEIKTENRVITELFGELHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKKLEEDEDFLDNLNPCTRQEFLAIGDANMRNLKQGEIIQLERKGYYRCDAPFIRPSKPIVLFAIPDGRQKSLYYALTNCLLVSESCG >LPERR01G10200.3 pep chromosome:Lperr_V1.4:1:7678114:7681290:-1 gene:LPERR01G10200 transcript:LPERR01G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFAQDSPPLSILCAAKVAGVSLTIDPKLATGSAPTLHFGSGDFIHGVNTILRYIARVASASSFYGQDAIQAAYVDQWLDYAPVVLSGSEFEAACSFLDGYLSSRTFLVSYGLSVADIAVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIASDTLDEVVSAYVGKRGIGKSPAPSLKEKLPDSKQNVSTPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLINQGKAYVDDTPKEQMRTERMDGVESKCRNNTVEDNLALWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSQYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKEQRVLLTLTNGPEEPFVRILPRHKKYEGAGKKATTFTKKIWLEHADASVISIGEEVTLMDWGNTIIKEIKTENRVITELFGELHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKKLEEDEDFLDNLNPCTRQEFLAIGDANMRNLKQGEIIQLERKGYYRCDAPFIRPSKPIVLFAIPDGRQKSVTN >LPERR01G10210.1 pep chromosome:Lperr_V1.4:1:7688126:7693452:1 gene:LPERR01G10210 transcript:LPERR01G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDEESPAPTEPERWRDLDMLLSRPGNLVDANFDPSPGLRDTLGSLVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAERVMERVSGVNIVPHFCRIEDKEIDFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIVPGSTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHPGKHFDADDAEHMQWIYSEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTYNGLDGTHINVSEFVRDKDCLVCGPGTLIELDTSSTLSEFIKMLEEHPKLLMSRASVTHEGENLYMQSPEVLEQMTRPNLGVPMFELLKGAARATVHVTGMAENNGKKVSSLRKLRVAFKGVEKNSKMDTTESF >LPERR01G10210.2 pep chromosome:Lperr_V1.4:1:7688126:7694333:1 gene:LPERR01G10210 transcript:LPERR01G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDEESPAPTEPERWRDLDMLLSRPGNLVDANFDPSPGLRDTLGSLVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAERVMERVSGVNIVPHFCRIEDKEIDFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIVPGSTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHPGKHFDADDAEHMQWIYSEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTYNGLDGTHINVSEFVRDKDCLVCGPGTLIELDTSSTLSEFIKMLEEHPKLLMSRASVTHEGENLYMQSPEVLEQMTRPNLGVPMFELLKGAARATVHVTGMAENNGKKVSSLRKLRVAFKGVEKNSKMDTTESF >LPERR01G10220.1 pep chromosome:Lperr_V1.4:1:7692797:7694376:-1 gene:LPERR01G10220 transcript:LPERR01G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGENRPQAVAAGDEEFSDKAQHPEGMAGTGKEFAALTGVVGIHKDLERNEAKSLVAMGDRFELEENDEEEDKELGSEAGKRSGASVTGAGHKAPAEFNDHDGLGDLAGKKGVAAAGTGAGHKAPAERDEHDRLGAFAGKKGAHVAGKESSGKQEQGASDAAESEASDEPEEGDTAGGGNEDGDPEVLLAAAEELPLAVLDAESSGNTGLWFLRVLRFTGGFLPRGFFFFVHALELDERNLAAAEEELPVPWWDSLLRILRNLVTFLPRAAFSRVRSARGRVQDSAEDEGEGSDDDGDGDGDEVGIRRGVIVRFVLVAVGSLLAALGAFVFVTFAVPVGTPPPAADHLPGHRGGTSTLIPQRMPHFAELPAAVISIDSLQSPNTPVQLPVIENRLNVARGGATSIAYVPRTQPATYRRTSWGIAATIMILAALTVCILYWFLQLPTLDSRLRAEVT >LPERR01G10230.1 pep chromosome:Lperr_V1.4:1:7699802:7701807:-1 gene:LPERR01G10230 transcript:LPERR01G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSLFKGQGKKKTIPPNRHGKAPHVRKGKRAVKPSKFTKDMDADKELTKFINQCNEKKAANLASKEGGDLSILKADVDPSSSK >LPERR01G10240.1 pep chromosome:Lperr_V1.4:1:7703628:7716419:1 gene:LPERR01G10240 transcript:LPERR01G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAARKLLVAAGAFVISYSLMAMVLRGGETAAAAAAGRDPVVAMPEWMRVAGAAGGGQRRPFHVALTATDAAYSRWQCRRMRVAGAAGGGQRRPFHVALTASEDAYSRWQSRVMYYWYKRMQARPEGAEMGGFTRVLHSGRPDGLMGEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVKPLPNLARDDPAAFPFFYITPSEHENIIRKYYPKERGPVTNVDPIGNSPVIIKKALLQKIAPTWMNVSLQMKEDQETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQVLYLPPFDTELGNTFIIHYTYGCDYTLKGVLTYGKIGEWRFDKRSYQDRPPPRNHTLPPPGVPESVVTLVKMVNEASANLPGWDDGR >LPERR01G10240.2 pep chromosome:Lperr_V1.4:1:7703628:7716419:1 gene:LPERR01G10240 transcript:LPERR01G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAARKLLVAAGAFVISYSLMAMVLRGGETAAAAAAGRDPVVAMPEWMRVAGAAGGGQRRPFHVALTATDAAYSRWQCRRMRVAGAAGGGQRRPFHVALTASEDAYSRWQSRVMYYWYKRMQARPEGAEMGGFTRVLHSGRPDGLMGEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVKPLPNLARDDPAAFPFFYITPSEHENIIRKYYPKERGPVTNVDPIGNSPVIIKKALLQKIAPTWMNVSLQMKEDQETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTELGNTFIIHYTYGCDYTLKGVLTYGKIGEWRFDKRSYQDRPPPRNHTLPPPGVPESVVTLVKMVNEASANLPGWDDGR >LPERR01G10240.3 pep chromosome:Lperr_V1.4:1:7711529:7716419:1 gene:LPERR01G10240 transcript:LPERR01G10240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAARKGAGAAGRLPAAAVLLLVAAGAFVISYSLMAMVLRGGETAAAAAAGRDPVVAMPEWMRVAGAAGGGQRRPFHVALTATDAAYSRWQCRVMYYWYKRMQALPEGAEMGGFTRVLHSGKPDGLMDEIPTFVVNPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVKPLPNLARDDPAAFPFFYITPSEHENIIRKYYPKERGPVTNVDPIGNSPVIIKKALLQKIAPTWMNVSLQMKEDQETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTELGNTFIIHYTYGCDYTLKGVLTYGKIGEWRFDKRSYQDRPPPRNHTLPPPGVPESVVTLVKMVNEASANLPGWDDGR >LPERR01G10240.4 pep chromosome:Lperr_V1.4:1:7703628:7705878:1 gene:LPERR01G10240 transcript:LPERR01G10240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAARKLLVAAGAFVISYSLMAMVLRGGETAAAAAAGRDPVVAMPEWMRVAGAAGGGQRRPFHVALTATDAAYSRWQCRRMRVAGAAGGGQRRPFHVALTASEDAYSRWQSRVMYYWYKRMQARPEGAEMGGFTRVLHSGRPDGLMGEIPTFVVDPLPAGKDHGRRGGAGYLQTTAFKTAGGDACPVALMAM >LPERR01G10250.1 pep chromosome:Lperr_V1.4:1:7717786:7718590:1 gene:LPERR01G10250 transcript:LPERR01G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMMISFVAVLLLLLLGCFIHAAAGVVDAAAPAPSPSPAPSGLVFHVGGPRGWRVPDADTSYGWWATNNRFHVGDSLYFRYGSGDSVLVVDREAFDGCDATAPVAAFADGATTVRLDRPGFFCFISGAPGHCDEGQKLVVRVMVHPADASASAPAAAHAPATSQPGHGGGGGGGGGGGSGASSSSSAAGAAVAAAAMDGLLVVLMLL >LPERR01G10260.1 pep chromosome:Lperr_V1.4:1:7718875:7722194:-1 gene:LPERR01G10260 transcript:LPERR01G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHESLEAAGVSAEHARAAREGFAGQVGRLTRLDAGTSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVDAFVSRLDDLSTGFLADGYLPPAGAPPQLFLDHLDRYLYVHKGFRRKNGSADGRAIYLHSVLTCRLGSALMLSLIYSEILKMLRLYGLLEFNVEIFFPHDPDGLPRGYDKHKSKLGDEPHIMTSKLLLVEILKTLKDTFWPFQSNQSGSLFLNAVSANQHGPGNVGDNQAGPHGNISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDSRELRDYAALLYHCGYYEDCLQYLTSYETAVVGQHMNNPLEIMEDDAVKTLRARIIPFRSNHTESKTCRETQIIRARQNYETESKTRINPA >LPERR01G10270.1 pep chromosome:Lperr_V1.4:1:7726378:7728407:-1 gene:LPERR01G10270 transcript:LPERR01G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKS >LPERR01G10280.1 pep chromosome:Lperr_V1.4:1:7732337:7732930:1 gene:LPERR01G10280 transcript:LPERR01G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGENNTGAHGTGNVPAATATAITTTTTAAAAAASSGKQPGAGARGAECQSVMRRLQSELMSLMMGGDPGISAFPEGDNIFQWVGTIAGSAATAYEGTSYRLSLAFPGEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSVQSLLGEPNNESPLNTQAAALWPDQDEFRKMVHKLYKPAAA >LPERR01G10290.1 pep chromosome:Lperr_V1.4:1:7733852:7737272:-1 gene:LPERR01G10290 transcript:LPERR01G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNTKLYAKYTALKKRKLLDEGLDQKREADIREFHQAMKDLVGEHEIQIETLSSELTQKEQQLVESQKIILDQTLKINKLDSEILRLQCLLAEKNDASHHAATPDTTTEMITENQTPLSRANGTPKSNSKRKSMRSTEKTTASHGSFLEEARELDCCRRHGDNSGSGTEESSSIRAFNMLAELLGGMKISMKNETEGFSFSFSHEASGYSFTLTWADQPDGGEWLYQHSSLGTLERIAMGWMKQDIIFSTAMFPVFFQRISRILRQG >LPERR01G10300.1 pep chromosome:Lperr_V1.4:1:7740412:7742035:1 gene:LPERR01G10300 transcript:LPERR01G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSVFSAVGGDEREEERGEEVGEEGGGNEAAAAEEEGERAAAGGGWSFGGLIKTLAEEIEEQRKVNSQLEAEEAAAKAAAREEGGEEGEGEGSGGGAWSFGGLIQTLAEEIEEQRKANSQLEAESSAAKEAAAGEGEGDSGGGGEEWSFGGLIQTFATRLESVGQAVDDLGAAAAVLLTHANEALRSADAADGEDAVGDGSSHHSDSSGASWRRSSLPSKKYTRFEAQVLALRADPATFTEEPEDANGFAKWRDSFRIDERKEEIEGVLKESPGLESFVERLVPVVVEYDMFWCRYFFAVDKLRQAEDVRTKLVNRALSKEDEEELSWEVDDDDDDEADGNGDRKEGASDMVNKNEEQTEEPVSHKTRGETQEGAGALSVSEDKETTTASGKDNNIESKDEAVTPKSSNDMGQEQKVDAGDSSKESDFSVVSRPSVQEEDLSWEEIEDVGDEDEKKKSSPWSSPPSKVEDIRKRFSSVEDEEDLSWDIDE >LPERR01G10310.1 pep chromosome:Lperr_V1.4:1:7744756:7754471:1 gene:LPERR01G10310 transcript:LPERR01G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGDPLSASAPFPTIPAAEESPRHPRVGKSRRQFRSSDHPINAAASSSSSSSTRRLGVDLPFGHGVRRGAGSRAPSGFVFGGGGDTASGVDVEVPSPSASSWSSSGESTSFVFGASDMGRSFSFGSGSASPSPPSSFSATTVGELNLDDRDPSSSQGDADASVGNGEMNVHSVLSPSIVSEEIEHRDEGLGVPSQTTGCESIESDCSVIHQVVKPSLYTGENKTMEFAKAEVSAEGGVDSKESKFVFVFGENSEERGFTTDIAESEIKKGGLVEKKKVAFDSDQLDALVAEADVCAESNLQGARHACKDPRGVSTTAKASNTSSSGFVVQYSSANVSSTKLSDEGQSPGVQASELGDLGLPDEQSFTVRDHNETSRGYGGVKGVNMNKGTVQQETAHQVLQPLFTSDCKAASEVNLHLKEVTNFRLEGSDSSKGNSGTKEEDVNCFSLQPAKSNHDRTVVTSLTNQETSSQSDFIFVASTFDQSTLHSQRRHNKKKIGGMNNNANSIQSHQTSAIGLARSEISRQQYTGSPAQWTKYNKADPKTVTMNIGPTSKENLGHQEDCETWRIRGNKAHAEGQLARAEEYYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMRGALSDCQKATYIDSSFLKAQVRAANCLLALGDVEEAQKGFEMCLKSSQAASLDSKIVEEASDGIKKAQKISNFMLLSKEYLVKKEFDKIPSALKMISDALSISTYSDNLMMMKAEALLLLQRYEEVIQFCEETLHLAEENSSLCQHSEINDLENCSSSVKLWRYYIIAKYAKQSQQSVSSLSTTISELLHLKAAGNGAFQAGKYSEAVEHYTSALLSNSESLRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYCKAISRRAGLYELIGDYDQAGNDLRRLISLLARQLQENRPMYSEKSDGIRSNLNRANLRLSALERDAKKGIGIEPSCTYLDIKKAYRKAALRHHPDKAGNFLVRSENINHAVWRKIASEIRKDADYLFKLIGKAYTILSETTMKSR >LPERR01G10310.2 pep chromosome:Lperr_V1.4:1:7744756:7754471:1 gene:LPERR01G10310 transcript:LPERR01G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGDPLSASAPFPTIPAAEESPRHPRVGKSRRQFRSSDHPINAAASSSSSSSTRRLGVDLPFGHGVRRGAGSRAPSGFVFGGGGDTASGVDVEVPSPSASSWSSSGESTSFVFGASDMGRSFSFGSGSASPSPPSSFSATTVGELNLDDRDPSSSQGDADASVGNGEMNVHSVLSPSIVSEEIEHRDEGLGVPSQTTGCESIESDCSVIHQVVKPSLYTGENKTMEFAKAEVSAEGGVDSKESKFVFVFGENSEERGFTTDIAESEIKKGGLVEKKKVAFDSDQLDALVAEADVCAESNLQGARHACKDPRGVSTTAKASNTSSSGFVVQYSSANVSSTKLSDEGQSPGVQASELGDLGLPDEQSFTVRDHNETSRGYGGVKGVNMNKGTVQQETAHQVLQPLFTSDCKAASEVNLHLKEVTNFRLEGSDSSKGNSGTKEEDVNCFSLQPAKSNHDRTVVTSLTNQETSSQSDFIFVASTFDQSTLHSQRRHNKKKIGGMNNNANSIQSHQTSAIGLARSEISRQQYTGSPAQWTKYNKADPKTVTMNIGPTSKENLGHQEDCETWRIRGNKAHAEGQLARAEEYYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMRGALSDCQKATYIDSSFLKAQVRAANCLLALGDVEEAQKGFEMCLKSSQAASLDSKIVEEASDGIKKAQKISNFMLLSKEYLVKKEFDKIPSALKMISDALSISTYSDNLMMMKAEALLLLQRYEEVIQFCEETLHLAEENSSLCQHSEINDLENCSSSVKLWRYYIIAKYAKQSQQSVSSLSTTISELLHLKAAGNGAFQAGKYSEAVEHYTSALLSNSESLRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYCKAISRRAGLYELIGDYDQAGNDLRRGIEPSCTYLDIKKAYRKAALRHHPDKAGNFLVRSENINHAVWRKIASEIRKDADYLFKLIGKAYTILSETTMKSR >LPERR01G10310.3 pep chromosome:Lperr_V1.4:1:7744756:7754471:1 gene:LPERR01G10310 transcript:LPERR01G10310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGDPLSASAPFPTIPAAEESPRHPRVGKSRRQFRSSDHPINAAASSSSSSSTRRLGVDLPFGHGVRRGAGSRAPSGFVFGGGGDTASGVDVEVPSPSASSWSSSGESTSFVFGASDMGRSFSFGSGSASPSPPSSFSATTVGELNLDDRDPSSSQGDADASVGNGEMNVHSVLSPSIVSEEIEHRDEGLGVPSQTTGCESIESDCSVIHQVVKPSLYTGENKTMEFAKAEVSAEGGVDSKESKFVFVFGENSEERGFTTDIAESEIKKGGLVEKKKVAFDSDQLDALVAEADVCAESNLQGARHACKDPRGVSTTAKASNTSSSGFVVQYSSANVSSTKLSDEGQSPGVQASELGDLGLPDEQSFTVRDHNETSRGYGGVKGVNMNKGTVQQETAHQVLQPLFTSDCKAASEVNLHLKEVTNFRLEGSDSSKGNSGTKEEDVNCFSLQPAKSNHDRTVVTSLTNQETSSQSDFIFVASTFDQSTLHSQRRHNKKKIGGMNNNANSIQSHQTSAIGLARSEISRQQYTGSPAQWTKYNKADPKTVTMNIGPTSKENLGHQEDCETWRIRGNKAHAEGQLARAEEYYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMRGALSDCQKATYIDSSFLKAQVRAANCLLALGDVEEAQKGFEMCLKSSQAASLDSKIVEEASDGIKKAQKISNFMLLSKEYLVKKEFDKIPSALKMISDALSISTYSDNLMMMKAEALLLVCIYVCGKILNRYAKQSQQSVSSLSTTISELLHLKAAGNGAFQAGKYSEAVEHYTSALLSNSESLRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYCKAISRRAGLYELIGDYDQAGNDLRRLISLLARQLQENRPMYSEKSDGIRSNLNRANLRLSALERDAKKGIGIEPSCTYLDIKKAYRKAALRHHPDKAGNFLVRSENINHAVWRKIASEIRKDADYLFKLIGKAYTILSETTMKSR >LPERR01G10320.1 pep chromosome:Lperr_V1.4:1:7759797:7760175:1 gene:LPERR01G10320 transcript:LPERR01G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNTASQPHQPTLLPTSRKLGAPVSSAIEKRNLYGGSLVLTIASRSEETKPFWRTWTNTQDSPPANALKAYCYGPIGLQKHQPRKL >LPERR01G10330.1 pep chromosome:Lperr_V1.4:1:7762800:7765311:1 gene:LPERR01G10330 transcript:LPERR01G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCVDTCDQVKIIPYRWRVFFNRLVGSVTTFITAYGDEFVIAVRKYNTKTTLADEWSYFYNTHELGVGDCVHLRHVKFNNWMYGIVFSQGSEPTTTELEWIRDALLDFKYLETPPFVHALTLTMSPKANW >LPERR01G10340.1 pep chromosome:Lperr_V1.4:1:7766253:7776850:1 gene:LPERR01G10340 transcript:LPERR01G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEAEHEEDVIIVGAGPSGLAAAACLSCRGVSGCLVLDRDDRVASLWRRRTYDRLHLHLHKRHCALPHAPHSDSSPTYLPRDDFLRYLDAYASRFAVRTRLRRHVRSARYDASRRRWIVEAVDLATGITESYTSRWLVAAGGENDERVVPDVAGMGTFPGKVVHAADYRTAEGFKGKSVLVVGSGNSGMEIAYDLAVAGAVTSIVVRSELHLVSKEIWNVAMTLYGYHLPPWVIDKAVLLMCRIVFGDTARHGLRRPAVGPFTMKLTTPAYPVFDVGIFAKIRSGEVRVLRAGIKSVRGSDVEFLDGERHAFDAVVFATGYRSTTKQWLQSDDGLIGEDGMAARSYPEHWKGENGLYCAGMVRRGLYGSYEDAELIADDISKQLQSSKSGQNGHISNGSLCSLPEATSMAVKTDELKPEHQHEEDVIIVGAGQSGLAASACLSRRGVSSCLILERDDCVAPLWRHRTYDRLRLHLPKRHCALPHAPHADDSPNYLPRDDFLRYLDAYASRFSLRTRLRRHVTSARYDPSCRRWLVHAVHLSTGITERYVSRCLVAANGENDERAVPDVPGMDTFPGIAIHAAEYKSAAAFKGKSVLVVGAGNSGMEIAYDLAAGGAAATSIVVRGELHLVSKEIWGVGMALARYIPEWAVDRAVLLMCAAVFGDTARHGLRRPAVGPFTMKMTTPAYPVFDVGTFAKIRSGEIRVVRAGIRSVSGSDVEFVDGERRAFDAIVFATGYRSTTNKWLKSDDGMIGEDGMARRSYPDHWKGENGLYCAGMVRRGIHGSGEDAELIAEDISKQKQHWSSKPTHNGHMSNGFAYNDNKSTRV >LPERR01G10350.1 pep chromosome:Lperr_V1.4:1:7783823:7784590:1 gene:LPERR01G10350 transcript:LPERR01G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGLAAAAAVLAVAAVAVAGDDSGWSSGSATFYGGNDASGTMGGACGYGNLYSAGYGTATSALSSALFNNGQTCGACFQLRCSPSTSSPSSCLPGGPTVSVTATNLCPPNYALPGDAGGWCNPPRAHFDLAEPAFAAIAHARAGVVNVQYRRVTCSGNKGGIRFTITGHSYFNLVLVANVAGAGDVAAVEVKGSRSGWQAMSHNWGANWQNGAFLDGQPLSFRVTASDGRVVTSDGVAPSGWTFGQTYTGGQF >LPERR01G10360.1 pep chromosome:Lperr_V1.4:1:7818769:7819746:-1 gene:LPERR01G10360 transcript:LPERR01G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREMISGAFFASPPPAVPEIEEEVSAPAAGKLCARGHWRPAEDAKLKDLVAQFGPQNWNLIADKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRHREHSGAFRRRSTTTTKAPPSSASPPPQLVLHHQTTRAYSGGGDSEESASTCTTDLSLSSGIAAAVPCFYQGYDMVAAPHAAALFAPSARSAFSVPSPARHQKAAGVVEQGADNRAGEITFFDFLGVGAT >LPERR01G10370.1 pep chromosome:Lperr_V1.4:1:7841580:7844466:1 gene:LPERR01G10370 transcript:LPERR01G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVVGAGAGDVPAQERKAVTDFSSFSRQQDWAISQPIFSYCSAGVLAHARGQYLTVYTIDQYLSLENVPRKDPQLDGVTDFSSFIRQQDWAHRSPY >LPERR01G10380.1 pep chromosome:Lperr_V1.4:1:7851740:7873023:-1 gene:LPERR01G10380 transcript:LPERR01G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRRRAGCHRLRRFFVFTILPFSPAPPTRRRGGSGERTEAFEFREGGDKGRRGRAALSERSPPFLQPSLDRAAVKALGLLQLSNSGEIEELPPPPPVPPNVEPLKTDEAKKLSKPKRALMARAGCGKKGQPIQLLTNHFKVSMKTADEYFHHYYVNLKYEDDRPVDGKGIGRRVIDKLQQTYASELANKDFAYDGEKSLFTIGALPQRNNEFTVVLEDINTGKSAANGGSPGNDSPGNDKKRVRRPYQTKTFKVELNFAAKIPMNAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWAKAKRSLKNLRIRTSPANTEYKIVGLSERNCNDQLFTLKQRNGDNGDHEGVEVTVYDYFVRNRGIELRYSGDYPCINVGKPKRPTYFPIELCSLVPLQRYTKALSTLQRSSLVEKSRQKPEERMNVLSDVLKRSNYDTEPMLNSCGISIARNFTQVAGRVLQPPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIVKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDDMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPCIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVCSQSPKLEMIDGLFKPKGTQDDDGLIRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSPNNVPPGTVVDNTVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFVKFDEMSETSSSHGGHTLAGSAPVPELPRLHNKGEPSAMAKPPLPQPPPPKEMLPMSRKGFGTKGQPTQLLTNHFRVSVRETHGHFYHYHVDVKYEDDKPVEVKGLCRRVVDKLQETYASDLANKEFAYDGVKSLFTVGALPTTARRSPGGDDGSPGASYKKRMKWPMSVKKFTVEISFAAEIPMKAIAQVLRGQETKDSMEALRVLDITLRQHSARHFRPTESGLSLNVDVSTTMIVRPGPVIDFLLFNQSINDPHRIDWGKAKRALKNMRIKTTHTNSEFRITGLSEDTCYQQTFEIKKKNGNSSSDTVEVATVFEYYMKHWKIGLKESSHFPCLNVGKPKRPTYIPLEVYQLCHLVPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLSGALRDSNYNSVPMLRECGISIAQEFTQVPARVLQAPKLNAGDGREIFACNGRWNFNKNRLILADRVQRWAVVNFSSRCKIHQLLQDLIKCGGMKGLQFDNPGYVLEEKPHMRRERAATRVNDMFDQLSSRDKPSFVLCVLPERKNCDIYGPWKHMCLVVHGIFTQCVAPTKITDQYLTNVFLKINAKLGGLNSQLQIEIKRAIPLVSETPTIIFGMDVSHGSPGRDDVPSVAAVVSSLEWPLISKYRASVCTQSPRLEMIDLLFKLVENGNHQKDCGMIRDGVSEGQFNQVLNIELAQIIKACDFFADTEWFPKFTVIVAQKNHHTKFFQQTDPTILLMSLLGTTRPTHYHVLHDENNFSPDDLQELVHNLSYVSEEHDGHLNRCSDLLRAPGGGAGVADDAASEGSSGGGGGDGATPRPVPELPRLHRNVRQSMFFC >LPERR01G10380.2 pep chromosome:Lperr_V1.4:1:7851740:7873023:-1 gene:LPERR01G10380 transcript:LPERR01G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRRRAGCHRLRRFFVFTILPFSPAPPTRRRGGSGERTEAFEFREGGDKGRRGRAALSERSPPFLQPSLDRAAVKALGLLQLSNSGEIEELPPPPPVPPNVEPLKTDEAKKLSKPKRALMARAGCGKKGQPIQLLTNHFKVNLKYEDDRPVDGKGIGRRVIDKLQQTYASELANKDFAYDGEKSLFTIGALPQRNNEFTVVLEDINTGKSAANGGSPGNDSPGNDKKRVRRPYQTKTFKVELNFAAKIPMNAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWAKAKRSLKNLRIRTSPANTEYKIVGLSERNCNDQLFTLKQRNGDNGDHEGVEVTVYDYFVRNRGIELRYSGDYPCINVGKPKRPTYFPIELCSLVPLQRYTKALSTLQRSSLVEKSRQKPEERMNVLSDVLKRSNYDTEPMLNSCGISIARNFTQVAGRVLQPPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIVKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDDMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPCIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVCSQSPKLEMIDGLFKPKGTQDDDGLIRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSPNNVPPGTVVDNTVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFVKFDEMSETSSSHGGHTLAGSAPVPELPRLHNKGEPSAMAKPPLPQPPPPKEMLPMSRKGFGTKGQPTQLLTNHFRVSVRETHGHFYHYHVDVKYEDDKPVEVKGLCRRVVDKLQETYASDLANKEFAYDGVKSLFTVGALPTTARRSPGGDDGSPGASYKKRMKWPMSVKKFTVEISFAAEIPMKAIAQVLRGQETKDSMEALRVLDITLRQHSARHFRPTESGLSLNVDVSTTMIVRPGPVIDFLLFNQSINDPHRIDWGKAKRALKNMRIKTTHTNSEFRITGLSEDTCYQQTFEIKKKNGNSSSDTVEVATVFEYYMKHWKIGLKESSHFPCLNVGKPKRPTYIPLEVYQLCHLVPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLSGALRDSNYNSVPMLRECGISIAQEFTQVPARVLQAPKLNAGDGREIFACNGRWNFNKNRLILADRVQRWAVVNFSSRCKIHQLLQDLIKCGGMKGLQFDNPGYVLEEKPHMRRERAATRVNDMFDQLSSRDKPSFVLCVLPERKNCDIYGPWKHMCLVVHGIFTQCVAPTKITDQYLTNVFLKINAKLGGLNSQLQIEIKRAIPLVSETPTIIFGMDVSHGSPGRDDVPSVAAVVSSLEWPLISKYRASVCTQSPRLEMIDLLFKLVENGNHQKDCGMIRDGVSEGQFNQVLNIELAQIIKACDFFADTEWFPKFTVIVAQKNHHTKFFQQTDPTILLMSLLGTTRPTHYHVLHDENNFSPDDLQELVHNLSYVSEEHDGHLNRCSDLLRAPGGGAGVADDAASEGSSGGGGGDGATPRPVPELPRLHRNVRQSMFFC >LPERR01G10390.1 pep chromosome:Lperr_V1.4:1:7877618:7885012:-1 gene:LPERR01G10390 transcript:LPERR01G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDPPCRFLLWFLCFVACVLLESAAFVHSCCPLHPSSGQEAKFEQKTYKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQLERRHGRASVSSQEKDTEIDSSDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNSDQQFTDLGEIIQSQAMHIRNGIVSCDGRKLFLSITNGSLLEITELQPLRWTYHGRPPGGDVSYISDAGNARPGTVFTVSSMGDLYEFNKDSRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQKLSSITEVQQDESNDATSMYLTTSTGKVFEYQFPKYTGGAQSNKIRGLWVNHMFPENTKLARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQQYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNIAADNKPNDLSNNPPSRKRKDEDKQQYLYVSTHERDETESYNFLSRSIDLNFHMRVMHADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVYGNLHIRERNGDELLWINCTAMKKGRQVVSGSPWDGVPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHKHYQSPHLILSRSPGTAMRPFHLSLTGSIFMVSEHGGLVEYHFSSQDGWEWVEHGTPHRDVTIIGAPGPCFDGSQLFVIGSDGNVYRRHLDNWTWRWTSHGHPSAPSAAAAALDTAAGDKSCATPGSTVAPHYADGFVGICDEKVAGARPVPFSEDAVVFELRDGRLAELRRGADGCGGWEWARIIGTPASACMTSYWTAVAT >LPERR01G10390.2 pep chromosome:Lperr_V1.4:1:7877620:7884923:-1 gene:LPERR01G10390 transcript:LPERR01G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDPPCRFLLWFLCFVACVLLESAAFVHSCCPLHPSSGQEAKFEQKTYKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQLERRHGRASVSSQEKDTEIDSSDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNSDQQFTDLGEIIQSQAMHIRNGIVSCDGRKLFLSITNGSLLEITELQPLRWTYHGRPPGGDVSYISDAGNARPGTVFTVSSMGDLYEFNKDSRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQKLSSITEVQQDESNDATSMYLTTSTGKVFEYQFPKYTGGAQSNKIRGLWVNHMFPENTKLARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQQYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNIAADNKPNDLSNNPPSRKRKDEDKQQYLYVSTHERDETESYNFLSRSIDLNFHMRVMHADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVYGNLHIRERNGDELLWINCTAMKKGRQVVSGSPWDGVPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHKHYQSPHLILSRSPGTAMRPFHLSLTGSIFMVSEHGGLVEYHFSSQDGWEWVEHGTPHRDVTIIGAPGPCFDGSQLFVIGSDGNVYRRHLDNWTWRWTSHGHPSAPSAAAAALDTAAGDKSCATPGSTVAPHYADGFVGICDEKVAGARPVPFSEDAVVFELRDGRLAELRRGADGCGGWEWARIIGTPASACMTSYWTAVAT >LPERR01G10400.1 pep chromosome:Lperr_V1.4:1:7888558:7892523:1 gene:LPERR01G10400 transcript:LPERR01G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNYNIKDHPNQNNNKLFILYDLEAQTAKGLVLQTKNINKNALIAIDRTRSSDSQGFGRQIQVSETLVHKKLLITAPTSGTKRNRRPTKMKSNLAKRNQN >LPERR01G10410.1 pep chromosome:Lperr_V1.4:1:7895497:7898283:-1 gene:LPERR01G10410 transcript:LPERR01G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTGEQ >LPERR01G10420.1 pep chromosome:Lperr_V1.4:1:7900349:7906156:1 gene:LPERR01G10420 transcript:LPERR01G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGSVLPRMMGRGAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIAYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALLLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDYI >LPERR01G10430.1 pep chromosome:Lperr_V1.4:1:7910695:7916290:-1 gene:LPERR01G10430 transcript:LPERR01G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSTASSAGGKKEKPMSVSAMLASMDAPTSKAKPSKAASSKPKPSSSSSYMGDIDLPPSDEEEDDADLAAVATKPKAARATVDLNAMAPSHKDAKKKDKREAMAAAAAEAAKQEALRDDRDAFSVVMGARVPGSADGDAAAAAADDNIRDIVLDNFSVSARGKELLRNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRHVPVPRSIDVLLVEQEIVGDDRSALEAVVSADEELAALRAEQAKLEASNDADDDDNNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVTNDDDGLKPVDLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFRLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPEQDGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALAEALDEFTGGVVLVSHDSRLISRMCEDEQKSEIWVVEDGTVNKFDGTFEDYKEELLEEIKKEVEEAEVIKVPISNYEFGANYFHPKVVLYRKGHIWCSIMVILVGRVSHDGRVTARTKCDLSENLCLKINAQLTSEPHYSQGMFHLDYKGKDYRVQFQLGNGAYYRGNYIQVAAGQIATTGIVSLSYVQKVSEKVSLASDLMYNPMAKDCRLRGKIDTNGVVSALLEERMAPGANFVLSAEVDHWKKDYKFGLGMSIGE >LPERR01G10430.2 pep chromosome:Lperr_V1.4:1:7910695:7916290:-1 gene:LPERR01G10430 transcript:LPERR01G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSTASSAGGKKEKPMSVSAMLASMDAPTSKAKPSKAASSKPKPSSSSSYMGDIDLPPSDEEEDDADLAAVATKPKAARATVDLNAMAPSHKDAKKKDKREAMAAAAAEAAKQEALRDDRDAFSVVMGARVPGSADGDAAAAAADDNIRDIVLDNFSVSARGKELLRNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRHVPVPRSIDVLLVEQEIVGDDRSALEAVVSADEELAALRAEQAKLEASNDADDDDNNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVTNDDDGLKPVDLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFRLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPEQDGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALAEALDEFTGGVVLVSHDSRLISRMCEDEQKSEIWVVEDGTVNKFDGTFEDYKEELLEEIKKEVEEAEVIKVPISNYEFGANYFHPKVILVGRVSHDGRVTARTKCDLSENLCLKINAQLTSEPHYSQGMFHLDYKGKDYRVQFQLGNGAYYRGNYIQVAAGQIATTGIVSLASDLMYNPMAKDCRLRGKIDTNGVVSALLEERMAPGANFVLSAEVDHWKKDYKFGLGMSIGE >LPERR01G10430.3 pep chromosome:Lperr_V1.4:1:7910695:7916290:-1 gene:LPERR01G10430 transcript:LPERR01G10430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSTASSAGGKKEKPMSVSAMLASMDAPTSKAKPSKAASSKPKPSSSSSYMGDIDLPPSDEEEDDADLAAVATKPKAARATVDLNAMAPSHKDAKKKDKREAMAAAAAEAAKQEALRDDRDAFSVVMGARVPGSADGDAAAAAADDNIRDIVLDNFSVSARGKELLRNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRHVPVPRSIDVLLVEQEIVGDDRSALEAVVSADEELAALRAEQAKLEASNDADDDDNNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVTNDDDGLKPVDLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFRLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPEQDGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALAEALDEFTGGVVLVSHDSRLISRMCEDEQKSEIWVVEDGTVNKFDGTFEDYKEELLEEIKKEVEEAEVIKVPISNYEFGANYFHPKVILVGRVSHDGRVTARTKCDLSENLCLKINAQLTSEPHYSQGMFHLDYKGKDYRVQFQLGNGAYYRGNYIQVSLASDLMYNPMAKDCRLRGKIDTNGVVSALLEERMAPGANFVLSAEVDHWKKDYKFGLGMSIGE >LPERR01G10430.4 pep chromosome:Lperr_V1.4:1:7910695:7916290:-1 gene:LPERR01G10430 transcript:LPERR01G10430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSTASSAGGKKEKPMSVSAMLASMDAPTSKAKPSKAASSKPKPSSSSSYMGDIDLPPSDEEEDDADLAAVATKPKAARATVDLNAMAPSHKDAKKKDKREAMAAAAAEAAKQEALRDDRDAFSVVMGARVPGSADGDAAAAAADDNIRDIVLDNFSVSARGKELLRNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRHVPVPRSIDVLLVEQEIVGDDRSALEAVVSADEELAALRAEQAKLEASNDADDDDNNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVTNDDDGLKPVDLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFRLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPEQDGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALAEALDEFTGGVVLVSHDSRLISRMCEDEQKSEIWVVEDGTVNKFDGTFEDYKEELLEEIKKEVEEAEVIKVPISNYEFGANYFHPKVILVGRVSHDGRVTARTKCDLSENLCLKINAQLTSEPHYSQGMFHLDYKGKDYRVQFQLGNGAYYRGNYIQVAAGQIATTGIVSLSYVQKVSEKCRLRGKIDTNGVVSALLEERMAPGANFVLSAEVDHWKKDYKFGLGMSIGE >LPERR01G10440.1 pep chromosome:Lperr_V1.4:1:7918774:7923196:-1 gene:LPERR01G10440 transcript:LPERR01G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPPGYAPTFADAASSAPPPLTPPGTGKDAAAVAEEEEEEGEKVDYMNLPCPVPYEDLQREAMMALRIDTFEGFRFDFIKGITPFFSLCHSVSMVSMELPSQGADVIKVPTSNYEFGANYLHPKMVLMGRVSHDGRLSARTKWDPSENLCVKINAQLTSEPHYSQGMLHCDYKGKDFRTQLQLGNGAYYGGNYIQSVTKNLSLGTEFFWIGQHRKSGVGFAARYDTKKMVATGQIATTGVVSLSYVQKVSEKVSLASDFMYNPMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEEHMAPGVNFNLSAEVDHWKKDYKFGFGMSIGE >LPERR01G10440.2 pep chromosome:Lperr_V1.4:1:7918774:7923196:-1 gene:LPERR01G10440 transcript:LPERR01G10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPPGYAPTFADAASSAPPPLTPPGTGKDAAAVAEEEEEEGEKVDYMNLPCPVPYEDLQREAMMALRIDTFEGFRFDFIKGITPFFSLCHSVSMVSMELPSQGADVIKVPTSNYEFGANYLHPKMVLMGRVSHDGRLSARTKWDPSENLCVKINAQLTSEPHYSQGMLHCDYKGKDFRTQLQLGNGAYYGGNYIQVATGQIATTGVVSLSYVQKVSEKVSLASDFMYNPMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEEHMAPGVNFNLSAEVDHWKKDYKFGFGMSIGE >LPERR01G10440.3 pep chromosome:Lperr_V1.4:1:7918774:7923196:-1 gene:LPERR01G10440 transcript:LPERR01G10440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPPGYAPTFADAASSAPPPLTPPGTGKDAAAVAEEEEEEGEKVDYMNLPCPVPYEDLQREAMMALRIDTFEGFRFDFIKGITPFFSLCHSVSMVSMELPSQGADVIKVPTSNYEFGANYLHPKMVLMGRVSHDGRLSARTKWDPSENLCVKINAQLTSEPHYSQGMLHCDYKGKDFRTQLQLGNGAYYGGNYIQVATGQIATTGVVSLSYVQKVSEKCRLRGKIDTNGVVSALLEEHMAPGVNFNLSAEVDHWKKDYKFGFGMSIGE >LPERR01G10450.1 pep chromosome:Lperr_V1.4:1:7926432:7927673:1 gene:LPERR01G10450 transcript:LPERR01G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQKSREERAEETARRAADELHAARQHDPSPAAHGGGMLGTVQESARSLLGAVRDKVSAPSSGAGGGYAADEGKGLKDAAEGAKEAARRAVAGSAPARKGETDESAWQQGEDVRRLAAEKARRRGSEHEPSMEEKGRSATENIYGSAASATEAFKQKMTMPEDVVEEKKKHGGGGERGTATAMTTGGEGGTAEEVMMRVKDADQMTGQMFNDVGMMGEEGTGDGRRRR >LPERR01G10460.1 pep chromosome:Lperr_V1.4:1:7930636:7931985:-1 gene:LPERR01G10460 transcript:LPERR01G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSICMALVVLLISLLTPPSSSSSGSAAPPPVTAATLVYLESPTDTPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAAAVLRRFGFPLDAATALLLLFNASAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADSGRLGGNQYDRVEQEDDSSRAVVEMRDIGGSRSNIRERSSAGGSVLQMGNPEREVSMVVSGQSSNQGGSSQHAVIQIEQHEEGETAPLVSTASPNNAVPDEEHRQSSSSDSPLEFEMYESTKGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISIMLGVTFYFLTRLLMEPFVVGSSTNLVMF >LPERR01G10470.1 pep chromosome:Lperr_V1.4:1:7932808:7938950:-1 gene:LPERR01G10470 transcript:LPERR01G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPRVSVSAAASPASGVLLLRGGGPCRIGRRAGVVTAPGWRRRSRVPLPFPGVSVAMVYHTSYCNNDVTVGLRLSSLNNYVNVKELLDSVKWDIKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWIKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYSSVYDALEVLGLYMYLNYEIPSQSNQDRQVVTTLYSLEDTISRRKEEIVTEGGKPSWTKKLLLDPRLLCSKISEEAAELNQTLLENEDKARTISEMGDLLYHAMVLLRLKDVKMEEVFEVLRKRFSQSGGSICQFAISYQRCFYQPTPFPYQKAAARGSSWRLGVLDAGKEREVERERESNVMRPKRERTDAQNHKPGRIQNQNQHASPHLVEFGFGISRGNIYSRLGQYPNLTTKLLKTMEPYDPYAASYNPPSSSDDDAPWKKKTQESPTTTTKKKSKRPPIQFVPATTTSGAGETDDGDHHLPAFASTAGAGYCCNDYEPPLPPEPGSLASNKTVARLMAKMNYEEGTGLGKYGHGIIDPIDTSFKYKKGGIGTVELGLLGPYRRASSFVVVVPGEAGQANWAEVVESGPDQAQAWALDYGELRREREAYAAARARERRHARARAAHMCGRRPGPSDEKTTSAKTEIFRGLSVIRRERESGTLTLGGLVHEFAGLMKKFPEEYRMYRLGFKAIRLAAPLLPSLVQPRDGSKEPIHTNAIVFVQAIRDLLEEDALAAKSAYSGLINGIVLETMKASTWDPIVPQPMLHFVETWKDTLVESTMCFVLEKIIVPALVAAAETWTPDWWSEVPPNVWVSPWIPHLGHARLQRVYKTIGDRLGRLICKRGVTYDDYYSVFTWKEVFDKVSWVEFIKQNVVPLVRKSLSDLKISPKMSWGKSNSFPLVMKWASLVPVEYMVPLLESEFFDKWRDAIHRLIMGVRPSMEQATTWYETWKELFTPELLAEERVLVQLESGLDMISRATMGT >LPERR01G10480.1 pep chromosome:Lperr_V1.4:1:7940461:7941369:1 gene:LPERR01G10480 transcript:LPERR01G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETATTGGLYYCHMCSSPVSAVAASSTEEEIKCPYCHSGFVEEIESATAAEDPAGGEDGPGSVAGSGSGVWAPIIDGVFAGGRHHRSRRVRAAAASTAGGELDLLDFSERRRRTAGLLLLLQEMREHRLESYSSAGGGGSGSGSSDVEADNFRRRSGLDALVERLGAGRQATRPAKKEAVEAMPTVDGDSAAAGGACAVCLEDYGGGGGEVAREMPCRHKFHGSCIVRWLESNSSCPICRFQLPTDDDAGGGDGAAVSIADLYARRMPLSAELREIRSLLAPWSSSSSTSGSSSQRRGGD >LPERR01G10490.1 pep chromosome:Lperr_V1.4:1:7942128:7946628:-1 gene:LPERR01G10490 transcript:LPERR01G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTEAAAESGAAVMRRRPKTKIVCTLGPASRSVEMIERLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITVSTDYTIKGDDNVISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSKICLQAESCVDHAAVFKYITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAINNAKAMGLCNTGESVVALHRIGTASVIKLLTVN >LPERR01G10500.1 pep chromosome:Lperr_V1.4:1:7950564:7956523:-1 gene:LPERR01G10500 transcript:LPERR01G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHALLLLLWISAAAAASRPPLDTLGIPPQDEAYFRGGVIKCRDGSGRFTRDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKVATYKSGVVIRNQEVQKAKAAIAKDKAELAKLKGEEKILQGLVDKLKEQKNLIEKAEEEERLRKEKEEKRIKEEAEKQAADEKKASDASPEADSQENHENVQEDESKAAAHHDGHHDSHDSHTPNSESSVEQHDPESQDGTEAVPGDESPSEETSAAPIKEKESTPADSEGLSREELGRLVASRWTGEKVDEVSEDDKKEHEAAHDVPEPSEETHEDESDVPEPAEDSYTGYHSEVEDDRHKYDDDDFSHESENEYVDDHDEHLSSYKSDDDQKGDDHSDLTASGQASWLDKIQQTVHNVLQAFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRVSTLTDKLKHDFGKEMEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRIMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCVEDKLKELEQKLEGMSSNQSGHDEL >LPERR01G10500.2 pep chromosome:Lperr_V1.4:1:7950602:7956523:-1 gene:LPERR01G10500 transcript:LPERR01G10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHALLLLLWISAAAAASRPPLDTLGIPPQDEAYFRGGVIKCRDGSGRFTRDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKVATYKSGVVIRNQEVQKAKAAIAKDKAELAKLKGEEKILQGLVDKLKEQKNLIEKAEEEERLRKEKEEKRIKEEAEKQAADEKKASDASPEADSQENHENVQEDESKAAAHHDGHHDSHDSHTPNSESSVEQHDPESQDGTEAVPGDESPSEETSAAPIKEKESTPADSEGLSREELGRLVASRWTGEKVDEVSEDDKKEHEAAHDVPEPSEETHEDESDVPEPAEDSYTGYHSEVEDDRHKYDDDDFSHESENEYVDDHDEHLSSYKSDDDQKGDDHSDLTASGQASWLDKIQQTVHNVLQAFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRVSTLTDKLKHDFGKEMEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRIMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCVEDKLKVLSHPPADVFCSFLFNMYNSLPSCALVTGIGTEA >LPERR01G10510.1 pep chromosome:Lperr_V1.4:1:7959945:7960382:-1 gene:LPERR01G10510 transcript:LPERR01G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKAWSVPMILAALAVLSCVFLVHGLYGLIDLFGSPPDHHHLSPLLIFALCFLLLLAAAAAATTINNYNGYGYRRALLPRDEEAAAVVIPEAAAVGMAGVVEADRMPAIAVGEEEEEMGRRMDLQTQDYPGSGANSRHDPRNPH >LPERR01G10520.1 pep chromosome:Lperr_V1.4:1:7980408:7984653:1 gene:LPERR01G10520 transcript:LPERR01G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRPGSRDDPAIKLFGRTIPLLLDPPHAAAEVMNKLGNDVKTNNDIPLVPDKLLIAEDTPFCSNNSKKNNLEAIGRQDGRMEIDSLSEDVKTEPDGSIPEKMLKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHASQYRQAMMSSSNTADSGEVSDVVHNQAITLGSSALPVTLNENEKATEFRSEVPPCKSSASILENGEPNNTDLVPLASGDNKEEKSCASSAVVSSCLADNTIVKEPNNRSGCCNGVALPYPAGPPLVLPWSLGWNSVAVMAAAQCSTQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPPLWSCFPGWPNGMWNSQWPESNNTTVPSPSANKISCSGNNSLVLGKHSREEGLQEEGKTRNNLWVPKTLRIDDPAEAAKSSIWTTLGIKPDVKGIFKSFQSNAPKTGTTPESPQALQANPAAFSRSQSFQETT >LPERR01G10530.1 pep chromosome:Lperr_V1.4:1:7980522:7980752:-1 gene:LPERR01G10530 transcript:LPERR01G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGGGVRGIEEERDGAAEELDGGVVPTSRSNRGHGCAVPISAALAPPPPPPPGCPREKDGGERGFRRRGRVACH >LPERR01G10540.1 pep chromosome:Lperr_V1.4:1:7985326:7991977:-1 gene:LPERR01G10540 transcript:LPERR01G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLLDASPRPPPPPPPPASSLLPPPQQEPPLRADRLAFSLDVPDPFRREDHPQQQQPDASRSVVVGDPSAAAAAAAGFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLARVLAAFSAPGGGEFPPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQVRFSQDGSVGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLELTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYCSGKDSCGYSVVVDDLLWTPKMAVRTDPQLLKLLRSGGTDEETKLALEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPNKTVKLYVKGADSSLFGITKSSLDLDIVRATEAHLHKYSSLGLRTLVVGMRELSLPEFEEWQLAYENASTAVLGRGNLLRSVAANIENNIHILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINSNSKESCKRSLEEALATAKKLRITSSTGTLSPELASESAGITLALIIDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVIFYIPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWVLPGYGCPRKLNAFMSLHAMVPHFVIKAFSEHFIPSDIQIAREIEKSENVNLVNRSEVSMTRLDDPRR >LPERR01G10550.1 pep chromosome:Lperr_V1.4:1:7995467:8013904:1 gene:LPERR01G10550 transcript:LPERR01G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYGDGDHYRTLGISRGATKAEVKAAFHRLAQIHHPDRHHATSESAARDAAASRFRRVYDAYNVLNDDTARAACDLRLRLRSSSHGRRRRRPLPDAGHRPRREQGGATMASGDGDGDHYRTLGISHGATKAEVKSAFRRLAQLHHPDRHHDAAAATSQFRRIYESYSVLNDDTARAAYDLRLRSSSSYYAGYTGGGGGSNSGWSGYGYGYSGLSRRYESSTDVQMSCYSDLRRHRLVQTAAASLSAWLPAAMAGDHYQTLGLRRDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRADYDLRLRSPSSSSSYYYGRASTSSSSYGYGYGYGHRHGGGGGSWRRPPPGGGGGAASAAASAGFDWDLLMKAVTRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGKSFEDAMESIEKVKVQKGNR >LPERR01G10550.2 pep chromosome:Lperr_V1.4:1:7995467:8013904:1 gene:LPERR01G10550 transcript:LPERR01G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYGDGDHYRTLGISRGATKAEVKAAFHRLAQIHHPDRHHATSESAARDAAASRFRRVYDAYNVLNDDTARAACDLRLRLRSSSHGRRRRRPLPDAGHRPRREQGGATMASGDGDGDHYRTLGISHGATKAEVKSAFRRLAQLHHPDRHHDAAAATSQFRRIYESYSVLNDDTARAAYDLRLRSSSSYYAGYTGGGGGSNSGWSGYGYGYSGLSRHGSGFPPAAMAGDHYQTLGLRRDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRADYDLRLRSPSSSSSYYYGRASTSSSSYGYGYGYGHRHGGGGGSWRRPPPGGGGGAASAAASAGFDWDLLMKAVTRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGKSFEDAMESIEKVKVQKGNR >LPERR01G10550.3 pep chromosome:Lperr_V1.4:1:7995516:7998614:1 gene:LPERR01G10550 transcript:LPERR01G10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYIVLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSVHEHVHRKLVGL >LPERR01G10550.4 pep chromosome:Lperr_V1.4:1:7995516:7998895:1 gene:LPERR01G10550 transcript:LPERR01G10550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYIVLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSVHEHVVSTPSDCMRFICHERLGYLKPNKLTAK >LPERR01G10550.5 pep chromosome:Lperr_V1.4:1:7995632:7998614:1 gene:LPERR01G10550 transcript:LPERR01G10550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVSQYPAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYIVLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSVHEHVHRKLVGL >LPERR01G10550.6 pep chromosome:Lperr_V1.4:1:7995467:7999365:1 gene:LPERR01G10550 transcript:LPERR01G10550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYIVLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSVHEHVHRKLVGL >LPERR01G10560.1 pep chromosome:Lperr_V1.4:1:8014849:8015571:-1 gene:LPERR01G10560 transcript:LPERR01G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCVSLDATWAHLPAPPPPPPATWLSTAFADDALTAALWASMSPSSAATGAASYRSAASPSPTPSSSTTTTTSSASAGGVDILAATPARAATRPTGRVAKRKPRPSRHRAHTTYISADPADFRRMVQEITGFPAVPGAAVYASSTPAPHHTTSASATTALACVLPTLDTSAFLLDRASPPPEPKRKTTTTAVSPATAMASTSPAPEHEASSSMLMLQELEEMMSSSAAYPTLESWGMI >LPERR01G10570.1 pep chromosome:Lperr_V1.4:1:8027259:8041550:-1 gene:LPERR01G10570 transcript:LPERR01G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVSDRSLSLQLRLDIRNYVINYLATRGPKLQQFVVKSLILLVCRITKFGWLDDDKFREIVKEASDFLALASQDHYFIGLKILNNLVTEMNQANAMPLTLHRKIACSFKDQYLLQIFQISLTSLHQLKNEVPDDFRRDPLSLALKCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFANDPSDDTLDNVEVLQEQLESLPYLCRFQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYGRLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESIKFIIANHSRETFPFLEEYRCARSRTTFYYILGYLVFMEDSPVKFRSFMEPLLQIAVNLEATTDAAFQTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPAVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGNKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAYYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNVTAGDGPPSPAAVNLARHIGEFPSLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRFSQCFDKLMADVARNLEPKNRDKFTQNLTTFRHDFRVK >LPERR01G10570.2 pep chromosome:Lperr_V1.4:1:8027259:8041550:-1 gene:LPERR01G10570 transcript:LPERR01G10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVSDRSLSLQLRLDIRNYVINYLATRGPKLQQFVVKSLILLVCRITKFGWLDDDKFREIVKEASDFLALASQDHYFIGLKILNNLVTEMNQANAMPLTLHRKIACSFKDQYLLQIFQISLTSLHQLKNEVPDDFRRDPLSLALKCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFANDPSDDTLDNVEVLQEQLESLPYLCRFQVATSCQVLLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYGRLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESIKFIIANHSRETFPFLEEYRCARSRTTFYYILGYLVFMEDSPVKFRSFMEPLLQIAVNLEATTDAAFQTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPAVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGNKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAYYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNVTAGDGPPSPAAVNLARHIGEFPSLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRFSQCFDKLMADVARNLEPKNRDKFTQNLTTFRHDFRVK >LPERR01G10570.3 pep chromosome:Lperr_V1.4:1:8027259:8041550:-1 gene:LPERR01G10570 transcript:LPERR01G10570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVSDRSLSLQLRLDIRNYVINYLATRGPKLQQFVVKSLILLVCRITKFGWLDDDKFREIVKEASDFLALASQDHYFIGLKILNNLVTEMNQANAMPLTLHRKIACSFKDQYLLQIFQISLTSLHQLKNEVPDDFRRDPLSLALKCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFANDPSDDTLDNVEVLQEQLESLPYLCRFQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYGRLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLEINLEATTDAAFQTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPAVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGNKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAYYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNVTAGDGPPSPAAVNLARHIGEFPSLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRFSQCFDKLMADVARNLEPKNRDKFTQNLTTFRHDFRVK >LPERR01G10570.4 pep chromosome:Lperr_V1.4:1:8027259:8041550:-1 gene:LPERR01G10570 transcript:LPERR01G10570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVSDRSLSLQLRLDIRNYVINYLATRGPKLQQFVVKSLILLVCRITKFGWLDDDKFREIVKEASDFLALASQDHYFIGLKILNNLVTEMNQANAMPLTLHRKIACSFKDQYLLQIFQISLTSLHQLKNEVPDDFRRDPLSLALKCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFANDPSDDTLDNVEVLQEQLESLPYLCRFQVATSCQVLLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYGRLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLEINLEATTDAAFQTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPAVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGNKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAYYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNVTAGDGPPSPAAVNLARHIGEFPSLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRFSQCFDKLMADVARNLEPKNRDKFTQNLTTFRHDFRVK >LPERR01G10580.1 pep chromosome:Lperr_V1.4:1:8041602:8043141:-1 gene:LPERR01G10580 transcript:LPERR01G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSHHSTAHNDTPGCNGTHTVIAPHSLTAAARCQHTPLSPHTRSRARTLPTPPRPVLLFSVPRLRFRLPHTNTITTTAPKAHASDPLALDLAAAAAASATAADREPPRVTPRFRWARGGDHQVCGLFFSCARIIYFSFKALMNLVAY >LPERR01G10590.1 pep chromosome:Lperr_V1.4:1:8054945:8056617:1 gene:LPERR01G10590 transcript:LPERR01G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFPTVSFLASPPRARPLPAAANVVSTIPATRLHCKSHSSLQSPLNTSFTKKRPVSVHASAEAGAEEAGTDKPEEPKPAASIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGRWPPSKMKKLKNV >LPERR01G10600.1 pep chromosome:Lperr_V1.4:1:8058316:8062671:1 gene:LPERR01G10600 transcript:LPERR01G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLAYRLLVPLSAEPDQTRESYVVYMGGGGGVEEAEAVRARHVEMMTSVSPPAGDEQGRVMAAVKHSYHHAFDGFAADLTEAEAAALSGHEKVVSVFKDRLLQLHTTRSWDFLDVQSGLRSDRLSLRASSDVIIGVIDTGVWPESASFSDAGMGPVPSRWRGVCMEGPDFNKSHCNKRLIGARHYGTQPGPSSSDGSSARDTVGHGTHAKGGAAAASGRLAAYKACSLGGCASSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLSDPIALGAFHANLKGVLVVCSGGNDGPNPYTVVNTAPWILTVAASSIDRSFQSTIVLGNGSSVKGIGINFSNQSITGGHYPLVFGPQIAGRYTPVSEASNCYPGSLDAEKAAGKIVVCVGTDPMVSRRVKKLVAESAGASGLVLIDDNEKSIPFVSGGFPFSQVGVDAGAQILEYINSTKNPTAVILPTEDVKEVKPAPIVASFSGRGPGGLTEAILKPDLMAPGVSILAATIPTADKEDVPAGKKPSAFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTATTRNNMGNPVVSSTGAAATGHDMGAGEISPLRALSPGLVFDTTTRDYLDFLCYYGYKEQLVRKLAGAAAATFTCPATAPSPDMIAAGVNYPSISVPRLIAGKTVAVTRTAMNVGPSNATYAAAVEAPPGVTVRVSPERIVFSRRWTTAAYQVSFAAAAGGGVKGYVHGAVTWSDGAHSVRTPFAVNVV >LPERR01G10610.1 pep chromosome:Lperr_V1.4:1:8063615:8066970:1 gene:LPERR01G10610 transcript:LPERR01G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNQRRLYQVWQGSNKFLCGGRLIFGPDAGSLFLSTVLIASPLVGLCCQCITKMNNSSNSEKQVLGLPALIATIVLGLSDLVFLLMTSSRDPGIVPRNTRPPESDEQGVAGDVTTASAEWVTAASPHLRLPRSKDVVVNGCVVKVKYCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCIYVFTLSWLNIVAQRDSNSGSLLKSMAGEPLSVVLIVYTFVSVWFVGGLTVFHLYLVSTNQTTYENFRYRYDKKENPYNRGAMVNISEVFCTRIPSSMNNFRSWVALPEEPEDGGQLSSRNGAGLTEGIKEKVDLEMGGNGIIPTILQGLDYRELEKNNVSVHIKDRRAAPAAPDPFMGGQRGCAEGCKGEATGGDSLDVHDEDDERTPAMRLNVNSERR >LPERR01G10620.1 pep chromosome:Lperr_V1.4:1:8068213:8071203:1 gene:LPERR01G10620 transcript:LPERR01G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAAMRRRHAGLSSAASAKPRVVRFRVAASSSAAPAAAKTGSPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNQEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVISELFHLHGSTVYTEGCYCVPLLTVVFVWDVLQVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINKKIIAVGESDDIPLVKNLKRIPHIAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >LPERR01G10630.1 pep chromosome:Lperr_V1.4:1:8076754:8083563:1 gene:LPERR01G10630 transcript:LPERR01G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKDFDQQLIKTQIYIYTPLKDPNSGGMVETDPEGALAGFGQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYSKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRVQGHIDQVNKLLECGDRSKGMRKYQAIEKWNTQLKSIYQTVSNRVG >LPERR01G10640.1 pep chromosome:Lperr_V1.4:1:8086226:8086449:-1 gene:LPERR01G10640 transcript:LPERR01G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYEDSGWHGSRRKPSSVFCWADSGYAFGRRNPLEGAVEVPPASLPMRRSPGENLYDDGGILRVVTLLEASF >LPERR01G10650.1 pep chromosome:Lperr_V1.4:1:8089779:8094170:-1 gene:LPERR01G10650 transcript:LPERR01G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGDVPLLVSCKVYHEHCPGCRQERKVHANDRLPYLDFLYIWIACLCSALPIQSLFPYLYFMIRDLKVAKQEQDIGFYAGFVAPCIVQAYASEVCRKEHQALGISLPATKYPNLFSEQSIFGRFPYFLPSFVISVLAAGACVACIWLPETLHMHHDDKVEAIDALEAQDGTAKESGTWRMGHAKNLLRNRQLMSAITLYCVFSLHDTAYLEIFSLWSVSSRKYRGLGFTSQDVGVVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRSAAVLSILLLSTYPFMANLYGLELKVLINVASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAVAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFSLPDPMQ >LPERR01G10660.1 pep chromosome:Lperr_V1.4:1:8100367:8100949:-1 gene:LPERR01G10660 transcript:LPERR01G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKNDDEAAAAALLTQRRREGCPGCKIEEKCKAEAGIPYLNFFYIWVVCLCAFDASCIQNEELRTNYN >LPERR01G10670.1 pep chromosome:Lperr_V1.4:1:8113045:8118166:1 gene:LPERR01G10670 transcript:LPERR01G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVPDASSTHHGSHAPPSTPALAAGRSPPFTSASAPSYPVAGRAPPSSPVGPRPPLWAARVHPPHPSPRSSSSPRIPLLPPKPWPRSASSAHARARIRPWHPSDGARTPSTASTCSGLCLATNLPPQSEY >LPERR01G10670.2 pep chromosome:Lperr_V1.4:1:8113045:8118175:1 gene:LPERR01G10670 transcript:LPERR01G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVPDASSTHHGSHAPPSTPALAAGRSPPFTSASAPSYPVAGRAPPSSPVGPRPPLWAARVHPPHPSPRSSSSPRIPLLPPKPWPRSASSAHARARIRPWHPSDGARTPSTASTCSVLVAAVAVKRVGPLVSGSIGEKKASSELDGDGDEPPLLLSPSVVCNCPLLSMSCWLVATALPRWNSCSTRLR >LPERR01G10670.3 pep chromosome:Lperr_V1.4:1:8113045:8117928:1 gene:LPERR01G10670 transcript:LPERR01G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVPDASSTHHGSHAPPSTPALAAGRSPPFTSASAPSYPVAGRAPPSSPVGPRPPLWAARVHPPHPSPRSSSSPRIPLLPPKPWPRSASSAHARARIRPWHPSDGARTPSTASTCSVLVAAVALARAY >LPERR01G10670.4 pep chromosome:Lperr_V1.4:1:8113045:8118175:1 gene:LPERR01G10670 transcript:LPERR01G10670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVPDASSTHHGSHAPPSTPALAAGRSPPFTSASAPSYPVAGRAPPSSPVGPRPPLWAARVHPPHPSPRSSSSPRIPLLPPKPWPRSASSAHARARIRPWHPSDGARTPSTASTCSGLCLATNLPPQSETTPNSIFSLKVSYYYQFFAIWTSGPLVSGSIGEKKASSELDGDGDEPPLLLSPSVVCNCPLLSMSCWLVATALPRWNSCSTRLR >LPERR01G10670.5 pep chromosome:Lperr_V1.4:1:8113045:8118172:1 gene:LPERR01G10670 transcript:LPERR01G10670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVPDASSTHHGSHAPPSTPALAAGRSPPFTSASAPSYPVAGRAPPSSPVGPRPPLWAARVHPPHPSPRSSSSPRIPLLPPKPWPRSASSAHARARIRPWHPSDGARTPSTASTCSVAHSSVAV >LPERR01G10680.1 pep chromosome:Lperr_V1.4:1:8122750:8128045:1 gene:LPERR01G10680 transcript:LPERR01G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAHLRVQMRAPGDISSPSSPQPFVLATATRLAAPRLATPRHALTDLSHAGEKRSSRPSPTSPEETELAELARLRARPAHHATQASKQDATPAAAVPPLPGGPRIVAGAGAAHAVTGNWGWIGGGGVRVFRRRAIRGTDVRSNTSSSSSSRKGRDDARHGGGHGGYGGGGDEGEEAGALLASVRRLLLLSGSSPADDAAAAAEEDGDEKGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNYGWPSVFYSFGSLGIFWFSTWASKAYSSPLEDPGISPQEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTIVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKLSVVLYLVGTLVWNLFSTGEKIID >LPERR01G10680.2 pep chromosome:Lperr_V1.4:1:8122750:8128045:1 gene:LPERR01G10680 transcript:LPERR01G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAHLRVQMRAPGDISSPSSPQPFVLATATRLAAPRLATPRHALTDLSHAGEKRSSRPSPTSPEETELAELARLRARPAHHATQASKQDATPAAAVPPLPGGPRIVAGAGAAHAVTGNWGWIGGGGVRVFRRRAIRGTDVRSNTSSSSSSRKGRDDARHGGGHGGYGGGGDEGEEAGALLASVRRLLLLSGSSPADDAAAAAEEDGDEKGQFPKRIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNYGWPSVFYSFGSLGIFWFSTWASKAYSSPLEDPGISPQEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTIVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKLSVVLYLVGTLVWNLFSTGEKIID >LPERR01G10690.1 pep chromosome:Lperr_V1.4:1:8127246:8130804:-1 gene:LPERR01G10690 transcript:LPERR01G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWSPAFAAALLVVVVAAAAAGVASASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHVTCNRDGRVTRLDLGNSNLSGHLVPELGHLEHLQYLELYKNNIQGTIPTELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNNLTGPIPRELAKISNLKVIFDKNPRLEGPELQGLATYDTNC >LPERR01G10700.1 pep chromosome:Lperr_V1.4:1:8134662:8141089:1 gene:LPERR01G10700 transcript:LPERR01G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQAAIVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQSNNTVFHTGALAFDVEYARWLEEHNRQINELRSAVNAHASDDELRSVVTKIMSHYEEIFKQKGSAAKADVFHVLSGMWKTPAESIPFLVIEEHAISSYDHYLFADEFTDELDLLKVRKLQLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >LPERR01G10700.2 pep chromosome:Lperr_V1.4:1:8134662:8141089:1 gene:LPERR01G10700 transcript:LPERR01G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQAAIVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQSNNTVFHTGALAFDVEYARWLEEHNRQINELRSAVNAHASDDELRSVVTKIMSHYEEIFKQKGSAAKADVFHVLSGMWKTPAESIPFLVIEEHAISSYDHYLFADEFTDELDLLKVRKLQLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >LPERR01G10710.1 pep chromosome:Lperr_V1.4:1:8144163:8151736:-1 gene:LPERR01G10710 transcript:LPERR01G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHERAVEMQVNKEAPPGCLKSISQYNPQEQRSNGFPENSEKKNDIIAREIVLEASPLPNQGFIRPFQRPEYYAYPFIYADYQVPRQLQPYSFDNRFYQINRDHSFPIENRVQYVSFKMPPQGYPPDAQFQEFQYFVVIDFEATCDKENNLHPQEIIEFPSVLVNSATGQLEASFQTYVRPAHHQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKHKNFAIVTWSNWDCRVMLESECMLKKIRKPPYFNRWNGMQNALLVTQLGPPISDRALSAFRKGGKKWINLKVPFQEVFGGVRCNLKEAVEFAGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSITCQSSPARSPDPNQSQHKPMEVLGSPVQVNPYAGAAVKKLMYCYCGVLSQIKVTYRPGPNHGRYFYGCGNYTATRKASCGYWVWMS >LPERR01G10720.1 pep chromosome:Lperr_V1.4:1:8154832:8160239:1 gene:LPERR01G10720 transcript:LPERR01G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRARAHQVMSARGCANLEVLLNADAKNVEEHHSSECNCKDCQKLDCCHQPKPLVFKSSFSSGTFMWSRGFSSQAGANSGDKQDELEEGFSDLEVPPEADKKDLGLTSDESSESDDDTIDALGLSEVEADAKPEESIKKLPQSTLLTALLESPRVDIPGAVKKWLDNGNTLDRSELFYIILGLRKRRLFIKALQLLECVEEFKLLDYEERDYASRVDLVAKVNGIYKAEKYVENIPASHRGEIVYRTLLANCVAIANVKKSEQVFNKMKNLGFPLSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQTMQADGIEPDIMFQATIARHYIFSGHREKAEAILGQMEGDDIKENRPACKILLPLYAFLGKNADVERVWKVCEDNARLNECMPAIEAFGRIGDVEKAEEIFENMFKTWKTLSSKYYNAMLRVYAQKGLFDKGKELAKRMGDEGCRIGPSTLDSLVKLHLDAGEVEKADSILHKLSYKNKIKPMYTTYMMLLDSYSKKGDIHNSEKLFSKVRQMGYTGRIRQYQLLLHAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNKKHAMSELLD >LPERR01G10730.1 pep chromosome:Lperr_V1.4:1:8159511:8161792:-1 gene:LPERR01G10730 transcript:LPERR01G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRYDNSSEIGVFARLTNAYCVLPDGGAENFFSAFESDVAGVVPVVRTSIGGTRIIGRMCVGNKNGLILPHTTTDQELQHLKNSLPDNVVVQRVEERLSALGNCIACNDHGTEEVISDVLGVEVFRQTIAGNILVGSFCSFSNKGGLVHPQTSVEDQEELSTLLQVPLAAGSINRGSEVVAAGMAVNDWTAFCGADTTATELSLVESVFRLRDGRPRAIGADMTRVYNKEQAKHTIPAFTRSTL >LPERR01G10740.1 pep chromosome:Lperr_V1.4:1:8171797:8176911:1 gene:LPERR01G10740 transcript:LPERR01G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGTLVLACVVLLSKPCAREMRLFLSTLCQELALSLLGFLAGYYRLLGGGVGDDGTDMPLMPSFKRKRGAAAAAAATTAVVVAEDAAACDGEPCVLDLPELAIECILARLPPGELRSMAAVCRSMRERCRGDHLWERHMAEKWGAVLGHAAREEWTAYLASSAASGDSGGAAACSVSDGGGGRHRKWLAALSCVCPVVSWMRPRADGGGGVGVAGSKSAAGPVLDDSVMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVLEDGVQWDRVRAPPVDTHAHDLHVSDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILEFRTLTWFRFSIDDPVGGVNVRLTTVLSIAFDSCLPSPRLRRRRGRVDALIIGQREEERDKTIRITGHERGFQQDSRGRGSFVGTYLGSASAMMAAERGEIELELSASR >LPERR01G10740.2 pep chromosome:Lperr_V1.4:1:8171797:8176010:1 gene:LPERR01G10740 transcript:LPERR01G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGTLVLACVVLLSKPCAREMRLFLSTLCQELALSLLGFLAGYYRLLGGGVGDDGTDMPLMPSFKRKRGAAAAAAATTAVVVAEDAAACDGEPCVLDLPELAIECILARLPPGELRSMAAVCRSMRERCRGDHLWERHMAEKWGAVLGHAAREEWTAYLASSAASGDSGGAAACSVSDGGGGRHRKWLAALSCVCPVVSWMRPRADGGGGVGVAGSKSAAGPVLDDSVMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVLEDGVQWDRVRAPPVDTHAHDLHVSDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >LPERR01G10740.3 pep chromosome:Lperr_V1.4:1:8176018:8176911:1 gene:LPERR01G10740 transcript:LPERR01G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGGVNVRLTTVLSIAFDSCLPSPRLRRRRGRVDALIIGQREEERDKTIRITGHERGFQQDSRGRGSFVGTYLGSASAMMAAERGEIELELSASR >LPERR01G10750.1 pep chromosome:Lperr_V1.4:1:8176450:8183479:-1 gene:LPERR01G10750 transcript:LPERR01G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRQWGAGAGAGDVPSQERKGDAAKIVRKPKTTMVAQQQPRIRRALADVSNLVNGRAAALPVVNRHKTAVVAADKCRKPIKLRNETNKAKPEVIVISSDSEKQKKNQVQRAASRRRAPIHTLTSILTKCSRASDGVITSPKKAPVYDIDASDVHNELAVVDYVEDIYRFYKRTENACRPLCTYMISQAEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVTRKEFQLVGVSAMLIACKYEEIWAPLVKDFLCISDNSFSREQVLSTEKSILNKLQWNLTVPTMYMFIIRYLKAALGDKELEHMTFFYAELALVQYSMLFYAPSVVAAAAVYAARSTLGLSPLWSDLLEYHTGLAEPQLLECARRLEAAPVSFFARKGVLLFLQQMSSMEWHVLPESGSILSAGDELFENQREEQGIYWTLWDSKLSDDLNIASVYSDNHRNSGSAQSFDTSEHCSTIPSDSEEQPGYPSHFEPLQTNDMFLGQFSDEEVRRMDAPFQSLDMFPDSMHRLMSYEHMLSGALVSGSQNGEASVVHQDDMDTCGFPLYFSHGALQDDDLMKVGVSTTDRSNPGRSPGSEEAVVLEELEEVMFQMARTTRICLRDAFYRLADSSSSISPRSAAIIADAEPRASTRPRRRRSRGEGRQESNAIDRTVLAMSFRGFKNVEADA >LPERR01G10760.1 pep chromosome:Lperr_V1.4:1:8179255:8182743:1 gene:LPERR01G10760 transcript:LPERR01G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYQNLGCQGDTNDETASDDTNDETAKFQGRLRRSSRRREKLLGWRVEGDASELGARVLPVDRLLLALRRRRVEAHQTPRALPAINHGQLDWLPALVSCYNCCLVAIHDGQSSSPAIDEITDVGKSSTNSWLLLSNHCGLGLPDNLGSVCRNAK >LPERR01G10760.2 pep chromosome:Lperr_V1.4:1:8181315:8182743:1 gene:LPERR01G10760 transcript:LPERR01G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTGAFFGLVITPSEACVQNKISANRRILQTKIPKAAYICQAQHKNIKQHNQSSLPCCTWSGSSLIGFLHLSAATTAVLWRFTTGRAAARPLTRLLTSARARRILGCC >LPERR01G10770.1 pep chromosome:Lperr_V1.4:1:8185578:8186309:-1 gene:LPERR01G10770 transcript:LPERR01G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATLMSTFSHRHSAPLYLFCNVSTLPKHHRGGGGFEFATAAGGKQQQQQRGGDVRDDDDGDGGAGVRACSSDVSAAMFADELFRDGAALLPPLKLPPRLQTPSASAAASAATSPTATAAKAAATSRSPFASSGRKSSKQHGGFDPFAAALEKVRRDVAAGAAPIRRARSLSPLRVPAAAAVAKGNTAARAVVAARPAWRRRRRGMKRLLCGAAMPHRAGDGDGGGGAPYRRGLLVCFGF >LPERR01G10780.1 pep chromosome:Lperr_V1.4:1:8187325:8193350:-1 gene:LPERR01G10780 transcript:LPERR01G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTLLVHFDKGSSAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPQLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAATGRALPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPELLEPLVPSILENLGHRHHFIRRHALSAISAIYRLPHGDQLVPDGPELVERALASEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSPAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTHRNVEEVVLYLKKEVVKTQAGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDLPFYTVSEEGEATDSSKPAQPLVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSKVEVNKACTGALLIMTSILQLGQSSYLPHPIDSDSCDRIVLCVRLLCNTGDDVRKVWLESCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAFVTVNHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTIIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGSSQLAGAFQFTCELCPSLLTAQHDPMARGADY >LPERR01G10780.2 pep chromosome:Lperr_V1.4:1:8188051:8193350:-1 gene:LPERR01G10780 transcript:LPERR01G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTLLVHFDKGSSAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPQLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAATGRALPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPELLEPLVPSILENLGHRHHFIRRHALSAISAIYRLPHGDQLVPDGPELVERALASEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSPAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTHRNVEEVVLYLKKEVVKTQAGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDLPFYTVSEEGEATDSSKPAQPLVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSKVEVNKACTGALLIMTSILQLGQSSYLPHPIDSDSCDRIVLCVRLLCNTGDDVRKVWLESCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAFVTVNHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTIIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGSS >LPERR01G10790.1 pep chromosome:Lperr_V1.4:1:8196396:8198447:-1 gene:LPERR01G10790 transcript:LPERR01G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSISSTGGNLSPSHRLLIAVAAVVAIAATAVPGAAGYKNYTVGDDKGWYDGLTLPGIDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGATYFFSGNYDGEQCQNGQQFAITVAHGQGLPPDLRPPAAEAPGPSAGAGGARSVHVRRALSEPRTTADAAPVFDFSHPKNVSTTPADKTDNDGDGDDGGGGGAPTSAGGRSLAGIGSGLVVVAATLLMVID >LPERR01G10800.1 pep chromosome:Lperr_V1.4:1:8202608:8203984:-1 gene:LPERR01G10800 transcript:LPERR01G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEELLRCQIQEWYPVFCRHTVPTAIIPLPAAFLCYLTGRPAFPDAAADDDAEPLPFFLPSITSGRNPFPPAHALHPDPVSLLDRDNSDLFFDSADGDDGGEGDRDGGSPLRPAFPDLEAAVDSAIAELGGAALPKLNWSAPKDAAFMSADGTIRCTCFAEVAMLLRSSDCVAHDLSSARPSCKDFVPPAEGVRRNAAEAAGADEGARQNASKDASDTTEEKTDQHEVLEIGDTVAPPNGGESSNDAGSLSDSKETADKGRNQRDGGGEVDDVPQEDAEKESNWTWVDDGFPYYLALRKWYSGLRPESEFRCFVRQRRLIAVSQRDPSAYYPSLPGWSAEVQPKIEAFFDQVIQPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQEREDVEFRVVMQHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNRQMASLGADS >LPERR01G10810.1 pep chromosome:Lperr_V1.4:1:8221370:8225552:1 gene:LPERR01G10810 transcript:LPERR01G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDMGRWREMFRGADIYDVIRNAILIAAADSPRELHRRRQGIVELLFAVAPVSTSSLADKGKATAPQAGGSTTRRRSSAGKRKRSDEDAAAAGNAPSKFKQHAVEKLLDEIDEDTDVLNEVLRIKEILINYKEQSEETLFDGLRRLELMRLSLAALKSTEIIGAVVPLGKHRSPVICDLVQKLKTCWKGTASDWVTATSKLDTSPNNTSKPYVVEGDSALPTPPMDMGAFFLFTWSTAEQYVSELGIQAQPLGHKVDIGRTNNSSAERPGLEATKRKLSHAYQEAENELRGCAKLQPLMKLDPTSNVNRYLVVLKRSLA >LPERR01G10820.1 pep chromosome:Lperr_V1.4:1:8236486:8239154:-1 gene:LPERR01G10820 transcript:LPERR01G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFLGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDDEEQVADEE >LPERR01G10830.1 pep chromosome:Lperr_V1.4:1:8246197:8253348:-1 gene:LPERR01G10830 transcript:LPERR01G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRRGLPLRLLLLLLPVSLFVFILLHHRSSVPGAPPLLAGGAGPSPDPRRFSLLIKVLAYDRPASLRRCLRSLAAADYAGDRVALHVLVDHPPAPAPTNASLAASRETLAEADALPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMKYYYDRSNYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSHGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNIWELQPLNKLKWYNFCFTEVLPGRVVRKFRELGSVLKSVQLENNIVLISLYSIEQRIARNLICHLEKAGIQNYIFLIDNPEFLDDLAHRGHPVIDATGMLQSIKMSSSMYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNMISLGSKLIEPSDQSFDLFAAESVDSMFLRGSQDSKRTWNELVILRMADGMMSSRIDFSSALEHKNFVHMITKAFGNSGVVRLGKLDEAIMAAELGPNASNRSLSEDHYCH >LPERR01G10830.2 pep chromosome:Lperr_V1.4:1:8247300:8253348:-1 gene:LPERR01G10830 transcript:LPERR01G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRRGLPLRLLLLLLPVSLFVFILLHHRSSVPGAPPLLAGGAGPSPDPRRFSLLIKVLAYDRPASLRRCLRSLAAADYAGDRVALHVLVDHPPAPAPTNASLAASRETLAEADALPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMKYYYDRSNYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSHGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNIWELQPLNKLKWYNFCFTEVLPGRVVRKFRELGSVLKSVQLENNIVLISLYSIEQRIARNLICHLEKAGIQNYIFLIDNPEFLDDLAHRGHPVIDATGMLQSIKMSSSMYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNMISLGSKLIEPSDQSFDLFAAESVDSMFLRGSQDSKRTWNELVILRMADGMMSSRIDFSSALEHKNFVHMITKAFGNSGVVRLGKLDEAIMAAELGPNASNRSLSEDHCKDSTNLMWEQM >LPERR01G10830.3 pep chromosome:Lperr_V1.4:1:8248095:8253348:-1 gene:LPERR01G10830 transcript:LPERR01G10830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRRGLPLRLLLLLLPVSLFVFILLHHRSSVPGAPPLLAGGAGPSPDPRRFSLLIKVLAYDRPASLRRCLRSLAAADYAGDRVALHVLVDHPPAPAPTNASLAASRETLAEADALPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMKYYYDRSNYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSHGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNIWELQPLNKLKWYNFCFTEVLPGRVVRKFRELGSVLKSVQLENNIVLISLYSIEQRIARNLICHLEKAGIQNYIFLIDNPEFLDDLAHRGHPVIDATGMLQSIKMSSSMYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNMISLGSKLIEPSDQSFDLFAAESVDSMFLRGSQDSKRTWNELVILRMADGMMSSRIDFSSALEHKNFVHMITKAFGNSGVVRLGKLDEAIMAAELGPNASNRSLSEDHCKVLFWSRSMASDSVQSQLENMGLWLIDSDSSCSAVVCSRKQ >LPERR01G10840.1 pep chromosome:Lperr_V1.4:1:8253682:8258216:1 gene:LPERR01G10840 transcript:LPERR01G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIWAGPKGAPAVAIESGGGKRVNCSSSGGNPSGRVPTEQRRRPRSPPPSTSRSPKVREAKQIRRTTADFADVVAAAVWGREMAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYYFHEQLSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDVQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDGEIAFIKESASNYSNLAKAHTAENAKPVEKTEFEKLFRKKAAHQDEEHDSIAATREVPPELTPSSPAAPAQ >LPERR01G10850.1 pep chromosome:Lperr_V1.4:1:8265958:8266752:-1 gene:LPERR01G10850 transcript:LPERR01G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGCGNGCVGGAFGSGCGKGSGGAFGSGCGKGSGGAFGSGCGKGSGGAFGSGCGKGAEHLGQVAAKVEDLGLAVVKVAAAVALAKVVVAAVVVAEAKVAVGLGLAGATVVAALGRAADAGLGAAATSGEEELQQDHQPSFPLQGEETVAAEGGGEDSKRGDASPWLSIASLCDVSLAPAAW >LPERR01G10860.1 pep chromosome:Lperr_V1.4:1:8268068:8268343:1 gene:LPERR01G10860 transcript:LPERR01G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGHNSSETATATCSRRPIVVSLSSSGRCTTRPSCWRRVVTAARRRPLIGRRRSDDADEHRTPVTATTTSIRAFVERNDFYSQECNTHGK >LPERR01G10870.1 pep chromosome:Lperr_V1.4:1:8268509:8271489:-1 gene:LPERR01G10870 transcript:LPERR01G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMSSGRRGFSGSGRPSGLLTLLGLQVALEYGRAGASRPPVTAALLVANALVYLRPGPLDALLPRISRVAFNPFLIVHYGDLTRFFLSAFYHQSETHLFFNMTSLLWKGIQLETSMGSVNFASMVAALLGMSQGITLLLSKGLHLLGHPDAYYDQYSAGFSGVLFGMKVVLNAWSDETVYLHGMVIPAKYAAWAELFLIQAFIPDTSFIGHLSGILAGLAYVWLKRSFSGPSPLSLLISGIGKVVNWPVGSFSGLAVLGVTQQVRVELVNVRQQERLVEVYGDAQPALMTTRLRQISVKCAAVRARAVLFPADRTSKMGGTGSPRSRRFAVGGLRDSPDDTLRHTLQKATMTIALTHQVGASITN >LPERR01G10880.1 pep chromosome:Lperr_V1.4:1:8273591:8274601:1 gene:LPERR01G10880 transcript:LPERR01G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFLSPDFVVPPRPAKPFFSPHDAFLRLPPPGAAARDEVPDLDMSDFDVVSVLHDGFYDSVHKVRLRHAAAADVAARSYALKIPYFEDILTTDEAATSLLRRVDGLDNVVRCHAVFRGHESSHVRSTLFELMNGGSLERVMDCHGGERMPEAAVAEVAASCLTALEGIHSLGVVHLNLTPSNILADDDGNVKICDFTMARLIPPPGPESFVFLGGEGLSLAYLSPERRSPMAMAGTRGAWAADVWSLGVVVAELCLGYRPHSSQVDDGGEVLDGVEFASVEMRGFVSACLQKCVCTRATVAQLLNHPFVADRDAVESRRVLKEFVVSTMEEEDY >LPERR01G10890.1 pep chromosome:Lperr_V1.4:1:8276533:8277285:-1 gene:LPERR01G10890 transcript:LPERR01G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQLLLAAVVLALAVPSLAGDPDMLQDVCVADLASPIKLNGFPCKANVTADDFFFAGLKNPGNTNNAAGSNVTAANVATFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTVGEVFVFPRGLVHFQQNRGHGPAAVVAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDAAQVDAIKAKFK >LPERR01G10900.1 pep chromosome:Lperr_V1.4:1:8281537:8287769:1 gene:LPERR01G10900 transcript:LPERR01G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRGTVAGAGSNPSPNPPTAMACRPAVCAPSALAPPPRHHRLLLLGRASAGGHPLRSTPRALSLGSRRGHGSALVGAVELQAKVTSKCFFDVEIGGEPAGRVVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIINDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVRQLEAQETSRSDVPKQPCRIVNCGELPLDG >LPERR01G10900.2 pep chromosome:Lperr_V1.4:1:8282567:8287769:1 gene:LPERR01G10900 transcript:LPERR01G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATASMADEGAVELQAKVTSKCFFDVEIGGEPAGRVVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIINDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVRQLEAQETSRSDVPKQPCRIVNCGELPLDG >LPERR01G10900.3 pep chromosome:Lperr_V1.4:1:8281979:8282601:1 gene:LPERR01G10900 transcript:LPERR01G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGLSAGAAEAWSPRLSVSAVVSSSHQLALVSSDCSYSSAGTPPAKEGEAAHNGNQHGNGFYG >LPERR01G10910.1 pep chromosome:Lperr_V1.4:1:8290527:8292495:-1 gene:LPERR01G10910 transcript:LPERR01G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAATKIGGRLPRLRDIIDHDDEEDDEFVEEEEEWEEMSKRMSRLSVEGSDGGDADDEDDGFLRADGEEEEEEDGDEVRSDFNDDGEFAAGKGSQWHPSSASLPGTPDRGARPSTSPWLYSKEYASETEAARGGVIGGGGGGGEKNRRRMRHRRERMMREVWLDRAWQMRKQRRQMLTAADAAAVTVVFGAGGESPARGGGVAMDMEEMRACRDLGLDLPCDWTVEIPCYAISGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASAASRLGS >LPERR01G10920.1 pep chromosome:Lperr_V1.4:1:8298306:8303139:1 gene:LPERR01G10920 transcript:LPERR01G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNAARSRRRRRRRSHSPSPPPFRRRPAPPRGHRWLPLLGECPHPSPALLAFTPLVLACPARDASGGCWGDPGTMGVSVGGFHCAVGSCLFRSYQIKQSFSSPVFKWDVIS >LPERR01G10930.1 pep chromosome:Lperr_V1.4:1:8302197:8303700:-1 gene:LPERR01G10930 transcript:LPERR01G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISTDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLTRLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFSSMDVGSRNSLWYNQNETSSSTISTVMPPVSPSTLSTSTGLNPSPDNAHSRGTGVQNAQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLASEKDNQAQLEEELKWPDLLQGTFSEMPAPMHNLSQSLYEDVVKAESQFNMEGFCAAWSQNLQPQQHLPVMSDMYDKDLQRMSLSFENI >LPERR01G10940.1 pep chromosome:Lperr_V1.4:1:8327724:8331832:1 gene:LPERR01G10940 transcript:LPERR01G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSRPDKRAGTGPSRSDPAGGRGGGGAMGGGSGEDVGGGGWWDDPDGDELRARFKAFTGQRCDWPQPKLLFWKDLILRVARRLRLCSAPARIVSGSMPPPPPPAPTLLPPVTDVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPASGSLYQLVRRMSQMAVSKQPIVQDDVVVFKSLVEERAAYIVEQLRNSHWTSTCILTISKFNTFFHGREDCHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDYDTLHLIWTEEKLQHQLDVLHRQWEISRRRALLSFKSGDKQAAYRYARQSKLFSESRKRCTPLLERVEEVISLIANAETTKKVNEAIKISIQAMKEHHVSIEEVNEHLKEVDDLVATQREIDGAIGSVILQSIDSKENIEEEFMKLEAELQDEIPHIQEDPVSHANEELPDEDVDSLSNDLSNIKLEAI >LPERR01G10940.2 pep chromosome:Lperr_V1.4:1:8327724:8331832:1 gene:LPERR01G10940 transcript:LPERR01G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSRPDKRAGTGPSRSDPAGGRGGGGAMGGGSGEDVGGGGWWDDPDGDELRARFKAFTGQRCDWPQPKLLFWKDLILRVARRLRLCSAPARIVTDVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPASGSLYQLVRRMSQMAVSKQPIVQDDVVVFKSLVEERAAYIVEQLRNSHWTSTCILTISKFNTFFHGREDCHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDYDTLHLIWTEEKLQHQLDVLHRQWEISRRRALLSFKSGDKQAAYRYARQSKLFSESRKRCTPLLERVEEVISLIANAETTKKVNEAIKISIQAMKEHHVSIEEVNEHLKEVDDLVATQREIDGAIGSVILQSIDSKENIEEEFMKLEAELQDEIPHIQEDPVSHANEELPDEDVDSLSNDLSNIKLEAI >LPERR01G10950.1 pep chromosome:Lperr_V1.4:1:8335227:8337881:-1 gene:LPERR01G10950 transcript:LPERR01G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLLIYLLVHSVSPRSLLGPPTQPRRLSLLFSLIPHADQQFSAARDFSLPRFTFYFLSLLFSFGFGFHERELTLTSHPAQLRKHGLDCIRLVGVLQLQTRSPSLLDLFSLLGLQAQMSDGNDFAELLWENGQAVVHGRRKHAPPAFPPFGFGGGGGGGSSSNRAQERHPGGGDAFAKAGNFVALGMGAAVHDFTPTFGGAAHDNGDDDAVPWIHYPIIDDDDGAAAPALAANDYGSDFFSELQAAAAAAAAAAAPPNDLGSLPTNNRNAPIATTCSREPPSKETHGGGLSVPTTQASPQLAAGAAKLPRPSGSGSGDGVMNFSLFSRPAVLARATLQSAQRTQGTDKASNVTAASNRVESTVVQTASGARSGPAFAADQRAWPPQPKEMPFASTAAAAPVATAVNLQHEIGSDRAGRNIGVHKNEGRKAPEATVATSSVCSGNGAGNDELWRQHKRKRQAQAECSASQEDDIDDEPSALRRSATRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPAAMQHLQIPPMAHFPHLGMGLGYGMGVFDMNNTGTLPMPHMPGAHFPCPMIPGASPQGLGIPGTNTLPMFGVPGQAVPSSAPSIPPFPSLAGLHVRPNGVPQVSGAMANMVQDQQQGIGNQQQQCLNKEAIQGANTNDPQMQIIMQVLINK >LPERR01G10950.2 pep chromosome:Lperr_V1.4:1:8335227:8337881:-1 gene:LPERR01G10950 transcript:LPERR01G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLLIYLLVHSVSPRSLLGPPTQPRRLSLLFSLIPHADQQFSAARDFSLPRFTFYFLSLLFSFGFGFHERELTLTSHPAQLRKHGLDCIRLVGVLQLQTRSPSLLDLFSLLGLQAQMSDGNDFAELLWENGQAVVHGRRKHAPPAFPPFGFGGGGGGGSSSNRAQERHPGGGDAFAKAGNFVALGMGAAVHDFTPTFGGAAHDNGDDDAVPWIHYPIIDDDDGAAAPALAANDYGSDFFSELQAAAAAAAAAAAPPNDLGSLPTNNRNAPIATTCSREPPSKETHGGGLSVPTTQASPQLAAGAAKLPRPSGSGSGDGVMNFSLFSRPAVLARATLQSAQRTQGTDKASNVTAASNRVESTVVQTASGARSGPAFAADQRAWPPQPKEMPFASTAAAAPVATAVNLQHEIGSDRAGRNIGVHKNEGRKAPEATVATSSVCSGNGAGNDELWRQHKRKRQAQAECSASQEDDIDDEPSALRRSATRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQLSLTQMMSMGTGLCIPPMLLPAAMQHLQIPPMAHFPHLGMGLGYGMGVFDMNNTGTLPMPHMPGAHFPCPMIPGASPQGLGIPGTNTLPMFGVPGQAVPSSAPSIPPFPSLAGLHVRPNGVPQVSGAMANMVQDQQQGIGNQQQQCLNKEAIQGANTNDPQMQIIMQVLINK >LPERR01G10960.1 pep chromosome:Lperr_V1.4:1:8348396:8349652:-1 gene:LPERR01G10960 transcript:LPERR01G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTAPLVEDAVRRLCDAWHGMMADNERCLAMNGPRSRSAAAAVGGNALLLVTVYRHEEECTDVALMDPRTGETVRRMDGLISKRGLHACAGGDMLCVVNAINGVLSLVDAATGHVTDLTPGPTTVTPSATNVYSGYTLGHVSSTGVHKLLHVYDYNDKLSSNRNNYEYSSEVLTVTGRGGEWWRQTGAPPMRVEHKITRGSATVDGVVYFLADATSDRITDAHGRVVGSDSVAAFDLATEQWNPNLITGPLTESNGNHPYTVRRDLALTALAGRLVVVHHNYASKTIELYALVNSSNTLRAWTKTHELPLTTILRGWDKPACGPSEPMKPGRRGGLPKRGRTAVVLPTKEQVKAAIEAVYKELVGQPLMMLEDGRIAVLVRGKEGAVRVYDPKTGVCEDVVRVGRCGNIVGVYTGCV >LPERR01G10970.1 pep chromosome:Lperr_V1.4:1:8349990:8358868:1 gene:LPERR01G10970 transcript:LPERR01G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWPCSLGIRSSPPQKPLPNRRRTQEPVSRKWRPQRRAYARSDKVLIGFAPVHVVIQGLKEKAEAMDHDDVKAIALATRHGVGEVLVTEARGRPGGNITTVEKADEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPDAITSRLGDKVKLSWKLTSITKSDSKGYVLVYETPEGVVSVQAKSVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >LPERR01G10970.2 pep chromosome:Lperr_V1.4:1:8349990:8358868:1 gene:LPERR01G10970 transcript:LPERR01G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWPCFIQGLKEKAEAMDHDDVKAIALATRHGVGEVLVTEARGRPGGNITTVEKADEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPDAITSRLGDKVKLSWKLTSITKSDSKGYVLVYETPEGVVSVQAKSVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >LPERR01G10970.3 pep chromosome:Lperr_V1.4:1:8349990:8358868:1 gene:LPERR01G10970 transcript:LPERR01G10970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWPCSLGIRSSPPQKPLPNRRRTQEPVSRKWRPQRRAYARSDKVLIGFAPVHVVIQGLKEKAEAMDHDDVKAIVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPDAITSRLGDKVKLSWKLTSITKSDSKGYVLVYETPEGVVSVQAKSVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >LPERR01G10970.4 pep chromosome:Lperr_V1.4:1:8349990:8358868:1 gene:LPERR01G10970 transcript:LPERR01G10970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWPCFIQGLKEKAEAMDHDDVKAIVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPDAITSRLGDKVKLSWKLTSITKSDSKGYVLVYETPEGVVSVQAKSVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >LPERR01G10970.5 pep chromosome:Lperr_V1.4:1:8349533:8358868:1 gene:LPERR01G10970 transcript:LPERR01G10970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPDAITSRLGDKVKLSWKLTSITKSDSKGYVLVYETPEGVVSVQAKSVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >LPERR01G10980.1 pep chromosome:Lperr_V1.4:1:8375190:8377600:1 gene:LPERR01G10980 transcript:LPERR01G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKGGNMSPSSSMDSSTHPALSTTSSACRPSAAARRDLSTDLRLGLSLSTSSPSSLQAAAESIPSTPRNQVLSDWPPIKTFLRSALQKASAGSTRRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLVKLCHMFKTPITCKYANITNDQAVF >LPERR01G10990.1 pep chromosome:Lperr_V1.4:1:8382760:8383395:-1 gene:LPERR01G10990 transcript:LPERR01G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISSPSHRLNHSTYWGLGRSRTSPMRATIYFARFYGQKQNMGKRERQSSVRKWKRQRRPPHLHPHCSTLISLEEAYRRRYTTVAGEEDRRRRRRQPEPRAASPEHCSTMRRRWRPERDAAAERRQRLERERERAHKCGQRSPIRSLGARFVAVSSTPPMLSVVNKAAGIEDVAALTSLVTPLEPEGRGGGGWPRQVRAKYGGEGRWNQR >LPERR01G11000.1 pep chromosome:Lperr_V1.4:1:8383673:8384589:-1 gene:LPERR01G11000 transcript:LPERR01G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSPLHALAAVLTAVVAITGAVEARWYGGGAAVTSIVSEQVYASLFLHKDDAACPARGFYTYASFVRAAARFPRFGATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEISPQSSYCDDTNKQWPCYPGKSYHGRGPIQLSWNFNYGPAGQALGFDGLRNPEVVANCSDTAFQTALWFWMTPRESKPSCHQVMVGEYRPGPADIAANRTAGFGLVTNIVNGGLECNRTGDARVNSRIGFYRRYCQVIGVDVGPNLDCEHQQPF >LPERR01G11010.1 pep chromosome:Lperr_V1.4:1:8391477:8400115:1 gene:LPERR01G11010 transcript:LPERR01G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKIVLKRIAKNSTRRLTFKKRRSGLIKKARELASLCGIGVCVAVYGESEVKPEVCPSVPEVRTILSRFTTAPDVDRFKRVMNQEEFLQNRITMIQERMSKEDDETRERDATVMLYEAATGKRPVADLNVGELTNLGLVIDERIKNLKEHIKRLGGEAPMEPSSLPTVPPYANVTGMERNKRMKKIVLDRIANDATRRATFKKRRRGLMKKASELSTLCDVEACLVVFGEGEVEPEAWPSQEDARSVLDRFRALPETEQSKKMMNQEDFLRQRIAKLQDQVAKAVRENRERENKLLLHDALAGKLGGYESLTVEQLTSLDCAVNDKLRAVTARLAEMRAQNQVIPLPALPAPPSMPMPPPMPIQMTMMPPTSSLMTPVAPLPYTNPVELSPMGPPPGFEGIGQIHIQNQNQVQIQIQNQNQNHEPDWLIDVARNGGDLGALVYSGYTGGNSNGASASNSNGASTSSGAGAGWPDMPDIYNPGMLGGYCPWDDSAGPSFPPM >LPERR01G11020.1 pep chromosome:Lperr_V1.4:1:8402423:8402806:-1 gene:LPERR01G11020 transcript:LPERR01G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKACNIFGAPPPQHKDGKGPQQPNSGTTTMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNGS >LPERR01G11030.1 pep chromosome:Lperr_V1.4:1:8414746:8415039:-1 gene:LPERR01G11030 transcript:LPERR01G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKRSVFASLFGFGSSGRQDQDQKKAAAEQQRYYGQIGQNQVRGRTTKVRPSDDDDDDYYGRRWYAERDINRRATEFIDRVHRGMLAAGSEQDDG >LPERR01G11040.1 pep chromosome:Lperr_V1.4:1:8423128:8423820:1 gene:LPERR01G11040 transcript:LPERR01G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKRIAKDSTRRVTLKKRRSGLIKKAGELASLCGIGVSVVVYEEGEVQPEVWPSAPEVRTILSRFTASPDLDRFKRVRNQEDYLQQRIAKIRETMSKEDDENRKRDATVMLYEAATGKRPLADLNVGELTNLVPVIDERIKNLKQRIERLGGEAPMDPSPFQPLLPYVNGMGMERNKRMKVGTENNLLFSTMPTRGNVGTSAYISFGSSGSVSVGTSTRGCDMV >LPERR01G11050.1 pep chromosome:Lperr_V1.4:1:8443291:8449262:1 gene:LPERR01G11050 transcript:LPERR01G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPKQQHHHHRRRGGAGEEEEEDQAAMEHGRLSLRSGGGAFWRHDDENRRRGEIKEVDFFLSSRDSASRRHDDSGGGGRDDVNIGLDLLTTMNGGAAAAAVAGEEETAKNHRKEAAVTTAVDAELRRVVEENRRLRDMLDELTRSYNALYHQFLQVTQQQSHHNHRHPDLTTIMNNNRSSLTQTHRTTAVLNPTTTTTQQFLEPRASSTAAAVAASIDEGEAAGGGDASPNSSLMSNAGGGNKRRIAQDETAAPVPAPARENGEQAAAELPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMANGCPVRKQVQRCAEDKTVLITTYEGNHNHHLPPAATTMANTTSAAAAMLLSGPAPSRDATPFAHPHSYPAAAMSFHQSFPYASTMATLSASAPFPTITLDLTQSPSGAAGLMHALHRAAAPPPAIHHPAMPFAVPPQLAAMYLPQQRPAAARQMPASVMETVTAALAADPNFTTALAAAISSVVAGGGAQAHHQAMSATTPRAGAGAIAGDGNGDGGNADAAVGATAAAEAPAASGSPPRVATQSCTTSTT >LPERR01G11060.1 pep chromosome:Lperr_V1.4:1:8455341:8456828:-1 gene:LPERR01G11060 transcript:LPERR01G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIPDVRIVSTTRIPLLRRPPPHLEQIPLSLFDAWFLPQPPIQRLFLYSDADGDTSSLIESLKSSLADVIAVYFPLAGKLTHVASTGDVVIDCSPSAVGDGVAFVEAEADGYDARAMSAGERQDVAAFLRLLPALEADELPAPVLAVQATRFVAGGGGGVAVGVAVHHAVVDGHSFWRFMDAWSAAACRGRRLANPPSPVFDRSAIVLPMAADVARRILRKVTPELPRLPTENLLRRTWEHHDTSTLELDRRRIAGIKNRIAKLNDDRPLPSTFVAVSALVWSTIVRARSTLAGGGVADAARAHLVFLGDCRPRLHPPVDAAYFGNCIRGCVAEAAARDLAGGDDDGGGGIGVARARDAIEDAVEAFVARPMEAFETWLDGVGELIRQPAGSFVAVTASPRFDAYAADLGWAAPSRVEFVSESAPEGMVVVTGGKKEGSVQVSACLRKEVMEAFRSHVLDW >LPERR01G11070.1 pep chromosome:Lperr_V1.4:1:8457869:8460741:-1 gene:LPERR01G11070 transcript:LPERR01G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKQNHLLLVACLWILSSSVLLHASSDGLLRINLNKKRLDKKTLEGAKLAREESHRLRVNSLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYRSRVSSSYKKNGESCTISYGSGSIAGFFSEDNVLVGDLVVKNQKFIETTREPSLSFIVGKFDGILGLGFPEISVGGAPPIWQGMKEQKLIEKDIFSFWLNRDPDASTGGELIFGGVDPNHYKGSHTYVPVTSKGYWQFEMGDLLIDGQSTGFCAGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIIGMECKEVIREYGETILEMLIMQANPLKLCSQIGLCAFDGTRSISNNIESVVDKEKNQLRQNKTRELILQYANQLCEHLPSPNGESAVDCDEISNMPNLEFTIGNKTFTLTPEQYIVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAESA >LPERR01G11080.1 pep chromosome:Lperr_V1.4:1:8462605:8466612:-1 gene:LPERR01G11080 transcript:LPERR01G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDEAAAAAATENGHGNGNGNGPPPAKRARSVITAAQIRAEFEHHEAGVARVNNGSFGCCPAAVLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALAVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGRMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVECDDDALRVRTMLRKDFMVEVPIYYNSRVVEGQEMAKDKNGDAVTGYVRISHQVYNVREDYEKLRDAVNKLVAEGFTSSKLRPSEKQETMA >LPERR01G11090.1 pep chromosome:Lperr_V1.4:1:8467968:8472378:1 gene:LPERR01G11090 transcript:LPERR01G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSDGDVAAAANGTIVCDVSPPAAKRPRAAITDAEIQAEFAHHDRSVARLNNGAFGCVPSSVLAARARWQRLFLSQPDAFYHHHLQPGLARSRAAVASAIGADSSSVSLVDNVTTAAAIVMQHVAWSFAEGDFSRGDAVLMFLYTYTSIKSSIHAYAARAGAAVVEVHLPFPLTSPSAIVAEFRAALAVARDGGRRRVRLAVIDHITSMPTVLIPVKDLVAICRDNGVDKVFVDAAHAIGQVDIDVGDIGADFYASNLHKWFFCPSAVAFLHTRTSSSAAAGDDPDDIASKLHHPVVSSQYGNGLPMESAWIGVRDYSAQLVVPDAVEFVARFDGGVEGIRRLNHDKVVEMGAMLAAAWGTFLGTPPEMCGSMVMVGLPGALGIEGEDDAMRLRTKLRRRFKVEVPLYYNPESAAAPPELSKDGNGDLVTGWVRISHQVYNKREDYEVLRDAVAKLVADGFCCAELRNSSDEEETLSRGVDIQPALPM >LPERR01G11100.1 pep chromosome:Lperr_V1.4:1:8473336:8478835:1 gene:LPERR01G11100 transcript:LPERR01G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDCIDRVEAFFNSALSGIRQSVSNDHGCPVDHHSVQCILNHSFGFSIQCTGCLIQQKDLRVLHDCACDRDTLLLASRQLSSPLSNHCVVPLQVFQTWEHAWKVATMTLKDETGN >LPERR01G11110.1 pep chromosome:Lperr_V1.4:1:8474730:8480182:-1 gene:LPERR01G11110 transcript:LPERR01G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSAPAAVAAVAAAPDSTPSPGNNGGKNTAATAATKPSPSSARVAFHKLFAFADKADAALMVLGTIGAVANGAAMPFMTVLFGDLIDAFGGAMGIHDVVDRVSMVSLDFIYLAVASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYSKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSSTGLKLDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLCIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGPHHELLKDPQGAYSQLIKLQEANRQDKSDRKGDTGARSGKQLLINQSASRSRRSSRENSHHSFSVPFGMPLGIEIQDGSSDKLCDEMPQDVPLSRLASLNKPEIPVLILGSIASIISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKTMYEEASQVANDAVSSIRTVISFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLRGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGQILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGQATESEIIEAAKLASAHKFISSSHQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >LPERR01G11120.1 pep chromosome:Lperr_V1.4:1:8496821:8500760:-1 gene:LPERR01G11120 transcript:LPERR01G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVQRTRREYVRPSSPTPPASLHLSIIDRVVGLRHLVRSLHVFPSPSPSPRLDVTETNKPLPLSSSPPARVIREALGKALVDYYPFAGRFADGEGGPGTARVDCTGEGAWFVEAVAGCSLDDVNRLDHPLAIPEDDLLPDAAPGVTEFTCGGFVVGLISVHTMADGLGAGQFINAVGDYARGLDKPRVSPIWAREAIPSPPKLPPGPPPELKMFQLQHVTVDLSLDSINKTKSSYFVSTGQRCSTFDVAIAKTWQARTRALRLPDPSSRVNLCFFANTRHLMSTAWPVTGHGNGEGFYGNCFYPVSVVAKSGEVESADIAGVVGMIRDAKTKLAGDFARWAVADFKEDPYELSFTYDSLFVSDWTRLGFLEADYGWGTPSHVIPFAYYPFMAVAIIGAPPVPKAGARIMTQCVEEEHLPAFLEEVKAFDK >LPERR01G11130.1 pep chromosome:Lperr_V1.4:1:8505041:8507108:-1 gene:LPERR01G11130 transcript:LPERR01G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVQRTRREYVRPSSPTPPGSLHLSIIDRIVGLRHLAAPSTSSPPPPHASTSMSSPRRISRCRRQGSLRVYT >LPERR01G11140.1 pep chromosome:Lperr_V1.4:1:8541563:8547066:1 gene:LPERR01G11140 transcript:LPERR01G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEARATLLGRYEIGRTLGEGNFGKVKYARHLASGGNFAIKILDRSKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILFVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPLDDDDDNCLESVVPIKEQIDEAKQEKPTHINAFQLIGMAPALDLSGFFEEEDASQRRIRFTSTHSPKDLFDKIENVVTEMGFHVQRGHSKLKVMKNGKGSKYLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCEKLSDELGVCKTEQIQRTESVDDDLVSFDSGASLSGF >LPERR01G11140.2 pep chromosome:Lperr_V1.4:1:8541259:8547066:1 gene:LPERR01G11140 transcript:LPERR01G11140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEARATLLGRYEIGRTLGEGNFGKVKYARHLASGGNFAIKILDRSKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILFVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPLDDDDDNCLESVVPIKEQIDEAKQEKPTHINAFQLIGMAPALDLSGFFEEEDASQRRIRFTSTHSPKDLFDKIENVVTEMGFHVQRGHSKLKVMKNGKGSKYLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCEKLSDELGVCKTEQIQRTESVDDDLVSFDSGASLSGF >LPERR01G11150.1 pep chromosome:Lperr_V1.4:1:8547280:8548218:-1 gene:LPERR01G11150 transcript:LPERR01G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPTIDRCAVDSCRSVWPRHDVRRDGGELRRLCSSCLLLEYRSLYCCRCFLLLGPEPPAHFDSGDPILAPPAPIAVCRHCREAVAHRYCLRSDDDVFCCPACVAGAGSHFSFPVDDARARRIMAVAGRISLSLLQKAAAASRETADRLLAVAMVEKARAERALALALAVDAGNIPENLGVDVLAPPGNIPAPEENIPSESSAASAANMQIVVYMPPSGNIPAAETESNASNMDAAVVTPSEGGSQQQQLMNIDLNASPPRSPTAADDAVVVDVEDDDDDDVVIIAVVEAPKPSRPPTPSTLDLFPDGNNK >LPERR01G11160.1 pep chromosome:Lperr_V1.4:1:8554891:8560444:-1 gene:LPERR01G11160 transcript:LPERR01G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPQQPVKFSNDTERLQHINLIRKSPVGAQIKLVIELLYKTRQAFTAEQINEHTYVDILGNKAVLDSLKNNPKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKSKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQHLNT >LPERR01G11160.2 pep chromosome:Lperr_V1.4:1:8554889:8560444:-1 gene:LPERR01G11160 transcript:LPERR01G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPQQPVKFSNDTERLQHINLIRKSPVGAQIKLVIELLYKTRQAFTAEQINEHTYVDILGNKAVLDSLKNNPKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKSKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQHLNT >LPERR01G11170.1 pep chromosome:Lperr_V1.4:1:8562946:8564702:1 gene:LPERR01G11170 transcript:LPERR01G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSEKVTTPSSSSYTLELDPLLSDLAEKKQRLRRSLAWLDAELKDARIKLASKEQLFAQESENRKKSAESKARSMEEEVRKLHKCLQENDEQLHASLCSTEQYLYLHKLDILRSQLSVTQAAAEASATSAMLAQLQCLSLSGGHEKNTLGEFELGVNKIEDQLEMNRVTLDHELKKKILKLECTLRESRTQLRKLQRMGERRDKTLKKLQSQLRRKQNAADSGDNKKLWESSGFKLIASMSILALAVLAKR >LPERR01G11180.1 pep chromosome:Lperr_V1.4:1:8566633:8572270:-1 gene:LPERR01G11180 transcript:LPERR01G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLWWMHFVELCSGRFDLAGLTSAFFVVVSRRRTRTTAPTWRGRHQLAAAVEGTNAVALAALAPVPPPPLPPCLPRRRIADTVVRAMRSSPPIFVLASSASPPSSSKLRPPVHVAGERFTLAACRGARPFYGSWVEFVSATAATAAGPAVEEETAQPGGVGLIGMRGD >LPERR01G11190.1 pep chromosome:Lperr_V1.4:1:8572811:8578938:1 gene:LPERR01G11190 transcript:LPERR01G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKKKGLEWDLNDWRWDANLFLATPSNASPSRCSNRELGRAEGEIDFGVVDKRRRISPEDDHEGCSNAVTTNGDDDWIDVQRGKSSEDEMLRQGACSSSGPCCQVDGCLIDLNNGRDYYKRHKVCEAHTKSGVVLIKNVEHRFCQQCSRFHFLQEFDEGKKSCRLRLAQHNRRRRKVQAQAASGSSEQTNGPNYLVNLVKNLAAIAGTQTYQDMLKNATAAISSHTGNCVANGISLQDQRAPIPVGTDSSAEELTAERRVQSFDLNDTYVEGDENRTDKIVFKLFGKEPKDFPSDLRAQVLNWLSNCPSDIESYIRPGCIILTIYLRLPNWMWDKLAADPAHWIEKLISLSTDALWRTGWMYTRVRDHLTLSCNGSLVLASPWQPIIGRKHQILLVTPIAVACSSTANFSVKGFNIVQPTTKLLCIFGGKYLIQEATEMLLDDTTMQQGPQCLTFSCCFPSTSGRGFIEVEDYDQSSLSFPFVVAEEDVCSEIRTLEHELNLVSFDDTLVERNDLLASRDQALNFLQEFGWFLQRSHMQATSETPKDCTEIFPAARFRWLLSFAVDREFCAVVKKLLDTLFQGNVDLDVPSTVEFVLGQDFVFTAVNKRSKPLIDFLLTYTTNSASMDRTDSAAPVQFLFTPDIAGPSDITPLHIAATNSDAAGILDALIDDPQQLGIKAWKNARDATGLTPEDYARKRGHKSYIQMVQNKIDSRLPKAHVSVAITTDIAEKHANRPKLTDQTAFDVEKGQQSAKPPPLSCRRCLPELAYHHHSNSFLSTRPAVLSLVAIAAVCVCLGLIMQGPPHIGGMRGPFRWNSLHSGPRHPES >LPERR01G11200.1 pep chromosome:Lperr_V1.4:1:8580072:8582573:-1 gene:LPERR01G11200 transcript:LPERR01G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRNTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEMMPLSHVFATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYQNDNGAMIPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVTENFDFRPGMIIIDLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWEESSA >LPERR01G11210.1 pep chromosome:Lperr_V1.4:1:8586548:8587947:-1 gene:LPERR01G11210 transcript:LPERR01G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMPHESLELDVGQSSSSSHLAAAAMPAELNFHLLHSLDAAAASHHPTVDYFFGGGGGADQPPPSVMVQPYDQLVSAAAPHHQTTMTMLRDYYAGGHYPPAAATEAYFRGGPRTGSSSLVFGPADDDNSSAYMVGPFETSSPTPRSGGSRKRSRAAAAAAFFHGGVGPAVNGVEKKEKQRRQRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAAPSAMDQAAESSEGEVAAAAAAAMVAAARQQPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRSDGCLAAASRALDDLRLELVHLSGGKIGDCHIYMFNTKIHPRSPVFASAVASRLIEVVDDY >LPERR01G11210.2 pep chromosome:Lperr_V1.4:1:8586548:8587968:-1 gene:LPERR01G11210 transcript:LPERR01G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMPHESLELDVGQSSSSSHLAAAAMPAELNFHLLHSLDAAAASHHPTVDYFFGGGGGADQPPPSVMVQPYDQLVSAAAPHHQTTMTMLRDYYAGGHYPPAAATEAYFRGGPRTGSSSLVFGPADDDNSSAYMVGPFETSSPTPRSGGSRKRSRAAAAAAFFHGGVGPAVNGVEKKEKQRRQRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAAPSAMDQAAESSEGEVAAAAAAAMVAAARQQPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRSDGCLAAASRALDDLRLELVHLSGGKIGDCHIYMFNTKIHPRSPVFASAVASRLIEVVDDY >LPERR01G11220.1 pep chromosome:Lperr_V1.4:1:8592812:8594071:1 gene:LPERR01G11220 transcript:LPERR01G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAADAAAAAAAGVHRLLSLHPIRRISSLKVPWRRDAALDAAILRDRRYRLATRLVREVLLSPGRRLLLRYLAKRRHRIRLPVLVPTFLRRYPTLLTLSPPPNPVASPSPHLLSFLDLASRLHDLHSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLTSLVPRYSHLFRLVGDPGPDASGNAFLELVSWDDRLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLQPGSPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHRGYLEELRKKREELEKQRMQEANKGGKVGAGIEDEMEEEDIDGSGEDEDSEERETL >LPERR01G11230.1 pep chromosome:Lperr_V1.4:1:8599734:8600819:-1 gene:LPERR01G11230 transcript:LPERR01G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAAAHGVRRIATWIVFFLFFCIASWPSLSVAQLQVGYYNSTCPRAEDLVRKVVRAAILRDPGNGPGLVRLFFHDCFVRVSIYPYFMGCDASVLLEAVPGSNVTVEKMSQANNPSLRGFGVIDRAKRVLERRCRLTVSCADIVAFAARDACAAMGGIDFPVPSGRRDGVASLVSEVLNNLPPPFFNATQLVASFAAKNLTADDMVVLSGAHSFGRSHCSAFASFRLYPQLAADMDANYGMQLRARCPAAATGRKDRVVDLDPITKLVLDNQYYKNVEKGEVLFTSDATLVGRNDTAALVGLYARNRTLWKSRFADAMVKMGYLDVLTGSQGEIRKFCNRVN >LPERR01G11240.1 pep chromosome:Lperr_V1.4:1:8602528:8610109:-1 gene:LPERR01G11240 transcript:LPERR01G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDALFCTFCSLLLFFFSCKCLSAELELAQKALIEVDASWNLSKKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHIPSNIDPWSVIGDESSIYVTTDSSSCFSQNIVALRMEILCDNCPDSGVGIFNPGFWGMNIEEGKTYNLVMHIRSLESVELTASLTCSDGVQNLASVSIQYIDPSNWTKIEMQLLAQGTCRTSRLELKSVKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELKPKFLRFPGGCFVEGSWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQDVLDSLEFARGSVESTWGSVRAAMGHPEWFPVKYVAIGNEDCGKEFYRGNYLKFYNAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFLMKNKFDRTSRIGPKVFVSEYAVNEQKDTGKGSLLASLAEAAFLTGDVVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVSHPITISSSYSDSLAASAITWHDTEDIFLRVKIVNFGRNAVNLTISSKGLQAGVNAAKSTVTVLTSDNLLDENSFSEPNKVVPVTSKLPDAPEEMETLLAPYSFTSFDLALDQHKLVSDM >LPERR01G11250.1 pep chromosome:Lperr_V1.4:1:8627587:8636461:1 gene:LPERR01G11250 transcript:LPERR01G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHIRMAVSPSSSSSSAASLRPAAALREALWGRRWMSSEEAKGRSSTRHRQGRQELPEDRRHRQDQEVSYGINWAIAGRGVVVRDKVFYNMETSELQKGGNVYTENLSAIPLHVRGNVTSGNPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSMVCDAKVRVISDNPSAVMLLSNILWRTPDRAISHDTCPLTIYVANSISTNVRNSLGSGTQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPILSARGGLPFPGWLLCFGGSAVMLFAPVDVIKSSGLEDVLVSTDSGVVVSSNGSSVLFPTKAREPNLLAKPTTAIIVSLDSTDALPTVSKLSPGQAAYHFLAGYHDGKFVPAYSKGPSPVDPLALANSLFSYLKEDNTPAYLINAKNSGKYMDEWISSFQTLSNTGKELRRLIEAILLNNLPDCNHEDTKVGELKGKYKSFLSGKFGKYLPMEFSF >LPERR01G11250.2 pep chromosome:Lperr_V1.4:1:8627587:8636461:1 gene:LPERR01G11250 transcript:LPERR01G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHIRMAVSPSSSSSSAASLRPAAALREALWGRRWMSSEEAKGRSSTRHRQGRQELPEDRRHRQDQEVSYGINWAIAGRGVVVRDKVFYNMETSELQKGGNVYTENLSAIPLHVRGNVTSGNPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSMVCDAKVRVISDNPSAVMLLSNILWRTPDRAISHDTCPLTIYVANSISTNVRNSLGSGTQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPILSARGGLPFPGWLLCFGGSAVMLFAPVDVIKSSGLEDVLVSTDSGVVVSSNGSSVLFPTKAREPNLLAKPTTAIIVSLDSTDALPTVSKLSPGQAAYHFLAGYHDGKFVPAYSKGPSPVDPLALANSLFSYLKEDNTPAYLINAKNSGKYMDGKELRRLIEAILLNNLPDCNHEDTKVGELKGKYKSFLSGKFGKYLPMEFSF >LPERR01G11250.3 pep chromosome:Lperr_V1.4:1:8627587:8636461:1 gene:LPERR01G11250 transcript:LPERR01G11250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHIRMAVSPSSSSSSAASLRPAAALREALWGRRWMSSEEAKGRSSTRHRQGRQELPEDRRHRQDQEVSYGINWAIAGRGVVVRDKVFYNMETSELQKGGNVYTENLSAIPLHVRGNVTSGNPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSMVCDAKVRVISDNPSAVMLLSNILWRTPDRAISHDTCPLTIYVANSISTNVRNSLGSGTQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPILSARGGLPFPGWLLCFGGSAVMLFAPVDVIKSSGLEDVLVSTDSGVVVSSNGSSVLFPTKAREPNLLAKPTTAIIVSLDSTDALPTVSKLSPGQAAYHFLAGYHDEWISSFQTLSNTGKELRRLIEAILLNNLPDCNHEDTKVGELKGKYKSFLSGKFGKYLPMEFSF >LPERR01G11250.4 pep chromosome:Lperr_V1.4:1:8635748:8639706:1 gene:LPERR01G11250 transcript:LPERR01G11250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALVYTRGRVDDISRILVLSLSSRPPLLIATCRRPLPPPPLSLFARAPPLRPPLLAADPATTAEGNPERPDLAALGRRGAARPPPLRKRMREEGRSGGLPGAAGSSTAGPGRADPPAAAARRTWRRRHWEAVLILGTAAAGFLRHALLSTSSPSRGARSSSTAGRLETIWRRRFAGRAKLERRRINQRLRPNSTTFLAPIQVANPFSNAIVIAPNPTSVAAGADRPPQWRVATARYSTPSTATAPTSSSFPISRPHRIFFTNGIDIFVAEATPPAWFATSSSPSSHSLASSSYCIAPRVLGSREDISGRSTTAAGDLDQAVVAWCRGFDGCGTHAGKQNVNHALPFSRPCMNTPKLLSFAPGQQVTPNTDNSQIGWKDGRLPEAISALEKSAGAAGMREWVWEEECDVAAHQ >LPERR01G11250.5 pep chromosome:Lperr_V1.4:1:8627587:8636461:1 gene:LPERR01G11250 transcript:LPERR01G11250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHIRMAVSPSSSSSSAASLRPAAALREALWGRRWMSSEEAKGRSSTRHRQGRQELPEDRRHRQENLSAIPLHVRGNVTSGNPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSMVCDAKVRVISDNPSAVMLLSNILWRTPDRAISHDTCPLTIYVANSIRLLCFGGSAVMLFAPVDVIKSSGLEDVLVSTDSGVVVSSNGSSVLFPTKAREPNLLAKPTTAIIVSLDSTDALPTVSKLSPGQAAYHFLAGYHDEWISSFQTLSNTGKELRRLIEAILLNNLPDCNHEDTKVGELKGKYKSFLSGKFGKYLPMEFSF >LPERR01G11260.1 pep chromosome:Lperr_V1.4:1:8641223:8642318:1 gene:LPERR01G11260 transcript:LPERR01G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLINKHRAMSLLLVLLAAVAVVDAQNGSLLLPACKTVSGSVDFTFCVEALGSVGAGVDARTYQDLAVVASGLLAANATSAADKIDGLLRQGGAAAATAPCLLSCRSLYAGVVQSQPGCAAAIRGGKVDEARSSFDQSVGAVKQCEDGFGNICKVASPLSVEDTNSFFLAKLGFALLPASSASRFASIDGLSSDNRSSNVGSYKEFAVIAVDLLTANATSTKSKIDGLLRNGGDDETMPVCHDEVPPPPVMHSCHDAMCHCVVVGEIGQRCEGMPE >LPERR01G11290.1 pep chromosome:Lperr_V1.4:1:8659379:8659703:1 gene:LPERR01G11290 transcript:LPERR01G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHAEQEVIMRSARGLVNFETLQKVAKDLLYDESKGRFQMSLAAGTNHLCGRLVSAQAAKTDLSWRLRPIEGRCPLPPPTPSRLQRPISAAWKISFGILLLD >LPERR01G11300.1 pep chromosome:Lperr_V1.4:1:8673273:8675441:-1 gene:LPERR01G11300 transcript:LPERR01G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHDILLCFAVVAGSVAASLWARTTRRRRPHPADPTEYRAAAMASLAGVLIATLFSAYGCFIYSRSHHRVTTLPESDESVLSNSLMEINHGADHHKEGAVLYLGSFMQIIYQVLGGAVVLTDVVFWALIVPFMYSAHFSLNAVMGCIHSLNLVFLLIETTLNSLKSIFQLHNFPAFKRAGGRTHSLIQQHHGHHYDM >LPERR01G11310.1 pep chromosome:Lperr_V1.4:1:8676966:8678943:-1 gene:LPERR01G11310 transcript:LPERR01G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGADVMHQVVPLLEASFHRRCSVKGVEEVSPSPAPAPVEEMSPTVETEAVVGVPELTVKEPVESLQFSPNIRSGSFAEIGPRRYMEDEHIRIDDLSGHLGSLLMCPVPNAFYGVFDGHGGPDAAAYMKKHAIRLLFEDSEFPQALEEDETFSKLVEVSISKAFLSADLALADDLAISRSSGTTALTAFIFGRQLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHQRITECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQTTLTEDDEFLIIGCDGIWDVMSSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFGTELAGGSPSSEQAPIRRIRCCKSLSSEALCNLKKWLEPDE >LPERR01G11320.1 pep chromosome:Lperr_V1.4:1:8684588:8690043:-1 gene:LPERR01G11320 transcript:LPERR01G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAALLLLRRAPPLPFSLPAPLLRRLLSSTPSPPVRSPAFRFLATMPSAAAAAGGSRAMPDRADLLMLGIETSCDDTAAAVVRGDGVILSQVVSSQVVQKALNDANVSESDLCAVAVTVGPGLSLCLRVGVHKARKIAKEFRLPIVGVHHMEAHALVSRLVNKDLNFPFLALLISGGHNLLVLAHELGKYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPKAIKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNIPADHISISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNHIADKNGLQLVCPPPSLCTDNGVMIAWTGIEHFIAGRFDDPPAFDEPDDMQVMQQINMVS >LPERR01G11320.2 pep chromosome:Lperr_V1.4:1:8684588:8690043:-1 gene:LPERR01G11320 transcript:LPERR01G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAALLLLRRAPPLPFSLPAPLLRRLLSSTPSPPVVQKALNDANVSESDLCAVAVTVGPGLSLCLRVGVHKARKIAKEFRLPIVGVHHMEAHALVSRLVNKDLNFPFLALLISGGHNLLVLAHELGKYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPKAIKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNIPADHISISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNHIADKNGLQLVCPPPSLCTDNGVMIAWTGIEHFIAGRFDDPPAFDEPDDMQVMQQINMVS >LPERR01G11320.3 pep chromosome:Lperr_V1.4:1:8684588:8690043:-1 gene:LPERR01G11320 transcript:LPERR01G11320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAALLLLRRAPPLPFSLPAPLLRRLLSSTPSPPVRSPAFRFLATMPSAAAAAGGSRAMPDRADLLMLGIETSCDDTAAAVVRGDGVILSQVVSSQVVQKALNDANVSESDLCAVAVTVGPGLSLCLRVGVHKARKIAKEFRLPIVDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPKAIKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNIPADHISISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNHIADKNGLQLVCPPPSLCTDNGVMIAWTGIEHFIAGRFDDPPAFDEPDDMQVMQQINMVS >LPERR01G11320.4 pep chromosome:Lperr_V1.4:1:8684588:8690043:-1 gene:LPERR01G11320 transcript:LPERR01G11320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAALLLLRRAPPLPFSLPAPLLRRLLSSTPSPPVRSPAFRFLATMPSAAAAAGGSRAMPDRADLLMLGIETSCDDTAAAVVRGDGVILSQVVSSQVVQKALNDANVSESDLCAVAVTVGPGLSLCLRVGVHKARKIAKEFRLPIVGGHNLLVLAHELGKYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPKAIKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNIPADHISISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNHIADKNGLQLVCPPPSLCTDNGVMIAWTGIEHFIAGRFDDPPAFDEPDDMQVMQQINMVS >LPERR01G11320.5 pep chromosome:Lperr_V1.4:1:8684588:8690043:-1 gene:LPERR01G11320 transcript:LPERR01G11320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAALLLLRRAPPLPFSLPAPLLRRLLSSTPSPPVRSPAFRFLATMPSAAAAAGGSRAMPDRADLLMLGIETSCDDTAAAVVVQKALNDANVSESDLCAVAVTVGPGLSLCLRVGVHKARKIAKEFRLPIVGVHHMEAHALVSRPADHISISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNHIADKNGLQLVCPPPSLCTDNGVMIAWTGIEHFIAGRFDDPPAFDEPDDMQVMQQINMVS >LPERR01G11330.1 pep chromosome:Lperr_V1.4:1:8695497:8695806:1 gene:LPERR01G11330 transcript:LPERR01G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTLPSTLLLLALLLLLISDISKPVADATCTPPPCKGKQSWPELLNKDDNTAYITIK >LPERR01G11340.1 pep chromosome:Lperr_V1.4:1:8698956:8699360:1 gene:LPERR01G11340 transcript:LPERR01G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTISHLLLAAVAIIAVVCTAAAAAPRTMDDWSPIENTDDPHIQELGQWAVSEENKKVLKMALTFSKVTSGEQKDIAGVEYRRLHIDASRYDVISSYTAVVIEQVDTRKLVSFWGNQSCLRLRATTDRPKLRLC >LPERR01G11350.1 pep chromosome:Lperr_V1.4:1:8703495:8708518:1 gene:LPERR01G11350 transcript:LPERR01G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLVDPSSGFPETSTSGAADRLADDIGEMSIRDKEVEAVVVNGNCMDIGHTIVTTVGGRNGQPKQTISYIAERVVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQTMQVLDHPNVACLKHYFCSTTAKQELYLNLVLEYVPETVHRVIRHYNKMNQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLVGQPVFPGDSGVDQLVEIIKVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCTALEVLIHPFFNELRDPNARLPNGRTLPPLFNFKPRELKGASMEFIVKLVPQHAKKQCAFLGL >LPERR01G11360.1 pep chromosome:Lperr_V1.4:1:8711107:8713227:1 gene:LPERR01G11360 transcript:LPERR01G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTAIALLVALAVASSFLVGGVHGVRTARHHHANKHSKRSTSPSPSPHAPGPAPSSRRHAHAPAPHPSRRSPPAPAPAADPPSSGGDDPMPAPSSGGATTVYDIVKDFGAAGDGVTDDTEALKTAWDTACQDAGAGVVLAGAGRSFLVRNTVFTGPCQGSVTLRVDGTIVAPSDPASWPANNRRSWLVFYRADGVSLVGSGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVAMRFAISNNVTVQGLKVQNSPEFHFRFDNCRGVRVDSLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTRACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSGVSFEGVRMDAVRNPIIIDQYYCLTKSCENETTAVFVSGVSYAGIRGTYDVRSPPIHFGCSDAVPCTNITLSDVELLPASGDTVDDPFCWNVYGNAATPTVPPVACLTGGLPKNYEDNSNLKCY >LPERR01G11370.1 pep chromosome:Lperr_V1.4:1:8734386:8737593:1 gene:LPERR01G11370 transcript:LPERR01G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRRRLAFLATLVCAIAVSVSGGGGRERGPITTNGGNYTKVCDPARFAAAGLDMAAFRYCDASVPYAERVRDLVGRMTLEEKVSNLGDRAGGASRVGLPAYLWWGEALHGVSDVGPGGTWFGDVVPGATSFPLVINSAASFNESLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVSFVRGMQDMDAGGNADPFSRPIKVSSCCKHYAAYDVDAWNGNDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADTRLLTETVRRGWQLHGYIVSDCDSVRVMVRDAKWLNYTGVEATAASMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESDVDNALSNLYVTLMRLGFFDGIPELESLGAGDVCTEDHMELAADAARQGMVLLKNDGARLPLSSEKINSAALVGLLEHINATDVMLGDYRGKPCRVVTPYDGIRKMVNSTSVLACDKKGACETAAATVKTVDATIVVAGLNMSVERESNDREDLLLSWNQANWIKAVADASPTPIVLVIMSAGGVDVSFAQDNPKIGAIVWAGYPGQEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGEDVLYPFGHGLSYTNFTYESDTTAAPVKIKVGDWEYCKQLTYKKGVTSPSPACPAVNVASHGCTEEVSFTVTVANTGGVDGAHVVTVYTAPPGEVDGAPLKQLVAFRRVRVPAGAAVEAPFTLNVCKAFAIVEETAYTVVPSGVSRVLVGDGALSLSFPVNVKIDLATS >LPERR01G11380.1 pep chromosome:Lperr_V1.4:1:8739253:8743047:1 gene:LPERR01G11380 transcript:LPERR01G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMVSTYLPLFFMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFFAAAFLAPIAYIIESATLNQVLYFVGLKYSRPTVASALNNTLPAVTFLLAALLKTELVSGRAGRAKVAGTAVCVAGSMLMTFYRGPLVRTLASPIHWPYVQGTMAAEAAAHAGGNTVVLGAVLVIGSNVAWAVWFIIQKNLSRSFPSPYTSTALMAVIASVQCAAIAGAAERRISAWDLGFDIRLLGALYAGIVASGMVCTVMSWCIQERGPVFVSMFSPLMLIVVAVVGWAILGEKTHIGSVIGAVLIVVGLYAVLWGKGKDLDAVAAAAAIGSGGDEEMGGAVFGADDTTLRSPSCRKVAA >LPERR01G11390.1 pep chromosome:Lperr_V1.4:1:8750045:8750610:1 gene:LPERR01G11390 transcript:LPERR01G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSNLIVLSVASNRIAGSIRVSIKLLNNLHVLDLSDNELTSHIPAELGELTLNSLNMSYNHLISEVPLLLQRVEYNKSFLGNHLCAKARSDMTLPTFVDDHTELSKHDLSSNGFLPTDITGEVPVAWVSLKELTMFDMSCNNLTGTILASV >LPERR01G11400.1 pep chromosome:Lperr_V1.4:1:8752791:8753102:1 gene:LPERR01G11400 transcript:LPERR01G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLPASSGRFCIVVTAAHRSSNRRRYSHAVGMVRSKLGTAWGQFQTPHGEEKLPGPSPDSGGCYITGAPFRRRTRPLPPPRMITTVSAHMLLTMAELHMFA >LPERR01G11410.1 pep chromosome:Lperr_V1.4:1:8760027:8762788:1 gene:LPERR01G11410 transcript:LPERR01G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAETGKVVQWRRWAFSNFGWVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAFVDPYVTVDITEPGTSAHYSRYGRVTEHGDSTYEEVKAYLSDTCTEEARELRAEGAPESDGVVVSMRDGQDVADEFRGAAVWWTSVVRENSQGQQRAHTRQCQRLTFHQRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSSDSYLFDYKAWSYTDFDHPTTFGTLAMDMAKKREIIDDLDAFRRNRDFYRRAGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAMHRRRRPDDRDSRSGRDVDGNKSVVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDAALIRRGRMDMHIEMSYCGLEAFRTLAKNYLGIDIDADDDANHQLLGSVNEILRRESITPADVAECLMMAKRTAGFDESSCLETVIDELKQRAEANAKTKAEAEAKAKVEAEAEAKAKAKAEAEAEAKAVEMDRDNQREEQT >LPERR01G11420.1 pep chromosome:Lperr_V1.4:1:8799426:8802406:-1 gene:LPERR01G11420 transcript:LPERR01G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMVITKKVLIQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAALRMESVRLRAAAGQAKVIGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAERAALGAAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQSKMSEKFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVQGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMDSPAGADDCSGAGGVELINGKDADGATLPVFCTSTTAQKLQETNRNGCSN >LPERR01G11430.1 pep chromosome:Lperr_V1.4:1:8821749:8822273:-1 gene:LPERR01G11430 transcript:LPERR01G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSGLLNFIDRLWSVCGGEWIVVFTTNHVDKLDAALIRRGRMDMHIEMSYCDLEAYRTLAKNYLGTDVNTDDDAHQQQMLGSVDEILMRQSIMMAKRIAGSDESYCLEMRAEVNVKAKAEAKAKAEAKAESQAKAKTEAEAEAKTTQMDHDNQREEQNVLKSPKI >LPERR01G11440.1 pep chromosome:Lperr_V1.4:1:8822317:8823180:-1 gene:LPERR01G11440 transcript:LPERR01G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRGVNPARARTTPGTATSLSTEFRGAAVWWTSVVRENSQGQQGAHTRQCQRLTFHKHDRYLVVDEYLPHVRRKGHEILFSNHRRRRLYTNNKSGDSFQINYRAWSYTDFDHPTTFDMSAMDTAKKREIIDDLDASIYRRAGKPWKRGYLLYSPPGTGKSTMIAAMTNYLDYDIYDVELTVVKDNNNLHRLLIETTSKSIIVIEHIDCCLDGLTSPATARRHASTEVPP >LPERR01G11450.1 pep chromosome:Lperr_V1.4:1:8824546:8826799:-1 gene:LPERR01G11450 transcript:LPERR01G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAETGKVVQWRRWAFSNFGWVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAFVDPYVTVDITEPGTSAHYSRYGRVTEHGDSTYEEVKAYLSDTCTEEARELRAEGAPESDGVVVSMRDGQDVADEFRGAAVWWTSVVRENSQGQQRDHTRQSQRLTFHQRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSSDSYRFDYKAWSYTDFDHPTTFDTLAMDTAKKREIIDDLDTFRRNRDFYRRAGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLNLTGDRATHRWRRPHDRDSSSGRDVDGNKSMVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDAALIRRGRMDMHIEMSYCGLEAFSTLAKNYLGIDVDADDGTHHQLLGSVNEILRRESITPADVAECLMMAKRTASSDESSCLETVIDELKQRAKANAKAKADSEAKAKAEAEAEAKAAEMDRDNQWEEQNIVKSPKI >LPERR01G11460.1 pep chromosome:Lperr_V1.4:1:8829668:8831009:-1 gene:LPERR01G11460 transcript:LPERR01G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPSPPRRAASPPCYGRCRCAAAVASVSRSRGYGGGPHAAVTSAPVRPTTASRSPSSPSLDGMEVAPVPRRAALGGERAHAVLEWRRPLMLSVSDNGNGRVSLYFE >LPERR01G11470.1 pep chromosome:Lperr_V1.4:1:8831111:8831305:-1 gene:LPERR01G11470 transcript:LPERR01G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGAARVPSSPPRRARCRAAAVAFVFLRIWIWRGSGRPARCCRLRVRLGRRAAVIASISIQI >LPERR01G11480.1 pep chromosome:Lperr_V1.4:1:8836741:8837586:1 gene:LPERR01G11480 transcript:LPERR01G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSCGWNSAAAADQEEIRRGPWTVEEDAVLAGHVAAHGEGRWNEVARAAGLRRTGKSCRLRWLNYLRPDVRRGDFSPQEQLLILELHFRWGNRWSKIAQHMPGRTDNEIKNYWRTRVQKHAKQLGVDVASARFRDVMRHLWMPRLVERIHAAAAAAAATTTEHATPVDVCHSPDPSTTTSCTAGTSSSTSTSMSVPHNHHLTAMTTSVAEAAADWSGSEQCGSASGGISDDMFEGSWSELLARAYGDDGADSSLLPDMHMAAETGEGWWSFEDIWSQQQY >LPERR01G11490.1 pep chromosome:Lperr_V1.4:1:8841929:8843009:1 gene:LPERR01G11490 transcript:LPERR01G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNSNDNMRTHVGASFDWSENDLAILIHRTVGVSALDLAQLPPGLVPLCQDPFRPEVVQVLQVVGDGVGSSSAAPGAAPVGGDVDYNDADDQVLIGLLGRQSSGKSKARGPDPKGKRKRSGFSPAPPPSPPHSGSAKRVVPSHGSGDPPASGSAATTVGGSTVGAAAAAGAALAVVATLAGAGASKTVDARGSPPPTVVGSWGISPASSPGDRPKKKRAISRVSWNYDAARSREHQHFPPKAGPMGGSASSSAGGAREEFGVVEPMGPRSSPAGSGPEPVRPDEGAHSEVGAPGAHQEHIGGPPPSADSGQVAPDAEVEAAANSGG >LPERR01G11500.1 pep chromosome:Lperr_V1.4:1:8843283:8844194:1 gene:LPERR01G11500 transcript:LPERR01G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDTEPLVWSQERARMIVSRALDDALAVSLDGLQAQVRALASMEVAVTRREIEVAEGGTELAKIAREVADIERRRGELVETFEDTLTERHSIDLRILTATATEEGVRTTAGAFTRELDDRAQELDQHDRVLRDVEAATANSDVELRVREDALAERERALEAARRAVEDREAAVTRAEEDLVVRERDTATREKAIAKREAAVEGREAVADLERAQQWIASLEHTVDLGTRIMAVSVTRLHEAAWEVGAVRRFDSLSSASLGGLASQVDALAEGIRGVPEEVDEVAKDSSYDLAR >LPERR01G11510.1 pep chromosome:Lperr_V1.4:1:8867509:8869688:1 gene:LPERR01G11510 transcript:LPERR01G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHATTLIRSLCARGAVRHARAVFDEMPDRDVVAWTAMLSGYASNGRRREALDVFRRMVASGASPNEYTLSSVLTACRGGATAAPLHAVVVRRGVDRMPYVVNALIDAYASLDEGVGDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLKLFRMMLEDGIELSPFACSIALHACASEIDLFLGQQLHLQCTRKALDANLAVLNSLINMYCTCGRILDARRLFDETPERNLITWNTMIAGYSQCDPVMALVLLLNMNDEPNCFTLTTIASACADLAALRCGKQVHGAVLRRNYSDDLQMCNALVDMYSKCGSIANAKKVFNRMGCKDKFSWTSMIAGYGMNGYGNEAIELFHSMIRAGVHPDHVVFLSLISSCSHAGLVDEGWDLFKSMISEYNLQPNKEIYGSVVDLLARAGRLREAFDLIDTMPFAPDKYIWGALLGASKMHNNVELGRLAARKITEINPDGVKNYIMLANIYAAGSKWGECAFTRRLVRGIGCKKEAGISWLEVMDKMYSFTAADSNSPQVCLADEVLHILSQHIDDVGNDFPHIILKVE >LPERR01G11520.1 pep chromosome:Lperr_V1.4:1:8871717:8876236:-1 gene:LPERR01G11520 transcript:LPERR01G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASSSHTNFYRFIRRWLANPLAHQHHLRRSTSGISTTNPRIIPRCPSSAQDWDRLRDKTMILDVEGGLLRSSSTFPYLMLVAIEAGSFLRGLILLFIYLVLCCIPLLPQEAKLKLMVMVSFFGLKTKKVARVARVTLPKHFLEDVGMEGLEALRGVKSVVGVSRVIPRVMVEPFLENYLGLDVVVGREVKMVRGFYVGLLENMISTEGNLELLAGLDEGAEMVGFGSSSGYSGHDHQQFFSRCKEAYMVTPEEKTKWSPLPKDQYPKPLIFHDGRLAFRPTPEATLAMFIWLPFSLPLTVFRTFIFVTLPYSISVAIGSITGVTTRVINSPVSNTTGQAKPNDQQPDHPKNPKGHLYVCNHRTLLDPIYIAAMLNKKVSAVTYSVSRLTEWISPIPTFRLTRDRDEDRRRMEKALQQGDLVVCPEGTTCREPYLLRFSPLSMELVDEVYLVALMNWSSMFYGNSTGRCKWLDSFYYFMNPRPVYDVEFMDKMSTRMVIDGKTCESKHVANIVQGEIGRVLGFECTKFTREKKYLVLAGNKGVVDAKQ >LPERR01G11530.1 pep chromosome:Lperr_V1.4:1:8878809:8882102:1 gene:LPERR01G11530 transcript:LPERR01G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACASHVRRLLAGAGAPARSLHSQPYQAKVGVVEFLNGVGKGVGTHAAKVEEAVGGDIQKLLETRTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAQSEPKKAQ >LPERR01G11540.1 pep chromosome:Lperr_V1.4:1:8883081:8885307:-1 gene:LPERR01G11540 transcript:LPERR01G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEGHDRDVLVDLEKGNCLLMRVDSNGADVNLIPGQSKAVLNGSWNDLVAMKDDLHMPCCSSHSQDFAAKIGEDKTSDGEMKVGLLDKSMGEKEKKKRSKKPPRPPRPPSTSPLDAADQKLISELSELAMLKRARVERMKALKKMKNSKPASSIGNMVALIITVIFCLVILWQGVFSRHVASISFHGPPISSVRSHGSLISIHFYKKNETAINTYSFSAAPNNTGIATRLEIHGEAKGVTE >LPERR01G11550.1 pep chromosome:Lperr_V1.4:1:8888070:8888678:1 gene:LPERR01G11550 transcript:LPERR01G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARYNVTFGSAMIDTTVTSDAAVADDWVRRVRTAPRGLGATQGLIVGLDCEWKPCDHLWPPVTPKAAVLQLCSGTSCLVLQLLHVARFPPLVSDLLADRTVRFVGIGVGEDVAKLEDGYGVTCAAPVDLEDICNRRLGLSSMRRLGLKGYVREVLGLTMEKPMNVTKSDWEKRELDVAQIRYACIDAYVSYKLGERVLTN >LPERR01G11560.1 pep chromosome:Lperr_V1.4:1:8908112:8908711:-1 gene:LPERR01G11560 transcript:LPERR01G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRHTVCFGAATIDTTVTSDVAAADDWVRAVRAAASPAGLLVGLDCEWKPNYVSWRTNKVAVLQLCAGDRCLVLQLFHAARVPPLVGALLADPSVRLVGVGVNEDAAKLGLDYGVACAATVELEEICNRRLGLVAGGRRRLGLKGYARELLGMAMEKPRHVSMSNWEKRELDVEQIEYACIDAYVSYKLGERVLLGY >LPERR01G11570.1 pep chromosome:Lperr_V1.4:1:8909176:8910862:1 gene:LPERR01G11570 transcript:LPERR01G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAFDLNEAPPPELDLNEPIDLSSIDDWEGPAHELDTHMTRDDGDKGRDKKEIAGGEQDEAGLEAEVQDGDKQLEGGLRSQEQGLNLVVNVKRRRYYSDELKIAIYLELLAKTDPPVLHHGVSKQSCAASQRVWQNGQEKGGIDGVVNKLSKNVGRKRLEIDLEAIKHARLMEHTTLRNLAEALGVKKSTLHKRLMEEKIHRRTND >LPERR01G11580.1 pep chromosome:Lperr_V1.4:1:8914198:8915579:1 gene:LPERR01G11580 transcript:LPERR01G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLTMIALSIGIGVLAITAICPEFCHAAGEGSGSATVVRSIFVNQNGGADFTSIQKAINSVPFGNNQWIRIHVAAGVYKEKVSIPMNKSFIMLEGEGRQQTSIEWADFAGGGDSGTADSPTFASYAPDFIARDITFKNTYGVASGRMAPAVAALVAGDRSSFYRCGFVGVQDTLSDLLGRHYYEGCYIEGAVDFIFGEGQSIFHGCDIWAASPVARASRGAPGYITAQGRSSAADASGFVFRSCTVGGAAPAYLGRAWRGYARVVFYQSDLSAAVVSLGWDAWGYKGKEETLEMVEEGCTGPGANRTGRVAWEKTLSDAELGKLVDISYVSHDGWLAVQPR >LPERR01G11590.1 pep chromosome:Lperr_V1.4:1:8916163:8920535:-1 gene:LPERR01G11590 transcript:LPERR01G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEAFESAIKETFEKLVSGGIITRVSSRSLNFVENLHYGYQLSKVKYISQVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVHVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >LPERR01G11600.1 pep chromosome:Lperr_V1.4:1:8929967:8943912:-1 gene:LPERR01G11600 transcript:LPERR01G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPASLLLTLLCLAAFSAAAHASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITFWQLLRYSLLCAQTDPLNFLNQVELCTLDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPEGWSWKPETVPVVINQNGCNGNADINFQFTGFMISGKVLGAVGGKSCSKYGGPSGVKVELLTDSDDLVASALTSSSGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFVSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFGSLPCGNYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDNIGYYRLDQARRCGLPPTIDLCRVPCGTMRPAPESPFAARRRAHLRLRLQVTSKKYTIVAEKDHYKFHRLENFMILPNMASIDDIASVQYDVCGIVRTVTPNSKAMVTLTHGPENAKPQKKLASEKGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNVLLSLVRLAGGVEQEKKTITLEQDNVNFLFNKIFPGKYRLEVKHSSSEASTQDDWCWDQNAMDVNVGSDDVTGIVFVQKGYWVELVSTHETEAYIQHSDSSKLDLLIKKGPQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISGKKYLVRGELHVEIGSLQEEIDLSEDIGIDVFKRDGSFIEKISTTPVLGKSGQSGISAFEYSIWADLGEDFIFAPRDDSTRRKKILFYPSSQQFSVAVNGCQDTVPSIIAKTGLYLEGSVSPAISDVDIKILAAGRSKYAPLKERDVAMETKTNPEGSFYAGPLYDDIEYTVEASKAGYHLKQTGPYTFSCQKLGEISVRIYGEQDAELLPSVLLSLSGEEGYRNNSEYKFTPSAVAIDLNSGESREVEFRAIRVAYSAMGSVTLLTGLPKEGVFVEARSESRGFYEEATTDSFGRFRLRGLVPGSTYSVRVVAKDNHRFAAVERASPEYVSIEVGQGDISGIDFVVFERPEVTILSGHVEGDDVDMLQPHLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLCSGLPSHTHRFESEIVEVDLDQQPQIHVGPLKYKTEERHHKQELTTAPVFPLIVGVSAIALVISMPRLKDLYQSAVGMTNLGSVAAPSKKEPKKTIMRKRA >LPERR01G11610.1 pep chromosome:Lperr_V1.4:1:8964188:8971228:1 gene:LPERR01G11610 transcript:LPERR01G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVSGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMSWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCSGTPPSPRESHTVTVVGGERLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVSCDGGGGAAPAARDSHGAVAVGNRLFVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGPSPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVVNRSWTQLEICGQQPQGRFSHSAVLLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRSDNQRDPNMSNGELGPRPRELEIEQRNPFLRGLENGHVKRRRTGDVRPKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRTAGGVSPRTLKTDQFLRTIAPQQRHEVQFLTAEPKPHHRPPTPPFIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILTSSAIPPPQQRPMLAHAIPVHARPVPQATGFVLPDCSHHARQAFPAAAAKIIKSEPERSSSDLHDVVLTLGGPGAGK >LPERR01G11610.2 pep chromosome:Lperr_V1.4:1:8963965:8971228:1 gene:LPERR01G11610 transcript:LPERR01G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVSGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMSWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCSGTPPSPRESHTVTVVGGERLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVSCDGGGGAAPAARDSHGAVAVGNRLFVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGPSPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVVNRSWTQLEICGQQPQGRFSHSAVLLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRSDNQRDPNMSNGELGPRPRELEIEQRNPFLRGLENGHVKRRRTGDVRPKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRTAGGVSPRTLKTDQFLRTIAPQQRHEVQFLTAEPKPHHRPPTPPFIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILTSSAIPPPQQRPMLAHAIPVHARPVPQATGFVLPDCSHHARQAFPAAAAKIIKSEPERSSSDLHDVVLTLGGPGAGK >LPERR01G11620.1 pep chromosome:Lperr_V1.4:1:8969853:8986725:-1 gene:LPERR01G11620 transcript:LPERR01G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAATAARRRVSVSPTLGRQGRALLHASPEQKARPERRPPLKAWWPLYARLSAHLAVPSPSEAMAEELEQWLRERRPLSEDQILSCVRKFRKHKQNRHALQLMDWMEAHGLNLQLKHQALRLDLVSKVNGIHAAEEYFRNLPDIFRSMQTYSCLLNCYAEHGMAEKGLELYENMKAMNIVSDVLVYNNLMCLYLKTGQPEKIPTTFVKTIENGIQPNNFSYFVLTESYIMMNDIESAEKVLKELEKVNSVPWSLYTTIANGYIKLQQFDKAELALKKAEEVQDKHDAFSWHCLLSNYASCGNLAEVKRIWESLKSAFKKCSNRSYLVMLKALKKLDDFDSLQQIFQEWESNHERYDMKIPNVIIQAYLDKGMTDKAEAMLQTTIVQGHYNYGTFCIFADFYLEKSKINEALQLWRDAKNMVKGQYRVPAKLVNRFLKHLEHSKDADAMETFCECLRKLDCLDAEAYEALIRTYISAGRTNPSIAQRIKDDRVDIRPEMAELLRIVSSERGKEAAEAVAPAAAEAAEAVSPPAAGKAAAEGAAKAAGEKGARSLYRRLSALGGNAGEGSVSRVLNKWVREGREARAGDLAKYVKELRKYRRHAHALELMDWMVNTKGMNMSYTNHAIRLDLVHKVRGIEAAERYFDGLPDPAKNHRTYGALLNCYCSAKMEEKATDIYRKMDELGISSSTLPINNLMSLYMKLGQHKKVTNLFEEMKLKNVKPDNLTCCLLMSTYAALNKIDAIGEVLKEMEEKEVALGWSAYSTLASIYVNAGMLKEAESALKKLEGVVNVDDGRQPFDFLMSLYASVGNQSEVNRVWNKIKATFKKVTNISYLGMLQALYKLNDADRMKQIYEDWESNYETYDTRLTNMMTRAHLRNDMTKEAELLWEKVKEKGADFDSKTCELFLEHYMRKGDMTSALNWVENMVKLPKKQGKLDQDKICKFLKYFEEHKDVESAERFCGCLRTLECIDGKAYESLLRTYLAAGKTTRSIRQRIKEDKIEICYDIGKLLKRIGDKGH >LPERR01G11620.2 pep chromosome:Lperr_V1.4:1:8969853:8982572:-1 gene:LPERR01G11620 transcript:LPERR01G11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAGEGSVSRAMDEWAREGRGTRAADLVRCAKELRKYKRHAQALEAAEAVAPAAAEAAEAVSPPAAGKAAAEGAAKAAGEKGARSLYRRLSALGGNAGEGSVSRVLNKWVREGREARAGDLAKYVKELRKYRRHAHALELMDWMVNTKGMNMSYTNHAIRLDLVHKVRGIEAAERYFDGLPDPAKNHRTYGALLNCYCSAKMEEKATDIYRKMDELGISSSTLPINNLMSLYMKLGQHKKVTNLFEEMKLKNVKPDNLTCCLLMSTYAALNKIDAIGEVLKEMEEKEVALGWSAYSTLASIYVNAGMLKEAESALKKLEGVVNVDDGRQPFDFLMSLYASVGNQSEVNRVWNKIKATFKKVTNISYLGMLQALYKLNDADRMKQIYEDWESNYETYDTRLTNMMTRAHLRNDMTKEAELLWEKVKEKGADFDSKTCELFLEHYMRKGDMTSALNWVENMVKLPKKQGKLDQDKICKFLKYFEEHKDVESAERFCGCLRTLECIDGKAYESLLRTYLAAGKTTRSIRQRIKEDKIEICYDIGKLLKRIGDKGH >LPERR01G11620.3 pep chromosome:Lperr_V1.4:1:8979370:8986725:-1 gene:LPERR01G11620 transcript:LPERR01G11620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAATAARRRVSVSPTLGRQGRALLHASPEQKARPERRPPLKAWWPLYARLSAHLAVPSPSEAMAEELEQWLRERRPLSEDQILSCVRKFRKHKQNRHALQLMDWMEAHGLNLQLKHQALRLDLVSKVNGIHAAEEYFRNLPDIFRSMQTYSCLLNCYAEHGMAEKGLELYENMKAMNIVSDVLVYNNLMCLYLKTGQPEKIPTTFVKTIENGIQPNNFSYFVLTESYIMMNDIESAEKVLKELEKVNSVPWSLYTTIANGYIKLQQFDKAELALKKAEEVQDKHDAFSWHCLLSNYASCGNLAEVKRIWESLKSAFKKCSNRSYLVMLKALKKLDDFDSLQQIFQEWESNHERYDMKIPNVIIQAYLDKGMTDKAEAMLQTTIVQGHYNYGTFCIFADFYLEKSKINEALQLWRDAKNMVKGQYRVPAKLVNRFLKHLEHSKDADAMETFCECLRKLDCLDAEAYEALIRTYISAGRTNPSIAQRIKDDRVDIRPEMAELLRIVSSERGKELMDWMVNTKGMKISYAEHAIRLDLIYYVHGIEAVEQYFADLPDSAKNYRTYGMLLNCYCSAKMEEKATYIYSKMEELGISSGTLPINNLMSLYMKLGQHKKVTNLFEEMKVKNVKPDNLTCCLLMSTYAALNKIDAVEEVLKEMEENDVALGWSAYSTLASIYVNAGMVKKAESALKKLEGLVDIHDCRKPFDFLLSLYASLNNLSEVNRIWNVINTTFRKTNFSYLLMLQALYRPYDTDRMKQIYEYWKSIYENYDPRLTNMMTQAHLRNGMDKEAELLWATTKGKGANFNSKTCELFLEHYLGKGDVASASNWLENMAKLPKNHWKLDQEKMCCFLKYFEEHKDVEGAERFCNCLSMLGCIDGKTYESLQRSYFPTDKASHSCHQQIKEDYVDSA >LPERR01G11620.4 pep chromosome:Lperr_V1.4:1:8969853:8979349:-1 gene:LPERR01G11620 transcript:LPERR01G11620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAVHAAEAVAPAAAEAAEAVSPPAAGKAAAEGAAKAAGEKGARSLYRRLSALGGNAGEGSVSRVLNKWVREGREARAGDLAKYVKELRKYRRHAHALELMDWMVNTKGMNMSYTNHAIRLDLVHKVRGIEAAERYFDGLPDPAKNHRTYGALLNCYCSAKMEEKATDIYRKMDELGISSSTLPINNLMSLYMKLGQHKKVTNLFEEMKLKNVKPDNLTCCLLMSTYAALNKIDAIGEVLKEMEEKEVALGWSAYSTLASIYVNAGMLKEAESALKKLEGVVNVDDGRQPFDFLMSLYASVGNQSEVNRVWNKIKATFKKVTNISYLGMLQALYKLNDADRMKQIYEDWESNYETYDTRLTNMMTRAHLRNDMTKEAELLWEKVKEKGADFDSKTCELFLEHYMRKGDMTSALNWVENMVKLPKKQGKLDQDKICKFLKYFEEHKDVESAERFCGCLRTLECIDGKAYESLLRTYLAAGKTTRSIRQRIKEDKIEICYDIGKLLKRIGDKGH >LPERR01G11620.5 pep chromosome:Lperr_V1.4:1:8979370:8982572:-1 gene:LPERR01G11620 transcript:LPERR01G11620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAGEGSVSRAMDEWAREGRGTRAADLVRCAKELRKYKRHAQALELMDWMVNTKGMKISYAEHAIRLDLIYYVHGIEAVEQYFADLPDSAKNYRTYGMLLNCYCSAKMEEKATYIYSKMEELGISSGTLPINNLMSLYMKLGQHKKVTNLFEEMKVKNVKPDNLTCCLLMSTYAALNKIDAVEEVLKEMEENDVALGWSAYSTLASIYVNAGMVKKAESALKKLEGLVDIHDCRKPFDFLLSLYASLNNLSEVNRIWNVINTTFRKTNFSYLLMLQALYRPYDTDRMKQIYEYWKSIYENYDPRLTNMMTQAHLRNGMDKEAELLWATTKGKGANFNSKTCELFLEHYLGKGDVASASNWLENMAKLPKNHWKLDQEKMCCFLKYFEEHKDVEGAERFCNCLSMLGCIDGKTYESLQRSYFPTDKASHSCHQQIKEDYVDSA >LPERR01G11620.6 pep chromosome:Lperr_V1.4:1:8982577:8986725:-1 gene:LPERR01G11620 transcript:LPERR01G11620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAATAARRRVSVSPTLGRQGRALLHASPEQKARPERRPPLKAWWPLYARLSAHLAVPSPSEAMAEELEQWLRERRPLSEDQILSCVRKFRKHKQNRHALQLMDWMEAHGLNLQLKHQALRLDLVSKVNGIHAAEEYFRNLPDIFRSMQTYSCLLNCYAEHGMAEKGLELYENMKAMNIVSDVLVYNNLMCLYLKTGQPEKIPTTFVKTIENGIQPNNFSYFVLTESYIMMNDIESAEKVLKELEKVNSVPWSLYTTIANGYIKLQQFDKAELALKKAEEVQDKHDAFSWHCLLSNYASCGNLAEVKRIWESLKSAFKKCSNRSYLVMLKALKKLDDFDSLQQIFQEWESNHERYDMKIPNVIIQAYLDKGMTDKAEAMLQTTIVQGHYNYGTFCIFADFYLEKSKINEALQLWRDAKNMVKGQYRVPAKLVNRFLKHLEHSKDADAMETFCECLRKLDCLDAEAYEALIRTYISAGRTNPSIAQRIKDDRVDIRPEMAELLRIVSSERGKEVD >LPERR01G11630.1 pep chromosome:Lperr_V1.4:1:8981599:8985946:1 gene:LPERR01G11630 transcript:LPERR01G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHHITYGMRKEIEEEECPAACVRIKASYASASRQSSFLRHSQNVSIASASFECSRLQRLPDSLDLSQVAAAGIVGEKTMPRESVMLASLQDQNYT >LPERR01G11650.1 pep chromosome:Lperr_V1.4:1:8994155:8997039:-1 gene:LPERR01G11650 transcript:LPERR01G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNLQSHGAQAEATAVADLEQGLTGLTRHLLQYHQDEASTDESTRQRPRVGRVPPHVRNLDGAAEGYTPKFVSIGPIHHGDDTLRGHSHRLKVAYLHALIARRTRVDQTGIDDVAVLAALIGYKAGVAAVEDRARAFYKDDVCLPTDQAFVDMLVLDGCFLIEHMLNLATGYEEPLLHRTHWAPSQLHSDIIRFENQIPFFVLSELLALSPLSRDPHLESCRAAGAGAGGGREDFLRSIGVHCLLRKDDEELKDLPTSDNIHHLLHLYSLALTKARLRRPAAHAGIGATAWRALWKIPIVTLMPVAYLLCSGGKEEEENEEEAGTKLPNIPSATDLQRVGIKFKRAPRRPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVGYFNKLCRGNKLELEGNYLRSVFEALMERNRNPMYAWIRTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYFANNSDY >LPERR01G11660.1 pep chromosome:Lperr_V1.4:1:9000249:9001549:1 gene:LPERR01G11660 transcript:LPERR01G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKQKDPAERVVKAAWYKGTTVTTHRPKPISPIVNQSKNKSKHAKKPKPAAIKK >LPERR01G11670.1 pep chromosome:Lperr_V1.4:1:9020066:9026637:1 gene:LPERR01G11670 transcript:LPERR01G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVVIGGSSSVAASDLTELMKAQIAGHPRYPSLLSAYIECRKVGAPPEVASLLEEIGRERRAGAGCCSGEIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGSSSPAATATHSDEMMGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSEGMRFALMEGVAGGSSGTTLYFDTGTIGP >LPERR01G11670.2 pep chromosome:Lperr_V1.4:1:9020857:9026637:1 gene:LPERR01G11670 transcript:LPERR01G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSEGMRFALMEGVAGGSSGTTLYFDTGTIGP >LPERR01G11670.3 pep chromosome:Lperr_V1.4:1:9020066:9021044:1 gene:LPERR01G11670 transcript:LPERR01G11670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVVIGGSSSVAASDLTELMKAQIAGHPRYPSLLSAYIECRKVGAPPEVASLLEEIGRERRAGAGCCSGEIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGSSSPAATATHSGKSEPHSTS >LPERR01G11680.1 pep chromosome:Lperr_V1.4:1:9027723:9028628:1 gene:LPERR01G11680 transcript:LPERR01G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVVLAKGLMSAICLLLVLSSHGAHGGSIAIYWGQNGNEGTLASTCDTGNYAFVNLAFLCSFGSGQTPQLNLAGHCDAYSGTCANLTADIAHCQSMGVKILLSIGGGAGGYSLTSNQDAHDLAQYLWENFLGGHPSSDTKRPLGNAVLDGIDFDIEGGNPDYYGALASYLKSYNENVLLSAAPQCPYPDQWVGKALDTGLFDYVWVQFYNNPPCQYAGGSAANLVEAWHQWTAGVHAKGIFLGLPASPGAAGSGFIPVGSLESQVLPALKTSSKYGGVMLWSRYYDDQDGYSSAIKKAV >LPERR01G11690.1 pep chromosome:Lperr_V1.4:1:9033758:9045294:1 gene:LPERR01G11690 transcript:LPERR01G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECTWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNACFARRVIREGEFYEEMMRYLRRNLALFPYHLADYICRVLRVSPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLIYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDAGSVLEDTPTFPSNILSDDEEGSNASINSEKSGQHLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPVVTYVNSWFDPSHCLKSHAVTLYEAGKLGDSCIAELCSDLSSLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNENIDEAGGTKLPTSSLQDNVTADLTKINIEDTVEDGTNELPQHNHSELNSSDGNMLMSSTTSLESGEGVVRNDIEGSGTTQLDGSSDINVVKTKRKYRIDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPLSAVFMKGQRFRLLPEPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSINDLNASGNLVTVDIPLPLKSDDQSIASVIAHTNLPQEQRGRTEVVGFDGTFIRSYALPSEQDEAATKPASEESSAHDGKPDTEDTDSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >LPERR01G11690.2 pep chromosome:Lperr_V1.4:1:9033758:9045526:1 gene:LPERR01G11690 transcript:LPERR01G11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECTWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNACFARRVIREGEFYEEMMRYLRRNLALFPYHLADYICRVLRVSPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLIYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDAGSVLEDTPTFPSNILSDDEEGSNASINSEKSGQHLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPVVTYVNSWFDPSHCLKSHAVTLYEAGKLGDSCIAELCSDLSSLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNENIDEAGGTKLPTSSLQDNVTADLTKINIEDTVEDGTNELPQHNHSELNSSDGNMLMSSTTSLESGEGVVRNDIEGSGTTQLDGSSDINVVKTKRKYRIDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPLSAVFMKGQRFRLLPEPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSINDLNASGNLVTVDIPLPLKSDDQSIASVIAHTNLPQEQRGRTEVVGFDGTFIRSYALPSEQDEAATKPASEESSAHDGKPDTEDTDSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >LPERR01G11690.3 pep chromosome:Lperr_V1.4:1:9033758:9043387:1 gene:LPERR01G11690 transcript:LPERR01G11690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECTWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNACFARRVIREGEFYEEMMRYLRRNLALFPYHLADYICRVLRVSPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLIYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDAGSVLEDTPTFPSNILSDDEEGSNASINSEKSGQHLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPVVTYVNSWFDPSHCLKSHAVTLYEAGKLGDSCIAELCSDLSSLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNENIDEAGGTKLPTSSLQDNVTADLTKINIEDTVEDGTNELPQHNHSELNSSDGNMLMSSTTSLESGEGVVRNDIEGSGTTQLDGSSDINVVKTKRKYRIDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPLSAVFMKGQRFRLLPEPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSINDLNASGNLVTVDIPLPLKSDDQSIASVIAHTNLPQEQRGRTEVVGFDGTFIRSYALPSEQDEAATKPASEESSAHDGKPDTEDTDSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >LPERR01G11700.1 pep chromosome:Lperr_V1.4:1:9044793:9046140:-1 gene:LPERR01G11700 transcript:LPERR01G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLDTRTLKDEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAVSREAYFVTVEGLGGDTAGLDNAVKRSNFSSARGDDGQKSLEVVVKSAGKEAFQWGLAAGVYSGVTYGLREARGCHDWKNSAVAGAIAGVAVALTGDTGNTDHMVHFAITGAALSSAASMLSGIF >LPERR01G11710.1 pep chromosome:Lperr_V1.4:1:9052513:9055005:-1 gene:LPERR01G11710 transcript:LPERR01G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEMCCSCSLRQCRICHEEEEEGCTTMESPCGCSGSLKYAHRGCVQRWCDEKGSILCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDSPPLVSDQEYAECAGAAGRSAAWCRSVAVTFTLVLLLRHLVAVVTIGAAHHYAFGLLTVYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQDQRRNASRMQRLHGQEEQNQHAVIRVDIE >LPERR01G11720.1 pep chromosome:Lperr_V1.4:1:9065633:9067345:1 gene:LPERR01G11720 transcript:LPERR01G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPDSAVAAEPAAADKAAAGRRVVVAVDESEESMHALSWCLANVLSSSSSGAGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAISAAAVDKAKRICAAFPHVTVETLVESGDPRDVICDATEKMGADLLVMGSHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >LPERR01G11730.1 pep chromosome:Lperr_V1.4:1:9067994:9069356:-1 gene:LPERR01G11730 transcript:LPERR01G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESE >LPERR01G11730.2 pep chromosome:Lperr_V1.4:1:9067994:9069344:-1 gene:LPERR01G11730 transcript:LPERR01G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESE >LPERR01G11740.1 pep chromosome:Lperr_V1.4:1:9072033:9089372:-1 gene:LPERR01G11740 transcript:LPERR01G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGGPDKEAPVQMPMPQQRYRSMESHDRAVVQMAPMEFGSSTDASARPRYIKPGTNARNDARTNMASSNGHSSNVSQNDSKLELFGFDSLEIETKLGTMMGVFDRLYGRVVALTGRALGPEVGVSIGLYVLGAVETFLDAIPSAGFFQESVTVVNNTLVNGTTSDNATNISTPSLHDLQVYGIIVIILLCFIVFGGVNIINKVAPAFLIPVLFSILCIYIGVFIAPGPNASKRITGLSITTLKDNWSSDYQCTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMSGSNRSASLKDTQRSIPIGTLHATISTTVMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVIYAGIILSTLGAALQSLTGAPSLKGKAGDWGDGFKSAYFQLALRSIRSMGENIPCHPKLADFANCMKKKGRSMSIFVSIIDGDYRESAEDAKTACRQLSAYIDYRRCKGVAEIIVAPSTSVGFHSIVQTMGLGNLKPNIVVMRYLEIWHRENLTQIPSTFVSIINDCIIANKAVVIVKGLVEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEGLKADVKKFLYDLRMQADVIVVTMKSWEANAEHNINSKMDDPKVYKSARSRIRKYIFELKETAEREGQALLEGKRRVVVDEQKVDKFLYTMLKLNATIFRYSKMAAVVLVSLPPPPSNHPAYCYMEYMDILVENIPRMLIVRGYRKDVVTIFT >LPERR01G11740.2 pep chromosome:Lperr_V1.4:1:9072033:9089372:-1 gene:LPERR01G11740 transcript:LPERR01G11740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGGPDKEAPVQMPMPQQRYRSMESHDRAVVQMAPMEFGSSTDASARPRYIKPGTNARNDARTNMASSNGHSSNVSQNDSKLELFGFDSLEIETKLGTMMGVFDRLYGRVVALTGRALGPEVGLLELSLQHDRIFRAFIYALKESVTVVNNTLVNGTTSDNATNISTPSLHDLQVYGIIVIILLCFIVFGGVNIINKVAPAFLIPVLFSILCIYIGVFIAPGPNASKRITGLSITTLKDNWSSDYQCTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMSGSNRSASLKDTQRSIPIGTLHATISTTVMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVIYAGIILSTLGAALQSLTGAPSLKGKAGDWGDGFKSAYFQLALRSIRSMGENIPCHPKLADFANCMKKKGRSMSIFVSIIDGDYRESAEDAKTACRQLSAYIDYRRCKGVAEIIVAPSTSVGFHSIVQTMGLGNLKPNIVVMRYLEIWHRENLTQIPSTFVSIINDCIIANKAVVIVKGLVEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEGLKADVKKFLYDLRMQADVIVVTMKSWEANAEHNINSKMDDPKVYKSARSRIRKYIFELKETAEREGQALLEGKRRVVVDEQKVDKFLYTMLKLNATIFRYSKMAAVVLVSLPPPPSNHPAYCYMEYMDILVENIPRMLIVRGYRKDVVTIFT >LPERR01G11740.3 pep chromosome:Lperr_V1.4:1:9072033:9089372:-1 gene:LPERR01G11740 transcript:LPERR01G11740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGGPDKEAPVQMPMPQQRYRSMESHDRAVVQMAPMEFGSSTDASARPRYIKPGTNARNDARTNMASSNGHSSNVSQNDSKLELFGFDSLEIETKLGTMMGVFVPCLQNILGIIYYIRFTWVVALTGRALGPEVGLLELSLQHDRIFRAFIYALKESVTVVNNTLVNGTTSDNATNISTPSLHDLQVYGIIVIILLCFIVFGGVNIINKVAPAFLIPVLFSILCIYIGVFIAPGPNASKRITGLSITTLKDNWSSDYQCTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMSGSNRSASLKDTQRSIPIGTLHATISTTVMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVIYAGIILSTLGAALQSLTGAPSLKGKAGDWGDGFKSAYFQLALRSIRSMGENIPCHPKLADFANCMKKKGRSMSIFVSIIDGDYRESAEDAKTACRQLSAYIDYRRCKGVAEIIVAPSTSVGFHSIVQTMGLGNLKPNIVVMRYLEIWHRENLTQIPSTFVSIINDCIIANKAVVIVKGLVEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEGLKADVKKFLYDLRMQADVIVVTMKSWEANAEHNINSKMDDPKVYKSARSRIRKYIFELKETAEREGQALLEGKRRVVVDEQKVDKFLYTMLKLNATIFRYSKMAAVVLVSLPPPPSNHPAYCYMEYMDILVENIPRMLIVRGYRKDVVTIFT >LPERR01G11750.1 pep chromosome:Lperr_V1.4:1:9100482:9106345:1 gene:LPERR01G11750 transcript:LPERR01G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASLGLRSSGSYGSLQQSNGQSPSPSPPLGPRKAGKMSLGGAGAGGRGGERLLFARICKLTSRRQRMLLLLLVAAAVVFCFLFSSLVTKDEYASPGGIETMLGFSDQVRSLVNPVWTSSGRPIIQGDSLSGNGFNTASQTEKQSDSSHEKLRGLSWSLPPSVVLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQAPALMPGAPSESPVLQSLNYLSDDNLISRESNSGSLFGGYPSLEERDKSYDIKDSMTVHCGFVRGKIPGVNTGFDIDRVDLSEMWKCRGIVVASAIFAFSGNYDIMQQPENISTFSKDTVCFFMFLDEETEAAIKNTTTIDDSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSLWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNSSIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRTRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPLILVRQQPRKMLPDNTAKEAGKASSSKRLPAKRTREKKLGSKRAHRTKVTGGKEFTQL >LPERR01G11760.1 pep chromosome:Lperr_V1.4:1:9108556:9109065:1 gene:LPERR01G11760 transcript:LPERR01G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLASQCVYCGAGAGAGSRRRAPLVIGSDGMPVLVDQEATRAAELMIEAPGHVVVRAANVAKERRVRALAADEPLRNGEVYLLVPASRAGARVGDREADAIGRLVVSGGKKGGKRDRSGGRRVVPEVDMSVEEDIVRGKGMGTQAQAQAHGIRPRQWRPALDTIYEA >LPERR01G11770.1 pep chromosome:Lperr_V1.4:1:9115596:9120244:1 gene:LPERR01G11770 transcript:LPERR01G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIRDWNNGSLNSSVAFNLHNISHVIPRAQRNENFRSNEQYNKRREGRKWARTKCVMLRTAPRLFLQDSKLVYEHRCSSCQLPVSQISFLGERHRRAQYTLLLTYSIAWQCYV >LPERR01G11790.1 pep chromosome:Lperr_V1.4:1:9134927:9135786:-1 gene:LPERR01G11790 transcript:LPERR01G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREICVGGKPARRFSFSWADEVEREEAAQQQQHEEEKDENQPPPPRRGGETEEQTTKLNPFGAARPREVVLAEKGVDWRARDLELDGTSRRASAARSRTRAARRHEDSTPATSTSTRRKSTAPPVSYGSAWGGKRKCSGQDEPLSRHVRPVADHGRRVFGQLNIGEEGEFCRWGCSASRGAVCTDGIGAGKAAIVGDEIADRCTVPIPAGTETGGCGAGRRRGKRGGRRLGSNKAKKQQALLL >LPERR01G11800.1 pep chromosome:Lperr_V1.4:1:9147224:9147616:-1 gene:LPERR01G11800 transcript:LPERR01G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQRTRRGDSFHPSAFSASVLDAIHATDAQLPTSSLPEPAADQNQQPPPPRLAMAPTSTVPSTPPPGAFRRCGGRVRGVCVGCFGDPEAKRRRRVAGYKAYGVEGKVKASLRRGIRWFKRKCSGIFRA >LPERR01G11810.1 pep chromosome:Lperr_V1.4:1:9155532:9156212:1 gene:LPERR01G11810 transcript:LPERR01G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASGEMVAFYEAWVVREEQIVADLTADALPSRRRAALVGAAVDHVADYYEHKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALAFRFADDVIAASSSCSQQQRRALDRLRAATAEAEREMDREVAAAQESLAGPRVLAALRRPQQPRARNGEDDAVAAVGRSLRVLLAAADALRERTVRDIVAVLGTDQAGAFLAAMMRFHLGVHRAGRNWGSGNGGRRGV >LPERR01G11820.1 pep chromosome:Lperr_V1.4:1:9166042:9166395:1 gene:LPERR01G11820 transcript:LPERR01G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAKALPLVLCLAAHLFTIACARHMPAEPEGFHLMVDSSPTYAGPSPACGHGNNQPCNQPSSADDVPLGGSPGFTTESGARDQFTSSLCRGCNNSVPVIGATQRVAGYGEEDRP >LPERR01G11830.1 pep chromosome:Lperr_V1.4:1:9168037:9173923:-1 gene:LPERR01G11830 transcript:LPERR01G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVVSRAADRFYCPPPRRHLLHHHQAAAAPAAAAVEKPPRRAAAAADKEEETNLESFIASTAVRVPARRLPRTGSRGRGTAAPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYAARPPPSSTCCLEVSDGDSAHDMSSESDHEQLIDQSFVNSISRDQDGFSSDDSESVNQETYPVFQYMEHDAPYGRQPLADMISLLASRFPGLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPPEGTLSGHPEANFYHNSKIFDVPGKVTLPLIGLASHKFNGSMWMSNQEHEQHLTKSLLKAADNWLCQRQVDHPDYRFFLSH >LPERR01G11840.1 pep chromosome:Lperr_V1.4:1:9196381:9199332:-1 gene:LPERR01G11840 transcript:LPERR01G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDASTPSYDKLQRIYHLFLFHAHPFWLQVLYFLFISLFGFLMLKVLPMKTSMVPRPTDLDLIFTSVSATTVSSMVAVEMESFSNSQLLLITLLMLLGGEVFTSILGLYFTHLKSNKIATLPANHGSNNKPPQTSTIVELTPMDDQNQIELGYLDQNKDSNNTHTSSSTSTTRLLMLIVMGYHVVVHLAGYTLILVYLGVVDGARAVLAGKRISPHTFSIFTVVSTFANCGFVPTNEGMISFKSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALEMVTRRPELGELRRRQKGDGGVDYDHLLPGSRTRFMAATVVVFLLVQTVLFCAMEWGSDGLQGLTAGQKLVGALFMSVNSRHSGEMILDLSTVSSAVVVVYVVMMYLPPYTTFIPVQRKHKQTGDKSGQEPSSSSIWQKLLMSPLSCLAIFIVVICITERRQIADDPINYSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDVWVGFSGKWSREGKLTLMAVMLYGRLKKFSLHGGQAWKIE >LPERR01G11850.1 pep chromosome:Lperr_V1.4:1:9207651:9212291:-1 gene:LPERR01G11850 transcript:LPERR01G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDTAPSIAGGAGAVEAEAVRPLLTAAELEELDAAYAPYARRDAYGSMGRGELRFPRRAELVLRAVLLVPVRFVAGMLLLVAYYLVCLACTLFVVDGVAEGRRPRLEGWRRVAVVRSGRALARAMLFVFGFYRIRVTDRSSPNADAEDTHQDQSEELEIPGAIVSNHVSYLDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEVCQDKNCPMMLLFPEGTTTNGDYLLPFKTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVIRLPVYYPSKQEKEDPKLYANNVRKLIATEGNLILSNLGLAEKRVYHAALNGNNSPIHQKDD >LPERR01G11860.1 pep chromosome:Lperr_V1.4:1:9214568:9216127:-1 gene:LPERR01G11860 transcript:LPERR01G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPPELFEFISSKTARHDLAWDVGTGNGQAIPPLAKLYKEVVGTDTSEQQLSYAPRLPNVRYVHTPPDLPLAGIHAAVAPPGSADLVTVAQAFHWLDLPRFYSQVRSLLRPQQGVLAAWCYTEPCVDATVDDAFWRLYNRSQPYWAPNRRMVDDRYSGVEFPFDPVDGETHTGPFEFSTKRAMDLDAYLTYITSWSAYQTAKEKGVELLDEATVGEFVDAWGGDREVVRTVRYPVFLRIGKVQATGKMWRTSSYHSNGANGVSRTRTYALFHGR >LPERR01G11870.1 pep chromosome:Lperr_V1.4:1:9260362:9262939:1 gene:LPERR01G11870 transcript:LPERR01G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSDVYVDSANQRVTVVGIADPERIVKAIRKTKRVPTIFSHTDPAAPPPPAAEGEAPPPPEEAAPAAEPAPEESKEAPPPEEAAPAATDATVIHMVHDYPYSSHVDNHQHHHMFRDHWPAASHPAGGMVGYSGAPYYATHSYSHRPSPYLSEYGYLGSPAHEGRSYYSSHDYYHYPAAAAARGKGDGSQITSMFSDENPNACTIA >LPERR01G11880.1 pep chromosome:Lperr_V1.4:1:9269895:9274335:1 gene:LPERR01G11880 transcript:LPERR01G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAMVLYFVMSRRLAQEDGGAAGGGGGVGGGGGKRRRGRAVRRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLRQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRTAFSSWSCMGARRRGVGVVAASTKEEVTAETHVTSTDNSESYVVEQHGTKIMEDLQYTADNVSVHEETEEEALLSEHETSREHAEEEITEGEMWFEFEKDLDHQAEVEARARQEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVATDECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDDNFRTDSTVE >LPERR01G11890.1 pep chromosome:Lperr_V1.4:1:9277313:9289315:-1 gene:LPERR01G11890 transcript:LPERR01G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAEEGEEEEEEEYGPRYVRMPQEPEGDAAVASFRLPESARAFDELPRARIAGVSRPDAGDITPMLLSYTIEVQYKQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVGHLPKIDKGSEDRCCLCGCFSFCNSSWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNEEGQIALAREIKERNPLHFTFEVSSGGRTIKLRTKSSAKVKDWVSAVNTARRPPEGWSHANRFGSFAPPRGLTEDGSVVQWFIDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFCYSESSRLDILLESRAKQGVQHLFVCCRLGSIKYLMGSCPYEMSCNVGQNIRYAAECSLGIYVLIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDIPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGNIKETIEDESKQTHDEDIKVQRLSSLKAPASCQDIPLLLPQEPDHQASHNEDLGLNGLDNNHGHSDHPNKTHRKQPIPNRKAKKDTSLQDLQMKGFVDNLGSPDVSVIGCYDTSKPNMHDMDKEWWETQNQGDQAASVLEIGEVGPRATCRCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVIGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGPRDSEIGIIIEDKEVVTSTMDGRNWEAGKFSLSLRLSLWAEHLGLHPGEVNQIMDPVDDLTYNNVWMGTAKANTMIYQNVFTCVPNDHVHSRSQFRQGFAHRKEKIGHTTIDLGVAVETTATHKDGELAGTNPMEKLLAIRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >LPERR01G11900.1 pep chromosome:Lperr_V1.4:1:9297681:9308030:-1 gene:LPERR01G11900 transcript:LPERR01G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETITSGYKSLLGKGAFGEVYKGLLDGKRPVAVKKYINGSRKQQFAREVIVHSQIKHRNVVRLLGCCTEENALMIVMEFICNGNLDKLLHDNNANGRAQIPLDKRLDIAIATAEVLACMHSLSHHVLHGDIKPANILLDENLVPKVSDFGIARLLSTDEAQHTGSVIGCVGYMDPIFCQSGILTSKSDVYSFGVVLLEIITRKKAVEGNIILSQSFIQALKSRNAKQMFDKEITDSKNIKFLEGIGKLAAKCLNLNIEMRPEMVEVADNLRMVRKALQRDQGNVTHWFFSRWTWNPVPSNSILEKKFVPPNHDWRQRWTNSGSHLPGRIEENNEEVQRSTSEVFLGELKDGRKSALKKLRLPPNEPPADRFINKVEAISRVKHENVVQLVGYCVEESRVLAYEYATKGSLHDILHGNKGVMEAQPAPVLSWAQRVKIALSVAKGLEFIHEKAWPESIFAEIKSSNILVFDNDVAKIDGLGVKLEPDTHDEYIRNLLLDGPMDYDPIGYNAPEYLLTGEYTMKSDVYSFGVLLLELLTGRKPKDPTLPRGQRNLVTWATPRLTEDKAKQCIDARVGGEYSPKAAAKMAAIAGLCLQYEAEFRPKMNIVVKALSPLLRSSGEASVV >LPERR01G11900.2 pep chromosome:Lperr_V1.4:1:9297681:9308030:-1 gene:LPERR01G11900 transcript:LPERR01G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETITSGYKSLLGKGAFGEVYKGLLDGKRPVAVKKYINGSRKQQFAREVIVHSQIKHRNVVRLLGCCTEENALMIVMEFICNGNLDKLLHDNNANGRAQIPLDKRLDIAIATAEVLACMHSLSHHVLHGDIKPANILLDENLVPKVSDFGIARLLSTDEAQHTGSVIGCVGYMDPIFCQSGILTSKSDVYSFGVVLLEIITRKKAVEGNIILSQSFIQALKSRNAKQMFDKEITDSKNIKFLEGIGKLAAKCLNLNIEMRPEMVEVADNLRMVRKALQRDQGNVTHWFFSRWTWNPVPSNSILEKKFVPPNHDCPTGGNGGPTLVAISLDELRKITRKFSVESLIGTGSTSEVFLGELKDGRKSALKKLRLPPNEPPADRFINKVEAISRVKHENVVQLVGYCVEESRVLAYEYATKGSLHDILHGNKGVMEAQPAPVLSWAQRVKIALSVAKGLEFIHEKAWPESIFAEIKSSNILVFDNDVAKIDGLGVKLEPDTHDEYIRNLLLDGPMDYDPIGYNAPEYLLTGEYTMKSDVYSFGVLLLELLTGRKPKDPTLPRGQRNLVTWATPRLTEDKAKQCIDARVGGEYSPKAAAKMAAIAGLCLQYEAEFRPKMNIVVKALSPLLRSSGEASVV >LPERR01G11910.1 pep chromosome:Lperr_V1.4:1:9308069:9309127:-1 gene:LPERR01G11910 transcript:LPERR01G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHICTKHSWCSRRGGLAEERLALQICRRGVGRTTKRLTSKATDQTIICVLPSSYANGLRRYWYKRFLKRTRACGT >LPERR01G11920.1 pep chromosome:Lperr_V1.4:1:9312461:9313207:1 gene:LPERR01G11920 transcript:LPERR01G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDHHQPHPSVQIPDVPCYDIGKKHSSNLPNVDDTRAGQVERSPSTYEFTGKLLLAASGAFAGILLALIVLHMCGGRSRRSSSSGSSSRRQRRRLVRSLAITGDGDDRDGGAAPSQRGLDPAVLRALPVVVAAAAGGDCAVCLAEFEAGEKARALPRCGHRFHVECIDAWFRENSTCPLCRADVEAQAPDAAGDAQPEVRIDITGDAAAAAAAEKGRAPAMGRLASGTDLEKTRRVFASTRSASF >LPERR01G11930.1 pep chromosome:Lperr_V1.4:1:9315986:9322168:-1 gene:LPERR01G11930 transcript:LPERR01G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLGEVPTMVVSSRDAAREVMRLHDTAFATRPLSATMRVLINGGRNIALAPYGDYWRQIRKIAVTELLTEGGLQFPLDMDAIKVLIFLTQLPKKVHCTQDIFGAGSETSSTTLGWAIAELIRNPKAMHKATAEVRHALASDGAVSEAALGELHYLNLGNIPAAPTGAASSATRVPGIVPAVTQVLVNVWALGHDERYWPDHPPDEFQPERFNNGEAAAADFRGTNFELLPFGAGRRMCPGLAFGLANVELPLASLLFHFDWEVPGLANPADLDMTETFGITVRRKSDLLLCPILRMAVPQPID >LPERR01G11940.1 pep chromosome:Lperr_V1.4:1:9331740:9333116:1 gene:LPERR01G11940 transcript:LPERR01G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATPIMLQLAARCGRERPEQRRNSGLPVQVHFFSEVTGCGLWNWNLSIGMSDRGASSSVAGDTDTDAVPTADAPTLWAPHGRALTGCLVVVNVALVLLVYLYFWRVFSRKRAAAADSAPSDDDDDDSSSSASAPPTAAMARIRDDVVASLPVFVVRSSAAGGEKAAAAAGEGECAVCIGELRDGDECRALPRCGHRFHAACVDAWLRLHANCPLCRASVVGGGVAERKCGVTDTTAAAQEDMDARV >LPERR01G11950.1 pep chromosome:Lperr_V1.4:1:9340835:9347010:1 gene:LPERR01G11950 transcript:LPERR01G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREARQEEEAEHDAPSVPPRENEEERDRNLSARVVSLLFGGDSTPAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSTPDLSEQVVTAELVGKEEIPLTSSQPTEISLWERLGNSSALDIESPDFSWNMLLSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSASNVLPREAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQEIRQAAENARSVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLENSKAFSPREAACITASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSAPGVDRLDDSNCAAESFIPPITHFVQSDKRTHSANATINSAELVSMSLKPDALKSVRENAESLDGPFHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGGKLGIPGPNEAITSTDSCCSISDEDNAAVIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLSIVTKGSEESDNNDVAVPDFPSPGASYSTPCAPSKQLNSELHSDSEILKSATKPSSAGSRGSSDSVSPLSRDSWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPEMLKEIEQWNEAFKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDAKISDLFEEASDYIDHVDHVEGKVLVHCFEGKSRSATIVLAYLMLRKDLTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKTIQSLRISRGGSLSPSQKLTKAFADELSF >LPERR01G11960.1 pep chromosome:Lperr_V1.4:1:9347520:9348560:-1 gene:LPERR01G11960 transcript:LPERR01G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPPPSAPPSAGGEGEETHREEYEQLRQLVSTYPTVPSGLSTPYHRHPDGWYTFLPAMVSVMVAQRHFAARDTDILLATFPKCGTTWLKALLFATVRRGAHDVALARLKRHNPHELVPFLEIQVYVRDRVPDLSTVPSPRLLATHIPRASLPASVAAAGCKVVYMCRDPKDCLVSLWHFLNAQRRQTSVDDDFRLFCDGVSLVGPYWEHVLAYWRWHVEAPGKVMFVTYEELSADTVGQLRRLAEFVGRPFTDEEREARVDEAIVRACSVDSLAGAEVNRSGTVELMETPMRNAMFFRRGVVGDWRNYLTPEMGRRIDEITESKFRGSGLVLPNAHETTMTP >LPERR01G11970.1 pep chromosome:Lperr_V1.4:1:9350928:9352206:1 gene:LPERR01G11970 transcript:LPERR01G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSYAAAVVLSLFLAVGAANAAPAPEAAGAPTAAPTSGAAYSLEDACKQTGPHYDLCMATLSADKSAKSSDTVGLARVAILAVQKNASETATYLSSIYDDDSIENKTLQLQQCLEDCSERYEAAVEQLTDATVALDQGAYNEARALVVSSQAEIKLCQRGCQAVPEHRNILTLRNREVDRLCSIAFTITKLIRPSPNAAG >LPERR01G11980.1 pep chromosome:Lperr_V1.4:1:9352589:9354677:-1 gene:LPERR01G11980 transcript:LPERR01G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHIATATLLLSLLVLARSDVTPPPAATPVPPSTACNETTDPTFCRTVLPSNGTSNLYTYGKFSVAKSLANANKFLGLVNRYLSRGGGGLAPGAVAALQDCQLLSGLNIDFLSAAGATLNATSSTLLDPQAEDVQTLLSAILTNQQTCSDGLQAAASSWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVRPGTNKKPKTPKPPRHGGGRHGRGLFDATDDEMVRRMALDGAAATVSTFGAVTVDQSGAGNFTTVSDAVAAAPTNLDGSKGYFVIHVAAGVYVENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEGYQDTLYTHSLRQFYRACDIYGTVDYVFGNAAVVFQDCTLYNRLPMAGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPELAANTAFATTNYLGRPWKLYSRTVIMQSIVGGLIDPTGWMPWDGDYALSTLYYAEFNNSGAGANTSRRVNWPGYHVLNSTTDAGNFTVGNMVLGDFWLPQTGVPFTSGLN >LPERR01G11990.1 pep chromosome:Lperr_V1.4:1:9372355:9382609:1 gene:LPERR01G11990 transcript:LPERR01G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAGPLLVLLAATLAAAVSASDTVPFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHKKSLPDVICSTVNRTKDAVAATSNNCSHYMNNKQLTARDRLAIADCMELLDTTMDELQASTADLESPAVNKRVTMDHVMTVLSAAMTNQDTCLEGFDYKDGAKARDYMKPSIHHVSHMVSNSLAMAKKLPGATSGSGTNTNTAQRQPFMGYGEMANGFPQWVRPGDRRLLQAPASGITADAVVAKDGSGGYTTVSAAVAAAPSNSNKRYVIYIKAGAYMENVEVVKSKKNLMFIGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPNPNQSNIYTAQGREDPNQNTGISIQKCKVAAASDLVGVQSSFKTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYLNTGPGASTSSRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFSVGL >LPERR01G12000.1 pep chromosome:Lperr_V1.4:1:9383106:9385641:1 gene:LPERR01G12000 transcript:LPERR01G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGDCVVEQAVGSRRQRRRLRCSSAAKQRRCCQDFHLSGRPIPMTVAHPVVNHHKTGVVASDELITSPKTTAYEINVSDAQNELALADYTESRFYKSTEEYRLTTLQNY >LPERR01G12010.1 pep chromosome:Lperr_V1.4:1:9389035:9391467:1 gene:LPERR01G12010 transcript:LPERR01G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEFDDTPWPALSPKTLTVAHPVASDGVITSLKTTTYEINASDAQNELVVADYNESRFYKSSEAAVLSTKKPILNKVQWNLTVPTIHEYSQGNWRVLLSTLLGILGLSPLWIDLLEYQTAKPPSLLFPLYLNLSHGSGRRGWAWSGRPPALELAVPSDGLEPVPPPNVLDGVAALPCRWQRTTLRPLMSSLALLVLAFAGPDERRKLPPQARWALPPELQIWKAGRGG >LPERR01G12020.1 pep chromosome:Lperr_V1.4:1:9406615:9409292:1 gene:LPERR01G12020 transcript:LPERR01G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGCLFLLAVLLPHHNAAAIPHHGPATHDYRDALTKSILFFEGQRSGKLPPSQRVSWRRDSGLSDGSSMRARETLLLCFTPISSAALCYLVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTIYVQVGDANKDHACWERPEDMDTPRTVYKIDASTPGTDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLAPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVASLHEYKSHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKQTVSCGGGAAVTPQRLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPGKIGCSQGFTALYSGVPNPNVHVGAVVGGPNLQDQFPDERNDHEHSEPATYINAPLVGALAYLAHSYGQL >LPERR01G12030.1 pep chromosome:Lperr_V1.4:1:9423752:9424762:1 gene:LPERR01G12030 transcript:LPERR01G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHIPKPNTTRFDAVQLGRACVPLLPPTPRSLAPTPPTAPTTLACIYTHPQVASYRPPKSNVRPKNTRPHTHATFPLFPLHFHLQAKSTEDSSLQPMCGGAIIYDYIPARRRVCASDFWPDADADDSDPHAPAPEKHGGGGAACSVEVKELSEELEMYENYMNFLGIPYMEGGAPASGAGGEEGAAPAGLWAFDDYEMPSLGL >LPERR01G12040.1 pep chromosome:Lperr_V1.4:1:9427583:9431020:1 gene:LPERR01G12040 transcript:LPERR01G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIRSRIRISYIEAIRRLPAAGLRTTLAQGVLVGGHCYGPPLKLPVHNILLNSIWYAAAFPLAAEDRIDVPIITANSLSRVVLRSLDGLIASLRHRCPSLSHDAAISRLYYARDDDVISSACTGEAAAFRVAAEAAQHPKPAAMAHFLRSVLPAVARDAESVLDSNMILSSDDILRLSATLAPSPLPDEVPQPPLRERRSKIVRIINDRRNNYKCWYEILLRLADAALREYAQQTGEQYELHTIYGETFLEDFDEPANYVHINFMASPSSCQDLQASHACFFAEVLVPPRVKRHEGQINLCCLVHPLPDDTDSCHGCLIENHIIDHPKGVSFCGKKHYEMDGNGYDWDWPSTADVDYRFFDPDKDVGLVEYLDGAITHAKAVRSLSTRNEDDSDDSSDDDDISSYSMQFV >LPERR01G12050.1 pep chromosome:Lperr_V1.4:1:9432733:9437664:-1 gene:LPERR01G12050 transcript:LPERR01G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRLASRSRLRLRLFPAAAPPRPSPVGPASAPSPSLRGLLIPLPHLRLASPDAAASSSSSPSPSPPAWLVDGLNWRRAGFGRRWFASEASPAAAAELVEVPLAQTGEGIAECELLRWFVTEGDQVDEFQRLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMMVGDSQTVSHDSIASSTDHSHAVSSASPSGEGCVPSGTLSTPAVRHLAKQYGLNISDIQGTGKGGRVLKEDVLSYATSKGLCKASTPGLEENIDQVKLLEGRRSLSDTNSYEDKRISLRGYQRAMVKSMSLAAKVPHFHYLEEINCDALVELKASFQNANKDHNIKHTFLPFLIKSLSMALSKYPLLNSCFVDETNEVVFKGSHNIGVAMATEHGLVVPNIKNVQSLSILEITKELARLHEMATHNRLSTDDIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENIYPCSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >LPERR01G12060.1 pep chromosome:Lperr_V1.4:1:9439003:9441972:-1 gene:LPERR01G12060 transcript:LPERR01G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSNEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDDPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKVLSSPPMHPLLFLTSFSVTNEII >LPERR01G12070.1 pep chromosome:Lperr_V1.4:1:9463748:9469048:1 gene:LPERR01G12070 transcript:LPERR01G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDALLVKLAAMEELDVQRKDWRDKVRELSFDMEDCIDIFMHKVGDADAKSGLMQRLKMLKARYKIANLMKQLKARAVEISNCRDRYWLDEPGRSGVVPIDPRMQAIYAETNSLVGIDGPREKIIEWLLEEGAQQVKVTSIVGFGGVGKTTLANQVYNKIKGKFECTAFVSVSRNPNIIKILSDLVVGVRGRMYSSRKYDERQLIDIVRAKLKDKRYLLVIDDIWAVEAWGILKCCFVENDRGSGVITTTRMEDIANACCSGFHGYVYKMEPLNDLDSRRLFHRRIFHSEDACPEQLKNASDDILKKCRGVPLAILTIASILASHEAVDSMEIWEKIKHCLGFYLETNPALEWMRHVLSLGYNDLSMDLKTCMLYLGIFPEDSEIRKNDLLRRWTAEGFITKKHCIGQDDVAESYFNELINRNMIQIAEFDDCGEILSCRLHDLLLDFIILKSTAENFITLINDHVCTECPWKVRRLSVHVRDSESKHRHLLTNMALTQARSFNLWGPAQWLPPLSKFQLLRVLHLEIYGSTGKRYDTSCICSLFQLRYLRTSGIVCKKLLTQLLKLEHLQTLEVTCELPEYIELDVCWLPLTLQHLIVHGSVNVVGGIGRMRALYTIDLDDADSLKGLGELINLRELKLVRSCYGEEDGCYFLLSSLCRLLSLQSLIINGYLYEEDVLTRWSPTPRNLRRLHVLECLFSTIPSDWITQLDKLRSLEIQVVSFPRDGADVLARLSSLVHLTLHVKKNAPEEGVVVHSATFPNLMEFCFRFEVPCLVFEAGAMPRLRSLNIDCYAQGARRADGVLDGIEHLGGLLDFKVCIYKRGDFLPARFKSGYFETPHAKAARKWDSDSLMAAVTEAINKHPGSPRIIISTV >LPERR01G12080.1 pep chromosome:Lperr_V1.4:1:9475220:9475625:-1 gene:LPERR01G12080 transcript:LPERR01G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAIAKGGAGRRAEEKVAAAAAAKRTMVKTTAEEKTAWVPDPVTGYYRPAGGAKEMDAAELRAKLLTQGTMAAN >LPERR01G12090.1 pep chromosome:Lperr_V1.4:1:9484870:9493581:1 gene:LPERR01G12090 transcript:LPERR01G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMVSASTGVMNSLLAKLGGLLEKEYGLLTNVEKDIAFLRDEMSSMNALLVRLAAADQQKLDAQTKEWRDTVRELAYDAEDCVDVYAHHLVRGSGGVARHLMALGARRDVAALYAGDASGLVAIDATRENIIRRLTAEDDDHQGGASAQQEQLRVVSIVGFGGVGKTTVANQVYAKIKDRFDCTAFVSVSRNPSTAKILEDILFGVKGYYHLLPTTSGSDDPHRELLDRLREYLKYRRYLLIIDDLWSVEAWDIIRVFGSEAACPEHLKMISENILAKCKGIPLAISSVARLLASQDLLKDKWEKIYSSLGFELERSPMMGWLRHVLDLGYNDLSTDLKTCMLYLAMFPEDYVIEKNDLTRRWIAEGFVYQRHGCDPEEIAERLSLRLRNAESSKVFSNINLSKTRSLSFWGPVQCNPVVSNFHLLRVVQLDMYSSRIYHESDDLEAISKLFQLRYLKIGAKLMWKMPKQIGALQNLETLEIDGHIYGDLPKDLCELPSLLHLIGSIKLPVGIGKLTTLRTLKVLNVDHKGSIESIKDLGKLTNLREIEIYYSEIQSDMADDMLSSFCKLGTCNLRSLVFLPSSGTDHRMSNFLTEPPRLVPRDMLRDWTPSPRHLHRLHVLSCPFSTIPEWIKQLDKLRSLEISVQVLSPDGINALGNLPSLLHLRLHVEDNVDGGIAVIHPAFGQLRKLWFNCRAPCLVFEQGAMPRLESLYVRFDADEAVTLLDGMVASVGHLSRLEVFWAQVYSSSAARVQYYREGGGRPKQFYSHPRAPFTKKSRMHMENAEAALRSAIQRHPANPSIIIDQD >LPERR01G12100.1 pep chromosome:Lperr_V1.4:1:9503390:9514171:1 gene:LPERR01G12100 transcript:LPERR01G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVGNEQEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGLRLAVAGYGVFGMDYEGHGKSMGVRCYIRSFRRLVDDCHRFFKSICDLEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPLVITLLTQVEDVIPRWKIVPTKDVIDAAFKDPIKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSQVKLPFLVLHGDADTVTDPEVSRALYERAASADRTMKLYPGMWHGLTAGEPDGNVEAVFADVVAWLNERSRTWTVEDRLMKMMAAPDKFIQDERRAAAADDDGGGAKPANRRRGGCFCGLAGRTHHHAEM >LPERR01G12110.1 pep chromosome:Lperr_V1.4:1:9510789:9514253:-1 gene:LPERR01G12110 transcript:LPERR01G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASELTYRGGAAAGSAPDGGDYSPKPSKPLSCLSRAARYAAAEHRPAFALAGMLLAAALFSIYSPSSYSQPSAAAMGGGGFEAAFNRLATLPSSSGSMLHGSAGGKVPLGLRRRAMRVLVTGGAGFVGSHLVDRLLDRGESVIVVDNFFTGRKDNVAHHLGNPRFEVIRHDVVEPILLEVDQIYHLACPASPTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLNWEPKVPLREGLPLMVTDFRKRIFGDQEA >LPERR01G12110.2 pep chromosome:Lperr_V1.4:1:9510789:9514253:-1 gene:LPERR01G12110 transcript:LPERR01G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASELTYRGGAAAGSAPDGGDYSPKPSKPLSCLSRAARYAAAEHRPAFALAGMLLAAALFSIYSPSSYSQPSAAAMGGGGFEAAFNRLATLPSSSGSMLHGSAGGKVPLGLRRRAMRVLVTGGAGFVGSHLVDRLLDRGESVIVVDNFFTGRKDNVAHHLGNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISFNCSWISELIRLNATLSSVQLTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLNWEPKVPLREGLPLMVTDFRKRIFGDQEA >LPERR01G12120.1 pep chromosome:Lperr_V1.4:1:9518691:9519935:-1 gene:LPERR01G12120 transcript:LPERR01G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAADAGQFDFDVIVVGAGIMGSCAAHAAASRGAGARVLLLERFDLLHHLGSSHGASRTIRDAYAKPHYPPMVRLARRLWADAEAQSAHRVLTPAPQLTIAPPDDVSMLAAADNSGARTVHDLATRWGGAFRCIPDGWIAAVSDINGGGVLNATKSVAMFQALAVKAGAVVRDNTEVVGIAAMNGGGVVVTTGSGEAFRGAKCVVTVGAWTRRLVNAVSGVDLPIQPLHMLVLYWKIKPGHERDLTAGGDGDDGGFPTFSSYGDSHVYGTPSLELPGLIKINYDDGPPCDPDAGDRIASSDDVAEGRVARWIEEFMPDHVDTAGGAVVRQPCMYSMTPDKDFVIDFLGGEFGDDVVVGAGFSGHGFKMGPAVGRILAEMAMDGVARTAAEAGVELRHFRISRFEGNPMGNGK >LPERR01G12130.1 pep chromosome:Lperr_V1.4:1:9521273:9522903:-1 gene:LPERR01G12130 transcript:LPERR01G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDVGEVRMRDVPMATEFGGPAPVGGAGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDSLR >LPERR01G12140.1 pep chromosome:Lperr_V1.4:1:9527056:9532580:1 gene:LPERR01G12140 transcript:LPERR01G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETMSRRNSRTIYVGNLPGDIREREVEDLFYKFGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRRMVEEVNLTHMIAQEAIAVDDVEVYLSVLNIALWSLVYLHRHHGRILRTICDELVMSASLMYTERLERLDIVCQLVIWPVNPSATVGIVDYTNYEDMKYAIRKLDDSEFRNAFSRAYIRVKEYDDRSRSRSRSYSRSRSYSRSRSPKYVET >LPERR01G12150.1 pep chromosome:Lperr_V1.4:1:9536361:9537598:1 gene:LPERR01G12150 transcript:LPERR01G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAKADAAAEAAKMDLLEDDDEFEEFEIDQGMHRFVFLTFNLDCFVADTHGEWDDKEEGNEAVQQWEDDWDDDDINDDFSLQLRKELESNSSKN >LPERR01G12160.1 pep chromosome:Lperr_V1.4:1:9538482:9546836:1 gene:LPERR01G12160 transcript:LPERR01G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALDAEGEDGGGRSQSTGKSQDSSDGHGPAAGVQGGSGAGRSLNSSDGQGQAQAVDVQGRSVAGESLGNTVVKPEPACADAAKGGAAVATPFTNSAGADTLAGASYPQDIDAASSDPLNDEEDGDTTECSSSFGNSCCETDGEADRGDSEVNSPFSENVDGDQAFMQLRKKKVTAEWRSAVRPMLWRCQWLELRMKDLLSQVSKYDRELALIKKGKELQQAVNKTNGSRLESAQLFKGHENSCMERRKRRRHEEIVDTSLYIKKHKILSYFYDKQNKGAETDGLLIDDDSSGPVGNDVGGLHTLGLLEPKEYDMVAEQLMLQKILLTIDGMQSQVLRLQDRLTKVHSKQDNMDSLVDHPHIQVAEKRLRTQKRSFSSKKDRYTKAQKKKNLNILLKEDEPSHAVISTLSKRAPHCQTEGANIEEKSGEGCQSHNKAITVDLLLGVDSSLPNHMGDLCKNNDDILIDNQAAKERYQPFENANQPMKNPLEITEKVSETASLRVGNNPGPVEVSSTSAPFEVENASVTLEVKGTSSPVVKQEPAFEKPPALKHVYSGKRRGRKLMMEEVRDNAVGPKSQSKEASKAPATKQKTESTFPGAKKPKIEKATASVEEEKNEKTRSMGKKRKAGKSCSSTKQQEAEKSSSASRKEISESAPLKPMIEKAVLVAVNSRRSQRVRKPKIY >LPERR01G12160.2 pep chromosome:Lperr_V1.4:1:9538482:9546845:1 gene:LPERR01G12160 transcript:LPERR01G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALDAEGEDGGGRSQSTGKSQDSSDGHGPAAGVQGGSGAGRSLNSSDGQGQAQAVDVQGRSVAGESLGNTVVKPEPACADAAKGGAAVATPFTNSAGADTLAGASYPQDIDAASSDPLNDEEDGDTTECSSSFGNSCCETDGEADRGDSEVNSPFSENVDGDQAFMQLRKKKVTAEWRSAVRPMLWRCQWLELRMKDLLSQVSKYDRELALIKKGKELQQAVNKTNGSRLESAQLFKGHENSCMERRKRRRHEEIVDTSLYIKKHKILSYFYDKQNKGAETDGLLIDDDSSGPVGNDVGGLHTLGLLEPKEYDMVAEQLMLQKILLTIDGMQSQVLRLQDRLTKVHSKQDNMDSLVDHPHIQVAEKRLRTQKRSFSSKKDRYTKAQKKKNLNILLKEDEPSHAVISTLSKRAPHCQTEGANIEEKSGEGCQSHNKAITVDLLLGVDSSLPNHMGDLCKNNDDILIDNQAAKERYQPFENANQPMKNPLEITEKVSETASLRVGNNPGPVEVSSTSAPFEVENASVTLEVKGTSSPVVKQEPAFEKPPALKHVYSGKRRGRKLMMEEVRDNAVGPKSQSKEASKAPATKQKTESTFPGAKKPKIEKATASVEEEKNEKTRSMGKKRKAGKSCSSTKQQEAEKSSSASRKEISESAPLKPMIEKAVLVAVNSRRSQRVRKPKIY >LPERR01G12160.3 pep chromosome:Lperr_V1.4:1:9538872:9546845:1 gene:LPERR01G12160 transcript:LPERR01G12160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALDAEGEDGGGRSQSTGKSQDSSDGHGPAAGVQGGSGAGRSLNSSDGQGQAQAVDVQGRSVAGESLGNTVVKPEPACADAAKGGAAVATPFTNSAGADTLAGASYPQDIDAASSDPLNDEEDGDTTECSSSFGNSCCETDGEADRGDSEVNSPFSENVDGDQAFMQLRKKKVTAEWRSAVRPMLWRCQWLELRMKDLLSQVSKYDRELALIKKGKELQQAVNKTNGSRLESAQLFKGHENSCMERRKRRRHEEIVDTSLYIKKHKILSYFYDKQNKGAETDGLLIDDDSSGPVGNDVGGLHTLGLLEPKEYDMVAEQLMLQKILLTIDGMQSQVLRLQDRLTKVHSKQDNMDSLVDHPHIQVAEKRLRTQKRSFSSKKDRYTKAQKKKNLNILLKEDEPSHAVISTLSKRAPHCQTEGANIEEKSGEGCQSHNKAITVDLLLGVDSSLPNHMGDLCKNNDDILIDNQAAKERYQPFENANQPMKNPLEITEKVSETASLRVGNNPGPVEVSSTSAPFEVENASVTLEVKGTSSPVVKQEPAFEKPPALKHVYSGKRRGRKLMMEEVRDNAVGPKSQSKEASKAPATKQKTESTFPGAKKPKIEKATASVEEEKNEKTRSMGKKRKAGKSCSSTKQQEAEKSSSASRKEISESAPLKPMIEKAVLVAVNSRRSQRVRKPKIY >LPERR01G12160.4 pep chromosome:Lperr_V1.4:1:9538961:9546845:1 gene:LPERR01G12160 transcript:LPERR01G12160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALDAEGEDGGGRSQSTGKSQDSSDGHGPAAGVQGGSGAGRSLNSSDGQGQAQAVDVQGRSVAGESLGNTVVKPEPACADAAKGGAAVATPFTNSAGADTLAGASYPQDIDAASSDPLNDEEDGDTTECSSSFGNSCCETDGEADRGDSEVNSPFSENVDGDQAFMQLRKKKVTAEWRSAVRPMLWRCQWLELRMKDLLSQVSKYDRELALIKKGKELQQAVNKTNGSRLESAQLFKGHENSCMERRKRRRHEEIVDTSLYIKKHKILSYFYDKQNKGAETDGLLIDDDSSGPVGNDVGGLHTLGLLEPKEYDMVAEQLMLQKILLTIDGMQSQVLRLQDRLTKVHSKQDNMDSLVDHPHIQVAEKRLRTQKRSFSSKKDRYTKAQKKKNLNILLKEDEPSHAVISTLSKRAPHCQTEGANIEEKSGEGCQSHNKAITVDLLLGVDSSLPNHMGDLCKNNDDILIDNQAAKERYQPFENANQPMKNPLEITEKVSETASLRVGNNPGPVEVSSTSAPFEVENASVTLEVKGTSSPVVKQEPAFEKPPALKHVYSGKRRGRKLMMEEVRDNAVGPKSQSKEASKAPATKQKTESTFPGAKKPKIEKATASVEEEKNEKTRSMGKKRKAGKSCSSTKQQEAEKSSSASRKEISESAPLKPMIEKAVLVAVNSRRSQRVRKPKIY >LPERR01G12170.1 pep chromosome:Lperr_V1.4:1:9556087:9557079:1 gene:LPERR01G12170 transcript:LPERR01G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPVEEADEKSPFGKLTADEFYARHGVVHSTSTFVNPRGLRIFTQRWVPAAAAETPLLGAIAVVHGFTGESSWTVQLTAVHFARAGFAVAAVDHQGHGFSDGLQCHIPDIVPVLDDCDAAFASFRADFPPPLPCFLYGESLGGAIALLLHLRDKARWRDGAVLNGAMCGVSPRFMPPWPLEHFLWAAAKVVPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRSTAPPRAATALELLRVCRELQSRFEEVELPLLVAHGGDDKVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGEPEENVEKVFGDMLDWLKSHAAAARRDGEGQQ >LPERR01G12180.1 pep chromosome:Lperr_V1.4:1:9563459:9564904:1 gene:LPERR01G12180 transcript:LPERR01G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGNGAAKGGGGGGVVPGSAGCPWTRMKWTDEMVRLLINVVYSVGDDGDGGVAAAAGGGGGGTKGGAAAHGKGAAAAQQQKKGKWKSVSRAMMERGYMVSPQQCEDKFNDLNKRYKRVVDLLGRGTACKVVENHALLDAMDELPPKAKDEARKLLSSKHLFFREMCAYHNSGANAHGAPHGGAPHGGSGGGGDACFHHPPPASMAAAAAASSAARQAAAFAPSPGMKDSSAGADDDDDDSDDVPSSNDIDEDDDDDDDDDEVMKHRTGSGGIQIYGSSHRLHHHHHHNGHHKRRRHGDDVSSAGAGGDDDDDAATGGRKRSRGIASAGGDEDDHGPSPVQQLQSELAAATAAAGDPQQVRQWVRRRAVEVEEQQVAHDVRAYHLERQRLKWERFRANKERDMERARLRNDRVRIDGRRMLLLLRQKDLDFDIAEANSSSVDHLPTSSAGPPLSAAAALHPHHQQQIGSSPSAAAAAGHPN >LPERR01G12190.1 pep chromosome:Lperr_V1.4:1:9568745:9584126:1 gene:LPERR01G12190 transcript:LPERR01G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVARESARRASGRDVLRSALLAATDLAGLTRGTPRTPTLGPHRPAAGDAAESRPSSSSSSVVYFSHDDAVSVSPPPPSPSPPPRDPPLEQPPLRPRPPVSESPKSAQSKGIAGASTAGVAVGVGDAAREAVAVAGPPDGDAARPQPSPSPSPVPVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVMYGKPADTDGKRSALSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRRQLNSVLDAELGQDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKLELARECDYVLEAINQKRYKELLSDSEGYYVPKVIDDLSSKKVLTSEFVPGFPIDKVALLDQDTRNYVGCKLLELTIKELFTDPNWSNFLFDEPTKKFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQHNFSDDCPESERFRARMMTMERSGITTRPWSWRTPLLLLLLLVFLFVAGCCVRAAEDEQMRGGGRRRSRRRRAADVMVPITILNSAVEKGAVCMDGTPPAYHLDPGSGGGNRSWIVNLEGGGWCNNARTCRFRAGSRHGSSDHMERSIPFTGIMSSSPSDNPDFHSWNRVKIRYCDSGSFAGDAFDEQLKLQFRGQRIWGAVIQHLLDDAGMASAEQVLLTGCSAAWTSPAGGA >LPERR01G12190.2 pep chromosome:Lperr_V1.4:1:9574208:9584126:1 gene:LPERR01G12190 transcript:LPERR01G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMERSGITTRPWSWRTPLLLLLLLVFLFVAGCCVRAAEDEQMRGGGRRRSRRRRAADVMVPITILNSAVEKGAVCMDGTPPAYHLDPGSGGGNRSWIVNLEGGGWCNNARTCRFRAGSRHGSSDHMERSIPFTGIMSSSPSDNPDFHSWNRVKIRYCDSGSFAGDAFDEQLKLQFRGQRIWGAVIQHLLDDAGMASAEQVLLTGCSAGGLAAILHCDQLRALLPHAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVAMQAVAPNLPNTCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADANGAWKACKFNRLACNASQLQFLQGFRDQMVAAVRVFSDSRSNGLFINSCFAHCQSELTVTWNGGSPALQNKRRFARILTSP >LPERR01G12190.3 pep chromosome:Lperr_V1.4:1:9568745:9573902:1 gene:LPERR01G12190 transcript:LPERR01G12190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVARESARRASGRDVLRSALLAATDLAGLTRGTPRTPTLGPHRPAAGDAAESRPSSSSSSVVYFSHDDAVSVSPPPPSPSPPPRDPPLEQPPLRPRPPVSESPKSAQSKGIAGASTAGVAVGVGDAAREAVAVAGPPDGDAARPQPSPSPSPVPVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVMYGKPADTDGKRSALSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRRQLNSVLDAELGQDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKLELARECDYVLEAINQKRYKELLSDSEGYYVPKVIDDLSSKKVLTSEFVPGFPIDKVALLDQDTRNYVGCKLLELTIKELFTDPNWSNFLFDEPTKKFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQHNFSDDCPEVLSSTG >LPERR01G12200.1 pep chromosome:Lperr_V1.4:1:9587435:9589447:-1 gene:LPERR01G12200 transcript:LPERR01G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTAAKQDDDGTDTVVVNIERMLAAPHLRRRPPAAAARLDDGGYSIFRVPANVDKKHYEPRLVSVGPYHRHKDHLRAMEHRKRLYLLRFLDAAARHRHGHGLLLRDCVDTARALAPRARACYFESPAFAGDGDDEFVEMLLLDGCFVVQFFVQWFYGETDPVFRVGWNLPLLHTDLLMLENQIPYFVLLELYEVAYSPATTTPTTVLRQEEDATIDHLLHLYHSTFVKPPDHLPPCRRQQCCHAGIGKAGPPPPPPPPPPRTIRCAKELTLHGVKFSRKADTRNILDVTFDYSHGILEIPRVAVDDTTCTRYMNLVAFEQCCGRGGDGNNNSKHLTSYVVLMDYLINTAEDVVILDRAEVVENKLANEEEAARFFNQLRVCSYIDYDDHYLAPVYRDVDAFCRRKWPRYKAKFRRDYLNSPWAIFGFCLATTFAVITLFNTIVTILKTFFHVLY >LPERR01G12210.1 pep chromosome:Lperr_V1.4:1:9593930:9595501:1 gene:LPERR01G12210 transcript:LPERR01G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPNVGGRRQKLHGLVVVEDGAVQDAMVMKLTKINCQATVCSDINVAVKLLRERMKEIDIVVVSDALSRLKPAINSVQLLCEEAQLRLTVLRKDNEKDDTWVLVSYSHMEQELESIRRSTMNKDDLHAYRLPVAMASSHCNINGLTMTTMAMEMVAMPSLVKRATNRSKHQQQREKFISTQGQDVQEQSTQHQQKKRRLVWTTELNKKFVEAYEKLSLTGDLSVM >LPERR01G12220.1 pep chromosome:Lperr_V1.4:1:9598004:9611669:-1 gene:LPERR01G12220 transcript:LPERR01G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAAALRFPHPSSHAPSSSSSSPSPSSPPTHHPLGGAYGRGRLHHGVRGRPQRRRGGGGVAARAAGLGGLLGGMFGGAGGDDGDAARKRYADAVARVNAMEPEVSALSDADLRARTARLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKITEVFERDIHYTWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLLEAGIAHELLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKDAVEVSVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIVDEYKVYTEEEKKKVIAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKTEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNFKPVMKNQEEQKSENKGTKKKVDEGANKRAVVKYGCSRATSTQHFKAAAVGGKYSMADMLEDKYLEAQSPWPQARNKHELLKDGGSCLRIVATARKTVQLSW >LPERR01G12220.2 pep chromosome:Lperr_V1.4:1:9598004:9611669:-1 gene:LPERR01G12220 transcript:LPERR01G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAAALRFPHPSSHAPSSSSSSPSPSSPPTHHPLGGAYGRGRLHHGVRGRPQRRRGGGGVAARAAGLGGLLGGMFGGAGGDDGDAARKREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKITEVFERDIHYTWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLLEAGIAHELLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKDAVEVSVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIVDEYKVYTEEEKKKVIAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKTEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNFKPVMKNQEEQKSENKGTKKKVDEGANKRAVVKYGCSRATSTQHFKAAAVGGKYSMADMLEDKYLEAQSPWPQARNKHELLKDGGSCLRIVATARKTVQLSW >LPERR01G12220.3 pep chromosome:Lperr_V1.4:1:9598004:9611669:-1 gene:LPERR01G12220 transcript:LPERR01G12220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAAALRFPHPSSHAPSSSSSSPSPSSPPTHHPLGGAYGRGRLHHGVRGRPQRRRGGGGVAARAAGLGGLLGGMFGGAGGDDGDAARKRYADAVARVNAMEPEVSALSDADLRARTARLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKITEVFERDIHYTWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLLEAGIAHELLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKDAVEVSVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIVDEYKVYTEEEKKKVIAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKTEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNFKPVMKNQEEQKSENKGTKKKVDEGANKRAVVKYGCSRATSTQHFKAAAVGGKYSMADMLEDKYLEAQSPWPQARNKHELLKDGGSCLRIVATARKTVQLSW >LPERR01G12230.1 pep chromosome:Lperr_V1.4:1:9612481:9616436:-1 gene:LPERR01G12230 transcript:LPERR01G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSTPPQPQPAHPSPQFPAVFTPPPTSAFSPSRGSPSPSTGPAALSPAAAQAQFSTPPGPPVFSSPLRPAAVPFRTTPXPFPSSRGTAAYSSSSSSAAVSLPTSSGPHFLNGGAAAATPHSHLSSAAAAPPPEESPYVLFSAHKVLKQKKLLNAPSLGFGALVSPGREVAPGPEAVERDPRRCLNCGAYVNVYCDVSIGSGQWQCVICKKTNGSEGEFLVSSKHELLQWPELASSTVDYVQPGNRRPGFVPVPDSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGVYLSPVHASLPVAHTIFSSLRPYQLSVPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKAAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMEAKGDIKNDFVYFQFAVHYSNMYQAEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTILRARTASDAIDMRLTIDERVKDIALKFGTQVPKSKLYKFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIHFDDPSFCEWMRSLKLVPPEPS >LPERR01G12240.1 pep chromosome:Lperr_V1.4:1:9621046:9624303:1 gene:LPERR01G12240 transcript:LPERR01G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETSSGSNPPAAAGATIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKDKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFHEAVAARSNINIEVEAPTVSRPEETKPKAKRSQPALLKNVIISVKPQAKKAKVDAEDKPATKELPPNGHTAGHKSPDEPKGVLGSLVQYGDDESGDEDV >LPERR01G12250.1 pep chromosome:Lperr_V1.4:1:9624734:9625087:-1 gene:LPERR01G12250 transcript:LPERR01G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFELQLEGHETLVKFAAFIVVQALVYLILSDFSGVFSGAGGSRSASFRRLLERSDSARLMAALLAEMPRLIGGGEPSSPAGSQLLLREGPSSNDGRGDMDAVELELILIRCSFSS >LPERR01G12260.1 pep chromosome:Lperr_V1.4:1:9626998:9627309:-1 gene:LPERR01G12260 transcript:LPERR01G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFELLQLEGHETLLKFAVFILVQALVYLILSNSSGVFSGAGRSSSFRRLESARRMAALLSEMPRPGDAPSTPLGIQLRGDDDGGDMDMELELMLIRSSFSN >LPERR01G12270.1 pep chromosome:Lperr_V1.4:1:9630724:9631041:-1 gene:LPERR01G12270 transcript:LPERR01G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELQLEGHEKLVKFAAFIVVQALVYLILSNSSGVFSVGGRRSSFRRPESTRRMSALLSEMPRPGGAPSTPPGIQLRGGRSSNGNDDDMDMELELMLIRSSFSY >LPERR01G12280.1 pep chromosome:Lperr_V1.4:1:9633186:9633521:-1 gene:LPERR01G12280 transcript:LPERR01G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFELQMEGHETLLKFAAFLVVQTLVYLILSKSSSVFSGGGRSASFRRLLERSESSRRMAALLAEMPRFGGEPSSPAGIKRGGGGRLVANDGGDVDVELELMLIRCSFWS >LPERR01G12290.1 pep chromosome:Lperr_V1.4:1:9638169:9644814:-1 gene:LPERR01G12290 transcript:LPERR01G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKDKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDPVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSTSFDTTARIHGLKSGKMLKEFRGHNSFVNCAIFSADGSRVITASSDNTVKVWDTKTTDCLQTFKPPPPLRGGDAAINSVHLFPKNTDHIVVCNRTSSIYIMTLQGQVVKSFSSGKQEGGDFFAASVSPKGEWIYCLGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYSEDCTMKTWKP >LPERR01G12300.1 pep chromosome:Lperr_V1.4:1:9669057:9674367:1 gene:LPERR01G12300 transcript:LPERR01G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVVFFVTVWLTIRSRKKTRRASANIPITQIPAISKEIKEVRVEQVPTNEFVAHDGVLLTIQDKSSDKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDAGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQSYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYARPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSSK >LPERR01G12310.1 pep chromosome:Lperr_V1.4:1:9675225:9678251:-1 gene:LPERR01G12310 transcript:LPERR01G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCGADDVGKRKKRDDPYVPIPAPGGNYGQSRPGPPAPARTTPTSRSLPIAVPAISLDEMKEITKNFSSDALIGEGSYSRVFFGVLRDGKKSAVKKLDSSKQPDQEFLLQVSAVSRLKHENLIQLIGYCAEGSIRVLAYEYAPRGSLHDILHGKKGVKGSQPGPALSWMQRVRIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFENDVAKIGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSMKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGEYPPKAVAKMAAVAALCVQYEAEFRPNMSIVVKALNPLLHSRPNSRPTNAAVIAGDAGDRSGF >LPERR01G12320.1 pep chromosome:Lperr_V1.4:1:9682894:9688230:1 gene:LPERR01G12320 transcript:LPERR01G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPSPSPQRPPPSRAGGANLFSSPPPPLPNLHDPSHRPIPSPPPLPSRSHRHLPRRRHGQPPPSTTNPAFRTPHLRTSYRKPIPPVTASSSGDETLLAADTAEAEDGRAVVVGPSGVSFRLPGAPFDFQFSYSEAPGAAPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHRPLGEAPPERVSAHGIVMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGQDLRPICKLAKNGIYIYLVRDVRDAFEGNDLVKIDCEGLNPSDYKKIGAKLRDLVPCILLSFDNEQILMFRGKKWKSRYPKPLTLVPKIRKDNLPISSDESSSDEATDADDYMTEREVLRPRMFKLWTNAIESSVALLLDNTEVDALTPDSLLTRVEDFSVTSQAVEHSFPALLVANDEANSDVLNAECSEDRPETGTIVPQQNEFTQPPDVTEDDHFEDDMLERLESSVPLGALPIDAVVKQLDEE >LPERR01G12330.1 pep chromosome:Lperr_V1.4:1:9697880:9700089:-1 gene:LPERR01G12330 transcript:LPERR01G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRAIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCAWLRPDGKTQVTVEYLNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVAAGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMMCINLDLKRGGNRFIKTAAYGHFGREDPDFTWEVVKSLKYDKASA >LPERR01G12340.1 pep chromosome:Lperr_V1.4:1:9703534:9703780:1 gene:LPERR01G12340 transcript:LPERR01G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDTGGLGSTTTIRTVAGNLFHHRAPGLGTTTSSVRRIQIDDDELAATLNHDTGGHGSTTSCSAVEQIEPPPLILALTA >LPERR01G12350.1 pep chromosome:Lperr_V1.4:1:9705258:9708689:1 gene:LPERR01G12350 transcript:LPERR01G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLDSLIKAYEDAEEFVIALKRREERRINLMRPEALLHWDGDRPLPHLDERRRIVQRWIEYEKRKGPRLYDYLRGENDACIARPHVRIALRSYNSHHPGAEFDIVRSLNAHFTSFGDEIWSHVNFLGRRRDCIDAPVLRFFAEILYHGRLAETPVVVSCIILQGYKLNLQQSLQEEPLFIRRIETIQEPVHLTTKTGTDQEPLVQFRSKCAFCTDRDEILHPSDDKFMCGKEGQELRPSSWFYWDERALDHILIRPRCFLDKVSGFFSFLFYVFFIWLANLASAIKLGDKLH >LPERR01G12350.2 pep chromosome:Lperr_V1.4:1:9705245:9708689:1 gene:LPERR01G12350 transcript:LPERR01G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLDSLIKAYEDAEEFVIALKRREERRINLMRPEALLHWDGDRPLPHLDERRRIVQRWIEYEKRKGPRLYDYLRGENDACIARPHVRIALRSYNSHHPGAEFDIVRSLNAHFTSFGDEIWSHVNFLGRRRDCIDAPVLRFFAEILYHGRLAETPVVVSCIILQGYKLNLQQSLQEEPLFIRRIETIQEPVHLTTKTGTDQEPLVQFRSKCAFCTDRDEILHPSDDKFMCGKEGQELRPSSWFYWDERALDHILIRPRCFLDKVSGFFSFLFYVFFIWLANLASAIKLGDKLH >LPERR01G12360.1 pep chromosome:Lperr_V1.4:1:9716523:9719360:1 gene:LPERR01G12360 transcript:LPERR01G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREGKKVIDRVFGGEETLAPGFKCSLVHFKIRTLQNITDNFHKNREIGSGGFGKVYKGILHNGTMVAVKRANSMSEQRRKEFLTEIELISQLRHINVMPLFGFCEESNEMILVYEYMEQGTLMSHLYGSDKHKLTWKQRLQICIAAARGLHYIHTYSKNGIIHRDVKTSNILLDANLLAKISDFGLSEIGPGSECSHVITKVMGTDGYCDPEYYKTRQLTQKSDVYSFGVVQLEVLCARLVFNPTLPLKKRNLLIWAMEKLERAEVDPENLREIVDQTISRTIEGASLLIFVELIKKCLADNHADCPLMEDVIQDLRHALELQGDTVSDTNPDDGLSDLARHAFSVIMREFREARMMEFMHMGNLHM >LPERR01G12430.1 pep chromosome:Lperr_V1.4:1:9767182:9768249:1 gene:LPERR01G12430 transcript:LPERR01G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKCLFFFFLAFLVISFPGAALGAGLKVGFYNKTCPTAEALVQKAVAAAFKNNSGIAAGLIRLHFHDCFVRTIQLSVKDMYTEN >LPERR01G12440.1 pep chromosome:Lperr_V1.4:1:9772772:9773113:-1 gene:LPERR01G12440 transcript:LPERR01G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVISGGVAVLRAGLAVGILLLAAGAVACSGARAVPGEPEPEPAAYRPQNVFGFGGFYPGPNVNWVFPGPNGVTPQVGFGGMPGSSSSVFPGAGAGGGGSPFTPHGGAGKKP >LPERR01G12450.1 pep chromosome:Lperr_V1.4:1:9774415:9784612:-1 gene:LPERR01G12450 transcript:LPERR01G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSKKAGVACPECLERRILSDFPGSCFSFVHGLSESALPFTSVAVVQIASDGTGECNESESNSLENGSQIDLQRREIIDADDNRYIVQADSPYCEECIEDHVNSSIPNKKQSVINTITKLTPTHCLVRASTSGIKELISRYLNLSTEENVMNSLNLLSENKIDGSGGLDFLNFIGFSAFNDIHPSGRVRHPNILPVLGVVETSDCCYMLHPKFPYTLENIMHYSPEALRSDWHIRFLIYQIISALEYLHDFGLHHGILKPSTIFMSDSLWPYLSISDICPVKQNYGFAESKCPALNICCFEEDCSSKAIYTGFNLNFDWKSHFKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFASLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVMLPHSDPAKPKSTGRRQLFMKPHPKRLNTTPHSTYHNKMESCARCQRGSSSTTTSVQLNDRIPPSMSSQIDYLQEFEQANLFAELEQHLCPIYNYADDSSCCCSSAKYHKSSSSNEEIMPPVSVLSVVPDFDFCSFLECFESDDSSSMGYQELFRWKQKSCSIIEHHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGIMPGAIQELPIHVAVLVESCIQREWNRRPLAKYLLESHYFPPSIRSAYMFVAPLQLICTPKDRLKYVAKLASEGTLKAMGEFAAEMCAPYCLPLVSPSLSDVDTEFALALLKEFVKCLSAPEYSHLKVSLLQDSFMRELWKKLGKQTYVEKTVLPLIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLTNVILSCIDSSKVNKPEPQHSWNSFALMGGLAALEGLVSVLPVKTILKELLQVAASALVDLCKRVGPENTAIYVLPHLKELFAELAFSHESSGPTVPSKGLKFFDGNGTEPTKMESRIDLMFLLYPFLAVLVGIEKLRECCSTWFLLEQALQRLYGWKWEPSTNHSGGSENMKDQRFQSGNYTSSEPTPTKLVAEIGKNGRNMAASNKRSRLEHGSSSDNFCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCTSGYYGHEEVVNSICTLSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTTSIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKGKLVAGMGNGSIRFIDICRDQKLHLWKSDSDEISFSSLVSAVCSCASDKLKKGSPAASSSWIAAGLSSGYCQLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSAQSNIFRSHSDGIINFSVWGQDVVSVSRNKIALTSLSRPTSEIGNQQLILQNLYSSDRGVKYKNLSVLSTIAVLPLSRLFVVGTEDETDDRSQVCQNAN >LPERR01G12450.2 pep chromosome:Lperr_V1.4:1:9774417:9784548:-1 gene:LPERR01G12450 transcript:LPERR01G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSKKAGVACPECLERRILSDFPGSCFSFVHGLSESALPFTSVAVVQIASDGTGECNESESNSLENGSQIDLQRREIIDADDNRYIVQADSPYCEECIEDHVNSSIPNKKQSVINTITKLTPTHCLVRASTSGIKELISRYLNLSTEENVMNSLNLLSENKIDGSGGLDFLNFIGFSAFNDIHPSGRVRHPNILPVLGVVETSDCCYMLHPKFPYTLENIMHYSPEALRSDWHIRFLIYQIISALEYLHDFGLHHGILKPSTIFMSDSLWPYLSISDICPVKQNYGFAESKCPALNICCFEEDCSSKAIYTGFNLNFDWKSHFKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFASLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVMLPHSDPAKPKSTGRRQLFMKPHPKRLNTTPHSTYHNKMESCARCQRGSSSTTTSVQLNDRIPPSMSSQIDYLQEFEQANLFAELEQHLCPIYNYADDSSCCCSSAKYHKSSSSNEEIMPPVSVLSVVPDFDFCSFLECFESDDSSSMGYQELFRWKQKSCSIIEHHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGIMPGAIQELPIHVAVLVESCIQREWNRRPLAKYLLESHYFPPSIRSAYMFVAPLQLICTPKDRLKYVAKLASEGTLKAMGEFAAEMCAPYCLPLVSPSLSDVDTEFALALLKEFVKCLSAPEYSHLKVSLLQDSFMRELWKKLGKQTYVEKTVLPLIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLTNVILSCIDSSKVNKPEPQHSWNSFALMGGLAALEGLVSVLPVKTILKELLQVAASALVDLCKRVGPENTAIYVLPHLKELFAELAFSHESSGPTVPSKGLKFFDGNGTEPTKMESRIDLMFLLYPFLAVLVGIEKLRECCSTWFLLEQALQRLYGWKWEPSTNHSGGSENMKDQRFQSGNYTSSEPTPTKLVAEIGKNGRNMAASNKRSRLEHGSSSDNFCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCTSGYYGHEEVVNSICTLSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTTSIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKGKLVAGMGNGSIRFIDICRDQKLHLWKSDSDEISFSSLVSAVCSCASDKLKKGSPAASSSWIAAGLSSGYCQLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSAQSNIFRSHSDGIINFSVWGQDVVSVSRNKIALTSLSRPTSEIGNQQLILQNLYSSDRGVKYKNLSVLSTIAVLPLSRLFVVGTEDETDDRSQVCQNAN >LPERR01G12450.3 pep chromosome:Lperr_V1.4:1:9774417:9784548:-1 gene:LPERR01G12450 transcript:LPERR01G12450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSKKAGVACPECLERRILSDFPGSCFSFVHGLSESALPFTSVAVVQIASDGTGECNESESNSLENGSQIDLQRREIIDADDNRYIVQADSPYCEECIEDHVNSSIPNKKQSVINTITKLTPTHCLVRASTSGIKELISRYLNLSTEENVMNSLNLLSENKIDGSGGLDFLNFIGFSAFNDIHPSGRVRHPNILPVLGVVETSDCCYMLHPKFPYTLENIMHYSPEALRSDWHIRFLIYQIISALEYLHDFGLHHGILKPSTIFMSDSLWPYLSISDICPVKQNYGFAESKCPALNICCFEEDCSSKAIYTGFNLNFDWKSHFKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFASLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVMLPHSDPAKPKSTGRRQLFMKPHPKRLNTTPHSTYHNKMESCARCQRGSSSTTTSVQLNDRIPPSMSSQIDYLQEFEQANLFAELEQHLCPIYNYADDSSCCCSSAKYHKSSSSNEEIMPPVSVLSVVPDFDFCSFLECFESDDSSSMGYQELFRWKQKSCSIIEHHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGIMPGAIQELPIHVAVLVESCIQREWNRRPLAKYLLESHYFPPSIRSAYMFVAPLQLICTPKDRLKYVAKLASEGTLKAMGEFAAEMCAPYCLPLVSPSLSDVDTEFALALLKEFVKCLSVQATKDLILQIIQKILQAEYSHLKVSLLQDSFMRELWKKLGKQTYVEKTVLPLIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLTNVILSCIDSSKVNKPEPQHSWNSFALMGGLAALEGLVSVLPVKTILKELLQVAASALVDLCKRVGPENTAIYVLPHLKELFAELAFSHESSGPTVPSKGLKFFDGNGTEPTKMESRIDLMFLLYPFLAVLVGIEKLRECCSTWFLLEQALQRLYGWKWEPSTNHSGGSENMKDQRFQSGNYTSSEPTPTKLVAEIGKNGRNMAASNKRSRLEHGSSSDNFCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCTSGYYGHEEVVNSICTLSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTTSIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKGKLVAGMGNGSIRFIDICRDQKLHLWKSDSDEISFSSLVSAVCSCASDKLKKGSPAASSSWIAAGLSSGYCQLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSAQSNIFRSHSDGIINFSVWGQDVVSVSRNKIALTSLSRPTSEIGNQQLILQNLYSSDRGVKYKNLSVLSTIAVLPLSRLFVVGTEDETDDRSQVCQNAN >LPERR01G12460.1 pep chromosome:Lperr_V1.4:1:9800959:9803058:-1 gene:LPERR01G12460 transcript:LPERR01G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACSVTAELLEQEAPSSIPVCDCLVFSSGDRDPSVAPLHSLTTGKDYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIKNVKIRCNSEGVPEGYDIFKIDLFSSKNLVIDTEGDAYDVSWDEGRFYFYVRVVLSADPSSGNCTIMIVHLLDNLISFARVGATHWTWVNVSKQCWDYHDVLYNNDDRLFYAVTATGDVHTIDTNGLSPMLRVVFDNKSSLIDCTKYIVQSGSGDLLQLWRFGFREVGAFHLEDSSFTDLLPIGSRLNWPPPIWFRPSYSSKGNDFTSDPVAMIMFFPLTTVLPPSLRSIDTWKERLQTDVSSLKMLLDVKDQTYEVMEKKFAASPLEANDLAVELEDDIRHLQNLLLEKLEFVTSDVEWMKSKLQQFA >LPERR01G12470.1 pep chromosome:Lperr_V1.4:1:9803147:9803458:-1 gene:LPERR01G12470 transcript:LPERR01G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQTHRKKFLGSPIPPSFSSTTTQYRPGLTDRRLLQERRLVADMRVAAASGYESAPIPYMTGALAAQ >LPERR01G12480.1 pep chromosome:Lperr_V1.4:1:9807892:9811833:1 gene:LPERR01G12480 transcript:LPERR01G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAAEALLRRRPQGLGAAGVSALRASYAAAAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVSGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPSAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVKGVFAIGDAIPGPMLAHKAEEDGIACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVLAEKETDKILGVHIMAPGAGEIIHEACIALQYGASSEDVARTCHAHPTVSEALKEACLQTFTKAIHI >LPERR01G12490.1 pep chromosome:Lperr_V1.4:1:9812402:9814682:-1 gene:LPERR01G12490 transcript:LPERR01G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAFCHRPAAAAGRANVDGAGITPTSPSLGLRPSQSRVFFFISSSSSSRWWMRKKRGDGRVSVSKARAMPMVRRAVFSPVAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKVLEDKPDLSRWSLKYEILGRDVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSSSSCRVQLTVAYEVPEILAPVASALKPFLEGLLMNGLERFAAYAKERHSKIPQP >LPERR01G12500.1 pep chromosome:Lperr_V1.4:1:9815271:9819041:-1 gene:LPERR01G12500 transcript:LPERR01G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSASGDMGGSGAKDVMVDEALPASGSAESSQQKSTDVATKSRVDDIWKKMNSGLPAKMPKPVMNKLSTPDKEKKSKAANNWMSVLGISPSKASTNNDQGSKDVQKQAQQETSEDAKKLAANALAAVRDAASVAAGRGKVEIKEVRDFAGKDIEIKKLVDADSKEAIEKAKAAGVAPSALDNILEQIKKKQKLSVLDKTKKDWGEFKEDNKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMVSKRKSDMRDDDM >LPERR01G12510.1 pep chromosome:Lperr_V1.4:1:9863172:9870201:-1 gene:LPERR01G12510 transcript:LPERR01G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAHNKLVRALLRRIPNLDVPASSSPMRKCTGVLLIVTLAAVLLLLSPSPSTTAPSPTTNPSAAAHLLPTLPGLSVLYPPPSNSTSHLTWRLLRPLLLRSDALPSTAAGVLEAASALRNLSLSLSAASPSEVTGGGGNGSYRDEVRCRASVEGDLGEGGVRIPCGLTEGSAVTVVGVPKEGAAWFQVEMVGSGGEVVVSVNVSLGAAGMVVEQSSWTPQEGWGVWERCPPVGDDGTGTGRNSSSQLREKEAGVSFIDSSGVCSEAENPLAPLVDGLVRCNQQVGVSGLQGRNNTMVNVTANKHEDENRPKGHANFGGSFSIIEGEPFTATLWTGAEGFHMTVNGRHETSFAYRERLEPWSVADVKVSGDLELLSVLASGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDTNGLLYGLISYQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFVVDGHKKRTLQLFKLEDVAMGIWIQQYKNSGQKINYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLRKEYQPAAFLLGASHWQL >LPERR01G12510.2 pep chromosome:Lperr_V1.4:1:9863172:9870201:-1 gene:LPERR01G12510 transcript:LPERR01G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAHNKLVRALLRRIPNLDVPASSSPMRKCTGVLLIVTLAAVLLLLSPSPSTTAPSPTTNPSAAAHLLPTLPGLSVLYPPPSNSTSHLTWRLLRPLLLRSDALPSTAAGVLEAASALRNLSLSLSAASPSEVTGGGGNGSYRDEVRCRASVEGDLGEGGVRIPCGLTEGSAVTVVGVPKEGAAWFQVEMVGSGGEVVVSVNVSLGAAGMVVEQSSWTPQEGWGVWERCPPVGDDGTGTGRNSSSQLSLVDGLVRCNQQVGVSGLQGRNNTMVNVTANKHEDENRPKGHANFGGSFSIIEGEPFTATLWTGAEGFHMTVNGRHETSFAYRERLEPWSVADVKVSGDLELLSVLASGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDTNGLLYGLISYQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFVVDGHKKRTLQLFKLEDVAMGIWIQQYKNSGQKINYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLRKEYQPAAFLLGASHWQL >LPERR01G12520.1 pep chromosome:Lperr_V1.4:1:9871898:9878383:1 gene:LPERR01G12520 transcript:LPERR01G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAFPACLLFLMLPCCVVTQTTVPDKEEQRLLLQIKSAWGDPPVLAAWRKNDTNRGTAAARYYCGWPYVTCDGAGRVTKLSLANTNVFGTVPDAIGDLSSLTHLDLFNNSIHGSFPINVYRCASLRHLDLSQNYLAGDLPTDIGLRLGKGLTTLALNDNYFTSEIPKSLSQLRKLEWLTLDNNNLIGTIPAKLGDLANLKVLMVGYNMFEVGELPASFKNLTRLRYLSASQCKLVGDIPGYVADMPDLEFLDLGMNNLTGSIPPGIWSMKKLKYLYLFSNNLTGDVIIHGAIGAVNLVVIDLSSNRKLSGPIPEGFGDLQKLEYLYLYNNNFSGEIPASISRLPSLQSLRLFDNSFTGVIPPELGKKSHELLAVELDNNKFTGPIPEGLCENGKLQAFTAENNLLSGSIPVGLAGCTALKILFVNNNQLSGEVPRTLWSLSELEYVFLRGNGRLTGSLPSVMNIKLKVLHITNNQFYGSIPSVAVSLEVFNASNNNFSGVIPADLDRGMPRLQKLILSVNQLSGELSASCVVVPRAEPDEARVLLRIKRAWGNPPELAAWRKNDTATARYCDWPYVTCDDAGHVTGLSLDGTNLPGPVPDAICGLSSLTDLDLSNNRINGGFPTSLYRCSSLQYLSLFYNYLGGDLPDDIGIRLSKNLTVLSLNDNYFTGGIPKSLSLLRKLEELDLDYNNFTGTIPVELGDLTRLTTLANAGNKFEPGELPASFKNLTKLRVLVANECELIGDIPNYVINMTDMETLDVATNKLTGRIPPGIWSLENLQFLFLSENSLTGEVVVDGAIGAMNLQAIDLSANRKLGGLIPEGFGILQNLLELRLHSNNFSGKIPSSIIRLQSLATITLHNNRLTGILPPDLGGQHSPELSYIDVSDNEITGPIPEELCDNGKLKTFIARNNLLNGSIPERLVGCTTLRSLQFGNNTLSGRLMRPWRTRRRGCSSRSSTRGATRPSSRHGGRATRPPRATATGRPYVMCDDAGHVTGLYLGSITNVSGPFPDPICSLSSLTILDLPNNKINGGFPTSLYRCASLQSLSLSQNYLSGDLPHDIGIRLGENLTVLRLNDNYFTGAIPKSLFRLRKLEELELEANNFTGTIPAELGDLTSLTTLQISGNMFEPGELPASFKNLTKLRIFSAGGCELIGNIPDYLINMSDM >LPERR01G12530.1 pep chromosome:Lperr_V1.4:1:9878393:9878941:1 gene:LPERR01G12530 transcript:LPERR01G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANNLTGRIPPGIWSLEKLLFLFLSANKLTGEVVVDGVFGALNLLVIHLAENPKLGGPIPEGFGLLQNLGDLSLHSSNFSGKIPPSIGRLRSLRRISLDNNSLTGILPSELGQHSPELWYISVSDNELTGPIPKGLCDNGKLEMFVAGNNRLNGSIPKSLVGCTTLTHLQLGNNTLSGRIM >LPERR01G12540.1 pep chromosome:Lperr_V1.4:1:9879554:9888792:-1 gene:LPERR01G12540 transcript:LPERR01G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFTKSLLSFFFYIFNKVVKTLKSSSHAPPPPPMPTTSADNMITRWSSESDDDDEPPANGTTTTVLCKVEGGLLMSPSTFPYFMLAALESGGLGLIRAILLLLMYPALILLGHDRAIRAMAMVSFAGVSSKDGGGAFRLMGRAVMPKLLLEDVSAEVFDAAVRRRRRRVVCVSGMPREMVEPFLNEYLGVDAVVAPEMRAFGGYCLGVMESDGEVMRRLDMEEVIGGGDEFVVGIGGHGRSFRQLFDTCCKPLVFHDGRTAFRPTPSATLAMFAWLPLSTLLAVLRAAVFLLLPFSLSVPLLAALGMHSRRITTPSSPSPSPSPAADQCRLFVSNHRSIVDALYISAATGRDDLAAATYGISRLSEILSPIRTFRLTRRRAADLAAMRAHLSGGGGGLVVCPEGTTCREPYLLRFSPLFTELGADVQPVALHSEASMFHGTTAGGRKLLDPLFLLMNPTLAYVVQFLEPVAVSGGGPEMANEVQRRIGEALEYTCTALTRRDKYLALTGNDGRVGTVTATNKKIRS >LPERR01G12550.1 pep chromosome:Lperr_V1.4:1:9893116:9893911:-1 gene:LPERR01G12550 transcript:LPERR01G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADWSELPSDLLITIFTALEIDPRLPRLRRRLQIMARPLRPRNQLSFARLGDNTWTWLTSRTDCLDYNDCFFDDNDGLLYAIRNTGEVHAIDLNGTTPVVNNVCPPYEEELFRTNYIVRAPWGNLLQVWRYFGVGKEVTTDHVTVYKFDPVTQDRALIKDLRGHAMFVSFGTSFLVSVIDFPALTPNCVYLAHDNTKFNELGIHNIQEVAVYNMQDETFADQSTQSSSKNYPSHAVWVQPSW >LPERR01G12560.1 pep chromosome:Lperr_V1.4:1:9895958:9896971:1 gene:LPERR01G12560 transcript:LPERR01G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRFRKNDLTTFCGHTVRDISPKHPEHNPGPEMWVTKYDAAETRHHFYQRAVLSGDPSSQGSKCIVVLIHNPWNQLSFARIGDPTWTWLTAQPDCSGYHDCFFDENDGLLYAIKDIGEIHAIDFNGPAPVITRIADELEFSFHCVNYIFRAPWGDIILVWRQLDFKGDEDPTTTEVTVLEFDLATQDLVQIKDLRGHALFVSLGTSFFVSVSDFPVLTPNCVYLAHDNTKCRNYKHTAEEVRVYNIQDDTSVDQPTLSSWENFPSPALWVQPTLSLNK >LPERR01G12570.1 pep chromosome:Lperr_V1.4:1:9897377:9898608:1 gene:LPERR01G12570 transcript:LPERR01G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIRGREITLPWPAAAIRGKRQQQSMSTPGGWNPSDTTCLPSLGSKCIVVLIHNPWNQLSFARIGDPTWTWLTAQSDCLGYHDCLFDENDGFALCLAWAPVVNSICDGLMLSFNCVNYIVRAPWGDLLLVWRQLDLNGDADPTTIEVTVFKIEVTVWRQLCFFFGNTQLRIEKER >LPERR01G12580.1 pep chromosome:Lperr_V1.4:1:9907903:9910423:1 gene:LPERR01G12580 transcript:LPERR01G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRRKAAAVAVSVALLLVVSSCLPCATEARLHYHRRHRRVPRHGHHPAPSANYGGGGGGHISQPPAALPPDFDGAGESPAEPPDVGKEPCPTASRPPVKAPELSPVGSPRSRPRGAKPPSLSPSMLPAPAMSPSRSHANTPAFSPAERPALQPAKSPVISPARPPQLAPAKPPSAHHHAKAPSWPPLPSPTSPSPEQPPYHSPSTPPAHAPSKPPTSLPPANPPAALATPPSISPARAPAKPPTALPPSATNPPSSFPPAKPPAHSPASTPAPSNPAIPPVEATPSSPPCNSSRKVFDVRAFGASGNGTCAADGGDTRAFRAAWKAACASESATLLVPSDGVFTVTSTVFAGPCKPGLIFQIDGVLMPPDGPASWPAGDSRRQWIVFNKADGMTLTGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCDSPALIRFVSSSDVTVTGLRIENSPQFHLKFDTCNRVLVDSLVISSPSSSPNTDGVHVENTTSAAILNSRISNGDDCVSIGAGCRGVRVENVTCAHGHGISIGGLGARGAATAACVSDVVVRGARVVDSENGVRIKTWQGGAGSVSRVAFEDVVMANVRSCIVIDQYYCDAHGAGGASGGCVNQTAAVRVEGVAYRGIRGTYSARGAPVRFACSDAVACTGITMSDVELVPVGGGGGVGGVGRVGETYCWNAYGVMETPTQPPVSCLQEGQPQSLQDQLASC >LPERR01G12590.1 pep chromosome:Lperr_V1.4:1:9911000:9915807:-1 gene:LPERR01G12590 transcript:LPERR01G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADTSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVADGGLSHMSGFAVFRRILRSDGIPGVFRGFGTTAVGALPGRVLALTSLEVSKEMAFKYSEHLDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRTEGIRGLYRGFGITMVTQSPATALWWSAYGGAQHAIWRGLGYGADSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNHGRGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >LPERR01G12600.1 pep chromosome:Lperr_V1.4:1:9920490:9921097:-1 gene:LPERR01G12600 transcript:LPERR01G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEMGVFVQCGDGDGDGMAIPGGCCPVASLPPPPTSIQAGATVRDCGRRAALRPCGRRATRWPCGS >LPERR01G12610.1 pep chromosome:Lperr_V1.4:1:9923402:9929137:1 gene:LPERR01G12610 transcript:LPERR01G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPEQIYGQNVYVPAAASPYPYGYAEVGSPMEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSIHPSYSPYPINSSFIVDGSYLPQEYVTDAADQTCQIVPPSYYVPTILPYTPDNVLGSTTAPLHPPSVAYVPSMPGYAATSTNHALSSVVPVATKNDIAMNPPIQSTIVSSKQFLDHANERKVQLHNPVPVKKELADGATMPVKYPQTSQRWAAAEKFEPSPNPSGHMDSVGPKMNLSNEDGLGGAAKPKSAAIIAKSYTSRLSVGDSEGTIVIRRDQYNSSDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDSAFRDADRIAKRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPIRWHIIKDVPNYTLQHILLQNNENKPVTHSRDTQEIPYVPGISMLKILKDIKVKGCLFDEFMKYEVAEARHKQYFRRSKLSHNAPDFVPVSQRRKDVSDVQQPKSGNVLIDRTSEIQNMSVKSQGSNVIKHQDPSLQVVEKQVSDEGKENGHQENSNNVKQANDKVVKTVTKQPQATVVKTSVDGKQQYWKKVEFPRQNSNSDAHGSSKAPENHLNESKAPEKNLNGSKATEKNLNRSKAPEKHLNGVNRSSAIASIKTSEEETVIAKVGSLAISSRNGEAADKNTSVDIVKIGSMPVLVNKANM >LPERR01G12620.1 pep chromosome:Lperr_V1.4:1:9934195:9951814:1 gene:LPERR01G12620 transcript:LPERR01G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSENPEKKRRKRETAQHSRERDSTPLHCSTVSTALHHMGMDRGAHPRPSSPMSAATSRRSPLWWCVVLLLAAAAVALAPTSAVSAAGFSCRSGTRPVVFNFGDSNSDTGGMAAAMGWRIRPPEGRAFFHHPTGRFCDGRLTIDFLCESLNISYLSPYLKALGSDYSHGANFAISGSMTLPRDVPFALHIQVQQFFYFRDRSLELLSQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLPYDQVVAKFPPILAEIKDAIQTLYSNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVAFNVALGSLCDQLNVQMKDATIVYTDLFPLKYDLVANYSKYGFDKPLMTCCGYGGPPYNYNITIGCQSKNATVCDDGSKFVSWDGVHLTEAANAIVAQGILSSDYSRPNVKFDQFCKRMWSASTVPIAVAAVVVLATTMMSAAAGDGDDGGSSAACARRPVVFAFGDSNTDTGGIAAGMGFYFPLPDGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGSDFTNGANFAISGATTGPRNTAFSLHIQVQQFVHFKQRSLELASRGEAVPVDADGFRNALYIIDIGQNDLSAAFSSGLSYDDIVRQRFPAILSEIKDAIQDLYYNGAKNLWIHGTGPLGCLPQKLAIPRADDSDLDPNGCLKTLNAGSYEFNSQLSSICDQLSSQLRGAAVVFTDILVIKYDLIANHSIYGFEEALMACCGHGGPPYNYDFNVSCLGPGYRVCEDGSKFVSWDGVHYTDAANAVVAGKILSAEYSRPKLPFSYFCNA >LPERR01G12620.2 pep chromosome:Lperr_V1.4:1:9930401:9934329:1 gene:LPERR01G12620 transcript:LPERR01G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGALANGGGGGKVRLLRVQYYVVLGCVAAVVLFACLKYTPAAAVAAVGYGFWGGAVGGQQQHAAGLAAAAAAATTDTSSSTTARRSPVVIFNFGDSNSDTGGMAAAMGLRIALPEGRTYFRRPTGRLSDGRLVIDFICESLNMPHLSPYMKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLFFRTRSIELINQGVRTPIDRDGFRNAIYMIDIGQNDLSAYLHLPYDQVLAKIPEIVAHIKFTIEALYGHGARKFWVHGTGALGCLPQKLSIPRDDDTDLDGNGCLRTYNAAARAFNEQLAAACRRVRQRMADAAVVFTDVYRPKYDLVANHTRYGVEHPLMACCGNGGPPHNYNHFKMCMSAEMELCDMDARFVSWDGVHYTEAANAIVAEKILTGEYSTPPVRIASLVDSTVSNDG >LPERR01G12630.1 pep chromosome:Lperr_V1.4:1:9959162:9964335:1 gene:LPERR01G12630 transcript:LPERR01G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPQVSENYANPKTCFFHVLFKAGALAFYILSALFVNNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >LPERR01G12640.1 pep chromosome:Lperr_V1.4:1:9970470:9975401:1 gene:LPERR01G12640 transcript:LPERR01G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTPMGLAAAAAAAVRPCRRRLLSSATAAAAATNATATSLFPRLSHPHHHSHGRRLPFLASAASQQQSGQQTAASPETHIPADPRAAVSGNLPFFDRVLFPDTFPLETPPSAAEEDAAASAAAAADEELASALKAREETETEREAWRLLRRAVVSYCGAPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMIVVNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLAADKWPEYYDTRSGRFTGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRARCSRRASKSHVVG >LPERR01G12650.1 pep chromosome:Lperr_V1.4:1:9976000:9984840:-1 gene:LPERR01G12650 transcript:LPERR01G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSAAPECRREAAAAAAVFRRRRDGIPTVDMSAPAGRGELSRQLAAAFAGSGFFKAVNHGVAARVPARMDGAAAAFFARPAPEKQLAGPPDPLGYGSRSIGTNGDVGELEYLILHTSPDAVARKAGAIDTTDPHRFSQVVNDYVEAVRQLACQVLDLLGEGLGLRDPTSLSRLITATDNDSLIRINHYPPSCTTTATHQHQERHVGASPRCTAGEPKPGAGTTTIGFGEHTDPQILSILRANDVDGLQVLLPDAAGDHDVSWVPVPPDPSAFFINVGDLLQALTNGRLVSIRHRVVASTGKPRLSTIYFAAPPLHATISALPETVTAAAPRRYRPFTWAEYKKTMYTLRLSHNRLDLFHVGNGVAGVGDDDDDDDQE >LPERR01G12660.1 pep chromosome:Lperr_V1.4:1:9996670:10001758:1 gene:LPERR01G12660 transcript:LPERR01G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCCKSEVQTCDPSLLRGEEADRVAFLPGQPRSPQVSQFSGYITVNRQNGRALFYWFFEAQTLPSKKPLLLWLNGGPGCSSIGYGAASELGPLRVTRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSTDLTKLNDGFVAEDTYNFLVNWLDRFPQYKDREFYISGESYAGHYVPQLADFVYERNKDKKANRYINLKGFIVGNPLTDDQYDSKGLAEYAWSHSVVSDEIYERIKKVCNFMNSNWTNECNQAMGNIFGQYQEIDIYNIYAPKCNLAQTSTVAVGAHAFEASDQEQFSKRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANGNEMLPGKWKVCSNSILSSYNFSVLSVLPIYSKLIKAGLRIWLYSGDADGRVPVIGSRYCVEALGLPIKRDWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPEEGLALIDAFLVDKQLFTNR >LPERR01G12660.2 pep chromosome:Lperr_V1.4:1:9996643:10001758:1 gene:LPERR01G12660 transcript:LPERR01G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAARWLLLHPSLPQSSHKKQHLIFASLLLFASLQSFHCYAAASYNEQEADRVAFLPGQPRSPQVSQFSGYITVNRQNGRALFYWFFEAQTLPSKKPLLLWLNGGPGCSSIGYGAASELGPLRVTRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSTDLTKLNDGFVAEDTYNFLVNWLDRFPQYKDREFYISGESYAGHYVPQLADFVYERNKDKKANRYINLKGFIVGNPLTDDQYDSKGLAEYAWSHSVVSDEIYERIKKVCNFMNSNWTNECNQAMGNIFGQYQEIDIYNIYAPKCNLAQTSTVAVGAHAFEASDQEQFSKRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANGNEMLPGKWKVCSNSILSSYNFSVLSVLPIYSKLIKAGLRIWLYSGDADGRVPVIGSRYCVEALGLPIKRDWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPEEGLALIDAFLVDKQLFTNR >LPERR01G12670.1 pep chromosome:Lperr_V1.4:1:10000966:10009166:-1 gene:LPERR01G12670 transcript:LPERR01G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEGDERAAELARKKAAAAAAAKKADEAAAAAAENGEEEEEEVEEDEEAIDGEEGDEEGDGEEEDDDEDAEEGEKGPAAQDELGTEYLVKPLGDAEDEEHSSDFEPDENGEESRREQEEENKENHMASCASPRLKSLCHHALLIILLTLSLLQTITTLDEQEADRVAFLPGQPRSPQVSQFSGYIPVNSQNGRALFYWFFEAQTVPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDNIDDHFVAEDTYNFLVNWFKRFPQYKKHDFYISGESYAGHYVPQLADVLYERNKHLEINQHINLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGSVYAQYDMIDIFNVYAPKCNTEESSLFSTLHSTADMNAKKRLKETRMYSGYDPCYSSYIEDYMNKMDVQKSLHANTSGLIKDRRWSICSYSIFDNYDISVFSVLPIYSKLIKAGLRVWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRLVEYEGLTMATIRGAGHAVPQDKPEEALVVINSFLSGRQLPTKNNR >LPERR01G12670.2 pep chromosome:Lperr_V1.4:1:10000966:10009166:-1 gene:LPERR01G12670 transcript:LPERR01G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEGDERAAELARKKAAAAAAAKKADEAAAAAAENGEEEEEEVEEDEEAIDGEEGDEEGDGEEEDDDEDAEEGEKGPAAQDELGTEYLVKPLGDAEDEEHSSDFEPDENGEESRREQEEENKENHMASCASPRLKSLCHHALLIILLTLSLLQTITTLDEQEADRVAFLPGQPRSPQVSQFSGYIPVNSQNGRALFYWFFEAQTVPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDNIDDHFVAEDTYNFLVNWFKRFPQYKKHDFYISGESYAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGSVYAQYDMIDIFNVYAPKCNTEESSLFSTLHSTADMNAKKRLKETRMYSGYDPCYSSYIEDYMNKMDVQKSLHANTSGLIKDRRWSICSYSIFDNYDISVFSVLPIYSKLIKAGLRVWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRLVEYEGLTMATIRGAGHAVPQDKPEEALVVINSFLSGRQLPTKNNR >LPERR01G12670.3 pep chromosome:Lperr_V1.4:1:10000966:10004628:-1 gene:LPERR01G12670 transcript:LPERR01G12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPRLKSLCHHALLIILLTLSLLQTITTLDEQEADRVAFLPGQPRSPQVSQFSGYIPVNSQNGRALFYWFFEAQTVPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDNIDDHFVAEDTYNFLVNWFKRFPQYKKHDFYISGESYAGHYVPQLADVLYERNKHLEINQHINLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGSVYAQYDMIDIFNVYAPKCNTEESSLFSTLHSTADMNAKKRLKETRMYSGYDPCYSSYIEDYMNKMDVQKSLHANTSGLIKDRRWSICSYSIFDNYDISVFSVLPIYSKLIKAGLRVWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRLVEYEGLTMATIRGAGHAVPQDKPEEALVVINSFLSGRQLPTKNNR >LPERR01G12670.4 pep chromosome:Lperr_V1.4:1:10005635:10009166:-1 gene:LPERR01G12670 transcript:LPERR01G12670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEGDERAAELARKKAAAAAAAKKADEAAAAAAENGEEEEEEVEEDEEAIDGEEGDEEGDGEEEDDDEDAEEGEKGPAAQDELGTEYLVKPLGDAEDEEHSSDFEPDENGEGTNESDV >LPERR01G12680.1 pep chromosome:Lperr_V1.4:1:10023966:10024415:-1 gene:LPERR01G12680 transcript:LPERR01G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQARNHALFFSTILLILVSVKGNRLPNTHLAPIESPTPTPAPQPSSSPPPTVTPAPAPTSLPKCPLVLADLNACVTLGLGNNLISPDMQKCCPQVSKLGRSTAATCLCEAMKADIRVGVNISISSIIAQILNLCGQATTEAVVCIR >LPERR01G12690.1 pep chromosome:Lperr_V1.4:1:10054353:10056671:1 gene:LPERR01G12690 transcript:LPERR01G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGRRVKRSVDEQTLSGDKRDRVLRRGAEIARRLGEEYGAAEDEASAWLLLADFWSEMVELSSAVKDAVVRSLKNSAAERLVGAAGGKVDWMCYDSHRSWASDGCISTTDVILAWHVATRLYEMRSTTTTAVASASSPNLAAACHLSNYCTYLAAAAPELLPDSAAWTEKRYKDVVADVTRRWARMAPPPPPAARRVTTYERLLTTLSGDKRDRRTGL >LPERR01G12700.1 pep chromosome:Lperr_V1.4:1:10056302:10067253:-1 gene:LPERR01G12700 transcript:LPERR01G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVLKSIVYQRGSLRLLDQSLVSGTTEPEKDAKTIVQTMLVDDVAHNKTIGLHGVDFLKQKHGNKHITLLTHCNTGSLATAGYGTALGVIRALHPGEILEKAFCTETRLFYQRKLSLEVAYIDVKCSTDGCLSPNILPSTVAPPWVLLCDVVGLTGAIQNVLLFLLRLKLHGLFRNAIRDMVVRGAPAVAISAALALAVEVSGLDFIGTPAEAATFISEKLEYIVSRYEELNLLSIVREQIRLNNYSCFIVCHPTALNLSDAARKLQSLVSRTTESEKDAKRQGRLCWTAGGERRCGRTGGKRHGGRAGAERRCGPCRRRRCGRAGGKRCGDCAGGAAARRTRGWRAALRAMQEASGATVVWEPSSAAATQGDVFDSTKLRDNTAWRLRPSGDAGV >LPERR01G12700.2 pep chromosome:Lperr_V1.4:1:10058956:10067253:-1 gene:LPERR01G12700 transcript:LPERR01G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVLKSIVYQRGSLRLLDQSLVSGTTEPEKDAKTIVQTMLVDDVAHNKTIGLHGVDFLKQKHGNKHITLLTHCNTGSLATAGYGTALGVIRALHPGEILEKAFCTETRLFYQRKLSLEVAYIDVKCSTDGCLSPNILPSTVAPPWVLLCDVVGLTGAIQNVLLFLLRLKLHGLFRNAIRDMVVRGAPAVAISAALALAVEVSGLDFIGTPAEAATFISEKLEYIVSRYEELNLLSIVREQIRLNNYSCFIVCHPTALNLSDAARKLQSLVSRTTESEKDAKRTVNSRHAITC >LPERR01G12700.3 pep chromosome:Lperr_V1.4:1:10056302:10067253:-1 gene:LPERR01G12700 transcript:LPERR01G12700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVLKSIVYQRGSLRLLDQRKLSLEVAYIDVKCSTDGCLSPNILPSTVAPPWVLLCDVVGLTGAIQNVLLFLLRLKLHGLFRNAIRDMVVRGAPAVAISAALALAVEVSGLDFIGTPAEAATFISEKLEYIVSRYEELNLLSIVREQIRLNNYSCFIVCHPTALNLSDAARKLQSLVSRTTESEKDAKRQGRLCWTAGGERRCGRTGGKRHGGRAGAERRCGPCRRRRCGRAGGKRCGDCAGGAAARRTRGWRAALRAMQEASGATVVWEPSSAAATQGDVFDSTKLRDNTAWRLRPSGDAGV >LPERR01G12710.1 pep chromosome:Lperr_V1.4:1:10067589:10068278:1 gene:LPERR01G12710 transcript:LPERR01G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSCFTHATNCVLVDELWFATEFYGLCAVVNHLCSLLTVVGSSVGLAAPAGYSTPWCGSSRRGGGATRSGRTRFCSHAVSAGGHFSEKLYSDAGLMRSVELSPAVKDAVVRSLKNSTAERRVSTTDVILAWHKFQCRNVNY >LPERR01G12720.1 pep chromosome:Lperr_V1.4:1:10068302:10071307:-1 gene:LPERR01G12720 transcript:LPERR01G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEGFKYPRSPKLAVTSRKTQTQVRTIVQTIRTLRRRSGHSGVLAWMLHRVVLDLYIWLVNLRLATAGYGTVLGVTRSLHSGEILEKAFYTGTRPFN >LPERR01G12730.1 pep chromosome:Lperr_V1.4:1:10079080:10080000:-1 gene:LPERR01G12730 transcript:LPERR01G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGCVRSDVAAEGKGFGTHVTGSSLRAGGRMRPSGRVRGTWWLLGKEPRPVAESVCFDDLEFVPDSDDEGAGNEFNSQGCNDEFVPETQQDVPIEEIGIGAMLHDWRWVISEYEKPSIDMATIEKAWADKKKAITDAEMNYEGNPNIKVNTSTEKKAFTDEGKSIFDAETDDEGVDVPEKKACVDKGKSISDAETDDEGVGLPEKKACIAEGSQSSTY >LPERR01G12740.1 pep chromosome:Lperr_V1.4:1:10080565:10086886:1 gene:LPERR01G12740 transcript:LPERR01G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWKGRSSDGRGTVDVGRWKKMQGRGRGRRNSEENWKGNEWCLLSERIRSGRKRRRPATATSIPDSSTVEVNPSHGYFRSMLFYISHERKLPLQVAYIDVKCSTDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAARKLQSLVSRTAESEKDAKTIFQLAVANLKPEGGVHKSVVFFCEVQLEVLNYHFINAYIDAAETMLVDDVADNKAIGLHGAEFLKQKLGNKHISVLTHCNTGSLATAGYGTALGVIRALHSGEILEKAFCTETRPFNQGSRLTAFELVHDKIPATLVADSAAAALMKNGCIQAIIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLSLPSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPADLITAIITEKGVITKSDADESFNIKDFIQSAK >LPERR01G12750.1 pep chromosome:Lperr_V1.4:1:10087629:10087853:1 gene:LPERR01G12750 transcript:LPERR01G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGGCRRPDLVPAGPDPAPKAHVGGYAWMTDAVAACAGGGGYVWTAMSMSVCGRRRRWLRAGSGDVGGCVLV >LPERR01G12760.1 pep chromosome:Lperr_V1.4:1:10087971:10088913:1 gene:LPERR01G12760 transcript:LPERR01G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADPAPLCRIRGVSALRGGIRVLNPGGRGEQARGGAARRPGGEGPWRREARRGTHGEAWRRLRTASTISGFWHGWLPTVVRPASSWAGLADVGGHGGAQCDGEGAAVFEPSAWHGGRQDDGGAAWQPEDGMGSLVAAESTISLPSDGQSATGRRSSGYALKALTLVVSNPVRRFGMGGWFTGESPAFGPSTVTSVDAALLLGR >LPERR01G12780.1 pep chromosome:Lperr_V1.4:1:10104910:10107066:-1 gene:LPERR01G12780 transcript:LPERR01G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTDDFVHAGIITAAVFAVLLVALSTYGRQFRHPVLRFFVWGGSTVFLPLTSSIITVLIRRSTEPKSDGSEPARGRSNPDIQNMWTLLLWIALIILIKGNADVASVGVAMSASSPSSGDVGVDGQRVRPPLELIAQYAWLGYLIYLCIPLAGWLGTMRKAIFVAFCVLGLAKVALKMAAFWGASFSFALGKNARLISGYMAQLVEEDDAGCVPRYIVAGEKEEHVEENPHGYRIKRKALANEESGLVTLDQVWKQRNGDGLLARKKQGEKLRDLCLSYSLFKSLRRKLSNYPLFDEGSTKALHFVRSGMDESHETGRAIDADRVFRVLVDELWFASDFYYSPIPLSSFSGWCAVANLLISALIVLGALAVGWIYRDKGVVVFDGSQAFYYVVSAVLLASVVLIEAWEIAADVCSNWTKMALLVHYVTHHGSLWRRLPFAHAVLRLRPARWWRDKFGQNSVLEPRRFSRRSGLFSERLYGRAGLMKSVHVSSVVKAAVFRSFDKVYGAGFAHRASYGSLTRFMFDFSFDSVTDKILAWHVATRLYEMKCSPQQSSSSDTTAACHLSYYCAYLAAAAPELLPDSAAWTEKRYKEVAAAVTTALGKYGAVAAVDMTPRWHEELLKKLSSDDRDEVLQRAVAIARRLESEFGENKASAWSFLAGFWSEMVICVAPSENVKGHVEAMARGGEFVTLVWALLLHAGVTARPPAQAVLCRRQTV >LPERR01G12790.1 pep chromosome:Lperr_V1.4:1:10129427:10130983:-1 gene:LPERR01G12790 transcript:LPERR01G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETLKLFFDVNGQIICAGVLAVLATIVVALGTYGRRCHHPALRLFVWGASTVFLLLSTSIISFLIKGDKCSNGGQQQQQDKMTMTIPERPDIKKMWIVLLWAALILIIKVKSDMSASGVGMASTSPSSGDVSIDGQRVQPPLEQLMKYLWLMYLIAVCYPLATWVSTLDRNIFVAFCMLGLAKLLVNMFASWRASKSFAVGKNALLVSGYMAQLVEEHGDEVQHGHVPRYLVTGEKKEHIVEAAGGFRIKRDGNKGNYVVTFGLLAVVALIETRDIVAGTAVELSSAVKDAVVKSLMNNAAEQRVGAAGGKVDWMWYGSQKSWVFDGVGDGSVSTTDVILAWHALRDEVSNDGAAWTQKRYKDVAADVTAALGKDGAAAGDTASYERLVKTLSGDKGDRVLRRGADIARRLAVEYAAEDEASAWLFLADFWSEMVIYVAPSENVKGHVEAMSRGGEFVTLAWALLLHAGVTSRPQAPSSIIP >LPERR01G12800.1 pep chromosome:Lperr_V1.4:1:10139751:10142724:1 gene:LPERR01G12800 transcript:LPERR01G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVGALSGMVDSLPGKLGDLLQQEYTLLSGVRGDVGFLQSELGTMNAALLRCESLDNPDIQTRAWVAQVRDLAYDIEDWIDLFAHRVDGGADSPAAASAAAKSSSGFLTWVRCCVNKVTTLPARHIIATTLQELKTRVVELSEQRKRYRFDPPAARGGVAGGVDPRLVALYADAGSLVGMDTPVEEVSEMVVDSGKAALKCVAISGMPGSGKTTLATAVHRRLKEQKRFDCSAFVSVGQKPDIAGKTLKGILSQIGGGYGGGEDISRLIGMVRDELKDKRYLIVIDDLWTRTEWPTLRCCFPDENLGSRIIVTTRNDVLAKECSSNSGDCVYKLGLLNDVHSRDLFFSKAFGKGNDCPSHLKDLSPQVIERCGGLPLALASIAGALAHRFPKDEWERYASNLLTSSYSDGQNLKQILNLSYNDLPSHLKSCMLYLSIFPNNYEIDVEHLVRRWIAEGFVADTRYTSKEERARSYLTDLISRNMIPTLHLRHDGTPRCCKLHPVIHDFIVVKSMEENFVTLVDAKLEATSTNNGTVRRLSIQNSVKQDKIAAQNDDMIKHARSLTVFGHGSGVPRLNDMSVLRVLDLGGCNGPVCLDGLCKLILLRYLSLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVRLENLMHLLGGNAKLPGEIKKMKALLTLSCADVGKNTVSVLQELGELGNLRELELFCDATENAADKKRVSFPSNGFKGVRQLCIQSSLPSVTFVNSSLRKVEVLELKFEKGLSDGSTSVSGIEHLHNLKHVVIEFSQDDAGAIATIASVKKAAETLHPNHPDVFDKINRRNN >LPERR01G12810.1 pep chromosome:Lperr_V1.4:1:10149730:10155288:1 gene:LPERR01G12810 transcript:LPERR01G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVPTVVPALPPNGKGERKSSNFHPSLWGEFFLNYKPTTAPQHAWMKGRAEVLREEVRTILQGLKEIPETLDLIMAIQRLGLDMYYVNEINELLHFVYSSNYNDKDLNLVSLRFYLLRKNGYNMPCDNEGNFFVDDTRSLLSLYNAATLRIHGEEVLDEAAIFTTSRLETVSETSEPILSKEVSFALEAPIFRRARIVEMRNYIPIYEIETTRNETILEFAKLNFNLLQLLYCEELNKITLWWKELKAKSNLSFSRDRIVEMYFWMNGALYEPQHTHSRIILTRMTAFMTIIDDIFDTYGITEESMQLAEAINRWDEDAIGLLPEYIKGFYKYLLKTFDSFEEELGPEKRYRLKRLVQAYSEELKWRDKDYVPKTLDEHFEVSMRSSGGSTLAGASFVGMDGIATKDIFEWILSYPSLFKSFDMFVRLSNDIASSKREQTGDHYASTIQCYMKEHETTNHETCKKLKELIEESWKDMVEHCINPTDEQPLIVPHTVVNFARTVTNMYRHGDAFTSSHAIKEMIALLYVVPIQV >LPERR01G12820.1 pep chromosome:Lperr_V1.4:1:10166004:10172465:1 gene:LPERR01G12820 transcript:LPERR01G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAADAVIPACAAVGIAFALWQWFLVFRVKVSAYASVAPRAGINGGGGGRPVFRPESEIDDDEDVAIGGYGEDEEGEGDGAAAVARCAEIQSAISVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTDSQPCQYTKGKTCKPALANAVFSTIAFLLGAVTSVVSGFLGMRIATAANARTTLEARRGIGAAFATAFRSGAVIGFLLASLGLLVLYIAIKVFGVYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFIASISSFGAEHNFAAVSYPLLISSAGLIVCLVTTLFGTTFYKVKTVHGVAPALKLQLVISTVLMTVGILVVTFLALPSKFTMFDFGEVKQVKNWHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVREVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRSAALVSLALFGAFVSRSGMAVINVVSPKVFVGLVVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFATIPGLMEGRAEPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQAGASDHAKKLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >LPERR01G12820.2 pep chromosome:Lperr_V1.4:1:10166004:10172530:1 gene:LPERR01G12820 transcript:LPERR01G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAADAVIPACAAVGIAFALWQWFLVFRVKVSAYASVAPRAGINGGGGGRPVFRPESEIDDDEDVAIGGYGEDEEGEGDGAAAVARCAEIQSAISVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTDSQPCQYTKGKTCKPALANAVFSTIAFLLGAVTSVVSGFLGMRIATAANARTTLEARRGIGAAFATAFRSGAVIGFLLASLGLLVLYIAIKVFGVYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFIASISSFGAEHNFAAVSYPLLISSAGLIVCLVTTLFGTTFYKVKTVHGVAPALKLQLVISTVLMTVGILVVTFLALPSKFTMFDFGEVKQVKNWHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVREVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRSAALVSLALFGAFVSRSGMAVINVVSPKVFVGLVVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFATIPGLMEGRAEPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQAGASDHAKKLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >LPERR01G12830.1 pep chromosome:Lperr_V1.4:1:10173956:10188257:-1 gene:LPERR01G12830 transcript:LPERR01G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGEASAANNSGMQRVKVYCLTDGGKWDDQGTGHGSKELGLTVLDEENNETLLMHNITSEDIYRKQEETIISWRDPDAATELALSFQEAAGCSYIWDNICDIQRNIQFSNLGALEVGPRPTPVSLEASRALHSNDESFRAVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDRDFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNHSSVFDKIFSDEFILDIIGALEYDPDVPKIQKHRTFLKDHVVFKEAIPIKNVSVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSSTSMELKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIDPNLLRSYIVQQDGNSLLGLLVKGMVTDFGEAMHCQFQEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSTGNAETEDDFLIRHVVKLNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYVNESFWDQLMKFEHFGSIQAFRLKYQQSAETKLSASVPDLRKKAEERGLEKEEENYFNEDSDEEDSVWRTKHAQKQDSKIKLTNGNEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPAEDDEPLMIATLKRKVINKVDGKHSDGEFSKKQKIETRITSVKIGASTSLASKRADDLQKQAPLSPASTSPGSEANGVFGEHSVHSEEHQHSVDNAETSRQAGGDCVNAMGNLSTEKAVNTTNTNDSEPYPVR >LPERR01G12830.2 pep chromosome:Lperr_V1.4:1:10173956:10188257:-1 gene:LPERR01G12830 transcript:LPERR01G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGEASAANNSGMQRVKVYCLTDGGKWDDQGTGHGSKELGLTVLDEENNETLLMHNITSEDIYRKQEETIISWRDPDAATELALSFQEAAGCSYIWDNICDIQRNIQFSNLGALEVGPRPTPVSLEASRALHSNDESFRAVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDRDFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNHSSVFDKIFSDEFILDIIGALEYDPDVPKIQKHRTFLKDHVVFKEAIPIKNVSVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSSTSMELKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDILILFLNQDPNLLRSYIVQQDGNSLLGLLVKGMVTDFGEAMHCQFQEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSTGNAETEDDFLIRHVVKLNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYVNESFWDQLMKFEHFGSIQAFRLKYQQSAETKLSASVPDLRKKAEERGLEKEEENYFNEDSDEEDSVWRTKHAQKQDSKIKLTNGNEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPAEDDEPLMIATLKRKVINKVDGKHSDGEFSKKQKIETRITSVKIGASTSLASKRADDLQKQAPLSPASTSPGSEANGVFGEHSVHSEEHQHSVDNAETSRQAGGDCVNAMGNLSTEKAVNTTNTNDSEPYPVR >LPERR01G12830.3 pep chromosome:Lperr_V1.4:1:10173956:10188257:-1 gene:LPERR01G12830 transcript:LPERR01G12830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGEASAANNSGMQRVKVYCLTDGGKWDDQGTGHGSKELGLTVLDEENNETLLMHNITSEDIYRKQEETIISWRDPDAATELALSFQEAAGCSYIWDNICDIQRNIQFSNLGDESFRAVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDRDFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNHSSVFDKIFSDEFILDIIGALEYDPDVPKIQKHRTFLKDHVVFKEAIPIKNVSVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSSTSMELKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIDPNLLRSYIVQQDGNSLLGLLVKGMVTDFGEAMHCQFQEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSTGNAETEDDFLIRHVVKLNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYVNESFWDQLMKFEHFGSIQAFRLKYQQSAETKLSASVPDLRKKAEERGLEKEEENYFNEDSDEEDSVWRTKHAQKQDSKIKLTNGNEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPAEDDEPLMIATLKRKVINKVDGKHSDGEFSKKQKIETRITSVKIGASTSLASKRADDLQKQAPLSPASTSPGSEANGVFGEHSVHSEEHQHSVDNAETSRQAGGDCVNAMGNLSTEKAVNTTNTNDSEPYPVR >LPERR01G12840.1 pep chromosome:Lperr_V1.4:1:10192632:10201980:1 gene:LPERR01G12840 transcript:LPERR01G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAISLSSAAAATAVAGATRPGGVIRPAELRFCGLRREALGLRSPRVPPQAAARRKAAAAAAANGNGAAVSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSAGYDRQAVADHANNLASKIRGNLTNSMKALGVDILTGFASIVGKQKVRYGKIGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQITGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIFRHDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAAISHSVNEPVAA >LPERR01G12850.1 pep chromosome:Lperr_V1.4:1:10203555:10205697:1 gene:LPERR01G12850 transcript:LPERR01G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLKCSCAVLSARWAMVTGSSGFPSTSSRSFVKTYLHTLPSNFFPSRLFPLVVLHNNHHDSMEGMKRKQCFNFRDDVPCWIFSLKPFVMSSI >LPERR01G12860.1 pep chromosome:Lperr_V1.4:1:10207781:10210527:1 gene:LPERR01G12860 transcript:LPERR01G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSGHHASPASAAKSKASAPAAASGSGQGSSHHHHSGGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLAGTPNTSQQFGKPADFTLPQVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLVTNNE >LPERR01G12870.1 pep chromosome:Lperr_V1.4:1:10213861:10220868:1 gene:LPERR01G12870 transcript:LPERR01G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPARGGINIEASARAIAVDHRIKLPYYFRIAGNLLRQAKIYRDEKNLVDLYVILLRYSSLVCETIPKHRDYQTFKLREVDFFRLSPHNQSKLIEVLSELESLKPVVQQQIAEHNRARGGAIESSRTNGTLAVNNTSEQHMATPYTYQPFVGSNNGSLQKFVPGGQYQVAPLMSSQPDRLTRKQFVNLPFPKEETLARHSILGPNGLHGQWNGPVSAIKVQYPNNLDLIKSDVPSLLPPVLNQDVQNGPSTISTDIPQIENDDMKSVLSLDDGRWSKLAEECTPVPSASLEEELPQLIIKQPSPPPVLAELERRPLAPSEVADPTPGLAVPETGRYQNLHVPIKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLTDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNPDVNVDVIDLR >LPERR01G12880.1 pep chromosome:Lperr_V1.4:1:10222677:10226540:-1 gene:LPERR01G12880 transcript:LPERR01G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRTGCAGLSLSSSCSSVCGRGVLRGGGGWARREPALIRLDRFLCGYMRREGREGGERSQGRDDAFMFGPDDDNGSNIPTQVETLVRGTATVATSEYKSIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >LPERR01G12890.1 pep chromosome:Lperr_V1.4:1:10237503:10237814:1 gene:LPERR01G12890 transcript:LPERR01G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHDQLPLIAFLLLFLVQLVPCSLLSFNSAHAATVDTALPGRRLLPAPAAPVLQPQSMQVKVTAVHHPWSMDQRRSGRRSAAMMMAVSKHQVPTGANPDSN >LPERR01G12900.1 pep chromosome:Lperr_V1.4:1:10240551:10244615:1 gene:LPERR01G12900 transcript:LPERR01G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRISPRKTLPLFVAAALALALAFAAPALADSDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKTVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVLVLGPDNFDAIVLDENKDVLVEFYAPWCGHCKHLAPTYEKLASVYKLDEGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDTKGQLTSEAGRVASLDALAKEFVSAANDKQKAILSNMEEEAAKLSGSAAKHGKTYIAIAKKILDKGNEYTKKETERLQRMLEKSISPSKADEFIIKKNVISTFSS >LPERR01G12910.1 pep chromosome:Lperr_V1.4:1:10251606:10252754:1 gene:LPERR01G12910 transcript:LPERR01G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRTPIRLIADEKKRADKLELGRKALKKKAKELSVLCGVPVALICAAGGAAAAAEVWESEEGVIGRYLALPEATRAKHTQRGYLEELLGKERANLAKERQKGAFLPWDPALDAMTAEQAQELLASIDAALATATARRDALMSNGAAVNLNGDGGIVPPAAAGGAVQYYVGSSSSSGGDDGAVSQMQMMMTSTAAGDGSIYYGNQHEIVPWDGNGIHNAAADETQNEYGFLCAQDHHYVDTNWEAEAPADGGNAQYGWHDQAMPMWCPCNEESYPCNPTTTTVPFNMAQPIALSNGTNFINAPQPNEFTGADFVDAPNDFLSMGIGRSFVDVGDYSASGQSSADGYQLFGDGNCLDHQMQYIGGDMGGVEHGDTQPRNWGR >LPERR01G12920.1 pep chromosome:Lperr_V1.4:1:10257460:10258560:-1 gene:LPERR01G12920 transcript:LPERR01G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRTVVLLLVCTLMVALQATAANAYYNSSDDGVTMQMFEEWMAKYGKTYTCHGEKEHRFGIFRDNVHFIRSYKPPATYGSSSVRINQFADLTNAEFVSTYTGAKPPPHPKDVPRTVDPIWVPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIKTGTMTPLSEQELLDCDTNSNGCDGGHSDTAFELVAKHGLTAESEYRYEGFKGKCRVDDLLFNHAARIDGYRAVPSNDERQLATAVAGQPVTVYIDAGGPAFQFYGSGVFSGPCGTGLNHAVTLVGYCQDSVTGKKYWIAKNSWGKSWGQQGYILLEKDVVQPYGTCGLAITPFYPTV >LPERR01G12930.1 pep chromosome:Lperr_V1.4:1:10261234:10263107:1 gene:LPERR01G12930 transcript:LPERR01G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSSYVMLLLSITLLLQVLAPATANPPEKRPSCEKSDREMRFMFSQWMSKYAKSYSCPEEKEKRYQVWRTNTDFIGGFRSQTDLSSGVGAFAPQTFTDSFVGMNRFGDLNIDEFVQQFTGFNATAAFQAHPPPITPLSPHSWRPCCVDWRSSGAVTGIKNQGACASCWAFAAAAAIEGLHKIKTGELVSVSEQVMVDCDTGSFGCAGGHSDTALSLVASRGGVASEEKYPYTGAKGACDVGKLLFDHSASVSGFAAVPPNDEGQLALAVARQPVTVYIDVSAQEFQFYKGGIYRGPCSSSRVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGDQGYIYLPKDVWWPQGACGLATSPFYPTV >LPERR01G12940.1 pep chromosome:Lperr_V1.4:1:10269917:10270599:-1 gene:LPERR01G12940 transcript:LPERR01G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLADSAARKLLHGAGARGLAADGISYGLDGLWQLIAGLIHLLALPFQSLAATIVHGIEEAVAGVLAGIGSVAHLFVLAPFEAFWQWIRDASAAALPYVQAIVAVVCVVALAWLAWTFLLSAAVVICPPLAAAAVACWAVLFPVAVRVGQAVVVVVCYTAKGIGVALARFLPICGRCCVVVTIKGPGAAGMVISRGAFEALPRL >LPERR01G12950.1 pep chromosome:Lperr_V1.4:1:10273315:10278443:-1 gene:LPERR01G12950 transcript:LPERR01G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLADSAARKLLHGSTDAHALASDATVGMSFGLGSLWDLIAVLFSGIIHLLVLPFQALAEAVTHGFEALGHAIQALFAGIVSGLGNMAHLLVMPFEILWRGLQAAVAGIGHGFEAMWPNMQSFFANFLATVADAAHGLVLTDVPTVSMTRGGKAVRAVFRPPPMVVLADSAARKLLHGAVGARGLAAVGMSFGLDGLWELIAGLFSGIIHLLVLPFQALAAAIANGFEALGHGIQALFAGILAALGNMAHLLVMPFEMVWRAIQAAVAGIGHGFEAMWHGIEGFFAGIGSIGHLFVLPFEAFWRWIQVAAAGIGHGFDAMWHAIPSFFAGAIHGLVLPFEAFWRWLQTAVGGISSGWAGLWQNIQSFFAGAAHELVHPFEAFWKWLKTAAADVAADISFGLDGAWPLIKRLYASLLATLAGAAHDLAPRLESLWRWLCAAAATALPYVLIIVAVLCVVALVWFTWTLLCTAAVFIGEAIVGAATFCGLCVLPAAVMVGQGLVLAVSYGAHCVFVACKGAGMVLGHVLPSCGHCCVQVTMRAPGAAGAAGMVISRVAFESFAQSYFLILRHAGPVVATAVFCTQPVASVLAAPVAALFSPSR >LPERR01G12960.1 pep chromosome:Lperr_V1.4:1:10280615:10281388:1 gene:LPERR01G12960 transcript:LPERR01G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQVLPIPSSKLEHITNGDNSIVIPSSIITMEEKDHLTGVAAETNDADTITTVMDKHDGDDNTMTTTEEPAAAIAITRSASSNERRHQQSKKRGAFGLFRAMFMSFSGSASMKKRDAAAAAAAMVGDRKKSQFAASGEKTAAVARSASDVASWKNLVDGMRPLRLYGQLEYYPPPSPERGIEGMMMTSRYSSAQDLQVLVNGHEKEEEEEQESAPATEDGGCSPNAIDMQAEEFIAKFYEQFRLQKSDSFNNRTD >LPERR01G12970.1 pep chromosome:Lperr_V1.4:1:10291078:10295187:1 gene:LPERR01G12970 transcript:LPERR01G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTEKEVCQITNGYSILVGKGAFGEVYKGILDDGCPVAVKRYMHGTKKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIIMEFISNGNLDSILHCSNTDGHVPFPFYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDEKQSTKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTFILAHSFTEAIEKGKTVMNLFDEEINDTENVNFLQDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKALKEEQESLNQQHTSAPNNSIPSKNIESTAHQFGNLKVFKQKEIKHTTRNYSMMTDRGSRNRHFGKALYDFTADGDDKLSLTAGEDMEIEYEVDGWYYVKKKRPGRDGKIEGLVPVLYVRSPVTCYF >LPERR01G12980.1 pep chromosome:Lperr_V1.4:1:10313750:10314871:1 gene:LPERR01G12980 transcript:LPERR01G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCILCSELPLLPTRNSFAFSVLTCAWSVLRLGPHHICISSRSNHATPPPNRPAQPPLLYRAGHRRPPAPRRAGLQRPPAVQPRRCRPVSRCRSLDSDPSQEPTPVPTTDRRLQRTLPPPAPPVHATSARVFF >LPERR01G12990.1 pep chromosome:Lperr_V1.4:1:10325127:10330174:1 gene:LPERR01G12990 transcript:LPERR01G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFVFSWTNLKSMRQGRDRLLRPAHAPLLQPNADFLSGLGNQPEWSANNTNEINGADAAARFLALIVKLMNRTADLAAFGPPSPPWPRMYANGEIWCSARPTSPGSSAGAASPASSRRCRAPLRRGRILGVRCDLRYEINDNFFLETDATLKLDIPKKGLSTTLKIVVFGVPCLVLIISVVILRSYIVKELRELYGNTVDFSDNSMATTELLLQRDLVILEREIVSESDERFSLFKFSKIKEATDNFSDEKKLGQGGFGPVYNHAFNIGILLGSLGAASRIYAERKPGRDNFRGYITPEYFSQNVYSTRSDVFSFGILVLEIISGKRAVGSYKISGRSYELRRYAWHLWKEERCDELVDPSLGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNRSRRLVKPAQPERFMNGST >LPERR01G12990.2 pep chromosome:Lperr_V1.4:1:10325127:10330174:1 gene:LPERR01G12990 transcript:LPERR01G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFVFSWTNLKSMRQGRDRLLRPAHAPLLQPNADFLSGLGNQPEWSANNTNEINGADAAARFLALIVKLMNRTADLAAFGPPSPPWPRMYANGEIWCSARPTSPGSSAGAASPASSRRCRAPLRRGRILGVRCDLRYEINDNFFLETDATLKLDIPKKGLSTTLKIVVFGVPCLVLIISVVILRSYIVKELRELYGNTVDFSDNSMATTELLLQRDLVILEREIVSESDERFSLFKFSKIKEATDNFSDEKKLGQGGFGPVYNVNACALHGHLTTNQYIAVKQLAPNSVQGFKEFKNEIKLIACLQHRNLAWHLWKEERCDELVDPSLGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNRSRRLVKPAQPERFMNGST >LPERR01G12990.3 pep chromosome:Lperr_V1.4:1:10320407:10325463:1 gene:LPERR01G12990 transcript:LPERR01G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLLLLFLLASWPPTASSTVSPLYSTPCTSQASSGAAAQNSTYRSNLQALGDKLAAAAAANGFAIDSFGSAPNDKVSGLALCRGDFAGADCADGLRAAFHDVADRLCLNTTVYYDQYMLSFATGDDRAFSDPANNSPEWFANNMNQVKATGGAAARLMAKAMDLMNRTAEIASSSTANGGGRYATGETWFGEQGVGIVYGLVQCTPDLTAAQCRSCLDGIIAKMPANFSTPAGTFVGGRILGVRCNLRYEKDLFFQETDATLKFDMPKKGLSTTLKIVIFGVPCLVLIISVVLLRPYIVKEIRELLLHRDLVILEREIVSESDERFSLFKFSKIRDATDNFSKENKLGEGGFGPVYKGHLTSTNQYIAVKRLAPNSAQGFKEFKNEIKLIACLRHKNLVRLLGCCIKSKERILVYEYMPNRSLDELIFGEEKKPSWPVRRHIIEGIAEGILYIHDYARQPIVHRDLKPSNILLDHEMNPKISDFGIARIFLSNVTESNTTTAMGTFGYIAPEYYSQNDYSTRSDVFSFGILVLEIISGKRAVGSYKLYGRSYELRRYAWQLWREARCDELVDPSLGEDYQEMDLIRCIQVALLCVQDSAEDRPTMHEVTTMLSNRNRRLLAPAQPGSFNIDIGDTEESSEDI >LPERR01G12990.4 pep chromosome:Lperr_V1.4:1:10320407:10325463:1 gene:LPERR01G12990 transcript:LPERR01G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLLLLFLLASWPPTASSTVSPLYSTPCTSQASSGAAAQNSTYRSNLQALGDKLAAAAAANGFAIDSFGSAPNDKVSGLALCRGDFAGADCADGLRAAFHDVADRLCLNTTVYYDQYMLSFATGDDRAFSDPANNSPEWFANNMNQVKATGGAAARLMAKAMDLMNRTAEIASSSTANGGGRYATGETWFGEQGVGIVYGLVQCTPDLTAAQCRSCLDGIIAKMPANFSTPAGTFVGGRILGVRCNLRYEKDLFFQETDATLKFDMPKKGLSTTLKIVIFGVPCLVLIISVVLLRPYIVKEIRELLLHRDLVILEREIVSESDERFSLFKFSKIRDATDNFSKENKLGEGGFGPVYKGHLTSTNQYIAVKRLAPNSAQGFKEFKNEIKLIACLRHKNLVRLLGCCIKSKERILVYEYMPNRSLDELIFDFGIARIFLSNVTESNTTTAMGTFGYIAPEYYSQNDYSTRSDVFSFGILVLEIISGKRAVGSYKLYGRSYELRRYAWQLWREARCDELVDPSLGEDYQEMDLIRCIQVALLCVQDSAEDRPTMHEVTTMLSNRNRRLLAPAQPGSFNIDIGDTEESSEDI >LPERR01G12990.5 pep chromosome:Lperr_V1.4:1:10315243:10320433:1 gene:LPERR01G12990 transcript:LPERR01G12990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQGAEADGLLAERVFELINATADYAANSPSRCGTGEVFVGAQGCTPDLTGDQCRSCIVNISSKIPTLSVASLLITSQVAAKILGVIKVYTVQPATIEFSSSRKQRIPSFSTGRRELKLWEKEIISESDPGFSLYKFAEIKDSTEISNKLGEGGFGPVFQAKKGFKESVFQ >LPERR01G13000.1 pep chromosome:Lperr_V1.4:1:10330616:10330864:-1 gene:LPERR01G13000 transcript:LPERR01G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAADHGGYQQTTKKTSQGSDGDKSSRVDLYAGAVAQRALYGPTTSRCRGAARRQQQVAGGKADSKQPSRLSKMSGAEGT >LPERR01G13010.1 pep chromosome:Lperr_V1.4:1:10336684:10343667:1 gene:LPERR01G13010 transcript:LPERR01G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKGTRFRPAVRNNVEGDTQDREPEAGQLAVEAWRRRRVLLAVVDGVFFLRSSTASSSRFHEEDDEEALRWAALERLPTHDRVRRGILQVAEGDEKVDVDVGKLGARESRALIGRLIQAADDDHARFLLKLKDRMDRVGIDYPTIEVRFEKLEVKAEVHVGQRGLPTVVNSIINTIQAIGNALHISRNRKQSMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLKDNLKVSGKVTYNGHGMHEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYDMLTELSRREKAENIKPDQDIDVYMKASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSEGHIVYQGALEHVLKFFELMGFRCPSRKGVADFFQEVTSRKDQEQYWYRTDMPYSFVPAKQFADAFCSFHMGQSIKNELSEPFDRSRSHPASLATS >LPERR01G13010.2 pep chromosome:Lperr_V1.4:1:10341361:10345248:1 gene:LPERR01G13010 transcript:LPERR01G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVHSTWGSPLRMNFQNHLIGAGATLLLLLPLKFGVSWMALLKANIDRELLLMKRDSFVYIFKAVNLTLTAFLVMTTFIRTKMHRDTTYGTIYMGALYFALDTIMFNGFAELGMTVIKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPSVSRFFKQYLLLVALNQMSSSLFRFIAGLGRDMVVAQTIGPLSLLAFATLGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWNKSFPGQNDTTVISVLKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALEEKLYSMIGFEWSVAKFFWYLFFMYFTLLYFTFFGMMAVGLTPKESIASIISPIIYNMWNLFSGYLIPRPVAYFLPHIVLFSLLISFRKIPVWWRWYCWICPVAWTLYGLVASQFGNIQTKLDGKDQTVAQFIEEYYGFNHDLLWLN >LPERR01G13010.3 pep chromosome:Lperr_V1.4:1:10341361:10345248:1 gene:LPERR01G13010 transcript:LPERR01G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVHSTWGSPLRMNFQNHLIGAGATLLLLLPLKFGVSWMALLKANIDRELLLMKRDSFVYIFKAVNLTLTAFLVMTTFIRTKMHRDTTYGTIYMGALYFALDTIMFNGFAELGMTVIKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPSVSRFFKQYLLLVALNQMSSSLFRFIAGLGRDMVVAQTIGPLSLLAFATLGGFILARRKLIKLLIVFLRERKGPPVSITRNGISFPGQNDTTVISVLKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALEEKLYSMIGFEWSVAKFFWYLFFMYFTLLYFTFFGMMAVGLTPKESIASIISPIIYNMWNLFSGYLIPRPVAYFLPHIVLFSLLISFRKIPVWWRWYCWICPVAWTLYGLVASQFGNIQTKLDGKDQTVAQFIEEYYGFNHDLLWLN >LPERR01G13010.4 pep chromosome:Lperr_V1.4:1:10341724:10345248:1 gene:LPERR01G13010 transcript:LPERR01G13010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVHSTWGSPLRMNFQNHLIGAGATLLLLLPLKFGVSWMALLKANIDRELLLMKRDSFVYIFKAVNLTLTAFLVMTTFIRTKMHRDTTYGTIYMGALYFALDTIMFNGFAELGMTVIKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPSVSRFFKQYLLLVALNQMSSSLFRFIAGLGRDMVVAQTIGPLSLLAFATLGGFILARRKLIKLLIVFLRERKGPPVSITRNGISFPGQNDTTVISVLKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALEEKLYSMIGFEWSVAKFFWYLFFMYFTLLYFTFFGMMAVGLTPKESIASIISPIIYNMWNLFSGYLIPRPVAYFLPHIVLFSLLISFRKIPVWWRWYCWICPVAWTLYGLVASQFGNIQTKLDGKDQTVAQFIEEYYGFNHDLLWLN >LPERR01G13020.1 pep chromosome:Lperr_V1.4:1:10345985:10350474:1 gene:LPERR01G13020 transcript:LPERR01G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEIRQKTHKPSVDAEVGRARRERIMVGIRKDERDRTLNEKRRLPASAAAIEKKVRFWGPRLPPVRFGDFSLFPDCFCALCASKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDEVKTSNVRLLPDIPVTSPDSPVKWFCSQQRYLVKQGCIKPLCDLLKHKDARIVSICVDSLDNILRVGEVKKMLGASNVNLFALMVEEAVGLNKIEDLQNHDNVDIYDKAFEVLESYLLEDDDQQPLQPPVGKSSLDESFFGNFYQGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFSEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSVLRQLILSQDEKIIMD >LPERR01G13020.2 pep chromosome:Lperr_V1.4:1:10345985:10350474:1 gene:LPERR01G13020 transcript:LPERR01G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEIRQKTHKPSVDAEVGRARRERIMVGIRKDERDRTLNEKRRLPASAAAIEKKVRFWGPRLPPVRFGDFSLFPDCFCALCASKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDEVKTSNVRLLPDIPVTSPDSPVKWFCSQQRYLVKQGCIKPLCDLLKHKDARIVSICVDSLDNILRVGEVKKMLGASNVNLFALMVEEAVGLNKIEDLQNHDNVDIYDKAFEVLESYLLEDDDQQPLQPPVGKSSLDESFFGNFYQGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFSEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSVLRQLILSQDEKIIMD >LPERR01G13020.3 pep chromosome:Lperr_V1.4:1:10345985:10349419:1 gene:LPERR01G13020 transcript:LPERR01G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEIRQKTHKPSVDAEVGRARRERIMVGIRKDERDRTLNEKRRLPASAAAIEKKVRFWGPRLPPVRFGDFSLFPDCFCALCASKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDEVKTSNVRLLPDIPVTSPDSPVKWFCSQQRYLVKQGCIKPLCDLLKHKDARIVSICVDSLDNILRVGEVKKMLGASNVNLFALMVEEAVGLNKIEDLQNHDNVDIYDKAFEVLESYLLEDDDQQPLQPPVGKSSLDESFFGNFYQGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFSEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSVLRQLILSQDEKIIMD >LPERR01G13030.1 pep chromosome:Lperr_V1.4:1:10352814:10356677:-1 gene:LPERR01G13030 transcript:LPERR01G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDVCNPDVLDISSEHLRSRILITDAVLGISLIIVAILVGAGFFSRRYRRHGSIRLLSLGAYTLFLPLVSYVVSGVDKENCALPDGIECTDDATMYLLVWASLVQIIGVNYCTAIAAHDDERRNIGPTVQLLVGAIWTLFLVIKHFKVWWYDYTGYLAIWMPFALNLAKILVKLYAYEMAWCSFELGGRNPRLITGYMAQLNLLQGIDVHDIPLVLMGEDKQKVEKGPHGYRFTCDSVDSSTFVTIDKVTNMVSTGDTVFKSCPQLDDLCLSFSLFKLLRQRFTRCPVAEADYYRTVPNFMVKLRRADPQNILNMIANELSFASDFYYSYLPISHSSWWLPILNVVLSFFVIAYCLGGGIFLLVAYSSPGEPQMTCNLACDHKYEYIFGSIWIVEVLTLCLGIPVALSEAWEIISYACSNWTKVNLICYYVKKTSWQRSPLMQRIICRIVRFRCKLLNNNYKMGQASIMDTNMKIVKLVRRLLQLPDQKMEYVEIKRQVSSAIHDKFRASNWSLPTVTATLQQSPIGNNVLWACNGKGTADIILVWHIATCVFEIKHPYEPTNAPAVAASQLSRYCAYLLSSAPELLPDDKEWSKKLYKSVKKIAEPIFSSISNKRHMQYDRILQQLDEKCSSNTELKNGVALGKLLVNETQGSEQEGWEILAEFWSAMLLYIAPSDNAGAHREAIARGEWVQIGKGSNGRPFDQTKTGISMGDGNDSTALDVDGAARGFCLLPAWPSLAHHLMSSASHQAPGVDHGSPTSGSWRSTD >LPERR01G13040.1 pep chromosome:Lperr_V1.4:1:10388073:10390918:1 gene:LPERR01G13040 transcript:LPERR01G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSFVPAKQFADAFCSFHMGQSIKNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFNAVNRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPGVSRFFKQYLLLVALNQMSSSLFRFIAGLCRDMVVAQTIGTLSLLAFATLGGFILARRKLIKLLIVFLRERKADVNKWWIWDRMLFQQTNFEGTVGTKQSFHGQNDTTGISVLKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSYLKPLGDSYPSVPEDALEEKRANLTVVHVVFTLMFAFLFSFAIMKFNFQRR >LPERR01G13050.1 pep chromosome:Lperr_V1.4:1:10391937:10392273:-1 gene:LPERR01G13050 transcript:LPERR01G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRHLSPDDDLPALLRRAYAHSSWVLSKYSIDADTAAGRLKEVCGAEGG >LPERR01G13060.1 pep chromosome:Lperr_V1.4:1:10397596:10410136:1 gene:LPERR01G13060 transcript:LPERR01G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRKDERDRTLNEKRSLPASAAAVEEAHSPAVEKKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDGQCPPIDEGTRSRVVPRFVEFLAKEAECPDLQFEAAWVLTNIASRATTNKLAVIEHDAVSILAVWALGSMARDSTKCRDLFLMHGAMLGLLQQLNEHVKLSMLRIATWTLSNFCCGKTQPDFMLVKPALPVLRQLILSQDEEVITNSCWALYGLSYGFSDKIHAVVETGVCSRLVELLNHSSPTVLRPALCTVCNIVTGDETQIQRKASREKLVAQSQISQLEPKSKFSLDNILRVGEVKKMLGASNVNLFALMVEEADGLNKIEDLQNHDNVDIYDKAFEVLESYLLEDDDQQPLQPPVGKSSLDESFFDNWYQGLSIGSQLIQVMGLGAPAARASAMSLRPSERAEIRQKMHKHSVDAEQGRARRERIMVAIRKDERDRALNEKRRRPSAVSSAAAHSPAVEKELESLAMMAQRLYSDDSSVQLEATMQFRELLSEGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQFEAAWVITNIASGTSANTMAVVEHGVVPILAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFNEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSALRQLILYQDEKIIMDSCWALSYLSDGSTDNIQAVVETGVCPRLVELLNHSSSKVLIPALRTVGNIVTGSDTQTQCILDHQALPCLLNLLTTTQKKSIKKEACWTISNIMAGTKEQLQAVINANIIGTLLHLMEKAEFDVKKEAAWAISNATAGGTPDQTEYLVRKGCIKPLCDLLNHSDSQTVSICLDGLDNILRVGKLKKMMGACNMNLFAQMVDEADGLDKIEDLQNHENVDIYDKAQKVLESYWMEDDDQQPLQPLIDNSSLDGSFFGREIKIPIWMESDEC >LPERR01G13060.2 pep chromosome:Lperr_V1.4:1:10397596:10410136:1 gene:LPERR01G13060 transcript:LPERR01G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRKDERDRTLNEKRSLPASAAAVEEAHSPAVEKKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDGQCPPIDEGTRSRVVPRFVEFLAKEAECPDLQFEAAWVLTNIASRATTNKLAVIEHDAVSILAVWALGSMARDSTKCRDLFLMHGAMLGLLQQLNEHVKLSMLRIATWTLSNFCCGKTQPDFMLVKPALPVLRQLILSQDEEVITNSCWALYGLSYGFSDKIHAVVETGVCSRLVELLNHSSPTVLRPALCTVCNIVTGDETQIQRKASREKLVAQSQISQLEPKSKFSLDNILRVGEVKKMLGASNVNLFALMVEEADGLNKIEDLQNHDNVDIYDKAFEVLESYLLEDDDQQPLQPPVGKSSLDESFFDNWYQGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQFEAAWVITNIASGTSANTMAVVEHGVVPILAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFNEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSALRQLILYQDEKIIMDSCWALSYLSDGSTDNIQAVVETGVCPRLVELLNHSSSKVLIPALRTVGNIVTGSDTQTQCILDHQALPCLLNLLTTTQKKSIKKEACWTISNIMAGTKEQLQAVINANIIGTLLHLMEKAEFDVKKEAAWAISNATAGGTPDQTEYLVRKGCIKPLCDLLNHSDSQTVSICLDGLDNILRVGKLKKMMGACNMNLFAQMVDEADGLDKIEDLQNHENVDIYDKAQKVLESYWMEDDDQQPLQPLIDNSSLDGSFFGREIKIPIWMESDEC >LPERR01G13060.3 pep chromosome:Lperr_V1.4:1:10397596:10409304:1 gene:LPERR01G13060 transcript:LPERR01G13060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRKDERDRTLNEKRSLPASAAAVEEAHSPAVEKKLESLAMMAQRLYSDDSSVQLEATMQFRELLSEGNCPPIDEVIRSGVVPRFVEFLTREEYPQLQFEAAWVITNIASGTSANTMAVVEHGVVPILAVWALGNVSGDSTKGRDLVLMHGAMLGLLQQFNEHTKLSMLRNAAWTLSNFCRGKPQPDFAHVKPALSALRQLILYQDEKIIMDSCWALSYLSDGSTDNIQAVVETGVCPRLVELLNHSSSKVLIPALRTVGNIVTGSDTQTQCILDHQALPCLLNLLTTTQKKSIKKEACWTISNIMAGTKEQLQAVINANIIGTLLHLMEKAEFDVKKEAAWAISNATAGGTPDQTEYLVRKGCIKPLCDLLNHSDSQTVSICLDGLDNILRVGKLKKMMGACNMNLFAQMVDEADGLDKIEDLQNHENVDIYDKAQKVLESYWMEDDDQQPLQPLIDNSSLDGSFFGREIKIPIWMVKICRSCLVQGFSESGVNLSE >LPERR01G13060.4 pep chromosome:Lperr_V1.4:1:10397596:10410169:1 gene:LPERR01G13060 transcript:LPERR01G13060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRKDERDRTLNEKRSLPASAAAVEEAHSPAVEKKLESLPILLQGLYSNDRSMQLEAAKQFRKQLSDGQCPPIDEGTRSRVVPRFVEFLAKEAECPDLQFEAAWVLTNIASRATTNKLAVIEHDAVSILAVWALGSMARDSTKCRDLFLMHGAMLGLLQQLNEHVKLSMLRIATWTLSNFCCGKTQPDFMLVKPALPVLRQLILSQDEEVITNSCWALYGLSYGFSDKIHAVVETGVCSRLVELLNHSSPTVLRPALCTVCNIVTGDETQIQRIIDHLALPCLFNLLTTFQEKSIKREACCTISNITAGTKEQIQAVINANIIGTLLHLMEKAEFDVKKEAAWAISNATAGGTPDQTEYLVRKGCIKPLCDLLNHSDSQTVSICLDGLDNILRVGKLKKMMGACNMNLFAQMVDEADGLDKIEDLQNHENVDIYDKAQKVLESYWMEDDDQQPLQPLIDNSSLDGKVMSANNVILFLDFR >LPERR01G13070.1 pep chromosome:Lperr_V1.4:1:10414009:10417355:1 gene:LPERR01G13070 transcript:LPERR01G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVGIGVGEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQNGEVIPEVKDSNSNSSSGSSSSNLQVVQKRRLLMGVEEAALLLMTLSSPSASTLLHG >LPERR01G13070.2 pep chromosome:Lperr_V1.4:1:10414009:10417355:1 gene:LPERR01G13070 transcript:LPERR01G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVGIGVGEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQNGEVIPEVKDSNSNSSSGSSSSNLQVVQKRRLLMGVEEAALLLMTLSSPSASTLLHG >LPERR01G13080.1 pep chromosome:Lperr_V1.4:1:10422457:10425551:-1 gene:LPERR01G13080 transcript:LPERR01G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLSVRSLTSFPFPTVAGARGRVGSAQPVGPKLLASPLPPAATPAVPRRLVLPVAAGIWDFLSGGAGGAAAGTLAVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRPVMRKAYALFKDGGDPEKLASNFSSGSDGEIFYSSLYTGLYYESQKDAEMAKSHIVAACRSPYGSRSGDYMASLALVHCKCRNWTLDIWPFRANHETLGL >LPERR01G13080.2 pep chromosome:Lperr_V1.4:1:10423196:10425551:-1 gene:LPERR01G13080 transcript:LPERR01G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLSVRSLTSFPFPTVAGARGRVGSAQPVGPKLLASPLPPAATPAVPRRLVLPVAAGIWDFLSGGAGGAAAGTLAVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRPVMRKAYALFKDGGDPEKLASNFSSGSDGEIFYSSLYTGLYYESQKDAEMAKSHIVAACRSPYGSRSGDYMASLALVHCKCRNWTLE >LPERR01G13090.1 pep chromosome:Lperr_V1.4:1:10428162:10434141:1 gene:LPERR01G13090 transcript:LPERR01G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSAPARAPPTAFPLTAAARFPRASSSFSSSSTRVSALAGLRRCPPRARERAADAVGVADTMVAAGLSPRSRSLRGLFAAHLIARDAEGASITREVDIFHPAKIEELFCYSTDKDDTKGYSKVLLQLGTLSCCTMGWLCFAQTALASEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >LPERR01G13090.2 pep chromosome:Lperr_V1.4:1:10428162:10434141:1 gene:LPERR01G13090 transcript:LPERR01G13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSAPARAPPTAFPLTAAARFPRASSSFSSSSTRVSALAGLRRCPPRARERAADAVGVADTMVAAGLSPRSRSLRGLFAAHLIARDAEGASITREVDIFHPAKIEELFCYSTDKDDTKGYSKVLLQLGTLSCCTMGWLCFAQTALASEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >LPERR01G13090.3 pep chromosome:Lperr_V1.4:1:10428162:10433133:1 gene:LPERR01G13090 transcript:LPERR01G13090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSAPARAPPTAFPLTAAARFPRASSSFSSSSTRVSALAGLRRCPPRARERAADAVGVADTMVAAGLSPRSRSLRGLFAAHLIARDAEGASITREVDIFHPAKIEELFCYSTDKDDTKGYSKVLLQLGTLSCCTMGWLCFAQTALASEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >LPERR01G13100.1 pep chromosome:Lperr_V1.4:1:10436105:10437776:-1 gene:LPERR01G13100 transcript:LPERR01G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLSCNSHSFQASKPWEVIDWDSLVPRPRSAPPFNGINYRINVASHTAPGHRALHRRVAIVTAALVQLSPRISCPSVLASWSAISADQLVAKLNDDYAADDPSGPCAVAVDADVSDPAQAAFGPELHVLVADMEPDQWDHVFAVNARGTFLCCREAAVDSLRPGHGTYVATKAAVEGMTKVLAKELAGTGITANSVAPGPVASPMFYAGKSDECIVAAVGECPMERIGEPIDVAPVVCFLSSDAAG >LPERR01G13110.1 pep chromosome:Lperr_V1.4:1:10443249:10445429:1 gene:LPERR01G13110 transcript:LPERR01G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDACVDYARFMPTLKRIRVHIWRTNLVLVLSFIVVVILVGAGTFSRRYRRNGWVRLLSLGAYTLFLPLVSYAVSGVDKESCILQDGIQCYDNSSPFMFVCASIVQTVGTNYCTSIPAHDDERRNIGPTVQLLLGAILILFLVVKDFMGYLYIDNNIVRGLILIPCVLNLAKILAKLYACKKAWRSFEFGGRNARLIAGYMEQLNLLPGDEHATPLILTGEDKQKVKEGPHCHRFTDGSAHRTLVTINRVINMTSSGDIVLNSWPPLNDLCLSFSLFKLLRQRFTRYPVVEAYRSVPNFMIKLQYGDPQGTVSMIADELSFARDFYYTYLPISYSSWWLPILNVVLSFFVIIYGFAGVIYMLERKLNWPMDNAQMFCSFNCDYKEYVAPVELLFGSNLSLAVMTILISVPVFLSESWEIISYTCSKWTKVNLICGYITKTSWHGSPRLQRLICFLLRFRCKILNNSYEMGQTSIMDLDTNTRIVKAFLCLPRLPHQIKYVKIPAEVNTAILNKFRASNWSLPTVSASVQQSPIGNNILWACNGKGISDVILVWHIATCIFEINHPDKPSPGPAASEARITATHLSRYCAYLLSAVPELLPDDKAWSKKLYKSVKKITEPIFSRSDERSMEYEHILQQLAENSNGNAELDGGVRLGRQLVDETQGSEQEGWKIMAGFWSAMMLNIAPSDNAAAHRKAIARGGELITILWAMLTHAGIISRPRGAVV >LPERR01G13120.1 pep chromosome:Lperr_V1.4:1:10456794:10458965:1 gene:LPERR01G13120 transcript:LPERR01G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEVCDPDVLKISTEHLSTRILRTNGVLVLSLVIVAILVGAGSFSRRYRRHGSIRLLSLGAYTLFLPLVSYVVSDVDNENYTLPDGIECIDESAKYLLVSASLVQIIGVNYCTAIVAHDDERRNMGSTVQLLAGAMWTLFLVIKHFKVWGYDYSYTEYLAIWMPFALNLAKILVKLYAYEMAWRSYELGGRNPRLITGYMAQLNLPQGRDEHAIPLVLMGEDKLEVEEGPHGYRFTCDSLASSNSLVTIDKVTNMVSTGHTVFKSGPQLEDLCLSFSLFKLLRRRFTRCPVAEADCYQTVPNFMIKLRHGDPQSILNMIGNELSFASDFYYSYLPISYSSWWLPILNVVLSFFVIAYCLVSGTFELLVAFWSPPSVPQMTCQLACGQISDYTFGYILIVQVLTVCLGIPVLLSEAWENISYACSNWTKVNLICYYVKKKSWQRSPLMQRIICRILTFRCKLLNNNYKMGQASIMDTNMKIVKLVRRLLQLPDQKMEYVEVKPQVSTAILDKFRASNWSLPIVTAALQQSPIGNNILWACNGKGTSDIILVWHVATCIFEIKHPYEPTNAPAVTASQLSRYCAYLLSSAPDLLPDDKTWSKKLYKSVKKITEPIFSSRINKRHMKYDRILQQLEEKGSENTWLKKGVELGKLLVNGTQGSKQEGWEILAGFWSAMLLYIAPSDNTGAHREAIARGGELITILWAMLTHAGIVSRPRTRNAV >LPERR01G13130.1 pep chromosome:Lperr_V1.4:1:10466300:10467463:-1 gene:LPERR01G13130 transcript:LPERR01G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRVQAFTEGIVLMVCPVLLAVAFKKADLKSNGKGKLVGVSISPLAAITLEAGLLPFLCLIVSDNHPPADGRLASLLFRASKLLVHLCGLLLMALSYILLLLIDMDKHLYFLAGLVLAPLVPITILRCYRSTRNGGEYDAAGIGYDEGALEKSVDFSVAVTTLLFLGLEGLALEGQNNGACHGRLERLFTASLGITYLACELGVFIMLRGTVPPQMNIQDDSTKICNDVVELLNVVLAVAIALVVVLIAAAQLREQAWLVFVPLILSFVVWMYRVLVGADGGREHAPGRAKQPASLELTKVTFTGFLAVAVPTFSNTAAGISIRGFVLLSAAAVISGLGWRLLTHRIATPSPAMVGAASVASFFAHLCVAAAVIPFSILAVSANSM >LPERR01G13140.1 pep chromosome:Lperr_V1.4:1:10476472:10478500:1 gene:LPERR01G13140 transcript:LPERR01G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSLIRPPRRSLDHHRSLDNPAKPLHDYQQLLISAIGVNCIILQTTNRSGGTAVNTISMGLVNFSMLLFVTGLYIRMMYSTMNKLFGLETYISAAALVMADYAVLLLISSRYIGIFIIPAILLGFIAALCRKLWKKFSSYTQKERSSRASLLNVSINGKLLKVASLPCWLLLLSCTMLNQHNGQQDDTLVFSHFLLFFTSALGAVAMMVGSFPTGISPGAAQVLPVLHKTCIILLLVTVHTIAAEWLGEDVIVACTPGLIAVLAWFTVHFDHDRHANAVSIDNVLPFRSELASILSSAVGLLAYLTGSYAYERELVDSWCRWSLCMATSSSVLSYVNLWILQDWPERTFHLEELLKLFNSCRKFCFSITLVLGLMSIGGWVRSLITAFIAIVSALVGFALFVNLHRKPEPRNVDWARRNQARSVLENQNPSLQSFSKESRPSAGFFAPAFESFGDLIDRKLGLSN >LPERR01G13150.1 pep chromosome:Lperr_V1.4:1:10480438:10481607:-1 gene:LPERR01G13150 transcript:LPERR01G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFLPIAFCRRTRKTTIAFSFFAFLCLMLNTAPLLFAGKMRTKCMNESDNPEGFDEEKVEDCDRRRIRGLLLAVFASNIVLMITAACLTAILNVKYLYIAMPVVLLIEAPYAYHIEHSSRNTMNWGVVKYEELQDDLKYFFDLSSEVTQAAFLGLPAILFSQLKSTNCKSSVQIRVPEVLTMYTVLFGLLIMLVCSAPLAADIKETREKFVKVFIRYSSYLLLVLVAVVSTLAAIQILQGYVVLAFVFLLGTYIWGLFWKECRAPPSQKGDGKDTAVIRSRSMVWFGFCPAIFGVLMASYSRSVSNGREDISDLYKTCVLFMLLTLISNLVRMLLVHEVHEKDGQDDPPFLLMSGLVNVFLMALTVFMVILIALLQPQQIQNTFVLA >LPERR01G13160.1 pep chromosome:Lperr_V1.4:1:10506241:10507422:-1 gene:LPERR01G13160 transcript:LPERR01G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLVNMSPLHFIPAAGGFLNFQVEPLLTADEDHQHRNHTHIMRIIPFVILSLNTLSYVVLDLPLPHRLKPQRISNSNIRVYIDTAVVVVISYVSLLVINADFIALAVFPFIALAFIVSLCNALRRVNGGEAETSSSSTSKSVGEGKKTTEEAEQLEAISVVPYWVLCLMAHFRAESFAVSQFLLFLSTTLGALMLMMARLVPAGGVAQASELLRKASLVVLLVTVHAMAAELLGENVVLFCMPEVVPALLWFSINIDRDSPVVTVDRIVKLNRNWLICVGGVAAAGFAYLAGSVDELGVSRCVMALVSCGVSGILVYYVVFMLRQWPAHGTAAGKVNGSYLEEAVQLLRFWANILLLAAAALLISTSVAAVRLGLHEQAVPALRKFLNYSV >LPERR01G13170.1 pep chromosome:Lperr_V1.4:1:10509259:10510422:1 gene:LPERR01G13170 transcript:LPERR01G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRAFAESVVIMVCPVLLAIVLEKVKLKSVEHGRAIPILMLVVAAFTLTSGTIPFIALSFSKRFSARARRLPTKITTCSAPVSCVCLVGLACWIVKLILIQRWAYAFPAFGIVFFLSIVIRSVMYYVERGDPSNLVAADELPVNDEIAARRQIADVEEKLDKSLELLAGVTAMLFLGMEGLALEGQTNGGQGRLAAPIGICFFACLFGVCFMLLETIPPPPPGRNDTGCRATIVRNLTEFCDVFMALAIGAVMLTIMIVLVKLLALLLLSPVLMVFLVHVFDIAVGGGGGGGNPPNVRPASLELSKVTFTGFLAVSIPAISRRSLSKSTECFLILAASAIVSGIAWRLLTHTKKGTSANVASFCTHLCIAVATVPFTVMAGEALH >LPERR01G13180.1 pep chromosome:Lperr_V1.4:1:10516034:10518342:1 gene:LPERR01G13180 transcript:LPERR01G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYPYTVTLLPAIVGRFNTHASPLLKDFEEYHLQFTSVIPLFFFYSYISKFLSDLQGQPLPLLKLFPLLMPRFASRSTSHESLTIYMDTTVVVLMSYLSLLNINLSYIWLAIFPSITLAFIAALLNDELRGGRSSYAVSELTVAKKDGLLELKAMAVVPYWVLCAMGQFHGGDSFAVSQFFLFLGSTLGALSLMAARLSGLTGAATGLVQASEILRRAALVVLLVTAHAVAAELLGDDAVVMLCLPELAPVLLWFSLHLYRGGSSAFTIENVGSHRNVLFVLVAAVAAVMAYLVATMDERGLSACAMALVSCGVSGILVYCAVLVLCQWPGQAATAATAPLMEVVWVLKFWENTLLAVAATLVVLASLTVFRLGLHEPMFATVVEQFRDSIERFPQLVV >LPERR01G13190.1 pep chromosome:Lperr_V1.4:1:10520370:10520900:-1 gene:LPERR01G13190 transcript:LPERR01G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAAPPSRPPLMLLRPLASSSPVPPATTSTTTIRRRPSASASATATVRRRARTRPRTRRSKPPSDSGGSFSGDDGDGSFGPPGTGGGGGGGGGGGGGWWWNRGGSGSGDGGGWDSSEPDVPAPRRSAAEAAIGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVPTVC >LPERR01G13200.1 pep chromosome:Lperr_V1.4:1:10524100:10533379:1 gene:LPERR01G13200 transcript:LPERR01G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFLESGSFSRSSSFGGFEEWVALMRKRNGKPCPSSSSLQQPMAVQSSVSSDALELDYPYSNAVDSSLSYQLPATSLWDRLGRVTMMDIESSDFSWSSLSSLHHTKHTTASTEPCEEDTSRSFEVTVNSGGVVFIAIFRTSENDDLPFKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVVHNFSSEWQQIKEAVENARDAAISTGDELEELICTEMLEALELSRCLFLMKYKHQATINYVHGSPLLENTVPFNSHESADKTAEALGRVLMLDLVLRNEDRLRCRALGWRGNYANLLVADREAYANLDSLDDVYDSAIIRYKPEIVRSPQKRKPRRAVSISGSIGSDTSDLMLEGTFDPNEPEVSNFHIVAIDSGVPRRPPACKRAKDQESYPKLVELILNNWDYSSNLLFEVSFGKLGIRGPEEFDVSSDHSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLHQKLDGLLKIFFNLMYKGLNECDKEDGGPSDSPSSSIETQMDSNDAEVPRHLRRPCRTLSRDNFDLSSPACRESFMLKNLKANSDASRGLRLTMKLRDFNKYAKADSELSKEIEQWNDTLRTDVMKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTFTLQHLGITHVLCLCANEIGQAESQQPGLFDYRNFSINDDENADIIDVFQDASDFIDYVEHIHGKVLVHCFEGKSRSATVVLAYLMLRKKFTLLQAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMTLEIQKAMEAIKAGRGGSDSPTQNT >LPERR01G13210.1 pep chromosome:Lperr_V1.4:1:10529561:10534043:-1 gene:LPERR01G13210 transcript:LPERR01G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGREAFSVDLAAAARRLLAFLRSAGEQGAVGPRSVRRYEELWMPLVAGAGGGEAPMLVPPPDVQLVWLCHCFHHESYAAYCTSRFGRLINRPLILDADNEEYAADHCQDVWAARYPSEPFDIRDSETEGNNSNDNDAGEIFKMVQRYTGLAARFASPFVSEGVYHVAARRRYVRFLELVNKTASTTQGSTRLVPSLDILLMWLAHQSFPVSYATDMEVMAMKDNVAKVVVGYGEVVSEEMVERTKLLWEEAYDEPYVMAGSEIGKTEVGAAREAFYWEAAASEEDANRLYKGLQPRFIMEVYVFLKGEFDSEHASKEFLRLRTQRCHRSLKLNKPLFNLSCKNWQQTWHLYCEFATRVLIIEVRRSTSGCFRNSKLVKNISFSWSDMLHEKSLMLTEELDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTRSYRPQEGRWLTRTVLDHSGKECFVIRMRIGRGIWRRGAETPIAVKWEDRIIEVREGSWSYIANASSVGYAPEKVVGTATPEKDHQDNEVVWCFSTGDVLTIKLGDDLNFFLRNESSEEEARLLVGRRLNYRVNKDSTSNDRNDEEEYLTLVRTLPDHPGGRATMLLNWKLLAVEFLPEENAVLALLLCMAIARTMTEIRREDVSRLLVRRRICEARVGQRDWGSVMLPNSPSLDPHLQPWYRNAAHVLSSAQAASNGMMLIKNSPADGKDELYRKALIP >LPERR01G13220.1 pep chromosome:Lperr_V1.4:1:10537629:10538978:-1 gene:LPERR01G13220 transcript:LPERR01G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSSHLVLLLSITLLLQVLLPATANPSPPPEKKPSCEKSDREMRFMFSQWMSKYAKSYSCPEEKEKRYQVWLANTDFIGGFRSQTDLSSGVGAFAPQTFTDSFVGMNRFGDLNIDEFVQQFTGFNATAAFQAHPPPITPLSPHSWRPCCGLHKIKTGELVSVSEQVMVDCDTGSFGCAGGHSDTALSLVASRGGVASEEKYPYTGAKGACDVGKLLFDHSASVSGFAAVPPNDEGQLALAVARQPVTVYIDVSAQEFQFYKGGIYRGPCSSSRVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGDQGYIYLPKDVWWPQGACGLATSPFYPTV >LPERR01G13230.1 pep chromosome:Lperr_V1.4:1:10541212:10542781:1 gene:LPERR01G13230 transcript:LPERR01G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRTVVLLLVCTLMVALQATAANAYYNGSDDGVTMQMFEEWMAKYGKTYTCHGEKEHRFGIFRDNVHFIRSYKPPATYGSSSVRINQFADLTNAEFVSTYTGAKPPPHSKDVPRTVDPIWVPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLMKIRTGTLTPLSEQELLDCDTNSNGCDGGHSDTAFELVAKHGLTAESEYRYEGFKGKCRVDDLLFNHAARIDGYRAVPSNDERQLATAVAGQPVTVYIDAGGPAFQFYGSGVFSGPCGTGLNHAVTLVGYCQDSVTGKKYWIAKNSWGKSWGQQGYILLEKDVVQPYGTCGLAITPFYPTV >LPERR01G13240.1 pep chromosome:Lperr_V1.4:1:10545820:10546311:-1 gene:LPERR01G13240 transcript:LPERR01G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTLAICSLLMLAMSIHPSDAQNSAQDYVTPHNAARSAVGVGPMAWSAKLQSFAESYAAQRKGDCMLQHSGGPYGENIFWGGAGADWKAADAVRLWVDERKDYSYATNSCAQGKVCGHYTQVVWRESTTVGCARVVCDAGRGVFIVCSYEPRGNIVGRKPY >LPERR01G13250.1 pep chromosome:Lperr_V1.4:1:10561398:10567659:1 gene:LPERR01G13250 transcript:LPERR01G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYGEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAASKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGEEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREIIKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFSDTCKNLVHVFFSQRATSKVPGATDLGLMPRKIKKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAISLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTKSKDRIVGAHFFSPAHVMPLLEIVRTEHTSPQVVVDLLDVGKRIKKTPIVVGNCTGFAVNRMFFPYTQSALFFVDRGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLIPLMMEDKRAGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTADNELMKLGDKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMLWADSIGAKYIHDKLEEWAKRYGNFFKPCSYLAERAAKGVPLSAPAKQVKARL >LPERR01G13250.2 pep chromosome:Lperr_V1.4:1:10561369:10567659:1 gene:LPERR01G13250 transcript:LPERR01G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYGEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAASKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGEEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREIIKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFSDTCKNLVHVFFSQRATSKVPGATDLGLMPRKIKKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAISLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTKSKDRIVGAHFFSPAHVMPLLEIVRTEHTSPQVVVDLLDVGKRIKKTPIVVGNCTGFAVNRMFFPYTQSALFFVDRGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLIPLMMEDKRAGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTADNELMKLGDKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMLWADSIGAKYIHDKLEEWAKRYGNFFKPCSYLAERAAKGVPLSAPAKQVKARL >LPERR01G13260.1 pep chromosome:Lperr_V1.4:1:10570960:10575688:1 gene:LPERR01G13260 transcript:LPERR01G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASIWFPSFVCLCPHSLQGKDKLKLEGNHPNQKASEDQQIAHGYHLHANEHSLTANPEPHKCKNMINCIVISSNMQI >LPERR01G13270.1 pep chromosome:Lperr_V1.4:1:10573908:10578025:-1 gene:LPERR01G13270 transcript:LPERR01G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMDGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELINLDQIKDIERPTEIPDYGLLCDLLWSDPSPDAEGWGESDRGVSCTFGADKLLEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGAPHSRRPIPNKTPRSGTA >LPERR01G13280.1 pep chromosome:Lperr_V1.4:1:10626675:10627378:-1 gene:LPERR01G13280 transcript:LPERR01G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASPSPSTSVPPPPPPLHPGACRFLHLPPPPSTGRRHTILRPRRRLRLHPLQRSLPSPMRPTSSVDADSSHPSAAVLAILKF >LPERR01G13290.1 pep chromosome:Lperr_V1.4:1:10632779:10635050:-1 gene:LPERR01G13290 transcript:LPERR01G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCDDSVFTSVPAKTNAGALTLKQVADQPGTNKVPHLTKRVVSKPKIRRSPRVKAKPKEVEASSSQPANTKRKREEVERKIKAKPKEAEASSSQPANTKRKREEGERKKVIKDTNWCDHIADVLMDGIIDFKVTATKNINITGCVDILNLRDFEASIYAEGNTGQEELLNGAIQFPLMLKTMKCRTKMLKQSMVMVRTLRRRAMHPLQILSACASDGPNIETTSHAPPPSSRADSRYAQAQHGGFSGTRTGSYEVPAHNNWLNKLEEMLKIRRAEILKRCRKTIEAILDKSDSKIIAHFQKETEKTML >LPERR01G13300.1 pep chromosome:Lperr_V1.4:1:10636336:10658751:1 gene:LPERR01G13300 transcript:LPERR01G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGVCTETRGLVPTAPACPATDHGSWLLQLSITVDKYFLRLKKKKMTHKKTKVKSDYFLKVIMNFIYLGVGAGLASAIQVSCWTITGERQAARIRAMYLKAILRQDVAFFDKEMNTGQLVEKMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWFLALVMLSSIPPVAVAVAVMSRLMTKLSTRTQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYSMFIKKAYDSALQQGVINGLGLGSIISIFFSSYGLAVWYGSRLIVERGYNGGIIINVIMAVMISAMSLGHTTSSITALAGGQGAAYRMFMTIKRQPGIDACCTTGVIFEDVKGDVELKDVYFRYPSRPEYLVFDGFSLQVPSGTKMALVGESGSGKSTVISLVERFYDPLSGEVLIDGINIRRINLGWIRRKIGLVSQEPVLFLGTIRENITYGKEDSTIEEINRAIKLANAAKFIDKLPNGLETMVGEHGIQLSGGQKQRIAIARLIVKNPRILLLDEATSALDMESERVVQDALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGPHDELMKKPEGAYSQLIHLQETWQEVVAPGDDPDIVMGNGSDSRFINSKTRSQSISFRKSTSKSSSFGHSGTHPVTSTSGLSDSMEVCDDRSIEETAQKMSGHHEKASILRLFYLNKPEAFVLALGSIISAMHGVIFPIFGILVSSAIKMFYEPFSELLKDSRLLGSMFVVLGISTFLLIPAEYFLFGLAGGKLVERIRLLTFKSIMHQEISWFDKPENSSGSIGAMLSTDALNVKRLVRDNLAQNFQTLSTIVSGFTIAMVANWKLALIITVVVPLVGFQAYAQTRFLRGFNKNAKSKYEDATQVASDAVGGIRTIMSFCAEQRVINAYKKKCASPIRQGIRDGVIGALGFGFSFLVFYFAYALCFYVGAKFVQQGSATFAEVFRVFLVLVLGINEISRTSAMGSEGRRVNESVISVFKILDRKSKIDPSNDDGVVIASVRGDIEFQNTTALVGESGSGKSTVISLLERFYDPDTGKILFDGVELEALKVSWLRLQIGLVAQEPILFNDTIRANMAYGKQGGVSEEEIIAAAEAASAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDPESERAVQEALDRVMVGRTTVVVAHRLSTIKHVDIIGVLENGTIVEKGRHEQLMKIRGGIYSSLVELSTSSI >LPERR01G13300.2 pep chromosome:Lperr_V1.4:1:10635738:10636960:1 gene:LPERR01G13300 transcript:LPERR01G13300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERASSAARDANDAEEARDSPAGGFAAEIGDEGIPPNRMKPNLEEIRRCAVAATGRVSVWRMLGFADRLDAALMAVGAVAAVANGMAKPLITFVVGDVIHVFGSASSRDVVADITKYFSHVFLWFSVSFRTAETTVPMAYASPFFQNIQRY >LPERR01G13310.1 pep chromosome:Lperr_V1.4:1:10676696:10681605:-1 gene:LPERR01G13310 transcript:LPERR01G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVSLVLVSAVVVLLARLLTNTMVHLFWKPIAITKLFKGQGIRGPSYRFFVGSMLEIKHMKAAVDEITLDAHSHDFIPVVLPQHNKWAIDYGKTFLYWLGAIPAISLGKVDLVKQVLLERTGLFPKNYMNANLEALLGKGLILSNGQDWERHRKVVHPAFNREKLEAMSVVMAECVESMAQRWQSLIDEAGNNQVEIELSRELSELTSAVIIHSAFGGSHNEGREVFLAQKELQELAFSSSLDVPALGFLRKLPIIKENSRVSQLVMKSRSTLMQIIEGRLANIGTETGYGNDLLGLMLEARAVEKKGNGLILSTQEIIDECKTFFFAGQDTTSNHLIWTMFLLSSNAQWQEKLREEVLTVCGDVTPTPDMVCKLKLVNMVLLESLRLYSPVVIIRRISGSDIDLGNLKIPKGTVLSIPIAMIHRDKDVWGPDANEFNPSRFENGVSKAANYPNALLSFSQGPRACIGQTFAMQESQIAIAMILQRFKFRLSPRYVHAPMEAITLRPKFGLPIMLTNLHG >LPERR01G13320.1 pep chromosome:Lperr_V1.4:1:10698447:10699923:1 gene:LPERR01G13320 transcript:LPERR01G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIIGPLLWLVCARANLEPAIRELHKQHGPILSVTFLSPRPAIFISGRANTHRALVQHGHVLASRPPAIAPFLVLTSGQHTISSAPYGPFWRSLRRNLTSGLLNPSRVPLYASARRWAIDLLTSDLMSRGNNGTAGITVVDCLQFAMFSLLTCMCFGRRLDGHCLREIEAVQRELFSSYISFQAFAFCPAITKRLFFRRWQKVLSIRRRQEELFLPLIKERKNDVTSGSTACCDHDDNLAYCYVDTLLDHKLPKDADDRKLTDSEMSQHYSGSWQTWLGSQRFQAKLLDEINGVVSCNKELVTEEDLKAMPYLKAVVLEGLRRHPPAHFLLSHAAVKETSLDGFHIPVGRSVNFSVADIALDEKTWTREREFWTDRFLNDGEGVGVDLTGSREIKMMPFGVGRRICPGLGLALLHLEYFVANMVREFQWGMVGGDGGGVVSLTEQPEFTVTMAQPLHALVTPRRVSPAMARRAVP >LPERR01G13330.1 pep chromosome:Lperr_V1.4:1:10710802:10712628:1 gene:LPERR01G13330 transcript:LPERR01G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALVHYYPLAGRLREVEGRKLVIDCNGDGVLFVEADADVQIAEIEAAAGGGHGLLMPPFPFVDQLIPDVQVSGSVVSGNVLSCPLVGIQVTRLLCGGFVVGHSFNHNVCDPMGVVHFMNAVADLAAGRRPAQPAVQPTWSRELLDARIPPSPAFQHREYDDTVTPPVRGDADDHVLRSFVFSSTDISALKEASSSLQPLATTTTFELLAAFLWRARTAALEIAPEEEVRLVTVVIFRRIATQLGLPSGYYGNTCVYPTVVMTAGALLGCTLGEVVRLVREAKAAVTAEYVRSTADHLVLRGRPCLCRKNHFVLTDVRRVGFDRVDFGWGEPAYGGPARAQPTVSLLVNVKGRNDAEDVVAIPISLPSTAMERFAVID >LPERR01G13340.1 pep chromosome:Lperr_V1.4:1:10721520:10724430:-1 gene:LPERR01G13340 transcript:LPERR01G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGRAKAVSRSEGKEMESLPVPSVQEMVAATGGTHVHPRYLRQAGEAIAADGKAEIPVIDFQRLQLGHDEEMACLHSACQEWGFFQLINHSVPEDVVEGMKANVRGFFELPAEIKKQFAQERGKLDGYGQLFVVSEDQKLDWADILFLNTQPVENRNIRFWPHQPADFRSTLDKYSSAVKSIVDFLLATMGKNLALDPEVIADKCGTGWIQAVRMNYYPPCAQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIRRNGVWFPVRPLEGAFIVNVGDILQIFTNGRYKSVEHRAVIDMKKERLSIAAFHSPSIHAIIGPLKEMLANEDEAVYKSIDHDEFMKLFFSSKLEGKSFLERMKKL >LPERR01G13350.1 pep chromosome:Lperr_V1.4:1:10728477:10730873:-1 gene:LPERR01G13350 transcript:LPERR01G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQPGDAPASATEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARALAGNLSSALASSRRCVRLLALADRANAHLQAGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDIDDFAAAAATADVADGAAAASFDLTPLYRAMHVHHTLELGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFSTGGGLTSRADVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDASEALLLRLLRSKVDEFMGQSDGVNWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLSHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEEFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREVS >LPERR01G13360.1 pep chromosome:Lperr_V1.4:1:10750534:10752518:1 gene:LPERR01G13360 transcript:LPERR01G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVQELESCFVAPSEETPRKALWLSPLDTVLVNKGHTPTVYFYRRDAAAGDFFDVGRLKEALAKALVAFYPLAGRLRSESGDHGRPDIHCNAEGALFVVARSELTVDAFSDLKPSPEFRRLFAPRLEPPTIVLGVQVTFLSCGGVALGTVLHHYAIDALSAFHFFQTWSAFCRDGDAAVVEPPYHDRTLLRARSPPVVHPDAHSVFSLTMNLCETSSPITTKFFDISKDQLTALKRMCGGMSTFSSVAALVWQCMCVARQLPLDAETRISFPVNVRRRMNPPLPDRYFGNALVMARTATTVKDVVSGTLAATAALIKGTLSRLDNEMLQSAIDYNEMVGMSNKPTMGNLPDTELRMVCWMGMSMYDADFGWGNPQLMSRAESVRGGFVYMMDGIDNEGGGVRVLMSIEAEKMGEFEQLFRANFA >LPERR01G13370.1 pep chromosome:Lperr_V1.4:1:10760062:10767334:-1 gene:LPERR01G13370 transcript:LPERR01G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLDDSGNKPALVRRLDAAIRKEEKAAVAAAAAMEADVGGADGVVIDGKGNGEEKRKRKRRGAAEDEGNIELDAAKLEGMGYRELQALAKSRGLAANGSKKEVIERLLCSPSNAGAVTDGGVQEKKKAAQGGDDNTEECKKEKIVTATRKGAAVMDQHIPDHIKMTYHVLQVGEEIYDATLNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKVHGPFSSREQAIYEFEGKFHDKTNNHWSDRKSFECYAKKYTWLEMDYGEADRETNKKVSPITDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNIISRADRRQLEQLTGEFYTVIPHDFGFKKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDNSTDQDDPLYARYKQLCCDFTPLEVDSEEYSMIKTYLANTHGKTHTGYTVDVLQIFKVSRHGEMERFQKFASAGNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASESCRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTAPNIAESKITDDGVVVPLGKPKAEPSKRGSLLYNEYIVYNIDQIRMRYVLHVSFNFKKR >LPERR01G13380.1 pep chromosome:Lperr_V1.4:1:10777424:10791246:-1 gene:LPERR01G13380 transcript:LPERR01G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQVRRLHAASNAGAAADGGKKKTAKGKKKIAKDGYDKVDKCKTKNIVTATKKGAAVLDKHIPDDIKKTYHVLQVDDEIYDATMNLTSVGGNNNKFYIIQALKSDAGGSYMVYSRWGRVGVRGYYKLQGPFLAPERAIFEFERKFHDKTGIHWSYRKRNQYCYANKYTWLEMDYGYNADKLPLGKLSRSTILKAYKPKSWFTHGLMLKEYLKSRGWGCYPDEAWPEGPVPPPLPVQLCLCGIPAMVKQSRKPRIAGRAYYVCRDKYDNECPCYFFPWIDGQDKYDPRIRLFPYDEKELKPYNEFRRWVPPPPNPARMTVEEKSEASCIRVKNPPLCHCGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMLEALSEIEIATKLLEDDSSDQDDPLYARYKQLCCDFTPLEADSDEYSMVSRLGEMERFQKFACAGNRMLLWHGSRLTNWTGILSQGLRIAPTEAPVTGYMFRKGVYFADVFTKSANYCHPSETCKSGVLLLCEVALGEMNELLNGDYNANNLPMGKLRSVSNAIILGSLLHNEYIVYNLDQIRMRYVLHVNFNFKRQ >LPERR01G13390.1 pep chromosome:Lperr_V1.4:1:10788834:10789452:1 gene:LPERR01G13390 transcript:LPERR01G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGSVLQAEALALMNKLGERSANCVTEVTDCWPLMVSVLWPLAQLVAGVKPWILVSGDLPGDSG >LPERR01G13400.1 pep chromosome:Lperr_V1.4:1:10793448:10797802:-1 gene:LPERR01G13400 transcript:LPERR01G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIVVVVRVRMDVVNGFVSKQSASMAANLLDQRQGGKTLPPIVIDNRHHRLATAAAPPYDGTAPPHAAAGARTGVLVVGRLPSRAAVLAAPVTAAIGRIGNVRTNVLVTLDLKSPNYA >LPERR01G13410.1 pep chromosome:Lperr_V1.4:1:10797892:10803489:-1 gene:LPERR01G13410 transcript:LPERR01G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGTVRENDFGMVLQINIPPDSQLESVAVGVENETTATPAPARGIGAPASDPHRRGGGAHFAGGRVGNAASAGMGAEEEEEPAVGREGGGGGGGDGGRDVEAEAEADGGDVDDGENAASPVVPCSICLDAVVAGGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIESGNWLYANGSRPSQDVNNDEWGHDEDFYDASQPETSRSVLLPFRFQWCPIGRLAQLPSVFERVSTTIHDFMGQSFSSEPLPVSAPVATPQGPYIAYFQPLQSSASSSTSHVTDRTIDGTTYHDHWNHLPGASDGRSLPTVHPIDFHHNHWTHLTNSYSQPNNNNGVAEQIAIPLVPMRVGGLDSDTQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRSGGVRPLAAPPGPAVQTIRDSMAFSLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEASWWGTSQQSHGGADPSAASPRRLFGQWIGAGRSPPPPPPAAENRSPENSLYRQMHIPRM >LPERR01G13410.2 pep chromosome:Lperr_V1.4:1:10797892:10803489:-1 gene:LPERR01G13410 transcript:LPERR01G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGTVRENDFGMYLLLLSSPFLNISGGRTNETDSQLESVAVGVENETTATPAPARGIGAPASDPHRRGGGAHFAGGRAAFSRPWEGSGRGGVGNAASAGMGAEEEEEPAVGREGGGGGGGDGGRDVEAEAEADGGDVDDGENAASPVVPCSICLDAVVAGGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIESGNWLYANGSRPSQDVNNDEWGHDEDFYDASQPETSRSVLLPFRFQWCPIGRLAQLPSVFERVSTTIHDFMGQSFSSEPLPVSAPVATPQGPYIAYFQPLQSSASSSTSHVTDRTIDGTTYHDHWNHLPGASDGRSLPTVHPIDFHHNHWTHLTNSYSQPNNNNGVAEQIAIPLVPMRVGGLDSDTQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRSGGVRPLAAPPGPAVQTIRDSMAFSLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEASWWGTSQQSHGGADPSAASPRRLFGQWIGAGRSPPPPPPAAENRSPENSLYRQMHIPRM >LPERR01G13410.3 pep chromosome:Lperr_V1.4:1:10797892:10803489:-1 gene:LPERR01G13410 transcript:LPERR01G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGTVRENDFGMYLLLLSSPFLNISGGRTNETDSQLESVAVGVENETTATPAPARGIGAPASDPHRRGGGAHFAGGRAAFSRPWEGSGRGGVGNAASAGMGAEEEEEPAVGREGGGGGGGDGGRDVEAEAEADGGDVDDGENAASPVVPCSICLDAVVAGGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIESGNWLYANGSRPSQDVNNDEWGHDEDFYDASQPETSRSVLLPFRFQWCPIGRLAQLPSVFDFSSEPLPVSAPVATPQGPYIAYFQPLQSSASSSTSHVTDRTIDGTTYHDHWNHLPGASDGRSLPTVHPIDFHHNHWTHLTNSYSQPNNNNGVAEQIAIPLVPMRVGGLDSDTQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRSGGVRPLAAPPGPAVQTIRDSMAFSLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEASWWGTSQQSHGGADPSAASPRRLFGQWIGAGRSPPPPPPAAENRSPENSLYRQMHIPRM >LPERR01G13420.1 pep chromosome:Lperr_V1.4:1:10817404:10817913:-1 gene:LPERR01G13420 transcript:LPERR01G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQVHAIFSDNKTDITHNEDKENLWTIRFAGILTAYGVGSAFALTSPTGITYHQITHLRFLATSATAEYEGLLAGLRHALDQGIEHLHIEADSEILDTSRSDQLLTGTKGTTYDKEIQLKCTQFQTCTYKRTLRRNSTMIKALARQAPLRCLLPSGMIRYSQTSPSV >LPERR01G13430.1 pep chromosome:Lperr_V1.4:1:10820904:10824858:1 gene:LPERR01G13430 transcript:LPERR01G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATAATGIVLTRLANLPSTKEDEKREDAVDLLNLKAELTSLHAALRELADVPPDQLDGHAKAWAGDARDLAYDVDDAIDNNAFAARAEGGIFKNLLERATDLSRTRPTAAVGDVIRRPVAGVDADPTEGKGSGGLDAARDDLIKKLCAGDGDGGEASEGRGLRVVAVVGSAGLGKTTLAKMVYDTLKPRFDCGAFVSVSVNPDMAVVFRRMLRQLDDGRNARVGCSEEPKDDEGQIVDQLREFLRDRRYLIVIDDIWEKPSWEMIKHVLVENYCGSRIITTTRNFSLADQVGIPYELKPLSLDNSKILFLQRVFGHDNKSCLDDEFSEVADKIIDKCDGVPIGILAVASLLADKIGNKKEWYKVLDSIGSTLENNPDVKNMRNAISLGYYCLPVNLRACLLYLSIFPENYEVRRDRLIWRWIAEGFIHGGNQGESLFEIGESYFNELVSRSMVQLLDIDYSADGIREEYCCRVNFSVMDLISSLSSEENFVTILNNEQQTCPSNKVCRLSIRGSKEAIDTANQATLNMFQVRSLSVFSPAIGSINNLSQFKVLHVLDLEGCDLSESQHVLNNQFGSFLHLRYLGLRDTRITEVQDIGKLQFLQTLDLADTRIEELPATVFRLGKLMSLNVQYRTKIPRGIGNVVSLEELSDISTHDSPDLVKELRNLTKLRVLKITLYQPTQSTEEALVESLQNLNKLQDLHIYTASGNGHKKLLDLLQDGTWTPPQRLRSFGAKGTYISCSPLRLLPLWINTSVVPHLTVLLIQVMELRQVDVDVLGELPVLETLLVEPYEMKESIVIGSGAFPCLKECRFRNSDLGPVIREGAMPRLRIIEFCFGVRQTKDLGNGFEFGLANLGCLQEATVYIKCHDATEPEAEEAEVAMRRAADTHPNHANFDMNTFGEELMRFDDDD >LPERR01G13440.1 pep chromosome:Lperr_V1.4:1:10828761:10829791:-1 gene:LPERR01G13440 transcript:LPERR01G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLILPPAICLHLKDAIIKIGMWGAMVGTLHEIVMPPTPTDLVSIKIKSIDTIDRLTFTYKDTTGTQTTMSWGGDLGDDHPEFKLNPNEYVNHVYGTVGPFATQGLCYTVNSITFVTNQGRKYGPWGTRGSSDAAFDLPLEKGRIVGFYVRADNFISGIGFYVRP >LPERR01G13450.1 pep chromosome:Lperr_V1.4:1:10856005:10856295:1 gene:LPERR01G13450 transcript:LPERR01G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGAVQTIDVTAAARGVYDFRFDGYSITIDVTDDDGFYQTEPFSVGGHNWAIRYYPTNYQDGWVCLYPTLLSKPEDDGPVHAAGQAPRAVSDR >LPERR01G13460.1 pep chromosome:Lperr_V1.4:1:10864621:10870575:-1 gene:LPERR01G13460 transcript:LPERR01G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASTSTSASHYDDMFDSYFNRSSEPAEPSPSSSAPSPPPPPVLFDKPVFDDDEPDAGNPFAAIPLFGDGGGDGGGGEGEDSLDGIGKGTKPDVGEPEVVGFDDDFIPEPGKSNSKASVGAVEQEQVAAGFVDDLIPEWFGGSTTPMKPAPQPKPKATGFEDDASHAIPGFGESTGHHDSPWEEPRTRQQKESISSEKTSVSMPEDHFVTLGATSNLGYSSFGLFTEHLDMPTKSDSTKVESTSTASEMFGNSEFFSGVPKPMSSFSFASDKENVFGKNLSFDGIHSVSNSIKVPQEKPVQQASAESNGNILPELHKREAPGSTSSNNLDPLSSNKRDQLPEENQYSQMSDDVWLTVSDVVLVTQPTSAPPPSRPPPPLATKQTTTEFRTSNVYPHDHNQGYNPFIGPSNFASSQPHDLDHEGIGLGQDSSTFAAGSMDWAELKHSKGVNQGNFEFMFRSSQYQDKGTNEKTEFYAYEVENRVEEDRLEHERKQREKEEEHRKLERDREEELEREREMMRREHEERKRREKEKEARYAVEKAMREARERAAAEAHIQAERDARQRAERAAVQKVAAEARERAAAEARERAAKVAAEAKERAAEEAKERAAEEARERVAKAAAEARDRAAAEAREKAASEARAKAERAAVEKATAEARRRAERAAVERATAEARQRAANEARKRAEAEAQARESRQKTAQPDYDSFFGMPSRSSSVPRSQTATMNPFDVQPQVGADFGAVKRTASGSASPFAQPPSANFMDDLSSIFGAPSSSGVFQELDGESEERRKARLERHQRTLERAAKALAEKNERDLHVQREQEERHRISEAIDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCVHPDKVQQRGANLQQKYIAEKVFDLLKNNGKIL >LPERR01G13470.1 pep chromosome:Lperr_V1.4:1:10872768:10884956:1 gene:LPERR01G13470 transcript:LPERR01G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSGAFPSDLSPPTNPRGRPRDTSVIVVVLETSEVYIVISLSTTRDTQVISVDPTTGYLHYLGKHGEDLFDSEAAALNYITDGSRILCKSTTYSKAVLGYAALGSYALLLVATQLSATVPSLPGGGCIYTVSESQWIKIQLQNPQAQGNGELKNIKELAELDIDGKYYFCETRDITRPFPSRMTLWEPDEEFVWNGWLAKPFMDIGLPGHCVVLLQGFAESRNFGGTGQQGGMVALIARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPQKDGKGQHIPFSTYIWRRGTIPIWWGAEIKNAVSVEAEIYIAADPFDGSLEYYQRLGRRYGNKSLGVNSTRQKKPKMVPIVCINLLRYGDGKPETVLVDSFKNSVEHLRSTKKLGQTWIQLINYDWHATVKLKGQQQTVEGLWKHLKAPTMAIGFSEGKYYSVKQQLKECEGSVIFNDDINGGFCMESTQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYAEHNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLVWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSIDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTHLPLLYDFEELEGELNFLNRVVALSFHPSATARTPITLGEIEVLGVSLPWVGILTDSRRGTEYIELLHEKLSSTPGNVGSKEFAKSSNSLLPRNGALGSERALSTGSSTSVLYSGSGNFVDFLTGDFDMPNQSDLNENTSSVNVEQTNLFDDDFDVNPFATASETPSAKINSKVEDFDSAHLYLKFFESLSGNVKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDISYLMKLSSLADYLALLGHTVLEDRVNASIGLEKINDHAIDFWNICESAELCTGGVCEVRVFSSSQDSATSENTSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEAIKHALYVDYVRVLRSLRRKGRTEQAALKAVNQVSRLEFSTISDYTQSVQYGQRHLKLILDGEESLAEFPYASFLQTVETADDSEPPLSLLAPFGIGEYKSYWKAPLDSTSVEFSIVLGGLSDVSGVAIIVGSCGYSTSDCPIVEIWAGNKIHREDRTFIGKWDVHDIILSSPHLCGHEKTSSMSEAPRHIKFHFPNSIRCRIVSIKMTLNHTTSHSTKFSEDFDLLSLNEGSFSEPAPTIPHNSFIHAKRIVIFGNTLRKEINPDTSVGIMKMKNYLDRSQPLGRFRIPVEAERLSDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKKFSLIQMEDRVVNPAILYIQVTIVKESGKLVIEEYRLPDVKVNTALYYDFQDLQQDVRCVIFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVDSKKGVSFMQKCHVYASGKRTPVPF >LPERR01G13470.2 pep chromosome:Lperr_V1.4:1:10872768:10884956:1 gene:LPERR01G13470 transcript:LPERR01G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSGAFPSDLSPPTNPRGRPRDTSVIVVVLETSEVYIVISLSTTRDTQVISVDPTTGYLHYLGKHGEDLFDSEAAALNYITDGSRILCKSTTYSKAVLGYAALGSYALLLVATQLSATVPSLPGGGCIYTVSESQWIKIQLQNPQAQGNGELKNIKELAELDIDGKYYFCETRDITRPFPSRMTLWEPDEEFVWNGWLAKPFMDIGLPGHCVVLLQGFAESRNFGGTGQQGGMVALIARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPQKDGKGQHIPFSTYIWRRGTIPIWWGAEIKNAVSVEAEIYIAADPFDGSLEYYQRLGRRYGNKSLGVNSTRQKKPKMVPIVCINLLRYGDGKPETVLVDSFKNSVEHLRSTKKLGQTWIQLINYDWHATVKLKGQQQTVEGLWKHLKAPTMAIGFSEGKYYSVKQQLKECEGSVIFNDDINGGFCMESTQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYAEHNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLVWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSIDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTHLPLLYDFEELEGELNFLNRVVALSFHPSATARTPITLGEGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDISYLMKLSSLADYLALLGHTVLEDRVNASIGLEKINDHAIDFWNICESAELCTGGVCEVRVFSSSQDSATSENTSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEAIKHALYVDYVRVLRSLRRKGRTEQAALKAVNQVSRLEFSTISDYTQSVQYGQRHLKLILDGEESLAEFPYASFLQTVETADDSEPPLSLLAPFGIGEYKSYWKAPLDSTSVEFSIVLGGLSDVSGVAIIVGSCGYSTSDCPIVEIWAGNKIHREDRTFIGKWDVHDIILSSPHLCGHEKTSSMSEAPRHIKFHFPNSIRCRIVSIKMTLNHTTSHSTKFSEDFDLLSLNEGSFSEPAPTIPHNSFIHAKRIVIFGNTLRKEINPDTSVGIMKMKNYLDRSQPLGRFRIPVEAERLSDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKKFSLIQMEDRVVNPAILYIQVTIVKESGKLVIEEYRLPDVKVNTALYYDFQDLQQDVRCVIFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVDSKKGVSFMQKCHVYASGKRTPVPF >LPERR01G13470.3 pep chromosome:Lperr_V1.4:1:10872768:10881307:1 gene:LPERR01G13470 transcript:LPERR01G13470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSGAFPSDLSPPTNPRGRPRDTSVIVVVLETSEVYIVISLSTTRDTQVISVDPTTGYLHYLGKHGEDLFDSEAAALNYITDGSRILCKSTTYSKAVLGYAALGSYALLLVATQLSATVPSLPGGGCIYTVSESQWIKIQLQNPQAQGNGELKNIKELAELDIDGKYYFCETRDITRPFPSRMTLWEPDEEFVWNGWLAKPFMDIGLPGHCVVLLQGFAESRNFGGTGQQGGMVALIARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPQKDGKGQHIPFSTYIWRRGTIPIWWGAEIKNAVSVEAEIYIAADPFDGSLEYYQRLGRRYGNKSLGVNSTRQKKPKMVPIVCINLLRYGDGKPETVLVDSFKNSVEHLRSTKKLGQTWIQLINYDWHATVKLKGQQQTVEGLWKHLKAPTMAIGFSEGKYYSVKQQLKECEGSVIFNDDINGGFCMESTQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYAEHNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLVWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSIDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTHLPLLYDFEELEGELNFLNRVVALSFHPSATARTPITLGEIEVLGVSLPWVGILTDSRRGTEYIELLHEKLSSTPGNVGSKEFAKSSNSLLPRNGALGSERALSTGSSTSVLYSGSGNFVDFLTGDFDMPNQSDLNENTSSVNVEQTNLFDDDFDVNPFATASETPSAKINSKVEDFDSAHLYLKFFESLSGNVKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDISYLMKLSSLADYLALLGHTVLEDRVNASIGLEKINDHAIDFWNICESAELCTGGVCEVRVFSSSQDSATSENTSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEAIKHALYVDYVRVLRSLRRKGRTEQAALKAVNQVSRLEFSTISDYTQSVQYGQRHLKLILDGEESLAEFPYASFLQTVETADDSEPPLSLLAPFGIGEYKSYWKAPLDSTSVEFSIVLGGLSDVSGVAIIVGSCGYSTSDCPISTVTPWAARKPSGGERT >LPERR01G13470.4 pep chromosome:Lperr_V1.4:1:10881207:10884956:1 gene:LPERR01G13470 transcript:LPERR01G13470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLSSFYSARCHSPQVSSRVASAGRREEMVAPRRRASDVLTTMGSISAPSWRTTYADEFSSEDRKQRRSWLPKRERVRCCGEQGDRWGAAEEGDLLREEGKWDVHDIILSSPHLCGHEKTSSMSEAPRHIKFHFPNSIRCRIVSIKMTLNHTTSHSTKFSEDFDLLSLNEGSFSEPAPTIPHNSFIHAKRIVIFGNTLRKEINPDTSVGIMKMKNYLDRSQPLGRFRIPVEAERLSDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKKFSLIQMEDRVVNPAILYIQVTIVKESGKLVIEEYRLPDVKVNTALYYDFQDLQQDVRCVIFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVDSKKGVSFMQKCHVYASGKRTPVPF >LPERR01G13480.1 pep chromosome:Lperr_V1.4:1:10899698:10901230:-1 gene:LPERR01G13480 transcript:LPERR01G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVSAIMGELASRTISFLIDKYSERVTTAATEEERLDNLQRLLLRVRIIVEDAEERHVTNKAMLNQLNILRKEMYRGYYTLDTFKCRAHDVKERDQAVSYSFVLSKFNHAKRVCFCSDSGERVKELVKVVVCLETIIRDANEFIKFLKTYPRLSRQPYSMYLLLDKCMFGRQMEMECVISFLLQEEITYGADHLGVLPIIGPGKVGKSTLVEHACIDERVRNHFSQIVFFSKDGLTAGNIATLKDGGVTKHQAHALDGSERRLVVVELDGEIDQVSWERFYLASKSCFAYGSKIIITSRSDKIASFGTTQPLKLQFLTQEAFWYLFRVRAFGSSDPAENPKLASLAMDMASEVSGCFTSVNMFNGLLRSNANTSFWSFVLATIRGFKQRNLIVYNNANPVDPWAVVAPLYIPRANKNLTPVAILNNYQRGCNEAHNYSGLITASNNISAAPQITVQDIMFGTATPTGQFEVLAWRSHIPPYYSCVFDCEIKRPAHMVSRKKKSKKIAI >LPERR01G13490.1 pep chromosome:Lperr_V1.4:1:10912085:10915936:-1 gene:LPERR01G13490 transcript:LPERR01G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGGSCPSSAPAGNPSVCLAGGMLRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVIVRGYGGYNTRWALFLLQQIFPLVGMPPPLATTVFFGANDAALLGRTSERQHDCSKSMVIMLITPPPVDEDGRERYARSLYGEEARKLPERTNEMAGVYAGQCIELAREMNIYCIDIWSKMQETAGWHKLYLSDGLHLTPEGNAVVHKEVVQTLRNAGLRAEDLSYDFPHHSRIDGNCPEKAFQ >LPERR01G13490.2 pep chromosome:Lperr_V1.4:1:10912085:10915936:-1 gene:LPERR01G13490 transcript:LPERR01G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGGSCPSSAPAGNPSVCLAGGMLRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVIVRGYGGYNTRWALFLLQQIFPLVGMPPPLATTVFFGANDAALLGRTSERQHDCSKSMVIMLITPPPVDEDGRERYARSLYGEEARKLPERTNEMAGVYAEGNAVVHKEVVQTLRNAGLRAEDLSYDFPHHSRIDGNCPEKAFQ >LPERR01G13500.1 pep chromosome:Lperr_V1.4:1:10918114:10923441:-1 gene:LPERR01G13500 transcript:LPERR01G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLSPIPSSFSPSSHLPSSSSSSASASAAAEPGPSSSSSLPHRHRRLPPPPPHARPIHGPQRVVRAFGLGSTRFHLNPLRFPPSSPSSPSPPPPWRSPGLEQYVELVNSVAHAPPPPPPTCSADAPTRVEVITVQDEEERKDDADEQDEEEEANRIVGRRVPLYKELYEASSRRRDAKLRTLDFEVRLAEKGRLGLEELADVLKQFAPKKEEVPGPFVPLTDEDEDNVDRALGGRNRRERLVIHEPSNIVITREILQCLNERDWLNDEVINLYLDLLKERELREPSKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYNLIDCDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRILARYVVDEVKDKSGQHIDTSSWKQEGVKNLALQENGWDCGMFMLKYIDFYSRDMDLIFGQDFHNCSNKTLVTPHAAVPLKMIKTSMGLVRKCTSCSGGEEEEEGSDDSGCRLALSQVRRLALRLPLNPPSPSRRT >LPERR01G13500.2 pep chromosome:Lperr_V1.4:1:10918114:10923441:-1 gene:LPERR01G13500 transcript:LPERR01G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLSPIPSSFSPSSHLPSSSSSSASASAAAEPGPSSSSSLPHRHRRLPPPPPHARPIHGPQRVVRAFGLGSTRFHLNPLRFPPSSPSSPSPPPPWRSPGLEQYVELVNSVAHAPPPPPPTCSADAPTRVEVITVQDEEERKDDADEQDEEEEANRIVGRRVPLYKELYEASSRRRDAKLRTLDFEVRLAEKGRLGLEELADVLKQFAPKKEEVPGPFVPLTDEDEDNVDRALGGRNRRERLVIHEPSNIVITREILQCLNERDWLNDEVINLYLDLLKERELREPSKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYNLIDCDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRILARYVVDEVKDKSGQHIDTSSWKQEGVKNLALQENGWDCGMFMLKYIDFYSRDMDLIFGQIKTSMGLVRKCTSCSGGEEEEEGSDDSGCRLALSQVRRLALRLPLNPPSPSRRT >LPERR01G13500.3 pep chromosome:Lperr_V1.4:1:10918114:10923441:-1 gene:LPERR01G13500 transcript:LPERR01G13500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLSPIPSSFSPSSHLPSSSSSSASASAAAEPGPSSSSSLPHRHRRLPPPPPHARPIHGPQRVVRAFGLGSTRFHLNPLRFPPSSPSSPSPPPPWRSPGLEQYVELVNSVAHAPPPPPPTCSADAPTRVEVITVQDEEERKDDADEQDEEEEANRIVGRRVPLYKELYEASSRRRDAKLRTLDFEVRLAEKGRLGLEELADVLKQFAPKKEEVPGPFVPLTDEDEDNVDRALGGRNRRERLVIHEPSNIVITREILQCLNERDWLNDEVINLYLDLLKERELREPSKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYNLIDCDKARYVVDEVKDKSGQHIDTSSWKQEGVKNLALQENGWDCGMFMLKYIDFYSRDMDLIFGQDFHNCSNKTLVTPHAAVPLKMIKTSMGLVRKCTSCSGGEEEEEGSDDSGCRLALSQVRRLALRLPLNPPSPSRRT >LPERR01G13500.4 pep chromosome:Lperr_V1.4:1:10918114:10923441:-1 gene:LPERR01G13500 transcript:LPERR01G13500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLSPIPSSFSPSSHLPSSSSSSASASAAAEPGPSSSSSLPHRHRRLPPPPPHARPIHGPQRVVRAFGLGSTRFHLNPLRFPPSSPSSPSPPPPWRSPGLEQYVELVNSVAHAPPPPPPTCSADAPTRVEVITVQDEEERKDDADEQDEEEEANRIVGRRVPLYKELYEASSRRRDAKLRTLDFEVRLAEKGRLGLEELADVLKQFAPKKEEVPGPFVPLTDEDEDNVDRALGGRNRRERLVIHEPSNIVITREILQCLNERDWLNDEVINLYLDLLKERELREPSKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYNLIDCDKARYVVDEVKDKSGQHIDTSSWKQEGVKNLALQENGWDCGMFMLKYIDFYSRDMDLIFGQIKTSMGLVRKCTSCSGGEEEEEGSDDSGCRLALSQVRRLALRLPLNPPSPSRRT >LPERR01G13510.1 pep chromosome:Lperr_V1.4:1:10924745:10938963:-1 gene:LPERR01G13510 transcript:LPERR01G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSSGSSPPWWLTERACGAVPNPDGAAGFADWVAFLFLSACSQRVLLSAVAALFLLAVLCIAAHKAVSRRRGRRGAGDDGEIDADKQPLLLRRPTPAQARVRVGAGYVAALAGSVALAAFYAVLLRGHIATLDLTDVPSLSPEHRPERMYDLFLSNWPSTWATKDNNPVRHALLRCFWPLFLLNASLAMLRVTVMYVGPTLIQSFVDFTSAGSRRPLWEGVRLVVALLAAKAAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALVGVVAVMMFVLAGARRNNRYQFSLMTERDKRMKATNEMLNYMRVIKFQAWEDHFNARIEAFRRGEFGWLTRFMYSISGNIIALWSAPVVISAIVFATSVFLGVHLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAAMPGTTAVRVSGGEFAWEEEEETAPGQQAVLRGIDIDIRAGSLAAVVGMVGSGKSSLLGCVLGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRSMHRERYREVIRVCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDSDVYLLDDVFSAVDAHTGSDIFKDCVRGALKDKTVLLVTHQVDFLHNADIIYVMKDGVITQSGKYDELLRAGTDFAALVAAHESSMELVESAAPTPAPANGELPISRQPSSSSPKASDAAATATSNGGGDGGIKTTTAKASSRLIKEEERASGHVSFTVYKQYMTEAWGWWGLLLVLAISVTWQASTMASDYWLAYQTSGDHFQPNLFIKVYAIITAVSVVIVTVRALLVAAIGLETANMFFKQILRTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRSYYLSTSRELTRLESITKAPVIHHFSETVQGVMVIRCFGKQENFYIENLSRLNASLTMDFHNNGANEWLGLRLELIGSLVLCVTALLMVTLPASIVQPEFVGLSLSYGLSLNSVMFWAIWLSCNIENKMVSVERIKQFTNIPSEAEWRIKETAPSANWPTKGNIDIIDLKFRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDNIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKEAVTSKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYANRSSDM >LPERR01G13520.1 pep chromosome:Lperr_V1.4:1:10952233:10955915:1 gene:LPERR01G13520 transcript:LPERR01G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKELREREWLPANGEKEAPLQKNLLHLGATGATTCLATTQLHQIVGPNCQIFFSPAPIHGWHHPLATPASSPSPPPAASATPSTAPTRQCIKFSLNSSDSQAFSVEKFQHFNIYC >LPERR01G13520.2 pep chromosome:Lperr_V1.4:1:10952160:10955210:1 gene:LPERR01G13520 transcript:LPERR01G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKWSSTKSWVPTVRSSSPQHQSMDGIILLQLRRARHRRLPRPPPRRLRRRQGEGLCRRREGRGRGERGPV >LPERR01G13520.3 pep chromosome:Lperr_V1.4:1:10952260:10957178:1 gene:LPERR01G13520 transcript:LPERR01G13520.3 gene_biotype:protein_coding transcript_biotype:protein_coding LHPPQRRSHPSAPRTHAPTPNPTPHLRSPSSVLAIAAGPLVADSSHLPLPSHRNSVACCLGRQRWTTLAPDPVAPSSVGGGGWSGDDRRGGSGRLRQVFIAGSPHHCHRRCSGGWHVAPTCRIWSAIEASGWGGLYPLLPPATRAPEADPS >LPERR01G13520.4 pep chromosome:Lperr_V1.4:1:10955923:10957178:1 gene:LPERR01G13520 transcript:LPERR01G13520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLLEFRALLGRKMLLLKDSNTEDSRSKAIIIGSTVWMFFDDASVRSLPRDPTLPAELHVGCLQSSSSQLNYH >LPERR01G13530.1 pep chromosome:Lperr_V1.4:1:10958819:10966554:-1 gene:LPERR01G13530 transcript:LPERR01G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAIRSMDVMVHSSTFLLPKLHQPGHTPAKNYALVVLNQNLPRFMPRLWTHAKLRICADGGANRIFDEMFQLTNEQDYERVRNRYIPEIIEGDMDSIRPEVKRFYSSQGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKGLGWNGP >LPERR01G13530.2 pep chromosome:Lperr_V1.4:1:10959439:10966554:-1 gene:LPERR01G13530 transcript:LPERR01G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAIRSMDVMVHSSTFLLPKLHQPGHTPAKNYALVVLNQNLPRFMPRLWTHAKLRICADGGANRIFDEMFQLTNEQDYERVRNRYIPEIIEGDMDSIRPEVKRFYSSQGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKVTVQSDADLLWTISLRNLT >LPERR01G13530.3 pep chromosome:Lperr_V1.4:1:10958819:10966554:-1 gene:LPERR01G13530 transcript:LPERR01G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLTNEQDYERVRNRYIPEIIEGDMDSIRPEVKRFYSSQGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKGLGWNGP >LPERR01G13530.4 pep chromosome:Lperr_V1.4:1:10962695:10966554:-1 gene:LPERR01G13530 transcript:LPERR01G13530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAIRSMDVMVHSSTFLLPKLHQPGHTPAKNYALVVLNQNLPRFMPRLWTHAKLRICADGGANRIFDEMFQLTNEQDYERVRNRYIPEIIEGDMDSIRPEVKRADDLASPLTLPLVAPGRCTTTTRFSTMFVFKHIYYAIELWVAIVTPLGHNVAACGPPPLTVAMLRGTLLSVAPLSVDFSPLHRHGASLCRPLGARLHRRSRIDTLVVRTDRHQPSSSTSPTSSIAAASYSSCRPLQAGGPATSTSATDIAFASLVLLLHFRLRPLHLVAYISSSLTTLRSSPATACCSSISSSLPFSRRDCLGGLLC >LPERR01G13530.5 pep chromosome:Lperr_V1.4:1:10959439:10966554:-1 gene:LPERR01G13530 transcript:LPERR01G13530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLTNEQDYERVRNRYIPEIIEGDMDSIRPEVKRFYSSQGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKVTVQSDADLLWTISLRNLT >LPERR01G13530.6 pep chromosome:Lperr_V1.4:1:10958819:10962683:-1 gene:LPERR01G13530 transcript:LPERR01G13530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSWSTTSPTRRPRVWHTWRDSSPSTVRLHRLFGVIFLNDCRDRITVFVYCASSHTPVLSVLSCAPDHSMAPLARLVAWLACSSSASTSATTTTATLRAATTTTTSSHPSLWLPRQWHKGLSSVVSSLADFFSSPSGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKGLGWNGP >LPERR01G13530.7 pep chromosome:Lperr_V1.4:1:10959439:10962683:-1 gene:LPERR01G13530 transcript:LPERR01G13530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSWSTTSPTRRPRVWHTWRDSSPSTVRLHRLFGVIFLNDCRDRITVFVYCASSHTPVLSVLSCAPDHSMAPLARLVAWLACSSSASTSATTTTATLRAATTTTTSSHPSLWLPRQWHKGLSSVVSSLADFFSSPSGSQISDKSHNQETTDLHKCISRIHRSTSDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHDIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKVTVQSDADLLWTISLRNLT >LPERR01G13540.1 pep chromosome:Lperr_V1.4:1:10992860:10994272:-1 gene:LPERR01G13540 transcript:LPERR01G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPILSPNLIVVVVLSFLSIISSLCLALTSHEAADIARRQLLSFEQHGNHVHIDINIEIRVTNPRLLAAHRALQAIKRALHSDPSNFTANWSGPDVCAYNGVLCAPSPDNASASAVASLDMNGADVAGYLPKEIGLLSDLAVLHLNSNRFCGVIPDEITQMKRLYELDVSNNRLVGAFPVAVLRVPGLVYLDLRFNGFEGPIPPELFLKPNLDAIFLNNNRFTDGIPDTIGESAASVIVLANNDLGGCVPASIGRAAATLDEILLINNSLAGCLPVESGLLGNVTVFDVSRNLIAGSVPDTMGGLAKVERLDLSYNLFTGIVPRDVCVLPALANLSVSHNFFVGEDNECSGGGRIDKVFEDEGNCMGNARPLQRSGGECAPVVSRPMNCSNTKPCGWPAPAPEKKLAPKRAEHSPPPPAMVMSPPPPAAISPPPPVVSPPPPIYETVVLPPIFATKYQSPPPPLFAGY >LPERR01G13550.1 pep chromosome:Lperr_V1.4:1:10995055:10995333:1 gene:LPERR01G13550 transcript:LPERR01G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDLCSALSLLAGCTPAFLTVVALSLAGSAKLFAATVQTVQGRPLNLQWPRRLTQPPSMQRWRPSTPSTLVTPRYWPRLHRHHLAAPPSS >LPERR01G13560.1 pep chromosome:Lperr_V1.4:1:10997133:11003804:1 gene:LPERR01G13560 transcript:LPERR01G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLSLVRNLAANTSSKFDKVAVLKPHWRPSGSIAGTNSCSSDIRDFDKFAPLLQGCADVRLLKKIHGRVFTHGLCRDVILGSKILSCYAYLGALPESRLVFQKIVRNDLSLWNSAMVDYFRAGYPEEVIILYNRLKLHQIGFDGKTITFVLKSCTELNNLYLGKGIHVDSLKLGLSGDKFVGSSLIGLYSKLGRVHDSHRVFEEIIDKDIVAYTSMITGYSEIVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALKEGKSLHCYSIRRAIGALDDILETSIVNLYTRCGDYQSAAAVLQNSKGTAASWNAMLSGLVRAGQSFNAIQYLSVMLHEHKVTPDLVTFANVLSACAELCYFGYAASIHAYFIRRFIPLDIVLSTALIEVYSKCKRLMRSRHLFDQLIIKDAVSYNAMMYGYLQNGMANEATSLLNYMMAEGIAPDCATVLSLIAAFADQRDLVRGRWIHGFAIRHGFCSNVDVENQILYMYSVCGKIAAARAIFDLLEKKNLVSWTAMMKGCLSNGHGEEVVQLFHVMQKYGERPDSVSLITAVQAVSDLGHLNGLKQIHCFVYHSLLEKDKITANSLISAYAKCGKLDMSVRLFFSLEYRNLDTWNAMINAYAMHGFYINVLEMFKQMEEENIQPDELTFSNVLTACSHAGLVMDGWRIFNSMTSVYSVVPQEEHYGCMVDLLGRAGHLEDGYRFIKLSTLKDKSSIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGKWSEFANTRARVNLSGLRKHPGSSLIESMEQGMS >LPERR01G13570.1 pep chromosome:Lperr_V1.4:1:11007082:11007417:-1 gene:LPERR01G13570 transcript:LPERR01G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALTLVALLLALLLAGGASAGDVPVSWELGVVGGGEEDAFGFSGGEDGASAAAVVRRVLQQNSYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >LPERR01G13580.1 pep chromosome:Lperr_V1.4:1:11013384:11013743:-1 gene:LPERR01G13580 transcript:LPERR01G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATPAFVAASALLLLLALSAANAGDVAPLSSWELGVVVGGENAFGFAGEEAAAAEGSTAAVVRRVLQGQNSYISYGALRRDTTPCSVRGASYYNCQPGAEANPYSRGCSAITQCRG >LPERR01G13590.1 pep chromosome:Lperr_V1.4:1:11020215:11030213:-1 gene:LPERR01G13590 transcript:LPERR01G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALRPHLSLLSGGAGASSALQALAFVSPILPHYRRRRVVRSSASSSPSPSPPPSPEKEAEVEVGAIPTAESCVNLGLQLFSKGRVRDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYDLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYIALTAAAGISTLFTIPRLILAVQGGDGAPDLLETAGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKDQSKPRGFGSSRSAASVPSVGDDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLLSKLEREHKTQAD >LPERR01G13590.2 pep chromosome:Lperr_V1.4:1:11025486:11030213:-1 gene:LPERR01G13590 transcript:LPERR01G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALRPHLSLLSGGAGASSALQALAFVSPILPHYRRRRVVRSSASSSPSPSPPPSPEKEAEVEVGAIPTAESCVNLGLQLFSKGRVRDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYDLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYIALTAAAGISTLFTIPRLILAVQGGDGAPDLLETAGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKDQSKPRGFGSSRSAASVPSVGDDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLLSKLER >LPERR01G13600.1 pep chromosome:Lperr_V1.4:1:11030501:11032485:1 gene:LPERR01G13600 transcript:LPERR01G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDTAAQAAANA >LPERR01G13600.2 pep chromosome:Lperr_V1.4:1:11030501:11032793:1 gene:LPERR01G13600 transcript:LPERR01G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDTAAQAAANA >LPERR01G13610.1 pep chromosome:Lperr_V1.4:1:11038071:11041604:-1 gene:LPERR01G13610 transcript:LPERR01G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKASSYLLEQYKVMEGMEEQHKILKLKLPAILDVISDAEKQASEQREGAKAWLEELKAVAYEANEVFDEFKYEALRREGKKKGHYTKLGFDAVKLFPTHNRIIFRYKMGKKLCRIVQNIEVLVIEMNAFRFRFHPKPLVSKQWRLTDSDIIDPKNISSTSRRQDKQNIVRILLDQDNKTDLLVLSIVGIGGLGKTTLAQLVYNDSEIQKHFQLLIWVCVSEPFDVDSIAANIVKQADRHKSVQETNDLATKDKPLQKLQNLVSGQRFLLVLDDVWNRDSDKWEKLKAYLQHGSIGSAVLTTTRDEKVAQLMQTVSAYNLTALENKFIRDIIDNGAFSLRKDKKTDELVEMIEKFVTKCVGSPLAAKAVGSVLRTKTSVEEWQDILSRSSICNEETGILPILKLSYDDLPSHMKQCFAFFAIFPKDYEIDIDKLIQLWMANGFILEGKVNLLEIHGNHIFNELASRSFFQDMKQAQFGEYGSKHGHCSRRLCKMHDLMHDVALSVMGNECAAVTEDPIQRESIQSTTRHLLLPYKGPETILNGYLKDSSPAIQILLCDEYIYSPLENLAKYNSVRALKIQQGRFSFPLKAKHLLHLRYLDFSKSNIIALPEEISILYHLQTLNLSDCWFLCQLPKQMNYMAALRHLYTHGCRSLKHMPPDFGRLTSLQTLTFFVAGTGSNCSDVGELQHLDVSGRLELHQLQNVRVPDAIKCRLIMKTKMTELSLVWKEDQSCNETQDCHDKAMEALQPHDELLVLKVDSYKSTTFLPWIGMLKFLVEIDLYDCTTYQNIPQFWQLHDLRVLRLAKLHRLEYLYSVGPNNVISSTFTKLKELELKYLWSFNRWWEVNESQEQLVFPQLEKLVIEGCGELTALPTYDSIMSQSAMPDLKELNLYYLNKFERWQEGEGTHGQPPTFPNLDSIRIVGCPTLTSLPEAPKLSELDIYSQQMVHCIPRYIPLLSTLRLKGEGAETTPPAEHNLVEWVDGTDNRNREYPMAAMELAGFKGFFHSGAQALWERFAQLKDLNISGCDALIHWPEKEFQSLLSLNTLQIRRCNKLKGYAQTPEESTSGRGQLLPRLESLAIYYCESLVEVFNIPPSLKVVMIIHECPKLESIFGQEARKSGLAEGPCGDSSTPSVAMSEPSSSARDHFFPCESLESHSGELPRWYISRFRAAKS >LPERR01G13620.1 pep chromosome:Lperr_V1.4:1:11080146:11085037:-1 gene:LPERR01G13620 transcript:LPERR01G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADKEKRKRDEEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEELIKSITFRNSKDYIKRIEDDEDDDEDLVIPGTSSTSKMNGSSVSVVNPTDALTKSSGSENGNKEGNKSGPSKMPKFILKPKSTTTGSKRQKMETVAAPDNGKAPVLEEKSELAQNNVLQSLCQNYDSDESE >LPERR01G13620.2 pep chromosome:Lperr_V1.4:1:11080148:11084147:-1 gene:LPERR01G13620 transcript:LPERR01G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADKEKRKRDEEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEELIKSITFRNSKDYIKRIEDDEDDDEDLVIPGTSSTSKMNGSSVSVVNPTDALTKSSGSENGNKEGNKSGPSKMPKFILKPKSTTTGSKRQKMETVAAPDNGKAPVLEEKSELAQNNVLQSLCQNYDSDESE >LPERR01G13630.1 pep chromosome:Lperr_V1.4:1:11095283:11097403:-1 gene:LPERR01G13630 transcript:LPERR01G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMAALRHLYTHGCIRLRHMPPDFGKLTAGTVSNCSDVGELQHLEIAGQLELCQLQNVREPDAIKCRLENKTKITKLSLVWKKDQSSEETPDCGHNKVMEALRPHDKLLILKVDSYKSTTFPPWMGMLKLLVEIDLNNCTMCQNIPEFWQLQDLRVLRLVELHRLQYLCGIGPNNVISSTFPKLKELELKNLPSFNRWWEVNESQEQLVFPHLEKLVIEGCGELTALPTYDSIMSQYAMPDLKELELRNLGKFERWQAGDGAHGKPPTFPNIESILIAGCPNLTSLPEAPRASFRCSLLTYNTANREHHSVPQAPKLSVLDVDIYSQQILLCIPRYISLLSTLRLKVKGAETIPPAEHGLVEWVDDTVNPNHEYPMAAMELVGFNGFFHSGAQALWARFAQLKDLNIGGCDALIHWPEKEFQSLQSLKTLKIGVCFKLKGCTQTPEQSTSGRGQLLPRLELLVIYSCESLLEVFNVPPSLKKMQIPDCPRLESIFGQETRKSALVEGPCGDSSTPSVAMSEPSSSAKDHFLPSERLESHSGELPSLVQLEIFGCKSLTSLPNSPQAYSSLQSLHVWACPAMKTLPTCLQQRLGSLE >LPERR01G13640.1 pep chromosome:Lperr_V1.4:1:11097426:11101095:-1 gene:LPERR01G13640 transcript:LPERR01G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSAYNLTTLENSFIKEIIETRAFSFRKDKKPDELVEMIDKFVKRCVGSPLAAKALGSVLRTKIRVEECHDILNISSICNEETKILPILKLSYDDLPSHMKHCFAFCAMFPKDYDIDVDKLIQLWMANGFIPEEKANLLEIHGNHIFNELASRSFFQDMKQAPFDEYGSKHGSVRALQIFQESGSFLLKPKYLHHLRYLDLSNSDIKELPEEISIMYNLQTLNLSHC >LPERR01G13660.1 pep chromosome:Lperr_V1.4:1:11116091:11116563:-1 gene:LPERR01G13660 transcript:LPERR01G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGPLVRMVSEKASSYLLEKYKVMEGMEEQHKILKRKLLANLDVIFDAEKQASEHREGAKPWLEELKAVAYEANEVFDEFKYEALRREAKKNGHYTKLGFDAIPSSACAFHATEAN >LPERR01G13670.1 pep chromosome:Lperr_V1.4:1:11120783:11124382:-1 gene:LPERR01G13670 transcript:LPERR01G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIAMVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIVDAEEQGTHRPGVSAWLKALKSVAYKANDIFDEFKYEALRREANRRGYYGNLSINLVLANNPLVFRYRMSKKLRKIVRSIEVLVADMNAFGFKYRPQMPTSKQWRQTDSIIIDSENIVSREEEKQHIVNLLLANGTNRNAMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRRWVCVLDDFDITSIANKISMSTEKECENALEKLQQEVRGKRYLLILDDVWNHDADKWAKLKYCLQQYGGVGSAILMTTRDQSVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEKKPDELVQIGKEIMGRCHGSPLAAKALGSVLSMRKDVEEWRSVLTKSSICDDENGILPILKLSYDDLPSHMKQCFAFCAIFPKNYEIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVRTVPLHKDETGHSYRTICSIHDLMHDVAVSVIGKECFTIADGHNYIEFLPKTVRHLFLCSDRPETISDVALKQRCQGMQTLLCSMNTSNSSLRHLSKCRSLRALRLYYHNLSGLHIRAKHLMHLRFLDLSGNCHIKSLPEEICILYNLQTLNLSGCSSLGHLPKDIKSMIGLRHLYTDGCMSLKCMPPNLGHLTSLQTLTYFVVGSNSGCSSIGELRRLKLRGQLQLCHLENVTEADVSMTNNGERKDLTHLSFGWKDNRNEVIDLHEKVLDAFTPNSRLKILSVDSYRSSGFPTWVTNPTMMQDLIKLRLVNCTKCKSLPQLWQLPSLEVLHLEGLQSLQNLCSGVDNSTSLAFPKLRELMFIDLNNLNGWWEVKGRYGQQLLFPLLENLSIDGCSMLENFPEAIIFGESSHFLDYKGSSSFPTLKKLKLHNLKSLKAWGTQERYQPIFPQLENVNIMECPELTTLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAPSSSQVQCLIQQVSGTEEWSHKTSNATMELRGCYFFYMVWDCFVNLQNLVIKCCDDLIYWPIKQLRCLESLKRFTIYSCNNLTKSVDVLEEVPLANNELLPCLEYLEIKDCPKLVEVLILPPSLREIYIERCSKLEFIWGKKNTESKSWYAENQDDLRSESYSNLLTSADAHEQSPMETNNHLPCMESLTVMSCQSLIVLLDFTLYLKEIHIGVALRLGLYGESKI >LPERR01G13680.1 pep chromosome:Lperr_V1.4:1:11143427:11143862:-1 gene:LPERR01G13680 transcript:LPERR01G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAP >LPERR01G13690.1 pep chromosome:Lperr_V1.4:1:11160316:11161805:-1 gene:LPERR01G13690 transcript:LPERR01G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEAGGIRVLRTTRVAPAPPAGKPELPERALPLLFLDAMWLRALPVERVFFYRLGPDDDDVDAVLSRLVESLPRALHAFYPLAGRVHLTPGVTNRYELHYQPGDGVALTVAELDGVEGVDELATDEPRELAKITRLVPEIPKGGAVVALQATVLPPLRRGLAIGVAVHHSACDGVGSTHFLHTWAAACAGDWPKPPEPPVIDRTLIRDRKDMHDSFVSPDNEAKVLLTSPDVGKLVASFTLSRAHLQSVKDAVAAETARRGVPPFRCTSTDATYGLIWLCFQRAGAESVAAEKDDGRVAHGVFAVDHRSHLEPRVPDKYFGNCIGPAFPAAPKKDLTAGTIADGVFTACAAVAAAVDEAVRAEPLYWERWGERIVEACVEDDMAFSVAGSPRFRVYDVDFGFGWPAKVEVVSVARTGAMSVPECRGLLSGVLNH >LPERR01G13700.1 pep chromosome:Lperr_V1.4:1:11163309:11164068:-1 gene:LPERR01G13700 transcript:LPERR01G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRPHDKLLVLKVYSYKSTTFPPWMGMLKLLVEIDLNNCAMCQNIPQLWQPQDLRHWSNSAISSTFPKLKELKLEHMYGFKRWWEINERQEQLVFPQLEKLVILECPQLTALPTGYNILSQSALPGLKELQLYSLNNFERWQAGEGKHGKPPNFPNVAVDMGACRCRRGREGRATPVASPVAIVVTGSLAGRRCRRRLPSPSPVLSPIWICIWGFLWRERKWGKVERKGKVRERK >LPERR01G13710.1 pep chromosome:Lperr_V1.4:1:11164163:11175078:-1 gene:LPERR01G13710 transcript:LPERR01G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFMNYFIASLHYKTRRKELITVTHSSPMTCRAISSHRDGAKAWLVAPRKVAYETNDIFDEFKYEALWRETKKNGHYKKLGMDVVKLLPTHNCIVFRYRMGNKLHRIVQFIEVLVAGMNAPKQWRQTDSIIDYSEKDIIERSREAEKQKIVRSLLKSSDIMVLPIVGMGVLGKTTFAKLIYNEPKIQENFKLKRWGGASNTILTTTRLTEVAQIMGTIGSHNLTALDKIFLMEIIERRAFYLLKEKPSKLADMVGETVNRCVGSPLAARAKSSVLSNKTTLEEWNALLSTRTIYDDASEILSILQLSYHDLTSQMKEWFALCVVFPKDYEIDVEMLVKLWMENDFIPSEKGIFLEKTGNKIFNELARRSFIQDVEETLFTKYERKYRKTCKIHDLMHDIALHVIGEECVTIILITYFPHIAERTLPLQTLLFDSRKKVNLTHLSFKWSGGITKDPEHYQNVLSTLRSHAMLQLLKLWSCKGANFPMWMTDNGTLRHLTELNLIDCPLCMEIPEFWQLRDLEVLCLIGLDNLKCLCSGDSNIWVSSAFDNLKELKLQDLKNVNRSQMKEHELTFPMLEDIHVNNCTQLTLLPKAPKLKILKLKENKPHLSHAILRSRYMSSLSQIKLLMCDDGEILSPINEAEASVTKLKLFGCNMLVTSSRNNTGLGNKSRKTGIKSCVVLDCWPLREFHSLESLLKSCNNLKDKPVDEEPAQGQLLPHFTTLEIDDCRELIELFNLPHPSSLLPSLTALSWSLYGVNRRILCTCRPTLHIENKHSKTHHHQQQGSILYTREAEHLDWKTRLRIIMGVAYCLEHMILLDPPPLLPTNLSSSSIYLTEDNAAKITDIEFWKDYNKQDASASSQEIKISSGIDGQESELVYKFDILLLEVISGRRPFPEDDRLMVLWASSYLDSKRPLSGMADQTLVRSSSASRTPRAPPRRARPPQISHSGRRPNILTSMGVGPRVSMLKEKASSYLLEQYKVMEGMEEQHKILKRKLPAILDVISDAEKQASKQREGAKAWLEELKIVAYEANEVFDEFKYEALRCKAKKKGHYTKLGFHVVKLFPTHNRVMFRYRMGNKLSRVVQNIEVLVTEMNAFGFKFHPQPLVSKQWRQTDSDIFDPMSIASRSRVQDTQKIVNILLSQATNIDLTGGLGKTTLAQLVYNDNEIQKSFQLFLWKLIGTRDRLKKNGRNHIDDKEIKDKPLQKLQNLLHRQRYLLVLDDVWNRDAEKWEKLKACLQHGSIGSAVLATTRDEQVAQLMQTVSTYNLTALENSFIREIIDQEAFSLRKDNKPNELVKMIDKFVKRCVGSPLAAKALGFVLRTKIGVEDICNEETGILPILKLSYDDLPSHMKQCFAFCAMFPKDYEIDIDKLIQLWMANGFILEENVDLLEIHGNHIFNELTSRSFFQDMKQAQFDEYVSKHGHCSRRLCKIHDLMHDVALSVMGNECAAVTEDPTQRESIRSTTRHLLFPNKEPEIKLNVYLKDSSPDIQTLLCGESIDSPLENLAKYNSVRALKINQGRFSFPLKPKHLLHLRYLDLTKSYIRALPEEISILYHLQTLNLSDCRYLCRLPKQMKYMATLHHLYTHGCHNLKHMPPDFGRLTSLQTLTCFVAGTGSNCSDVGELQHLDISGRLELHQLQNVTESVQ >LPERR01G13720.1 pep chromosome:Lperr_V1.4:1:11181927:11186072:-1 gene:LPERR01G13720 transcript:LPERR01G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEAGTVASMDQSNSTRSHDDASSLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQDVRGVDEGGSGHGLPLVSPSSKSGRLTSKFRQVTNGLKMKSSSSKKAPPTKQVGMRVRKRLDRTKSGAAVALKGLQFVNAKVGSDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVHVFDSLARKRGIVKEVVTKEELKDFFEQLSDQGFDTRLRTFFDMVDKNADGRITAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLAPSKEMSPIRYYWQQFMYFLEENWKRSWVMALWLSICIGLFTWKFIQYRHRAVFQIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGETRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGICLYLSRKWYKKTTWMYLAVPVVLYVSERIVRLFRSHDAVQIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCSAVSPYEWYWSYTFSGQKLHYFKIKHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITDEHARFPRVLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQDGGSVGGTMPEGSSSKGKKKPRPFMTKRAYFYWVTREEGSFEWFKGVMNEVAEKDTGGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFDFHKENF >LPERR01G13730.1 pep chromosome:Lperr_V1.4:1:11212300:11217791:-1 gene:LPERR01G13730 transcript:LPERR01G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAGFTAARVGTAGFTAAGLGPAGSSGCSSGTTMTDGDILVRGCTWHGDGGQSSHDWSEEEEKRKRKFSPQPPPPLYRRLLLRRRWLALLSFSLWSPLIKAIHITSDPIGRSNKMRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEVFGNDVLLETGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEETQIERLMSRDGCSEEQARDRIDAQLALDWKKSEADIVINNSGTLDETKEQFQEVLRKVSGPLTWKERLRSRDGLFSIVACTAVGLLLAQKNLL >LPERR01G13740.1 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSTHNNSFPRDTLQNFMPAIYQEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVTYSQIYSPTVNISGVYSGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMVQTCNNFLTIASMLPLDRTLPQEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.2 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSTHNNSFPRDTLQNFMPAIYQEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVQAEVLLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMVQTCNNFLTIASMLPLDRTLPQEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.3 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSTHNNSFPRDTLQNFMPAIYQEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVTYSQIYSPTVNISGVYSGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.4 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSTHNNSFPRDTLQNFMPAIYQEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVQAEVLLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.5 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVQAEVLLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.6 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLVTLLRWQWLALTTIPFLEILCKEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAVQAEVLLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.7 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSTHNNSFPRDTLQNFMPAIYQEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.8 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLVTLLRWQWLALTTIPFLEILCKEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13740.9 pep chromosome:Lperr_V1.4:1:11220040:11238872:-1 gene:LPERR01G13740 transcript:LPERR01G13740.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDWARLGQIVFSDPEKRQSSGPAYFIWDILGDNKTMDKRLQVINNSGTKDETEEQFQQVLRKVSEPLTWKERLRSRDEAAAVDRRGSRRRRRAKKVDEVGLDPLYDDGFGEVTVTDYLEAVRAMFPLGAGAGAGPPRWFCPVDCGRPAIDGAPPLLFLPGIDGVGMELIMHHKSLAKVFEVRCLHIPVSDRTPYEGLLQILEEYVKYEHSLSPNRPIYITGDSFGGCLALSLAARNPEIDLVTLLRWQWLALTTIPFLEILCKEFGNVIQMDTLVWKLKLIKSGADYTNSHLDAEDSFNLLTVIKGASMYRQGKQRDAVADFLPPTLSEFKRTFDEDFKLVHRLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEVTSMVEEFLREKKAVLRALAHPVFFVGNYETLRQELSLFDVAPMYGGVQVNPISTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTIIPFGCVGEDDMLEILLDYNDIKNVPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPVVVPKVPGRLYYLFGKPIEMKGNDNIHRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARILITQLVIFPIFSHEKNLQQPNSPAAQRPSRGCHPRLAVCGDACVSARSASRHCQVGHTPAVYSRARKLLRLAVVVLAGSPLGRASAVLAPRRFGRRAGYSATDVSRRCHLVLSPVHQQ >LPERR01G13750.1 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPVDCGAPAVDGAPLLLFLPGIDGVGMELNMQHKSLGRVFEVRCFHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYITGDSFVSAHRSPPLFHMSIALHAVLPPAAAPCLRRRRSLLRASIAGSPAASREKGKRGRRRRRTTKKVDELGLDPLYDDGFGEITVRDYFEAVRAMPLDGGGPPRWFCPVDCGEPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.2 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPVDCGAPAVDGAPLLLFLPGIDGVGMELNMQHKSLGRVFEVRCFHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYITGDSFVSAHRSPPLFHMSIALHAVLPPAAAPCLRRRRSLLRASIAGSPAASREKGKRGRRRRRTTKKVDELGLDPLYDDGFGEITVRDYFEAVRAMPLDGGGPPRWFCPVDCGEPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.3 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPVDCGAPAVDGAPLLLFLPGIDGVGMELNMQHKSLGRVFEVRCFHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYITGDSFVSAHRSPPLFHMSIALHAVLPPAAAPCLRRRRSLLRASIAGSPAASREKGKRGRRRRRTTKKVDELGLDPLYDDGFGEITVRDYFEAVRAMPLDGGGPPRWFCPVDCGEPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILVNPGSNIICENSVAGNIASFGNGAKQPSSDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.4 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.5 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPVDCGAPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.6 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPVDCGAPAVDGAPLLLFLPGIDGVGMELNMQHKSLGRVFEVRCFHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYITGDSFATSFAKTPLQAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASGNDNLPPSGEAERLFKTLKSCKVRYFRTSSDRLLMEGSFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13750.7 pep chromosome:Lperr_V1.4:1:11241975:11260249:-1 gene:LPERR01G13750 transcript:LPERR01G13750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIVLWRPRRRHDLFERISCHHFKHDSWRLQARYKGLETMYDDGYQKAKDLDYYYKSLGELVEHDSGPPRMFCPVDAGKPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFRDRTPFEELVTMVEDVVRAEYATSPNKPIYLLGSSFGGCIALAVAARNPCIDLILVLANPATSFQKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGTHPLEALNRLTNNISSFLKHSNILDKIPEDTLEWKLKLIEQAASYANCRLESVSAEVLLLISCADRLLPSKSEAERLQRKLPKCKIYFFENHGHSLLLEYGVHVSSIIKSTGLYRHSRRHHRIFDYIPPSVTELKEVDKASSDLRLRTSPAMFSTLEDGTVVRGLAGVPEEGPVLLVGNHMLLGIELISLAAEFLRRKRLVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHTLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFDDIKNAPFGKELMQAYSNHLKLRDVDHEVFFPGVYLKIPGRFYYRFGKPIATRRRQEVITDKKAAGELYLHVKSEVQAMIAYLLEKREEDKFRSILPRMLYQFGWGPVSTPPIHTVNVISNQRASPPLFHMSMSIALHTILPPAAAPCLRRRHLRRRRLLRASPTGSPAAAASGEKGKEATADRKRGSRRRMTKKVDEVGLDPLYDDGFGEITIRDYFQAVRAMPLDGGGPPRWFCPPAVDGAPLLLFLPGIDGVGMELIMQHKSLGRVFEVRCLHIPVNDRTPYEGLLQILEESVKREHDLSPNRPIYIIGDSFGGCLALSLAARNPEINLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQSNSSPQEILQSFSYNLASMLPLLSEFGNTIQMDTLVWKLKLLKSGAEYTNSHLHAVQGEVLLLASFNLLTVIKGASMYRMGKQRDTVTDFLPPTLSEFKRTYGEDFKPLHDLLSPVILSTLSNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVYFVGNYEILRQEISFFDIVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKGEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDILEIILDYNDIKNIPCIRESIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGIDKDRESANQLYLDMKLEVENIMSYLKRKRQQDPYRSITARTLYQATWGASAQIPTFEP >LPERR01G13760.1 pep chromosome:Lperr_V1.4:1:11264572:11269503:1 gene:LPERR01G13760 transcript:LPERR01G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRANLSIFFRVFGVLARASTQGSRFGDALFGVVAINPHLWSLLSPADAMLRGFPQARRLLRRMGFEKEDAYFWKQMGKGMLCTYALFGVAWLWNETSPLGWWTLKPRPKEEREMAHLYERREFPYPGDEEAIEEFIKSGGTLGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWFRMRNEVIQELQEKGFDVE >LPERR01G13770.1 pep chromosome:Lperr_V1.4:1:11268047:11274371:-1 gene:LPERR01G13770 transcript:LPERR01G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTLPAVALCGGGALRGSRRRWRHAASVPVRASSVETAAVSVNAAEAKQQKVEKEKRGDEAAVVEVEEEGAGLEALYDDGFGGVTVKDYFAAARAVSNDDGAPPRWFCPVDAGKPAVDNAPLLLFLPGTDGVGMGLILHHKSLGRVFEVRCLHIPVNDRTSFEGLLQIVESSIRYEHAMSPNQPQHHLQRLRCSQYFLYWKQCQVNFMLQFHISSVLSWVYLFLTCDPLKMAMVSVENNLSPPETLQKLSESLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYTNSRLHAVQAEVLLLASGNDNLLPSGDEADRLFKSLKKCRVRYFKDNGHTLLLEDGVNLLSVIKGANMYRRGRQRDPVTDYIPPTLSEFRKTFDEDHKLFHLALNPVMLSTLKNGKIVRGLAGIPDQGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGMAHPVLFEGKYEGSRQELSRFDTVSMYGGLPVTPINMYRLFQRNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVVDYNEQKNIPYLREWIESINREAQRVRDSVKGEDGNQDIHIPALLPKVPGRFYYLFGKPIELKGMDNVVRDRRSANEVYVHIKSEVENLISYLKRKREEDPYRSIAQRAAYQASWGISAEVPTFEP >LPERR01G13780.1 pep chromosome:Lperr_V1.4:1:11278787:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASNGPENESFRQLISNTHAFLSLKKKKSNTTPSPPPLPIPSAAMAIAAAADDGGDGEGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVRISSITHIEIEPLTILGVVAGLIPYPHHNQSPLCNGQASNGKYCLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.10 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.11 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.2 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVRISSITHIEIEPLTILGVVAGLIPYPHHNQSPLCNGQASNGKYCLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.3 pep chromosome:Lperr_V1.4:1:11278787:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASNGPENESFRQLISNTHAFLSLKKKKSNTTPSPPPLPIPSAAMAIAAAADDGGDGEGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVRISSITHIEIEPLTILGVVAGLIPYPHHNQSPLCNGQASNGKYCLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.4 pep chromosome:Lperr_V1.4:1:11278787:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASNGPENESFRQLISNTHAFLSLKKKKSNTTPSPPPLPIPSAAMAIAAAADDGGDGEGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVRISSITHIEIEPLTILGVVAGLIPYPHHNQSPLCNGQASNGKYCLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.5 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.6 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.7 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVRISSITHIEIEPLTILGVVAGLIPYPHHNQSPLCNGQASNGKYCLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.8 pep chromosome:Lperr_V1.4:1:11278884:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADDGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILMQEQLSKNRIIIDTDSKGRIIASVTSSTHEVKSKTVIFMDKEKIYLQLNQFTKPIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13780.9 pep chromosome:Lperr_V1.4:1:11278787:11294490:1 gene:LPERR01G13780 transcript:LPERR01G13780.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASNGPENESFRQLISNTHAFLSLKKKKSNTTPSPPPLPIPSAAMAIAAAADDGGDGEGAKEAPPPPPPSPTYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRIVVQANNRIEYKNVKVGQPSVKFEYNVEKITPQFCRLTDCTYSAPVMVDIEYTVGKHYELKMKQDFIIGYLPIMLKSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKIPIIVVMKAMGIESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQEQALQYMDDKVMYPGTGTQKEGRSKSILRDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVERLNHSSGKLHSSPLDLSQYINEEIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPHFEKNRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLSLLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFFVMFNGLILGKHRRPQRFANTMRKLRRSGNIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLSRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAIMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYENGWSDRIVMPQRDKNGSLLKHNMQALDEDGFAAPGVIIRNHDIYVNKQTPKNTTKQCGARPTDMDYKDSPAVYKGDDGETTVVDRVMLCSDTNDKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSESGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISYTLVKHGFSYNGKDFLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRNCGLLGYYNHRLKASYCSMCKNGENMAKMKMPYACKLLFQELQAMNIVPRLKLTEG >LPERR01G13790.1 pep chromosome:Lperr_V1.4:1:11307013:11310989:1 gene:LPERR01G13790 transcript:LPERR01G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDKRDSDRCFRYMVVANAMVFLYSAGALAMVRRRGAVVAAVMVGDLVALALLFSAVGAAAQFGLIGERGNSHVRWAKVCDVYGPFCERAMAAVAVSLIAAFADLVLLMLAILTIHKASSYY >LPERR01G13790.2 pep chromosome:Lperr_V1.4:1:11304086:11310989:1 gene:LPERR01G13790 transcript:LPERR01G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSRGKPGLNGGFDYGSRRSYYAGSAHGAAASSRSSAPVDPCCVALRVFVLLATLVSAVVMAADRQSTTLQLPVAGGELRRVPVTAKWTYSSAFVYMVVANAMVFLYSAGALAMVRRRGAVVAAVMVGDLVALALLFSAVGAAAQFGLIGERGNSHVRWAKVCDVYGPFCERAMAAVAVSLIAAFADLVLLMLAILTIHKASSYY >LPERR01G13790.3 pep chromosome:Lperr_V1.4:1:11304086:11305855:1 gene:LPERR01G13790 transcript:LPERR01G13790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSRGKPGLNGGFDYGSRRSYYAGSAHGAAASSRSSAPVDPCCVALRVFVLLATLVSAVVMAADRQSTTLQLPVAGGELRRVPVTAKWTYSSAFVSGTI >LPERR01G13800.1 pep chromosome:Lperr_V1.4:1:11309171:11310876:-1 gene:LPERR01G13800 transcript:LPERR01G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEERKSPAWWGRRAWELLSAVRARAPLVQCITNLVSMDIAANALLAAGASPAMLHCIREVPEFAPRCDSVCVNVGTLSEGWLPSMRAAASAGRPWVLDPVAAAASKFRMEACLSLLDLRPAVVRGNASEILALASRSSAAAAAGSNFKGVDSSHDSGDALQAAKALAQSTGSVIAVSGAVDYITDGEQVVGASNGVALMQKITATGCAVTALITAFVAVEPSDALVAAACALAIFGLAGEIGMESAKGPASLRMHLIDALYGLDEQTVTSRVKISIES >LPERR01G13810.1 pep chromosome:Lperr_V1.4:1:11313832:11327350:1 gene:LPERR01G13810 transcript:LPERR01G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAASAVAAISAAMDWSSSPAARNAAFEYLESVKAGDVRALANTSFLLVQKDQSSQVRLHGFKLLQHLVRLRWDELSAAERNEFANLAVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFGAALSAHTNQQMELAKQHVGTITAVLHAVNAYAEWAPVTDLAKYGLIHGKRPLDVAITEYDAAMSNIFQVLMNISQDFLLRSKMQPSAIDDCEYEFAMCICEAMVSLGSSNMQCILADVARTLHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTPAAEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGIAATSVIQRINVVFGDANEATKLPQDLDAMEGAYLGLEAVVASIFDGSADYVKIDQDTKFQIHKMFEGLLQQFLSLKWSQPNLAVIHGHYLDSLGPFLRHHPDAVASVVNKLFELLTSLPITIQDPSNNFRQARLQICSSFIRMSRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPVNKMWTQLEWQTTYLSNPSGLAHMFADSQFMWSIYHNVTLFERALKRSGTKKSVAAPQAQTTTTVPGNLHPMCSHLPWILPPLLRLLRCIHALWAEPFSQSLAGEVKAAKSMTIAEQTSLLGETNKLTKGQVTSADGLLDVQREGESKENNIRNWLRGIRDSGYNVIGLAASLGDPFFRCIEGSSITPALLENVQAMEFRHLRQLIHLVIIPLVKYCPSELWQIWILNLLQPLFVHCQQALDISWSSLLRDGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLESFASSSITGFLMLNVSTAVPALRITIEVFSWTDSEAVTKIIPFCGALIHLAVATNQAELSQFVAKDLFSSILQGLSVEFNSITSSELVGLCREIYVYLSDRDPTPRQVLMSLPHMKQEDLLAFDESLRKTASPKDQKLLMRGLLLLASGNKLRALVGQKATNVITNVTTRNRSSAAHHGLSAEEDDHIGLAALS >LPERR01G13810.2 pep chromosome:Lperr_V1.4:1:11313832:11327350:1 gene:LPERR01G13810 transcript:LPERR01G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAASAVAAISAAMDWSSSPAARNAAFEYLESVKAGDVRALANTSFLLVQKDQSSQVRLHGFKLLQHLVRLRWDELSAAERNEFANLAVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFGAALSAHTNQQMELAKQHVGTITAVLHAVNAYAEWAPVTDLAKYGLIHGKRPLDVAITEYDAAMSNIFQCILADVARTLHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTPAAGNSASTGGCSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGIAATSVIQRINVVFGDANEATKLPQDLDAMEGAYLGLEAVVASIFDGSADYVKIDQDTKFQIHKMFEGLLQQFLSLKWSQPNLAVIHGHYLDSLGPFLRHHPDAVASVVNKLFELLTSLPITIQDPSNNFRQARLQICSSFIRMSRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPVNKMWTQLEWQTTYLSNPSGLAHMFADSQFMWSIYHNVTLFERALKRSGTKKSVAAPQAQTTTTVPGNLHPMCSHLPWILPPLLRLLRCIHALWAEPFSQSLAGEVKAAKSMTIAEQTSLLGETNKLTKGQVTSADGLLDVQREGESKENNIRNWLRGIRDSGYNVIGLAASLGDPFFRCIEGSSITPALLENVQAMEFRHLRQLIHLVIIPLVKYCPSELWQIWILNLLQPLFVHCQQALDISWSSLLRDGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLESFASSSITGFLMLNVSTAVPALRITIEVFSWTDSEAVTKIIPFCGALIHLAVATNQAELSQFVAKDLFSSILQGLSVEFNSITSSELVGLCREIYVYLSDRDPTPRQVLMSLPHMKQEDLLAFDESLRKTASPKDQKLLMRGLLLLASGNKLRALVGQKATNVITNVTTRNRSSAAHHGLSAEEDDHIGLAALS >LPERR01G13810.3 pep chromosome:Lperr_V1.4:1:11313832:11327350:1 gene:LPERR01G13810 transcript:LPERR01G13810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAASAVAAISAAMDWSSSPAARNAAFEYLESVKAGDVRALANTSFLLVQKDQSSQVRLHGFKLLQHLVRLRWDELSAAERNEFANLAVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFGAALSAHTNQQMELAKQHVGTITAVLHAVNAYAEWAPVTDLAKYGLIHGKRPLDVAITEYDAAMSNIFQVLMNISQDFLLRSKMQPSAIDDCEYEFAMCICEAMVSLGSSNMQCILADVARTLHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTPAAGNSASTGGCSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGIAATSVIQRINVVFGDANEATKLPQDLDAMEGAYLGLEAVVASIFDGSADYVKIDQDTKFQIHKMFEGLLQQFLSLKWSQPNLAVIHGHYLDSLGPFLRHHPDAVASVVNKLFELLTSLPITIQDPSNNFRQARLQICSSFIRMSRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPVNKMWTQLEWQTTYLSNPSGLAHMFADSQFMWSIYHNVTLFERALKRSGTKKSVAAPQAQTTTTVPGNLHPMCSHLPWILPPLLRLLRCIHALWAEPFSQSLAGEVKAAKSMTIAEQTSLLGETNKLTKGQVTSADGLLDVQREGESKENNIRNWLRGIRDSGYNVIGLAASLGDPFFRCIEGSSITPALLENVQAMEFRHLRQLIHLVIIPLVKYCPSELWQIWILNLLQPLFVHCQQALDISWSSLLRDGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLESFASSSITGFLMLNVSTAVPALRITIEVFSWTDSEAVTKIIPFCGALIHLAVATNQAELSQFVAKDLFSSILQGLSVEFNSITSSELVGLCREIYVYLSDRDPTPRQVLMSLPHMKQEDLLAFDESLRKTASPKDQKLLMRGLLLLASGNKLRALVGQKATNVITNVTTRNRSSAAHHGLSAEEDDHIGLAALS >LPERR01G13820.1 pep chromosome:Lperr_V1.4:1:11342416:11345179:-1 gene:LPERR01G13820 transcript:LPERR01G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHHGEKTQSHQHQQHILPRLLYIIPSGDRNWKELPFNPKACVPNTILGALMKHNYPQIVRDRSLKHPSNVPATKWRHWCMKGPQDDTCANKVKNDFMDNYRDGMQAAYGPDVIWVRAPLDAQTVQLIAQTCSCPQMPIRLTLRQFDQAKQRLNCVKRLLISSVSDKTIANQYKMLFLIYDERICKHTSTSDQFGCIISYTFISNYSAGQKNCTENRSRSVFTQTDAGNSGNCSQQDACLSNNEEGGMENNFGNVVLQSTDRSTFGYSNQQAAPTTNQGNNKRQRGDDSYEDSEDDYADVGNYEAANDTIDPFFSLFEI >LPERR01G13820.2 pep chromosome:Lperr_V1.4:1:11342416:11345179:-1 gene:LPERR01G13820 transcript:LPERR01G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHHGEKTQSHQHQQHILPRLLYIIPSGDRNWKELPFNPKACVPNTILGALMKHNYPQIVRDRSLKHPSNVPATKWRHWCMKGPQDDTCANKVKNDFMTVQLIAQTCSCPQMPIRLTLRQFDQAKQRLNCVKRLLISSVSDKTIANQYKMLFLIYDERICKHTSTSDQFGCIISYTFISNYSAGQKNCTENRSRSVFTQTDAGNSGNCSQQDACLSNNEEGGMENNFGNVVLQSTDRSTFGYSNQQAAPTTNQGNNKRQRGDDSYEDSEDDYADVGNYEAANDTIDPFFSLFEI >LPERR01G13830.1 pep chromosome:Lperr_V1.4:1:11361179:11361728:1 gene:LPERR01G13830 transcript:LPERR01G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKSSAIEEGTAKRPRQEEATAADGGPVTRDAPTIVPTAPAPQTETTLVVEKTGGASSSEAALSTSATPLSLSASSKGGAILVRHRLLPVGPGSHAT >LPERR01G13840.1 pep chromosome:Lperr_V1.4:1:11363392:11365127:1 gene:LPERR01G13840 transcript:LPERR01G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEPTWIQKATLKRRKTIFGKTSHDSRINSSTRKRRKVKSYMARTSSSRSACSQALPVVFFWFLCMDLLFAVLFINLCVTGILDDHGWFRDIVAVKPLASLYPLAPLAKLLPATLPK >LPERR01G13850.1 pep chromosome:Lperr_V1.4:1:11382068:11386723:1 gene:LPERR01G13850 transcript:LPERR01G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTLLLSIAIMALLTSTSAQPAPGCQTHCGDVKIPYPFGIGKDCAIDSGFELNCNVTADGSMKPFIINVEVLDISLVHGQTRALNSISTYCYNNSTQTMDNTTFWLDFKNWPYRFSDVRNRFIVIGCNTLAYSYNQNNRTGYTTACASVCGSTGALTNGSCAGVGCCQNAIPKGLTRYDVYFYRVYNDSDSWQFNPCSYAALVETDSFSFNTEYITTKRFNDTYKGRQPLVLDWAIGDASCSVAQNMTSYACRSEHTICVDSKNGPGYLCNCTSGYQGNPYLRDGCSDVNECEQNPGPCSKGATCHNTIGAYHCSCPPGRKLVKDTNSCSPDINLIIGVSIGSVALVIIIFVMHIIIEKRKLTDVKKNYFQQHGGLLLFEKMKSEQGLAFKVFAQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEYIPNGTLFDLIHGKNRTLHFPFSSLLRIANEAAEGLAFLHSYADPPILHGDVKTSNILLDEKYMAKISDFGASILVPNDEAQFVTMVQGTCGYLDPEYLQTCRLTDKSDVYSFGVVILEILTGEMPLKLEGPELQKSLSSSFLLAMKENNFEEMLDSQIKGHESMELLRGLAELAKRCLDMCSDNRPSMKEVSEELSRLRKLSKHPWIQRDTETESFLSGPSTSNFEIENTEYTRKDEQIPINPSTSYFIR >LPERR01G13850.2 pep chromosome:Lperr_V1.4:1:11382690:11386723:1 gene:LPERR01G13850 transcript:LPERR01G13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTLLLSIAIMALLTSTSAQPAPGCQTHCGDVKIPYPFGIGKDCAIDSGFELNCNVTADGSMKPFIINVEVLDISLVHGQTRALNSISTYCYNNSTQTMDNTTFWLDFKNWPYRFSDVRNRFIVIGCNTLAYSYNQNNRTGYTTACASVCGSTGALTNGSCAGVGCCQNAIPKGLTRYDVYFYRVYNDSDSWQFNPCSYAALVETDSFSFNTEYITTKRFNDTYKGRQPLVLDWAIGDASCSVAQNMTSYACRSEHTICVDSKNGPGYLCNCTSGYQGNPYLRDGCSDVNECEQNPGPCSKGATCHNTIGAYHCSCPPGRKLVKDTNSCSPDINLIIGVSIGSVALVIIIFVMHIIIEKRKLTDVKKNYFQQHGGLLLFEKMKSEQGLAFKVFAQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEYIPNGTLFDLIHGKNRTLHFPFSSLLRIANEAAEGLAFLHSYADPPILHGDVKTSNILLDEKYMAKISDFGASILVPNDEAQFVTMVQGTCGYLDPEYLQTCRLTDKSDVYSFGVVILEILTGEMPLKLEGPELQKSLSSSFLLAMKENNFEEMLDSQIKGHESMELLRGLAELAKRCLDMCSDNRPSMKEVSEELSRLRKLSKHPWIQRDTETESFLSGPSTSNFEIENTEYTRKDEQIPINPSTSYFIR >LPERR01G13860.1 pep chromosome:Lperr_V1.4:1:11408359:11411067:-1 gene:LPERR01G13860 transcript:LPERR01G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDDTVDFFSQPRHNHRDLSSGLKLTTLNTGVIIGLVVGIGVLTLALVVTVLVRSWKHGIQRKIRKAYFRKNKGLLLEQLISSDQSLAHNTKIFSLEELERATDNFNSTRILGRGGHGTVYKGILSDQRVVAIKKSKIVEQGEIDQFVNEVAILSQIIHRNVVKLYGCCLESEVPLLVYEFISNGTLYDLLHSDLNVECSLQWDDRVRISIETASALAYLHCAASIPIFHRDVKSANILLNDNFTTKVSDFGASRSISIDETHVVTIVQGTFGYLDPEYYHTGQLNAKSDVYSFGVILVELLTRKKPIFLNYFDEKQNLCHYFLQSLRDKTTMDMLDSQIVEEGNLEQINEFISLAEACLRLRGEERPTMKEVESRLQLLRANITKKVMDESQKNMEDMQLIYSLYDSTFKQNVDSGINTNSSNQIASACHTMEQELVS >LPERR01G13870.1 pep chromosome:Lperr_V1.4:1:11425857:11429282:-1 gene:LPERR01G13870 transcript:LPERR01G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWLMCLVAVMAILHLALSIPPSSHNLRHCPGSCGNVNITYPFGIGTGCFRPGFELFCNTTTKPPRLLFGNSTEIAYQDDELVIASVVFNIATTPGLLGTYSRSWEAPGRVLSFCQDTSLVIFGCGIDVFLFDDSDNTNIIQGHCSSECTSIAVMEKKLQRRPCNGMGCCTIDLSEGIRAFQFTITQREEKRPLPLGDATIKAFLNNDEFDFAIADLLSENINASTIGASSSLFSVAITDQPNCSIAQLKTNYACSNAHVSMRKNNTAILVAALLIIAIIPIFSLDANKTKRNCSKFCGSTSIPFPFGLEQGCSANKKFELNCTSNQAFIGRPPRQLQVTNISVDEGLVYLDRIDHKYTSSRHTADVDVLEEMIMGDTDLYFSEISGVWTWTVSNISCEIAKENATYACISEHSECLRVFHGNVYVGYRCKCSSGYEGNPYISLGCKDIDECSRPNYCNGTCHNTKGSYDCCLYGTYFDPVEKKCITTQPHERHSILFGMLHTSSSFFSRLKLISKHFLR >LPERR01G13870.2 pep chromosome:Lperr_V1.4:1:11425857:11429282:-1 gene:LPERR01G13870 transcript:LPERR01G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWLMCLVAVMAILHLALSIPPSSHNLRHCPGSCGNVNITYPFGIGTGCFRPGFELFCNTTTKPPRLLFGNSTEIAYQDDELVIASVVFNIATTPGLLGTYSRSWEAPGRVLSFCQDTSLVIFGCGIDVFLFDDSDNTNIIQGHCSSECTSIAVMEKKLQRRPCNGMGCCTIDLSEGIRAFQFTITQREEKRPLPLGDATIKAFLNNDEFDFAIADLLSENINASTIGASSSLFSVAITDQPNCSIAQLKTNYACSNGSTCVDEKKQHGYSCSCPADNSNNPYLLPGCKQDPYQYISLQTKRNCSKFCGSTSIPFPFGLEQGCSANKKFELNCTSNQAFIGRPPRQLQVTNISVDEGLVYLDRIDHKYTSSRHTADVDVLEEMIMGDTDLYFSEISGVWTWTVSNISCEIAKENATYACISEHSECLRVFHGNVYVGYRCKCSSGYEGNPYISLGCKGTHPYHQFKPLNSKVLYADIDECSRPNYCNGTCHNTKGSYDCCLYGTYFDPVEKKCITTQPHERHSILFGMLHTSSSFFSRLKLISKHFLR >LPERR01G13870.3 pep chromosome:Lperr_V1.4:1:11425857:11429282:-1 gene:LPERR01G13870 transcript:LPERR01G13870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWLMCLVAVMAILHLALSIPPSSHNLRHCPGSCGNVNITYPFGIGTGCFRPGFELFCNTTTKPPRLLFGNSTEIAYQDDELVIASVVFNIATTPGLLGTYSRSWEAPGRVLSFCQDTSLVIFGCGIDVFLFDDSDNTNIIQGHCSSECTSIAVMEKKLQRRPCNGMGCCTIDLSEGIRAFQFTITQREEKRPLPLGDATIKAFLNNDEFDFAIADLLSENINASTIGASSSLFSVAITDQPNCSIAQLKTNYACSNGSTCVDEKKQHGYSCSCPADNSNNPYLLPGCKQDPYQYISLQTKRNCSKFCGSTSIPFPFGLEQGCSANKKFELNCTSNQAFIGRPPRQLQVTNISVDEGLVYLDRIDHKYTSSRHTADVDVLEEMIMGDTDLYFSEISGVWTWTVSNISCEIAKENATYACISEHSECLRVFHGNVYVGYRCKCSSGYEGNPYISLGCKDIDECSRPNYCNGTCHNTKGSYDCCLYGTYFDPVEKKCITTQPHERHSILFGMLHTSSSFFSRLKLISKHFLR >LPERR01G13880.1 pep chromosome:Lperr_V1.4:1:11430185:11430971:-1 gene:LPERR01G13880 transcript:LPERR01G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWLMCLVAVMAILHLALSIPPSSHNLRHCPGSCGNVNITYPDYKFSKLILYLHVLITAFLTHIFGRGLSVNMRTNDGAVNGLGPPSPHASPATVSSSVTFGDLT >LPERR01G13890.1 pep chromosome:Lperr_V1.4:1:11446339:11446596:1 gene:LPERR01G13890 transcript:LPERR01G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDTQSSLQQHLHNRNLHTSKTLPQDAEKCPKTYCKKRTPTGGGGSTIAGRTEEQPTTNSKGRNDRHSATPCRDDPGEKNRRSR >LPERR01G13910.1 pep chromosome:Lperr_V1.4:1:11471304:11471480:-1 gene:LPERR01G13910 transcript:LPERR01G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCCMGCKDDDGGSSGGDGGLDPKGFLLALMIALVLFMICHRPQPRRNNYVVYRCY >LPERR01G13920.1 pep chromosome:Lperr_V1.4:1:11472917:11476840:-1 gene:LPERR01G13920 transcript:LPERR01G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAAALSTLLPAPTPTSTTALYCPSSARPPVAASRPPRLLLLPRRAPHTAAALGVSDDTGVNVSSTDIVGQNDLLIVGPGVLGRLVAEKWKEEHPGCKVFGQTASTDHHSELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDLRIAASNWSGEGSFVFTSSTALYDCSDNGLCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCVKGSLDSRPDHIINQIHYEDAASLAIAIMKKRHRSHIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRSEIGWEPKYPSFSEFLGLDS >LPERR01G13920.2 pep chromosome:Lperr_V1.4:1:11472917:11476840:-1 gene:LPERR01G13920 transcript:LPERR01G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAAALSTLLPAPTPTSTTALYCPSSARPPVAASRPPRLLLLPRRAPHTAAALGVSDDTGVNVSSTDIVGQNDLLIVGPGVLGRLVAEKWKEEHPGCKVFGQTASTDHHSELSNIGIIPSLKGSTFPQKVPYDCPSVPIGRSPRTDVLLKAENVVLEAGGCVKGSLDSRPDHIINQIHYEDAASLAIAIMKKRHRSHIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRSEIGWEPKYPSFSEFLGLDS >LPERR01G13920.3 pep chromosome:Lperr_V1.4:1:11472917:11474716:-1 gene:LPERR01G13920 transcript:LPERR01G13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRQEAVLSGWQDSIYPQLMNISCSKVQKGSLDSRPDHIINQIHYEDAASLAIAIMKKRHRSHIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRSEIGWEPKYPSFSEFLGLDS >LPERR01G13920.4 pep chromosome:Lperr_V1.4:1:11474726:11476840:-1 gene:LPERR01G13920 transcript:LPERR01G13920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAAALSTLLPAPTPTSTTALYCPSSARPPVAASRPPRLLLLPRRAPHTAAALGVSDDTGVNVSSTDIVGQNDLLIVGPGVLGRLVAEKWKEEHPGCKVFGQTASTDHHSELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDLRIAHLCQLAEALALMSF >LPERR01G13930.1 pep chromosome:Lperr_V1.4:1:11478561:11482275:-1 gene:LPERR01G13930 transcript:LPERR01G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRGLEGACGDPGPLFGDHDGSLLEHMGFHGDPQHVSPSLNEGLLVDPTDQMSYLAADSPPFMNDQIQCNTMKSASTSPASPLKQAGDHHVHIDSDTENDAAEQNLHDSYSEAQTTSLGYDVHRKTEVVDAEQPSELHGSSGDDTSNFQQETTHSDTYLGDAMLNENSGRDYQLINSGDDDDEIPNSSAPQMENVNIRGLHETSRDEKYDSDDDQMNGRNSSPSDEHDEENCNSAVEPSYLEAMDQENPGSNNHILTPNQWDSPPESSARLEKGTPSPDRMVSFPVERSHAHSPKESESPHPENEKKGFAQEERLTKEELPIKEKGLTKEELPIKEKGLTKEGFPIKEKGLTKEGLPIKEKRLTKEGFPIKEKGLTKKGFTIKEKGLTKEGLPVKEKGLPIKKKGLTKEVHPIKEKGFAKEVLPIKENGLSKEGLPIKEEGLPTKEAQGLAMPPLNEIWKRNSRSMAVLQVHALFGIKELGILEALDSYLWRRMRMLMQQFVLVMRLSGTGPAADQRELVPFNVCDVCAQDCDALCQICLTA >LPERR01G13940.1 pep chromosome:Lperr_V1.4:1:11487730:11491237:-1 gene:LPERR01G13940 transcript:LPERR01G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSTPLGASQEASAITTVSASEESVGQYDLLIVGPGVLGRIVADRWKQEHPGCKIFGHTVTTDHHSELIQLGIIPSLKGPVAQKVPYVIFCAPPYRTDDYPGDLRVAASNWNGEGSFLFTSSTAVYECSDNGLCSEDSPCVPIGRSPRTDVLLKAENVVLEAGGSVLRLSDKGPHVFWLSKGTLDSRPDHILNLIHYEDAASLAFAIMKRRLRARVFVGCDNQPLSRLLQTSSCRADLLFIYEQFWREIMDLVNRSGKFDMKFQGFTGTDGPLGKRMENYKTRAEIGWQPKYPSFTEFLGLSS >LPERR01G13950.1 pep chromosome:Lperr_V1.4:1:11501019:11507050:1 gene:LPERR01G13950 transcript:LPERR01G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRASSSSSAPAARRKEKASPQPAASTGSPSTAAAAGGGDQSPGRPRRRLGGRKPGGPRQGCIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATCFDPRRKRPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATAALQSEFFATEPFACDPSSLPIYPPSKEMDAKMRDEEARRLRAATKAKGEGVKRTRTRDRSQRAEPAPEANAELQANLDRRRLISHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPTYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >LPERR01G13950.2 pep chromosome:Lperr_V1.4:1:11501019:11507050:1 gene:LPERR01G13950 transcript:LPERR01G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRASSSSSAPAARRKEKASPQPAASTGSPSTAAAAGGGDQSPGRPRRRLGGRKPGGPRQGCIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATCFDPRRKRPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATAALQSYEQPQKPKEKGAEPAPEANAELQANLDRRRLISHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPTYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >LPERR01G13960.1 pep chromosome:Lperr_V1.4:1:11517025:11517519:1 gene:LPERR01G13960 transcript:LPERR01G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKVTIEPITNQVARRSTHERRTAGLLKKVQEVSILCNVRACIVVYNIGDDTEPKAWPSLPEATNILEDAMDITESSIGKRMLDTESLLRLNITEAEKKLRNKRAENCQLEINMIMNDVISGRRKNLDDLDPQLIGDIQMVLAMRHLAIRNRINVLRSKTAS >LPERR01G13970.1 pep chromosome:Lperr_V1.4:1:11531178:11539043:1 gene:LPERR01G13970 transcript:LPERR01G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAKYDDEDEISSSGEEEEEQSDGAGSGSGDEEDEEAPAAAGETAGEEEEVDEEEIEAVTTGAGADEEEEEGGAAPAAGEGEESQSTEDDEAVAGENDDGDETEDNAGSAVVGKREKARLKEMQKLKKQKIQEILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLTQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRDNLLQPGKFDICVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPDSEKFVFLLSTRAGGLGINLATADVVVLYDRILKLICKLKTVRIGLARRKKSKFSYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLSDLYEKEVRYLMQANQKKDTIDGEDEDQVDNLTPEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELTDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRLAKNMTPTKRSALRVSEGDTTPLNSSKRRRQSLMDDYVGSGRRKRG >LPERR01G13980.1 pep chromosome:Lperr_V1.4:1:11541376:11546937:1 gene:LPERR01G13980 transcript:LPERR01G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQGVPRRSRGWQTTKRSTTTTSTANLVKLLPTGTALAFQALAPSFTNHGKCLAVNRYISGGLIAFCCAICALLSFTDKMGRPYYGIAMPLGFGGFIPFNYEKPPRRDTSSNDDDDYYEGDFDREELVRRRLRLRDFIHATLRVFVFLAVAAFSDAGIQTCPWKEALVNMPLGVGFIASFVFMIFPSTRKGVGYGADSAVDVTTTNTSSDDGDKADDDDKDKSKPCPEYAVRSVQHPEAPPDGHRAGLPRAGAVLHQPHGRSCGAASCLLTTSLVASCAASCVLLSFTDSLVSCHVDGGRL >LPERR01G13990.1 pep chromosome:Lperr_V1.4:1:11546998:11547315:1 gene:LPERR01G13990 transcript:LPERR01G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGDLPGMKVRAVDFVHALVSAIVFVAVALGNAQVQGCLFPDAGEGFRDVFMNLPVALGLMSSMLFMIFPTTRKSIGYTDMMPHKEDGRKGGAAPELSTASV >LPERR01G14000.1 pep chromosome:Lperr_V1.4:1:11557539:11557850:1 gene:LPERR01G14000 transcript:LPERR01G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNKLAGQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >LPERR01G14010.1 pep chromosome:Lperr_V1.4:1:11564496:11569367:1 gene:LPERR01G14010 transcript:LPERR01G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLKPLLADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERHFFMIFVSWCARNVHEKPVSIGYVPLCKRRLGSPTITSMWHTVSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLIQLLEQINTHTSKQTKVTIQRRRSVLDDDD >LPERR01G14010.2 pep chromosome:Lperr_V1.4:1:11564496:11568456:1 gene:LPERR01G14010 transcript:LPERR01G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLKPLLADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERHFFMIFVSWCARNVHEKPVSIGYVPLCKRRLGSPTITSMWHTVSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLIQLLEQINTHTSKQTKVTIQRRRSVLDDDD >LPERR01G14020.1 pep chromosome:Lperr_V1.4:1:11573739:11591003:1 gene:LPERR01G14020 transcript:LPERR01G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFYYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLHEQIFVRKVIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSTSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISTHSELNPGIDLAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMDCVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTQYSSPGQRFAGGTSQEKNPQTPKPTKTLPSIVPFLHNPRGGGGGGVMDMRRTPVVPLEEGWRCVLDGVVKLKSILDGEKGVRFIPAEYMGLYTMVYNMCTQKAPNDYSEVLYDRYRQALDDHLQTIVLPSLNEKHGEFLLRELVQRWAKHKLMVKWLRRFFDYLDRFYVSRRSLESLHEKGWSTFRNLVFHELKSTVTTIVIGMVDDEREGKLIDRALLKNVLDIFVEIGFGKMDFYLEDFEKSFLKGTTDYYSKKAQTWILEYSCPEYMLKAEECLQKEKERVANYLHSATEPKLFANALSELITRPAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFQEHVIGEGMSLLKHVTDVANSRKDEKKGMVGLSEQEFVRSVIELHDKYIAYVTNCFQGSTVFHKALKEAFEVICNKDVAGSSSAELFAAYCDNVLRKGGSERLNDEAIEETLDKVVKLLTYLSDKDLFIEFHRKKLGRRLIFERNLNDEHERILLSKLKQFFGGQFTSKMEGMLNDITLAKEHQSKFEEYLNKNPESNSRVDLHVTVLTTGYWPTYKNSDINLPSEMVRCVEVFTEYYRSYKEHRKLTWIYSLGNCIVIGKFDAKPVEFVLNTYQAALLLLFNEADKLSYSEIVSQLKLSVDDATRLLHSLSCAKYKILNKEPSNRLISPDDVFEFNAKFTDKMRRIKVPLPPIDEKKKVVEDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKLIKRRIEDLISREP >LPERR01G14020.2 pep chromosome:Lperr_V1.4:1:11573739:11591003:1 gene:LPERR01G14020 transcript:LPERR01G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFYYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKIFVRKVIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSTSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISTHSELNPGIDLAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMDCVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTQYSSPGQRFAGGTSQEKNPQTPKPTKTLPSIVPFLHNPRGGGGGGVMDMRRTPVVPLEEGWRCVLDGVVKLKSILDGEKGVRFIPAEYMGLYTMVYNMCTQKAPNDYSEVLYDRYRQALDDHLQTIVLPSLNEKHGEFLLRELVQRWAKHKLMVKWLRRFFDYLDRFYVSRRSLESLHEKGWSTFRNLVFHELKSTVTTIVIGMVDDEREGKLIDRALLKNVLDIFVEIGFGKMDFYLEDFEKSFLKGTTDYYSKKAQTWILEYSCPEYMLKAEECLQKEKERVANYLHSATEPKLFANALSELITRPAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFQEHVIGEGMSLLKHVTDVANSRKDEKKGMVGLSEQEFVRSVIELHDKYIAYVTNCFQGSTVFHKALKEAFEVICNKDVAGSSSAELFAAYCDNVLRKGGSERLNDEAIEETLDKVVKLLTYLSDKDLFIEFHRKKLGRRLIFERNLNDEHERILLSKLKQFFGGQFTSKMEGMLNDITLAKEHQSKFEEYLNKNPESNSRVDLHVTVLTTGYWPTYKNSDINLPSEMVRCVEVFTEYYRSYKEHRKLTWIYSLGNCIVIGKFDAKPVEFVLNTYQAALLLLFNEADKLSYSEIVSQLKLSVDDATRLLHSLSCAKYKILNKEPSNRLISPDDVFEFNAKFTDKMRRIKVPLPPIDEKKKVVEDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKLIKRRIEDLISREP >LPERR01G14020.3 pep chromosome:Lperr_V1.4:1:11573739:11591003:1 gene:LPERR01G14020 transcript:LPERR01G14020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFYYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLHEQIFVRKVIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSTSAELLATFCDNILKKGGSEKLSDEAIEDTLEKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISTHSELNPGIDLAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMDCVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTQYSSPGQRFAGGTSQEKNPQTPKPTKTLPSIVPFLHNPRGGGGGGVMDMRRTPVVPLEEGWRCVLDGVVKLKSILDGEKGVRFIPAEYMGLYTMVYNMCTQKAPNDYSEVLYDRYRQALDDHLQTIVLPSLNEKHGEFLLRELVQRWAKHKLMVKWLRRFFDYLDRFYVSRRSLESLHEKGWSTFRNLVFHELKSTVTTIVIGMVDDEREGKLIDRALLKNVLDIFVEIGFGKMDFYLEDFEKSFLKGTTDYYSKKAQTWILEYSCPEYMLKAEECLQKEKERVANYLHSATEPKLFANALSELITRPAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFQEHVIGEGMSLLKHVTDVANSRKDEKKGMVGLSEQEFVRSVIELHDKYIAYVTNCFQGSTVFHKALKEAFEVICNKDVAGSSSAELFAAYCDNVLRKGGSERLNDEAIEETLDKVVKLLTYLSDKDLFIEFHRKKLGRRLIFERNLNDEHERILLSKLKQFFGGQFTSKMEGMLNDITLAKEHQSKFEEYLNKNPESNSRVDLHVTVLTTGYWPTYKNSDINLPSEMVRCVEVFTEYYRSYKEHRKLTWIYSLGNCIVIGKFDAKPVEFVLNTYQAALLLLFNEADKLSYSEIVSQLKLSVDDATRLLHSLSCAKYKILNKEPSNRLISPDDVFEFNAKFTDKMRRIKVPLPPIDEKKKVVEDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKLIKRRIEDLISREP >LPERR01G14020.4 pep chromosome:Lperr_V1.4:1:11579545:11591003:1 gene:LPERR01G14020 transcript:LPERR01G14020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAVDGGSAVKAPLLSGPSKQSPANIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMDCVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTQYSSPGQRFAGGTSQEKNPQTPKPTKTLPSIVPFLHNPRGGGGGGVMDMRRTPVVPLEEGWRCVLDGVVKLKSILDGEKGVRFIPAEYMGLYTMVYNMCTQKAPNDYSEVLYDRYRQALDDHLQTIVLPSLNEKHGEFLLRELVQRWAKHKLMVKWLRRFFDYLDRFYVSRRSLESLHEKGWSTFRNLVFHELKSTVTTIVIGMVDDEREGKLIDRALLKNVLDIFVEIGFGKMDFYLEDFEKSFLKGTTDYYSKKAQTWILEYSCPEYMLKAEECLQKEKERVANYLHSATEPKLFANALSELITRPAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFQEHVIGEGMSLLKHVTDVANSRKDEKKGMVGLSEQEFVRSVIELHDKYIAYVTNCFQGSTVFHKALKEAFEVICNKDVAGSSSAELFAAYCDNVLRKGGSERLNDEAIEETLDKVVKLLTYLSDKDLFIEFHRKKLGRRLIFERNLNDEHERILLSKLKQFFGGQFTSKMEGMLNDITLAKEHQSKFEEYLNKNPESNSRVDLHVTVLTTGYWPTYKNSDINLPSEMVRCVEVFTEYYRSYKEHRKLTWIYSLGNCIVIGKFDAKPVEFVLNTYQAALLLLFNEADKLSYSEIVSQLKLSVDDATRLLHSLSCAKYKILNKEPSNRLISPDDVFEFNAKFTDKMRRIKVPLPPIDEKKKVVEDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKLIKRRIEDLISREP >LPERR01G14030.1 pep chromosome:Lperr_V1.4:1:11591062:11595794:-1 gene:LPERR01G14030 transcript:LPERR01G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADCESGLSPVDIPGAGDPNGNPGHWRKYYKQVLLLAYQSCGVVYGDLSTSPLYVYKSTFTGALRRYLQDEERNVQDEEIVFGVFSLVFWTLTLIPLVKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTAYYRPGYAPQDTPILTALRRFLEKHRKSRTILLVAVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSNALTSWEVVILSCTVLVCLFMLQHWGTHRVGFLFAPVVIIWLLLLAALGVYNIAVWNPRVLRAMSPYYIVRFFQRTGRDGWISLGGILLSMTGRAFNWTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCDIRFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCLAITVGFRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQHSCLVAALFLAAFGVVEAVYLSAALMKVPQGGWLPLALSLVFVAVMYVWHYGTRKKHVFDVQNKVSLKWIHALGPSLGIVRVPGIGLIYSELATGVPPIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDIDFENDLVLRIAEFVQMEAAGDRRCSSEDGGGGVEVEGRMAVVPRPSDLARTGLLMRERGEEESVVARAVAAAAAAKPESLMNSLHTMHEAESPGYASRRRVRFEVADEHTDPRVKEELSALVEAKHSGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPAVALNIPHISLIEVGMIYYV >LPERR01G14040.1 pep chromosome:Lperr_V1.4:1:11599105:11600106:1 gene:LPERR01G14040 transcript:LPERR01G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLNSRHGVILQLEEETHVAAAGYDAQLSLPSSSSNSSTSISSSSYRVPVAMAGYRVLELTLVSASDLKKVTLFSRMRVYAVASISGSNVPMPMHGTHADRKGGRNPAWNTVLHFPVPADTRGLALHVQLCAHRAFGGSRDVGDVFVPLDDLLAAASSHAHHDAEPKPASYQVRRPVSGRAHGTLYFCYRFTDVKPPSVYLSAESEKTTEKNVSSASPVTAYPPPPSSGAAVAYPPVVPYGAPYGGYPPPPQQYGGYAAPPPPYGYSAAPEQPATYGYAAAPARKGGSGMGMGLGLGLLGGAVGGMMVGEMVGDMEADAAYDAGFNDALEF >LPERR01G14050.1 pep chromosome:Lperr_V1.4:1:11625073:11626553:-1 gene:LPERR01G14050 transcript:LPERR01G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWVLSPWMLRVLVCLEEVGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYILRKYKPELLGLGEDGTLEEAAMVDVWVDVEAHQIENTLMPILLNCIVNKFIGRDRDQAAVDESVQKLEKLLAVYETRLSGSKYLAGDRISFADLSHFSCMRHFIVTEYAGVIDAYPHVKAWWEALLARPSVKKVMAGIPPDFGFGSGNAP >LPERR01G14070.1 pep chromosome:Lperr_V1.4:1:11662803:11670916:-1 gene:LPERR01G14070 transcript:LPERR01G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASSTPLLTPYKMGQFDLAHRIVLAPLTRCRSYGNVPRPHNAVYYAQRASPGALLIAEACAVSETARGYPDVPGLWNQDQVEAWRPVVEAVHAKGAVFICQIWHTGRVSPSGRCSIQQHLEKFQPNGQAPISSTDKQVTTPHVSHDGQVQDNFAPPRMLKTEEIPYIVDDFRIAARNAIEAGFDGVEIHGGNGYLIDQFMKDSVNDRTDAYGGSIENRCRFAAEIITAVADEIGPHRLGVRFSPFADYMECYDSNPVALILHVVGHMNDLGILYCHMIEARMCVGGEDGSKPVIPHRLLPFRKAFKGTFMVNGGYDRQEGDKTIADGYADLVAYGRLFLANPDLPKRFRRMACLNKYDRSTFYTSDPVVGYTDYPFLDDQN >LPERR01G14080.1 pep chromosome:Lperr_V1.4:1:11689911:11690764:-1 gene:LPERR01G14080 transcript:LPERR01G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKVFGHAISTNVSRVLVCLEEVGAEYELVTVNFLAGEQNSPEHVERNPFGKIPAMQDGDLVLFANTNLSITTFSESRAIAKYILRKYKSSEVDLLRESNISEAALVDVWTEVEAHQYYPAISPIVYECIIFPVMRGVATNQRAVDESLEKLRAVLETYEARLSGSRYLAGDFFSFADLNHFPFTYYFMATPYASVFDAYPRVKAWWEDLVLRPSIKKISANMPTKF >LPERR01G14090.1 pep chromosome:Lperr_V1.4:1:11703893:11706220:-1 gene:LPERR01G14090 transcript:LPERR01G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVFGPAMSTNVARVLVCLEEVGAEYEIVNIDFGTMEHKSPDHLKRNPFGQIPAFQDGDLYLFESRAIGKYILRKYKTTNNDLLPEGNLQEAAMVDVWTEVEAHQYNPAISPIVYECVINPAMRGIPPNQKIVDESVEKLKKVLEVYESRLSKSAYLAGDFVSFADLSHFPYTFYFMTTPYAKLFESYPHVKAWWDRLAARPSVKKLAANMAARA >LPERR01G14100.1 pep chromosome:Lperr_V1.4:1:11710140:11714309:1 gene:LPERR01G14100 transcript:LPERR01G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKVFGPAKSTGVARVLVCLEEVGTEYELVRVHLPTGEHKSPAHLARNPFGQVPAFQDGDLILFESRAIAKYVLRKSASELLRESNISESAMVDVWLEVESQTFDKAMSAITFQCLTLPIFMGGKPDKKIVEANLEKLKKALEVYEARLCSSRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWIAGLLQRPSVRRTEGKKSMAPVKVFGPAMSTNVARILVCLEEVGAEYEIVPVDMPTGEHKRPPHISRNPFGQVPAFQDGDLTLFESRPISKYILRKGGSDLLRESNLSESAKVDVWLEVESKHFDSAMSPIIFQCFIVPMFMGATDMEVVNENIEKLKKALEVYEVQLSKFKYLAGHFISLADISHFPAVYYLLASAHASVLEAYPHVKPWIADIMQRPSLKKVTELMKMSSA >LPERR01G14110.1 pep chromosome:Lperr_V1.4:1:11749332:11752442:-1 gene:LPERR01G14110 transcript:LPERR01G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLLLVHFPLGCLLLLSAAPRATSLAFSFNFSRSGNLCGTELQCERDAGMGSGVLDITRPENEAVYNTGRASYSRPVPLWDKATGELATFTSNFTFQLRPINGTMFPNCTNGGDGMAFFLAHYPSRLPTASTGHNLGLFNATSDRTAADNDRVVAVEFDTYYNENWDPSTYHVGIDVNSIKSTAYTNVSRNLASNQSIIAAEVSYNNLTGILSANIRISDGEKYNVSTPVDMKESLPEQVSVGFSAGTGWCVELNQVHSWSFSSTLRSADDRAVAPAPADQPHGNPNPKFSKKDEILVSVLIPLLFLLACAAAGFLWLKRRMTRLRARTDAMDSDSDGQMERADQLERGVAAGGPRRYTYRELAAATSNFAEEEKLGRGGFGSVYRGELVVCNQPERRPVAIKMLSTESSSQGRKEFEAEVKIISQLKHRNLVQLIGWCDSRKGLVLVYQLVTEGSLDKHLYSRERVLTWPERYHIIFGLGSALRYLHGEWEQCIVHGDIKPSNIMLDATLSTKLGDFGLARLVDHGARWTTTHAVLGTAGYIDPEFVNTCRPSTECDVYSFGIVLLEIATGRPPTTDTSNGFFVLLKWVWNLYSKSSILDAVDPRLRADNDEDEQSKLELPQMERVLVVGLWCAHPDWSERPSIAQAMHVLQSNDVGQLPVLSQQMFRTMSALTVAGRAYGPRSVDDIGGAIPSSDGNAFSSD >LPERR01G14120.1 pep chromosome:Lperr_V1.4:1:11759594:11759841:-1 gene:LPERR01G14120 transcript:LPERR01G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGSSVDGEWMLKKLVRLLEATWGLLGYFQQSEAMIMTSDGAYVFIGVEKTCHFTVEMETTLVVSQVERNKSIHVV >LPERR01G14130.1 pep chromosome:Lperr_V1.4:1:11759890:11760141:-1 gene:LPERR01G14130 transcript:LPERR01G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTQWWVYQRVVTDDIQLPGTFNISYVGRANGCFYWGVDDDKDITMLVLDRDATEFSFVMFPECIREHYDCRSLPSDHCRR >LPERR01G14140.1 pep chromosome:Lperr_V1.4:1:11762277:11766178:-1 gene:LPERR01G14140 transcript:LPERR01G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSDWGLTTLEEADPEVYDLVEREKRRQRSGVELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLNPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVGLKQTMSAGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEKDFIQIAEFLHEAVTICLDVQKERGKLLKHFNEGLPNNKDIENLREEVEKFATSFEMPGFRVSDMKYKD >LPERR01G14150.1 pep chromosome:Lperr_V1.4:1:11770750:11773556:1 gene:LPERR01G14150 transcript:LPERR01G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQPGRAQKQSGLISMSPVKVFGSAPFTNVARVLLCLEEVGAEYEIVDVDFHAREHKSPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRSTDGANLLREGNLAESAVVDAWLDVEALQYDPAMHAVFVQHRVVTALGGEPDERVIAESVARLRETLDVYEARLTTRGYLAGDEVSLADLSHVPYTRYLLEMPYGPPVFGEFPRVTAWWERLLARPAVRKVVSMMGGGSG >LPERR01G14160.1 pep chromosome:Lperr_V1.4:1:11772192:11773316:-1 gene:LPERR01G14160 transcript:LPERR01G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEVELRVEALSQIGLSSIPPEYVRPEEERTDLGDAVELARAASDDAVLIPVVDISAFDDDSADARRACVDAVRAAAEEWGVMHVAGHGIPDDVIDALRASGEAFFALPIHEKEAYANDPAAGRLQGYGSKLAANASGQREWEDYLFHLVHPDRLADHSLWPAHPPEYIPVTRDFGARVRSLASRLLAILSLGLGLPEHTLERRLQDGDGDCDDELLLQMKINYYPRCPQPDLAVGVEAHTDVSALSFILHNGVPGLQVRHGGRWVTARNEPGTMVVHVGDALEILSNGRYTSVLHRGLVNREAVRVSWVVFCEPPPDSVLLQPVPELLIAKGGGAEIKPRFTPRTFNQHVQRKVFKKKQDQQDGDEDAAAA >LPERR01G14170.1 pep chromosome:Lperr_V1.4:1:11775435:11778840:-1 gene:LPERR01G14170 transcript:LPERR01G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAMAAASLSDDLEPATARTRIRSILVDGAARAGERVVVGGWVKTGREQGKGSFAFLELNDGSCVANLQVLVDAAVHPLAPLTATGTSVLVEGELKKPPEGTKQRVELRVVRVLEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKESPAPSESDIQAARFVVEEKGNVVKKLKTEKASKQEVTAAVAELNKAKENVSKLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPELAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLELVSSTPFQRISYTKAVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >LPERR01G14180.1 pep chromosome:Lperr_V1.4:1:11811789:11813939:-1 gene:LPERR01G14180 transcript:LPERR01G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVNLLLMEVAAIVSIVLLALLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWNAARVPLLLAWTVFLQMLRNTIDTARSSSSTISGNGSGASSKFRPSVEQLARMGWVAFLIISSGGTAGSPELTGVLLWLWVLSLAKLVHRLIAAELAKNSFAVGLNAFLIADYMKQLYGQDQGEEDHDLQSPPYLVMGEEHLKIEARPQGYRIGRTSAPALSVDAGHVVTMDRICRLSSSGDPVLASSHPQINDMCLSFALFKLQLRRFVGCPVAESGSRRALAFVQHGLLGGGHERAFRVIETELSFLADFLYSKLTIFYASGWWFPALNAILVLATWISCLAAGGAIVHDMACHDTALANEYNGLRDYLHDHDAVFHVIVGLDVLVTVSFIVAVVFTEGWEIATYVRSDWIKVSTLCEYARRPSWRKSQRTRRSVGRLLRLNPVQRWDDRFGQTCILQLRLCYCGCVSRQVDRIAKSSVTVPEAVKSSVVDTVRANNGSLGNGVLSLRRNGVAEKLAWACGDISVSEQILVWHVATGLVDIKRSEGAAAHRKQDGDTFVVATRLSRYCAYLVAQKPELLPDHRAWTEEVYEGVVEEVSRVLARGGGAGAPVLRYERAATCLGGSTNATLRKAAKLGRQLSEELRGGGGRDDELVWRVLADFWAELVVYLAPSENVAAHAKSLRRGGEFITVLWALLGHAGIISRPDSDV >LPERR01G14190.1 pep chromosome:Lperr_V1.4:1:11842783:11845586:1 gene:LPERR01G14190 transcript:LPERR01G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFLSIFSTKSYRRSSPIQKILVIISSPTFPKAATPHVACIAVAGAGKPNLGFWPSPPKSPNSSPSLIQIEGAEGVGSEFGADAHAIATVGGQEWYDYTKFESKSCTFDHD >LPERR01G14190.2 pep chromosome:Lperr_V1.4:1:11842783:11845583:1 gene:LPERR01G14190 transcript:LPERR01G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFLSIFSTKSYRRSSPIQKILVIISSPTFPKAATPHVACIAVAGAGKPNLGFWPSPPKSPNSSPSLIQIEADQEVVGEALEEAVDP >LPERR01G14190.3 pep chromosome:Lperr_V1.4:1:11842783:11844284:1 gene:LPERR01G14190 transcript:LPERR01G14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFLSIFSTKSYRRSSPIQKILVIISSPTFPKAATPHVACIAVAGAGKPNLGFWPSPPKSPNSSPSLIQIEGAEGVGSEFGADAHAIATVGGQEWYDYTKFESKSCTFDHD >LPERR01G14200.1 pep chromosome:Lperr_V1.4:1:11865341:11872439:1 gene:LPERR01G14200 transcript:LPERR01G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQSVTFLRLHSHICXXXXXXXXXXXRGIDAFLTQDCTRFAEFNWCQHMVSELRASAVKWKAIRFTPKVKSVSGCTLLLAGIYLHNCASVHAIPITDSPTCLLFDKATMDNIIREDTRTGLLGRVRYGACPMRHIQAGNYEPSGHQGCTSNRSPPPNYSAFSSEFPSLRQAIGDVVLSCLNKEDRDEADILLRQFDAKAYDAVKQIFDAQKLFNATIAMATKSVKDFLLKKGISRVSPSAGMARDQTEGPQDSNFNGEHRDRSPHSHEGIMVIHQKNTVQTY >LPERR01G14210.1 pep chromosome:Lperr_V1.4:1:11891471:11894804:1 gene:LPERR01G14210 transcript:LPERR01G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAWGSGGRAHHRAACAPTAKRFSGRRVPPGGMAVGPAVCVAARRQFPAITGARHICLLPSYRLAPRWASTNTHRSYMQFVSDFDQLTSDRVHQERTRDQLRYNDDTNGYAMYLQWYRSVVRWRCFPPQDDTDSRQEPTIEMTTAAAPRAAFNEMVEFVDTFSRDSEELVTSLESQPPRSYTAGPQPPPYTSLFAHPRLHVPVGFGESGRLLRPPLGGEGQFVPRWSMGVQPTAPFVDARDYSFPVPTSADVASDSSMAAASPTTPGRHFVQSLFSPDYPEAQNYLGDAPSLTQPTQPTEPPVPTATPPGGRVPTARLLGGKCLPSHPQALPSGTPCTCLSSSPGPSSSRCGNGNGAISKFRTSVE >LPERR01G14220.1 pep chromosome:Lperr_V1.4:1:11899686:11900032:1 gene:LPERR01G14220 transcript:LPERR01G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYVLPMSTNLARVLVCLGEAEAQYEVIPIDFSMAEHKSPEHTSCNSQLFEMVI >LPERR01G14230.1 pep chromosome:Lperr_V1.4:1:11906936:11908597:1 gene:LPERR01G14230 transcript:LPERR01G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWGSTRYCTVSRESQAISKYVLCKNNSELLKEYNISNCETNVKVVEENLEKLNKIFQVYEEHLSKFKYLARDFICLADLSHFPSAHYLLATSHATILDDFPLLKAWITDMLARPTVKEVIEIMKVTA >LPERR01G14240.1 pep chromosome:Lperr_V1.4:1:11940709:11942925:-1 gene:LPERR01G14240 transcript:LPERR01G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAPSARRMSHTQFIELLRRGGASSSPRHGEVLHGWALKSGAASHAPVANSLITFYSSLLPRPLLAAAFAVFDEIPPLSRDAASWNSLLNPLSRHRPLHALSHFRSMLSSSTVLPSPHTFAAAFTAAARAASTTAGTAAHALACKIPSAVSNVYVSTSLLNMYCKLGIVSDARRVFDEMPQRNAFSWSTMVAGYAAEKCSEEAFDLFRLMLGECPYEKSEFIATAVLSAVSVPLGLLMGVQMHGLVLKDGMLDFVSVENSLLTMYVKAGCMGAALHVFESSRERNSITWSAMITGYAQNGEADSAVHMFLHMHTAGFAPTEFTLVGVLNSCSDLGALAVGKQAHGLMVKLGFEVQMYVKSALVDMYAKCGCIGDAKEGFDQLYEVDIVLWTAMVAGHVQNGEHEEALTLYARMDKEGIIPSNLTIASVLRACAGIAALEPGKQLHTQILKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRMPNRDVIAWNSIISGFSQNGCGNGALDLFEQMKMEGIVPDHITFINILCACSHMGLVDRGWDYFRSMTKDYGLTPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFNVGAYAGERLMELGTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSQVHVFVVGEQQHPESENINAELRRLAKHVKDEGYHPTPKFSFNEELDALAESHEEDQLELISAAYS >LPERR01G14250.1 pep chromosome:Lperr_V1.4:1:11945447:11946309:1 gene:LPERR01G14250 transcript:LPERR01G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVYGLPMSTNVARVLVCLEEAGAKYEVVPIDFSTAEHKSLEHTSRNVRYNPFGQVPALQDGDMILFEVESHQFNIPMAVIIYQCLILPVYFGGETDVKVVEENLEKLNKTFEVYEERLSKFKYLAGDFLSLADQSHFPSAYYLLATPHATILDEFPLVKAWVTDMLARPAVKKVR >LPERR01G14260.1 pep chromosome:Lperr_V1.4:1:11953825:11958601:1 gene:LPERR01G14260 transcript:LPERR01G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNITSNSGGGSPRPPPPPPAPAHLDVYRHGVPPPYYHSYPRFPRGAAAPPPVPVPAQVERHRAVAVSVGVNVKGDTLRLVPDDADDGRSLLLAFSFDADAPGSLTVYFFAQEDDECAVKSTKENLLQPVTVPFKEGRGQEFKQPCGSGIDISRFEESELTNVGEGGVFPIAFKVEMDVSGNQESEGAHDTEESKSLVKYAIFVKKSNAEYGVQVVQQILWVNGIRYVLHEIYGIANRTDKNVHEDDSGKECVVCLSEPRDTTVLPCRHMCLCRECAQLLRYQTNKCPICRQPVERFLEIEFGSKPISHQGSQ >LPERR01G14270.1 pep chromosome:Lperr_V1.4:1:11957730:11961452:-1 gene:LPERR01G14270 transcript:LPERR01G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRALALQELEAKKEARKERNIQARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKESASLAVPKSQVTLFLNLPIVSPDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHETLLSLYRVPKFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYISGLKPLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPEPVQENHDESMSDANEREGTKTTSASTQNDKLYTAEGILDPRKKKAEKKRRKANKFSVLTDMDADYDFKVDYQMKDAPAEDGDDGDDEPKESDPMTGVDDATFLISEHPTKPQASDGRCKCVRLLGKNTEVSFSQGRQQEEKLPIGDLGYLIDITDITASNMRSKRMPHNI >LPERR01G14280.1 pep chromosome:Lperr_V1.4:1:11968697:11972160:-1 gene:LPERR01G14280 transcript:LPERR01G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRPCPASAPVSSPPHFGASIPTPAAACADIPQPGAPSSCFFPLHTRRPTMESNRDEALKARDIAVKKMENKDFDGAKRMALKAQRIFPGIENISQILTVCEGAQPAQATQPKQHKQPPLAKQPKHSTQPVQATQQSAQPMQATQTKHATQSTKYTEPTEKTDVNRTRNAKAGNGSSVPPPSAGDAFWTICTHCQTKYQYYSNVLNLYVCCQSCKKNFLAHRLNEHGVRSAFSSTAAKSTRQQSGVPGQQDYFMKFSSAASKDAKFSVHGAQHGEQMKSNVRAGGEGTANHMESIGKGRHDFSTLHKSCAANDGDKAGGNMTSCPAPDVSGRQNPDSKVDTSAEPSMTDIPNPRRSARRKSNTDASNIQNSPGKKRRTILDWFSNAESSCKKVADDNVARADGQAGEPHVSSEARNHEKENTKDEGIKEEKQDVADPGNFTYPDPEFFDFDRCRDVSMFAVDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAMNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWAKGRKRGSYVIYPRKGEVWALYKGWSMQWSSDADKHKTYEYEVVEILSDFTLEAGAAVGLLVKIKGFVSLFSKVKEKSSFVIPPSEILRFSHSIPFFRTKGDEKVGVAAGFLELDTASLPSNLDIAFSSVTLNSCMPISKTTNRGRQQSAAHSSQQFSPSPSTFEYPISEFHNFEECRSYSKFERGQIWALYSDLDKFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQEKMWLEQDIPVSCGTFKIRNWRIKYDTNDAFSHLVETSQVGWKRYFQIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITERTESSTKVLLLTQVDGYRAVFKHVNQRGILEIPAEENIRFSHQIPYFRLTNENGGKLCGFYELDSASVPDTFLFRRSR >LPERR01G14290.1 pep chromosome:Lperr_V1.4:1:11986089:11997796:1 gene:LPERR01G14290 transcript:LPERR01G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLCVPLVTRTVEAMLADAAAAAAAGADLVEIRLDFIEGFRPREHLPLLLRGCPIPALATYRPNWEGGQYEGDDITRFEALRLAMELGIDYVDIELKFSIFLEMNDLSMEMWHDCVHNNFENEFSVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGADIVKIATTARDIVDVSRMFQVMVHCQVSAPGQPTVEELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLADDLARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPIAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGAGGAGKAIAYGAKEKGARVVVANRTYEKAVNLAAAVGGRALRLAELETFRPEQGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLQEAEECGVKVVSGVEMFIRQAMGQFERFTGGIEAPESLMREIAAKYT >LPERR01G14300.1 pep chromosome:Lperr_V1.4:1:12012854:12014774:1 gene:LPERR01G14300 transcript:LPERR01G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQEVESCFVSPSEETPRKGLWLSPLDMVIANRGHTPTVYFFRRDADAADDFFDVSRLKEAMAKALVAFYPLAGRLRLEGDHGRPDIDCNAEGALFVVARSELTVDAFSDLKPSPELRRLFVPRLEPSTIVLGIQVTFLGCGGVALGTVLHHSAIDALSAFHFFQTWSAFCRDGDAAVVELPCHDRTLIRARSPPIVHPDAHSMFSLKLSLSESSSPITTKFFPISDDQLAALKKMCGGASTFGAVSALVWQCMCVARELPLHAQTRVSFPVNIRRRVNPPLPDRYFGNALVIAYAATTVKDVVSGTLAATAALIKGTLGRLDSEMLQSVIDYNEMAGVSNKPAKGNLPDTELRMICWVGMPVYDADFGWGKPQVMSRAESVRGGFVYMMDGTENGGGGVRVLMSMEAVKMGLFEKLLYAKFA >LPERR01G14310.1 pep chromosome:Lperr_V1.4:1:12032134:12033705:-1 gene:LPERR01G14310 transcript:LPERR01G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVASPSPKSLASESDPSMMLAITSNMEHSQANTQSISVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVRGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSLGRRVADPPGYLHGVNFGSFPDTQNEEESIVYTGTSRNECGGRTTSNQMYCYNSSQTTREPCKAFYTVTDGNWGTSSRSHNLACALNEGLGIDQGLSDERSWWVRPGDLQGLKGQLIRLFELSGGSVPLFRVPAEYLKLFGRQLYVSEYGVVKLIHLFEKLSESFVVIGKGHKKVICLRNSGDRNLKKYPSTPTILKKENRGGPVLDERTIGTCQQLGSSSEDLSEDERNINPDIDGVYAFDSHLDSFRQEIQELLVCYSCPVPLGNLESLYEQRYKKKLIYESFGVDGLEELVEKVKDVVELCEDQTSKRKFLIANYHS >LPERR01G14320.1 pep chromosome:Lperr_V1.4:1:12059486:12059671:-1 gene:LPERR01G14320 transcript:LPERR01G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALDYGNSIRLRTTMAAPAAAQQCLRVAVKDPSLHQCTLHFTPHPRHLLSGSTRRSLSL >LPERR01G14330.1 pep chromosome:Lperr_V1.4:1:12067103:12071993:1 gene:LPERR01G14330 transcript:LPERR01G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGGVGSSETEAIERERAREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKTAFSRILLNTLLPRYKKVAYLDTDVGQPEFTPPGFVSLHVLEEQAEDFKMLYLRTAKRCFFFGDVCANKNPKLLLSYIFSLYDYFLKELYRFDDVNNLERSAIPLVINTSGWVKGTGLIMLKEILKYTSPTHVIRLSTTADGKNLPVGMFWSDESEGDAVNLIEIRAAQDSPHHLLVKEARIIQPFQLPISKIQVIGLHNQVPDNAEHHFLNGTIVGIATSASPPLSNQCTIPCCIGLGFIKAVDVPGDCIHLITPVSRQLLESVDIIFRSCITVPTCLFQVASDSVCDITERLEKMRCPLEGLIELP >LPERR01G14330.2 pep chromosome:Lperr_V1.4:1:12067103:12071993:1 gene:LPERR01G14330 transcript:LPERR01G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGGVGSSETEAIERERAREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKTAFSRILLNTLLPRYKKVAYLDTDVGQPEFTPPGFVSLHVLEEQAEDFKMLYLRTAKRCFFFGDVCANKNPKLLLSYIFSLYDYFLKELYRFDDVNNLERSAIPLVINTSGWVKGTGLIMLKEILKYTSPTHVIRLSTTADGKNLPVGMFWSDESEGDAVNLIEIRAAQDSPHHLLVKEARIIRDLRLIAYFRQCLPMEFPVFCYTDLIQGFASIEPFQLPISKIQVIGLHNQVPDNAEHHFLNGTIVGIATSASPPLSNQCTIPCCIGLGFIKAVDVPGDCIHLITPVSRQLLESVDIIFRSCITVPTCLFQVASDSVCDITERLEKMRCPLEGLIELP >LPERR01G14340.1 pep chromosome:Lperr_V1.4:1:12075893:12076225:1 gene:LPERR01G14340 transcript:LPERR01G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLLEATGDSESDSAADHHGGGWVIDADDSSDAESCCGGGGDDDGGGSVEVLSWERWMRECAGYHYQQQQVVVGDGKSPATEDVAGGGGGRDAESDRLFWEACIAHGF >LPERR01G14350.1 pep chromosome:Lperr_V1.4:1:12078116:12082503:-1 gene:LPERR01G14350 transcript:LPERR01G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSINRGARCLTTTTTTEILKFSRRDPSLLLSSETTARSRAHTHTRHLHPSVAGVHPPPRGRRSGSGLCGSLLFRSMVAKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRSPETEQRPHKVSFFVEKKNAQEVIKSLSKNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLGSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPNIIHATERCAAGIIEAIGHFKLGPNVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWCRAEVPKSDSVTQYFKNITHANGVIFQPSGFECSLHASIDALGSSYGDKQGKKYRAWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHIHKTWLKGYASADEQSSKL >LPERR01G14350.2 pep chromosome:Lperr_V1.4:1:12078116:12081321:-1 gene:LPERR01G14350 transcript:LPERR01G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRSPETEQRPHKVSFFVEKKNAQEVIKSLSKNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLGSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPNIIHATERCAAGIIEAIGHFKLGPNVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWCRAEVPKSDSVTQYFKNITHANGVIFQPSGFECSLHASIDALGSSYGDKQGKKYRAWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHIHKTWLKGYASADEQSSKL >LPERR01G14350.3 pep chromosome:Lperr_V1.4:1:12081323:12082503:-1 gene:LPERR01G14350 transcript:LPERR01G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSINRGARCLTTTTTTEILKFSRRDPSLLLSSETTARSRAHTHTRHLHPSVAGVHPPPRGRRSGSGC >LPERR01G14360.1 pep chromosome:Lperr_V1.4:1:12084358:12085976:1 gene:LPERR01G14360 transcript:LPERR01G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPLYLLLLALLLAVPILCLTRSSSRRHGGGLRLPPSPRWALPVIGHLHLVAGALPHRAMRDLAARLGVGGAPAPLMLLRLCELRAVVASSADAAREVMRTHDLAFATRPLNPTGKVLMGEHSPGLIFTPYGDGWRTLRRVCVLELLSARRVLSFRAVRQDELARLLRAVAESTPVNVSERISAYVADAGVRCIIGSRFKERAKFLEMMGRRIKRMPAQSLPDLFPSSRLAMLLSSMPRRMRRDRKEMMDFVDAIFHEHRERRATAVGGDVEEDLLDVLLRIQSEDGTNPVLTTENIKIVIADIFVASSETAATSLQWTMSELMRNPRVMRKAQDEVRRVIAATGHDTVTEDILPNLPYLHLVIKESLRLHPPATMLLPRECREPCRVLGYDVPEGALVLVNAWAIGRDPVYWDSPEEFKPERFEVVDVADFKGNDFEFIPFGAGRRMCPGMAFGLANMELALAGLLCHFDWELPGGMSPSEVDMAEAMGLTTRRRSHLLLVPKLRVPLSDREQH >LPERR01G14370.1 pep chromosome:Lperr_V1.4:1:12087297:12092511:-1 gene:LPERR01G14370 transcript:LPERR01G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLPQAVMATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENIPIEHLDIFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARKHGVPLPEDPPWWTVFDADEEGIQEVCRVLAHLYSFSKSQYIQVYKDNDSFTLRRTSDTNASKESPSSVVASDKGTPVPSSSSQEKDSLIKSGSDKVKEKGNDDGKTLPAELNGKEDTAGNSKSEKPESNVDRTRERERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDRESDRDRQRRHRSTERSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRNRRQ >LPERR01G14370.2 pep chromosome:Lperr_V1.4:1:12087297:12092511:-1 gene:LPERR01G14370 transcript:LPERR01G14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLYPSTTPSFSPTLYWSRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENIPIEHLDIFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARKHGVPLPEDPPWWTVFDADEEGIQEVCRVLAHLYSFSKSQYIQVYKDNDSFTLRRTSDTNASKESPSSVVASDKGTPVPSSSSQEKDSLIKSGSDKVKEKGNDDGKTLPAELNGKEDTAGNSKSEKPESNVDRTRERERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDRESDRDRQRRHRSTERSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRNRRQ >LPERR01G14380.1 pep chromosome:Lperr_V1.4:1:12094843:12101469:1 gene:LPERR01G14380 transcript:LPERR01G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPASATVGDLQRLIAARLTVPVPVQRLSLDASLLLPTAAASPPPLLSSNPSTPLSSLRLSNGSFVYLSYPPDARSSQPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQENPLCAAASFDRDAANAFQLHVCEALAFAAKRAGFLYGRVDADTKEVFVDFIYEPPQIGSEDVVQLMRDAQEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVLQAAELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTAFPIENRGNPVSMTALRSHLDRAKHLPFVKRISDFHLLLQLSVFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >LPERR01G14390.1 pep chromosome:Lperr_V1.4:1:12107240:12107985:-1 gene:LPERR01G14390 transcript:LPERR01G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEYNISESAKVDVWLEVESHQFDIPMAVVIYKCLILLVYFGGETDVKVVEENLQKLKKTFQVYEERLSQVQILSWRFRQLG >LPERR01G14400.1 pep chromosome:Lperr_V1.4:1:12114998:12115895:-1 gene:LPERR01G14400 transcript:LPERR01G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGAQYEVIPIDFSTAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEYNISESAKVDVWLEVESHQFDIPMAVVIYQCLILPVYFGGETDVKVVEENLEKLKKTFQVYEKRLFKFKYLAGDFLSLADLSHFPSAYYLLATPHATILDEFPLVNAWVTDMLARPTVKKVIEMMKATA >LPERR01G14410.1 pep chromosome:Lperr_V1.4:1:12126251:12130098:-1 gene:LPERR01G14410 transcript:LPERR01G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLGGNNGLLWLVAAAVVVVVFAGSSGIAAAQAAGLKKGFYKKSCPKAEEVAQRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSPNNTAEKNAAPNLSLAGFEVIEEVKAALESECPGVVSCADIVALAARDSVSFQYRRKLWEVETGRRDGTVSSDQEALADIPAPTSTFPILLVNFSSKGLGLQDLVVLSGGHTIGIGHCNLFSSRLFNFTGKNNPSDIDPSLNPAYARFLQGQCSRNLQDPNDNTTVVPMDPGSSVSFDSHYFVNLKARQGMFTSDATLLTDGRAAALVDKLQDPGVFLDHFKNSIKRMGQIGVLTGGSGQIRRRCNAVNS >LPERR01G14420.1 pep chromosome:Lperr_V1.4:1:12135446:12136069:1 gene:LPERR01G14420 transcript:LPERR01G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPIRRKPIEVAVKVQEEKEGILEVVDPRPPSTDDQSSQLSPSGVAGFEETPTADELVLRHLLPRLRGFRCPDGDVPAIAAKDDPHAAEAPRDLVARHGGRADRRRGEAFYFAPRWRRRRHVLRTVAAGGGLWKHSSTSAGESVTFLGGVVLWRATRYCFYERGDGCGAGIRAPGGGCWSTRSRSRRLTGAPTRRRRTSTGFCAT >LPERR01G14430.1 pep chromosome:Lperr_V1.4:1:12138614:12141185:-1 gene:LPERR01G14430 transcript:LPERR01G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMDQHQTFSVEGTGQKGTDQQHKDNNAAGAGAAKPAGDKVLSASANLAQLLRTGSVMAYQALSPSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAFTDSIFHNGKLYYGIALKGGLKIFNKDDEDHCINGANAETKPSWWWKSICKGKMEEELNKKKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGRDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFHDNADSTAAAPSNSNTPAAPSNAKVGDLKTQEEIKGPHDRNTSPSAVYHHDIEEARPQTLAADYYGNQSWYRN >LPERR01G14440.1 pep chromosome:Lperr_V1.4:1:12164608:12173455:-1 gene:LPERR01G14440 transcript:LPERR01G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLQAAVAGRILRQDKIMGPYYWAVPILPSSGTYIQVKLFRIRYGFHTSFLYYGFVCGLPLHHKYKKISQIYHQT >LPERR01G14450.1 pep chromosome:Lperr_V1.4:1:12178728:12179678:-1 gene:LPERR01G14450 transcript:LPERR01G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSSFPKDAAGSRRNEDYISPLHEALRGTTAMPNMGEYGPLGSAMPLIISAPQDSTVLPSQNYSSYNPNTVPPPPPQQFPHQILPPASHVNTTIAEARLVSSGQNQEQYFPPQNFLTAPMGNYLSASNQMQIKSTESPPVTSLVQGDPFAVVHAHLNTTGVMDNGPIFENSAARVTPEVNYMASGHPFHSQNNQPFISSNIDQQQHTLLGSSSHVMHFGPFPSDELTFGPFPTSPYATWEQSAQFGGQNSTPAGGVEVPAVGSAGVTEKDKKEYKCKICPNAIFKTPQAYGGHMSYHSKKDKKNLAAGSSSGGN >LPERR01G14460.1 pep chromosome:Lperr_V1.4:1:12192070:12194004:-1 gene:LPERR01G14460 transcript:LPERR01G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIFSYVAPEQRRDLYEDYDDIVRNYLTIYNFEPLCPLIIENVFLFLQRITLSEGTNASFKGGVGPTNRITRFLRKMGESVTEFSMNMTKMIIIQVSK >LPERR01G14470.1 pep chromosome:Lperr_V1.4:1:12196983:12202967:-1 gene:LPERR01G14470 transcript:LPERR01G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPTMHPKLLMACRLGDAQRLKDLLGEERAAPVARPDLVVVRLDEAERPPTPSSLLEGISAVEGDTALHVVASRCGVNDESWTPFLETARVIHERASHLLHVRNRNGDTPLHYAARNGDVRMVSLLIGLAPTANDDKRRQLLRAVNESGETALHDAVRAGSEGVVARLMAEDSELASFPRDGGGTSPLYLAVLLEEVGIARSLHAMSHGSLSYSGPHGQNALHAAVLRGKVVTEMLLDWNMSLTEQGDSDGCTPLHFSISQEASHSYKMSSKTNKIPWIRFTTLAIDIPLLLLQANPSSTYQTDDSGSFPIHIATAAGANKTVSMFLQTFPDSGSLRDAKGRTFLHVAVEKKRCSIVEHACRIPSLEYVMNMQDSNGNTALHLAVKAGDAKTFFLLFRNRQVHMNLTNKHGQTPRDMSICDIPPGLSYKWNPKQTIQRALARANSNRGVYRGDQFEEEHILRPKKEDEEKESEKLNNSTQTLGISSVLIATVTFGVAFALPGGYIADDHSNGGAPTLSGRYSFEAFIVANMLAFICSSIGTIGLMYSGITTVDLPTRQKHFLRSLFFVSSSLTSLVAAFSLGTYVVLAPVAHRTAVGICMISSLVVIYRSKGRFQRII >LPERR01G14480.1 pep chromosome:Lperr_V1.4:1:12229509:12230009:1 gene:LPERR01G14480 transcript:LPERR01G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLAILLTLAVAAAMASPSQAQNSPQDYVRLHNAARAAVGVGPVTWDSSVQAFAENYARQRAGDCSLIHSNNRVNLGENLFWGGAGKDWTAADAVNLWVNERSDYNYGSNSCAQGKVCGHYTQVVWSTSTSIGCARVVCNGGRGVFITCNYRPAGNIVGQKPY >LPERR01G14490.1 pep chromosome:Lperr_V1.4:1:12250791:12251288:1 gene:LPERR01G14490 transcript:LPERR01G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVAILLALAIAAAVACPCQAQNSQQDYVKLHNAARAAVGVGPVTWDTSVQAFAENYARQRAGDCKLIHSSNRDNLGENLFWGSAGKDWSAADAVQSWVNEKKDYNYGSNSCAPGKVCGHYTQVVWRASTKIGCARVVCSNNRGVFITCNYKPAGNYVGQRPY >LPERR01G14500.1 pep chromosome:Lperr_V1.4:1:12263344:12266704:1 gene:LPERR01G14500 transcript:LPERR01G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGECIFHNPPVLVAPTEATPHRHALYLSNIDDQCFLHFPIQYIYVFTGALDVNALKIALSRAFVDSYPPAGRLRASDEHDGKLVIDCNAKGALFAKGFLAGITAREFMLGCAKPHESQSFLCTPPLRIQVTHLSCGGTILCTAISHCVSDGFGAAHFLRAWAHAATSSELDNMHPAAPPFHDRRALAPRCPPRIAFAHPEYTVNSDGDAATMEAAWRLFAPPLSPVSVTFTAANVAWLKKIYATASSSVRGCTSFEALAAHVWRAWVRALDPPASLRVKLLFTMDVRRLLVKEPKLPGEYCGNGFVLACAESAAGELVAAAPHAAKEVQECKKRIDDDYVRSVIDLLEVRRGCTTFTISSLTRLGLEDIDFGAGRPIHFGPLTSEIYCLFLPVIGDPCGTTALVSVPQAAADAFERWCVDGLDAVDEGGENQLTAVKHLGDGVSTL >LPERR01G14510.1 pep chromosome:Lperr_V1.4:1:12275738:12282458:1 gene:LPERR01G14510 transcript:LPERR01G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGCSDVGASRGACEYKAVGGDRVTGVEPSTALTASSMVLAMRRLREGGREGALGGEPSALSASMSRTFFILQRQHARCVKDTILHAIAQATVAAEVEQITDGYSILVGKGGFGEVYKGILDDGCPVAVKRFMHGTKKEEFAKEVIVHSQINHKNVVRLFGCCTEENALMIIMEFICNGNLDNILHCSNTDGHVPFPLYKRLNIAIEVAEALWCMHSMYSPVLHGDVKPANILLDENHSTKISDFGIARLLCSNGAQHTKNIIGSRGYLDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTFILAHSFREAIEKGKSVMDLFDEEINDTENTNFLQDIGKLAVKCLRRDVEVRPEMIEVAISLRMIRKALEGEQGSQNQQHISAPNKSIPWKNVESTAHQFGNLNVFKRKEIKHVTRNYSMIFREEFHERLFSGVLGTVHAVIVKQVTTSSKTDRDPKDVSGHLFNAKSDVYSFGIVLLELIAWKTAGFILGGRAHMLTTDFLDTYRTHGGAADLFVNKVYDEEGRCFLDEAIAIGVECLELDVQMRPEMSDVLSRLRVISAAQSIRSKLMGAQAKDFGDDGPNQHIAPSPVNNAVKTPPVAASTISLDILKKITRNFSNNVLIGEGSHDVVFLGILKDGKKSAVKKFDPNTELQISTISRILKHDNVVQILEYFIEGQNRILAYEYAPKGSLYDILHGKKGITRAQPGPPLSWMQRVKIALSAAKGLEFLHDKAVPPVIHTNIKSSNILLFDNDVAKIGDLGVSRPIDVDSDERFPRTFFSYEAPECRVTRQYSAKSDVYSFGIVLMELLTGRKVVDGYNETQ >LPERR01G14520.1 pep chromosome:Lperr_V1.4:1:12285413:12293399:1 gene:LPERR01G14520 transcript:LPERR01G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAALCMKSDEKFRPSMSMVVKALTRRHGLVPGHCRNLSCGGTILCTAISHYRIAFANPEYTTDFASIADAATVEAWSRHFALQLSPVLVTFTAAQVARLKKLFAPSSSLGGCTSFEVHVWCEWVRSLDPPSSLRIKLLFTMEVRQLLFKEPELSREYYGNGFVMVCTESTAGELAAAAPHAVRLVQESKRGSMTTRGCFPDQAMTFTISSLAHLDLEDIDFGAGRPIHFGPLTIYCVFLPVIGDPRGTTAIVSMPQAVADRFERLCLDGLDAVDEEDEIQKLHI >LPERR01G14530.1 pep chromosome:Lperr_V1.4:1:12294028:12295227:1 gene:LPERR01G14530 transcript:LPERR01G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRKRKRAGEKPSCLPAAGTSSSSFESLNDDVLGEILVRLPSVAALARAACACARWRSLASSPAFLRRFRALHPSLLGHFATDHDDESVLPMFHPARSQFDDGCSDAAVRGGDFHLTHVDANAGWRLHDCRHGRLLFANERDLLVYDPLSRRGVSIRRPSWDPDLSTHFTHLLLADRTDSFRVVSVEHYGEDAARGAVYSSRTGTWRRGRWDERVVNPKRPSECSYYPGMQADGRVYWKNRDTTRLQVFDAGDMRFSYVRLPDGVHPRSKYAIGEAEDGACCLVCLADAPHGAVFKAYRLRIVGKGSSSEWWSWELDRRVPASLVIGKLKYPPVRHVCAVVAGVVLICFQNYSAPHRHVAFRLSDMKVEATLRSSGRAYPYLMPWRHSPTLPSSSLV >LPERR01G14540.1 pep chromosome:Lperr_V1.4:1:12300299:12306111:1 gene:LPERR01G14540 transcript:LPERR01G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLGRPPCHGFTELQPAGAGAAVRLRLLQAARAANTSSEPAARLRAVLEQVDDELRKGNDEAALSVVRASQGVDGGGLRFFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSLSVAFELSQLQALFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLVEKGSLNVQAGTAFVDFEFLQEVSTGKLSAKMLNKFSCIALAGVATEYLLYGYSEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLILRRHERARSQLAAAMSSGKSVGSCIGVIEENINTEDI >LPERR01G14550.1 pep chromosome:Lperr_V1.4:1:12310028:12312533:-1 gene:LPERR01G14550 transcript:LPERR01G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLLVRYAEGAVNVLIGKLARILSQELQLLRGVKTDAYFIKGEVEGMQSFLKNLARSEDHDDQVKTWMKQVREVAYDAEDCIDQFLHKMIAYHPLTDIDRDKIQEQEDSSSINQLRSAWVDIKLCTETLLYQLKTCRCSCDADMFSNSFLSDLKLRHKLGMVMQNLRRRAKDVSMRRLRYAVSFEGNGNGIIADGHNELGINPQVGPIDNDLRSMLCGIEDPEKDLIELIKNQRQRTSGALSGWFRWFGKDYPSKGISQKFDLHRLLLNIMKQLSKVSDQSYPLITADSVRGRLAGMKYLVVLDDVWSIPAWDSIKNAFPDEENSSRLLITTRMESVANACSKGLIYRIEPLSDGDAVKLFWKIMGYVETGSDIIDTKDCTKRMEPLKDGETSLEKRVALPLHNVASKIIKKCGGMPLAITYITKLLASKQATQEEWEKFSNSIGSQLENHPNLGGMKQILTLSYNVMPYHLKTCLLYLSIYPEDYDIKRKNLVRRWVAEGFVAAQRGMTAEEVVESYFEELVNRSKIQRGEIGYSGKIKTCRVHDMMLEIIVSKSIEQNFVTIIGERFLGVPEDTIRRLTAHNITKIEHAQVGGDLRQVRSFTAFGDVKRYIWSFSFRLLRVLDLEGCKGLKKARLNNMCKLFLLRFLSLRATGIARLPEKIGDLKELETLDIRQTMVQELPVGITKLRRLSHLLAGSKRIRRQEDYRYLISDAVMPDAFDSMESIQTVCY >LPERR01G14570.1 pep chromosome:Lperr_V1.4:1:12344237:12346446:-1 gene:LPERR01G14570 transcript:LPERR01G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAKTRRGLAAATSRRRGSTTGRRGTEPGSSRGSLAQKNHHRLEEHVFPDQAGGLGAAAAAPRCDLERRVHGAALLGAFSPCFHQPPPHIRFLFPMFLLYLCTPMFRLLRLKSSDELASPATAASEQEQTLRLFLQDIERSIVFAICMNPEATEFFRDHRRRLDDYLAAAKNLLQMLQYPAVVASGDLHSRAESLLVTAMCSLATGLCHLRIWKPDALKGYVGCTPASIWELARSSFGGGSSSSAASWMSTSRSTGGSSGGNFASFDGYFLSFGEERSVRSGQAPSVTASYIDPKSVSILNKIASFMIEVGYEQKLRESFDRHSQHLVRYIEILDIDNILGNHMEESTELLLKVWISTLRIIFGVLIEMRRQLNQNDCEIINSLKEDYFSAIAKSSVMKLLNYASMICIQVDPSHQPSCGDSLAAIKHDTSKMVNLVTIYQALEYARLEILDLFSGQTKDLILTEVERLTNRLSAVFLEQLIELNFLLRSQQLIISNTGAHRVTRHIMDLMLLLVQQKNTVHMMLNGNPDKLAQVVTQLVTSLKFLLEMNSQSLALQGQQQLFLLNNMNFILQQAYKYTDLALILGESWCSQRHGELDQLMASYLEASWTPVISSNSKPNNLVVPSIV >LPERR01G14580.1 pep chromosome:Lperr_V1.4:1:12348926:12349966:-1 gene:LPERR01G14580 transcript:LPERR01G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTADRQVFDGRRFRLAAAAAGGGGMGGDDETEVADALRELADDPTGGVGIVELLGLGSLPGEEKGGGGGGVEHLAKRPRVACDGEFVLPPLPMPMPEVASGFIPTPAAAAEVVVPPPYWLVVPELPTPTTRHWQWQARRPDAAALVRGALAAEGTNSGATAKAVVAKERRRRVSDKTAELLRLIPGASKLNSTAEKLRAATLHVKLLQAQVGILALIPTTAAGEEEEEEEEEENAMPSMAMAAEEKRTMRALLASSGVQERLAGEGKCLVPTSLVRAIAGDESSYNPILSRDLNRFINSLQKKKQ >LPERR01G14590.1 pep chromosome:Lperr_V1.4:1:12361159:12365454:1 gene:LPERR01G14590 transcript:LPERR01G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRGKKPVDTPADNILIRKWWFGDSDRSHGGCLFYGNKSPPFQPDSSSSSPHGGQPHDSMEVDTQKSEIPRKREDSDRTISARIKRSHQVRRRQREEDQEGRVHGNVYFDSICIPPLSWPEFKHNMEFKLNSHLDDIEKSIVVPIWPQPEFSRIEEKVDKYLVAAKNLTQLLEHPVLIETGVLHDRARSLLGTTISSIITEFCHLKVWRASPLRRLGYLPGQIWELSVRSILNDSISSTVSSSSSSSFTCSGSTNDSSDSNQASLDGPDERLAHTQTSFINIKSVSVLDGIASIITQGGHQHLLRGAFDRHYSILSGYIEILDIENILGSHMKDSVEILVNAWVRAMRIIIDVLTEMQRQLHKQNFSAFNSFKDEYFTVIATTSIMKLVAYGSLICNWKQKAHNGPSLQSCASIKYTAQMILIFVMMHRGLKHAMPELLALFSGQNEQIVLAEFHGLIDRSSANVLELFLELENLLKSQRLVMADIGVHHVTQHIASYMRLLFEKKNTIYAMLDGRSNAFGDLVMQLISSLEFMLEMNSRSLVLQGQKQDRLDQLITDYVEASWNPVISSFEERAQIAIILWPHQLFDKFNSSFEMIYRVQKTWKVTDPNIRLKLREAIIQKVIPIYQMQMENQSGKKQKSARYSVEQLESQLLDMFEG >LPERR01G14590.2 pep chromosome:Lperr_V1.4:1:12361159:12365447:1 gene:LPERR01G14590 transcript:LPERR01G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRGKKPVDTPADNILIRKWWFGDSDRSHGGCLFYGNKSPPFQPDSSSSSPHGGQPHDSMEVDTQKSEIPRKREDSDRTISARIKRSHQVRRRQREEDQEGRVHGNVYFDSICIPPLSWPEFKHNMEFKLNSHLDDIEKSIVVPIWPQPEFSRIEEKVDKYLVAAKNLTQLLEHPVLIETGVLHDRARSLLGTTISSIITEFCHLKVWRASPLRRLGYLPGQIWELSVRSILNDSISSTVSSSSSSSFTCSGSTNDSSDSNQASLDGPDERLAHTQTSFINIKSVSVLDGIASIITQGGHQHLLRGAFDRHYSILSGYIEILDIENILGSHMKDSVEILVNAWVRAMRIIIDVLTEMQRQLHKQNFSAFNSFKDEYFTVIATTSIMKLVAYGSLICNWKQKAHNGPSLQSCASIKYTAQMILIFVMMHRGLKHAMPELLALFSGQNEQIVLAEFHGLIDRSSANVLELFLELENLLKSQRLVMADIGVHHVTQHIASYMRLLFEKKNTIYAMLDGRSNAFGDLVMQLISSLEFMLEMNSRSLVLQGQKQVFLLNNLHFMIEQVKRCIDSGLILGESWLDQHQDRLDQLITDYVEASWNPVISSFEERAQIAIILWPHQLFDKFNSSFEMIYRVQKTWKVTDPNIRLKLREAIIQKVIPIYQMQMENQSGKKQKSARYSVEQLESQLLDMFEG >LPERR01G14600.1 pep chromosome:Lperr_V1.4:1:12372966:12382657:1 gene:LPERR01G14600 transcript:LPERR01G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRPSLWLDQTWAGKGRHIMEGHAIKNNLVLQMVMNEEVSHLTRLKSEPCEKTRASLYAGKKRPISTFKLLSGRESNRSGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDVQNSMRESHANITQIHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGANKVTERIHAHSADVNAVTFADESSNVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSHRPEATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEHDKDPSMLKIGKQRMHPDGYTFSFVF >LPERR01G14600.2 pep chromosome:Lperr_V1.4:1:12372592:12382657:1 gene:LPERR01G14600 transcript:LPERR01G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDVQNSMRESHANITQIHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGANKVTERIHAHSADVNAVTFADESSNVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSHRPEATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEHDKDPSMLKIGKQRMHPDGYTFSFVF >LPERR01G14610.1 pep chromosome:Lperr_V1.4:1:12386726:12389033:1 gene:LPERR01G14610 transcript:LPERR01G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKSCISALTDDLVVNILSRLPLKSFCLIKCVCKSWAILSTDNYFCTKLLPRTPAGLLYQDNDSSLIQIARIPSGNSEIETSLGFMPHHQNVKLVDCSNGLILLTHGSKSDSLDAVHFIVCNPATQEWIALPDTRPRLDGSDYVSMLAFNPSSSPCFYVFNFQKRIFPDSGGFVITEVEIFSSQDFNWIVYGGFETEIMMIPMPHVLLHGTLYLQTVEHCVFAIEVPDMYTPYRTFELPGISCPMNNYIWGCLSESSGMLQYAQPNFDGCWLQIWRFNIRTEQWNKTHSPSMIDAFGRDVFVHGHPFGNDWSEDYGMMSFDLEREIVFLRDHVSGKVLSYSIRTGKLSEIGDLPRNPLYYVPYWHKFPPVEEEQTWW >LPERR01G14620.1 pep chromosome:Lperr_V1.4:1:12400255:12408317:1 gene:LPERR01G14620 transcript:LPERR01G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSSSWSTPTFGLARESSSSLFSSSFVGGTGPSSFSSWSAPGSGGGMAPSSSSPSHPVCDTPSLSFSTPFFYEPAKGSMVYTHTKTADDVSPYMHKLSISAMPAYINKSHEELRYEDYQSGDKGSDSLQEIMVNSAPILPLPMLSSVGAPFNSINSSQPAFSFKPQTNFSTPFSPSATAVQRSAELQFSTQNRHSSNPFLSAPTGPLRPFSSAAMQSSTQGLNTTAMTSFPHLFLPIKQSYVGTQSSTLFPTPCVHPPLELQKNATAHCLSSQTAPCRAHGGFLFGTAANTVSVTTSTPITSSFGPSSLPATRHGDLFSPCHSKSFYAPSTGFACQENVFSNSAAHTSTINADSPTNAIDLLLPNNIRLVRIRFSSTNDGDGNPSSEVPPVGHHDAKGSETPISLCIYPGENQEVTIRSLGQPAKTHSGKQPSPTGGSSPDDQSGDTKVCNSAACSPSSGPGENQKLNISAGHKTHRSPLAAPRCEVIAESVLPRLYTADYYTVPSIVELAVRESDEPGYCSHVKGFTVGRHGYGSVKFDGETDVRKLDIGSIVEFNEREIIVYKDVINTPPIGHELNKPAEVTLLNVKCVYQKNGLLFTEGPAVDRYKEILLKWTKEHGAEFVSFDAAKGEWKFRVKNFNM >LPERR01G14630.1 pep chromosome:Lperr_V1.4:1:12424764:12426683:1 gene:LPERR01G14630 transcript:LPERR01G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLPLLLLILPAAIRSQPTAAPAPPQPQQQCPLNFTALRPYLSPPLPSDDSARCLFAVQSVRLLLALHLAATGSFVIRPNSSCLATLRAALPFPLDSPSACGLSALDSLLASPGCANVSTLAQFDAAVPPSSRADMNASCDRDLTAVPDCTTCTTSLSKAAAAYLLDAGAGAGAGTNNVTGCVQYPFIYAGAAASERGADDPATAFCLYLLKVNPKSHSGGVPGWVYGVVSGCVALVLLAAAAVGCWCFLRRRRRRAAAAALAKAAADSRSKRSMAMESISASTTLVQFTYDEIKAATEGFAREKIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMVWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWSLVRRGKTVDVIQEGMVEPGPTEIMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDGALESPKEE >LPERR01G14640.1 pep chromosome:Lperr_V1.4:1:12430065:12431163:-1 gene:LPERR01G14640 transcript:LPERR01G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVHGLPMSTNIARALVCLEEVPANYEIVLIDLSTANKRSLEHTSLTDVLPRVLTLSHTISKYMLRKNNSELLKEHNLSNPTMESHHFDIPIAVISYLILPVYFGGELGMKSLADPSHFPGAYYMFTTPRATILNKFSLEMTWITVSDMLASPTVKVVEMAKVTA >LPERR01G14650.1 pep chromosome:Lperr_V1.4:1:12436295:12436630:1 gene:LPERR01G14650 transcript:LPERR01G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHNVLLPSPPQRIRATTHQRTNSHNQSAAGSARTRSTPAAGRRHQGKAKRTAARPATVEVYAGPAFSVSPEPSSLPLPQFPFRKAAVAVDDAATRDLRRMLRLE >LPERR01G14660.1 pep chromosome:Lperr_V1.4:1:12440159:12440431:1 gene:LPERR01G14660 transcript:LPERR01G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYQRTNSYNQNAAWSTRTRSTPAASQKHQGKAKRTAARPATVEVYAGPAFSLSSEPSSLLLPQFSFRKAAVTIDDAATRDLRRMLRLE >LPERR01G14670.1 pep chromosome:Lperr_V1.4:1:12450240:12450512:1 gene:LPERR01G14670 transcript:LPERR01G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINQRTNSHNQSAARTARTRSTPAASQKHQGKAKRTAARLATVEVYAGPVFSMSSEPSSLPLPQFPFRKAAVTVDDAATRDLRPMLRLE >LPERR01G14680.1 pep chromosome:Lperr_V1.4:1:12474138:12476099:-1 gene:LPERR01G14680 transcript:LPERR01G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGNPDVMPSLLADVEVSNLAGFDVTPSPHAEQPSPRPPLLQPDKPSRARVPALERVTRRSEVVFPPLDSPFQAPGYRSLQPVSISLPASPSGFGVPVIPIATETDDLGRQAMSNAARNAAEEQQQPAAAQGKMGDNNNVVVRFVQPDKVVFRSQPIPGGKPPRRAGGGGGRTMMSRDRRYDSFKTWSGKLERQLTHLAGGEPDVTEEEDDGDDAISSHHTKSMPQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSAPTRFLHVTTKVNLVLWCISVALMCAVACVYACKVIFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPPWLWYALMAPVLIMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWARITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSLLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELQEMLGENDSAAGCRGNDIEASTCTQQPHQTVS >LPERR01G14690.1 pep chromosome:Lperr_V1.4:1:12478558:12479526:1 gene:LPERR01G14690 transcript:LPERR01G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCELHIPLRNLSIKVASATTIATNPAGTFRYRTIPAGFSKVKVKQAVVTYDDLELEIAGGEGETTLGEAIHSIILWSKRVPSPPKFKQPNIPALKKLSYKLTPEELDEAVKGEVKEHFKPKEKNPFDIPTDKAKLNYCKKVPQLGEQPQKELDQTPFRRLARSRFIPCFMVYGLQGSK >LPERR01G14700.1 pep chromosome:Lperr_V1.4:1:12481532:12487130:-1 gene:LPERR01G14700 transcript:LPERR01G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTRAITRRRERRLNDDREWLGRRRPTGGSGAVVQLVDRGHQRQPTQAFRLFPSPPPPSFAGESSAEIQPWCQRDKITCGEVGSVGASPLLAMLQNHCHQNLELCRYRVHNLHRSGRYCYCRYGVWAIGISLALLFSGNDIEPFQLHYDLQLMIVQTPAYKRRAIGAPQPLANQMS >LPERR01G14700.2 pep chromosome:Lperr_V1.4:1:12481532:12487130:-1 gene:LPERR01G14700 transcript:LPERR01G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTRAITRRRERRLNDDREWLGRRRPTGGSGAVVQLVDRGHQRQPTQAFRLFPSPPPPSFAGESSAEIQPWCQRDKITCGEVGSVGASPLLAMLQNHCHQNLELCRYRVHNLHRSGRYCYCRYGVWAIGISLALLFSGNDIEPFQLHYDLQANQMS >LPERR01G14700.3 pep chromosome:Lperr_V1.4:1:12481532:12487130:-1 gene:LPERR01G14700 transcript:LPERR01G14700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTRAITRRRERRLNDDREWLGRRRPTGGSGAVVQLVDRGHQRQPTQAFRLFPSPPPPSFAGESSAEIQPWCQRDKITCGEVGSVGASPLLAMLQNHCHQNLELCRYRVHNLHRSGRYCYCRYGVWFQLHYDLQLMIVQTPAYKRRAIGAPQPLANQMS >LPERR01G14700.4 pep chromosome:Lperr_V1.4:1:12481532:12487130:-1 gene:LPERR01G14700 transcript:LPERR01G14700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTRAITRRRERRLNDDREWLGRRRPTGGSGAVVQLVDRGHQRQPTQAFRLFPSPPPPSFAGESSAEIQPWCQRDKITCGEVGSVGASPLLAMLQNHCHQNLELCRYRVHNLHRSGRYCYCRYGVWFQLHYDLQANQMS >LPERR01G14710.1 pep chromosome:Lperr_V1.4:1:12499678:12502200:-1 gene:LPERR01G14710 transcript:LPERR01G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAIAAAAWVALKAVEVLWWRPRRVEDHFARQGIRGPRYRFMVGCVREMVALMVAASAKPMPPPYRNHNVLPRVLAFYNHWKKIYGSTFLIWFGPTARLAVGEPELIREVLMARADRFDRYESHPMVRQLEGEGLVSLRGDKWAHRRRVLTPAFHLDNLRLLLPCVGRTVVDMADKWRAMADADVSGEVEIDVSDWFQVVTEDAITLTAFGRSYEDGKVIFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTTSWKLDKEIRKNLVRLIGRRQEAGDDEKLDGCAKDLLGLMINAAVSRSRTRGASPITVNDIVEECKTFFFAGKQTTSNLLTWAIVLLAMHPEWQDRARHEVLDVCGGDAGVVPSRDHLAKLKTLGMIMNETLRLYPPAVATVRRAKADVEIGGGRIRIPRDTELLIPIMAVHHDARLWGADVALFNPTRFAGGVARAARHPAAFIPFGLGARMCIGQNLAVLEAKLVVAVILQRFEFRLSPSYVHAPTVLMLLHPQYGAPIVFRPRTGRSSQPSDQPVQS >LPERR01G14740.1 pep chromosome:Lperr_V1.4:1:12549556:12574939:1 gene:LPERR01G14740 transcript:LPERR01G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGWAGRCLLPLVLLGASLLDWSLISLVNMTFFFAIRFVAPMRGFRAWRLYLLFWCTIVYSVLAIMAQVTFHLIWCIEGEGWIVAHSWWAKLVGFARNQPGESSSVIYFLVVQLSVAVLALVEVFGSRIYQDSCWLNFSFGLEQIGYHLRVACCFLLPAVQLVVSISHPSWISLPFFVFSCIGVVDWSLTSNFLGLFRWWRLLEIYSVFIILLLYVYQLPVKFPYVVLAFADFIGLFKISSNSEWPEVSCGISLLVYYFMLSSAKQDIQDMDSLMSLENDSLGEELLPSRNVFLVRQSRSGRRHGNVLLRGSVFRTFSINFFTYGFPVLLLALSFWSFNFTSICAFGLLAYVGYILYAFPSLFEMHRLNGSLLVFILLWAASTYIFNVAFTFFNKRFQKDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYMTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRAITLILLFLLVVKPGFIHAVYSMVYFGVIGITRGGRGMTHRTFVDHFIKTEENVDEKKIASYLSKIGQKFLWVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLVWIIGRQLVEKTKRRLWFPLKVYATMVFVFTYCLSVSPLFTQLVSKFVKLYPDLGFDPEASLLRNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHSEKILSVTVFYACLSSISLSGLIYLVGLIMFSILPKVSRIPSKVYLVYTGLLAASEYIFQMLCEPAQMCPAQQFHGLSMFLGLKHYDSGFWGVEYGLRAKVLVIVACTIEYNVFHWLDLMPRSLVHEGKWEEPCQLFISCDTSSGAGVNNEESHSSNRFNLLFSKVQGLIGSSSSSSLSSGNTYQTSEPVQNETHSSDDGKRYSFAKIWGLSKESHKWDKRRIISLKRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVVCILMNRDLIQKLWPLFVFLFASVLVLEYFALWKEGMPWLHGINDIEVHCRECWKNSRIFFEYCSKCWLGLIADDPRMLISYYVVFIFSSFKLRSDRFSSFSDSDTYHQMMSQRKNVLVWRDLSLETKSFWTFLDYIRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYFGQFSSGKCDQIDYIYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSSEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRRRRNTKLYPDNETLLEDSGIGSPKKENNTGNTDSSQSFEFSVEDTQKNLTDLMFRSSCDTPRSPIRGRREEFKLTDYARNSLGSTSEITELGENEGKVNPNLLKAQNERGPVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSEHPTEGDIYDITESQRETHDGQLLRTHSVTSGTDIKSSANMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHVPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNSVEEKQHRPYNWRERLKTIHLPAMNLVRMIGRGISRYWMSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRKVIFYLFNLVLFTYSVSEYAWGMELVHRNVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTACEKIPWKYMRAYDDIDPLDYLGAYNVEDIQLICCQPDASTMWLIPAPVQSRFIQSLEETEMKFGKMELILNWYFLRARPKGKELVKYESPVDHSPSVDDVKKVLNGTTDSFRINDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >LPERR01G14750.1 pep chromosome:Lperr_V1.4:1:12584938:12585648:-1 gene:LPERR01G14750 transcript:LPERR01G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGVHVVEMPAAAVAQETRRDDDKKQQQAGMNIISDDEQHGAGCRPVRAPPAVDRTLSGASDLVKLLPTGTVLAFQALAPSFSNHGVCHAAANRYLVLSLVASCAASCVLLSFTDSLVGRDGRLYCGVATPRGFRPFNFAGTRDERAAVFRDLSRLRLTGLDFVHAFFSALVFLAVAFADAAVQSCLFPDAGADTRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >LPERR01G14760.1 pep chromosome:Lperr_V1.4:1:12609418:12610128:1 gene:LPERR01G14760 transcript:LPERR01G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDATVIPMPPWNSTNGQTTPINGVNRPRKEPAASPTTTDAAAPKTATDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGICNAAANQWLTAVLVAVLATLAVLFSFTDSVIGRDHKLYYGMATPRGFNVFNLSRKEEKAMGLPQKDLKKLRLRPTDFVHAFFTAIVFLTLVFSDVGLQNCFFQKPSDNTKELLKNLPLGMAFLSSFVFMIFPTKRKGIGYNDTTPHRKASS >LPERR01G14770.1 pep chromosome:Lperr_V1.4:1:12621612:12622307:1 gene:LPERR01G14770 transcript:LPERR01G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLETNLLINTPGETSNSHGNGNAAVSTATTTTPLAMADKVMASTANLAQLLPTGTVLAYQALSTSFTNHGQCYRSNRWLTAGLIAVLAASSVLFSLTDSIVGRSGRLYYGVATPRGLNVFNLSREEEEADQDLPRGRLRELRLRPLDVVHAFFSAVVFLTVAFSDVGLTKCFFPDAGNDTRELLKNLPLGMAFISTFVFLLFPTTRKGIGYTDTTPPTIAENTNPTQF >LPERR01G14780.1 pep chromosome:Lperr_V1.4:1:12632253:12632987:1 gene:LPERR01G14780 transcript:LPERR01G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPSGATVINIPSSTNGEATAPTSTSATAPTPTTPKVVDDPTPATSPAAATAAPKTATDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGVCNAANRWLTAVLVGVLAGLALFFSFTDSVVGRDGKLYYGVATPRGLDVFNLSGEEEERVWVRRELQQLRLRPLDFVHAFFTAMVFLTVAFSDVGLQNCFFQNPSDNTKELLKNLPLGMAFLSSFVFLVFPTKRKGIGYNDNTPSRKVA >LPERR01G14790.1 pep chromosome:Lperr_V1.4:1:12642730:12651136:1 gene:LPERR01G14790 transcript:LPERR01G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLHSSCLLLPRLLHRPTPSRLLLRLHLHLKPLSFSAASSSQQQAPQFPPPRLHAHGPSLRRGRRPPGCDGGPPSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRLPGDDDDAPLSPSPPPPASPPPPTTAVARREKLARNFNARGFLQFPNLAKMSRPPPARRRRKDEAAATVRDTRDKSYVVEVIGEGKELGDEWMGLVGKEVLGRSTLRGGGPTRLLLLDQRYANRRVDELPEGVKVVFNHETNKDGPSAYELVQCQLTLFYNYWPMNEVLEALLPEGIIIPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKNKPKIQTVVNKTGAIQNDYRTMQLEVLAGIDSLVTTAIESGLRFQVDLATVYWNSRLSTERQRLVDNVFKNSDVVCDVFSGVGPIALSAAKRVKYVYANDLNPTAVEYLERNIVLNKLEKKIEVFNMDARRFISSIYSSQHVHPVTQIVMNLPNDAAEFLDVFRGISRNNQSGLPCVMPKIHVYGFSKAEDPEYDFHERINLTLGENVTDVEMHRVRLVAPGKWMLCASFTLPESVASAKPNYIAS >LPERR01G14800.1 pep chromosome:Lperr_V1.4:1:12653950:12654906:-1 gene:LPERR01G14800 transcript:LPERR01G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKKGKVVVVMGATATGKSKLAIDLALRFGGEVVNSDKIQVHDGLDVVTNKVTDEERAGVPHHLIGGVHPDADYGVDDFRRDADRAVCSVLARGRVPIIAGGSNRFLEALLDGGDFRLRHELCFLWVDAQLPVLHGYVRHRVDRMLDQGLVREVRELFHTSRDYTRGIRRSIGVPEMDAYLRHEATAPAAAAGAALLAAAVSEIKANTWRLARRQLVKIHRLRRLPGWSLRRLDVTRVLALKLQEETPNSKAAERVAWEADVVAPAARVVDMFLHGGGPENGTTANNNAVAALPETCCALRLIEPTLASPRPAAAV >LPERR01G14810.1 pep chromosome:Lperr_V1.4:1:12664325:12668216:-1 gene:LPERR01G14810 transcript:LPERR01G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAEAGRAEREERADDYEQQQARVLMALMQGFCAARYRKADNTPCPIHQGLYLGSVGAALNKDALKSLNITHILIVARSLNPAFPAEFNYKKIEVLDSPDIDLAKHFDECFSFIDESISTGGNVLVHCFAGRSRSVTIVVAYLMKKHQMSLENALSLVRSKRPQVAPNEGFMSQLENFEKSLQVEQERRLMQRLKN >LPERR01G14820.1 pep chromosome:Lperr_V1.4:1:12672171:12674312:1 gene:LPERR01G14820 transcript:LPERR01G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSTTSSAAGKKEKPMSVSAMLASMDAPASKAKPSKVASSKPKPSSKAPASSSAYMGDIDLPPSDDEEDDADLAAVATKPKAARATVDLNAIAPSHKDAKKKDKREAMAAAAAEAAKQEALRDDRDAFSVVIGARVPGSAVSSDGDAAADDNIRDIVLDNFSVSARGKELLRNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRHVPVPRSIDVLLVEQEIVGDNRSALEAVVSADEELAALRAEQAKLEASNDADDESNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDLKPVDLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPTRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPEQDGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRMCEDEQKSEIWVVEDGTVNKFDGTFEDYKEELLEEIKKEVEE >LPERR01G14830.1 pep chromosome:Lperr_V1.4:1:12676734:12690172:1 gene:LPERR01G14830 transcript:LPERR01G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLLSLFPILFLLLLLPVSNAIYCDEDDCYDLLGHPDKNPDPESRKLFVKIANAYEVFYNTAQYYRAYYGHKTAIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKFSLGKFVGLGDTG >LPERR01G14840.1 pep chromosome:Lperr_V1.4:1:12682681:12690637:-1 gene:LPERR01G14840 transcript:LPERR01G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIEQEEAVCRCRDRRKLMSDAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGEAPDQLLPHHPTPAQAQAQAAAAAAASRSSSTPNIPMPDPKIRLPAGAAIREEEEEEEDEDADGHIATDSDDDDDDDSDDDHHEHDDVSVDGIVHEQPQKRVMMMGSVGSSPVTPPPPPRPNPSPPSSMTTPPPPMPEPQIATTWDYFFGPSTTPPPTLEQPAAEETWMEKRDKDSVSETRAVVEPVVYEPAAPVRGAEQQPPQTALEKEKAIEELAANLPPSKPLIRKPPKAPAPPPQVHYQHAASMGSVETRKGKMVMMSATASLLQIVAKLDDHFLKASESAHDVSKKLEATRMHYHSNHADRHIDHSTKIMHVITWNRSFKNLPNQDDLGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMANMWSSMHHHHKTQFIIISGIRNFEVPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVSLRRKCEETRRDLNRKKAQFEEWHRRYMERKASLGEDVNAEVAEAVNSDPVVDRKMAIDEVEIRLREEVGHHSRIARQVREKSLANLRMHLPELFRNMTEFAGFCREMYNNLRKSAVLPKDEVQG >LPERR01G14850.1 pep chromosome:Lperr_V1.4:1:12691874:12698420:1 gene:LPERR01G14850 transcript:LPERR01G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASIGQAMGGINVEAMARPVAVDHRISLAYYFRIADNLLRQANIYREEKNLLDLYIILLRYSSLLCETIPKHRDYHAFKLREKEYLKKGPHNSDKLLNVVNELEALKPVVQRQIAELNRMEPAWSGKTDSQLVKSYGNLPCPKEETLSRHSILGSNGLHGQWAAPVTGIRIQYPSNAELTQSDISSLVPAILNQDQYGHNISQDFSTNKNEDMQTVLSLDDGRWSLPIEEPTSVSSGFEQEFSQLNIRQPCPPPVMAQVHPQHREVSTSTFADLGPGLATSNTGCYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >LPERR01G14850.2 pep chromosome:Lperr_V1.4:1:12691874:12698420:1 gene:LPERR01G14850 transcript:LPERR01G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASIGQAMGGINVEAMARPVAVDHRISLAYYFRIADNLLRQANIYREEKNLLDLYIILLRYSRYGNLPCPKEETLSRHSILGSNGLHGQWAAPVTGIRIQYPSNAELTQSDISSLVPAILNQDQYGHNISQDFSTNKNEDMQTVLSLDDGRWSLPIEEPTSVSSGFEQEFSQLNIRQPCPPPVMAQVHPQHREVSTSTFADLGPGLATSNTGCYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >LPERR01G14860.1 pep chromosome:Lperr_V1.4:1:12703120:12704703:1 gene:LPERR01G14860 transcript:LPERR01G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRASLLLHHHHQQKTVEEEEEEGKQQQAVVGEEGAGKMKELRRRLVDYACHHRKQYGGGHDALLRMLAGFALASCLLLLLPGNPFSAAVDDLLQMGRTRPDDETPPPCSAVANGTICCDRSAMRTDVCVMRGDVRTEASSNSLFLLTDTAARDHEQRIRPYTRKWESSVMSTIDELRLRAVPTATAAGCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARHYNRKVVLVMLEYHDWWMTKYGHIVEQLSDHPPIDFANDRRVHCFPEAVVGLRIHDELAIDSARMPTNRTIQDFRRMLDDAYRGRIQTIVDEEDKGRRTKSAQAQLKDDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVSVLRPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFVQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDPVLTDPDTVNAKGWQVTKKVYLDGQNVRLDLARFRRRLRDAYDHWAEQRRRRLHAHSDTEPSKPC >LPERR01G14870.1 pep chromosome:Lperr_V1.4:1:12707129:12713586:-1 gene:LPERR01G14870 transcript:LPERR01G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLAPTTTARPIAFRHASSTPLLSPLGGRGRERGRKGGADETRADDDNSGREWRRSSSARLRRVAEFDATVWTRGAARIGLSFGCGAGGRHLRRMFEWNDDQQQVGDAIWAEFNESEDHIVPYPKDTEENALASVGDQKKNDEETANIPRLADRCSSGQTEFPVLEKQPGSQASEHYSAARLDIESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTEKSNSFLDCDWGNIGDFDDFDRNGDSIFGNEMVADGSNFLSASSDLVDNTVQSIPFPHLPDKQLSSDHGSSSHLINEVLDGTTKKENKVADANAKSGDQAEHKILSECSGKPNQFSKEGDVQKKPVRSRRRTEERGKSKISNSSFSQNHGQHQPADLQSAKAPAQPLQTPQYLLHDNKNMGQLQQANQFMFPGYGYPSYQFPGIPLISNIQAESHQTKPATTNYRTSIDSPKQSSSTEKSQDVPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNVSKVFSPELIPSSHEVIQKSSGIPDDPFIEEKIYYQLQDALGKLDTRTRRCIRDSLLRLAQSASERQITNDRSSANKTNKDEDEVSEDTSNMTRRSPAKEAETNTNPIDRIVAHLLFHRPSPKVSTPAKEEIISSTPLSTEPDTKSPLTTNRHTSSDGWVLSVLTIIKKNLVLEFQYLSSSILL >LPERR01G14870.2 pep chromosome:Lperr_V1.4:1:12708075:12713586:-1 gene:LPERR01G14870 transcript:LPERR01G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLAPTTTARPIAFRHASSTPLLSPLGGRGRERGRKGGADETRADDDNSGREWRRSSSARLRRVAEFDATVWTRGAARIGLSFGCGAGGRHLRRMFEWNDDQQQVGDAIWAEFNESEDHIVPYPKDTEENALASVGDQKKNDEETANIPRLADRCSSGQTEFPVLEKQPGSQASEHYSAARLDIESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTEKSNSFLDCDWGNIGDFDDFDRNGDSIFGNEMVADGSNFLSASSDLVDNTVQSIPFPHLPDKQLSSDHGSSSHLINEVLDGTTKKENKVADANAKSGDQAEHKILSECSGKPNQFSKEGDVQKKPVRSRRRTEERGKSKISNSSFSQNHGQHQPADLQSAKAPAQPLQTPQYLLHDNKNMGQLQQANQFMFPGYGYPSYQFPGIPLISNIQAESHQTKPATTNYRTSIDSPKQSSSTEKSQDVPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNVSKVFSPELIPSSHEVIQKSSGIPDDPFIEEKIYYQLQDALGKLDTRTRRCIRDSLLRLAQSASERQITNDRSSANKTNKDEDEVSEDTSNMTRRSPAKEAETNTNPIDRIVAHLLFHRPSPKVSTPAKEEIISSTPLSTEPDISGGPSETHQNVREMTLQPSL >LPERR01G14870.3 pep chromosome:Lperr_V1.4:1:12707129:12713586:-1 gene:LPERR01G14870 transcript:LPERR01G14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLAPTTTARPIAFRHASSTPLLSPLGGRGRERGRKGGADETRADDDNSGREWRRSSSARLRRVAEFDATVWTRGAARIGLSFGCGAGGRHLRRMFEWNDDQQQVGDAIWAEFNESEDHIVPYPKDTEENALASVGDQKKNDEETANIPRLADRCSSGQTEFPVLEKQPGSQASEHYSAARLDIESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTEKSNSFLDCDWGNIGDFDDFDRNGDSIFGNEMVADGSNFLSASSDLVDNTVQSIPFPHLPDKQLSSDHGSSSHLINEVLDGTTKKENKGDVQKKPVRSRRRTEERGKSKISNSSFSQNHGQHQPADLQSAKAPAQPLQTPQYLLHDNKNMGQLQQANQFMFPGYGYPSYQFPGIPLISNIQAESHQTKPATTNYRTSIDSPKQSSSTEKSQDVPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNVSKVFSPELIPSSHEVIQKSSGIPDDPFIEEKIYYQLQDALGKLDTRTRRCIRDSLLRLAQSASERQITNDRSSANKTNKDEDEVSEDTSNMTRRSPAKEAETNTNPIDRIVAHLLFHRPSPKVSTPAKEEIISSTPLSTEPDTKSPLTTNRHTSSDGWVLSVLTIIKKNLVLEFQYLSSSILL >LPERR01G14870.4 pep chromosome:Lperr_V1.4:1:12708075:12713586:-1 gene:LPERR01G14870 transcript:LPERR01G14870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLAPTTTARPIAFRHASSTPLLSPLGGRGRERGRKGGADETRADDDNSGREWRRSSSARLRRVAEFDATVWTRGAARIGLSFGCGAGGRHLRRMFEWNDDQQQVGDAIWAEFNESEDHIVPYPKDTEENALASVGDQKKNDEETANIPRLADRCSSGQTEFPVLEKQPGSQASEHYSAARLDIESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTEKSNSFLDCDWGNIGDFDDFDRNGDSIFGNEMVADGSNFLSASSDLVDNTVQSIPFPHLPDKQLSSDHGSSSHLINEVLDGTTKKENKGDVQKKPVRSRRRTEERGKSKISNSSFSQNHGQHQPADLQSAKAPAQPLQTPQYLLHDNKNMGQLQQANQFMFPGYGYPSYQFPGIPLISNIQAESHQTKPATTNYRTSIDSPKQSSSTEKSQDVPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNVSKVFSPELIPSSHEVIQKSSGIPDDPFIEEKIYYQLQDALGKLDTRTRRCIRDSLLRLAQSASERQITNDRSSANKTNKDEDEVSEDTSNMTRRSPAKEAETNTNPIDRIVAHLLFHRPSPKVSTPAKEEIISSTPLSTEPDISGGPSETHQNVREMTLQPSL >LPERR01G14880.1 pep chromosome:Lperr_V1.4:1:12718967:12720726:1 gene:LPERR01G14880 transcript:LPERR01G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPQVIPSPAEDADALLKAFQGWGTDEQAVIAVLAHRDAAQRKQIRVAYEQNYNENLIQRLQSELSGDLERAMYHWVLDPVERQAMMANAATKCIHEDYPVIVEIACANSCAELLAVKRAYHALYKCSLEEDVAARATGNLCSLLLALVGTYRYDGDEVNDALAKSEAKILYESVTNGETNHREIIRIVGTRSKAQLNATFGWFKEEHGTSIIKALQLGADPTGYSHALRTAVRCISDANKYFVKVLRNAMHKSGTDEDSLTRVIVMHAEKDLKGIKDAFHKRASVALEQAIDRDTSGDYKSFLMALLGGGI >LPERR01G14890.1 pep chromosome:Lperr_V1.4:1:12726309:12731505:1 gene:LPERR01G14890 transcript:LPERR01G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETGLSARAAGAASSSSSSSPYASASSSAAAAVGDDDDESKTRKANPAFVAAAYARLHSSHRAAASLLLLLAVAATAFLFGRSRPVADCPPPRLDARFLALPNAAAASDFGALGVPWCRSKTGKIVEWTSKDLLHGLEEFVPIYEARPIKNNMYGMGFDHSFGLWFMTRWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWQKLLRKNGISDPSRVLVFFDDHQSELKRLKQASKVGFRHLIFEDNYDTGTGDHYSLRQICDQAHIRGGGHSCFWDSDEARLRSKRKRFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFENSRLLESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMAYVQISGSIFSREDA >LPERR01G14890.2 pep chromosome:Lperr_V1.4:1:12726309:12732346:1 gene:LPERR01G14890 transcript:LPERR01G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHETGLSARAAGAASSSSSSSPYASASSSAAAAVGDDDDESKTRKANPAFVAAAYARLHSSHRAAASLLLLLAVAATAFLFGRSRPVADCPPPRLDARFLALPNAAAASDFGALGVPWCRSKTGKIVEWTSKDLLHGLEEFVPIYEARPIKNNMYGMGFDHSFGLWFMTRWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWQKLLRKNGISDPSRVLVFFDDHQSELKRLKQASKVGFRHLIFEDNYDTGTGDHYSLRQICDQAHIRGGGHSCFWDSDEARLRSKRKRFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFENSRLLESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMAYVQISGSIFSREDA >LPERR01G14890.3 pep chromosome:Lperr_V1.4:1:12726309:12732346:1 gene:LPERR01G14890 transcript:LPERR01G14890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHETGLSARAAGAASSSSSSSPYASASSSAAAAVGDDDDESKTRKANPAFVAAAYARLHSSHRAAASLLLLLAVAATAFLFGRSRPVADCPPPRLDARFLALPNAAAASDFGALGVPWCRSKTGKIVEWTSKDLLHGLEEFVPIYEARPIKNNMYGMGFDHSFGLWFMTRWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWQKLLRKNGISDPSRVLVFFDDHQSELKRLKQASKVGFRHLIFEDNYDTGTGDHYSLRQICDQAHIRGGGHSCFWDSDEARLRSKRKRFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFENSRLLESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMAYVQISGSIFSREDA >LPERR01G14900.1 pep chromosome:Lperr_V1.4:1:12730077:12732624:-1 gene:LPERR01G14900 transcript:LPERR01G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFLLLLFSTLLPSAAPLPPRPPVRCSGAGCKLSNAYGAWSSDRADCLIAAVVYPASEADVVAAVAGASAKNTPVKVVSGFAHTIPKLACPGNGSSASALLISTARYGGVAVDTVARTVTADAGAPLRAVIDAAEASGLSLVAAPYWEGASIGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGEADGWAKVVPLQRGDSLFPAALVSLGLLGVVSKVTLALEPRFKRSISYEYRDDSTLQDDFASHAMSHEFADITWYPSQHQAVYRIDDRVPVNATGDGVNDFIGFQSTLIAVSSGLRALETALEASRNVKGKCKMAAAEMAAKRLVGNGLRGAGGVLFTGYPVVGFQGRMQTSGSCAHSPATDPLSACPWDPRFRGLFFYETTAVFSPPARFRDFALDVKRLRDINPDSMCGVDAYNGLLVRFVKGSEAWLGQAEESVVVDLNYYRASDPSSPRLSQDVWEEVEQLAFVKHKARPHWAKNRLVAFRGVQGKYPGWDRFAAAKRQLDPRGLFDSRWSDEVVGGKEELPKADGCALDGRCVCSEDRHCSPSKGYYCRPGLVYSDARSCDSHMFFKNDDSYYT >LPERR01G14910.1 pep chromosome:Lperr_V1.4:1:12737166:12740445:-1 gene:LPERR01G14910 transcript:LPERR01G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPFTSRSLLAQAQYPSPRLPSNRRVSLSHNKQPATARAKQQRRSPAPSSHPAFPGVVRKKKIPIPESGEAAAGVRVTDRGLAYRLDGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPPPGKKGVKPVQSPGPFLAGMEPRYQAASREEVLGEPLTKEEIHDLVKATLKSKRQLNIGRDGLTHNMLENIHSLWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPSYPLMLWKPATPVYPRLVKKIPDGLTLDQAEDMRKRGRQLPPISKLGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENNFEVANGQILGSEVADSGNALTPIELVNNATSSQNCNLIEGEEKLKKSMNSSLENGMMLGSACANPGVHNYVNVDGTGFSAATPIVFSHSNPASDLDPAQKSAIHCQSVLSDKNKIDNGELIPDKCGSSEQFPDVPEAFPMGSNDEIHELETIRRNSEHLKGSDGVNGDSIVPPYMEGILLLFKQAVDSGMALILNENGFADADDVYQKSVAFTKSAPRYPVFRHTPRKSRGARKNEPANNVKIVNKHLEEKKASDHLKKKDNVMEGSGIQRDDHAQEFLSDVPQGTLRVDELAKLLA >LPERR01G14920.1 pep chromosome:Lperr_V1.4:1:12757454:12767802:-1 gene:LPERR01G14920 transcript:LPERR01G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEPQSAMGNGNGVAPPPPSRSAGRPPGAAAYTDQRLRLNPNLEHNPQDYSDVRGEYAPAVYSALERHLPPSLLDSNRETKLQLMREVLGHYWPQGERNKVQRHKEYRQKILHQYKPLHKDLYKMRPSSFFLPTFLEAIRTNTEEGFKSIMTEPVPGVYSFAMLQPSFCEMLLQEVENFEKWVHATKFKIIRPNTMNKYGAVLDDFGLEVMLTQFMEQFIAPMSTVFYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKHFSGGELYFRGIRCDTHVNSETQHEEMYDYIHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRSSVFREMKKYQKDFSGWCAECKREKRERQIHAVKATKLVNCHLCKTVYYMLLLTPCSILIRFFI >LPERR01G14930.1 pep chromosome:Lperr_V1.4:1:12771018:12776830:-1 gene:LPERR01G14930 transcript:LPERR01G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVGTNAVVASRAAVAGTGSRCAHRHPPPTAVAVSSSAGASTRSVAVAAAASPATGGVTPMPPSPRGSTIKRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVPMPSKSHVSTQIKTSGQHFEESSQGDVYPVESYESFTTNQILDAHWGVMADGDPTGLCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALRRESGVDSKYLRGFSLPL >LPERR01G14940.1 pep chromosome:Lperr_V1.4:1:12790024:12796693:-1 gene:LPERR01G14940 transcript:LPERR01G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTLPYSKLLVRCSVAYDKDGSGAVRRVNGAAAAVQVGETAASMKRSLAGRLMSAGPVVVEEEGSRRKNMIPREKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGADRTATLETLLSLLQETALNHVWMSGLLGDGFGATHGMIRNNLIWVVSRMHVQVDHYPIWGEVLEIDTWVGSTWVMMNKATRRLSKMPEEVRDEISPWFIDRHAIEEEATDKIIKLDRNATYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHHLSSIILEYRKECGSSDVVQSICQPDEDTIMPGENVSMVMGPSLSPEIINGHHSLAGALQQWPTKYTHLLQLKANGKYDEIVRGRTTWKKKTYQKS >LPERR01G14950.1 pep chromosome:Lperr_V1.4:1:12804239:12805440:-1 gene:LPERR01G14950 transcript:LPERR01G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGSRASSSALPSRPSSHVARAFGVDTGCRITCSLQSDIREVANKCADAAKLAGFALATSALLVSGASAEGVPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVDSFGFKAGKYNMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGADGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNTASSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >LPERR01G14960.1 pep chromosome:Lperr_V1.4:1:12812748:12818161:1 gene:LPERR01G14960 transcript:LPERR01G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLSHSPPGLGGVPLPLRRHPLLRCAPLLPSAAPEPLRCRHGRHLRCAAADRETPVPAPQRDESPSGSLGAALEDPSPQPVQNGSFGGIAEDEEQSSLYNFLYPSKDLLPDDKEMSIFDHLEELRDRIFVSVLAVGAAIVGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYAEGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVRLTGR >LPERR01G14970.1 pep chromosome:Lperr_V1.4:1:12827523:12828068:1 gene:LPERR01G14970 transcript:LPERR01G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHYPCRCTAEGLENMEDVDLEEQVAPPTLQSPPSSSPALLHLQGMCRTPATAAVSCRALVATAPVRALWMVVLMVGLLFAVHLLAHDLRTFALLVAESLCIFFVMSCVAAWERGDHRDAADSGACNGVTTVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGT >LPERR01G14980.1 pep chromosome:Lperr_V1.4:1:12831894:12840304:-1 gene:LPERR01G14980 transcript:LPERR01G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRIEKEHEQQQHGRGSGRVAAVGGSGGGGGGREEIEVEVAAAAEASSSGQHGGRVDDGVQVPKWKRFLAHVGPGFVISIAYLDPSNSNLGIITGRHLAELCKGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWGGVLACGACTTLILGLQAYGARKMEFTISALMLVMATCFFIELGKVKPPVGGAQSLPTFFFGANQKNIIHLQRTQEYSGKIKFNCVWFGSVSVWTKLHSGYKLFWPIHYAGLLWNEKIVLSFVLPFALIPLIKFSSSCTIVGPYKNSTSIIRIAWILSLVIIGINIYFFCTSFVDWLVHSDLPRVVNAIISTLVFPFMAAYIAALIYLAFRKVNISASFPTSSVSCDIEVQHIHIQEKSEDLGVNL >LPERR01G14980.2 pep chromosome:Lperr_V1.4:1:12831894:12840304:-1 gene:LPERR01G14980 transcript:LPERR01G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRIEKEHEQQQHGRGSGRVAAVGGSGGGGGGREEIEVEVAAAAEASSSGQHGGRVDDGVQVPKWKRFLAHVGPGFVISIAYLDPSNSNLGIITVLGTALAYNMLLHIPFWGGVLACGACTTLILGLQAYGARKMEFTISALMLVMATCFFIELGKVKPPVGGAQSLPTFFFGANQKNIIHLQRTQEYSGKIKFNCVWFGSVSVWTKLHSGYKLFWPIHYAGLLWNEKIVLSFVLPFALIPLIKFSSSCTIVGPYKNSTSIIRIAWILSLVIIGINIYFFCTSFVDWLVHSDLPRVVNAIISTLVFPFMAAYIAALIYLAFRKVNISASFPTSSVSCDIEVQHIHIQEKSEDLGVNL >LPERR01G14990.1 pep chromosome:Lperr_V1.4:1:12853788:12854915:-1 gene:LPERR01G14990 transcript:LPERR01G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGATKLHSPTTTTTTTPLLAHHGHIHDAQEGSPAPVLTSRAARGALLLAANYAALFVGSLSSSLLSRFYFAHGGGDRWLATLVQSAGFPALLLARGRRTRPFRGFTPRLVTYCVLLGLVMGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAALVRVPLSFANLNAVVLLTLSSLLLALRHGAASATTAREDYLLGVAATLGAALLFALYLPAAELVYRHGGVTGFRMVVEAQVIMEAVATAVGAAGMVGGGGGSWWPWDGVEATWDLSPVAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNMAGGVIVFGDEFGPEKAVAMLLCLWAFSSYVYGEYTKAGDKLMGDEDQRVLLLDRV >LPERR01G15000.1 pep chromosome:Lperr_V1.4:1:12862559:12865648:1 gene:LPERR01G15000 transcript:LPERR01G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGDGDNVSHRRRRRTPLPSMRALFFWQAARVSLHVLAGGMAVEAAMDFSAGGGEVSASVAEEMKVASAMIFLCSLSQKSGAAVKISMEPPIRRYWIQD >LPERR01G15010.1 pep chromosome:Lperr_V1.4:1:12886874:12892953:-1 gene:LPERR01G15010 transcript:LPERR01G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSEAPLLLPRGRDLHKEDGEAAAGKVRGGGEGGWWREATAEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLLVSVPISIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVFSPSCEKTRSPLTWEAFRGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSALIVSVTLLALRHFIGIAFSNEEEVVNYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMIAGGATQVTLLSIITAMTNWGKMAEKARNRVFEETVPTQTV >LPERR01G15020.1 pep chromosome:Lperr_V1.4:1:12900648:12905886:1 gene:LPERR01G15020 transcript:LPERR01G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTTAVAKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGYLTGAPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGRGDFQELDQIAATKPFVKLAVKATTIADIPRLVFQALASTVSGRPGGCYLDIPADVLHQTLAESEAVALIEAAAAAADSAKSDPSPPKHKSLEDGIEKAAELLRRAERPLVVVGKGAAYARAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHLGLVGDAKRVVELVNKEIKDQPFCLAPSHPWVEAITKKAKDNVLKMEAQLVKDVVPFNFLTPMRIIRDAILAEGSPSPVLVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAAYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >LPERR01G15020.2 pep chromosome:Lperr_V1.4:1:12900648:12906115:1 gene:LPERR01G15020 transcript:LPERR01G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTTAVAKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGYLTGAPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGRGDFQELDQIAATKPFVKLAVKATTIADIPRLVFQALASTVSGRPGGCYLDIPADVLHQTLAESEAVALIEAAAAAADSAKSDPSPPKHKSLEDGIEKAAELLRRAERPLVVVGKGAAYARAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHLGLVGDAKRVVELVNKEIKDQPFCLAPSHPWVEAITKKAKDNVLKMEAQLVKDVVPFNFLTPMRIIRDAILAEGSPSPVLVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAAYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >LPERR01G15030.1 pep chromosome:Lperr_V1.4:1:12904762:12904986:-1 gene:LPERR01G15030 transcript:LPERR01G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNPNPTSGNSLALAEVPPQSPVMACGNWGGIPPKDGRRPHSKDKMGQIHKQDGRGTIEDHLNEDGKLIKFT >LPERR01G15040.1 pep chromosome:Lperr_V1.4:1:12917305:12920244:1 gene:LPERR01G15040 transcript:LPERR01G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLCCTAAKLLGSVRSVRIGSARILFVEMPFTPSMPPHCMALVRAHHMLGGMRGLGFCTMVGSEPAGEPQFTVVPGDAQECLAPGVSEAAERVCRVVSAQPEHRIAPALDALRVTISPQLVTEVLKNLSNAGILALAFFRWAERQQGFRYSTEGFHNLIEALGKIKQFRLVWSLVEAMRCRNCLSKETFRIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMIRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEKSGCMPSPHIYCMLINGLGSVERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCKASKFPHAFRMVDEMRKSGIGPNTRTYDIILHHLIKSEHIEEAYNLFQRMETDGCEPQLNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFGNLKEALVEGGRITLAQEMAQKLDMLRRTPMRR >LPERR01G15040.2 pep chromosome:Lperr_V1.4:1:12917811:12920244:1 gene:LPERR01G15040 transcript:LPERR01G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLCCTAAKLLGSVRSVRIGSARILFVEMPFTPSMPPHCMALVRAHHMLGGMRGLGFCTMVGSEPAGEPQFTVVPGDAQECLAPGVSEAAERVCRVVSAQPEHRIAPALDALRVTISPQLVTEVLKNLSNAGILALAFFRWAERQQGFRYSTEGFHNLIEALGKIKQFRLVWSLVEAMRCRNCLSKETFRIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMIRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEKSGCMPSPHIYCMLINGLGSVERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCKASKFPHAFRMVDEMRKSGIGPNTRTYDIILHHLIKSEHIEEAYNLFQRMETDGCEPQLNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFGNLKEALVEGGRITLAQEMAQKYHVQLHYELMTAAQQRKTQSIVRLYLYPKGRGAGSGKNGTLALLYSSSKLKLY >LPERR01G15050.1 pep chromosome:Lperr_V1.4:1:12923419:12924027:1 gene:LPERR01G15050 transcript:LPERR01G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTSPTPAGDNVDACKPPETTTTTRRSRSSGGGQQQQQAGGDREDGQLGELREIFRSFDRNGDGSLTQLELGSLLRSLGLKPSSEQLDSLIQRADTNSNGLVEFSEFVALVAPELLYDRAPYYSEDQIRRLFNIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFHNIFS >LPERR01G15060.1 pep chromosome:Lperr_V1.4:1:12935322:12938408:1 gene:LPERR01G15060 transcript:LPERR01G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSLSSSSSSSGSDSDREPEEEAVADEEVEEQEEEEEEEEEQQVGEEDSGEDQEEELEPVVEQESSGYQSEDSSGNDVDGPSVDSDEHNSPRHEEDPKITLSVKRTSSGYADSVKDAANTDDAIETDYKNYILKCKSVYKCKLCPRIICLNEDMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTLALAEQVQKSKKDSGRQRQHRRRKKRSRNHIEKKQQPQTFDKKRRKIDK >LPERR01G15070.1 pep chromosome:Lperr_V1.4:1:12941112:12942752:1 gene:LPERR01G15070 transcript:LPERR01G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPGRLAASTHPSDSAAAAAASTVLSILRGEDPGSRLPAAGIDPSPALFQHLRPSLPTVPDSALPALAHWAGDATAVSLLASRGLFAAAWRLLLLPSPPPPSRPPPPLAAFAPILRRYARIGRAPAAVRAFHFLHRHPDRYHVEGGKGAPLLNMAVDALCKEGHPRAAVELFYRWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEALCVMRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASTVLKAMAGRGISPTTRTYNYFFMAFAKNTDVESGMNLYSKMVNSGYSPDQLTYVLLIKMLSRGNRLELVVQMIQEMRAHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLVKKLTDLMRSVPHSTKLPGSYRDKEGEDAIEKKKLILQKAQAVSDVLKDCKDPKELDKLKQDEETDVEVADRLVANIRRSVYGGASTSSVLAPLS >LPERR01G15080.1 pep chromosome:Lperr_V1.4:1:12955608:12967742:-1 gene:LPERR01G15080 transcript:LPERR01G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAGGAAASSDSATEPQRHQEDGNQGVASGRTPLERTADAAEELYRLRDTFFPRDPAEKAAALRARADDALAVLDSLPPEQRKTPQQRAVYEFLRGKIFNVFPDYHKEAEDHLSKAGPDKKILCQLSMLERSMAQGSEDQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMRLHHSVKAYQNADKDETMKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSVMKGQLRFKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVVLSIRHDNVAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPFYRILDISWKEQVRNFKLFIFFSKDFIFDPYLGSANVCCQKGRTIE >LPERR01G15080.2 pep chromosome:Lperr_V1.4:1:12955608:12967742:-1 gene:LPERR01G15080 transcript:LPERR01G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAGGAAASSDSATEPQRHQEDGNQGVASGRTPLERTADAAEELYRLRDTFFPRDPAEKAAALRARADDALAVLDSLPPEQRKTPQQRAVYEFLRGKIFNVFPDYHKEAEDHLSKADKDETMKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSVMKGQLRFKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVVLSIRHDNVAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPFYRILDISWKEQVRNFKLFIFFSKDFIFDPYLGSANVCCQKGRTIE >LPERR01G15090.1 pep chromosome:Lperr_V1.4:1:12988061:12991503:1 gene:LPERR01G15090 transcript:LPERR01G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPAASLAARAAVGAALAAFIAVRAVRQRSLDGSGGAAGFVVMAVHLACGYRYGALMLAFFYTSSKATKIGADRKRRVEEDFKEGGQRNWIQVLANSLIATVLVIIFATMTGGQDQCLDSNKSKVVTGIIGGIIGHYCCCNGDTWSSEFGVLSDEQPRLITTLKERYKWWSHLTRPSCCHCRWPNHSLIDSLLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRMF >LPERR01G15090.2 pep chromosome:Lperr_V1.4:1:12988061:12991503:1 gene:LPERR01G15090 transcript:LPERR01G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPAASLAARAAVGAALAAFIAVRAVRQRSLDGSGGAAGFVVMAVHLACGYRYGALMLAFFYTSSKATKIGADRKRRVEEDFKEGGQRNWIQVLANSLIATVLVIIFATMTGGQDQCLDSNKSKVVTGIIGGIIGHYCCCNGDTWSSEFGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTAECSFDVALRQLLVIPISATAGLIGSLIDSLLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRMF >LPERR01G15100.1 pep chromosome:Lperr_V1.4:1:12995013:12999552:1 gene:LPERR01G15100 transcript:LPERR01G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSGEPSTAPQPNRWYGLRLGSSFRDPSPTKFCTLRYEFKPASIDKTQAGSLQKTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESSAATSATATGMDSPPLPKVGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMASGQGGSVRGFDINIPNQLDIDDEIADVDVNDEVDEGLNAAEALRAQVNAEGQQEEQDTSSSSGSSSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >LPERR01G15110.1 pep chromosome:Lperr_V1.4:1:13002704:13007945:1 gene:LPERR01G15110 transcript:LPERR01G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVGNDRWSSNVVLLGVEDDDTNGCSGSDKEVQLRQGTWTRPYETALSLRALQKRSHKVYGL >LPERR01G15120.1 pep chromosome:Lperr_V1.4:1:13018187:13020535:1 gene:LPERR01G15120 transcript:LPERR01G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDNLSDLCSLTDTKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPPGFVRSAPAAMADPSAPEVRYMNMFSDENVNSCSVM >LPERR01G15130.1 pep chromosome:Lperr_V1.4:1:13025531:13033438:1 gene:LPERR01G15130 transcript:LPERR01G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMATLPASPCTSSSSPPPSLFLAPNPCCWKAAPRASLRASAAARRTLSSGWELPGQSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKSDISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKIFSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNISGPTRKIMKNLPSEEKFIQNGNGTASGDDGKLKEASTA >LPERR01G15130.2 pep chromosome:Lperr_V1.4:1:13025531:13033708:1 gene:LPERR01G15130 transcript:LPERR01G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMATLPASPCTSSSSPPPSLFLAPNPCCWKAAPRASLRASAAARRTLSSGWELPGQSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKSDISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKIFSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNISGPTRKIMKNLPSEEKFIQNGNGTASGDDGKLKEASTA >LPERR01G15130.3 pep chromosome:Lperr_V1.4:1:13031832:13033708:1 gene:LPERR01G15130 transcript:LPERR01G15130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYDAMKFCKSDISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKIFSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNISGPTRKIMKNLPSEEKFIQNGNGTASGDDGKLKEASTA >LPERR01G15130.4 pep chromosome:Lperr_V1.4:1:13025531:13027536:1 gene:LPERR01G15130 transcript:LPERR01G15130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMATLPASPCTSSSSPPPSLFLAPNPCCWKAAPRASLRASAAARRTLSSGWELPGQSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGYGRRKVYERRCPWVWSRQRLSRFRHYLSLSSPQMEKWNRKKR >LPERR01G15140.1 pep chromosome:Lperr_V1.4:1:13037445:13042449:1 gene:LPERR01G15140 transcript:LPERR01G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQATTAVRGRTERAGGKRAEWTGGLSRRSFPAGFVFGTAASAYQVEGMALKDGRGPNICDAFVKIPGEIANNATADVTVDEYHRYKEDVNIMKSMGFDAYRFSISAGTGKVNWKGVAYYNRFINYMVKIGITPYANLYHYDLPVALEVQYGGLLNRKVVEAFADYADFCFKTFGDRVKNWFSFNEPRVVAALGYDDGRFAPGRCTKCKAGNSATEPYIVAHHLILSHAAAVKRYRQKYQHTQKGRIGILLDFVWYEGLTNSTADQAAAQRSRDFHIGWFLHPIIYGEYPKTLQVIVKERLPKFTPDEVHMDMLQSVIPQLKIQNMICKLVVFLIVRIMNFLIPLASPGAADERNGVLIGNRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTITQGVHDTTRVAYYRSYITKLKEAIDDGANCIGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKMSAHWFRDVVTSKN >LPERR01G15150.1 pep chromosome:Lperr_V1.4:1:13047743:13048258:1 gene:LPERR01G15150 transcript:LPERR01G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTIPTAHTTFTSPRDSRITTSGSEQQQRRPRMDGLAMDQQQARQRRPRKRDRPPTPRSEGIRAAPPPAEEEEGARDEDVDRFFALLEDVREMRELWRRNGGEARSADGKQRITGMEDDGGRKQQDQQQLWRPTFVMEDFAFELKGSSDREVQPEKAEDSAPNLDLSLSM >LPERR01G15160.1 pep chromosome:Lperr_V1.4:1:13071701:13072186:1 gene:LPERR01G15160 transcript:LPERR01G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPPEETASEEEQQQMERFYALLANVRAMRAMFKEAALPNCVVDGGNCREQQQRNKKRPRVETPWQPAFEMADFECDGGGSMSSTESAKATKGVKQDDDGYNCEKKGKVSAANYAAADEDDGEVVEGKPVAVVAGNGAGRAVDDDDRQELTAGPTDGA >LPERR01G15170.1 pep chromosome:Lperr_V1.4:1:13080291:13080494:-1 gene:LPERR01G15170 transcript:LPERR01G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDKLPLSKAERRCDIWQHRHRHSLALGRDRCPTMITLCYVSTKLGSAYGPAWRMAIGGGRSRRL >LPERR01G15180.1 pep chromosome:Lperr_V1.4:1:13101588:13103983:-1 gene:LPERR01G15180 transcript:LPERR01G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSKAAAEEYYQLDSRPPSVADIARPDQVPMPKGPAIDFFKELSNDEEEEAVEAATAATTVHVDSLQGRKRKLIIANDSDNEAANQSAPTPRLSSPSPPLAPKARPFSPRAVKRGRLKVSTVFTGKEPTAVPTGFQSESVDRDVPSTTLPPSPQVTAMEVCPAVAEVTTSSTITSPVNTTPPATASTVPAATAPSPTLALTTTVDVPNANKGKQVQSSPVAIEPSARFDSERTASDEIIGWRHGPELDQVSILDRIEDQKNMTRLIRLMAESSDLVLTSLATTPLFLRPRSRLRNKCRSSKPS >LPERR01G15190.1 pep chromosome:Lperr_V1.4:1:13107216:13107482:-1 gene:LPERR01G15190 transcript:LPERR01G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGRGVGALARALLLLVLLLLPAAATIGFGCRGAEAIRHGPTRRVVDAAMPVVGRRPVPVRPPAVADEESKRRIPSCPDPLHNR >LPERR01G15200.1 pep chromosome:Lperr_V1.4:1:13121886:13126999:1 gene:LPERR01G15200 transcript:LPERR01G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTFKSVPNANAAGIASLHDDLLAEILLRLQSGASLARAALASKRCLAVASAPGFLARFRARHRHASSPLLGIFVSHGYSGGLPVFYPAPAVRSDPDLGAAVRGGDFLLTRVGSVGEEEDLLQDCRNGLLLFRRGGRSVAVYDPFSRRCVSVRRPEEDGPFSDTYTDYLLPGRGGGGAASFRVVSVQRHGRMMRAVDYDSDTGQWSFHPWVESARRPLRGQAMHAAGLIFWKWDENSVMLLDTGAMEFSMLPLPVWLLVDSGGVRSWELEKEVPVSKVLDRHSRVRQVRTVATGFVLLSWDERYPQFVIDLKNLKVKAQFICSGEAYLFQMPWPPAVLVNTEFQPAYLALPSQSAEYVEPLQRIVTQDTVNHVNLGEERMDVVVNSEGQLDMLLGPHGPLDTQEVMATEVETIVATADLKLPMSAEAQKKTVVEIPEDQIRKGLEVPVQKRKNTRLEKRRGKWYGSVLHQAMEKKARYMGDVEQLKVQPAHLALPSQCAEYVETLHRIVTRNTANHVNLAVEKIDVVVNSEGAQLDLVLGPHGPLDTHEVMAAETETSVTIANLKLMSAEAQKQTVVEKPEIRKELEVSFLRRTRTRLEKRGAERYESVLHQAMKRKARYMGGMEQVSASPGRNNSRSGKPIVVGPRYGRYYQRRAVVNVHRLDKQ >LPERR01G15200.2 pep chromosome:Lperr_V1.4:1:13121886:13126999:1 gene:LPERR01G15200 transcript:LPERR01G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTFKSVPNANAAGIASLHDDLLAEILLRLQSGASLARAALASKRCLAVASAPGFLARFRARHRHASSPLLGIFVSHGYSGGLPVFYPAPAVRSDPDLGAAVRGGDFLLTRVGSVGEEEDLLQDCRNGLLLFRRGGRSVAVYDPFSRRCVSVRRPEEDGPFSDTYTDYLLPGRGGGGAASFRVVSVQRHGRMMRAVDYDSDTGQWSFHPWVESARRPLRGQAMHAAGLIFWKWDENSVMLLDTGAMEFSMLPLPVSFFQSSKYAIGEMEDGVCCLVCLDGPIDNVYMQVWLLVDSGGVRSWELEKEVPVSKVLDRHSRVRQVRTVATGFVLLSWDERYPQFVIDLKNLKVKAQFICSGEAYLFQMPWPPAVLVNTEFQPAYLALPSQSAEYVEPLQRIVTQDTVNHVNLGEERMDVVVNSEGQLDMLLGPHGPLDTQEVMATEVETIVATADLKLPMSAEAQKKTVVEIPEDQIRKGLEVPVQKRKNTRLEKRRGKWYGSVLHQAMEKKARYMGDVEQLKVQPAHLALPSQCAEYVETLHRIVTRNTANHVNLAVEKIDVVVNSEGAQLDLVLGPHGPLDTHEVMAAETETSVTIANLKLMSAEAQKQTVVEKPEIRKELEVSFLRRTRTRLEKRGAERYESVLHQAMKRKARYMGGMEQVSASPGRNNSRSGKPIVVGPRYGRYYQRRAVVNVHRLDKQ >LPERR01G15210.1 pep chromosome:Lperr_V1.4:1:13137783:13143361:1 gene:LPERR01G15210 transcript:LPERR01G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRPHKELTLAVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETGDEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPADRMSASELLNHPFIKKFVDKDLDLRILVESLEPPMNIPE >LPERR01G15210.2 pep chromosome:Lperr_V1.4:1:13137783:13143408:1 gene:LPERR01G15210 transcript:LPERR01G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRPHKELTLAVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETGDEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPADRMSASELLNHPFIKKFVDKDLDLRILVESLEPPMNIPDYCCESLASLLIGGTTFDSGKY >LPERR01G15210.3 pep chromosome:Lperr_V1.4:1:13137783:13143999:1 gene:LPERR01G15210 transcript:LPERR01G15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRPHKELTLAVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETGDEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPADRMSASELLNHPFIKKFVDKDLDLRILVESLEPPMNIPE >LPERR01G15210.4 pep chromosome:Lperr_V1.4:1:13137812:13143999:1 gene:LPERR01G15210 transcript:LPERR01G15210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRPHKELTLAVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETGDEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPADRMSASELLNHPFIKKFVDKDLDLRILVESLEPPMNIPE >LPERR01G15210.5 pep chromosome:Lperr_V1.4:1:13136874:13137971:1 gene:LPERR01G15210 transcript:LPERR01G15210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARGEGWRSSNGGYRVVAIGGGFGETELKSSICVRGRGRNGMTLEEEFIGFYRLRMEIIQDPCRDWPLGASASDKP >LPERR01G15220.1 pep chromosome:Lperr_V1.4:1:13143000:13144080:-1 gene:LPERR01G15220 transcript:LPERR01G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGRVHAYDRAVLQLDADPAGHESKRRVVGAVAAPATVANGGFVRAPRKPTNHSKFTGGRSYRALSGKAGAAGSSSSSCNKGRRKVKHDELKVYYLEEEVDGRHDLDGMHTDQKGFMMPASAEFMRGRYMCHYEPKKRPTLKALKNFVPPNDNLLGYIHRRFKALNKNAQV >LPERR01G15230.1 pep chromosome:Lperr_V1.4:1:13145589:13146099:-1 gene:LPERR01G15230 transcript:LPERR01G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRLVADHGLAATLLFVAVTSPPWEQYLSVAASARAEGVDLVALPAPSPSPSPAPDALPATASVRDRIAHAVATGVPRGRSLRSWPTWSARRRARCAVLHVLHLSVDAVLAVPASPAIDDAAAHAGGENRDAMEPIRLPAGCVQIHMHDLPGSMLTDRSSDM >LPERR01G15240.1 pep chromosome:Lperr_V1.4:1:13148121:13148471:-1 gene:LPERR01G15240 transcript:LPERR01G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWVCAYDRALVDPEGKRRAVGAVTSPAAVANGGFVRAPRKPTNHSKFTGGRTFRALISGKGAAGATGGLTPSSTGGKGTHKFKHDELKAYYIEEADVAVDGHRYDVDS >LPERR01G15250.1 pep chromosome:Lperr_V1.4:1:13151853:13152197:-1 gene:LPERR01G15250 transcript:LPERR01G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWLCAYDRAIVDPEGKRRAVGAVTSPATVANGGFVRASRKPTNHSKFTGGRTFRALMSGKGAAAGAGGSTPSSTGGKAVRHKFKHDELKAYYLEGADDVVDGHDEL >LPERR01G15260.1 pep chromosome:Lperr_V1.4:1:13152458:13168572:1 gene:LPERR01G15260 transcript:LPERR01G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNFLCKNCEHKQHQCFICGALEPSYGPAAKVFLCNNATCGRFYHPKCVARQLHPKNKNEGSELEMKIANGHFTCPSHWCFHCKGLEDRTQEDSQFAVCRRCPKSYHRKCLPREIAFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRIPNIEKPAILLKKSIKVVKMKKRPFSESVTDQLSTVLKSPDIVCNKESDCARKISARSSSEQLVVKHDKKKTRFLKDRAQPEPCMTKDASASSTKPAKVQEKLLATMSSSPSRKTPQSSFPTVDSETEKRVIALVEREAPNLTLRDVSRKCMIPSTHVYSGRQVDRIIATGKLERSVQAVGAALKLLENGGTVNDAKAVCEPEVLKQLTKWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLAEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDQVQKRCHFKNYDLIQPQNCFSFERRDWMTVQPNELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKIVEGWNASAPPLYLWSRPDWTSKHRKVAEEHSHTSIGRITSRVEEDNLLDNLPMMKEENTGRTSFNLKETNLSDLPARRRSEAGNKRKGIPGKEKETKTSCNGREVLLPDDQPVKKQAGCGEVKVKEYHSHDSLPVRKQPEISSQQISQSGNQKEHSMDQSKSYHSGSRKITPDQVDCSFPPEKQVEVAYEERMPIPIKSIHQEKLDANRGDRINARRGSEIPGVQFTDRGDSDMSMSSPDSSNARKTSRSNSPFIPSGYPADKTTHQDSFMSYPPKEHLVSKQATNQGSYLASNNECSDGFEGKFSNSVEFEMNRQHFTPPIGDAYSLHSLRDSDRLYRTQRSEERNSNFVESTTGAPLHEHWYSRQAGDDYHLQTSRDRVPPTLDEQAHVGHADDYLQSHYSLGYSGARYGQPSLTPYGLPGTSAPYSVMDRYAPGFLAPGAQRSSAMDMYGLGPSAPGSSVMDRYAPPLEDTNYSARGVSQYPYRHPGSSGGGWRHD >LPERR01G15270.1 pep chromosome:Lperr_V1.4:1:13169624:13173152:-1 gene:LPERR01G15270 transcript:LPERR01G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRPSLPPPGAIPRRRSGRRTQPSTSKQTFPVPLLADKVGSLSFPVQCSIVRCCSSPTDVIHSTSDDIHKDIGHDHFLMKSTSGLQKVISSCFGKACLLSSSIMLFLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLISYLRAGKNHLRNQAPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >LPERR01G15280.1 pep chromosome:Lperr_V1.4:1:13188666:13195966:-1 gene:LPERR01G15280 transcript:LPERR01G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIVSLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGIQPAIPENPPVDAIVAPTENKRTEHGKDDGLTADIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASVCRRFGHVYHNLQTRLTKTLIHAFLDPQKSLTQHYGAVQGISALGPSAIRLLLLPNLETYMQLLEPELQLEKQKNEMKRKEAWRVYGALLLTCRCIFQCAAGKCLYDRLKLFPNLLSSSMRPLLRSNKRVMTNNPNKRKSSTDISASQPPQKKMATDGGMNSMASPPMAGNMPGTMDGFSTQLTNPSMMQASSSGQLVESTASGVIRRDQGSNHPQRVSTVLRLAWKEDQNAGHLLSSLYEIFGEAILSFVQPPEISFFL >LPERR01G15290.1 pep chromosome:Lperr_V1.4:1:13203565:13204557:-1 gene:LPERR01G15290 transcript:LPERR01G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSGQWPACQAAVEAVLKGDPVVQVSSEAAAAAQATPPLRAYDIRHVAKDAEADAAANLLRVARGAGGGRTRFKRASSTSNPKADKRRAASPSSSTHDDTDAAVVVADHDDDHLHHPEMLSHHHEESAGSHDHDHDDDDHVVDDGDNNDMAVDDVPPPPARAGSEDTEVEAGSHVSQAEQSPLPAEHEEEQEEVGLELTLGFQPLVVRAASRRSASAEAEARCDLSGLSAESSRIGLRLELPA >LPERR01G15300.1 pep chromosome:Lperr_V1.4:1:13225435:13227339:-1 gene:LPERR01G15300 transcript:LPERR01G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPQPKLQKVMVAVDESECSHHALEWALRNLAASIAPPLLVITVQPLLPLGYVSAASFGSPLGIPVVAPELIKSMQEQQQQLSQALIDKANQICAQHGVAVETMIKTGDPKEMICEAAEESRVDLLIVGSHSRGPVQRLFLGSVSNYCMHHCKCPVLVVKKQGRIGWYSDN >LPERR01G15310.1 pep chromosome:Lperr_V1.4:1:13228904:13229704:-1 gene:LPERR01G15310 transcript:LPERR01G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSSSMSRRLFKALAINPALASGMTCQHHQLQQRAPVSGTAKGKAKLKSGQQLKRNTIGAKKGSEPSTGGGGGGGRGRREAIERITQIAESCLNAPTPLRHLSPKERLREAKREELGLISKDRQRELDLAKAKAKSKGTSGGDGDRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLQLKKEAIAALPENLRAAAMVPDMAPFPANRYMATLTPPIEGYIDKVRDAAKKYSVKEKLR >LPERR01G15320.1 pep chromosome:Lperr_V1.4:1:13234019:13238446:-1 gene:LPERR01G15320 transcript:LPERR01G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTAPTGDFPYRESLVHNRDHVTVTIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADFMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVLGIYSVYQTSANQKGAVGVKQPYIRVVGLEQSRDTNSNGPSNFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNADASEGENWLKRYIEYCRVSCKPRLSEKAAEMLQNKYVEIRQKMRQQANETGRASAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQTEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDELEYKRERHVIVRKA >LPERR01G15330.1 pep chromosome:Lperr_V1.4:1:13240920:13244619:-1 gene:LPERR01G15330 transcript:LPERR01G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADSYAELADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFTVIGSREYPDKDAAISFLEGVITKLRETKERRIDEPVLYVKMQIAAINLEKGSQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLSSAGHGRTTTADVAGNCEVDPWRQKICPGTAAVTAKPLEVGW >LPERR01G15330.2 pep chromosome:Lperr_V1.4:1:13241675:13244619:-1 gene:LPERR01G15330 transcript:LPERR01G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADSYAELADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFTVIGSREYPDKDAAISFLEGVITKLRETKERRIDEPVLYVKMQIAAINLEKGSQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >LPERR01G15340.1 pep chromosome:Lperr_V1.4:1:13245856:13249001:-1 gene:LPERR01G15340 transcript:LPERR01G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICWHVVAEQSQSQAIRFVATLSAFLPSISTWPATAIVRFSSGPPVPATVTATAHLTLLTLAKEEENRSEAMAATSPCMIAVLRSTHHRWRLSPSATATSPPRLRTLPPCPRCRLNSSSHRHSSSISMAPSNLRHRLLLAPSLAAAAAGGDAATAPSGLPSLLGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLITFGGWFASLMVAGYTALAVRKIVNTQLIPAEPMSKPSPFATFEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKTVLHPIICCALSADLAAIAYGYLSRSGVDAVLGCDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPTLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLVFIAG >LPERR01G15350.1 pep chromosome:Lperr_V1.4:1:13255696:13255935:1 gene:LPERR01G15350 transcript:LPERR01G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLILPSYRARDPGFDPYVPTEDFPAGTKEHARDQVQDAVQAIVTGFEGTETRIQLAYDSDHGEAEEEEDVEDASAP >LPERR01G15370.1 pep chromosome:Lperr_V1.4:1:13266769:13269477:1 gene:LPERR01G15370 transcript:LPERR01G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYAASAEAIQAIEKKKDDKSSKDHKDANRSSDRKRRAEELVAATERFIHQRRFRIPDYKQVLAEKCPYHPTGNHSAKDCYMFKKYIKQQEVNVAANLAQWERMGLNSPRTYPLAVGNRVSGHFVWTLRTS >LPERR01G15380.1 pep chromosome:Lperr_V1.4:1:13276303:13277348:1 gene:LPERR01G15380 transcript:LPERR01G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGADWIRNVRGYDKTVSVIFASLTRTPVISFSMTSATSSTSRDASDSSQINASAEAVRAVRGDKQPAFTLKEKEEKPSKDQKEANRPLERKRRLEELVAAADCFSHQRRFKISNYEQVLAKKCPYHPNGNHSAKDCFLLKKYIEQQIKGLKP >LPERR01G15390.1 pep chromosome:Lperr_V1.4:1:13322345:13322935:1 gene:LPERR01G15390 transcript:LPERR01G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAQTAVQCEQPGNKQLRIFGYEVGGVAAARREAAAADVVVPVPVGRRFECQYCCREFANSQALGGHQNAHKKERQHLKRARLHLAAAPAAAGMGFAPPPPPPEHVFASYTLPRWVYLAHHHLQPSAAVASLPFVQAACHRGDVDTRLLSATSAHSFEVSAAPAPADDGADDEEASAMGLDLHLSLAPASSPSA >LPERR01G15400.1 pep chromosome:Lperr_V1.4:1:13326357:13333033:-1 gene:LPERR01G15400 transcript:LPERR01G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQYTQGTIFSIMSLVRCQGGSPGRSGCMRCPVRLSQGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAVGMVEELFEEGSAMLSERLGNDSSGRRTSSSLFTCAICQADLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >LPERR01G15400.2 pep chromosome:Lperr_V1.4:1:13326357:13333033:-1 gene:LPERR01G15400 transcript:LPERR01G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQYTQGTIFSIMSLVRCQGGSPGRSGCMRCPVRLSQGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAVGMVEELFEEGSAMLSERSVITLPLKLGNDSSGRRTSSSLFTCAICQADLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >LPERR01G15410.1 pep chromosome:Lperr_V1.4:1:13333391:13333573:-1 gene:LPERR01G15410 transcript:LPERR01G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQRGLKRAASEEDLLLDEGDASNPVTQPAIDSAPNTGVGLADKAIHRWKTSRNDDTA >LPERR01G15420.1 pep chromosome:Lperr_V1.4:1:13337726:13338831:-1 gene:LPERR01G15420 transcript:LPERR01G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGENCSGYLGDIQLTFSLHFTYENGAAEGTSAVTAGFYRARQGTRALLTADLSRCRHCRAVPICRAVNCAAEALPRGADLPSGHQSLLI >LPERR01G15430.1 pep chromosome:Lperr_V1.4:1:13339874:13346736:-1 gene:LPERR01G15430 transcript:LPERR01G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWGVLILFCLLFRLVYVVLGTGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHVKGLLHSQDDNAGELSVEANMLAASCAGIATAIATNPLWVVKTRLQTQGMRPGVVPYTSIWSALRRITEEEGIRGLYSGLLPSLAGVTHVAIQLPVYEKVKQYFAKRDNTTVDKLSPGKVAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYLKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >LPERR01G15440.1 pep chromosome:Lperr_V1.4:1:13346975:13347235:1 gene:LPERR01G15440 transcript:LPERR01G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAEVDSRGLALRPRGGSGRASCHARGRGGRAPSPRFGRSRRKRNLREVAARCGMEGIGRRFDDEGEGVGGGGLGGGEGGEA >LPERR01G15450.1 pep chromosome:Lperr_V1.4:1:13355969:13359836:1 gene:LPERR01G15450 transcript:LPERR01G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTTVELGRRHGGDRFYDASRARRGYHHNHHNGLPKARWAPAVHHQEKPAAAEEPSPPPARAPVPPPGVAGNLERFVAAVTPFVPAQYLSKSEVRGWRGCNGVGDHRREAPHFFLRDAWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLPSGPRHMMDDSDGEYHDSSSDASSDYEHGRISSLSARFPALRTFRSCDLSPQSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLTTGQEDGGAVKYWGPSSKPTVPLSVFGMASYKFSNSIWSSTNGDRQLASFLQQAASDWLRDSHTSHPDYQFFASRSAYQR >LPERR01G15460.1 pep chromosome:Lperr_V1.4:1:13364089:13365701:-1 gene:LPERR01G15460 transcript:LPERR01G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGGSSLTSVPPDAGTPAPAAPPGTGTGGGPSAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTSKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPIK >LPERR01G15470.1 pep chromosome:Lperr_V1.4:1:13367914:13375652:1 gene:LPERR01G15470 transcript:LPERR01G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRPPSTPTSKIERTPMSTPTTGGSSRAKEEKIFVTVRVRPLSKKELALKDQVAWECADNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYSHIENTPERDFIIKISAMEIYNEVVKDLLRPETAPLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDRRGGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSDVIIMERDRKIRQMEIEMEELKKQRDNAQSKLDELQKKMGDNQPGWNPFDSPQRTRKCLTFSGSLQPSNKMKMQGSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRSDRDVDMLTDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQKSIDRLVMSLPNVSTQCNETTPKSNRSKKKKRMLLPLGVSNINRQNLIRAPCSPLSSSRPLEVENRAPEGDTVSHEGSERATPTKSEDTGDMSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEENSEENAGFLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSVKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIEESADIVARLVGFCEGGNISKEMFELNFSVPASRKPWLLGWQPISNMIREKTQLWFCQFLFN >LPERR01G15480.1 pep chromosome:Lperr_V1.4:1:13386731:13388721:-1 gene:LPERR01G15480 transcript:LPERR01G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPVIIKTRHERHKKHITNRHKDGKTKQRERDVKELEQDIEMLPKKDIMLPTQKPKVVVKVSQQQTEEKLMEE >LPERR01G15490.1 pep chromosome:Lperr_V1.4:1:13389165:13399024:1 gene:LPERR01G15490 transcript:LPERR01G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGGAGAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRDFFSDPALFPPDLLARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNAAGPLSDDSTNEGSNQHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINNSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNEKLSAEKDSLVKNREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKQLSAGDTDGFNHANSMGETALSSEHDNLKRPESIISKLAGDMSLAEDTKKDHENMESSVEGSPGPEAAVSCSTAENSGYGTSGEDKSVTNVCTEDLSVNGNLHGASNSQEDSDNISVYLSEDKVHTEKAESPCKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDIRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLSLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRVLLAHILASAQRCPPISGVEGTIDSHLRVLREQERWNIDVLLRMLTELLPFIHQKAIDTCPFAVDPSTGSTPESHFSESSLKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVMRKYLLDVSGRYGIDYLEHIMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGMVCVLPLLLSGILGSPSRCQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRIFEEHHVAVFNIVWEMVVSSDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDESHEATVSVIRALAATIFKLTSAPPTGDDIERRRERANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGTLESLGKVMGAHLGIASSMTSFFGESSLLGKKESVEQHDTAAAAPSQPVPQAQQENTRFGRMMLGGFGDMLRGKAKGSDEPS >LPERR01G15490.2 pep chromosome:Lperr_V1.4:1:13389165:13399024:1 gene:LPERR01G15490 transcript:LPERR01G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGGAGAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRDFFSDPALFPPDLLARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNAADDSTNEGSNQHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINNSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNEKLSAEKDSLVKNREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKQLSAGDTDGFNHANSMGETALSSEHDNLKRPESIISKLAGDMSLAEDTKKDHENMESSVEGSPGPEAAVSCSTAENSGYGTSGEDKSVTNVCTEDLSVNGNLHGASNSQEDSDNISVYLSEDKVHTEKAESPCKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDIRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLSLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRVLLAHILASAQRCPPISGVEGTIDSHLRVLREQERWNIDVLLRMLTELLPFIHQKAIDTCPFAVDPSTGSTPESHFSESSLKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVMRKYLLDVSGRYGIDYLEHIMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGMVCVLPLLLSGILGSPSRCQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRIFEEHHVAVFNIVWEMVVSSDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDESHEATVSVIRALAATIFKLTSAPPTGDDIERRRERANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGTLESLGKVMGAHLGIASSMTSFFGESSLLGKKESVEQHDTAAAAPSQPVPQAQQENTRFGRMMLGGFGDMLRGKAKGSDEPS >LPERR01G15490.3 pep chromosome:Lperr_V1.4:1:13389052:13399024:1 gene:LPERR01G15490 transcript:LPERR01G15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGGAGAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRDFFSDPALFPPDLLARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNAAGPLSDDSTNEGSNQHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINNSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNEKLSAEKDSLVKNREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKQLSAGDTDGFNHANSMGETALSSEHDNLKRPESIISKLAGDMSLAEDTKKDHENMESSVEGSPGPEAAVSCSTAENSGYGTSGEDKSVTNVCTEDLSVNGNLHGASNSQEDSDNISVYLSEDKVHTEKAESPCKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDIRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLSLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRVLLAHILASAQRCPPISGVEGTIDSHLRVLREQERWNIDVLLRMLTELLPFIHQKAIDTCPFAVDPSTGSTPESHFSESSLKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVMRKYLLDVSGRYGIDYLEHIMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGMVCVLPLLLSGILGSPSRCQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRIFEEHHVAVFNIVWEMVVSSDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDESHEATVSVIRALAATIFKLTSAPPTGDDIERRRERANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGTLESLGKVMGAHLGIASSMTSFFGESSLLGKKESVEQHDTAAAAPSQPVPQAQQENTRFGRMMLGGFGDMLRGKAKGSDEPS >LPERR01G15490.4 pep chromosome:Lperr_V1.4:1:13389052:13399024:1 gene:LPERR01G15490 transcript:LPERR01G15490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGGAGAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRDFFSDPALFPPDLLARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNAAGPLSDDSTNEGSNQHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINNSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNEKLSAEKDSLVKNREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKQLSAGDTDGFNHANSMGETALSSEHDNLKRPESIISKLAGDMSLAEDTKKDHENMESSVEGSPGPEAAVSCSTAENSGYGTSGEDKSVTNVCTEDLSVNGNLHGASNSQEDSDNISVYLSEDKVHTEKAESPCKQKSSDKMALETIKIVSDALPKIVPYELLPLIICAIEKHPDSDIRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLSLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRVLLAHILASAQRCPPISGVEGTIDSHLRVLREQERWNIDVLLRMLTELLPFIHQKAIDTCPFAVDPSTGSTPESHFSESSLKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVMRKYLLDVSGRYGIDYLEHIMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGMVCVLPLLLSGILGSPSRCQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRIFEEHHVAVFNIVWEMVVSSDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDESHEATVSVIRALAATIFKLTSAPPTGDDIERRRERANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGTLESLGKVMGAHLGIASSMTSFFGESSLLGKKESVEQHDTAAAAPSQPVPQAQQENTRFGRMMLGGFGDMLRGKAKGSDEPS >LPERR01G15500.1 pep chromosome:Lperr_V1.4:1:13399688:13400863:1 gene:LPERR01G15500 transcript:LPERR01G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDAVAWNALLACLVRHARPGAAAAAFRGMAAAGFSPTAATLCTMAKACAASRALRPGRQVHSLSVVVACGSGDVVMATALVDLYMSCGLVGEAMMLFMFTDCPKDAALYNAVISGCVENGRFREAFLMLGRIELNGIALTCGLTACSATANLVYGMQVHCKALRHGFISETIICNALIDMYAKCGRTVAARMVFDRMACRNVVSWSSMIDAYSRHGHGEAALDLFKRMEKAAPVVLPNTVTFLAVLSACGHSGLVDEGRAMLHLMKKQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFNTNRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHADVRQWSKSDESRRIIMDKGLRKQPASSHISSTT >LPERR01G15510.1 pep chromosome:Lperr_V1.4:1:13409073:13416230:1 gene:LPERR01G15510 transcript:LPERR01G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGLVVVSDPYLQRRFSQADLRSLQAQYASLRDASPSGRLRLRDLPAALSTGVRVAEVAAGDAEKENSAPGAARLTDEEWASVLKAVARSDDERRPHQNVGFELFLRVYAEMQLRLKGAAAAGKKAGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKTALPIDPATDRLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSTKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLQNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDSDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKRPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >LPERR01G15520.1 pep chromosome:Lperr_V1.4:1:13424011:13427308:1 gene:LPERR01G15520 transcript:LPERR01G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAAGLAADGQALLAFKASILRDPEGALANWDASSSADPCAWNGVSCGAGSGSGDRRVVALSLPRKGLVGSLPSTPLPSSLRHLNLRSNRLFGDLPAALLAGTSGLQSLVLYGNELYGPIPPELGEQLPYLQILDLSSNSLNGSLPSAILRCRRLRALELSRNDLAGALPEGIGAGLPALERLDLSHNRFSGAIPDDIGNLSRLEGTVDLSHNQFSGPIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAVPSSNPFVPKDGESGAAGAGKSKGLGKVAIVAIVLSDVVGILVIALVFFYCYWRAVSSKEKGQGGNAAGSKGGSRCGKDCGCFSRDASATPSEHAEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSTAIHGKPGTMTFTPLPWDARLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLVGSNMEPFISDFGLGRLANIAAGSPFTQSDHAGIEKAQSQQSDASVSPLVNKGPCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLEAMQMDLVQWVQFCIEEKKPSADVLDPSLAKDSEREDEMIAALKVALACVQANPDRRPSMRHVAETLDRVNGSG >LPERR01G15530.1 pep chromosome:Lperr_V1.4:1:13428428:13428730:1 gene:LPERR01G15530 transcript:LPERR01G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGLLGPIGGLPSLGFMVRNLLGEVLDAGAGHASCVGSALQAEALAVKYSLERVLGMTKIVVETDVINKALLSNNLDRIVIGGLYRHIRGPVRLAG >LPERR01G15540.1 pep chromosome:Lperr_V1.4:1:13467816:13469465:1 gene:LPERR01G15540 transcript:LPERR01G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGGGVVKHILLARFKDEVTPERLDQLIRGYAGLVNLVPSMKAFHWGNDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANKFLPVLEKALIIDYKPTAVNNS >LPERR01G15550.1 pep chromosome:Lperr_V1.4:1:13472130:13477271:-1 gene:LPERR01G15550 transcript:LPERR01G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHPTPLPSHHSLLPLTLRPSARRRRRALSLLITRCQLSGPDPLRVAFACGGAGGHVYAAIALADELHASSSSSTSSLFLGHPSPSLESAAAASSPYPFAPIPRCLPHALLAAALHLRRFRPHVLVATGGAPSLPACLAALLLGLPFVIQDQDAGPAPATRLLAPLARRVFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCRRISKADAVASFFPRLGGTLGEERVEVLLVLGGTEGSPEINVALLNMYYEMLRERKNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHEMDIAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTIVDDHQTKNAYIMADVMGAKVITEDELDSSSLRSIIDEVFGDEKLMSDMSQKALSAARPNASTDIIRHICSLIGSLYTT >LPERR01G15560.1 pep chromosome:Lperr_V1.4:1:13488345:13497193:-1 gene:LPERR01G15560 transcript:LPERR01G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARIPSTFNDLLSLQILGLSICADSLIGNDMARGISGGQKKRVTLGELLIGPARVLFMDEISTGLDSSTAFQIVNFLRQSVHILGETAVISLLQPSHEMYDLFDDVILLSEGHVVYQGPKENVTSRKDQQKYWSREDEPYKYFTVEHFSEAFHAGQTIMKVLEVPFEMNLVSLAALKTTKYGVRKRQLVKAIFAREILLFRRNPSVCILAVKASVAMTVFWHSNMHHDSVKDGGIYLGVLFFSVSETMFNNMCDLGGTIMKLPLFFKQRDVFYPAWMYTLSTWILKIPITLIEVTIWVIMTYYPVGFDLNIKRFIKHYLLLLAVSQMSSSLFRLIAGVTRNMFAAKIFGTFAMLLLLLLSGFVISSENINKFWMFGYWISPLMYAQNAISTNEFTAHSWIKYIYYLHISNILPGSSESLGIRVLKTRGLFVQTKWYWIGLGALVGYIFLFNCLYTVALTCFKRAEKAPKSRRVTLSFVPLTLTFDNIRYSVDMPKETKVCAGTKDRLEILKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGHTEGAIYISGYPKKQETFFRVFGYCEQSNIHSPHLTVLESLMFSAWLRLPSEIDSMTRKMFVEDVIELLELTSLQDAHVGLAGTKGLSSEQQRRLTIAVELVANPSIIFMDEPTSGLDSRGAAIVMRTVRNLVDTGKTVVCTIHQPSIDIFETRNLILLVKNMQSIGLCNGTLNELQLFLLNRGGEEIYVGPLGSHSSELIKYFEGIERVNRIKDGYNPATWMLEVTSTVQEQILEIDFSQIYKNSGLYQTKPQDLFNSMGSIYSAVLMLGIQNACGIQPVVAMERIVFYRERASGMYSALPYAFAQVTIELLYIFVQTLIYGVLVYTMIGFEWTVAKFFWYIFFMSFTLLYFTFFGMMTVGLTPNGGIAAVLSAAFYGFWNLFAGFLIPRIPIWWRWYNWISPVAWTLCGLGASQFGDVEEKLDTGETVAEFMRSYYGFKHEYLEAVTTVIMAYSVAFAVVFGFSLKYINFQKR >LPERR01G15570.1 pep chromosome:Lperr_V1.4:1:13502682:13504555:-1 gene:LPERR01G15570 transcript:LPERR01G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHHMAAVEAEKEARLLVERMVGVTGNDHERFLLRIKDRFDSVGLELPTIEVHAKGLAVEAEAYAWRLQATPTVFSSIANTVLVSGKVAYNGHEMEEFVPDRTAAYISQEDLHAGEMTVRETRL >LPERR01G15580.1 pep chromosome:Lperr_V1.4:1:13506768:13509087:-1 gene:LPERR01G15580 transcript:LPERR01G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGQSCDDCGREFGLWQPALTAECSHKFHPLCVSGVDVCPACNARWTKSPTAAFSFGSSPVKPPTHAFSFPPQTTSGSSSGSSHRPQPAVCTGLFGSTNSDQISTARSPPRPAQSSSKPLFGFGPPSPTSSPFGQTWQRTTFSPPVHRPWSWSRCNGCDGVINHTQATVTSECQHTFHLRCFNGDMCPACNAPWRDTVTVFPRFSTAPPFPRNQKLSGPSGRATCTPTTSRWHHPVAANNVAPAPLVIKTHCEHPAIQRSTPRDNFAILVHAKAPSIPAVAAAGRAPVDLVTVLDVSGSMTGYKLTLLKRAMGFVIDKLGPDDRLAIVSFSSDARRVIRLTRMSDDGKTLVKLTVGSLAAGGDTDILKGLDEAAKVLDGRRYKNAFASVLLLSDGQDTSGGWAHRAKANRVLVPPSLKRSGGDKRGVPVHTFGFGTDHDAAAMHGIAQETGGTLSFVENEAVVQDAFAQCIGGLLSVIVQEARIGITTCAHTGVRVRSVKSGRYESRVDGDGRAASVDVGDLYADEERRFLVFVDVPVGGLFDAGVMRLIKVTCTYLDTATRNIRIVATGDHNDDAVVRRPEAVSAADAEPSVEVERERFRVEATEDIAAAREAAERGAHKAAAAILNRRQEALARSPRLAGDAGCAALVKELRELIARVADRREYEQKGRACLLAGMSSHAQQRATSVQLFASPSSASANTPGAAPQSSPFSNTGTGCGAYATPAMVNMLASSRKTREDGGGSGSVPSELVNKTSSEEVK >LPERR01G15590.1 pep chromosome:Lperr_V1.4:1:13520200:13522308:1 gene:LPERR01G15590 transcript:LPERR01G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDNFIRVFFILTVVSGAAYAKKPAAAAKESTPAYDAAAAPGPGAAATHPFDITELGAVSDGKTDSTKAVQDAWDAACALEGEQKVVIPTGTFLTGPLNFSGPCKGYVTVQIDGTLLGSNDIPKYNQGSWMVILHVDNIVVNGSGTLDGQGPLVWKDECKVLPNSLVLDFVNNGTVSGIKLVNSKFFHINVYKSKDVTIKNVAITAVADSPNTDGIHIGDSSEISVADSTIGTGDDCISVGPGSEKVNIQGVTCGPGQGISIGCLGRFKDEKDVTDVTVRNCVLRNTTNGVRIKSYEDVLSPITASKLTFENIRMEDVANPVIVDQKYCPEKTCSDKKGNNTVTIKDIVFRNITGTSSTPEAVSLLCSDQLPCSGMELLDVNVEYAGRDNKTMAVCTNAKGYSKGSLAALACL >LPERR01G15600.1 pep chromosome:Lperr_V1.4:1:13530566:13531798:-1 gene:LPERR01G15600 transcript:LPERR01G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYVPPWLELLLATQFFTYCTSHVHSPRNECNLFCIDCEAPQAALCYYCRSSHHSSHRVIQIRRSSYHNVVKVSELEDILDISNVQTYVINSARVVFLNERPQPRGSVVPVTKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNLIGIKGDDEAKEAKNGIAYNEKNIETDGGNVTANTGCNGKGSDIGGSNGTTANTRSEDEICSDASNKKEPSSTTTRAVRRHRRKGIPCRAPFF >LPERR01G15610.1 pep chromosome:Lperr_V1.4:1:13546962:13549725:1 gene:LPERR01G15610 transcript:LPERR01G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAAVAPATLLLVLFAVAVSASGLLVPDERRGHSSDGAVLGIDLGTTHICVGVYRNGRVEIIPDENGGHMTPNRVAFHDNGWLVGEAALDDPSRAIHGVKRLIGKEFHDEDVQREMTRRLPYDVVDVDGKPCVRVVDGGDGDARVVSAEEITAAVLAKVKESAEAYLGRAVTSAVVAVPAHFGDEQRIATRAAGGIAGLDVMRIIGEPIAAASAYGIGDDARSSGKRVLVFHLGGSTLDVTALAVHDDGLFDVLATNGDAHLGGEDFDRRVVDHFVEIIKRKHGVDVGGDGRALHRLRRECERAKRALSVEHLARVEVESLLDGVNFSETLTRAEFEELNEDLFARAISSVRKTMADAGLEKCDVDEIILSGGSTMIPKVRQLLIDYFDGKEPNHGVNPDEAVAYGAALRAAQASGQFNNDRRHDLIPDIASTVAHTTSIEIATAGSDGGEVTPMISRWSHIPAEKTHVFTTYLGWRETAVTIRVVAKHNTLVGELELTGIRPASIWNWGWRPIEVTVKVDRYGDILVEATDKDSGKSENLSINQSDRLSQEIERMVREAEELTEEKRIVRERSNAKNMLESYIHSVKNAVTGSEEMNWEEKVKAEEAVTAASEWLDGNLAGEKAEFEEKMRELKTVCETLMAAVQERRGRRHDEL >LPERR01G15620.1 pep chromosome:Lperr_V1.4:1:13550782:13551294:-1 gene:LPERR01G15620 transcript:LPERR01G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLYAELGELIPNLPPRASTARIVEEAIAFVKELRGKAAELEAYRSVAAVARGGGDGAEVVACGNTSCFAVRLPAAWPGALTRVVEVFQRHGVAVLAVAVARDGEEYTAVTVTTAAVAPRILERIKVEIICAACSV >LPERR01G15630.1 pep chromosome:Lperr_V1.4:1:13560774:13567310:-1 gene:LPERR01G15630 transcript:LPERR01G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAPASPPPPWRLHLLCYALLLFILLPWGTSKANEQLAEFPPRGWNSYDSFSWIVDENTYLQNAGILAEKLLPHGYEYAVIDYLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRTDNGFSQMANKVHGMSLKFGIHLMKGISLQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMPHGFMSINTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPKEIITISELLQELDRPIILSISPGTEVTPALAENISQHVNMYRITGDDWDNWKDVNSHFDVSSSFAAANKIGATGLRGRSWPDLDMLPFGWLTNAGVNQGPHRKCDLTSDEQKTQMALWSMAKSPLMYGGDLRHLDNETLSIITNPTLLKINRYSINNMEFHHLYSQRISKEDKHFSDFRSQDHVHLPKIDGVSLGLTACSDEKANGWYMFSHDKSDHICRNYGMQDDKNISFCLGKTKPLLTSDDTMIENEEYQTKFHLANTDDACLDASASQRRTSSAIKFPMFSRCRWHAMQMWELNGKGNLVSSYSRLCATVESNNQEVTTGEVARAWLATGSKGEIYLAFFNTDSMSRKITARISDLKKVVGRTFIRKDTCSFSEVWSGRNFGPVKEEISAVVNSHGSMVFEITC >LPERR01G15640.1 pep chromosome:Lperr_V1.4:1:13570401:13582251:1 gene:LPERR01G15640 transcript:LPERR01G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAPTSAHAAVAASGHGFLLPIRRPSTRIRLAVGRPATTLRAQPAAAEVVEQSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNRPDLKIVDHYTYVIAGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTNIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIEEAQSVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATRNNLSWLHEPFHVPDEVKRHWSHHIDEGASLEAEWNNKFVEYEKKYHKEAAELKSIISGELPSGWDSALPTYTPESPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDAPEERNIRFGVREHAMGAISNGIARHSSGLIPYCATFFVFTDYMRAAIRLSALCGSGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMFRPADGKETSGAYKIAVLNRERPSVLALSRQKLQQLKGTTVEGVAKGGYIISDNSSGNKLDLILIGTGSELEIVEKAADELRKKGKTVRVVSLVCWELFEEQPEDYKESVFPSEVTSRISVEAGVTFGWEKYIGEKGKAIGIDRFGSSAPAGKIYKELGLTVQNVIAIARSL >LPERR01G15640.2 pep chromosome:Lperr_V1.4:1:13570401:13577234:1 gene:LPERR01G15640 transcript:LPERR01G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAPTSAHAAVAASGHGFLLPIRRPSTRIRLAVGRPATTLRAQPAAAEVVEQSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNRPDLKIVDHYTYVIAGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTNIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIEEAQSVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATRNNLSWLHEPFHVPDEVKRHWSHHIDEGASLEAEWNNKFVEYEKKYHKEAAELKSIISGELPSGWDSALPTYTPESPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDAPEERNIRFGVREHAMGAISNGIARHSSGLIPYCATFFVFTDYMRAAIRLSALCGSGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMFRPADGKETSGAYKIAVLNRERPSVLALSRQKLQQLKGTTVEGVAKGGYIISDNSSGNKLDLILIGTGSELEIVEKAADELRKKGKTVRVVSLVCWELFEEQPEDYKESVFPSEVTSRISVEAGVTFGWEKYIGEKGKAIGIDRFGSSAPAGKIYKELGLTVQNVIAIARSL >LPERR01G15650.1 pep chromosome:Lperr_V1.4:1:13622304:13622772:-1 gene:LPERR01G15650 transcript:LPERR01G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVRRSGGGGSGVQQEDNGEERRRESGEDQQVWSPFFFLDLDANSGELPTVKGCERTGAKGKEVSGWTLHFQVIDAYPN >LPERR01G15660.1 pep chromosome:Lperr_V1.4:1:13630531:13632027:1 gene:LPERR01G15660 transcript:LPERR01G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVSWSDGLPPEMLLIVLSHLHCLADRVCFGAVCRSWRSTTKQQAAKEAAAAAPQRPLLLPWLLSPSPVDEPTITSFVSGVARRINLPECLRCKGRFFGSFSGGWLAVALGMCGDYLLANFRSGQTIPLPSMMRYQETPSLTDEMSTTTPAILRAIVFSSAPDSPGCVAGALTCGTANIAFCQLDTCKVWTGGPEIVPGAAIDDMVFFQGSFHAISSYDVMTVFTMWSPADTPGGRVDAVSQHSFSMPMRFRPSDRSAPLIRGAARSASRYLVVSRNKLLMVVRYYFPATIDFDGCIAEFPRRWTVLFRVFQMKKLRNGGFFWDALNGLDGRVLFLGRCCSRAFEASEISGFNGGSIYFLDDVKFDLSLVMKDNADYPSQDAGMYAMPSDVVVRPSMDVAAAGMQSSGSIYKGKYHLLHQKFSGQNGNLFEFVSAALGGDGGGGHVSSGLGASASTASASQSASGENNKTGILGTVWNFNSAPFSRFSAPVWLEP >LPERR01G15670.1 pep chromosome:Lperr_V1.4:1:13639698:13640748:1 gene:LPERR01G15670 transcript:LPERR01G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVLAFVSLVLLLVVVTGNELGHAVPLKRGHGLGWMNGVKGSGSPSGMQQPSAATTARHARLGEDHEGHYYVGEEGKSVITGPSISRVNRPSPP >LPERR01G15680.1 pep chromosome:Lperr_V1.4:1:13645526:13645714:1 gene:LPERR01G15680 transcript:LPERR01G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAALAAALLLVVFVAADSSEVGHAVPLRRALGLGWMNGMKGGPPTGMQHSSIHPAATGN >LPERR01G15690.1 pep chromosome:Lperr_V1.4:1:13647212:13653459:-1 gene:LPERR01G15690 transcript:LPERR01G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVPSLLSSTSSLLAILRRPLSALYPSSNPTASANLQRLKRLLSRIQATLDDAEEQAIQDNYVKLWLKELKDLAHDAEDVLDDYRYELLQCHVQERQADYPRKRKHMDYYDEDDDSIIERINEITTRFEEISRDRAALQLRSEDGQKIADRGDWLNSQPTSHLLDESTVFGRIDDKENIVQSVLSQGMEQGIAVLPIVGMGGIGKTTVAQMVYNDGRVRKHFDHCVWIHVSPTFDVLRLTTAITESLTKKNCGFIQLSLVHEILQHELDGKKLFLVLDDLWNECGNYWEALLCPFGYAQTVTILVTTRSKEVARVVQTVQLFVLGCITDSDCWLLFQHYAFGNQHENKQSRFVQIGRAILKKCAGLPLAVKSIGCLLRSKRDVHTWMEILESELWELDGKEDIFPALRLSYHRLPTRLKPCFLLCSLYPTYLGFTKDEVVGLWIAQGYVDATGGKKLQEVGNEYFNELCARSLIEISSGQLLDEVQYFDDLTGKSSIESFYKESKNERSVRPSNPLQSFTSMRTRKYNAMKQTLIETYLEKSSKSVQRFKLHDVMFDLAKSFTRDERCMALLKILCNVPNKLHQLHASQSCGILSFHEPRSLRTLVLNCCFSACFNELSSFVYLRALVLNSNQDISNLVCSIGNLKYLRYLSLNCYLLELPLSISSLYCLETLVISKLRTLRATNFYNLVSLNSLDVSFDLVDGSLDQFCELGMLGTLCLKRCSNMTYLPVHIGSLFNLHHLQLIGIPNLRNLLGDASSKYRKSNSITRHSEAKFPSLEELELKDLCKLEDWYGMQHSDYPKLQSLTIRNCSKLRTVPSFVSLRKLVTRKMQISDVRLITEYGVDNFRTVEHLIIEKCSEISQNMLSSTNSWLPSTLRFLQFSSDTFSGVLNFHKGLSMLGRLEIRNCSIERLKLIRCPLLQLRELMPAVPETSVICCCPRLKKWCEWHGIEYKDNLEDSYWML >LPERR01G15700.1 pep chromosome:Lperr_V1.4:1:13654012:13655088:1 gene:LPERR01G15700 transcript:LPERR01G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKAALATTLLLVVLVAAESSELGHGVPLRRGLGLGWMNGMKGGPPTGMQPSSIHPAATGEDRRSLSSEEEKFIYPLPTFKRPPIQPSN >LPERR01G15710.1 pep chromosome:Lperr_V1.4:1:13666473:13670672:-1 gene:LPERR01G15710 transcript:LPERR01G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDVGHLELGYLLSVPLNSTHCLPYEAIVERAGLLTISVRPDLDAWRLAHLIKAVISKSRPSSISRFYGSHRKEKNRMLESFRIAFRCNNIAVPDLRSPLIIAYMLAVSMNNVSENEPTKYQICRVQEQVRVLDRNCYEPMILSIGPYHHDSPCSFAMLREKWECLAHVLGLNQERELADYIHLVGELEDKIRNCYSEEVKLESNRFIKMILLDGCFILVQLSGLQGIAPSMQDTRPDAARESSTINKKEHGDELKILKPGCSVAGNSELVEKFRLSSFNRSKSHRSQTEDVEHDQKLDTSDQVGQWYHSCVAHDVLLLENQIPFFIVRRIYELVAGERATHSSPQFEIAGCVEGLLHYYPKATREAQRPCSFNHLLHLCHIYFRPSSKLDDERRLWSEPRYDTLRHGQCRWRWRRVIHYREAGIEFRKREFDEHNPHSLLDITFMDGVITIPRLIIDIKTTSHFRNLIGFEQTCPLSGNDFTAYVTCLSQLMSMPEDVSLLAKRGIIVHQMRSDQEVSTLLSKLGKNVDIDLSGNSYLKHAFSGMEEHYQSRINRWNAWLWQNHFSNPWLVLAVLAAGIILICTLVQTLISLLAYKNQK >LPERR01G15720.1 pep chromosome:Lperr_V1.4:1:13679125:13687996:1 gene:LPERR01G15720 transcript:LPERR01G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDRAHIPEQSLHGSTQCDTRHAGHAHSPHDPHVILLSTRPVYFVDQIYFPLIFSPRLLYENRVFLLACPLAVRHRLPSSGFLRRLALPQASRRGDRGAPILRGANRRAIMLQEFVDNVIAVIKESVKTFTYESLNNVARFINGISALLLTLLPGKANMLEGMSGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEEFLCPSSPLSQSSRLSHTSSFGRRDRRLRRPIRYAVSWILWPVRFLVSLLLILFNAIKFRIVQTSSKAPESPHISRNFPSRRGLHVKDQVLQRTTDRRRGVVEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWKKLFCWIHGSSNSSSSSSVVDVPTANVGSDSPAPTEKKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSQKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMTAIVEEIHKIKTSELGKSRPHISEETGDQNDKIMQASQDDERDNQPYKLCAVCHSLGGAVMLMYIVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRSKKFQMYDYGSPAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVTDPGKARIKQTTIRLRKPKKVQSEIEDDFDSKEHNGTENGVTDERLRKPKKAQSEIEDDFDSKEHNGIDNGVTDEQNGHRHAA >LPERR01G15730.1 pep chromosome:Lperr_V1.4:1:13690094:13699269:1 gene:LPERR01G15730 transcript:LPERR01G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDGEKEPKRRHGRSSKRSRDVSPSPSPDRSSDSSSSSPSASSDASSPTRSPDRRSRSRSSKRKKSSSSSSSRRHRHHHHKGGSGSRSRSSRDDDRHRRHRRRRRDEDRGRRGGDASDSSGSGSEEPDRVEEARGIVREILGDFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSVLVASGELADNQNRNPVSPTRQEQPSSNFDVQNKNDSIPEEPAKNDADEQPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDSFLIGPPPPAMVAEAASANEAERFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLAVPKQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSLWTDTPLDRAQKAQQNYLEAYNKTKAIADADEGKGKGSDASLVDKYNSSKRSVSLVQKHRESKKEKRKQKQREKEEWEGNHPWKPWDREKDLTAGRQNVNLDPGNMAEGLSSRFSSGAVQRNFL >LPERR01G15740.1 pep chromosome:Lperr_V1.4:1:13702822:13705659:1 gene:LPERR01G15740 transcript:LPERR01G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALGNDAINQATSKFKKFVTQLTELQGSMGRIKRELRLIHQFLSRMDVRNRNNETYEIWVEEARMMAHGIEDIVDEYLQLVTHKHDTRWSTYVKKGFMRANILLSLNKIASASKDAETNLVHLFQAKDRWVLMEGSGSTTSNDSSNYIVERSQHLASISRSLGEEDLVGVNRNREKLEEWLSDESSECSMITLHGMGGLGKTALAANAYRREKEKFQCHAWVSISQSYSIKDVLKCLITELSSNAEKRTWDNINNMDTEGLREELKRFLTHKKCLIVLDDVWTSEAINDLLGSLVCNLKGSRILVTTRVNDVAHLAFPNRRITLEPLYEKESHELFCRTAFPRDSNHECAAELSHLIDQIVSKCKGVPLAIVSVGRLVFVRDKTKEELRRIHDQLDWELVNNPSLEHVRSILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFISNRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSFRMHDIMHELAVDLCQRECFGVAYNGNDRHWEYEDRNERRLVIHKLSKDIDQAISCTLSLRSVIVLDNSMASSSSILRIVADKCRYMSVLELSGLPINMVPDAIGDLFNLHHLGLRGSNIKLLPKSIEKLTNLLTLDLFRSGIQELPRGIVKLKKLRHLFAEKQNDRHRRLFRWCTGVSIPKGLENLTNLQSLQALESQDESIRCLGELRQMRGLRLWNVKANHCEYLCESLLRMKFLSYLSITASDEDDVLHLDGLNPLPPSLHKLRLSGRLADSMLGAESPLFQADAGGQNLYSLRLFWSQLRKDPLPSLSRLLNLTELHFTRAYSGDKLVFLTGWFPKLKILRLRDLPNLKRMEIQQGAMASLERLRLINLSSMEEVPLGIEFIMPLKYLSFEEITLDFLQSLRQSKIGNMRWWHTLQQEIDMEPTVGLGRRMSCF >LPERR01G15750.1 pep chromosome:Lperr_V1.4:1:13708416:13710266:1 gene:LPERR01G15750 transcript:LPERR01G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLRSKTYKSRVLKQIRASIGTIQMAVHTLSLKIDMFKETKGHAARVLLELAPELQVENFPGILLAISSLLSINKKDANSGSPGNDNMVTKEFKLLGVQILEKLVDDEENCTQVKDAKDLIPKIVELIKCREGMLGSQIDMDIVQGSLLALLKLVSTPGENGGEFRNQVSGNLHVMEVIKKILAGRNESHPVLLVHATGILAFLTLNGTARKEIRGSRLIIQMLISFLAGEINTVQDPITRKITKMSKSCIETILAETTVEDMDNILHVLSNESTDHKIGVGKLLQNLRAYQGEEFTELFTRIEALPKVLETMDLAVGKTEQSDSSDEHASHGKGKLLESFIGLTVQICINGNEMVFTNVLWTANITIDSFVQKLKMILTVYKSQTTDFSGIRRVVIQQINWMMQKNPAYIVVFKKHELDMILKETAETATKLENYLHFHSGAGAIEHEESFSSIVSKSLELIAGSYA >LPERR01G15760.1 pep chromosome:Lperr_V1.4:1:13725238:13725811:1 gene:LPERR01G15760 transcript:LPERR01G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRRLSDLSDLEYRRDRVAEEDQHKAIQEVLAGFLNDEVLDRKEEHYYDGRLEPASVDCNIDLDDPNFD >LPERR01G15770.1 pep chromosome:Lperr_V1.4:1:13748292:13753074:1 gene:LPERR01G15770 transcript:LPERR01G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSVLPLLLLLALREASSLLLAEYNDRQALLAFKENLSDQTGALSSWNSNSSDFCRWAGVTCSRRHPERVIALMVRSAALAGSIPPVIGNLTFLQRLVLFDNMLSGEIPPIISRLPRLRYLELAYNYFTGEIPAELCNCSNLVHLSVEVNELHGAIPSCLGSLFQLQVLYLGENNLTGFIPPSLGNLTSLQRLALLRNNLEGNIPEGLSRLRYLQYIQAARNNLSGTIPPLFFNISSLQYFGFSSNKLHGRLPPDAGTYLPNLEVLFLGGIGNNFSGTIPASLSNATKIQELGLANNSFEGKVPPEIGKLCPVSVQMGSNKLQANDAEDWDFLRYFTNCTRLKELDLSDNILGGLLPSFIANLSGPITTISMGRNQMSGVIPPGIANYLGLEVVEFAENNLQGAIPEDIGRLGNLSLLSLYQNQLSGGIPTSIGNLTQLITLSLSRNQLNGSIPDSIGNLKKLITLDLSSNMLTKAIPAVIFSLPSLSNSLLLSDNYLSGVLPPQVGSLRHVTTLNLSRNNLSGELPKALGDCASLVYLDLDDNNFTGRIPQSLGNLRGLSMLYLKRNALSDSIPQVLANIHGLQQLHLAHNNLSGAIPQHFENSSALMELNLSYNHLVGEVPSHGVFSDISRFSIVGNDGLCGGIAELNLPPCDTRTHKPQKQLLLQILLPVSGIVVCSSLLLVVFFLFKGRKQLDGMNATNSLMLNEKYPRVSYHELFEATDGFAPTNLIGTGQYGSVYKGNLSLPSTTNAVVAVKVFSIQHAGSSKTFMAECEALRHVKHRNLINIITCCSSMDPRGNDFRALVFEFMPKYSLDRWLHTRSHEQIPMLSLSQLLNIAVGVADALDYLHNSSWPTVIHCDLKPSNILLNADWTAYVSDFGLAKLVGESIDQSSMSFGIRGTIGYVAPEYGAGGQASVVGDAYSFGITLLEMFTGKAPTDNMFTEGLTLHLLAATTLPEKISDIIDPALLEVEQFDKDAEILTCLSSVVEVGVSCSKENPSERMNMKHAAAKLHRIREEMMESSL >LPERR01G15780.1 pep chromosome:Lperr_V1.4:1:13761897:13763747:1 gene:LPERR01G15780 transcript:LPERR01G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTATTTTATPTPASAWTKEDNKAFENAVASCAATPPAEGSPDDDWFAALAASVPGARSPEELRLHYEALVEDVAAIEAGRVPLPRYAGEESASPPDSAAATPKDGGGGHRRDERKSGGGGYDGGSKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAAAAAQQAGPITGHQLATGSPAAALGPPGMKHPGGPIPMYGGAPMGHPVAAGHMMQPAAVGTPVMFPPGHHPPYVVPVGYPAPPAKMHQ >LPERR01G15790.1 pep chromosome:Lperr_V1.4:1:13766458:13775065:1 gene:LPERR01G15790 transcript:LPERR01G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLAAASPPPTPRVAPSLPHPLRLGPHHLGCLHHLLLRRHPFLLAAPAGVGHRWRRRGNRLLAGAYAPGDGGAGQDVDRSESTSSTGSAYIGLFVRMLGMDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFQGCVTLIVSLLKSDSTRACEAAAGLLRNITTVKLYRDVAIESGAMDGIFSLICKSTITPEMLEQSLCTVWNFSIDENLRYKILSSDILSRIVRFLDDEDIKVKEAAAGIISNLALSRSNHEALVEAGVIPKLVQLLQNKEDDYKIIRREAKSSLLELSSDEYYHTLIIEEGLVRVPLVGSAAYKTFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVDEKEAEPDEAKINAMIGRSNQRFLARIGAIELDDEGKEQSGGSQSSDLYTILHWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLKHTDVHVREAAAYALERLSVSSVVCEKIKTEGGLKLLADIVKDPNTTVEKMEKIINMLSRIFDTGISMAALPGSCASNGSEDAVHSDKSIQDDIDGGTNETSFTYVKQEEASSLSIIDFDVISRLSKVLREASPSLQEKVASVLEHLADLEQHATAMTAARIGSVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLNFDLFVRSINTEKFIALLRQMLKSSIPLQSKDWLAACLIKLQSTASLSGHETVSSVDMEITIYETIPRLVEQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAVAGAGGIFPLVKMIEEGDGDALEASLAVLYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >LPERR01G15790.2 pep chromosome:Lperr_V1.4:1:13766458:13775060:1 gene:LPERR01G15790 transcript:LPERR01G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLAAASPPPTPRVAPSLPHPLRLGPHHLGCLHHLLLRRHPFLLAAPAGVGHRWRRRGNRLLAGAYAPGDGGAGQDVDRSESTSSTGSAYIGLFVRMLGMDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFQGCVTLIVSLLKSDSTRACEAAAGLLRNITTVKLYRDVAIESGAMDGIFSLICKSTITPEMLEQSLCTVWNFSIDENLRYKILSSDILSRIVRFLDDEDIKVKEAAAGIISNLALSRSNHEALVEAGVIPKLVQLLQNKEDDYKIIRREAKSSLLELSSDEYYHTLIIEEGLVRVPLVGSAAYKTFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVDEKEAEPDEAKINAMIGRSNQRFLARIGAIELDDEGKEQSGGSQSSDLYTILHWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLKHTDVHVREAAAYALERLSVSSVVCEKIKTEGGLKLLADIVKDPNTTVEKMEKIINMLSRIFDTGISMAALPGSCASNGSEDAVHSDKSIQDDIDGGTNETSFTYVKQEEASSLSIIDFDVISRLSKVLREASPSLQEKVASVLEHLADLEQHATAMTAARIGSVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLNFDLFVRSINTEKFIALLRQMLKSSIPLQSKDWLAACLIKLQSTASLSGHETVSSVDMEITIYETIPRLVEQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAVAGAGGIFPLVKMIEEGDGDALEASLAVLYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >LPERR01G15790.3 pep chromosome:Lperr_V1.4:1:13766458:13774794:1 gene:LPERR01G15790 transcript:LPERR01G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLAAASPPPTPRVAPSLPHPLRLGPHHLGCLHHLLLRRHPFLLAAPAGVGHRWRRRGNRLLAGAYAPGDGGAGQDVDRSESTSSTGSAYIGLFVRMLGMDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFQGCVTLIVSLLKSDSTRACEAAAGLLRNITTVKLYRDVAIESGAMDGIFSLICKSTITPEMLEQSLCTVWNFSIDENLRYKILSSDILSRIVRFLDDEDIKVKEAAAGIISNLALSRSNHEALVEAGVIPKLVQLLQNKEDDYKIIRREAKSSLLELSSDEYYHTLIIEEGLVRVPLVGSAAYKTFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVDEKEAEPDEAKINAMIGRSNQRFLARIGAIELDDEGKEQSGGSQSSDLYTILHWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLKHTDVHVREAAAYALERLSVSSVVCEKIKTEGGLKLLADIVKDPNTTVEKMEKIINMLSRIFDTGISMAALPGSCASNGSEDAVHSDKSIQDDIDGGTNETSFTYVKQEEASSLSIIDFDVISRLSKVLREASPSLQEKVASVLEHLADLEQHATAMTAARIGSVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLNFDLFVRSINTEKFIALLRQMLKSSIPLQSKDWLAACLIKLQSTASLSGHETVSSVDMEITIYETIPRLVEQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAVAGAGGIFPLVKMIEEGDGDALEASLAVLYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >LPERR01G15800.1 pep chromosome:Lperr_V1.4:1:13822276:13825935:1 gene:LPERR01G15800 transcript:LPERR01G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPASSGDDDKPSATGWSSAAKMAPPTLRKPSTTFAPPPSVLRNSRPAPPKVPAAQPQPPPVTLPVDTTVSTSSFQPALVAVQSTVMEEYDPARPNDYEDYRKDKLKRAKEAEVRKELERRRREEEERERERESELREREGRDALNVSGEEAWKRRAAMSGSAAAQRAATSPPHGDGFAIGNSSSSGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVARKTDRRGGVIVDENSSKQEKKPKSVSFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVSRVLIFEITQADFPAEEAVRIFIQFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFGMNQLAPMPGEVAGFD >LPERR01G15820.1 pep chromosome:Lperr_V1.4:1:13830129:13830619:1 gene:LPERR01G15820 transcript:LPERR01G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQRITDGATIRVKKTRDVTRFTRTTNAFYVCNVRRKNRRKQWRDEGPFKRRCVGTSSGANERKLKPVRQPW >LPERR01G15830.1 pep chromosome:Lperr_V1.4:1:13831518:13834935:1 gene:LPERR01G15830 transcript:LPERR01G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEKEYQTLQNNQQNILDTLKKHRDDDVSRGQAVKNQKVLWDKALEMRFLLQKAFSTSNRLPKEPIRSKFCGESQEIEQAYHDLLNSSKQTLGCMMELQELNGEDDEWSGVQKLQKRITLFRNSEIDKWQRKTQVTTGAAALKGKFHAFNQNISDQVTSYMRDPSRMINRMYLRKSTLGVFGEEVGEHGNGKEVHSTEGDPELIDDSEFYQQLLKEFLESCDVGASESAFYALKKQQHKKRKPVDRRASKSRKIRYHVHEKIANFMAPVPMVVPPMASKLFENLFGMGNQKSTTA >LPERR01G15830.2 pep chromosome:Lperr_V1.4:1:13831518:13834935:1 gene:LPERR01G15830 transcript:LPERR01G15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEKEYQTLQNNQQNILDTLKKHRDDDVSRGQAVKNQKVLWDKALEMRFLLQKAFSTSNRLPKVYLFVCHGKLLPCFIFAAFCSEECGSVDHIPNLSFRVFLQEPIRSKFCGESQEIEQAYHDLLNSSKQTLGCMMELQELNGEDDEWSGVQKLQKRITLFRNSEIDKWQRKTQVTTGAAALKGKFHAFNQNISDQVTSYMRDPSRMINRMYLRKSTLGVFGEEVGEHGNGKEVHSTEGDPELIDDSEFYQQLLKEFLESCDVGASESAFYALKKQQHKKRKPVDRRASKSRKIRYHVHEKIANFMAPVPMVVPPMASKLFENLFGMGNQKSTTA >LPERR01G15840.1 pep chromosome:Lperr_V1.4:1:13843966:13844247:-1 gene:LPERR01G15840 transcript:LPERR01G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWHEDECSEDVPFYCLATERTIKVCLPDMKGKSIATSGSGHLEAIDKANDMSSMCASSHLLGRPQCCLACLSSSTTIALMVGLLVKGPLE >LPERR01G15850.1 pep chromosome:Lperr_V1.4:1:13845555:13846592:-1 gene:LPERR01G15850 transcript:LPERR01G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMDWASLQADLLYSITRHLREPEDFVRFRAVCPQWRSAVRHTDHAFFQPWIMASRWHEDEYSEDVRFYSLSREKAIKVCVPDMKGKRVAASGSGHLVAIDKDDDLSAVLVNPLTGKITMLPRLPESIFHDKGAHGWVAGEGAIVVVLSNWLSESTALWYHGGGINMDSWAVVPQRKLGLRMPYYVQMLAAHGDHMQKNLTEADGDDEDAIVLQQFMQKVEVLGDSRPTSGNDLFRATTPYHHEWFSLYRIVGKENVLVHDIGDAVVVQSRANCARTYMILGSQYFATLSSKNSFYYLGKQFCHSDVYNALYKKCLASEQVTFMKRLPEDWKLCDEWFMPTLK >LPERR01G15860.1 pep chromosome:Lperr_V1.4:1:13850857:13851694:-1 gene:LPERR01G15860 transcript:LPERR01G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLCPEKAIKVCVPDMKAKRVAVSGSGHLVAVDKDDDLSAVLVNPLTRKTTTLPRLSESIFHDKGAHGWVAGEGAIIVMLSNWLSEHAALWYHGGGINMNRWAVVSQRKLRLRMTYYMQMLGAHGDQMQKNLTEVDGGDEDAIVLQQFTQKVEENVLVHDIGDAVVVQSRTNCTCTYMIPGSRDLPHSVTFMKRLPEDWKLSDEWFMPTLK >LPERR01G15870.1 pep chromosome:Lperr_V1.4:1:13851697:13851891:-1 gene:LPERR01G15870 transcript:LPERR01G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKDWASLQADLLYSISRHLREPEDFVRFRAVCLQWRSAVRHTDHAFFQPWIMASRWHEDVF >LPERR01G15880.1 pep chromosome:Lperr_V1.4:1:13857066:13864963:1 gene:LPERR01G15880 transcript:LPERR01G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLASTSDDDDEVVVPASDTPKPKPPPPSSSSSGGPKKQQRLLAPAPASAAAADDDEEEDDMELEEEEEDEKDLEELRRNEEEERREEETXXXXRRRRRRRRRGRKPKRPAEESDEEEEEEDEEAKEAEEVREEENTEAVPIGEPVKVTGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITENEGSLSVTGQWFYRPEEAEKKGGGSWKASDTRELFYSFHFDDVPAESVMHKCVVHFIPQHKKIPGRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLADLEPSDAPVDNNDQLQLANKRGLRKRPVHAIDVSRDDASAGKSEQFGKAETPGSDKIKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEANEKSYAPNLVVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPMLRKRLMDKELDPPVLLTMSPDELKAGLTAAEKTSEPEESRQLQMTDARCVRCAEKKVGVSDIIHAGHGDRYQLECNACGHMWFSSRDAISSLTDDAPSTVGNVGTAPWATAKFDVLEKQLTSPRDQPEKPSVTDALHKSTAAYMPTMEKQKSFSKKSGEPSSAPAGSHD >LPERR01G15890.1 pep chromosome:Lperr_V1.4:1:13868555:13873308:-1 gene:LPERR01G15890 transcript:LPERR01G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPARRRRGGEAAAAADLVTTQVGLGGFDAGVTAAKLADFLEHEAGQVWRCRVKTSWTPPDSYPDFSLPVAASALPPPPRFDRVPPHAFVHFARPEGARRAADAAGRCELILGGKSLIVSSAPESTLRVSRRRSTEPFRFPGVRLEAGSLPSHDAFLAAWRGPTGEGDVAFSVDPFDGSCRFVFARDTAFAFPGFRETAVVRCDVKLEFPVRDVAEVRIYRLDCSLLLRLAAAPLVYYRTADDDFHESVPFDLLDDDDPWIRTTDFTPSGAIGRSGVYRISFSARFWPKMDRALDYMRERRVPIVDCGGDWGPRRGLAVLDEPDFGEPMQDVFFCLQHVEGLGFPVLFLVNALVHKGIINQHQLTPEFFGLLRRREENVNVAALRDFWGDKFPVFDACGRLKKALGRVARNPKLLCSKIGDDNAEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMELLNTHVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLAEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRKTTVEGIRKWMGRFTSKNVAKHAARMGQCFSSTFATVTVQPDEVDETFEDVVHNKYVFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRYAGFKGVIAVWEGRGDGIRLSLRPSMRKFESKHSVLEVVSWTKFQPGFLNRQIITLLSSLNVPDAIFSQMQETMLSNLNNILSDRDVAFEVVTSSCVENENTAALMLSAGFEPGTEPHLKGMLLAIRSAQLQDLLTKARIFVPKGRWLMGCFDELGVLEQGQCFIRASTPSLKSYFVKHGSRFSSTDRNTQVIVGTVVMAKNPCLHPGDIRILEAVDVLELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLVPPGKKSWNPMDYSPPEAKQLPRQVSPHDIVDFFLKNMISENLGKICNAHVVHADLSEYGAMDEKCINLAELAATAVDFPKTGKLAIMPQHLKPKVYPDFMGKEDVISYKSEKILGRLYRSIQEASNGDVLSEEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYEAQLSALLNQYKVRTEAELVTGHITSVTKYNSKKQGDIKERLKNGYSALRKEFRSTFESISSDQCEFSEDEKNHLYEMKASAWYQVTYHPKWIEKSRDMVGPDGEEMPSRLSFAWIAVDYLARIKLRCHGKVRVEGQRPVERLAAYISERI >LPERR01G15900.1 pep chromosome:Lperr_V1.4:1:13877477:13881655:-1 gene:LPERR01G15900 transcript:LPERR01G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRMFPDAAHHPATALAPIASGRSSSSAPAAAAAAAAGPAVAEATAAAAAVSQRAGSVSDALRHYGRCYFELSKARLSALVVATSGAGYVLGSGNVVDIAGLCYTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATTVGAAGTALLAWKANGMAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQLPHFMALAYLCRNDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFNLEASLLTLGLTIGALSFVLEPTPKSARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNNVTQTSEITGVLYGAEQQDEERARQKREDRKLSRVHSRPPVAYASVAPFPFLPVPVYVSPQAYEL >LPERR01G15910.1 pep chromosome:Lperr_V1.4:1:13886220:13897051:1 gene:LPERR01G15910 transcript:LPERR01G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSLTASAPPPPSPSTITDGAVSGTIPSTEAFAVHYPGYPSSPARAAQTLGGLSAIAKVRGSDPPGARLELRFRPEDPYCHPALGEPRVSTGLVLRLSRPKGGGDAPPRAQVVARVRNAYHFEGMSDFQHVVPVHAAAMRKRKHSECPTNNEDLRTDNEDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRAVVQHRWEMDIGPTLALPFNVQAVPGKINWEDHILKNSAEWGWQMAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPCQFFSLSPQLTEPFYLPQYNLLVGEVLDSFPMTCISDTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.2 pep chromosome:Lperr_V1.4:1:13886220:13897524:1 gene:LPERR01G15910 transcript:LPERR01G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSLTASAPPPPSPSTITDGAVSGTIPSTEAFAVHYPGYPSSPARAAQTLGGLSAIAKVRGSDPPGARLELRFRPEDPYCHPALGEPRVSTGLVLRLSRPKGGGDAPPRAQVVARVRNAYHFEGMSDFQHVVPVHAAAMRKRKHSECPTNNEDLRTDNEDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRAVVQHRWEMDIGPTLALPFNINWEDHILKNSAEWGWQMAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPYTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.3 pep chromosome:Lperr_V1.4:1:13886220:13897524:1 gene:LPERR01G15910 transcript:LPERR01G15910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSLTASAPPPPSPSTITDGAVSGTIPSTEAFAVHYPGYPSSPARAAQTLGGLSAIAKVRGSDPPGARLELRFRPEDPYCHPALGEPRVSTGLVLRLSRPKGGGDAPPRAQVVARVRNAYHFEGMSDFQHVVPVHAAAMRKRKHSECPTNNEDLRTDNEDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRAVVQHRWEMDIGPTLALPFNINWEDHILKNSAEWGWQMAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPYTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.4 pep chromosome:Lperr_V1.4:1:13886220:13897524:1 gene:LPERR01G15910 transcript:LPERR01G15910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSLTASAPPPPSPSTITDGAVSGTIPSTEAFAVHYPGYPSSPARAAQTLGGLSAIAKVRGSDPPGARLELRFRPEDPYCHPALGEPRVSTGLVLRLSRPKGGGDAPPRAQVVARVRNAYHFEGMSDFQHVVPVHAAAMRKRKHSECPTNNEDLRTDNEDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRAVVQHRWEMDIGPTLALPFNVQAVPGKINWEDHILKNSAEWGWQMAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPYTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.5 pep chromosome:Lperr_V1.4:1:13890121:13897051:1 gene:LPERR01G15910 transcript:LPERR01G15910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPCQFFSLSPQLTEPFYLPQYNLLVGEVLDSFPMTCISDTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.6 pep chromosome:Lperr_V1.4:1:13890121:13897524:1 gene:LPERR01G15910 transcript:LPERR01G15910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKERRPEKWAEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAHELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNSDSEDVDDDEEDKDSDGYESPPMADDVPDFTLDDPYTSAEGFSNGYLEEMLRSFPLDEDGRNKLGDTPNTAEASDGEFEIYEQPSDDEESSDG >LPERR01G15910.7 pep chromosome:Lperr_V1.4:1:13886220:13891857:1 gene:LPERR01G15910 transcript:LPERR01G15910.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSLTASAPPPPSPSTITDGAVSGTIPSTEAFAVHYPGYPSSPARAAQTLGGLSAIAKVRGSDPPGARLELRFRPEDPYCHPALGEPRVSTGLVLRLSRPKGGGDAPPRAQVVARVRNAYHFEGMSDFQHVVPVHAAAMRKRKHSECPTNNEDLRTDNEDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRAVVQHRWEMDIGPTLALPFNVQDILF >LPERR01G15920.1 pep chromosome:Lperr_V1.4:1:13900179:13901183:-1 gene:LPERR01G15920 transcript:LPERR01G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMDWASLQADLPKDFVHFRAVCLQWRAAVRHTEHAFFQPWIMASRWLEEEYSEDVLFYSLATEKTIKVCIPGMKVKRIAASGSGHLVAVDKDDDLSAVLVNPLTGKTTALPRLPEFFHHNGAHGWVTGEGAITVMLSNWLSGSAALWYRGGDITIESWAIVPQRKLRSRMPYYLQMLAAHGDQMHMHLTELSGDNNDTIAPQQFMQKVQVLGACRPESDKLFRATTPYHHKWFSLYRIVGQEDVLVHDIDDAILVQSRSNCGHTYMFPGSRDFATLDSGNAFYYLGKQFHHGDTYDVLYKKCLANEQLTVAKRLPEDWKFDDDWFMPTLKY >LPERR01G15930.1 pep chromosome:Lperr_V1.4:1:13931966:13932956:1 gene:LPERR01G15930 transcript:LPERR01G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLGMFLVLAIVVVAVWADPGGGCDQDRKDMIQECKKYEQWPAEPKIKPSDACCAVWQRANIPCLCAGVTKEKEKVWCMEKVLYVAKACNKPFQPGYQCGSYTVPPLGQ >LPERR01G15940.1 pep chromosome:Lperr_V1.4:1:13937303:13944719:-1 gene:LPERR01G15940 transcript:LPERR01G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEYPHSKISRWRGRVASTTLYIGLSSDALAWMMAIDMSFLLEFLQTFSHSNNCHQMILSRMSHLVTDHPSRRRTTFSSSHRQSMVLNDIVMLENQIPLHGKRNPSYAMTHSALSYMLCEFFQKMSTLVDTSSSCTTDMTQHAHLLDFLYSNMMAPCYAQDYDGTEEQVNVIDDESHQGKRTNPISVVTNMFIKRGIKFLSILIDFSVRILLKLVSTIPCLSLIGQPIQQLNNSANTTRTTTTTTQEHVIVIITSARGDHGVKFTPSNGGVSLIELCPKSATLHLRVIRINPNTEALLRNMVAYEASIVCGTRSALVLARYVELMNGIIDTDEDARCWKVGVRKLLFSKGQVLGGLREIVACVTLVLLVLFLSIQAFCLAARGCFDEAQWIIHIRRILGAEEIEVHDNHPISIFDVPKLLSCTKPEAYTPQLVALGPYHHCRKELHEMEMCKLSSARRAQSQLLLGMNFQHLVAAFTTLEYQIRAQYHRHIGLSNDALAWMMAIDVSFLLEFLQTFSNNNNCQQMIPSRTAHLVTDHPSHRRTTSSTSFHRQSMVLNDIVMLENQIPLFLLLKAMEMWNPSPATAHAALSSMLCEFFQEISTLVDTSSPCTMDMTQHAHLLDFLYSNMMAPCYAQDDDGTEEQVDVIDDESHQGKRKNLISVVTDMFIKRGIKFLFISIDFSVRILLKLVSTIPCLSLIVQPIQQLNNSQSQQTPQEQQEQPKSMSSSSSPLLEEIAVPCVTELAYSGVKFTPSNGGISSIKFCPKSATLHLPVIRINPNTEALVRNMVAYEASIVCVTRSALVLARYVELMNGIIDTDEDARLLRESGVIVNRLKSDGEVAELWNGMTRSVRLTRVAGLDRVIDGLNRHHSRCWKVRVRKLLFSNGQVLGWSREIVACVTLVLLVLFVSIQAFCFAARGYVSSSYGMTRRKGAA >LPERR01G15950.1 pep chromosome:Lperr_V1.4:1:13956134:13960983:1 gene:LPERR01G15950 transcript:LPERR01G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLRRGALAAAALAGTAAVAVALSDGEERWGISGNISRPPSPHDGAAATRGHLGLIRAHPELRELNEALPDSSRDALFLDATHALTASALRVPTITSSHFIRRLCESIQAAESRADRGPDELVCFRVRRSILKARDGRFDEALSDLARLAGDSPDDHRPPVAAAALCFLHGRSGTAKEWLKNTPRNAKVAINFVETLVAAMPGSSPQSGEENYDSLVMISASTYLYANLVEKMKTVERSMLDNFLIRVFRELLINSMTKQSDATIIKYLRALSPKNDATESPALSVMGFNHDMAIVESIQVILSGLLQVRPICGERLREVHSIAEKALAQAEAESNMSAVIDINIFLAFLEIRDGQLQAALQRYKAALKQDPHDCRLHALFVKFGLIFGYDHDISLQNSQKFGVQMGHRAEQLPALLNEVVIASALGNGGRPKVLNPSRSLFMITAWREVDEGLTAALRHGELTFWQRMQLRFLRRLLRAKMRPLLLDMAKDGQRDPVGQKLIQKFVFNFGPRISLRRVALAAAVAGTVAVALSEAEQWGISGRISHRGPHPDADAAATRGHLGLIRAHPGLQDLNSALASSRDAFFLDAAHALAASALRVQTVTSKQISRLHGPGGLCKDIAAAESRGDESAVVDFRLLQALIDAREGRFDEALAAAVRLIRDSPGDPRPRLFAAALCFLHGRSGTALEWLRSVPDKSAHSALFFDTVLYAMPGSSPRFVEEGGDGTVVILMHLATTLAEAVLLLKLEQENGRCSVLGKLEIAVLSRLLRLFLSKHFTAAGGSKAFRFKMPRSSTPINPSKLNRTLVQCSQAILAPVLRARPLCGERLRVVRSIAERALLDAEAETGDASAAVDVNLLLAFLAARDGHFNEAMRRYAAAAKRDPSDPRPYELADKLCFVIGQPANAWRDAWQRAKEKPGRATRGHHGDGDVLQPLLDELVIAAALGNGGLADRDPNRGHVLVAAWREVDAGLAAALRDRDLTLPERVQLRGLRYILRAKIQPLLDNATQHEPENSNQH >LPERR01G15950.2 pep chromosome:Lperr_V1.4:1:13956080:13960983:1 gene:LPERR01G15950 transcript:LPERR01G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEFGDTCCRLKECFVIVLIFQYSSLQAHKSLRRVALAAAVAGTVAVALSEAEQWGISGRISHRGPHPDADAAATRGHLGLIRAHPGLQDLNSALASSRDAFFLDAAHALAASALRVQTVTSKQISRLHGPGGLCKDIAAAESRGDESAVVDFRLLQALIDAREGRFDEALAAAVRLIRDSPGDPRPRLFAAALCFLHGRSGTALEWLRSVPDKSAHSALFFDTVLYAMPGSSPRFVEEGGDGTVVILMHLATTLAEAVLLLKLEQENGRCSVLGKLEIAVLSRLLRLFLSKHFTAAGGSKAFRFKMPRSSTPINPSKLNRTLVQCSQAILAPVLRARPLCGERLRVVRSIAERALLDAEAETGDASAAVDVNLLLAFLAARDGHFNEAMRRYAAAAKRDPSDPRPYELADKLCFVIGQPANAWRDAWQRAKEKPGRATRGHHGDGDVLQPLLDELVIAAALGNGGLADRDPNRGHVLVAAWREVDAGLAAALRDRDLTLPERVQLRGLRYILRAKIQPLLDNATQHEPENSNQH >LPERR01G15960.1 pep chromosome:Lperr_V1.4:1:13972862:13980758:1 gene:LPERR01G15960 transcript:LPERR01G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKLVCVTGASGYIASWIVKLLLDRGYTVRATVRDTADPKKTLHLRGLDGAKDRLHLFEANLLEEGAFDAAVNGCDSVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVVITSSMAAVAYNGKPRTPDVVVDETWFSLPELCEKNQQWYVLSKTLAEDAAWNFSKDNGIEMVTINPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNVSFGWVNVKDVALAHIRAYEVPSANGRYCLVERVAHHSELVKIIREMYPNIPLPDKCADNQPLVPIYQVSKEKVTSLGVELSPLEISIKETIESLKERGFVTFDSSNL >LPERR01G15970.1 pep chromosome:Lperr_V1.4:1:13980905:13982081:1 gene:LPERR01G15970 transcript:LPERR01G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGMTPRRSPLLSTSMRMWRTSKNSCWCCVEMLQLEEEHWRTGLVMRGDAARGSDNSRRRREQASGGGAVAIVDKAAGGIGLRH >LPERR01G15970.2 pep chromosome:Lperr_V1.4:1:13980905:13981934:1 gene:LPERR01G15970 transcript:LPERR01G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGMTPRRSPLLSTSMRMWRTSKNSCWCCVEMLQLEEEHWRTGLVMRGDAARGSDNSRRRREQASGGGAVAIVDKAAGGIGLRH >LPERR01G15980.1 pep chromosome:Lperr_V1.4:1:14013925:14015364:1 gene:LPERR01G15980 transcript:LPERR01G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAMYRVVVNNFLALAAAAVAVAVLRRPEELVARLRSVPPAHAVIAAVLAAGVARLWRARRAREVYLVEYGCFRPNPRYRAPFATCKEHAHLMPYLVDEESVAFGIRLLERSGLGDETCVPDAYHYMPPDKSMKASRDETELVIFSAVDDVFARSALGPADIDMLIVNCSIFTPTPVFADMVVNKYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVAPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPQHARFRLGRVVRTVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKTNIAAFGPLVLPASEQLLVAFSFLKRKLKLLGGHTGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQQGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYIEAKGRMKKGDRVWMISFGAGFDCNSVAWECVKPAADADGPWINCIHRYPVELPEIAKDV >LPERR01G15990.1 pep chromosome:Lperr_V1.4:1:14046470:14047471:-1 gene:LPERR01G15990 transcript:LPERR01G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSTSHRPVPVLPCLAVECSTCSSSRRCTVKFFSAVEKKPVGGGATGLSSELLGVKAVVCATPLGWILVRESAGGSTYMLDPRQDKKIQLPPLAGIEDDVLVYSNCLLSDQPTSPAGCIVLVVEPLETVIWHHHMGTSVWIRHEYDIGIQGDEHHPDKIHIVPIATCHGKFYFNSCCFNEISVLEFCPGPQFSSIKLDGAGLRDSSGKGGIHVFLLESDGELCMVRLQTVLPPASSLQVHVYRMDFSQQRWCLVNDLGDRAFFVAPFYFGASCLAGEYGIQKNCVYSVRYWRDRAFTISNMEDGSSHVHSLHEAESICRTSWMLPTDPKG >LPERR01G16000.1 pep chromosome:Lperr_V1.4:1:14055552:14057906:-1 gene:LPERR01G16000 transcript:LPERR01G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRFLPFAALAAAGVAGGGPFAAAAWTRYDPPTSPSADADADARAPPATGHLALVRAHRGLRDLNALLTPGTFIVDATSALLACGLRCLPFHPPALRSSIDNLSATMKSAKSEGDAETVLEYGPFLALNYARDGRLDDALAIFVQQAADYPGYHAPRIYAAAICYVLGRREEGDRWLAEDGPDLSRVTDRFMFMDAARNAALGCTPHSVEGSGRKLVMFSTLGLAEVALWSVFRDGNLLERLQVLAFMGFLRRAVAKSLREDDAGEGSSHHAISS >LPERR01G16010.1 pep chromosome:Lperr_V1.4:1:14063752:14064501:-1 gene:LPERR01G16010 transcript:LPERR01G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKNGIVVVFDFDKTIIDVDSDNWVVDALGATHDFDRLLSTMPWNTAIDAIMGELHARGTTLRDVADVLCTVPLDPHIVAAIKACHALGCDLRILSDANRFFIDAVLDHHGLGGYFSDVTTNPSHVDADGRLRIAPHHDFHAAPHGCCLDTCPPNMCKGQALDRVLASSGAAGGKKQRFIYLGDGHGDYCPSLRLGREDMVMPRKGFPVWDLICEDPALLQAEVHPWSNGAEMEETLLRLVARVEEE >LPERR01G16020.1 pep chromosome:Lperr_V1.4:1:14077898:14078763:1 gene:LPERR01G16020 transcript:LPERR01G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKNGITVVFDFDKTIIDVDSDNWVVDALGATHDFDRLLSTMPWNTAIDAIMGELHARGRTLRDVADVLCTVPLDPCIVAAIKACHALGCDLRILSDANRFFIDAVLDHHGLGGCFSDITTNSSHGQALDRIVRTSSSAAAAGGKKQRFIYLGDGRGDYCPSLRLGREDMVMPRKGFPVWDLICEDPARLQAEVHPWSNGAEMEEMLPRLVARVLIEEEKLPPLPLPLDCKLELLPAAVQDGLPMPALLPINH >LPERR01G16030.1 pep chromosome:Lperr_V1.4:1:14079280:14082457:-1 gene:LPERR01G16030 transcript:LPERR01G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGRGNGTGTDPPAAAAWGRAGNQAVSLREESSGKTRADAASLLRVQHLQRLGWAPSARCWGAASRPTPRRQGFLWPPPPSCARVLQPGFNCTIRIKNSKRKAKRRKKLNSCQNSISYLCHFCGDQNLIRGSGKHVMKGLLSSRKPVSMDITSNKLKRDSSNKRLVTIKEGLEHSQAAASQLESSSGLKQPTLEKNEHEERPTPIIDDSMEEMVLSSSVQLDQSTSATNQEDVLQKIAVKSANEKTMHETESVSADKSDLCQPDVPLEELPIRSNFVTPRKNRLTDVVDHKDSAELLNTRSIQNKKEVRSGSVTGKVATMPTKSAPNDSGKNNKSVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >LPERR01G16040.1 pep chromosome:Lperr_V1.4:1:14083086:14088450:1 gene:LPERR01G16040 transcript:LPERR01G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLLLRRAFSSSSSFLSSPLRRSPISSARSFVPRAAMASSAAPFQKVQIQREDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIHASIKWLKANGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGSPSSELADASKAQAPIQAHFGELDSFVGFSDVTAAKALEEKLKSSGVPHEVHIYPGCSHAFMNASPEAVKRRKEMGSTDENQAAIDLAWSRFSTWMGRYLGSA >LPERR01G16050.1 pep chromosome:Lperr_V1.4:1:14090710:14112533:1 gene:LPERR01G16050 transcript:LPERR01G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLRRAATIVAALSVEKPWKNLVRISRRPSPPHSTAAAAARGHLALVRAHPGLRDLNAALTSNDAFFLDAAHAAAASAIRARTVTGKILRETDYKGLSKNMAAAAEHRGDTLTRPALLALLRARDGHFEEALADLARLVGETPGDPHLRLAAAALCYLHGHPLTAREWIASIPHDRVPVQRWVYEVAVVLAMPGSSPPSPQQGVDGNVMYLAIRLAEAQVLSGLQKGEWSMVEKLLVSLAIRMLRRFVSKYLRSGKYPPVSRSMVGGNGRPITGNYTLVDCSQAILAAVLGTRPLCGVRLREVCSVAERALADAEAKRDAAAAVDVNLFLAFLAARDGRFDEALRRYEAAARKDPADSRPYELADVLCCMCGFSKGQNEWRRSRQKPGSATRAHAGLPALRDQLMVAAALGHGNLTTSDPHCGLVSVAAGREVDAWLAAAPPHLGLNSMDRFTFRMLRAGLQIWMDNVNPQLKSCLQGVGTATDVETTAVQEEKEAKQEETLQHLFFTCPFAQACWDAICPTRLTTLLVQESIEDMQQKLQLTKSRRVNNGTVRRWRWGAKVYGNRNHPHPSMSRFLRRAALAAALAGTAAVALSKAAERWGISGRLSNPLSPPHATATRGHLGLVRAHPELRNLNAVFTGSDAFFLDAAHAFAAGALRAPTITGMLLRRSDDLPKDLTAAESPAVLQAREGRFDEALAELARLAGDSPGDPRPKVAAAALCFLHGRSDTAKEWLKDPPNLKATGLGWTYALNIVVAMPGSSPPSTQGEIDGKVICQAARLTEATLLESLKNDEWSIPQLLAILLLVRALGPVVSRYKRFGCEPVTMPSSSPPPSPRPRGSTITGNFVLVECSQAILASLLRARPLCGERLRDARAVAERALLDAEANGDVPAAVDVNLVLAFLASRDGDFDEALLRYKEAVRKDGSDSRAYELAAMLCLVNRRTAELDDWLRERKQKSHARNGHAVFAEMAERHLVFEIMVAAALGSGDLTASVGLQWPLVLLAAWWEVDAWLAEALVDKDLTLLERYQIRLLRAWIQAWLRKKTKPLLEIAKTFGLKNSARFNHRGISGRFSNPSSPPHATATRGHLGLVRAHPGLRDLNAAFSSSIREAFFLDVAHALANTALRAPTITGAAVRGLEARYSKDAAEAESTGDKDAAARDRIQLALLRAREGRFDEALADLARLAGEFHSNPLPRVAATALCFLHGHGRPWAAAEWIRSISSISNDTPLLLRELELSIARAMPGSSPASVAEGIDRDRLVMCFSASLAEDMLLHTDFTSGDGEWFALEKGEISLIFGLLRRHCRCPPASCKRMKGGHTSDHQRAIIPVECSQAILAELLRARPLCGERLRVARNVAERALLDAEADGDDLAAVDVNLLLAFLATRDGDFDEALRRYEEAERKDPSDPRPRELAAMLRFIVTGNRQDRAAALLDELVVAAALGSGVVTSLGRRGQGEHVLLASWRKVDAGLAAALVDRDLGLAERCQVRLLRAWLHKPLLLDIVANQRLENSARP >LPERR01G16060.1 pep chromosome:Lperr_V1.4:1:14118195:14129741:-1 gene:LPERR01G16060 transcript:LPERR01G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQQSRPPMMGSSAPPQNLGPPMPMQFRPVIPQQQPPQFMQQGQQFRPVGQAMPGANIGMPGQMPHFQQPTQHLPHSGQVPPASQAGSMAYQPARPMSSGPLQLPATFPGGHMPTMGGPIPPPSYTASAFIFLTFYQPSSIPPPIVQPWGTAPGQNVPPVTPLVQPGHQPFTNEQNNSSELSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTSEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRHDQETETTTGAPTGPNSVEPSSVSVIQSSTTSVVSSSTLDAAPNAAPSGAGPLENKENTSSSINTAMQNGGPTTIAAPVISTEVPLVASDTGTNRANNDYSSLASTADTQNGASAEDLEEAKRTMAVSGKINVTPVEDKASEEEPVIYANKLEAKNAFKALLESSNVESDWSWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFIAMLEECKELTSSTRWSKAITMFEDDDRFSAVERGREREDLFENYLMELQKKERARAAEEHKKHIADYRAFLESCEFIKANTQWRKVQERLEDDERCFRLEKIDRLEIFQEYIRDLEKEEEEQKRIQKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSHVYMAVAANSSGSMPKELFEDVMEELEKQYQDDKARIKEVVKSGKIPMTTSWTLEDFQTAVMEDDTFKGITHTNMKLIYDDQVERLREKEVKEAKKRQRLGENFSDYLYSIKEISASSTWDDSKALFEDSQEYKALDSETYARELFEECVVRLKERLKEKERLREEEKAKKEKEREEKERRKEKERKEKERKEKERDKEKEREKDKGKDRSRRDEIDFDAVDADGHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGARDDLEDGELGEDGEIH >LPERR01G16070.1 pep chromosome:Lperr_V1.4:1:14136218:14136889:-1 gene:LPERR01G16070 transcript:LPERR01G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKFPTVAQVAKIASFLLLFSLAPHVPSSLRPPYLYLLFNALVVALGVEAGFLAAISGPRDDKLPKLVASPPRPIGSSKAIDQVVVAADAITSSSAVITAAASPAESVVAGGGVAALAKTTKKIKASASKKMVMKRCPSRASIFFIGGGEGDYQDVHGAVEEEAAGYGGGEQVMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHYKTKAL >LPERR01G16080.1 pep chromosome:Lperr_V1.4:1:14144868:14151461:1 gene:LPERR01G16080 transcript:LPERR01G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEDEDDETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRAGRGDEADLGEGSNPESAQEGTNKKDADRRLSPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGNCCVLFDSFEAPDKCILNDMQTEKSEVIDLLFAKEEIKQMVTLMPLCNDISPTLSDIVYQFDEENRRPSHEAISRQSPVMEDQVVDSDNVVNDDTMQNDMENDGEAWDFGGCNDQESVYDEHCSPMDYSSMNCQEETDEYTFEAPEGLDINGRINKIADFLSFGMEFFAKTNAWAGPEHWKYRKAKDLEPVPTKPDDSDVPKKTRKKRDKDELGIDFIKPSEHDIPNILALPKNPKTLLLPANKATCNKKLPEDCHYRPESLVKLFLLPDVLCMARRRKKPFDGSRENNDDFIPSDPWDDDNYCNDHVDEGNAASDVEEAVDLISKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIHTSVETDGLEDEDTESPLCLSKVLQDLPSCNPDTAATEISPHLYFICLLHLANEHCLTLRDRPTLDEIDIYIPTSHVK >LPERR01G16090.1 pep chromosome:Lperr_V1.4:1:14154193:14174589:-1 gene:LPERR01G16090 transcript:LPERR01G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGGGMPSGQQPSLRRGMSRAFTMRPEGLSGEDGGDYKGEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKLHCLNSYDMNRKFKKIADEQETQRRLAGTDAKEIQRFYEHYCRKNLEEGIQTKKPEEMARHYQIASVLYDVLKTVTPEKFHSEFDKYAKVVEKEKATFSHYNILPLNISGPPQPVMEIPEVIYLNFILELLLKYHIYLINSIKAAVDLLRKIQGLPKPRLDPTPIAKETDVPVVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGGNVYQITRHNHVIHSETIISLMKKIFQNYISWCEYLHLESNIKIPNDDSTQQPELLYIGLYLLIWGEASNVRFMPECICYIFHHICFHGLICMQQIYLKQTIRLIPWMARDLYDIISDRREDSFDPPFRREGSDDAFLQLVIQPIFTVMQQQHDRVITRHRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIIAWSNNGALSNIFEPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQTIRYVLKFIVAIAWLIILPTTYISSIQNPTGLIKFFSNWIGNLQNESIYNYAVALYMLPNIFSALFFIFLPFRRALERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEVYFMDTQIWYSIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPIAFGRNLVPRHDNEPKRREREEYRHLHIDKFSDIWNAFILSLREEDLISNREKNLLIVPSAIGDTTVFQWPPFLLASKIPIALDMANSVKKKDEELKKRINQDPYTYYAVVECYQTLFNILYSLIVEPSDKKVVDRIRESIEGSISRQQLVKEFRLDELPQLSAKFDKLLSLLLVLIIPLYRIDYKTDEDIEPIKTQIANLLQDIMEIVTQDIMKNGQGILKDENRDNQLFANINLDSVKDKTWKEKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFKPPDEESLKTRMEEICPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKSDIAKLDRRRTVQSSQEGLANLDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMIMYPSLRVAYIDEVEAPIDNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGRPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFDREKYGKRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDSRIQENIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWNSEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNRSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVGNLTISDVFASILGFMPTGWCILLIGQACSPLLKKARLWDSIMELGRAYENMMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILDGQKDIGEFE >LPERR01G16100.1 pep chromosome:Lperr_V1.4:1:14183074:14187927:-1 gene:LPERR01G16100 transcript:LPERR01G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSWCLALLVCLLVAAASVSSTTVSYDERSLVIDGQRRIVISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVIRFFKEIQNAGMYGILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIINKMKDANMFAKQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVDEHPQDLDRELDWLVYLNMLDLKYTDLNHTIRFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDESLNPENLNPFMTDKKGTFRKNELLEQITTSTDQSDYLWYMTSPDHNGEGSYTLYVNTTGHELYAFVNGRLIGECQILLLYNKIVLLHHSFLCGSMPLLFIDKVRICLAGQNHSANGDFIFQLESPVKLHDGKNNISLLSATVGLKNYGPLFEKMPADIVGGPIKLMDNNGTGIDLSNSSWSYKAGLSGEYRRIYLDKQPPYKWINHNNGANIIINRPFTWYKTTFQAPAGEDAVVVDLLGLNKAAEMSGCHHCDYRGVFQAEGNGTKCLTGCGEPSQRFYHVPRSFLKAGEPNTLILFEEAGGDPSAVGLRTVTAGAVCASSGEVGDALTLSCGLHGKTVSSVDVASFGLERGQCGAYEGGVRGVQGGMRREGVVHGANHRCVQRRRLAVD >LPERR01G16110.1 pep chromosome:Lperr_V1.4:1:14188765:14208458:-1 gene:LPERR01G16110 transcript:LPERR01G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTEVVPAGASWRRRDALAHTLASRRLPEGVAEPGERVPDAVAPGVMPFVRAADEVEPENPRVAFLCRRYAYKKVQRMDPSSIQRGVRQFKTYMSLRLDQDDTQVLGNDGKEIQRFYKDYCAKLSMISEKRNFEELARRYQVASALYEVLRDVTNNKVDSEVMKFAKVVEEKSVHFKNYKYNIIPLNFPGSSEAVLELRQIKGAIDALNSIYGLPMPHIPMHRHGDRSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGARTAGHEHPLSQDKINNNYCFFTLDCIFLSGVRPPMFVSCLSVCATYFTMSEKCFTRLNWPWDLTSDFFYQGRSTSRKPKTNFVEVRTFLHLFRSFNRMWMFFILAFQAMLIVSWSSSGSLSGLADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGSMDCTQIVRYLLKFFVAIAWIIILPLTYSSSIRYPSGAGKLLNSWVGNWHNPSVYNIAIIIYMVPDILAAFLFLLPQLQNVMERSNWRVILLLMWWIQPRLYVARGMHEDILSIIKYVFFWVVLLTCKLAFSFYVEISPMIGPTKFLLDQEVGNYEWHQIFPFLPRNLGVVITIWAPIVMVYFMDTQVWYAIFSTAFGGISGALSHVGEIRTLGMLRSRFKSMPKAFNKCHAAAHGEVPTALHMAMTSKEGDYHELIENIKLDRDRYNAVIECYESLILILKNLLLDNNDQKIVDDIDKKLLDSVEKNTLLEDFHMAEIGKVSNMVAKLLHLLSNESTNDMAERKIVNALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDVINHSFWKEKDSAMDVPTNLDARRRITFFANSLFMRMPKAPQVHDMISFSVLTPYYNEEVLYSSHELNKKNEDGISILFYLQKIYPDEWNNFLERIGVHPENEEALQGYMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQADLDGEESVRSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKLEKQYYSVLVKGNDEEIYRIKLPGKPTEIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLDEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRGGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYLNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSVFQLGMLLVLPMMIEVGLEKGFGRALAEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFSENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWSDWWNWMSNRGGIGLTPEQSWEAWWISEHEHLKNATIRSLLLEFILSLRFLIYQYGIVYHLHIVHGDRSFMVYALSWLVIAIVLVSLKVVSMGREKFVTNFQLVFRILKGIVFIVLISLVVLLFVGFDLAVSDVGASILAFIPTGWFILLTAQLCGPLFRRLVTEPLGAIFCPCGTGDACKGRCCARFRLRSWAVLRKIGPWDSIQEMARIYEYTMGILIFLPIAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGSGSKRD >LPERR01G16120.1 pep chromosome:Lperr_V1.4:1:14212787:14222535:1 gene:LPERR01G16120 transcript:LPERR01G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVAGGGGAVNGGGGGGTAAGRVAVRRMFAFADGADVALMGVGAAAAVANGMAQPLMTFIFGDVINAFGSASSPDVLRKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGKVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAIVSRLMTRISTRMQDKYGDAGNIAEQTIGAIRTVVSFNGEKQAINTYNKFIRKAYESTLQEGVVNGFGLGTVMAILFCSYGLAVWYGSKLIIEHGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTINRKPDIDVCDTTGIILEDIKGDVELKDVYFSYPTRPEYLVFDGFSLQIQSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPKILLLDEATSALDMESERVVQEALNRVMLERTTIIVAHRLSTVKNADMISVLQHGKMVEQGSHVELMKKPEGAYAQLIQLQGTQQEAEVPNDDPDIIIRSNSDSRSINVKPRSQSISFRRSITKGSSFGHSGRHPIPAPLDLPDPMEFKDDLGMEETTENVSSQKKASIRRLFYLNKPEAFVLALGSITAAMHGLMFPIFGILISSAIKIFYEPPSELLKDSRFWASMFVVVGASAFVLIPVEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGMREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSDEGGVIASLRGDIEFHNVCFNYPLRPNIQIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDPDTGKILFDGVELKTLKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQGQASQEEIVAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIMKDPKVLLLDEATSALDAESEHVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGTIVEKGRHDELMRIKDGTYASLVELSSSSR >LPERR01G16130.1 pep chromosome:Lperr_V1.4:1:14225044:14225448:1 gene:LPERR01G16130 transcript:LPERR01G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFPTTPCGWQQRQSEYLAECALRHYNDDPANEVKYDLVSATFSSYIDGGYAFYGHVNFFARPRPASTQMQQAADPRFFFAELRQREDMIATCVVSLDGDDEIQHDPQPECCSGDQPCDGIIKHPKGWVSSMF >LPERR01G16140.1 pep chromosome:Lperr_V1.4:1:14228940:14233398:1 gene:LPERR01G16140 transcript:LPERR01G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRKAREKLEREQRERVQRAKAKADRERRAKAEAARRRDALEASHRERRLDAARAQEEAQQRMEEVMQLGKGVSFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPIYFRLSKVRDTVPGAPEDQNTDEATCCGVLEFTAREGSAELTPHVWNNLFQGDSPDVPLIEVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVAVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNVENQHVLVPLETGKVESGVLEEGKFRYYRFFVDEGMADKVSSGHANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKILILKPRDAGLVSGTYSIGVYGFKGTTKYQLSVATKDVLNSQRIGEPASASSSVDVDSVVCKNCKRYISSRASLLHEAYCVRHNVVCTHDGCGVVLRKEEAADHVHCDRCGQAFQQREMEKHMKVFHEPQQCPCGVVLEKEDMVQHQSSACPLRLIVCRFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHVIAVHQKS >LPERR01G16150.1 pep chromosome:Lperr_V1.4:1:14234246:14241311:-1 gene:LPERR01G16150 transcript:LPERR01G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFVILVPVNWTNNTLQSSKVQHSDIDKLSISNIPVGSKRFVAHLTMAYVFTFWTCYVLLREYEIVAKMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDNYLTHQVVYNANKLDKLVKEKKKMQNWLDYYQLKYERNASKRPTTKTGFLGCFGSTVDAIEYYTSEIERIEKEEIEERQKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTVRRLIIAVAFFFLNFFYVIPIAFVQSLANIEGIEKAVPFLTPLIEMSAIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANQIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSSEPQIQLYFLLGLVYAVVTPFLLPFILVFFGFAYVVYRHQIINVYKQEYESAAAFWPSVNGRIIVALIVSQFLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQEAMRKDTLERAREPGFDLKSYLMPAYIHPVFKGDEDDEKFSVSDEPEGEQVLVATKRQSRRNTPVPSKYNGSESPSMPEIVNDQRL >LPERR01G16160.1 pep chromosome:Lperr_V1.4:1:14250805:14251630:-1 gene:LPERR01G16160 transcript:LPERR01G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETRIRLVKCTKRMEGHDGRAPSSYLRFVCDVNIHFSTLRLGGGGHHEPHKIYFPVPTEGTLTVDDVDDPTAVFLDYEETRRRAWAVFTGIRGLSSLDLSHGNWSTKRTPDHVAAHWIHRLAKRVRNDGGSGHYQLALHMDVDVEYVFNEPVELVRGCGVWAEAEEEGGGGETCGICLDELAAEAGSEKSRERPPVRLQGCGHAFHAQCVTTWLFMGTTCPLCRGDLTGLVLAPWEIDRRPRATRPAPACAAASAPSDQDDAVAPTT >LPERR01G16170.1 pep chromosome:Lperr_V1.4:1:14266291:14275556:1 gene:LPERR01G16170 transcript:LPERR01G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGPLRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQVLASRKKIFIILEFITGGELFDKIIRHGRLSEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPSGAKTLIRRILDPNPDKRIRIEDIRNDEWFKKNYEPIKEVTSEEVNLDDVNAAFDDPEEDSEHIYDDETGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQSRFLSRKPANIIMSSMEVVAQSMGFKTHIRNYKMRVEGLNVNKTSHLMIMIEIFEVAPSIFMVELQRAAGDTSEYNKFINNYCSKLDDIIWNIPIEKSKSRISRLSKR >LPERR01G16180.1 pep chromosome:Lperr_V1.4:1:14278846:14279841:-1 gene:LPERR01G16180 transcript:LPERR01G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATLCCRLVRLPFARRPTTFSTRCSAAQSPDAVDREYADLNLRPLYTNRGHHLRIRQHVNPLSSSFSEPTEPPVWKEVFQDPLLPLMVDIGCGSGRFLIWLAKNSSERRNYLGLEIREKLVERSQFCVTELGLMNVYFMFANATVSFDQIASSYPGPLSLVSIL >LPERR01G16190.1 pep chromosome:Lperr_V1.4:1:14284318:14286506:-1 gene:LPERR01G16190 transcript:LPERR01G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEERDGALSLAEFHATRAGVRGLVDSGITTVPPLFLAPPPGYYTPSSTTASSLATIPTVDLSLPRAATVALVRAAARSHGFFHVTNHGVPPSTVSSAVSAIHAFHDHPSSTTTRTACYSLASVGGVSYATIPIQYSPQFPTPSPVLPWRDTLVVRFGSGDAPPDLARLPADCRDALQEYHRALVGIGREIAGLLSEALGVGEERLEREMKVDGSLMVCHYYPPCPEPEKVVGSREHTDPSLFTVLAQDHVGGLQVWQEEEDGGGGEWVDVAPVAGALLVNIGDVLKVVSNDEYKSVEHRVVLKSSEEARVTIALFFNPAKRDASDLFGPLEELVTTERPARYRCFSMLEFMRSRRESGHGKSIVDSFKIVAD >LPERR01G16200.1 pep chromosome:Lperr_V1.4:1:14288823:14292526:-1 gene:LPERR01G16200 transcript:LPERR01G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSAPPCISAWNVRNAGSLGDATTPAALWGSKKRCAFPEIHCTKCNEILGATKSRERGLRGDEDDQKAGGNDELFPAGKGEEEGKPSEPPLSDEDGRKFENVDCLFRGALISILADNIVDEYMCMFTGKKMLRAKDVCMVRMEASLVSGILSIVGTKLAPLVMKEFSSMAYVANDAEDLVHEFHIEAEKHEANVVGIKNVIAKHF >LPERR01G16210.1 pep chromosome:Lperr_V1.4:1:14292745:14292974:-1 gene:LPERR01G16210 transcript:LPERR01G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCTSGKTSYNGGGSRDAHACRATARNGLDGCMHEVFREAHRAAERGWRGPGSSDGVGDAWEHKMRWRPESD >LPERR01G16220.1 pep chromosome:Lperr_V1.4:1:14296733:14297232:-1 gene:LPERR01G16220 transcript:LPERR01G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTLLYISKRSYVINMRHLISQWIAHGFILTNQAQQPEDVENGYFDSLLKVGFFDINKKTKHGLVVTIGEVDRKLLDKVCAFYGSRCKLASSKTRNKQHCIHTIILKFIDIYSSHRFVSNLK >LPERR01G16230.1 pep chromosome:Lperr_V1.4:1:14297264:14298170:-1 gene:LPERR01G16230 transcript:LPERR01G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVISKMTKSDHQQKVRIVSVIGVGGSGKTTLAKLVFNDHSMIKLHFEFILWVYVSREFDIEKLVEMLFEAIGGDKPNHRVSRAISDKLARKRFLAILDDVWTENHTHGRATIGLDLEFLQVGIEIVKKSSGVPLTFKVLVGVLHGMKGIVECQSIRESNLWMLRMKNIKNFLAKH >LPERR01G16240.1 pep chromosome:Lperr_V1.4:1:14317603:14319989:1 gene:LPERR01G16240 transcript:LPERR01G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGLSVAAAAATTSSGSPFHDICCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNTIGAVFQLAYTATFIAFADAKERVKVSSLLVMVFGVFGLIVYVSLALFDHQTRQIFVGYISVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSISFFAYGVLLHDFFIYIPNGIGTFLGVIQLVLYGYFRKGSGEDRLPLLVTHT >LPERR01G16250.1 pep chromosome:Lperr_V1.4:1:14321012:14326513:-1 gene:LPERR01G16250 transcript:LPERR01G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLIAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQVFTIATKALQYLNILGIVHRDVKPANILLDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKHMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDPDPQQRPSFKDIIEELKIIEKHIAVNSCPPASPVNKNQNGNTEVHHYQEALNWFNQGELFAKKGNILGHKFGHWSDICDQSSKYCPTLSWGSFATCGRRETMEDAHFMLPHMSEEQDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVKTDIAFREELILHQRSKRITQKNWHPGCTAVTALIVRNKLFVANAGDCRAILNRAGEPFPVTRDHVASCPMERERVAKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETVLSSDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >LPERR01G16260.1 pep chromosome:Lperr_V1.4:1:14331185:14332141:1 gene:LPERR01G16260 transcript:LPERR01G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADDRRFKIFASADGFGEALKDAVVSHLRAHPSVAEVVDLGVDKYYAAAAAVARSITTTKTNPNPTSSPPLEPRGVVVCGTGAGVAIFANKYPGVYATHCATPADAINTRSINACNVLALSGISTSPSTAAAIADAWLSTPFRSPCPASGDSPWPDDIQSFFDSAPAEMAAIPEGEKSGSGSGFGDCAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKEESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGQWDIFLDEGLDAARSAIDNELANAK >LPERR01G16270.1 pep chromosome:Lperr_V1.4:1:14347222:14347773:1 gene:LPERR01G16270 transcript:LPERR01G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVYSVTTTPTSSAGSDQVFVAGAGDDAPRRPAAAPAVMEERKRKRKESNRLSAQRSRARKQQQLDELAAQWLHAAASFAAAPPPPTMSTAAVFPGAGAGGFMMPALYQPPLLDATTLQCNYY >LPERR01G16290.1 pep chromosome:Lperr_V1.4:1:14377941:14378773:-1 gene:LPERR01G16290 transcript:LPERR01G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNISFRQAILGLRLIEWNDLIIRQANITPSNKKDYFVWSLYKNGEFLVKSMYIVTMNSNKIPMGVKASTKDIVILTKNNLAKRKCGETNIVVSVMLKRQFNISSSSVMLLISFGDACLNFQYLPPYNATNIFGYHHVFQLAPLLVYDTTIEGT >LPERR01G16300.1 pep chromosome:Lperr_V1.4:1:14379486:14383084:1 gene:LPERR01G16300 transcript:LPERR01G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAHVAGQWYPGSQLWACASVQIVVPIGGNVHAIGRPIDHLACGSERRLLVHAVSKCNMLMAILCMIGFRIFPTAVPQLSSAVPPNQWTFDFRTANFSMNPNYIIFSNTDSSYMTYFGFHSEDKHGFFLSVFFSDMKTVIWSANPENPAQYEATLNFTRDGDLLLSDSNGSIVWSTGTKGKQVANMSLDHLGNLVLSDHRNNIVWQSFHHPTDTLMLGQSLCVGMNLRAKPSAKKWESSRIYLSAYLGGLQYSFEPAAYTRSFGATIIDNSTSTCYTFVNGSLGFPNHIIALPPTRSFQLMRLESDGHLRLYEILAFPIKQQLVFDVLSAVMDYCDYPLACGDYGVCSNGQCSCPSFTYFRFKNERHPEEGCIPISSTISCNHQHDHQLKPLTDVSYARGTTMFQSLATPSLTEDVCKSSCLRDCSCRVTYFQHNGDGANGTCLLLSERNLILFIEGSQVGLSAFMKIQGDRSKRKTIRTAVGSATAFFSLISILISAVIWEMKKKVDEENLNFISGAPRRFSYDELKVATHNFSLKLGAGGFGSVFKGKIGKETIAVKRLEGVEQGMEEFLAEVKTVGRIHHLNLVNLIGFCSEKSHRLLVYEYMSNGSLDKWIFQTGPVFTLSWKTRRSIIMAIARGLAYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKLISRDQSKIMTRMRGTRGYLAPEWLGSKITEKADTYSFGIVMIEIICSRKNLDESQPEDSIHLISLLQEKARSGKLFDLVDNGRNETEFHVEEVMEMMKLAMWCLQVDSTRRPLMSIVAKVLEGAMTMDDMPDYSFVPSYASNHANIASSNLSYKPSESHLSGPR >LPERR01G16310.1 pep chromosome:Lperr_V1.4:1:14387074:14395037:-1 gene:LPERR01G16310 transcript:LPERR01G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSSELLQAKAELWCHSFGYLKSMALHCAVKLGIPNAIHRCGGTASLSDLLAVLPAGSNKRANLARLMRFLTMSGLFAMATKDVYRLTPVSRLLVTDDDNTGPDGHDTSLSPLVLASMTRFQVNAALHLANWFGIETTGEEEEMPESTPFVLADGTDFWGITSRDPEFNRVFNDGMGSDSRFTMELAVRECPEVFAGIGSLIDVGGGNGTAAKAIARAFPHVKCSVLDLPQVISGVGDDEMVEFIAGDMMEYIPPVDAVLLKYVLHDWSDNDCVKILRVCREAIVSDKVLGKVIIVDTVVGSPSNTIYEAQLLLDMAMMVFTTGKERTENEWQKIFTEAGFNSYKILPILGMVSIIELYPNPK >LPERR01G16320.1 pep chromosome:Lperr_V1.4:1:14397942:14402753:1 gene:LPERR01G16320 transcript:LPERR01G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARRALCRRRTPPRPNPPAPMSTDDVPLSSDAPDDDDDDTPMTDGVSGDGSAGAAAGATPVYVWGTNISVQDVNAAVLRFIRHFRDPRDAARRGVMDEGKYMRAVHRILELEEGGGSLDVDAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIVTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPIMVDRGRVTEPHICLKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDSMDTDNPNANKTTEDDFLRDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNIEEVEVLDLPTLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLVMEKMQLGGPSMRMIEFLEELRKQSSMEIHLHDLRSALGTLMTEGAVVIHGDSFKRV >LPERR01G16330.1 pep chromosome:Lperr_V1.4:1:14410122:14410368:-1 gene:LPERR01G16330 transcript:LPERR01G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESQKETDITVAGVNARACCRRPPAAACRVALSAPAGRRLQPPPAGRRRTPATSARTAVRPRPAVRHALAPYAGIAGS >LPERR01G16340.1 pep chromosome:Lperr_V1.4:1:14411401:14413107:-1 gene:LPERR01G16340 transcript:LPERR01G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAASSTKAKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNHLADADITAGPEQQQQQHDDDCFAALAAPHSPAVSFDPLSVTNVQQPSSPYGAVVDVISDAGMYSGGGGDSSSNSNSTAWTCGSVVGIGGGEAAMLASHMDMFGRDADAPPPYTFDPWQHHHHHEATPPSSHRLDGTASFPIRSLSRDMPESCFDLGRGGLDDPFGVDFL >LPERR01G16350.1 pep chromosome:Lperr_V1.4:1:14421898:14424069:1 gene:LPERR01G16350 transcript:LPERR01G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNLPPPLAAASANGAAILLPLSHTKQLHARLLVSSPDDDVRLRLLLLRSYAAWGDLAAARHLLDGAPRPASPLLHNALIRAHARRLHLPAALALFARMRRLSSPAPDAHTFACVLRACADCSRPDAAKVTHGIVVCSGMSSHAVVGSALVSAYAKLGLVGDARQVFDGLRESDLVIWNSMMSGYGYRGMWLDGLDLFSAMRRAGEQPDGYSMVSLVSSFWNHEALAFGQAIHGVCVKGGYDSGHHVRSALVSMYFRCGCMESGHSLFGNLLDADLVTWSSLITGLLPIGKYEESFVLFRQMCYSVSLGAHTDIRVSSSLMDAYAKCGFADLAYGVFFQMPDKNLIMYNTVISNLGSHGFAMKAIEVLDEMVSDKLRPDSATFSALLAACCHAGLIDEGWKLFWRMRDEFNIVVNVEHYVYIVRLLATFGQLKEAYDLIQTMPVPPDCGVWGALLWGCCVHRDSSLGRIVAEKLFELYPDKASYRVMLSNLYASQEMWWDAEEVRSELTNEDMHKNTGISWVGDARK >LPERR01G16360.1 pep chromosome:Lperr_V1.4:1:14425640:14428965:1 gene:LPERR01G16360 transcript:LPERR01G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGFLFFLLFFLQHLHTIISLLDSLGWQPMPCNFTSATPLCSSYLYVTPEGRNLSEVASDFSGNASLFQRIRRLSGSEDLLVNVPCVCEAINSTMTGLFHKTQYTVRQHDTPDIVNSRNFSGLAMNVGDGHDLQINHTIVIHLPCGCSSTAPEGVLSYAVQFGDTLGNIASLFGSSSKDILDLNPSLKDPNFLNPGWTLFIPMGVSGSSNKKIDPFQTERPVIFSLRAVEDATSNFDEKRKIGEGGYGSVYLGFIGTYEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYSAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECMATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPCLKDNYPIEEVCKLANISMWCLSEDPLDRPEMREIMPILAQIHLSSVEWEASLGGDGEVFSGVSNGR >LPERR01G16370.1 pep chromosome:Lperr_V1.4:1:14429012:14431808:-1 gene:LPERR01G16370 transcript:LPERR01G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNREVLLVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTAPPLSYKVLLKLFVHALYGISGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVSGVLFSIVGVVVLAFYQGPELKSLNLQHLSNQNVAHNGNTAYPTRTWTTGIFLTVLSTTSWALWTVLQLVLPQGLMLEVYPSKLLNTTIQMIFATIQCFFIALAVEKDFSRWKLGLDAGLIAVIYSGVLVSGLAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >LPERR01G16390.1 pep chromosome:Lperr_V1.4:1:14441086:14442627:-1 gene:LPERR01G16390 transcript:LPERR01G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSRVVMVGGLYCVLWAMKSEHAGISKQQMAVQAQATRI >LPERR01G16400.1 pep chromosome:Lperr_V1.4:1:14462500:14471391:-1 gene:LPERR01G16400 transcript:LPERR01G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILTKVAFSQGTSTSVLVFYRHIVAIVVLLPVALAVERKTAPPLSTKVCLKLFVHALYGMSASMNISCIGLNYASATSASAIQNLVPVLTFFLAVLLGMESLKLKMCHGVIKVSGVVFCAVGVTVLALYQGPDLKSFINHRLFPHANSAGTHSPRNWILGILLQSLATLMWALWVVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALMIERDFSRWKLGFDIGLIAIIYCNRLVLAVKEVLEKKRHAVIWRNKKLQLQFQHLKILPMGSSTVFVVAFLIRALYGGMQIVTKNAFNEGMSTYVFVFYRHVIAILFLVPVAFVLERKTAPPLSFKVSLKLISGAINIYSLGLSYASATSSSAIFNLLPVVAFIFALLLKMESLNLKRIHGIAKVSGVVFCIVGVIVLAFYQGPELKSFNHHHLLDRKNNVYAGVTSHPTKTWILGIFLTILSTTSWALWTVLQGPMLEEYPSTLLNTTIQIVFATIQCFFIALAVERDFSRWMLRLDVGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVNLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMAVPGQATRI >LPERR01G16400.2 pep chromosome:Lperr_V1.4:1:14462500:14467633:-1 gene:LPERR01G16400 transcript:LPERR01G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQSLPMGSSTVFVVAFLIRALYGGMQIVTKNAFNEGMSTYVFVFYRHVIAILFLVPVAFVLERKTAPPLSFKVSLKLISGAINIYSLGLSYASATSSSAIFNLLPVVAFIFALLLKMESLNLKRIHGIAKVSGVVFCIVGVIVLAFYQGPELKSFNHHHLLDRKNNVYAGVTSHPTKTWILGIFLTILSTTSWALWTVLQGPMLEEYPSTLLNTTIQIVFATIQCFFIALAVERDFSRWMLRLDVGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVNLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMAVPGQATRI >LPERR01G16400.3 pep chromosome:Lperr_V1.4:1:14467844:14471391:-1 gene:LPERR01G16400 transcript:LPERR01G16400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQILTKVAFSQGTSTSVLVFYRHIVAIVVLLPVALAVERKTAPPLSTKVCLKLFVHALYGMSASMNISCIGLNYASATSASAIQNLVPVLTFFLAVLLGMESLKLKMCHGVIKVSGVVFCAVGVTVLALYQGPDLKSFINHRLFPHANSAGTHSPRNWILGILLQSLATLMWALWVVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALMIERDFSRWKLGFDIGLIAIIYCGIVVSAISFYMQIWVIDKRGPVFLCMTVPLTLVVTIILSFLIGEAVSLGSIISGALMVAGLYTVLWGKRIEQAGISSQGGVGEETARCDLEEQETAAPVPASQDVKEKINAMS >LPERR01G16410.1 pep chromosome:Lperr_V1.4:1:14475398:14477231:-1 gene:LPERR01G16410 transcript:LPERR01G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACAAQRSSNRSARESAEGGVERVAEAGVAEEGLDEEAREGGVRRGGGDGGTEELAEGVVARVEAEEGGDRVERVGELAGGGEGAREEGEVGRRGPCRRWGERKEESEGRERVGVAGAEYDGGELVVAEVGEKTGELRLDGPRLVGVGVGVGCREVGRDEVDDGDARVEGARQERRQGVRRNARHCLGHGETHRRVVRVGLQSASADKPHLHLRFLLLTLAAAAPPREFEREEAKEGETREMMRLQIPARSGVLRRLLHLGGAGGVVRPYSTGDRRRRVIREAQQEEEDEAFLRTLNFNADPENNPPPPPRQSGGDAPDSSSPDAAFPTDILRRAAGKQQEQREERPEGISQKAIGESLMEKLKLGDAGNAASATGGNGGDERSQPEREPTNLPPPPEQEPAQPQDMDEIFRKMKETGLIPNAVAMLDGLCKNGLVQDAMKLFGLMREKGSIPEVVVYTAVVEAFCKGGKLDDAVRIFRKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVDLCVEMFEAGHSPNAMTFVGLVDAVCKAKGSEAGEKLVRSFQDRNFAIDEKSIREHLNKKGPFSPVIWEVIFGKKKSGRPF >LPERR01G16420.1 pep chromosome:Lperr_V1.4:1:14476662:14477207:1 gene:LPERR01G16420 transcript:LPERR01G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVSRISPNTLTSLLPRALDPRVAVVDLVATHLTASDADADADEARPIEAELTCLLPYLGDDELTAVVLRAGHSHPLPTLRFLLALPPPAARPSPPHLAFLAGSLASSRQFSHALDAISTLLRLHPGHDALRELLRSSIPATTPHPSLPGLLVKALLRHARLRDALHAALRALPRRAVR >LPERR01G16430.1 pep chromosome:Lperr_V1.4:1:14477328:14488929:1 gene:LPERR01G16430 transcript:LPERR01G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGGGGCSRVKVVGQVERVDGGSLTYAEFVDRFMRPNLPVVLTGLTSSWRSCRDWMRAAADGHRRVPDLDFLARTFPSPLLQVADCSSREFSDQKRLEMSMREFVDQWVGNSSSASSDGSLLYLKDWHFVKEYPSYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHKNEINCSDYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHFIFDRNLRSSVYNINDDVSEKQFPEFNNTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKEYIEDIRNICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIIELYHIQKPKETALSSAETSNHFVYNLTSVHNVASKMISTEAFDPENMCTISEQNQSAFSDIIKILEEESFRRLLVALSKAYDFIGRGQRNCVKMKDSNQKGCLSMTCLKSDCNVVGHITSFVRVIHGPVDLVMRKRGLYRECHSHTRCSNQQGTNGRGIMLQACNPEIVSRIPEA >LPERR01G16430.2 pep chromosome:Lperr_V1.4:1:14477230:14487198:1 gene:LPERR01G16430 transcript:LPERR01G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGGGGCSRVKVVGQVERVDGGSLTYAEFVDRFMRPNLPVVLTGLTSSWRSCRDWMRAAADGHRRVPDLDFLARTFPSPLLQVADCSSREFSDQKRLEMSMREFVDQWVGNSSSASSDGSLLYLKDWHFVKEYPSYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHKNEINCSDYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHFIFDRNLRSSVYNINDDVSEKQFPEFNNTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKEYIEDIRNICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIIELYHIQKPKETALSSAETSNHFVYNLTSVHNVASKMISTEAFDPENMCTISEQNQSAFSDIIKILEEESFRRLLVALSKAYDFIGRGQRNCVKMKDSNQKGCLSMTCLKSDCNVVGHITSFVRVIHGPVDLVMLIDNALSDGL >LPERR01G16440.1 pep chromosome:Lperr_V1.4:1:14480299:14481327:-1 gene:LPERR01G16440 transcript:LPERR01G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMPPPPHHHHPAASGPAMPYADADRTLRALAGAAEGFGRGTIGGLHGPIYRVTSLDDDGHGTLRHACRAHGPLWIVFDVSGTIHLATYLRVASHKTIDGRGQRVRLVGKGIQLKDCHHVIVCNLEIEGGRGHDVDAVQIKPNSTNIWIDRCSLADCDDGLIDITRSSTDITVSRCRFSRHDKTMLVGADPCHVGDRCIRVTIHHCFFDGTRQRHPRLRFGRAHLYNNYTRGWGIYAVCAGVEAQVASQCNVYEAGPEKKTVFRYMPEKAADRGEAAAGWIRSEGDAFLNGARPCLVDGGEAVFRPEEYYERWTMEAVSPALKEVVMLCAGWQPVPRPPGE >LPERR01G16450.1 pep chromosome:Lperr_V1.4:1:14490593:14493596:-1 gene:LPERR01G16450 transcript:LPERR01G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLAVLLLLAAAAAAANETRLLRPGEEVRKYRRVKALLRRVNKAAVKTIESPDGDVIDCVAAHLQPAFDHPRLRGQRPLPPPPLRPRGHNNNETAAGDSAGAAQLWAASTGESCPDGSVPVRRTTEADVLRASSVRRFGRVPTARVRRDSVSGGHEHAVGYVAGEEYYGAKASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSFLFSHLASHASMVQFGGEVVNTRADGGTHTATQMGSGHFAGEGFGKASYFRNLEVVDWDNSLVPLSGFHVTADHPDCYDIQGGVNTVWGNYFYYGGPGKNVSVEVLSAN >LPERR01G16460.1 pep chromosome:Lperr_V1.4:1:14513216:14525217:-1 gene:LPERR01G16460 transcript:LPERR01G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGKDKGKEKVHFRDSSSQETLRTYKRRRQPGLEPQPQPQQQLPQPQSEQEQQQQPQPKVEPEQQQQPQTEPEPKTGDVPARQVNEFFWKSRDIGWKHGIMIDENRQHWKCKYCDLTRYGGGVSRLKRHLAGDLDVKMCPNVPADVSEKIREHLRKKRERRKKRAAQNGVTAKSTSDDINAQKDPLPVYSEEVTGVDTVLEEVTNHTSHDNQATMLLRGIRDIGWEHAVDLDGNKRRWQCKWCSLCRSGGVTTLKAHLTDGSCPRIPKEISKQVLNFIEEKRAARHLFNTNAKSPFNVKLDEDLVNLSQIQVEGTLPLTDDQQPSRNVMHMQTSDKRSINESEKVAAGSKQQGAEHSGQLLDHCQQLMKSGDRPEEDCTLKYGRCQILDKNRKQIMDNKTDHLEQHKKVLKHPKKTRFNVRKHIVIVDETARQWRCRYCGMSGYGETSRLYFHLAGVFRHPKCPSVPKEVFVNAWYHIYLKRRITAKKTRQQARSRSQTLGQSSEQQKNTNLVLSNYLTKLRDNAWKHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWQSRPQCRNVPEDVANTIRDKMISREKQKAGRSDLDVIDSCSMPCSSKSPQFVQENFTVVMQENGSSEDFNQAEINSNTLNSVCNTTHPPLNSNNPQVLQENGLYSSKSKSEKRTERNDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVRDKVDMDSNRKVSSDGNTAQCQSVLVDVLRSENFALLCNVLGRIVHNDEERTRYFDFSMIDSRMKNGDYGRAPLLFKHDMKLVERERGSDDSEENLKGAVETSLEPINIVKSSALILSTSQTFNQLDQPDPMDVCEVQNSITCKVCGKVAKSDSILTCKRCMLACHISCTEPPMSSISTGSWWCKSCSAICNKSAEVDMALALYEPNCSHGNCVACKDLEFCRPPGCEETVSERSPIDNSRAIVISSAEPVEDVELPVVDVRGFCKMCGNPEDKDKRFLICGHTHCLYKYYHISCLKTKQIASDEQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCIRPRRTSIPKGKWYCSSCTIERTKEGWEKYEKKMLKLHRKDDPGLEARRYEAVDMILAAAKMLDEKQGT >LPERR01G16460.2 pep chromosome:Lperr_V1.4:1:14513216:14525217:-1 gene:LPERR01G16460 transcript:LPERR01G16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGKDKGKEKVHFRDSSSQETLRTYKRRRQPGLEPQPQPQQQLPQPQSEQEQQQQPQPKVEPEQQQQPQTEPEPKTGDVPARQVNEFFWKSRDIGWKHGIMIDENRQHWKCKYCDLTRYGGGVSRLKRHLAGDLDVKMCPNVPADVSEKIREHLRKKRERRKKRAAQNGVTAKSTSDDINAQKDPLPVYSEEVTGVDTVLEEVTNHTSHDNQATMLLRGIRDIGWEHAVDLDGNKRRWQCKWCSLCRSGGVTTLKAHLTDGSCPRIPKEISKQVLNFIEEKRAARHLFNTNAKSPFNVKLDEDLVNLSQIQVEGTLPLTDDQQPSRNVMHMQTSDKRSINESEKVAAGSKQQGAEHSGQLLDHCQQLMKSGDRPEEDCTLKYGRCQILDKNRKQIMDNKTDHLEQHKKVLKHPKKTRFNVRKHIVIVDETARQWRCRYCGMSGYGETSRLYFHLAGVFRHPKCPSVPKEVFVNAWYHIYLKRRITAKKTRQQARSRSQTLGQSSEQQKNTNLVPDNPSLPSRNNDCSGVLSNYLTKLRDNAWKHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWQSRPQCRNVPEDVANTIRDKMISREKQKAGRSDLDVIDSCSMPCSSKSPQFVQENFTVVMQENGSSEDFNQAEINSNTLNSVCNTTHPPLNSNNPQVLQENGLYSSKSKSEKRTERNDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVRDKVDMDSNRKVSSDGNTAQCQSVLVDVLRSENFALLCNVLGRIVHNDEERTRYFDFSMIDSRMKNGDYGRAPLLFKHDMKLVERERGSDDSEENLKGAVETSLEPINIVKSSALILSTSQTFNQLDQPDPMDVCEVQNSITCKVCGKVAKSDSILTCKRCMLACHISCTEPPMSSISTGSWWCKSCSAICNKSAEVDMALALYEPNCSHGNCVACKDLEFCRPPGCEETVSERSPIDNSRAIVISSAEPVEDVELPVVDVRGFCKMCGNPEDKDKRFLICGHTHCLYKYYHISCLKTKQIASDEQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCIRPRRTSIPKGKWYCSSCTIERTKEGWEKYEKKMLKLHRKDDPGLEARRYEAVDMILAAAKMLDEKQGT >LPERR01G16460.3 pep chromosome:Lperr_V1.4:1:14513216:14525217:-1 gene:LPERR01G16460 transcript:LPERR01G16460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGKDKGKEKVHFRDSSSQETLRTYKRRRQPGLEPQPQPQQQLPQPQSEQEQQQQPQPKVEPEQQQQPQTEPEPKTGDVPARQVNEFFWKSRDIGWKHGIMIDENRQHWKCKYCDLTRYGGGVSRLKRHLAGDLDVKMCPNVPADVSEKIREHLRKKRERRKKRAAQNGVTAKSTSDDINAQKDPLPVYSEEVTGVDTVLEEVTNHTSHDNQATMLLRGIRDIGWEHAVDLDGNKRRWQCKWCSLCRSGGVTTLKAHLTDGSCPRIPKEISKQVLNFIEEKRAARHLFNTNAKSPFNVKLDEDLVNLSQIQVEGTLPLTDDQQPSRNVMHMQTSDKRSINESEKVAAGSKQQGAEHSGQLLDHCQQLMKSGDRPEEDCTLKYGRCQILDKNRKQIMDNKTDHLEQHKKVLSNYLTKLRDNAWKHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWQSRPQCRNVPEDVANTIRDKMISREKQKAGRSDLDVIDSCSMPCSSKSPQFVQENFTVVMQENGSSEDFNQAEINSNTLNSVCNTTHPPLNSNNPQVLQENGLYSSKSKSEKRTERNDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVRDKVDMDSNRKVSSDGNTAQCQSVLVDVLRSENFALLCNVLGRIVHNDEERTRYFDFSMIDSRMKNGDYGRAPLLFKHDMKLVERERGSDDSEENLKGAVETSLEPINIVKSSALILSTSQTFNQLDQPDPMDVCEVQNSITCKVCGKVAKSDSILTCKRCMLACHISCTEPPMSSISTGSWWCKSCSAICNKSAEVDMALALYEPNCSHGNCVACKDLEFCRPPGCEETVSERSPIDNSRAIVISSAEPVEDVELPVVDVRGFCKMCGNPEDKDKRFLICGHTHCLYKYYHISCLKTKQIASDEQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCIRPRRTSIPKGKWYCSSCTIERTKEGWEKYEKKMLKLHRKDDPGLEARRYEAVDMILAAAKMLDEKQGT >LPERR01G16470.1 pep chromosome:Lperr_V1.4:1:14536744:14539142:-1 gene:LPERR01G16470 transcript:LPERR01G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLPMPAAPSSIHFHANCTPTNYSTSPPNSWPPFPLAPAREISSYLNHSRHLSKSKPLPSLASSSQPRTLCFVAMEKKFVEEAGCCYYGGDVDVDGEGRATALRPAALSRPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLSPSDSSAAAVASLAATLVGRLAMGPACDILGPRRASGAASLACALALALAAVFASSPRGFLALRFAAGLSLANFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAAAQVVMPVAYDAVALRLGVPVTVAWRVTYLLPCALLVTTGLAVLAFPYDDLEGNRSAAAAAGGGNRSNTLWAVVRGGVGDYRAWVLGLTYGYCYGVELIMENVAAEFFGRRFRLPMEAAGAAAACFGAMNAAARPAGGVASDEVARRFGMRGRLWALWAVQTAGAALCVLVGRMGAAESPSLAATMAAMVACAAFVQAASGLTFGIVPFVSKRSLGVVSGMTASGGALGAIVTNRLFFSGSRYTVEEAISCTGITSLICTLPVALIYFPRQGGMFCGPSATVDDDDNDDGDVGANDDYMLLK >LPERR01G16480.1 pep chromosome:Lperr_V1.4:1:14559281:14563498:-1 gene:LPERR01G16480 transcript:LPERR01G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVVVVLLLCGAAAGDPRTTVARQVCAAGGAVSGPALADNFVPAMDDLNTNVTTTTTNPGFGTSAVGSGPNTVFGLGQCHGDLSPIDCKLCFAEVRSLLPKCYPRAGGLLFLDGCFGRYANYSFFAQPLGPDDAAFCAAGANYSGANPRGFGEAVRAALANVTAAAEGGGGGYYAVGSASVGGATAFALAQCWGSLNATACGQCLRAGAAAAGGCAPAAAEGRALYTGCYLRYSTRLFWNLNATAGSRSSRNNDVVWILLGSFLGAFVIVFTVVFLAWKKKIFRNKKRSKSFIDIYGDGVPVRIAQSSLNFKYEELRKATNYFDPAKKLGQGSYGAVYKAALLDGKEVAVKRLFLNTREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFDASRSRNLTWNFRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNSTVERITDRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSHRHDVEIVLSDPPFLDVEAFEDIKQSEQSRLLSTHSAPSLSGSSRSYLSGR >LPERR01G16490.1 pep chromosome:Lperr_V1.4:1:14567616:14569655:1 gene:LPERR01G16490 transcript:LPERR01G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVAGAILCLLPAPASSAGRTTTFSVLSFGAAGDGVADDSEIDGDMLAPPGMGYWPKARRPLQWLNFKWLNGFTIQGTGTVDGQSTSLQRVSPANVTQHWYVSGVKPTLIRFYSSFNVGVRNIRLTNSPQCHLKFDSSGSIKVKNITISSPGDSLNTDGIHLQNTRNVDIRSSSIGCGGLGKDNSLACVSDVLAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDGGSGRCRNSTDAVDIAGVAYRRVVGTYTYQPVHLACSDARPCTGVTMADVSLSPASAGVLRQPLCWKSYGQAMGMIEPTGIGCLQRSDGFVMPLTRPFNYSC >LPERR01G16500.1 pep chromosome:Lperr_V1.4:1:14570580:14581952:-1 gene:LPERR01G16500 transcript:LPERR01G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAADVIDLSSDDDDEVPVPSTSASASARRIASSSSASPRDVIAPYALVDVKPNLLLYPLQPPGVVVGNGNGGALVPVKEEFAAPVPVPLLPAGSSPSANKVSLPPPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRINEFANPRDGSPSLLVQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYTQMLRHDQKLFSSNLAILLKWSPFSSEAELLKQFDDMGEHGTKIIVFNLWLNDDGDMELDFNSDKKDILITGTHKKVKTTKTDKIATQNYAYTSVLYLHIPDNFRIVLRGHDVEPHNVINDLMYPECVLYKPHIAGAAEVSAITTIGFVKGAPDIDVQGFNVYHKNRLITPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWDLHCHRIGYDNKKLPKASRALYRANQMNVDSSSPSVPRRLLAADIPTNSCAVPTFVAQAKQKQMGLKRSMDALGSMTDSAHQDGVHQRRRFNEYRTLTLENDKLRGECAKYEESAKQLALKEQKLRSQIAEERKKYEQLLQELRSLDVKTET >LPERR01G16500.2 pep chromosome:Lperr_V1.4:1:14570580:14581952:-1 gene:LPERR01G16500 transcript:LPERR01G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAADVIDLSSDDDDEVPVPSTSASASARRIASSSSASPRDVIAPYALVDVKPNLLLYPLQPPGVVVGNGNGGALVPVKEEFAAPVPVPLLPAGSSPSANKVSLPPPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRINEFANPRDGSPSLLVQDDGGGMDPEALRRCMSFGFSDKQSDALIGQWCQQEVLVDYQYDLTTASYTQMLRHDQKLFSSNLAILLKWSPFSSEAELLKQFDDMGEHGTKIIVFNLWLNDDGDMELDFNSDKKDILITGTHKKVKTTKTDKIATQNYAYTSVLYLHIPDNFRIVLRGHDVEPHNVINDLMYPECVLYKPHIAGAAEVSAITTIGFVKGAPDIDVQGFNVYHKNRLITPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWDLHCHRIGYDNKKLPKASRALYRANQMNVDSSSPSVPRRLLAADIPTNSCAVPTFVAQAKQKQMGLKRSMDALGSMTDSAHQDGVHQRRRFNEYRTLTLENDKLRGECAKYEESAKQLALKEQKLRSQIAEERKKYEQLLQELRSLDVKTET >LPERR01G16510.1 pep chromosome:Lperr_V1.4:1:14588962:14594594:1 gene:LPERR01G16510 transcript:LPERR01G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTGTAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYERPLPPEDQLPPPPPLPPPPPRSRGGGDLDRRDRSRSRSRTPPRRDHRDRDRDRDHRDRRHDEHRSGPSSHHHPLPVAAAAAVAVAADDPSTEAYRRRHEITVVGDNVPAPITSFETGGFPPEILKEIQRAGFSSPTPIQAQSWPIALQSQDVVAIAKTGSGKTLGYLLPGFLHIKRLQNSTRSGPTVLVLAPTRELATQILEEALKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGNVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGAKILIFCTTKRMCDQLARTLTRHFGASAIHGDKSQSEREKVLNQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDGDSKYAADLIKILEGANQRVPRDLVDMASRGGRGKKRNRWATIRSDRGGSRSELDSRYGGRESSGRLESSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERGAAGSGSARPDSGHIERKSPPEVEPSRNHTNHSDLKDDPRQEDRKMGKVDLDRSHTPQDDKSGPYSPVYNGKASRSVSPSAQVIRSVSPSAQVKENNKAAEVSVNPDPALPHRPSKTKEDEEEGIIDEDGEIADDPRASATVQNGGNN >LPERR01G16520.1 pep chromosome:Lperr_V1.4:1:14590241:14590459:-1 gene:LPERR01G16520 transcript:LPERR01G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTASLVAASLSHGSFIHRAPSAAARRVQVLRMDVDRLGVNALQQLPTGPMDVDSLGATSMARPPRGPSSM >LPERR01G16530.1 pep chromosome:Lperr_V1.4:1:14597397:14600932:-1 gene:LPERR01G16530 transcript:LPERR01G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSAAASDAGGGGGGSSADGSDGDDERYLSAGSALGTPSSLATLLPSSELWDHQMDLLLLDDPFAAGFPKTHQLTRLHPQPRSDPPPAVAGEVVLPRPEPDSGSHAVPSHSPDPAQVDNLDENHLFDDMVQEMEHILLNSGEPHESGPFTDFRVNSFPQAHHYRDGSTTASTSGTDDAYVYPLPHHPSKIDWVEVVGAKQRKGDVSFGERMVGVREYTVYLLKVKSGEEEWEIERRYREFYALYQQLKLFFAEKGLSLPPTWGNVEKESSKIFGNASPDVVNERSTLIQDCLRSLLVSNYQSGTPTPLVSFLSPGSPAYDYSLLKTFIPRSLQRLSSGSHSKGSSCNGASLKDSPSMGKTISLVVEDRPRKSTRQLLELQHYNCAGCHKHLDAGRTMLQELVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDSGVPCAARQACDDPLSLIFPFQEDEATKCGSCGSIFHKQCFRKISSCPCGKGAASKGRKVAALEQAAHNDAGRPSTELIQPPSFSSSSGFLSDIISKARPDKLWKPRNSSPVILMSSLPDTST >LPERR01G16540.1 pep chromosome:Lperr_V1.4:1:14605925:14630748:1 gene:LPERR01G16540 transcript:LPERR01G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYTAAAAASPLRVVVVVVVCRMSEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVGVRGDPQLGVQRFPAQAGAASRHPGLRVQFYPTILVGGQCGKNFQLTATGVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >LPERR01G16540.2 pep chromosome:Lperr_V1.4:1:14605925:14630748:1 gene:LPERR01G16540 transcript:LPERR01G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYTAAAAASPLRVVVVVVVCRMSEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGAT >LPERR01G16540.3 pep chromosome:Lperr_V1.4:1:14625193:14630748:1 gene:LPERR01G16540 transcript:LPERR01G16540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >LPERR01G16540.4 pep chromosome:Lperr_V1.4:1:14605925:14630748:1 gene:LPERR01G16540 transcript:LPERR01G16540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVQERFEVDIKELPEQIDTSTYMPS >LPERR01G16540.5 pep chromosome:Lperr_V1.4:1:14605925:14630748:1 gene:LPERR01G16540 transcript:LPERR01G16540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >LPERR01G16550.1 pep chromosome:Lperr_V1.4:1:14632644:14640988:-1 gene:LPERR01G16550 transcript:LPERR01G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPTVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKEGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQAVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNAVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETSEPLTGPMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDVENAKFGLKTQAKASSSKENEGSSTDSSVPKKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >LPERR01G16560.1 pep chromosome:Lperr_V1.4:1:14653171:14656886:1 gene:LPERR01G16560 transcript:LPERR01G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRALIAAGATAVCCLVCAAWAFRSSSATAASRRKQQQQRALSAGGCCGCAGCGCRAVNGREMAVGGEQKKAPAPAPAPAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGMAFQLRDVRARVLGEVAWVNMKAHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAQHNLFA >LPERR01G16570.1 pep chromosome:Lperr_V1.4:1:14657412:14659455:-1 gene:LPERR01G16570 transcript:LPERR01G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSYDREFWQFSDQLRLQTASFSGLSLGDSIWGDRRNDPLFSSHAATADADYHHFSSPVAKNNIINNHNNNGGLLDGPGLIGSGKLAFGANKPDRYNNNNNDNKSYPNNNGGLAKNPNNNNNFNAFAFNKMGSYNNNGGNYGGNGGEVKSYFNKSVGRAANNNNNSNSGGYYGKKGGEGGKKKQGGKNEGGNGGGAQAASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >LPERR01G16580.1 pep chromosome:Lperr_V1.4:1:14699071:14700205:1 gene:LPERR01G16580 transcript:LPERR01G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPRKPPQKHKAPTSSRLTTAQADTKKKKQVTQRSRTPDAEITSTDDSYDEVDAAAAMIPEDDDITADLPQQTPPPTPSLAQDQPSPAAQISPSHQTAHQPSSPPLSAKLEKLENHKAELEALLSIVNKEIISAQQEIADQPQVVSAKKEQVTVAIRHARNLQKELKPIDGTDAYDAALINEADQIRLRAIDAISKFLAQ >LPERR01G16590.1 pep chromosome:Lperr_V1.4:1:14731567:14738367:1 gene:LPERR01G16590 transcript:LPERR01G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLPQDKLHLVKLPSQALPANWREYIAQGKAATVDNMDPSCPFLVLEKSDHEKVYEWLNLRSLWVAKSMPNDIISPDPTPKVSLRRATKATVTSSVNATAKKRRVSEVSVSDMSFDTDMQFPEVSTLRKYPFQAWDPMNTRSGFFAYRPVSAIQILCNSEPDDKSLLVGGQRRNYWSTSMSNDCLIPNHNIALLGRNQQLIGTHQSRRYSTVAFKILTKLLKHA >LPERR01G16590.2 pep chromosome:Lperr_V1.4:1:14731567:14738367:1 gene:LPERR01G16590 transcript:LPERR01G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLPQDKLHLVKLPSQALPANWREYIAQGKAATVDNMDPSCPFLVLEKSDHEKVYEWLNLRSLWVAKSMPNDIISPDPTPKVSLRRATKATVTSSVNATAKKTLRKYPFQAWDPMNTRSGFFAYRPVSAIQILCNSEPDDKSLLVGGQRRNYWSTSMSNDCLIPNHNIALLGRNQQLIGTHQSRRYSTVAFKILTKLLKHA >LPERR01G16600.1 pep chromosome:Lperr_V1.4:1:14773317:14791322:1 gene:LPERR01G16600 transcript:LPERR01G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAAALALAAALCVLAAAAPAVAASPAGGPHMADLSVLLPPRMTKPVEHRLIGGDGCFTWSWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFQWQLTPRLLDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIAIGQEAVNAQLLEPQFEHVHDAITLTVAEAMSLEPPSPVLVTVGAMVKFKLKHHHWHVSNSSVAQVDSSLGVLHALSLGFTNIVVEDTRVSGHAQVSSLHVVIPQALFLDLVPVMDDSAHFHGITSIPSSEDWYVFPGQTYLVLAKAFAEGFFQEMFITEENELKLVSSTVEFWNLSLVPDSSVGSYELQTSRLLTPISQGKGYIDASLTYRTEASGAAKVLKLQQEVNVCSKVKAIWDEQMDNSRIIYLPWVPGVYQEVELKAAGGCGKMLEDYKLSSSDESVASVSDSLIVRAKKPGRAVIRVVSVFDVLNFDEVTVEVSTPSALAILPNFPVEVPVGTQLQAAVTFKTSNGHPFSRCDYLNAFTRWSLLSEDESFEVVGTSDPLSIGTLKPHAGSWAHYGNPCAWVSLNALAASQATLVATFSVDSESYYETFSGPLFLKDTSKISSYYPLVVLQAGNGNRFGGYWVDLSRIQSGIQNMVNNSPKEIYLVPGSTMNVFLSGGPEQWDQLVDFVETVDVVGESKNDIVSSTAVQKLSSRLYRISCQSKGNFKLLFSRGNMIGKDHPVPAVAQSELAVVCDFPSAIALIANENESRLDILEAASRAERRHNRLQASPVVISNGRSTRLAAAGVHGNGRFFANSSSLRLSWEVTDCEGLAYLDEDKGADMIDESTWERFLVLQNSTGMCTVRATVIGFSSRVDGQILEEEHMFLQRMHDTLTDAIQLQIVSFLRVTPDYVLIVFHPEAQETLAVSGGTCFLDASSNDTHVVQILQHPGKALCSQLILGARGLGIATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTKDFHISAGTQDGQIFRDSQYKYMGIEVHLGDETLELLNLSELLDGPKFSVKAAKIGTTSLYVSSKQHSGQRVLSQVVKVEVYKPLQIHPGYIYLTPGASFVLSVKGGPRVGVVIEYTSLSTETVEVQNSTGKLSAKTVGNSTVRAVALSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLRKVVMFQQARSWQYGLDQGLYSEGKSFPWFSNGSSNAFINHVIGRSAGKTKISVSVYCDFLMAGISGSISYNASKTILVVPDPPLALGLPITWLFPPFYTTMDLLPKSVNPNSDDLEDTIGYSLLRNSGRSDPVMQNANIIDGSKIKTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIAATGSSIHIAYLSVHDKVELDIKYSDELGYIFSEALGVAPVKIETNHPDVVSILMPKEGNGTTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGLNTKLHTTVTVLKVNQIVVDAPAETLTNAAGPPGGYKFSVRLRFAEPWSDQSAKKSYCLFHPYSPAQLLPVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWSAKDLLSASRVDTIGRVVPSQISYQVEALKRKSFYDKITVILPATGQTEEVEVIYDTGETKEPSSSGLTTMAAIITCIVVPIATIALFMKLLEKKPIRRAPLRQVKPAPAPAAGSPSAAMPDPASPEAGEFSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >LPERR01G16610.1 pep chromosome:Lperr_V1.4:1:14815191:14818196:1 gene:LPERR01G16610 transcript:LPERR01G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDDLGEKKCDAMAVSSCPWPAAMEHHHAVAYFGLSCALAVLRRCDLGVERRPCRWSRRREAALMERIGEVEREADELRRRRAEDARANEKVAGIFAAHEQRWFAERRALRRQVHAVVAAARAREEAHDAAVAEMAARLEEEQRQRGVEREEAEEKIRAAAEKEAELEAEAARAARRGEAAEAEAGEAVARRDEMAAEAARLRRDAEHKDKILSAMLRKSKIDMDDREMLVREVKMCKARRKQAELEAERWRKMWESRHRRSSRSSAAAPMPEHSAGCSDAVACAHDAKILFVDHVEEADAKKCRHTAALPPPVKNPAAVECVDDKPVVEEYQDLQEWFKMETEKYTSMIRQRHAAEVEAFTEQLRLKDEKLEAFRWRAASVDAEASRLRSRIQELESRLSELEQRRSELEQRGAALESLLAAFQPQARVLDDDKDDAEIFPTGGGGGQENPIPWSPSPEAVEAASARVAEIRLLEPVSPPDEHRNTVFDMGPTLAHDVVATSVQNERELVVEHETPRQIVPARNSYTCEIEEEDEVFTDRAGNARSRDSSTDRNSLALVVAAPPPPPEQQRSSASNSKMDIQALAVSYKIKRLKQQLLVLENLAAAASSSAAADKNDTTTTTAAAAKPQYPRSYQTMVSFVSKHVKRYQSLEDKIDDLCTRMEESKRGRGRESGEGGSREQSAALARFLEETFQLQRYMVATGQKLLEMQSRIAPSLSRGNNGGEDDGVDMGRFMEVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >LPERR01G16620.1 pep chromosome:Lperr_V1.4:1:14820946:14824416:1 gene:LPERR01G16620 transcript:LPERR01G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTKNTTTASSGSGKALRLQARAWPRTSKVLGMDLDAPSPFRSSSIGVPFLWEDAPGRPKVVEPEHDVAGGEHPSAPDTTARENTPVSHGGGNGEAGAGCDGGDRGCEGAKQPLKLPPRLQVAATAEYCSLSPNTVLHGPYGGGGDKPPRPLRRSGSTASQRSKMASAGVSLWKKAAAAARGKKHGHGHDHLDAAAFSCRSPASSSSSSSCSCSSSISYFADDHRRQGDGHDDQEDMMKSTVRITRFTRNSSLPNVNTSHLWRKPPSSSKIVVDKSSFSEFRSCKNLQQFNDSMKDLNFSGKTLLYSWHWPPEKCQVQQGSRPCFERKVLVINHGEEYLCQCMF >LPERR01G16630.1 pep chromosome:Lperr_V1.4:1:14825155:14827254:-1 gene:LPERR01G16630 transcript:LPERR01G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVAATATPTPTRARASAGGVSRSEGTTIQDRGRREGSGRATAPDARQVFDELLQRGRRGGASVYGLNRALAAVARDSPAAAVACYNRMARAGADVVTPTVHTYGILIGCCCREGRLDLGFAAFGSVIKKGFRVEAIAINPLLKVLCAKKRTKDAMDIVLRRMAELTPNIFSYTILLKGLCDENRSQEALELLRMMVDDGGGCPPNVVSYSTVIDGFFKEGDLDKAYSTYREMLDQRILPNIVTYCSIIDALCKAQVMDKALEVLSMMVKNGVMPSCVTYNSLVHGYCSSGKLTEAIGLFEKMCTDGVKPNIVTYTSLMDYLCKSGRCTEARTILYSMVKRGLKPNITTYGTLLHGYATQGDLVEMHKLMDLMARNGIQPNHHVFNILICAYVKHQKADEAMHVFNKMRKQGLSPNEVTYGTVIDGLCKLGRVDDAVLNFEQMIDEGLTPDIVVYTSLIHGLCTCHKWENAEELIFEMITRGICPDTIFFSTIIDSLCKEGRIVEAEKLFDLMTCISVKPNVIIYNNLIYGYCLAGKMDEAMKLLSGMVLVGVEPDNVTYNTLMDGYCKICRMEDMLSLLRDMTGQTGAAKELYVRIIKSGLQPEISTCNIIFQGLAKNSLTDDALRMFQNLCLMDLQLETRTYNIIIGALLKDGRNDETKDLFAALLANSLAPDD >LPERR01G16640.1 pep chromosome:Lperr_V1.4:1:14828724:14830215:-1 gene:LPERR01G16640 transcript:LPERR01G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPAGFVANREEAAERVREALGGRYSFRDGGALLEEALTHSLSPRNPNHNTYQRLEFIGDSALGLAFSTLFYREYPGLGPGELTALRSANTSNEKLARSAVRHDLYPLLRRHNCEPLDHDVIDFTKSLRRNAPYSGDLVKAPKVLADIVEAIAGAVYVDSEFDLKKLQELKIANVILDGELVGIGSAKQVKAARRNAAQDALNTLMVDQKEKIVEELCSQITVEETMYKNPVSVLNEHCQKHKKHIEYKVSDGVLKVANITVDGELVGTGSAEQKKVAKRNAAQDALGTLMVGQKGQ >LPERR01G16650.1 pep chromosome:Lperr_V1.4:1:14840172:14842468:1 gene:LPERR01G16650 transcript:LPERR01G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAAASAARTALRPAPLARRVLGSPLPSPLAPSSAPARAAARILRRSAAASAGLETLMPLHSAVAGARLRSCIAADSSCWSSLSQGLKKRI >LPERR01G16660.1 pep chromosome:Lperr_V1.4:1:14844495:14846125:-1 gene:LPERR01G16660 transcript:LPERR01G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHECSYYGGIDDVDVDWFQPLASIPPLPYCSSWPPSPPFLLSEHDDILHAAGDHGAALPGIGGCSTADIVIKKEEDSPVDYQLPFPALPDADVHHHIYHPLHPDHFVPSPAAAGDGAAAAVGRHHDDDDDDLLMLPFCDIDLDAFAEDARDADVVVHDELKPSPPHHQINLDAANAQFDVDGVNQQHDAVDDDQDSLSMVVVEGYEMGALKHAAEQKPQLLPAAGTTETSTVSPLLLPPPRRVMRSRGRVGSSSAAAGGGNASTTRLDHIGFEELRRYFYMPITRAAREMNVGLTVLKKRCRELGVARWPHRKMKSLKSLILNVQEMGRKGMAAEAMRRELEGLEKCCALMEQDPAVELTERTKKLRQACFKENYKRRRAAAVDMMMLDHCFNDLATGADLDVHGYQQQLALPLPPPPPPRAPTAGNSRRIEFLGY >LPERR01G16670.1 pep chromosome:Lperr_V1.4:1:14853857:14854846:1 gene:LPERR01G16670 transcript:LPERR01G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTETGSGQSKSSTTFISAAEKKPITDGTTGLPSELLLGVNATVICATPLGWILVRESAGGSTYLLDPQSRRQDNKIQLPPLAGIEDDVLMFSNCLLTDQPNSPAGCVVLVVHPIDPVIWYHHMGTTSSEWIRHEYDIGIQGDDHETCKVLIVPIAACHGKFYFKCYFDEIVVLDFCPGPVFTSLKLDGAGLRDGGTGRIQVFLLESDGELYMVHVYRMDFSEQRWCLVDDLGDRAFFVAPWYFGASCLAGKYGIQKNCVYSVCFLSDESFTISNIGDGTSHVHSLREAETPCEAICRALWMLPTDPK >LPERR01G16680.1 pep chromosome:Lperr_V1.4:1:14859768:14863697:1 gene:LPERR01G16680 transcript:LPERR01G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFRRGGGVGIAGMSSTLEEVQMQETLIFSDNIKDLKMLKSQLYSAAEYFELAYTQEEDKQEVMSNLKEYSVKALVNTVDHLGSISFKVSSLVEQRFDEVSDTNLRVSSIQQRAQVSQACMDKEGLSQQSLVITAPKYHKRYILPAGDGSMPNAVPNFTEMRKAKSRAAQMQQVFSVAAASQAKTKEKQPSFSKLRSIARAPSQRARSSSPAQCPRSTPFSENTISTKRADKRSESPIPTMTPLTRSGSLPRKPSLLKTSSVRVQATSEHKKLASVRSQADRIDDSKEGEQTPKKGKKFLKTLLSRRKSRKEEPLPCYFDDY >LPERR01G16690.1 pep chromosome:Lperr_V1.4:1:14863109:14875317:-1 gene:LPERR01G16690 transcript:LPERR01G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYSSQTINQYGHNGSIKQVSVHPYSCETELAVSMDSSSTLHFWSLSTLSTLVSTLHAPTSPLWKLLCKFDLRDNYTDVEYSCLCWAPSVVQHNRFLVLGSEKGVDCFVVSVLKGVLSCQKMLTVPFVEGHNAEGPPDSIHTIPLASTCDGSFINNSFVVVCLWRTSFQALSWRVVLHLEKQNKHGICLCGFSGSSLPTADQGRHGTYLYGDVFSAVIYEGSSVFPTCLDGEYPTCISITPLNSTVLPLQQHGPSRTAPCYHIATGYSDGTVKLWKMSYTGNPLQTEKESHNWQLVGTFGADRGPISAISLSSCGRIATVGRNVQKNTTTIHIWKAVKLMGDGSFLLEDALMLQGPVVGLDWLSLGDGRFLLAVYLLNELRIYSQKHPSFQDVLHTVNSKEKHLWSCIVLSRSQHEIVSFLWGPKATGVLVHKNHLALFSSWLVRAVNESSTWICDCPAAEIHELPCIKHFNENVFGRFSLSENCNDTNTVEDNSMLLLDKHSSHCSNGLWNLLDIAAKMSGPLASYHPRALIQSLYSGRWKQANTVLQHLVQSMKANELSNSMWECSLCGKSCDNIPECPLSENFTDMLSNDTSARGLHWGGSQGSTAFSLLSSPNSYPQMENDLGINTTTSTSQSSDINKLLSKNVSIPAISDMERIQILAISDLLGKITDQSNASPYKSLDEAGRRFWVAVQFQHLHALRRSEGPSSAEGFHVDSASIAWALQSDCQDDLLNSVLPAEPTWSEMRNLGIGLWYTNESQLRTRMEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRNEKDKRLYEFLSRNFQEEKHKAAALKNAYVLMGRHQWELAIAFFLLGGDTSTAINVCAKNLQDEQLAIVICRLVEGSGGPLEHNLISNVLLPEAVEKGDHWLSSLLQWILGNYSHSVSELLDCHHKSLIEESSVPGDQNVFADPGVGQYCAILATKNSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLSCNSGIEGKDNTSLNSGDKKIVYGILNPFHASSNWLSASVVSDVESNLKITMASNYLSLMLRNHSLCSQCSLPLTKDKVLKEFDSNHVDALSRDVKTAIQVFDKKFSLNVADIAEKVLTFCCNDGIFFLALPDVGVDTRGLQGCASHPIDHMFMVSSKESCNFLARYVVSFCFMCSALNMDYTPCTTKEGKLLLKHDKTRTFVSDHTSAMLTVMDLLEYNIEFSFSWLCHDIKALLTTINPVISTSANRESFQVLLNQMMQAVRDKIRGVSTNTDGGTTNVLLSNIQQEKSENLTLLIDEKWHLIGISLWTRLSSFMKQFLTEFAEKERVELETSASAVEFKDLISSVAAKFVMGSLHFVSSSIVKLHASFFREKLRKNLHSSVLSWLEYKLSRSRSKNSSHDQFSSTFQLANTQSIEVVFDTLWEISGNPVDVCTTFVNEEVNCFPLNNTSLTRSWKVMTEATLVDSENVLTQRSGEENHDSVSSKNNEKIQRFIDNTSCGEGTLSPKSKGLITDFQRPRDLVRRNGELLEAICLNSINEQQGAIATNKKGLVFFNWNDKQHSTNLEEYIWAKSDWPLDGWAGCESTPTSTSVSPSVGLGRKKGSHLSSGGPTIDLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPSTLENIHSRALSRHPSLPLLLVGSSNTYVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNIHPTESSLCFNSHASDVAFVAASGSVLAAAGCSSNGANVVIWDTLVPPSTFQTSIRCHEGGVRSLSVFDSNIGCGSISQLIVTGGKNGDVSLHDLRFTSTGKTKHHRSSNEHDVKASSTSIHDTKAGTSNSGNNTGMIWHIPKAHTGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLSNGFVSCGGDGSVKLVQTRGN >LPERR01G16700.1 pep chromosome:Lperr_V1.4:1:14875400:14876775:-1 gene:LPERR01G16700 transcript:LPERR01G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDELPLALHLPHLIPPAPTDPRAVAFLPDLGGLPWVAYAAGSFLVVSHLPSPPRNDSGGSSDAGGSEEDDPFFRQVIDLRAPVSAVAWCRGRGGGELAAAAGNSVSVFQPAPSSSPGSFGWVLKWAITETFAVAAVAWTGSGDGILLVGDGVAMWARTESSLQLTWRFSPQVAQSLASATHFLQGPVATAVAAAAPYAEGSVPPVLVFMNDSKAGLEKAELAHPKPVSMIQWRPRSLFVSDQSEVRREVLMTCCLDGTLRLWSEDEVPKSKKQRALQRSFSVIAAIFWPTYNVLFASFSP >LPERR01G16710.1 pep chromosome:Lperr_V1.4:1:14881446:14886726:1 gene:LPERR01G16710 transcript:LPERR01G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVQFLRHRSAPLPPLPLFIAIRHGRFSLTSPFCRCREELYKECAAALDGGAVLSTKNLEAITDSIQRAFATVDANLSTWLEQMDKEDESGATVTAMFLRNDVLVVSHIGDSCLVISRGGRPQVVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEITFKGDLIISSPEVSLVELGPDVEFILLATDGLWDYIKSSEAVALVRDQLRQHGDVQLACEALGQTALEDKLEGSACSKAKFIFGVNSSSCNSWGRLIRDLDFIAICVTIGLVCSFKGV >LPERR01G16710.2 pep chromosome:Lperr_V1.4:1:14881446:14885622:1 gene:LPERR01G16710 transcript:LPERR01G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVQFLRHRSAPLPPLPLFIAIRHGRFSLTSPFCRCREELYKECAAALDGGAVLSTKNLEAITDSIQRAFATVDANLSTWLEQMDKEDESGATVTAMFLRNDVLVVSHIGDSCLVISRGGRPQVVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEITFKGDLIISSPEVSLVELGPDVEFILLATDGLWDYIKSSEAVALVRDQLRQHGDVQLACEALGQTALEDKLEGSACSKAKFIFGVNSSSCNSWGRLIRDLDFIAICVTIGLVCSFKGV >LPERR01G16720.1 pep chromosome:Lperr_V1.4:1:14917957:14919658:1 gene:LPERR01G16720 transcript:LPERR01G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAASVNNVRKPGDWSCRSCQYVNFCKREACQRCGEAKVGVERTDYAAMGGGWEVKPGDWCCRCCGVNNYASRCSCFKCGSAKTDSAAAVAQGWAFSVASHQGWKNGDWICPRLECNVQNYANRTECFRCNFPRYYG >LPERR01G16730.1 pep chromosome:Lperr_V1.4:1:14921464:14927124:-1 gene:LPERR01G16730 transcript:LPERR01G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAAAEARGSTSNPSPTPAPAIQVQRRAASGGRWTSRRISFYASRAFFVLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASSLTKSLALPRWNDLFDIYNLTEAKNASAVIDLQRLEILAGSYFCVAGALVGVINPGRMTLFGTLLVIWGLVKESLFGKPVNSDPTQSVHVYPTILIALVCAFMSITYNVKKTVRNSQSVSISKPMQSSAKSKLNLKLINNSGEANNVREMISQYSCFAYSERLSPNVLKTNSVIDPSEPKILDASSSASRNGQYSATNHLSGTIGVMGTSASSSLRFLEKLVSWSTRDGEEAPPFLICNDPLIKKELMSSQNSQLPSDCNTALGKLRKRRLLLEQSGVSCIVMPCNFLHAYHDEISQGCSVPFLHIGDCVVKELKLANLRPVEYGSNVSVGILSTNNTLDVKCYIEKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVKAVNTIILASDDFVGILPDDDPLLKKCIDPMDALLRETIISARTDILRP >LPERR01G16730.2 pep chromosome:Lperr_V1.4:1:14921466:14927050:-1 gene:LPERR01G16730 transcript:LPERR01G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAAAEARGSTSNPSPTPAPAIQVQRRAASGGRWTSRRISFYASRAFFVLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASSLTKSLALPRWNDLFDIYNLTEAKNASAVIDLQRLEILAGSYFCVAGALVGVINPGRMTLFGTLLVIWGLVKESLFGKPVNSDPTQSVHVYPTILIALVCAFMSITYNVKKTVRNSQSVSISKPMQSSAKSKLNLKLINNSGEANNVREMISQYSCFAYSERLSPNVLKTNSVIDPSEPKILDASSSASRNGQYSATNHLSGTIGVMGTSASSSLRFLEKLVSWSTRDGEEAPPFLICNDPLIKKELMSSQNSQLPSDCNTALGKLRKRRLLLEQSGVSCIVMPCNFLHAYHDEISQGCSVPFLHIGDCVVKELKLANLRPVEYGSNVSVGILSTNNTLDVKCYIEKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVKAVNTIILASDDFVGILPDDDPLLKKCIDPMDALLRETIISARTDILRP >LPERR01G16740.1 pep chromosome:Lperr_V1.4:1:14930043:14935216:-1 gene:LPERR01G16740 transcript:LPERR01G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRPLSTTAAAAAALLLSPAAGAPAAVAAARRVTNGGKRWSSMRANAGGGGWLSGLLGGKGGGGGGGPTAMTVTPGTVKAGDPVLHEPAREVDPAEIPSEKVQGIIDRMVGVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPTKDIEAQDRRPFDLLVIINPKLKKTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDQNGRSIKVEASGWQARILQHECDHLEGTLYVDKMVPRTFRTVDNLDLPLPVGSPPIARHGSSRKTKAEQWLFGGRWRGIVKETKHTVASEAKPPTIPTTIQKDEDICLEKSRVHLPGLGQREIIDIAPGRKSMPEMEINMKEVVSVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKVRKVLASS >LPERR01G16740.2 pep chromosome:Lperr_V1.4:1:14930043:14935216:-1 gene:LPERR01G16740 transcript:LPERR01G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRPLSTTAAAAAALLLSPAAGAPAAVAAARRVTNGGKRWSSMRANAGGGGWLSGLLGGKGGGGGGGPTAMTVTPGTVKAGDPVLHEPAREVDPAEIPSEKVQGIIDRMVGVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPTKDIEAQDRRPFDLLVIINPKLKKTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDQNGRSIKVEASGWQARILQHECDHLEVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKVRKVLASS >LPERR01G16750.1 pep chromosome:Lperr_V1.4:1:14935422:14939728:1 gene:LPERR01G16750 transcript:LPERR01G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKNALDAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNHDDPPSSSAAGASSSSPPPPRSAAAAAEEASTGSDGLRERKQKGKKKEEDESGSGGDSAGTRSYTAEQLEVVRQVKKNTRDYYQILGLEKECTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSEEQVTYNRRPASNARAYNGFYEDEFDPDEIFRNFFFGNMAPATTRQFGQFGTFHFRTGGMHHGHGGQNSGGSTARMLVQLLPVLLLLLLNFLPSSEPVYSLSRQYPYEHKFQTTRGVSYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRAEVQRRHWGLSYETPHCDMLRKFETTAQ >LPERR01G16760.1 pep chromosome:Lperr_V1.4:1:14950039:14953352:1 gene:LPERR01G16760 transcript:LPERR01G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGKRTTAAWGDGGGNAVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTSTMHLPSAAAANVVTDFMGTSFLLCLLAGFLADSFLGRYLTIAVFALVQAIGTSLLAVTTLLHQLRPAAPGEPASSLQMGVLYACLYLIALGTGGLKSSVSGFGTDQFDDRDDRERAAMGLFFNRFFFFISLGTLAAVTVLVYVQDHVGRSWAYGICAAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGVKRPVNAAALYEDRVDEHARVPHTPQFAWLDNAAVMVGEEDNEVVVASGDGRMATAPNPWRICSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRIGGVFEIPAASLTVFFVGAIMITLAVYDRVFIPLCRTLTGKQGFTNLEKIGIGLALSILGMVAAALCEKKRLAVAFAAGNTNTNGNTALPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSVVKGATTWLADTIDRSRLDYFYWLLAVLSVINLAAYLVCAMWARAKPEQQPTAVADADDKC >LPERR01G16770.1 pep chromosome:Lperr_V1.4:1:14953033:14958718:-1 gene:LPERR01G16770 transcript:LPERR01G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWEEDGDGDATASSGGGGALPYAVGDEVEVRMDDDDGFHGAFYEATVSALLPDGCYEVMYSTLVDDGDGGPLRESVAPGNLRPPPPPPPPPPPPAVTEGGHELELNVFDMVEAYHREGWWPGVVSAAWPARRGREARYAVSFPSCRDKAEFPASLVRRRRAFVRGRWMDAREVVPRLPQYDEGSNVEVILNKGKHRATWVTATVIKMVSSINYIVRLKNMEGSVHIAHCRHIRPQPIFEHELEPSAEVEVNLHVDQQLMPCSAKKNARKRIHKVSTKNSLSTVSSTSSEDSDQYSPVTNKTARINTMRKEDQHFIHSVHPRQDNGRLLLKCETDVEAPLDKQAIGLNKLENEDLKGKEFDSTSYDIIDISDDSSGSARKKRRRQNSIEEELHFRHTSYPIQESQFMSNISPPQTGDPKYETPIKVEMDMNNNAVNIFPKEQAHSYLETMNSGKSSFLHPDTTMNLCTIMTAPDLPSNFAKSLLLGLVFKKLPQVPHFGQLNGCPPEFREGMALGLMASFANIAEGIQNMRIQDKENIFQEKLRCLLELEENGFDVRVLKDRLENLLCIKNRQENLKKSKASLDQDILEKEVDNCAIEQNLKMLGVLIKELEVKLFDCQEKKELLVEQKAANCSEISKLQGDMDRVDESVLLAEHDFNTTAAAPCQHQPPAASIAANASPRRCN >LPERR01G16780.1 pep chromosome:Lperr_V1.4:1:14960325:14961604:1 gene:LPERR01G16780 transcript:LPERR01G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAMDDDDAPPSVMFGPEFMRRKLYQLSPEEDFTLARSLVRASSFYVDDMRRRPPFSEERYGAVRKGYVVCGQDEVIPEGYQRRLIAGCPVAEVREIAAADHMAMFSAPVELAGHLADVANTYA >LPERR01G16790.1 pep chromosome:Lperr_V1.4:1:14964665:14964970:-1 gene:LPERR01G16790 transcript:LPERR01G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWEEDGDGEATAGSGGGGALPYTVGDEVEVRMDDDDGFHGIFYEATVSTRRLPVGCPTRWGTRSRSAWTTTASTAPSTRPMSPRSCTPRYTCHWLCGYG >LPERR01G16800.1 pep chromosome:Lperr_V1.4:1:14982630:14984476:1 gene:LPERR01G16800 transcript:LPERR01G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDCLLSSMLVLFRQELHTTWLSVWSRRDVIVTKWEKLRVIEVLSIAFVEDPDMVFLGTNDGIFAIELISMSVKKGKTVNIRYLLKGDARQ >LPERR01G16810.1 pep chromosome:Lperr_V1.4:1:14990904:14997419:-1 gene:LPERR01G16810 transcript:LPERR01G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMEAGAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVEPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFGQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALVKGKMEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGAHQPYDRKQSDVSTGLLLLGVLCHSMPLLLRYAVAAGEHSVLPVSAGDSTLALSRACSFVMLASYVAYLFFQLKTHRQLFEPQDVVDGDGGGGDGAGEADEEPALGFASAAFWLVAMTVVISVLSEYVVGTIEPTSESWGLSVSFISVILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFIAVLVTAFTLQDGASHYLKGVLLLLCYIVIGACFFVARQPAGHANSNGSLLDVPTSSMSVQLLD >LPERR01G16810.2 pep chromosome:Lperr_V1.4:1:14993273:14997419:-1 gene:LPERR01G16810 transcript:LPERR01G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMEAGAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVEPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFGQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALVKGKMEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGAHQPYDRKQSDVSTGLLLLGVLCHSMPLLLRYAVAAGEHSVLPVSAGDSTLALSRACSFVMLASYVAYLFFQLKTHRQLFEPQDVVDGDGGGGDGAGEADEEPALGFASAAFWLVAMTVVISVLSEYVVGTIEPTSESWGLSVSFISVILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFIAVLVTAFTLQDGASHYLKGVLLLLCYIVIGACFFVARQPAGHANSNGSLLDVPTSSMSVQVA >LPERR01G16820.1 pep chromosome:Lperr_V1.4:1:15017520:15018254:-1 gene:LPERR01G16820 transcript:LPERR01G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAGAGGGGEQLTVLGAWGSPFLVRVRLALNLKRLSYDYVEVNLAEKETNPLLAAANPVHMKIPVLLHAGKPICESMLIVEYLDDAFPSSDPIILPPAAADPYARAVARFWAAFVDGELLTAWMGIYDGGRSAEERAASLARARAALDRLEGELGEGRRWFGGERVGLVDVALGGFVPAMVASEAATGVGIVDAARTPMLAAWVERFCALDEAKAAMPPIERLVEVGKKRFADLQAAAASE >LPERR01G16830.1 pep chromosome:Lperr_V1.4:1:15019479:15019781:-1 gene:LPERR01G16830 transcript:LPERR01G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGFGGIGQARTSVLHPTAPHGDRASAGYPGDLQSSKASIYANGERREEASTAAAAAGDGEGNLPRRPELEGASALPTRQKRTVRKRTRQRGAGNRSR >LPERR01G16840.1 pep chromosome:Lperr_V1.4:1:15019755:15024998:1 gene:LPERR01G16840 transcript:LPERR01G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQAQRQHQQNQHHLVVRGLGEEIDLEIGPGDDDPSFSSSAAALVGVDPAADDHKSLLITCSQPQPTADGQPQPTPPQVEEHEGLLRLPGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKEDAQLLEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLMKNSQHVQILERLLEPERCFIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFVRVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDVEGFDYIKYSVLRNIKAQQKSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCATQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATASGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGILKENPESLVHGANICAFLNIAQAMTDQGCV >LPERR01G16840.2 pep chromosome:Lperr_V1.4:1:15019755:15025035:1 gene:LPERR01G16840 transcript:LPERR01G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQAQRQHQQNQHHLVVRGLGEEIDLEIGPGDDDPSFSSSAAALVGVDPAADDHKSLLITCSQPQPTADGQPQPTPPQVEEHEGLLRLPGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKEDAQLLEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLMKNSQHVQILERLLEPERCFIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFVRVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDVEGFDYIKYSVLRNIKAQQKSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCATQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATASGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGILKENPESLVHGANICAFLNIAQAMTDQGKP >LPERR01G16840.3 pep chromosome:Lperr_V1.4:1:15019755:15025337:1 gene:LPERR01G16840 transcript:LPERR01G16840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQAQRQHQQNQHHLVVRGLGEEIDLEIGPGDDDPSFSSSAAALVGVDPAADDHKSLLITCSQPQPTADGQPQPTPPQVEEHEGLLRLPGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKEDAQLLEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLMKNSQHVQILERLLEPERCFIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFVRVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDVEGFDYIKYSVLRNIKAQQKSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCATQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATASGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGILKENPESLVHGANICAFLNIAQAMTDQGCV >LPERR01G16840.4 pep chromosome:Lperr_V1.4:1:15019755:15025337:1 gene:LPERR01G16840 transcript:LPERR01G16840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQAQRQHQQNQHHLVVRGLGEEIDLEIGPGDDDPSFSSSAAALVGVDPAADDHKSLLITCSQPQPTADGQPQPTPPQVEEHEGLLRLPGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKEDAQLLEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLMKNSQHVQILERLLEPERCFIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFVRVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDVEGFDYIKYSVLRNIKAQQKSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCATQNEIDQAEALAIINSGCRVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGILKENPESLVHGANICAFLNIAQAMTDQGCV >LPERR01G16850.1 pep chromosome:Lperr_V1.4:1:15025758:15028243:-1 gene:LPERR01G16850 transcript:LPERR01G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCEQEQEMEVEALQAILMDDITEIDPSESGLSTAARCFQIVLSPQEDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKSEDLASLKEKLDQEATENLGMAMVYTLVTSAKDWLSEKYGQNAGDDEPEETVAEEEEVIVPHGEAVTVESFVAWRDRFEAELALQRAKLMPESALSAPKEKKLSGRQYFESGRHTMKGASTTADEEEEEEEDIDFDEDFEDDEEDMLEHYLAEQSGKSSA >LPERR01G16860.1 pep chromosome:Lperr_V1.4:1:15031786:15036270:1 gene:LPERR01G16860 transcript:LPERR01G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAGADGESPGGVTGADAEVGALVWVRRRNGSWWPGRILGPGELPENCVVPPRSSGTPIKLLGRPDGNIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARHHKRVYSEGKYVRREDAIMHALEIERARFPNEDGTMDHDTSDSVYISQNSYCEKSKNINELNKRSSRTARDLYDIEEESTKDMSQALTLYKRSQNVSSSSTRYASSSKRKHKGSNDFEDDTVQGSGRMRDLREIGSKKNPKQSAYALNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSSTKRKRSNIGQAYENSRKKNRRRPLSKLCKDSAVAVPSGDSSAQYSGGKMSDVFEPTRGEFGLPLDVNNYSYSSGTSSVDTLLDASCTNHDSAVKAFPVKEAEISCIPGFLTDDCSDDDEYLDTPLVMEDDGLEEGHLHKYGSCASAKGQISKPRKQTAEYPEVGIPSPHDHRGSKKKVISSVNQRAQEIHKDKNVLAPHGRTIKRQALYSDAVEVDARVRSAFCKLPYELDNSMQLAVVPADGCAEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYGLPLQVIPPEQKPGMEPSSFHVVKPIKSERTDYKLYDVELAVQGSCKGHRVPLVSLMSKWNGKPIVGYPIPVEVLEDSSVASRGDLRQATSSLNHLLKRIEPAEPWQTRSSHASRPKPSGKKKVSEHDMGKSWRPHTKKSASSPRKMRRLSSFASSRRDSASRKAIVGKIGGSTIACIPLRLVFSRINEALSFPVRSENPT >LPERR01G16870.1 pep chromosome:Lperr_V1.4:1:15036774:15038910:-1 gene:LPERR01G16870 transcript:LPERR01G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGVRWLACGGGGGGGGAAACDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAATSANKPTTVPLVGQTVNQQSSCCS >LPERR01G16870.2 pep chromosome:Lperr_V1.4:1:15036774:15038910:-1 gene:LPERR01G16870 transcript:LPERR01G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGVRWLACGGGGGGGGAAACDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIAVHLPGQLEVLALICQLILLQWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAATSANKPTTVPLVGQTVNQQSSCCS >LPERR01G16880.1 pep chromosome:Lperr_V1.4:1:15041573:15042016:1 gene:LPERR01G16880 transcript:LPERR01G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSEAQQQQQGGGENNGGVHTQEGSKKEAATTGGGVRYARCFSGLELSVGPGSLKDVDAGRLKSQIRKWAKAVVAYARQISFVASPRAAARSSSRRRAMSTRGRDGHGDGDGEANVPTTARSATFPAKSGVAAEANKKDEIEPAT >LPERR01G16890.1 pep chromosome:Lperr_V1.4:1:15043349:15046656:-1 gene:LPERR01G16890 transcript:LPERR01G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLAGCLAAPPPPLATTSPVAHSSRLANPRLPPPRRHSGLVVRRRVGLGEAARAAATEEEEEEDEEQQQGQEGFPDWGNSNEDDYDHDPEIADIMGDYFDDPNKAQTRMEERIKKKRHKIVQAKTGSSNPMKVVFNKFDFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANNTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTVNSDHVGIKQLQFGGNEFQNWSEDLKTEEAGYSVHKI >LPERR01G16900.1 pep chromosome:Lperr_V1.4:1:15047638:15055973:1 gene:LPERR01G16900 transcript:LPERR01G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPATEPPAGGGAGGAGGGAGGRRLRRGVGFRSLKLVSVSMDEPLPAEPVGAAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVSHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVSHETVRQFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPASCPPPVIPEFPVPSHIEPRFSCFVESEAAGSAVVISCKMPADRIKTVKDYRDSLAESMFHWALTQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTSLRDEFLQHFLNEEPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKVVEPRAHASLEDLKAAVLKVNTLERDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVVVGNIDPSISVPLILQYLGGIPKARDAMQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQVGFPVVLKSTTMIYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMSSKLVDFVLEEISCLQNEGPSEEDVLAILEIEQRAHENGLQENYYWLDRILRSYQSRVYSGDVGSTFEIQDEGRLKVREALTPQAMQLALQRVLPFPCRKQFTVVILMPKSSCWNSFKTLLTWSSSGFSRDAKILAGMAGAIVVAFSLWRYSRSTLRS >LPERR01G16910.1 pep chromosome:Lperr_V1.4:1:15056639:15061556:1 gene:LPERR01G16910 transcript:LPERR01G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLFNHIDIPKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELIIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFNDVQNIQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPENFGGDTSKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >LPERR01G16910.2 pep chromosome:Lperr_V1.4:1:15056639:15061694:1 gene:LPERR01G16910 transcript:LPERR01G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLFNHIDIPKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELIIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFNDVQNIQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPENFGGDTSKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHE >LPERR01G16920.1 pep chromosome:Lperr_V1.4:1:15064070:15067144:1 gene:LPERR01G16920 transcript:LPERR01G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPSVLGAVLGEETLDFDGDDVEMADADEAMEEAERAPVAAAAPATGGGGGGGGVGEVEMRGAAGKNKKNKKKKSGRRKNKGRPEGPPKIADINRFVNDTCKRLKEKKSYLVWNAVGCLGFSAVSDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYTKGRPQTSRNEDASSQGSALIDEDIEAHGEKQLSDDPERLVDAEKSPPLPDNKAERKPLADRIRVPVAYDDLFEEGEIHEVDAQ >LPERR01G16930.1 pep chromosome:Lperr_V1.4:1:15067498:15070628:1 gene:LPERR01G16930 transcript:LPERR01G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPSLQTPEPPNRIGAGRMTTTATRRWWKRRGGDGMEGDDDDLVPMDIQEQEEMVQSLEQKQAQQSRRWRRYHAYFMEDLPSPMVIAADWIAALACLFSVKGLIHSSKKWMWYSFYVSILIALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMKDINTLRSYMYNYKAL >LPERR01G16930.2 pep chromosome:Lperr_V1.4:1:15067498:15070018:1 gene:LPERR01G16930 transcript:LPERR01G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPSLQTPEPPNRIGAGRMTTTATRRWWKRRGGDGMEGDDDDLVPMDIQEQEEMVQSLEQKQAQQSRRWRRYHAYFMEDLPSPMVIAADWIAALACLFSVKGLIHSSKKWMWYSFYVSILIALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMKDINTLRSYMYNYKAL >LPERR01G16940.1 pep chromosome:Lperr_V1.4:1:15070910:15078936:1 gene:LPERR01G16940 transcript:LPERR01G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPHPPPTPAAAAAPCCSRRNISCARAAPRAVEVSRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNVASYSSYFKYLGLSRNPARALQVYGDIQEHSERVHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGLQMDSVIYGTLLAICASHNCCEKAEEYFQKLKDEGHNPNLFHYSSMLNAYAENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKGGKIWEAMMLFNEIKDKGVKSDGYAFSIMISALHQGGYRQESKQLAKEFQAKNATYDLVMLNTSLRAYCSTNDMESVMIMLKKMDESNISPDAITFNTLIRYFCKAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYIVVKFTEKTLIEQDNAELISPRSLENFARSFMVSGNINLINDVMKALNRSGWRISQDIFGKAIQRYVQKPDKKQLLFCLLEWMTGQGYSVDSSSRNLLLRNSQLFGQKQLIAEILSKQLGASRITGQRHQNS >LPERR01G16940.2 pep chromosome:Lperr_V1.4:1:15070910:15078936:1 gene:LPERR01G16940 transcript:LPERR01G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPHPPPTPAAAAAPCCSRRNISCARAAPRAVEVSRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNVASYSSYFKYLGLSRNPARALQVYGDIQEHSERVHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGLQMDSVIYGTLLAICASHNCCEKAEEYFQKLKDEGHNPNLFHYSSMLNAYAENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKGGKIWEAMMLFNEIKDKGVKSDGYAFSIMISALHQGGYRQESKQLAKEFQAKNATYDLVMLNTSLRAYCSTNDMESVMIMLKKMDESNISPDAITFNTLIRYFCKAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYIVVKFTEKTLIEQDNAELISPRSLENFARSFMVSGNINLINDVMKALNRSGWRISQDIFGKAIQRYVQKPDKKQLLFCLLEWMTGQGYSVDSSSRNLLLRNSQLFGQKQLIAEILSKQLGASRITGQRHQNS >LPERR01G16940.3 pep chromosome:Lperr_V1.4:1:15070910:15075796:1 gene:LPERR01G16940 transcript:LPERR01G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPHPPPTPAAAAAPCCSRRNISCARAAPRAVEVSRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNVASYSSYFKYLGLSRNPARALQVYGDIQEHSERVHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGLQMDSVIYGTLLAICASHNCCEKAEEYFQKLKDEGHNPNLFHYSSMLNAYAENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKGGKIWEAMMLFNEIKDKGVKSDGYAFSIMISALHQGGYRQESKQLAKEFQAKNATYDLVMLNTSLRAYCSTNDMESVMIMLKKMDESNISPDAITFNTLIRYFCKAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYIVVKFTEKTLIEQDNAELISPRSLENFARSFMVSGNINLINDVMKALNRSGWRISQDIFGKAIQRYVQKPDKKQLLFCLLEWMTGQGYSVDSSSRNLLLRNSQLFGQKQLIAEILSKQLGASRITGQRHQNS >LPERR01G16950.1 pep chromosome:Lperr_V1.4:1:15085788:15090719:1 gene:LPERR01G16950 transcript:LPERR01G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLLLCAHLAVAVARPRMEPWSANIRLPSERAAAAAEDDAEDSVGTKWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVSGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMQYGSLELNAHHLFTYIGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAESAPEKTEARKQLLDMMAHRSHVDSSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHMRSFANICNAGISAEAMAKVAEQACTSIPSNPWSSTHRGFSA >LPERR01G16960.1 pep chromosome:Lperr_V1.4:1:15096893:15097084:1 gene:LPERR01G16960 transcript:LPERR01G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSKALAILAVLAVAAVSAVSAANEAPAPSPVAAASAAATPLAAAIVASAAAFLFSAARH >LPERR01G16970.1 pep chromosome:Lperr_V1.4:1:15105759:15110393:-1 gene:LPERR01G16970 transcript:LPERR01G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPPRLLLLFVAVAVLAACAAGAGAGAALDDPAGLLRRAKEAEFAGWMVGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHRDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGIHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLNKDRPFFPPTINNAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADVIPATYYYFVGMYNETRGPQAPHHSPYFTINEDTLPYGAALQASLAARYLIEHQSPATDKPKAHDEL >LPERR01G16980.1 pep chromosome:Lperr_V1.4:1:15115605:15118821:1 gene:LPERR01G16980 transcript:LPERR01G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVFEGYERQYCEISASLARRCTAASALQGEKLKQKALEIKSGIDGAEALIRKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGTLKRVTTGNAQQGLREELLESGMAETLGVSADQKSRLLRITETQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRQHLIHAHNTLDNVDDNIAKSRRIMGAMVRRMDKNKWIIGIIIAVLVLAILVILYFKFVH >LPERR01G16990.1 pep chromosome:Lperr_V1.4:1:15119779:15121277:-1 gene:LPERR01G16990 transcript:LPERR01G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEALVEALRGGNQWSARQPFTIFRVPAYVRESNRTAYEPRMVSIGPYYHGTAALRPMEDHKWRSLNYFVSHYAGFTASTLVAEMRDLEAQALACYSERPVGMSSDQFIQMLLLDGSFILEFFFKWHKMELDTLIGSWRHDILSDLLLIENQIPFFVLERLWNTVTCGQGGRALLLEFLFAYIGGQNNREPITLFSGDRQVHHLLQVNYECFVPTQPDPKPAIELLEAGEIEGCAFVQRSSALRKLYECCVPKRTTLPSRPTTRIPPATELFIAGVTFVRDDATENMFDRRSGVMKIPTIRINDEMRTVLVNLITFEQTQCREETGLLTSYQLLMSNLIVTARDVQLLRQCGVLQGREDNNDEAARFFSRIAEGATVDLRRQAFAVLFEHVSRYCDSRWYYVCRKALLELQPVEDHLHRGRHDHSLSYRYADLLHRSNMMCDTVVDVSLPSPNLTMDPIR >LPERR01G17000.1 pep chromosome:Lperr_V1.4:1:15123774:15123989:1 gene:LPERR01G17000 transcript:LPERR01G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSELGKPDEDLFVWRGDEDDLGRLERFGSERGRGRGSPGFAKWTVQEAAGERFNWLETDGFYCCEAQGA >LPERR01G17010.1 pep chromosome:Lperr_V1.4:1:15134676:15135624:1 gene:LPERR01G17010 transcript:LPERR01G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISYVHFMLSHLANLVASGTRSSSGFKQVHLRECARALNEKFMTDLTSDQISNHLKNGGKRFQKINELREVSGAIWDEDNFIISLADKHYNDHVQDHKGNAEFFNKPLVNYGEMLTIFGSTMATGKYARDSSSVLGTDDVATEIHDVDDGPPTMDHDERSSASKPKKAKTSGHDDEGLIGAFERVGDKLAAAIPKAGTPDNHIPVDLFQNLNSILGFVRIHISHYYAYLVANPHIARAFNDLPFENKLDLVAMYVSEKFPNSM >LPERR01G17020.1 pep chromosome:Lperr_V1.4:1:15140520:15143792:-1 gene:LPERR01G17020 transcript:LPERR01G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYGLYYWAELDNLTDLRPRGGCDDPTYPYYFKLRCGNCGEVSAKATCVSLGEVVELGRSTVNLVQKCKLCGREASIVMIPGQGTPLTIEQSQHGDRILLMVFDCRGCEPIDFAFGDGWRAESLDGTTFDIDCSEGEFADYDEKGEYPVGLGKLRPEFRVVKKQERHGKTKYV >LPERR01G17030.1 pep chromosome:Lperr_V1.4:1:15144792:15145298:-1 gene:LPERR01G17030 transcript:LPERR01G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPAAATDFPDHSSSASDSDAEPDADYRPISGAATDSDSDTDPDPDPAPHHRLGSIGNGVSELDLDSDDGGGDDDCEGAVGEEEAAAEEEEEGVRMGESAERAFSEDERRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLSSLRTGRPN >LPERR01G17040.1 pep chromosome:Lperr_V1.4:1:15146098:15147306:-1 gene:LPERR01G17040 transcript:LPERR01G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFNQKAGKEMNNFGDDMNSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFSEETKKLAVFIASACEVSYRDSSVLRFNATVTGHLEKGKLSEIEGLKTKILIWTTVTAVRTEATKVHFAAGMNKSRNRDAYEVVRDGSVIDKF >LPERR01G17050.1 pep chromosome:Lperr_V1.4:1:15149010:15149252:1 gene:LPERR01G17050 transcript:LPERR01G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGDAPVRGSAAAAASLRRRRTTSSGAGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFIAVVALLHVFGKLYRTAA >LPERR01G17060.1 pep chromosome:Lperr_V1.4:1:15150802:15151776:-1 gene:LPERR01G17060 transcript:LPERR01G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLEVTFPKTKAPVEVTFLETSWPPRREGVLCIGRGDLAIVDVTIPERDMDTKPWFRGIAPLYARHRLRAPLVVGLVALRGRAPHDRARRGHPRRHGNPIRCVVICVGDTHALVYHPDCDYHSNSKYTGGALPFPKGSKLARLRAFLANRRVTVACVGAREAAEKLAVEWELDVARPAELTDLFARAFGKDAGVKPPKEPEPESERWWPDRWWMTLSEFLMAQAKAKAAAAEAARKDYYHGYSKLGGRPVAEVVKGLSLERMARVALGPEMRLAPWPAKAADADWGSPYLEKSDVKYAVRDAFLCFEIATRCLRKLGAPVGN >LPERR01G17070.1 pep chromosome:Lperr_V1.4:1:15158428:15159341:1 gene:LPERR01G17070 transcript:LPERR01G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLKTSDADDSDDDWCHWHWCPRREAVLCIGGDLALVDVTIPGDDLDTKPWFRQIAPLYRSHRLRAPLVVGLVALRGSAPHDWNMWRRARGLPRRRGNPIRCVAICIGGTHALVYQPEFYQSKYTGGALPFWKDNKLSPLRAFLANRRVTVACVGAREAAEKLAVEWGVDVARPAELTDLFARAFGKEAGVDAAKPLEEPERDRRPYLEKSDWKYAARDAYLCFEIAARCFQKLGVPVGN >LPERR01G17080.1 pep chromosome:Lperr_V1.4:1:15160866:15165264:-1 gene:LPERR01G17080 transcript:LPERR01G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGGGRGGGGGKEGEEMGKVMGPLFPRLHVNDAVKGGGPRAPPRNKMALYEQFTVPSHRFSAPAASRGSVGGGASTVPSTSTSQVYGCDRPLFRPFNAPSNGPGHSTEKNNSDTINRKISGPRKESAMLSSQTKGMDNYASRSTAECASQQTEEKNIKSSSGKKLADDDEFMVPSVFTSRFPQYNTQEHAGVQDESTPPVAANPHKSPSTVSKASTKCYNTVSKHLDRINVSDGKARSPSKDKEIEPGHIPKNVEVEKRSSFQPSKDMFGTKHAKVYPKIDKPSIINDLDEPHVGNSRHQAAIKNGGSMKFQNSPVTGNAIFSKPSSENTDRHYNLPQVGLKEAGAKRKRLPEQHNVEKNDDMSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVQKLIAASPHVLTEGDPCLGNALLGSKNKLVEENLKAQPLLIATNDDDVQPSLQQPELSKENSEESLPSPPNDTGVGSGHRDQAATDGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSLLAPFYANCTPLSLPSTAGDFMNSAYGVPIPHQPQHMGAPGPPAMPMNYFPPFSIPMMNPAAPPSVVEQGRHPSMPQPYGNFEQHSWISGNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCGGSGPVSAFPTASAQNNQPQPSSGSRDQTNVIRVVPHNSQIASESAARIFRSIQMERQRDD >LPERR01G17080.2 pep chromosome:Lperr_V1.4:1:15160866:15165264:-1 gene:LPERR01G17080 transcript:LPERR01G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGGGRGGGGGKEGEEMGKVMGPLFPRLHVNDAVKGGGPRAPPRNKMALYEQFTVPSHRFSAPAASRGSVGGGASTVPSTSTSQVYGCDRPLFRPFNAPSNGPGHSTEKNNSDTINRKISGPRKESAMLSSQTKGMDNYASRSTAECASQQTEEKNIKSSSGKKLADDDEFMVPSVFTSRFPQYNTQEHAGVQDESTPPVAANPHKSPSTVSKASTKCYNTVSKHLDRINVSDGKARSPSKDKEIEPGHIPKNVEVEKRSSFQPSKDMFGTKHAKVYPKIDKPSIINDLDEPHVGNSRHQAAIKNGGSMKFQNSPVTGNAIFSKPSSENTDRHYNLPQVGLKEAGAKRKRLPEQHNVEKNDDMSDSSVECITGWEISPDKIVQKLIAASPHVLTEGDPCLGNALLGSKNKLVEENLKAQPLLIATNDDDVQPSLQQPELSKENSEESLPSPPNDTGVGSGHRDQAATDGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSLLAPFYANCTPLSLPSTAGDFMNSAYGVPIPHQPQHMGAPGPPAMPMNYFPPFSIPMMNPAAPPSVVEQGRHPSMPQPYGNFEQHSWISGNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCGGSGPVSAFPTASAQNNQPQPSSGSRDQTNVIRVVPHNSQIASESAARIFRSIQMERQRDD >LPERR01G17090.1 pep chromosome:Lperr_V1.4:1:15177592:15178953:-1 gene:LPERR01G17090 transcript:LPERR01G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEMILSESVWNGGGGGGGDGDCGVVALPPEVGGVNAAMVNDASGMTVLERLVLDEALAAAILELQGIQSSACGGGGAGGKVAPPPVGVNEAAVAFSAMATATPAYADVDADVLQRQQQHRHRHHGMMGIASSDYDVAPATPVTLSSVPPPPPLAFATVDGGVVNAAAVFSSGIGANDDVDAAAASATAAVPMMPTTSQCEKATARKPQRRPGRKRKAAAAASPADIASAHENPLCSLLASSNTSGDGIQIAFSTSAAAASTTKRAKPSLSSSSSSISFDGRGAHGGNGGVGGDDPLYEPDTEALAQVKEMIYRAAAMRPVTLGADTADAAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGTKMDTASMLDEAANYLRFLKSQIRELQTLDRRNFPNNAIMNTAMATASAASGNAAMAAAFNFPETLGCGGGGVEQMI >LPERR01G17100.1 pep chromosome:Lperr_V1.4:1:15188526:15194751:-1 gene:LPERR01G17100 transcript:LPERR01G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHSDPPDGGGGGGAPPELQSLPVRVITEPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESEYVTKVIHDCKRDSEIAYSLLEEQDGKKRGSDDYISFVHLLADPRYCGMPYPEKEEVRTLLRQDPNFWKNRPLSEMMIRAATDDVRFLLSIHEKMMEKLSNVSLWRLSVRSELYCRCFCINDNLYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKQSCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEY >LPERR01G17110.1 pep chromosome:Lperr_V1.4:1:15199861:15200286:-1 gene:LPERR01G17110 transcript:LPERR01G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTMAIEPYYGGGGGGGGGGGGRPADFRSYSYSGGGGGGSSYSYQYEYGGGGGKVGYWGSVAEERSTEAEVKRSKSASSKRRWLSLGDPDMERKKRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >LPERR01G17120.1 pep chromosome:Lperr_V1.4:1:15201906:15205269:1 gene:LPERR01G17120 transcript:LPERR01G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTDGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALIASLFASVITRKFGRKITMLGGGIIFLIGAILNGAATNVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAFIMAGGSLFLPDTPNSLLARGKEAEARAMLRRIRGTDDVGPEYDDLVAASKASMAIENPWSTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVISGIVNMAATFVSIVTVDRLGRRKLLLQGGVQMIIAQFLLGTLIFIKFGTAGVANISRSYAIVVVMCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLMMLCHLKFGLFYFFGACELIMTAFVLFFLPETKGIPIEEMDRIWGKHWYWKRFVDNRKVEMTSTV >LPERR01G17140.1 pep chromosome:Lperr_V1.4:1:15207263:15209372:-1 gene:LPERR01G17140 transcript:LPERR01G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGAKGGDGAPKHYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDTFLTKFFPSVYAKEKEVVETNQYCKFDSEPLTLFTSSLYLAALISSLFASVITRKCGRKMTMLGGGLIFLIGAILNGAATNVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPAKMRGMLNISFQLMITVGILFANLINYFTDKISGGWGWRVSLGLAAVPAVIMTVGSVFLPDTPNSLLARGKEEEARARLQRIRGTNDVGPEYDDLVAASEATKAIENPWKTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGATASLMSAVITGLVNMVATFVSIATVDRLGRRKLLLQGGVQMIIAQFVLGTLIAIKFGTAGVANISRSYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLVMLCHLKFGLFFFFGAMEVIMTVFVYFFLPETKGIPIEEMERIWGEHWYWSRFVGAGRNRVMQMTSTNFQVLILKMNSILSFPFEVGVWWSCKQMIIFSLFHLD >LPERR01G17150.1 pep chromosome:Lperr_V1.4:1:15211392:15211954:1 gene:LPERR01G17150 transcript:LPERR01G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVPPSVDTLHRTLQRQIRPRRTHAGVLRRRLTATHKSHCANMARKAEVRALTTAVEGTNTVALAAPAPIPPPPSPPCPPRRRIADTVVRAMRSSPPVFVLASPALPPSSPNLRPLVHVAGERFTTTAARPAVEAETTSMTAHFPKTDGV >LPERR01G17160.1 pep chromosome:Lperr_V1.4:1:15217208:15218164:1 gene:LPERR01G17160 transcript:LPERR01G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFTAVFLLPTGVHAAKRPRHRRGGGRITKKCKSSTSGNNAEIAGGDAPDLGSPAPSTSLRAFERHEFLGDRVIGYLVAHHVFDEHPTLQPLLALNVDNEKLARAAVAHGLHRFLRHDLPLPCLPAQVREFTADIASYPVHSNGLVKTPKILAGIIESIMGAVDVDSGHDQERLWKVFRRLADPLIAPRMVGKHQMCEFRSMYRAGLFPKVKITGHWKRSKTIKVVDVHGETLGSATYAKSRIVARNRAVKSALAGHAQVQESVARRRRCRRK >LPERR01G17170.1 pep chromosome:Lperr_V1.4:1:15218720:15241977:1 gene:LPERR01G17170 transcript:LPERR01G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISTNRTQAPSPSAATATSSASASASLLQKNGGNSHVVIDIDDDDGSESSSSSTASSERLCCVVCTEPMEFVAVGRCGHAVVCSGCAARIRSSNSDLHTRGPDLRCCICRRHCPLVAVTRASAADTANGVNLAAGMPPANIPHEWRALGYNWYCPAMSAYFDDKKNYKATRAIARGRVNADGGCARSLCEVLMALVLVLVVGLTILRRQQHSSTSSSERLCCVVCTEPMEYIAVGRCGHAVVCSGCAARMRSFNQSRESDLRCCICRAHCPLVAVTKAVAAVDGDKLADMPAANVPHEWRALGYNWYCPAMSAYFDDVQQYNAANAIARGRVKLGGGAVVGNGGADGRHTRNVCVDVGAGHSHVIDIPPQMDASSSRSAVEMAQLDTTGRSASSRTAAAAADEGRHVVVNIDAGDRRSTSTGSDGVTSCCVVCTEPLVWVAIGQCGHRVVCSACTARVRSGPDADHRCCICRTVCPTVLVTKAAKATPNGEVILTVCPAVLVTKTAVAAPNGEVTLSMMPAATEDGRVGDYWYCAAVSAYFDDEKQYKVTYLLLAADASLKKLQQPPVADDERPPLHGQDDDGDGVRRPKSVLCQVFMLVLIGAFIGMYFVTGFAKNWVQGIAIELASVTVTVLVLGTPTCTVQGIPSIRRTCSWGCMAQLHANNVGDAVHSHVIDMPQLDSTSSSAAAAAAAGDNSLAVDMGRPDTNGCRTSCATANDGGRCHVVINVDAGDRGSTGSDDVASCVVCMEPLEWVAVGPCGHRVVCSMCAARVRAGRNADKRCCICRTLCPTVFITKAAAAAMADGDSVPTFSNAMLTASQDGRVGDYWYCVAMSAYFDDERQYEATAKAAAAAASSKQQRPRLVAVDGAPVNQYGTAQYFIYTFYIALFGMAIGMVFAVDANGWGARVAIVLGSGALSVALGRLELPANYIHINTLLDSILLPRIKLIDALRTTCRGYSVAFAGRSHVIIGMSRLDAISRRDAHRHVVIDIPPLDTNGGHSAAKHDGHVEAIDHSDGSTGSDGAPSCVVCTEPLVWAVVGTCGHRVVCSACTARVRSGPDADHRCCICRTFCPTVLVTKAAKATPNGEVILSMMPAANEDGRVGDYWYCAAVSAYFDEEQQYRAAKAAAALGGDVDAGGPANPAALFALDIFCALIFGVLMGVSIARVATDLDFAAVVSACTMLSVAFVCAFRFKRTLS >LPERR01G17180.1 pep chromosome:Lperr_V1.4:1:15246713:15260253:-1 gene:LPERR01G17180 transcript:LPERR01G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPVPVLLILSLTWTTVLGCEDEALAVASPEVNPLYYNCSLSGGKYDPNSTYVSSLKNLADLLVAGAKASNFASNSSGNVPDHVVYGIALCRGDYSGDKCADGLRKAFDNAINGLICANFKDVTVYYDQYMLRFSGEDFRANLSNAPAWVAWNMNNATGGNADMFGDRVKELITKITDLAASNSSNSSPRSYATGEAGFWDLHDLDVGKTHVDVIYALVQCTPDINATECGCCLAGIASQMKRWFGSATGYRLGGRILGVRCNLRYEVDRFFEESPAPLSGGKIAGIVVGTVAFFIIIFSLIIFGLLKRLREVIQESERQRKLAKLETEIIEEISRSNMEMIGPLFSRYTLQQMKEATGDFSKENEIGKGGFGHVYQGKLPSGPDIAVKRLSVSSSGQGSDQFMNEIKLMATLQHRNLVRLLGFCIQNEENILVYEYMANGSLDDLLFSDSTERKSRLLNWSIRLRIIDSIAQGLLYLHKFARQNTCIVHRDIKANNILLDAAMNAKISDFGIAKIFFPNLMESAPTRGWGTFGYTAPEVFLNGTFSNKSDLYSFGVLVLEIVSGTKVNSACHQYGRSDNLLTYAWQLWNTQRCTELVDRSLISAGENVGNAMLVRYVQMALLCVQGNPEERPSIEKVIAMLSNTETPDIPKERPAYYNVQVHPRASHKNGAITSETELFYTTTASSTRFDHGPT >LPERR01G17190.1 pep chromosome:Lperr_V1.4:1:15301904:15307087:1 gene:LPERR01G17190 transcript:LPERR01G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHQKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSTEALCRGYPSEFSCYFHYCRSLRFEDLPDYHYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSIPPRAIAAGAGQSSGMSPIANNNRLSALVTTTNSGKPEFRDCRGLTSYATEEGRRSGWTDDPTRRQVPPAGINGGSLSKQKSPVRPDMSTSKDALFSGSTMLSRPSGLRRAVVSSSRELQNNEGEPSRSHTPDASPGTFQRSALPRRSSQMLDYSDPRHSSSGRQPPNKNYESTIRGIQGLNFDGNDRIHY >LPERR01G17190.2 pep chromosome:Lperr_V1.4:1:15301904:15307087:1 gene:LPERR01G17190 transcript:LPERR01G17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHQKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSTEALCRGYPSEFSCYFHYCRSLRFEDLPDYHYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSIPPRAIAAGAGQSSGMSPIANNNRLSATEEGRRSGWTDDPTRRQVPPAGINGGSLSKQKSPVRPDMSTSKDALFSGSTMLSRPSGLRRAVVSSSRELQNNEGEPSRSHTPDASPGTFQRSALPRRSSQMLDYSDPRHSSSGRQPPNKNYESTIRGIQGLNFDGNDRIHY >LPERR01G17200.1 pep chromosome:Lperr_V1.4:1:15307552:15308424:-1 gene:LPERR01G17200 transcript:LPERR01G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHPHPAAAAATALNHHRLLHSLSSSTFLASSPVILNAAWITALVAVCLALCTIHSRKPPSKTKRAHGTTPDLAASTRRRSEPGEGGGASSTPAAKVSPTPSDTAKAANGRVAHTQASASASAEERAAAVPVVTVIDVGTHGPIAPAYCLPAPDPIPPRRSLSSSVKHIRIAERLGSRIRSSRRWGWDDHDGHDNNDDDGDGETTDAEGSTLWTKTIILGDRCRVGEDDEGVARWKSYRPRQPRSVPMTRSNSFAGVATLQGGGGGGAARPAADDVPFYLGRRGSLPT >LPERR01G17210.1 pep chromosome:Lperr_V1.4:1:15310701:15316041:1 gene:LPERR01G17210 transcript:LPERR01G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSTPSQLRPSQSPSPHRLLPRSSLLPFPRRRHHPHRRSGSLSIRASSSASNDASDAVTIRRFPAAPTKGGKLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLSISEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAARLSIGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGESMALGRTFQESFQKAVRSLETGFAGWGCAPIKELEWDWEKIKYSLRVPNPDRIHAIYAAFKKGMRVQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLLSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTKEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPFSGTVFLSLNDLTKRHLAEIGRGFRELGFDIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQAMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTADASQDLQAAQTAS >LPERR01G17230.1 pep chromosome:Lperr_V1.4:1:15344886:15350536:1 gene:LPERR01G17230 transcript:LPERR01G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASAAAQVNAGALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTQGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPSNVLGNSAQQAAYSGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPDDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPHNANDALRIRGTFQGLALIIRFQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKILLRVPPRERLYQSSGNA >LPERR01G17240.1 pep chromosome:Lperr_V1.4:1:15349722:15354839:-1 gene:LPERR01G17240 transcript:LPERR01G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRRNSKKVKDPNGSSKKDKDSRGKNIFDSAKGGLGALTGTIQTAKNDAGERAGNIQEDVKSSIGTIMHKGSGVLEKAKEIGAHSEASQSKDIEQGSEEQGKKDMDAFNKVLDKVKSNPEVVEKLDKVKEDITGLAHALHLGKHDKEHESEEKAKEGETAKSADEGASASKTEDSGVVEQAVEEIQAVVTAVQQQLHTDGAATETTTEAATETSVEVEKPEETNREAEKDDPSKRLGFMGFFAMLFERFCNPGNKKKD >LPERR01G17250.1 pep chromosome:Lperr_V1.4:1:15355417:15356212:1 gene:LPERR01G17250 transcript:LPERR01G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVAKEIREERRGEQEYAEEKMLLLLRAVSRRHNLSLSTAAQTIEPVFRKIPHVLFRTDTTI >LPERR01G17260.1 pep chromosome:Lperr_V1.4:1:15357658:15360716:1 gene:LPERR01G17260 transcript:LPERR01G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTLARAGSSLLGRLLASPSPLRAGLPPPSLLSRLQPLVPPPPRPETTVEAYEAQAVARLSSLPGEISFPCGLPSLRFLIEDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >LPERR01G17270.1 pep chromosome:Lperr_V1.4:1:15362443:15365547:1 gene:LPERR01G17270 transcript:LPERR01G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSLCRLLIRRPTCYSSGARGAGPGGEGMAAAVKREVKPEAEAEVGPRWGEVVPVPRPMEGLGEAGPAPFVAKTYEMVAESATDAVVSWSWGPDGVGSSFVVWDPHALAAGVLPRFFKHANFSSFVRQLNTYGFRKVNPDRWEFANEAFLAGQKHLLKNIKRRRVSKPIMDLQLKNKTSVVVGQPEALGEVRSLKRDRAALRAEVIMLKKQYNNCKSQLIAMEEMVLNIERKQQQTVNFFARVLTNPVFVQQVLLNYVKKNGLRGTTKRQRLMENEKQHTDLPLNKGTEAASAMAANVSAWCTGCSTVGEDEATLKCNTQNIDNMCDDVWEELGALPETGMEQEDKAGIGFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >LPERR01G17280.1 pep chromosome:Lperr_V1.4:1:15365860:15373256:1 gene:LPERR01G17280 transcript:LPERR01G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQATPFYSASCSKGPITCSIEFVFVLTLQKIYSGLSQNKAVIYSGLVGSGPRTPVCRLSFPPLRWVFLFLLSSRRPVAAAADEHPRRDHAPLGTLNPNPNPDTSAPFAMEEPSLAPPRDPLAAAPSAALSRRRAHLDSASYRALSRLFSHCLHLHPPPHAARSDADGERAAAAITPPGGSGGLPSGGSPPPKDLVCDGGKDLEVEVALGNPTPHETPSASVSPDAAANPNTDPGVAPEGTPESGVAGVERVESVEEVVLAGSTSGKDGADGEELGAGSGLMGDDEALRSMQACLDGDNNELVSEIVGNDDEQLQLDAMMTNLSGRIDDASAGLMSAQSGGASGGKLQDDGRVAEEVKELGAGIGYDRSVVSSDHGSIDGCFEEGEIEGDMQNLDADDSGNSEHEGEDVAGEELEQDFVSRRKGENESCGQVIKSHSLHLIPQKGNGDFALNKQCDSNDGSQMHVARAQAVSYDEVVDWNETPLPDDKALKQGNKRKRAFTEERKAKKTKNKRIKRALQREAEGVKRLKLQPVIKPKVVQDCRFYLLGKCQQGNMCKFSHDSTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVTPTAEAPSTVDAKNSNASSVPEKTNCREQPSSQKISTVYSGEPTSAPTKHHSILKNLAGLSGNAQKIPVHVPKGIQFLPFNKASVCIPKSILHRDVVSTEKHKEPSGSQGENIANHNGLHSAPLLDEKNTSKQANLHPCSEPKKYSLPTTAVVVPSSASTQHEVSEASRILQEFLFGAGN >LPERR01G17280.2 pep chromosome:Lperr_V1.4:1:15365860:15373256:1 gene:LPERR01G17280 transcript:LPERR01G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQATPFYSASCSKGPITCSIEFVFVLTLQKIYSGLSQNKAVIYSGLVGSGPRTPVCRLSFPPLRWVFLFLLSSRRPVAAAADEHPRRDHAPLGTLNPNPNPDTSAPFAMEEPSLAPPRDPLAAAPSAALSRRRAHLDSASYRALSRLFSHCLHLHPPPHAARSDADGERAAAAITPPGGSGGLPSGGSPPPKDLVCDGGKDLEVEVALGNPTPHETPSASVSPDAAANPNTDPGVAPEGTPESGVAGVERVESVEEVVLAGSTSGKDGADGEELGAGSGLMGDDEALRSMQACLDGDNNELVSEIVGNDDEQLQLDAMMTNLSGRIDDASAGLMSAQSGGASGGKLQDDGRVAEEVKELGAGIGYDRSVVSSDHGSIDGCFEEGEIEGDMQNLDADDSGNSEHEGEDVAGEELEQDFVSRRKGENESCGQVIKSHSLHLIPQKGNGDFALNKQCDSNDGSQMHVARAQAVSYDEVVDWNETPLPDDKALKQGNKRKRAFTEERKAKKTKNKRIKRALQREAEGVKRLKLQPVIKPKVVQDCRFYLLGKCQQGNMCKFSHDSTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVTPTAEAPSTVDAKNSNASSVPEKTNCREQPSSQKISTVYSGEPTSAPTKHHSILKNLAGLSGNAQKIPVHVPKGIQFLPFNKASVCIPKSILHRDVVSTEKHKEPSGSQGENIANHNGLHSAPLLDEKNTSKQANLHPCSEPKKYSLPTTAVVVPSSASTQHEVSEASRILQEFLFGAGN >LPERR01G17280.3 pep chromosome:Lperr_V1.4:1:15365860:15370363:1 gene:LPERR01G17280 transcript:LPERR01G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQATPFYSASCSKGPITCSIEFVFVLTLQKIYSGLSQNKAVIYSGLVGSGPRTPVCRLSFPPLRWVFLFLLSSRRPVAAAADEHPRRDHAPLGTLNPNPNPDTSAPFAMEEPSLAPPRDPLAAAPSAALSRRRAHLDSASYRALSRLFSHCLHLHPPPHAARSDADGERAAAAITPPGGSGGLPSGGSPPPKDLVCDGGKDLEVEVALGNPTPHETPSASVSPDAAANPNTDPGVAPEGTPESGVAGVERVESVEEVVLAGSTSGKDGADGEELGAGSGLMGDDEALRSMQACLDGDNNELVSEIVGNDDEQLQLDAMMTNLSGRIDDASAGLMSAQSGGASGGKLQDDGRVAEEVKELGAGIGYDRSVVSSDHGSIDGCFEEGEIEGDMQNLDADDSGNSEHEGEDVAGEELEQDFVSRRKGENESCGQVIKSHSLHLIPQKGNGDFALNKQCDSNDGSQMHVARAQAVSYDEVVDWNETPLPDDKALKQGNKRKRAFTEERKAKKTKNKRIKRALQREAEGVKRLKLQPVIKPKVVQDCRFYLLGKCQQGNMCKFSHDSTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVTPTAEAPSTVDAKNSNASSVPEKTNCREQPSSQKISTVYSGEPTSAPTKHHSILKNLAGLSGNAQKIPVHVPKGIQFLPFNKASVCIPKSILHRDVVSTEKHKEPSGSQGENIANHNGLHSAPLLDEKNTSKQANLHPCSEPKKYSLPTTAVVVPSSASTQHEVSEASRILQEFLFGAGN >LPERR01G17280.4 pep chromosome:Lperr_V1.4:1:15365860:15370026:1 gene:LPERR01G17280 transcript:LPERR01G17280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQATPFYSASCSKGPITCSIEFVFVLTLQKIYSGLSQNKAVIYSGLVGSGPRTPVCRLSFPPLRWVFLFLLSSRRPVAAAADEHPRRDHAPLGTLNPNPNPDTSAPFAMEEPSLAPPRDPLAAAPSAALSRRRAHLDSASYRALSRLFSHCLHLHPPPHAARSDADGERAAAAITPPGGSGGLPSGGSPPPKDLVCDGGKDLEVEVALGNPTPHETPSASVSPDAAANPNTDPGVAPEGTPESGVAGVERVESVEEVVLAGSTSGKDGADGEELGAGSGLMGDDEALRSMQACLDGDNNELVSEIVGNDDEQLQLDAMMTNLSGRIDDASAGLMSAQSGGASGGKLQDDGRVAEEVKELGAGIGYDRSVVSSDHGSIDGCFEEGEIEGDMQNLDADDSGNSEHEGEDVAGEELEQDFVSRRKGENESCGQVIKSHSLHLIPQKGNGDFALNKQCDSNDGSQMHVARAQAVSYDEVVDWNETPLPDDKALKQGNKRKRAFTEERKAKKTKNKRIKRALQREAEGVKRLKLQPVIKPKVVQDCRFYLLGKCQQGNMCKFSHDSTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVTPTAEAPSTVDAKNSNASSVPEKTNCREQPSSQKISTVYSGEPTSAPTKHHSILKNLAGLSGNAQKIPVHVPKGIQFLPFNKASVCIPKSILHRDVVSTEKHKEPSGSQGENIANHNGLHSAPLLDEKNTSKQANLHPCSEPKKYSLPTTAVVVPSSASTQHEVSEASRILQEFLFGAGN >LPERR01G17290.1 pep chromosome:Lperr_V1.4:1:15384351:15389761:1 gene:LPERR01G17290 transcript:LPERR01G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHHLQQQQQQGLFLLEETDTDAAASADQQQESSMSNLTSSASAAAPPLSSAAAAANNNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGVGAMPAAAMAAAPGHHHHHPLLFSAPPVMAHHDLAALQDQHHHHDVMQSPHQQQQQQLQSCGYGAVKPEMAPWPAMNYDSHHPLLTQPLCNASAPQSSATSAAPPPPATAAAAAHLSAXCVQPKHPYKNPKTGAHYAHQMAGAGGGATFGLGLSCLNNNEGGGNGLAAAAGVMAQMARAASSHGRNGEDGGGGGGGTGGGGGDGMTRDFLGLRAFSHRDMLLAGFDSSYVNAATNAGAMACYEPPQHGQPQNQQQQHHGSSNEPWHGMGGHS >LPERR01G17300.1 pep chromosome:Lperr_V1.4:1:15398794:15399924:1 gene:LPERR01G17300 transcript:LPERR01G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSSSSFYSPSSLQAFLAVVFWLHAGFARHFVATAPTLQGRRRDVQWMRQCCSRCSGCCRGCSRRRRCGSGRASTTTTAPCHRHPDGARIDIDETSPWAMHRVHATPPPAPTQVRPRRCATLPAGVRYTMAARRDALPFYGRRVSASTAANRRPGLYRLATAVEMATGIVTLSAVTPTVAVVDMATTATTPLLAQPRFPLGTTVWFDIPSPKETTSPATMMAHMTSTMLETTSAVWIYISSSSEESSSLA >LPERR01G17310.1 pep chromosome:Lperr_V1.4:1:15414236:15418930:-1 gene:LPERR01G17310 transcript:LPERR01G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSPSSAGRKSPVSTLLLYVVFALLILLVLTSYSPSSHQPRGRSLHRRLKLHPRNPSPPSLSGGSDAGGDGAPHQHHAATFDPEIAELERRLEDKEWEREHYRILHGGGEAGEAEADQHMKEWEEFLREDEDFINDDDRFNLGDRIRALFPKIDVAPQDGFVSVDELTSWNLEQSRANQLHRSAREMELYDKNGDGLISYGDFRAQHDESQGEVNSLGFPWWKEEHFNASDADGDGFLNKAEFNDFQNPSDSENPKIINLLCKQEIRQSDKDGDGKLNFEEYFHGLHDHVHGYDDENADISHIGNITVAKERFSKLDKDNDGFLSEHELEPVLDKLHLSERYYARQQATHAISEGWTQDWPKAKPVHGESGLAWVYMADKDHDGRLTLEEMIDNPYAFYGSVFLSDDEDDFHDEFR >LPERR01G17320.1 pep chromosome:Lperr_V1.4:1:15424510:15425277:1 gene:LPERR01G17320 transcript:LPERR01G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGEDGASSSGHSHSSNTGTPQPQPHELQPAPPPPPPNQACAACKHQRRKCTPECELAPYFPADRPARFRNAHRLFGIKNILRIMKKANALGGQETRDDAATSVIYESDAREADPVFGAAGIARKLSLELNSLEAELAAVRSQVESFRRAAAAQQPRPPAPTAATALVVPQAGLNLNPIAQAPYFPPPMAAMMLQEQTAANQVHVAAPDDVHQPVDDYIVEPLDAVDAATITTAAERHEDDRAPLARATTEKAP >LPERR01G17330.1 pep chromosome:Lperr_V1.4:1:15430091:15430651:1 gene:LPERR01G17330 transcript:LPERR01G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTALAPPAGRPPCAARTHVDAAPRPTCASCTHLGLTCRPRCRTAPYFPADRLERFLYACRLFGLKTIVRSLRAEADGRMGECRWFWNKSNKTPSMAAIVLLSDAAVAEPERVIRKFELHRQRRLQCKANRLQKQSQQPRQQPAMLPDPYAVVAMETVYDSTSDMDADAGLATCLQMLCLSSAA >LPERR01G17340.1 pep chromosome:Lperr_V1.4:1:15442343:15442921:1 gene:LPERR01G17340 transcript:LPERR01G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPASTPITPPPPAPGRLPCSRQYVGPLLPCAACTLLGLTCTLARCPMAPYFPAERRERFRYAFHLFGIKTILRSIRAQVPGPASSSISSLWKSTFGMAAIVFTADASAADPARLSDDVRLKLSQQLDRLKAFRAILMQQKQQNKKRPQQQPPYAVETAHDSTSTVDAAAALATRMQMLRLNAAAANGELP >LPERR01G17350.1 pep chromosome:Lperr_V1.4:1:15446384:15446614:-1 gene:LPERR01G17350 transcript:LPERR01G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMLQEQTAANQDGHYANMAVAAPDDVHQPVDDYIVEPPDAVDAAKTTAAKRHEDDRAPPAASDNGEDAMKKTC >LPERR01G17360.1 pep chromosome:Lperr_V1.4:1:15446649:15447179:-1 gene:LPERR01G17360 transcript:LPERR01G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDENDDEFSSGDSKSPNTDTPQPESPPPPPPSPPTRRARRASTSSGSARRSARWRRTFRPTSRPRFQNAQRLFSIKNILRIMKKATAVGGEETRDDAVTSVIYESDAREADPVFGAAGITCKLSLELNRLAAELAAVSSQVEFNLGSSAERGGSATAAAGSRSHGPHHPPSGFEF >LPERR01G17370.1 pep chromosome:Lperr_V1.4:1:15472665:15473831:1 gene:LPERR01G17370 transcript:LPERR01G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSASGSSQYPPCAACAHLRRTCSRDCKLVPHFPADRPERFRNAFRHFRIGENRDGFDFDNYIGQMNRLSDLRLRLIHEQRRQELLRPQHQPPPPDLPRGLPLADGRAPNSSSSSSGGGPRHPQCAACAYLGWVCSPECKLEPYSEAVSLCGLSTSSSTPTASLPQCQKCGLQRRLCEMGCNLKCMDLSGVKFLLESAKAAFPADSTTTASQYPQSGSSSTTTVDHQRERHDHRRRLFRAHPLTTDFSAYALRPTPTSPATVHENAESSTAKANRSSVFGVLNDPVNENDPMAAMMESFMSAMNTTHPPPPTTTSTSDDEDIGKYLVDDAYTAEEEPAAPAEPVGIDELPRPMSPMTLANVRLAKELLEQEEQLVAPPFDERHDDD >LPERR01G17380.1 pep chromosome:Lperr_V1.4:1:15480964:15482002:-1 gene:LPERR01G17380 transcript:LPERR01G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAPLATHEGHETCAVCAHQGRTCPPDCRLAPHFPADKPKRFEYAYQIFGMRRILRALEADAAGLDAETRRREYGNIGMAGIVYTADARVEDPGLSVFLIAN >LPERR01G17390.1 pep chromosome:Lperr_V1.4:1:15487592:15488107:-1 gene:LPERR01G17390 transcript:LPERR01G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSSNTVTSIVVATPASGMVFPHNEAVASATQKPKRVKKAAKKKGPPPTTSTNNSVTSRSVTGCNDPFALQIEHPPVVHEDVASYEVSPQTVQTPRKKQAVMKKCTPRRAPVEVANPSSPASNTRSKKKLELQ >LPERR01G17400.1 pep chromosome:Lperr_V1.4:1:15489006:15489431:1 gene:LPERR01G17400 transcript:LPERR01G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILRSLEADAAGLNAETRRRNRIHSMVGIVYTADARVEDPVLGAYGRVQKLRQELARLKAEHAARQQQQETEIVVVQDKEDDERSTAMDPAEPPSGAVDVAAAAEVTSASGDHEDAAVPPADDAAPPTKRRKPNPKELD >LPERR01G17410.1 pep chromosome:Lperr_V1.4:1:15490166:15502612:1 gene:LPERR01G17410 transcript:LPERR01G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPGGGRIPAPKNPCLPLGHSSLAATHLRRRRILRLRSPSPPNLRPSRFSPTRANSPSHPLQLPGWLPRSQDLVVARGGGGGGLGLSCLSMAWRRVLTQLTRNQPVNKIYNEITSNPPWMFRGDVNGGGTLKNLRERHQSSFVGSLARRVQDLNAPSEASLLKEIYRSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGIEEESLGSVSALKGAGQATKDGILGTAKAPIHMVTSETGQFKEQLWRTFRSLALTFLIISGIGALIEDRGITKGLGLSQDVQPIMESKTKFSDVKGVDEAKAELEEIVQYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRLDRHIVVPNPDVEGRRQILEVHMSKVLKGDDVDLMTIARGTPGFSGADLANLVNVAALKAAMDGAKAVTLNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVSQLPEKDQTSVSQKQMLAKLDVCMAGRVAEELIFGDSEVTSGASSDFQQATRLARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKNLVENAYNNARSILTEHNKELHAGGGGGY >LPERR01G17410.2 pep chromosome:Lperr_V1.4:1:15490166:15502612:1 gene:LPERR01G17410 transcript:LPERR01G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPGGGRIPAPKNPCLPLGHSSLAATHLRRRRILRLRSPSPPNLRPSRFSPTRANSPSHPLQLPGWLPRSQDLVVARGGGGGGLGLSCLSMAWRRVLTQLTRNQPVNKIYNEITSNPPWMFRGGTLKNLRERHQSSFVGSLARRVQDLNAPSEASLLKEIYRSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGIEEESLGSVSALKGAGQATKDGILGTAKAPIHMVTSETGQFKEQLWRTFRSLALTFLIISGIGALIEDRGITKGLGLSQDVQPIMESKTKFSDVKGVDEAKAELEEIVQYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRLDRHIVVPNPDVEGRRQILEVHMSKVLKGDDVDLMTIARGTPGFSGADLANLVNVAALKAAMDGAKAVTLNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVSQLPEKDQTSVSQKQMLAKLDVCMAGRVAEELIFGDSEVTSGASSDFQQATRLARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKNLVENAYNNARSILTEHNKELHAGGGGGY >LPERR01G17410.3 pep chromosome:Lperr_V1.4:1:15496698:15502612:1 gene:LPERR01G17410 transcript:LPERR01G17410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQVAKNRSAYAICNELITSNPSRILRGDIGTGGALRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYKSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEESTLLKTLQRGIAASSAREEESLGSVSSAFKSAGQATKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTRFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILEVHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDGEVTSGASSDFQQATKVARAMVTKFGMSKEVGFVSYNYEDDGKSMSTETRLLIEKEVKNLVQNAYNNAKTILVKHNKELHALANALLEHETLSGAQIKNILAQVNNKQQQEHAIEAPQKTPAAPSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIGS >LPERR01G17420.1 pep chromosome:Lperr_V1.4:1:15502178:15504720:-1 gene:LPERR01G17420 transcript:LPERR01G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATTAAASLLIARAAAAAGPAMRLRGGRSRGGARLVLACSASSSSSSPPGEGGGFSGRVGFLGLGIMGAPMASNLIKAGCDVTVWNRTKSKCDPLLTLGAKYESSPADVASACDVTFAMLADPESAVEVACGANGAAQGMAPGKGYVDVSTVDAATSKLIGKSITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYNRVALLLDVMGKSRFFLGDVGNGAAMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEQNE >LPERR01G17430.1 pep chromosome:Lperr_V1.4:1:15511648:15512520:-1 gene:LPERR01G17430 transcript:LPERR01G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYAPASKPVPVAAPRAANGVANGGGVGGGGGAARPPPMVPGRVPPPPMYRPKPMQAPARRRRSRRGWCCACCLWMTLVLVGLVFLGAIAAGVFYVVYHPQLPTFAVTSLRIAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAGFAADGGADVGDGVVPGFLHPAGNTTVIKGVASVSAATVDPVVASSLRSKKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCAGFTAGLAKATPPIVVAAAPSPMRSSIKASSSSSSSSGATTTDAKCKLRVKIWIWTF >LPERR01G17440.1 pep chromosome:Lperr_V1.4:1:15525490:15533574:1 gene:LPERR01G17440 transcript:LPERR01G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFQHSLLTEMECSMSQTTKGIWMAKAHNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETLLSEFFNVEVVALSSYEEKEELFKEQVASLRERFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWRVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEDAVQNDYVPGFGKKISSLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQNLMGHLRTRTLEAFKESFDKSLEKEGFAAAARDCTKTFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRATKLSELCAKYEGQLTKALAEPVEALLDSASEETWPAIKKLLQRETKSAVSGLESAMVSFELDEATQKELLSKLECHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWEKVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAFWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPVAH >LPERR01G17450.1 pep chromosome:Lperr_V1.4:1:15540561:15545503:1 gene:LPERR01G17450 transcript:LPERR01G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWCSLDMAAADIAAGIHQPPPPDLHDPTFWPAFADCAASFIAGDGVADVGMEDMVVMDDDVSGFLAGPEAEHLMMSSSSPPSSLSSTRSLSIDSSGGSMSSFSLDAAAAMANMNIIPNYSAAPVAHGMFSTAGGSGGGSGDHDDAIMRAMMAVISSSSASPSSSGSASSPTPFSRAQQPSAMATQQQLGGHVVVKSSCLAVKPEKAGGGGGRGQEAAACGNSSQLYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAAKYLKSLETEITELEGKNGKLERHIEGGAADAAMIRARRAAHQRAKVQISKASAAAAEQQQVVNLTVMVMVECDVVELVLHILECLRWMEDISVLSVDADTYSPQVLLKAIASIKLQIKGGDWNEASFHEAMTKAANDATLSCAPLAITA >LPERR01G17460.1 pep chromosome:Lperr_V1.4:1:15547633:15548649:1 gene:LPERR01G17460 transcript:LPERR01G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRKASSQLGTPPCAARPREQSSSHVDDASPTGGVKLGTTKSYRRLRLIGEGACGAVYAARHLATGDTVAIKVAHREDHDETLLREAETLAAACASNPAVVGLREVARHPVTGRVQLVMDYVGPSLADLLTHRLDADTPPRAMTEHETRGIMRQLLTGVRRLHEDGIVHRDIKPGNVLVGAGGSVRICDLGLGKSVATRPPPHTQLVGTLWYMSPEQYLGTKDYGEGVDMWALGCVMAELLTGETLFPGDTEFHQLVLVARLLGVPDEVNGMGLGVTNPSQLREKVPEEKLSQAGFDVLDGLLQYVAGNRLTAAAALEMPWFHLKEPELNHSLVK >LPERR01G17470.1 pep chromosome:Lperr_V1.4:1:15549738:15564745:-1 gene:LPERR01G17470 transcript:LPERR01G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRIFEYFVVCGLGPEIRTLDGVKGYHGADEMYMSAFIDQLPPSSHALYPPPPPQLPICVLPAGVRIYSSGLDANDFSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSYADKCICLVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHLVSNVPLPTPGKDRVLFAIENCLLSVEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTSEEIPPIPEIEHNFLRGEILKLLQPNVMGIDYMKINLGSMGDHSLRTGTKSWSQEHDFQLRLIFLRFFALIMSGYRNFIDNASPSGFNTQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCSNAEENTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSETAEPGKRFCYKKFPANARTEEQEEKRKSILAIASGASKQVPNSPSIASNGGPKVESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRSDMPTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKGQQLPLFGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRASDVKVGATAGKTEPPAAQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKQTSKLLEELKGHDAPVSSVWMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMHLTWIGKESAVVVATDFFGFGMPPRVSKLCQNLCPCKEMAIALLCCMLCFSGPLYK >LPERR01G17480.1 pep chromosome:Lperr_V1.4:1:15567539:15570788:-1 gene:LPERR01G17480 transcript:LPERR01G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLTTAILAATFLLPLLLPNPYTARAADAGETRALLEMGAALDPTGRLLPSWAPGRDPCGSFEGVACDAQGGVANVSLQGKGLAGTLPPAVAGLRRLTGLYLHYNRLTGALPRELAGLSRLTDLYLNVNNFSGNIPPEIGSMASLQVVQLCYNQLTGGVPTQLGLLKRLNVLALQSNHLNGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLAQLPRLVALDVRNNTLTGSVPSELGKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDRPQPFSAGITPPQITPGSSDGHGRCSGAHCPPSTKALAAVVVVAVILLSVTAAGLFAFSWYRWRKQRIAGSPVVISGRCSNEVAKDSSFRKSASSTLVSLEYSNGWDPLADGKGGVGFSQEVAQSFRFNMEDVESATQYFSELNILGKNGNFAATYRGTLRDGTSVVVKRLGKTCCKQEEAEFLKGLKLLTELQHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDIDDEVALSKGRVLEWSTRISIIKGIAKGIEYLHSTRANKPPLVHQNISADKVLVDYTYRPLISGSGLHKLLVDDLVFSTLKESAAMGYLAPEYTTTGRFSEKSDVYAFGVIVFQILTGKSKIMQLPFESSIVEGLIDGNLKGCYSAVEAAKLAKIASACTSENPDHRPTMEQLLQELCTF >LPERR01G17490.1 pep chromosome:Lperr_V1.4:1:15584774:15588953:-1 gene:LPERR01G17490 transcript:LPERR01G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADLPISTSHRAKLLAAGYSSLAALSAASPTRLARDLSIEIQEAEDILKVAVGANKSKGVDGLSTSSVIKGAQNAWDMLSDEQSRKHINTGSADLNNILGGGIHCKEVTEIGGVPGIGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMAERVYQIAEGCISDILEYFPYCHDKALSGQERLKPESFLADIYYFRICSYTEQIAVINYLEKFLQEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLAKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYAYLDKSPSLPVASAPYAVTVKGVRDTVSSNNSKRVRFLGMLSGANWAPIFEH >LPERR01G17500.1 pep chromosome:Lperr_V1.4:1:15590508:15595819:-1 gene:LPERR01G17500 transcript:LPERR01G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGERRRTASREREVSRKQRPRARQCSLSMEPDTDKLESLQIESNKETGLVAVFKNDYVDDEENVYAPISWKETAYHRTFVRVFRCQLPQTNSFYPLKEPEGCIGTIGSQCAEGIQTRLCCWCGTWKSEENCSCCRKASYCSKKHQELHWHTKHKNECCQISGSHDPSAILPDAGKVSAGNIWPEYMVIDEKEKLSCFDSCENKLESLVAQAQSEEDDMTALLMDHFEADDDNKCWASLLERISREGDQVLRYCRESTTKPLWAVSSGSLTNAAMLSCIYCNGPLCYEFQIMPQLLHYFHVENEPDSLDWATIIFYTCKGSCDQNVSYMEEFVWVQLSPVATRTNQESLPLKTLGGLFPRRSEQSEMHEGL >LPERR01G17510.1 pep chromosome:Lperr_V1.4:1:15607737:15608606:1 gene:LPERR01G17510 transcript:LPERR01G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALMPVGVKSSVDASSNLNAVLRRKLRSTTACVPGGGVYVRLCSLQFVQQRSGLRLEQRLAAAMARGCDPLARQGFIDLYRQWAAMRPDRSEFHAFYLLCR >LPERR01G17520.1 pep chromosome:Lperr_V1.4:1:15611444:15614735:1 gene:LPERR01G17520 transcript:LPERR01G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFGFRLSDDDDVASASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADIFPASPAAASRRRSAASSYLAAVAKKRGFHQYQQQQRGRVAVGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFKLYDLRQTGFIEREEVMQMVIAILMESDMKLSDELLEAIIDKTFEDADADSDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >LPERR01G17530.1 pep chromosome:Lperr_V1.4:1:15620671:15623492:1 gene:LPERR01G17530 transcript:LPERR01G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIENLTSDRDERLRLEQGEEQRLAQAVASPPPSTSTFPSTPVAMTATGKPDPAAPPEAPAKRGFMRRIFPYFFFANVVFGVYVVARDYKKYQEKKNTQAAAAAAGVVAAAAFSSEATTIKAAEPTPPKRVLPPLSEDEQRQVYKWMLEEKRKIKPRNAAEKNKINEEKALLKEFIRAESLPRL >LPERR01G17540.1 pep chromosome:Lperr_V1.4:1:15629779:15630554:-1 gene:LPERR01G17540 transcript:LPERR01G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEILLRLPEERHLRRARGVCRRYNVIIRRPGFASRHRESHSPLLSGGVILHGVLRRCRRVDSTASHVSGSYAFFLPAASSSSAAFSSLDLAAILPSVVLRYAHFDAAKLEVWDTHAQAQPQWTLVHQATLDDVVRPRSVTAAFVRRHIVDKIHHDRLREHYAAAVPDVYWRSFFTLIGFDPVDDDVFFLGEASENGCVAAYSIRLGKLSFRCKIDTSTSGGGGSSLCACDMFPYARPPLHVQIHSFCNSPRS >LPERR01G17550.1 pep chromosome:Lperr_V1.4:1:15633258:15635854:1 gene:LPERR01G17550 transcript:LPERR01G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRGTREIIRKQLEVAKFKPVRMRSAATVDSADVQAAAAKLAAAVEYRFDAAKADVDRIMAGRDN >LPERR01G17560.1 pep chromosome:Lperr_V1.4:1:15637330:15641870:1 gene:LPERR01G17560 transcript:LPERR01G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRHRLGLLLTDPPTPPRDFLYIDQPPPISVRQSAIDSWRTRRVIRDQGLTVAAWGAGARCASCHTHGALRIALPLLVLCGTRCRIASMWASHDRVSLARGTEDERRHPVGVHTSIRTYHYCPIYSPNLVERTQILRCQGNIPASASSSNQGLKIPASASSDCLPQFSVHKQYEISQQAIKKEVKQIS >LPERR01G17560.2 pep chromosome:Lperr_V1.4:1:15637330:15641883:1 gene:LPERR01G17560 transcript:LPERR01G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRHRLGLLLTDPPTPPRDFLYIDQPPPISVRQSAIDSWRTRRVIRDQGLTVAAWGAGARCASCHTHGALRIALPLLVLCGTRCRIASMWASHDRVSLARGTEDERRHPVGVHTSIRTYHYCPIYSPNLVERTQILRCQGNIPASASSSNQGLKIPASASSGHDMTWSNCDCCDI >LPERR01G17570.1 pep chromosome:Lperr_V1.4:1:15645405:15647685:1 gene:LPERR01G17570 transcript:LPERR01G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTSDRDERLRLEQGEEQRLAQAVASPPPSTSTFPSTPVAMAAAVKPDPAAPPEPPYARRGVFMRRIFPFFLAVNIFVGVYVFAKTYKRDQERKNAQTAAAAAGVVAAPAFSPQATTTAKTAEPTPPKRVLPPISEDEQRQVYKWMLEEKRKIKPRNAAEKNKINEEKALLKEFIRAESLPRL >LPERR01G17580.1 pep chromosome:Lperr_V1.4:1:15656396:15658644:-1 gene:LPERR01G17580 transcript:LPERR01G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSYQDSSNPQAQEERVVMITNKHGEKLVGLLQHMGSNKIVVLCHGFTASKNDSIIVDLANALTKQGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSHLKQEKYDVKAIVGHSKVTDPKTSFYPGGDVVVLYASIYDDVSMVVNLSGRFHLERGIEERLGKEFMDIIEKEGYIDVKTKSVHGSADDIIPVEDAYEFAKHIPNHKLNVIDGADHCYTAHRKELSDAVVDFITSSEVCADANCSPIVPFRH >LPERR01G17590.1 pep chromosome:Lperr_V1.4:1:15659525:15662721:-1 gene:LPERR01G17590 transcript:LPERR01G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFEALVKRKRKTRSTSAHSLKSDEFWVWRSARSSTRSTKHYAATRQSRGHSRACTTCDCDSIPSCSRSSISTSTAANPSTPYRRNPPPSHRLRSRNPAGKTAPVPGDVQPDLRRTASGRLQTLSDLVEVKVAETLCTIYNTFSDALEQRAVVTNSHGEKLVGVLHHTGSSKVVVLCHGFIATKNDSLILDLMVALTTKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDITAIVGHSKGGDVVALYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIERINKEGYLDVKDKSENVQYTVTQESLMERLNTDMRAISISISKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHRKELADAVVGFITSN >LPERR01G17600.1 pep chromosome:Lperr_V1.4:1:15673697:15681061:1 gene:LPERR01G17600 transcript:LPERR01G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKREKQTRKPWEERERAKKKVVEECVSSCAVSEREREALLPHFLTCLAPASSGGKSTERRGLFFPPSFFPPFSPHSTLHSPIHPSMAASAAALAVAVVAVAVLATAASAAVTYDRKAVMVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYAEMQKFTTKIVDMMKSEGLYEWQGGPIILSQIENELGPLEWTQGEPTKAYGSWAANMAIELNTGVPWIMCKEEQYAHDTIIDTCNGFYCDWFTPNKPDKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVAADPIITSLGNAQKSSVFRSSTGACAAFLENKDTISYARVSFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEDINSFGEDPFTTVGLLEQINVTRDNTDYLCVDVAQDEQFLSSGKNPRLTVMSAGHALHIFINGQLTGTVYGNVDNPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESLSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKAIGNCGTCDYRGVYNYSRCQTNCGDSSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTEISMVKRSIGSVCADVSEWQPSMKNWHTKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYSEGSCHAHKSYDIFWKNCIGQERCGVSVVPEVFGGDPCPGTMKRAVVEATCG >LPERR01G17610.1 pep chromosome:Lperr_V1.4:1:15684687:15686095:1 gene:LPERR01G17610 transcript:LPERR01G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIPVIDLKGLAGDDDERSRTMAKLHEACRDWGFFWVENHGVEAALMEDVKRFVYAHYEDHLEKKFYASDLAKNLNHAIAGDVSGEADWEATYFIQHRPKNTAASFPDIPAAARHALDAYIAQTISLAELLADCISLNLGLHTAAAGAAVREAFAPPFVGTKFAMYPACPRPELVWGLRAHTDAGGIILLLQDDAVGGLEFLRVGGGGDGGEWVPVGPTKGGRMFVNIGDQVEVISGGAYRSVVHRVAAGTEGRRLSVATFYNPGPDAVISPATATVAAEYPGPYRYGDYLEYYQGTKFGDKDARFKAVKKLFG >LPERR01G17620.1 pep chromosome:Lperr_V1.4:1:15690291:15694000:-1 gene:LPERR01G17620 transcript:LPERR01G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLVPQGPWWSWGIREQGMHSTIWWLERMEEKTNNGGGDGGLRFSLQFDPGKLGLDRALLNLPISALLSHLLGRSKTTAMAEGGGAGDTAAGVTVMASAFAVYLVAMYASDDRRKKPRRPLRLRSRLDVLNSDHRPRALPTPDDGLRILSSNDESFDNVIHGASVGAGDDEPDIVARVAMNPTAAAADMAGENADETERNDRSEEEETSRLKELWLSLMEREQRLQLRQAELDELRDQSAAEARERELELERRVAAAAVDARLLELKAASLQDDNRRLAAQAAELDAVGGKLARAREKLQDLRSRVQREQEASERDAAAMRERLAEMEKGAKARERAAAVEAAAMRERVEEMERKGEERERAMAEEAEAARRRVEEMEKEVEEREKAVAAEAAALRAANAALEEENMELALRLQEAEQTASTVNLVLEEDVAKEANYLRETNERLTRQIEQLHSDHCAHVEELVYLKWVNACLRHELRVYVDGEHDAAAGGDGGRVSTARDLSKSMSFRSSEKAKELMLRYGSHALDGFDPSIFSPLHESLYGDGDGDEFERRKPNAVADEQPRSPAMSAAAMTDGAGADSPSRRAAGHGGKLKFLGNIKKLLPTSKRGHGGERRRSKKSAAAAMAMPPPRDEHLEKAMEWLSSHDSVLDDDDSYESTPMTSSCERTPLSSVTTAGSTRGGGETVAAAASRVLEAETARTRSDVGASYGREAASRYHALRPDHPAAASPERRELRRRSEELRSPAAMFARGRVHQVQSNA >LPERR01G17630.1 pep chromosome:Lperr_V1.4:1:15702909:15710225:1 gene:LPERR01G17630 transcript:LPERR01G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGTMQGGGPLSPDEHRATSPSGLHPLPSSTIVVAIDRDRNSQLAVKWVVDHLLSGASHIILLHVAVHPLAANHGFAMAEATQGALEAEMREIFVPFRGFCTRNGVHVSEVVLEEADVSKAIIEFITTNKIQSIALGASNRNAFTKKFKNADVPSSLMKGAPDYCNIYVVARGKSVNVRLAKCGVPSVDFDGGDSIRSAAGGSYTRRSSRGKLPPATPEAARRSVDGRTVPELTTRPPFRERSISASSGRPVLLSGVRTDGGDGSYRSTNRSASNDSFAGDLDFGQSTRFSSMDFCDNLDVSSLATSPRETNSPHSTPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAVAEMEKTKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRAIDAISSHDLRYRKYNIDEIELATERFSDALKIGEGGYGPVYRASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMDNGSLEDRLFRRGGTAPIPWTQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAVDAGTFAQMLDVTVKDWPVDEAIGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEQRMSGGPDGGAQAVEKVAAVSSPTAVGSSWRTAES >LPERR01G17630.2 pep chromosome:Lperr_V1.4:1:15702909:15703409:1 gene:LPERR01G17630 transcript:LPERR01G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGAGAGATAVSAPPFGCCRGAAAWGGGQRARGASATAAAEGRRRVVRGGRTGWMVRCVAATEKQNKDGGGGGGVAAGRLKVEFADEEDYVKGGGGELLYVQMQASKAMESQSKISSKL >LPERR01G17640.1 pep chromosome:Lperr_V1.4:1:15712748:15713436:-1 gene:LPERR01G17640 transcript:LPERR01G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPILQKSEGWLEPNEASLSFRRYTLGFVKKAVELQLLVCGERSWDIVNNSRHYYLSDHTDGLSMCATMGIRLFLANLRFYDGSLQYPPVTAT >LPERR01G17650.1 pep chromosome:Lperr_V1.4:1:15714111:15718267:1 gene:LPERR01G17650 transcript:LPERR01G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVPPEYIDQFEDQLVEGNVYALSNFTVEDMRESYMICSNELTMYFGRQTVVNEIEDTDLIPLHSFEFVNFKDLRSRCDDTSLLTDVLGHIVYVGELEEVWKKSRRIEICNARIRNMSGRELSITLYGGIASGFDKDMLEEGEEASVVAVFAGMRVESSHSVCSTTCSKYYLDLEIPEVEEFCANLRIQQENPVPKKTLAQKLAESWRTIEQIKSLDPEEYDEDTTFLCRVTLIDIDCSKGWCYLGCDTCQKSMFGAPRKYKCGRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIEDDDEWYTLPDEIEDLLGSTHTFKVFDKHCDGSFSVHSIMDHVSVPAHAATATQCKEEPVPEGSVNVAAAILSPVTTKCKEEPVSEGSDSTAIPTPITTQCKKEPASDDSDNTAVPTPTTAAKCKEPVSEGSGIAAFLTPTTTQCKEEPVPEGSVDTAEARSKSTRLQRPNKRLRGDDWIN >LPERR01G17660.1 pep chromosome:Lperr_V1.4:1:15718321:15720760:-1 gene:LPERR01G17660 transcript:LPERR01G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPRILRQPYSTYLFMERCMFGRHAEKDHILDFLMQPSSLSLDVLPIIGAQQIGKRTLVEHVLKEEIVQKHFSCIIRLNNDDLNNLENDSTIKRHNLISFSERCLIVVELQHDADLMAWGRFYSSFSSKINITSKVILSSCMQKVSTLGTTKPLKLKKMRSDEFWNFFRTLSFGSENPYEHQVLLSIAMEMARLAKGDFLCAHIVSRVLRTNFSAQFWSHILDLMIKGERLHFHLFGEHAYDRVRKKREMDRGVPTITVEDMLNKTAVIPSDGNFEVLRWQSPIAPYYSYMGNCVVKKTSQFAPKERCLKRKRKTGL >LPERR01G17670.1 pep chromosome:Lperr_V1.4:1:15722437:15727664:-1 gene:LPERR01G17670 transcript:LPERR01G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRIIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDQDDRPVYPQKILSVEVLWNPFDDIVPRQIKKTEPTAKAGAEGKSKKKAVKQLNVLSFGDEVEEEENEASSSVKDKIKSIHDVLDDPRFLKGEPQDEQMSKDQEDKKKETVISVREALVSKKSDFREIENNSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSNETSKKTDKPHHKDKELPAHRSDDDNDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKGVEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >LPERR01G17670.2 pep chromosome:Lperr_V1.4:1:15722437:15727519:-1 gene:LPERR01G17670 transcript:LPERR01G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRIIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDQDDRPVYPQKILSVEVLWNPFDDIVPRQIKKTEPTAKAGAEGKSKKKAVKQLNVLSFGDEVEEEENEASSSVKDKIKSIHDVLDDPRFLKGEPQDEQMSKDQEDKKKETVISVREALVSKKSDFREIENNSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSNETSKKTDKPHHKDKELPAHRSDDDNDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKGVEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >LPERR01G17670.3 pep chromosome:Lperr_V1.4:1:15722437:15727664:-1 gene:LPERR01G17670 transcript:LPERR01G17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRIIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDQDDRPVYPQKILSVEVLWNPFDDIVPRQIKKTEPTAKAGAEGKSKKKAVKQLNVLSFGDEVEEEENEASSSVKDKIKSIHDVLDDPRFLKGEPQDEQMSKDQEDKKKETVISVREALVSKKSDFREIENNSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSNETSKKTDKPHHKDKELPAHRSDDDNDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKGVEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >LPERR01G17670.4 pep chromosome:Lperr_V1.4:1:15722437:15727664:-1 gene:LPERR01G17670 transcript:LPERR01G17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRIIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDQDDRPVYPQKILSVEVLWNPFDDIVPRQIKKTEPTAKAGAEGKSKKKAVKQLNVLSFGDEVEEEENEASSSVKDKIKNKPHHKDKELPAHRSDDDNDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKGVEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >LPERR01G17670.5 pep chromosome:Lperr_V1.4:1:15722437:15727664:-1 gene:LPERR01G17670 transcript:LPERR01G17670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRIIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDQDDRPVYPQKILSVEVLWNPFDDIVPRQIKKTEPTAKAGAEGKSKKKAVKQLNVLSFGDEVEEEENEASSSVKDKIKNKPHHKDKELPAHRSDDDNDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKGVEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >LPERR01G17690.1 pep chromosome:Lperr_V1.4:1:15744899:15751088:1 gene:LPERR01G17690 transcript:LPERR01G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPVRTASSAAVEEEDDAMARGGEEGGEALAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGAVAMVFEYSAASAATVEAEARVAAGARSVFAVECVPLWGHTSICGRRPEMEDAVAAEPRFFDIPLWMLTGNSIVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHTALVEELSRIEGSVSGANLGSVEFKKNWEQAFVNCFCRVDEEVGGNASRGGDPVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMSNEEVCDVARKRILLWHKKNSMNSISAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >LPERR01G17700.1 pep chromosome:Lperr_V1.4:1:15753383:15755768:-1 gene:LPERR01G17700 transcript:LPERR01G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDDVKKPKLAGHLRCCSASKFSCIDCGVFFTQDTVQGHTQCISEAEKYGPKGQNKASNNAQGKQNKPKPNADVDINVGLSTHPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQENGSEQTPKETVGASVTESAQVNNEKSIENEKGVDKDAAKRKRANDTTSEEPDNTKRLNNLSVNNGEVIQSANGETEHKAKSKSTKDELASSANPKDSKKQKIKWKKIITKVLKTNPDGALKLKKLQKLVTKELLEFGLTEDKDHMHAMLMDKISSSSRFSVDGKHIRLVAKD >LPERR01G17710.1 pep chromosome:Lperr_V1.4:1:15755998:15760682:1 gene:LPERR01G17710 transcript:LPERR01G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDKPAAPCTAVCNTSKLYQPPADNSGVRFYVDEAHKAKIRCHFNMQIIQSYHNFMTSALPKRILLRQGGEWKDFPQQIVKLAHTDFRTKKTITEGEYQNQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQVLYRKKDFGNGNNVYIAVEPDETREMKDYVGALESYAESSNFESRFDDVSSVKRARAEKSATGRKTGGVGETIGENELHTLLPIPCRPLLQDKLGEQSRAQLAVSVVQKLLLQGLSTVLGSKDIVGIYRTPMLDNFKESRFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGILQFKKPAKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKKPQHYIVWDINLNSHVYSEFVVTIKLPSSVKDSPATQEDCHNLSDVSSLVLSSGLPDTVSQTSSTVGAAAACKSNNKHPHMHKQDMNLQTSPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVFAEKMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMQLQDKLPPMSRHETPNKWNKVMAKP >LPERR01G17710.2 pep chromosome:Lperr_V1.4:1:15755966:15760682:1 gene:LPERR01G17710 transcript:LPERR01G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDKPAAPCTAVCNTSKLYQPPADNSGVRFYVDEAHKAKIRCHFNMQIIQSYHNFMTSALPKRILLRQGGEWKDFPQQIVKLAHTDFRTKKTITEGEYQNQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQVLYRKKDFGNGNNVYIAVEPDETREMKDYVGALESYAESSNFESRFDDVSSVKRARAEKSATGRKTGGVGETIGENELHTLLPIPCRPLLQDKLGEQSRAQLAVSVVQKLLLQGLSTVLGSKDIVGIYRTPMLDNFKESRFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGILQFKKPAKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKKPQHYIVWDINLNSHVYSEFVVTIKLPSSVKDSPATQEDCHNLSDVSSLVLSSGLPDTVSQTSSTVGAAAACKSNNKHPHMHKQDMNLQTSPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVFAEKMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMQLQDKLPPMSRHETPNKWNKVMAKP >LPERR01G17710.3 pep chromosome:Lperr_V1.4:1:15755998:15760682:1 gene:LPERR01G17710 transcript:LPERR01G17710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDKPAAPCTAVCNTSKLYQPPADNSGVRFYVDEAHKAKIRCHFNMQIIQSYHNFMTSALPKRILLRQGGEWKDFPQQIVKLAHTDFRTKKTITEGEYQNQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQVLYRKKDFGNGNNVYIAVEPDETREMKDYVGALESYAESSNFESRFDDVSSVKRARAEKSATGRKTGGVGETIGENELHTLLPIPCRPLLQDKLGEQSRAQLAVSVVQKLLLQGLSTVLGSKDIVGIYRTPMLDNFKESRFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGILQFKKPAKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKKPQHYIVWDINLNSHVYSEFVVTIKLPSSVKDSPATQEDCHNLSDVSSLVLSSGLPDTVSQDMNLQTSPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVFAEKMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMQLQDKLPPMSRHETPNKWNKVMAKP >LPERR01G17710.4 pep chromosome:Lperr_V1.4:1:15755966:15760682:1 gene:LPERR01G17710 transcript:LPERR01G17710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDKPAAPCTAVCNTSKLYQPPADNSGVRFYVDEAHKAKIRCHFNMQIIQSYHNFMTSALPKRILLRQGGEWKDFPQQIVKLAHTDFRTKKTITEGEYQNQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQVLYRKKDFGNGNNVYIAVEPDETREMKDYVGALESYAESSNFESRFDDVSSVKRARAEKSATGRKTGGVGETIGENELHTLLPIPCRPLLQDKLGEQSRAQLAVSVVQKLLLQGLSTVLGSKDIVGIYRTPMLDNFKESRFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGILQFKKPAKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKKPQHYIVWDINLNSHVYSEFVVTIKLPSSVKDSPATQEDCHNLSDVSSLVLSSGLPDTVSQDMNLQTSPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVFAEKMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMQLQDKLPPMSRHETPNKWNKVMAKP >LPERR01G17710.5 pep chromosome:Lperr_V1.4:1:15757432:15760682:1 gene:LPERR01G17710 transcript:LPERR01G17710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDKPAAPCTAVCNTSKLYQPPADNSGVRFYVDEAHKAKIRCHFNMQIIQSYHNFMTSALPKRILLRQGGEWKDFPQQIVKLAHTDFRTKKTITEGEYQNQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQVLYRKKDFGNGNNVYIAVEPDETREMKDYVGALESYAESSNFESRFDDVSSVKRARAEKSATGRKTGGVGETIGENELHTLLPIPCRPLLQDKLGEQSRAQLAVSVVQKLLLQGLSTVLGSKDIVGIYRTPMLDNFKESRFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGILQFKKPAKCPDYGIGTILAPANCSNTWYGPDHNLSDGQNLFVNYSDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKKPQHYIVWDINLNSHVYSEFVVTIKLPSSVKDSPATQEDCHNLSDVSSLVLSSGLPDTVSQTSSTVGAAAACKSNNKHPHMHKQDMNLQTSPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVFAEKMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMQLQDKLPPMSRHETPNKWNKVMAKP >LPERR01G17720.1 pep chromosome:Lperr_V1.4:1:15771683:15774713:1 gene:LPERR01G17720 transcript:LPERR01G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAYGGGARARARVKVVSGAGGGGNGGAGSRSAAWNSSKEISQEITNVDRLSSLPDDVLIAILDKLEDTMDAVATTVLSRRYVQLPWQINRPHLSASSSLLKALSPMRRFMASIEAMECLTLDFFLTDEFVSAIQHLLSNAIDSGRIQALCFEIRTMLDGMEKTGEQMLEDTQRLLRFAGSSTNLFASLKKLHLKNLWLIDSDIEVLLTTCRRLEELKLYHCNSSDGAVLVIDVPPQSELKELEFRMCYYEQVELRSVPKLTRLTIKSWLYRPPLLLCLAPFLEGLSLTNSALRTSERFKLSELLAPTRNLSSISLNFENQMIWIRPEDAQPLNYVFGNLTFLSLHRIFAECNLTWMMFLLKAAPCLEKFHVAIQRHSCCHPEDVINRMKTLHPKKTRVLWNDVDFKHTNLQKLEIFGFEPDDKCVKFARLVMERSIRLEKVILCEEELCIECNFENDETFSTESWYPRSKDEKEFWEKKLIHGMSSRVKVFIL >LPERR01G17730.1 pep chromosome:Lperr_V1.4:1:15778039:15781160:-1 gene:LPERR01G17730 transcript:LPERR01G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAHTAYLQSLRNTGATLRQFAEVESALSQQPLAGIAVPPSPPPPPPPPPAPPAYSMTSSMPAYSMTSSLPPSPRLPPPLPFSPIVIRRKKRDDELNGDGSTDDDDDTDTDSCSTPLPPPPPPGCEWEYLEPFEMRPLNFPSSLADRIDKEVASQVTMDDDNWVETNTEFDGYDDESVSGNVDGIVSSVQLNQAKSRVLGDDNSSMVSWVTKDPASSATAWRSKKSLVGIAKEIDEYFLKAAASGSDVVILLDSSGGQPDPSELEANKGAGRNSKSAKVFSTLSWSWSFKSAQANRQSSMHSNDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNSSLLQRLESGDHDKLHAEKVRDNIEELQIRIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYDCHQVQNHIAQQASLLGNLPGSEPTTDSHCQATSQLEIEVSTWHSSFCNLITLQRDYVTILNQWIKLTDCLPDHDGFMKSSSGIRSLCAELQRALAGLPEKVAAEAIETFLSVIHSIVVQQAEERQLKKKSDIIEGKFHAQLEKHSNNATQNSGRPQLAKLDTFRKQVEEEKARYLNSVRTSRAMTMNNLQTSLPNVFHALMGFSGVCVQAFERISRCSEIVASHSGAVSPAISS >LPERR01G17740.1 pep chromosome:Lperr_V1.4:1:15788589:15790915:1 gene:LPERR01G17740 transcript:LPERR01G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDARVRSGDGAGPGASATPPPPPPRTSSSASASYMSFDGDENGGAKVWVLVLLFSLIVLMFLPSAVRRGGPWGGGGGGGGFHRGGGGFSLKSGWDVVNLCLVVFAILCGLLGRGGGDGESSSGAAASTAAAAEGGGKSSHQLSPSSSTAAAEAVGEEPSTEEVWASFNMSSSAATTTANYGNSHYGQTTTGIRRLKSSSSYPELRLDSNGVWGHTSPEAAWRFYDDAEISYRARRPERAERSWDVDPPHKRTPEVKTIPVDTYEVVRRKSLPKEERRRRRGSIERLPSMAEIAEEERPQPQQSTVMPAPLARSRTWNPEMLGAVLEQEATRLVETVTMPAPLARSRTWNPETLGAVLDQEDTRVEMAMPTPLARNRRWNTEMLEQEARVVETVTMSAPMPMPSPLARSRTWNPEMLDAVLEQEAREVEVETAMPRPLTRSRRWNSERLDAVLEQEIKSPLPSSSSSSPPAMFPPGTPPPPPPPPPPGSVSRSKKKRSGSVGGAKDLASAIATFYQKKRKSISIKSKKSNHHHHHHHHLSDDHLSSPSHQPRSNGGEGGATAAASSSAAAAIIHYNLFKKGGSKSRRIHSVAPPHPPPPPPPTTTRRSRKPPPPPSRPAPPLPPAEAYYPQQPQFYPRRAVVYYTYPLPPPLPPPPPPPPPMSEGEEEPPPSVTASPAPAYCASPDVNAKADKFIERFRAGLKMEKINSYREKWQRQIQDESSAVAMAEEEGEFMVIGSLFDDDDDEIISLPETPATATAVAVGF >LPERR01G17750.1 pep chromosome:Lperr_V1.4:1:15793382:15797606:-1 gene:LPERR01G17750 transcript:LPERR01G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHGKVKKRKAVQAAKGKVARKQAGSSRCQLQARRPIIDVILSSSVLSMDGDRRRSAAITRPHGGAAPWRRLIAWLQLLLKAFAHRYGELSRWRPAFLLFVAFLAERGLRRAYLSWEESRLRPRAAAAVTVQAAFRGMAARRELSLRRRTRAAKWSFSCTDSVLPYWGKKKAQWRGRIAAWEFVAARRAAVICQCAWRRCAARRQLGKLRLARAEKERAEEMCRLREMVDVLQQAVEDAEVRVIAEREAAIKAIAEAPPVIKEIIVKVEDTEKVDSLATEVGRLKDLLGAEMKATFDAKKAVAEAELRNERLARLLGVEEVKNRQLQESLKRMEMKASDLEEENRKLREAVASVPYVRLPSNVDRDDPDLQPTSENENENENENEKAVYCEVKPMIVDRGVDIHDNNSQLYGLNDPEAEKQQQELLIKCISEDLGFSNGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQRTLKVNGAAALARQRRRASPLKTPLENQAFNHPDRPVPDGRLVGGLGEICQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSSQGTDLAQQASMAHWQSIIKILTNSLNILKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCHWLTEEYAGSSWDELKHIRQAVALLILEEKHKKSLKEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPQDVVSSMRTKMVQQGSSSPSAQDDINSFLLDDDFSIPFSIDDIARLMINIDIADMDLPPLIQENKGSILNP >LPERR01G17760.1 pep chromosome:Lperr_V1.4:1:15800384:15801537:-1 gene:LPERR01G17760 transcript:LPERR01G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYYTRRRRGWRRFPTVTRSGDWGDLPDNVLLNITVHLPCHADRVRMACVNKQWRAAVRLEPRPPPPAPQLPPLPPQMPWLIFRNTTAPSFYSWIGCKSHALPLPSDIIVARFCGSADGGWFVPFGQAHYDAAFWCRGSECWFPPRGPRTRKPQDVVFYNGGFRFVTATEGVVVYWPSYGRATNNNNNQMLMRRVEYDMLARADYRRDVAVMEVQGSTTRYLVESRGELLMVARYVYVDDVETQSFRVFRSFDADRCGGVFYFLDEGFVPDTTVVDERPRYSFINMGMYNMEIMDSVDWPPVDRLPTTSDNAPPTWWLP >LPERR01G17770.1 pep chromosome:Lperr_V1.4:1:15808687:15809937:1 gene:LPERR01G17770 transcript:LPERR01G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYYTRHRRGGRRFPTVTRKGDWGDLPDNVLLNITGLLPCRADRVRMACVNKQWRAAVRPEQRPPPPAPQLPPLPPQLPWLIFPNTTTPCFYSLIGGRSHALPLPPDIIVGRFCGSADGGWFVLALNSRHRYEIYNLNSGSRVELPPGIETPLGMQFPLVAVFATLSVSRASRPNMAAAIVRVKRNLDAAFWCPGSECWFPHRGPRMRKPQDVIFYNEAFYFVTATEGVVVFWPSFGRPTNNQMLMRRVEYDMLVRADYLEDINFMRGNGVITRYLVESRGGLLMVARYIYDEGGTEAFRVFRFQIIQPNANDGGRPRATWVHVDDLDERMLFLGKGCSRTFEVARFEGFEEAFVYFLDESSFCPDKTAMVLHRPRYTFSDMGKFDLDAVTCEDWPPVDRRPLSSDNAPPTWWLP >LPERR01G17780.1 pep chromosome:Lperr_V1.4:1:15812967:15832167:1 gene:LPERR01G17780 transcript:LPERR01G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTSSSSRKRFTFKSFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALVEWRELNTADDFISFYEETIHLVQTLPQIVLHREKIFSRLVQRINMKARLSLEPILMLIAALSRDLLEDFLPFLGRYANAILALLSDEGDRDPEILEQKYLVKDVVQVLRITAPLRYFPKDYVREFMAESVSFVLRNAPNSQQIQGVRKVLLETAKNPSPAHIDGAIALLCHVMRGTYTRLHSRAAKVMEFLLSKSSLAIIQEKFPDEINICIKDGYLDHLKNLIDFLTYALQNSKQSDAADKANILKLVELLVTKYMLPGSCTGETSSSEVLNSVLDFLLCVLDIPVILGNLSIVSPFYDPVFKLTDLSMLVFVRKLLTKGPKIIQSFESQILSAMDNFIESSPEEVLFILLHFFKRSKKQINLHGIDGSYLDREKKVCKFFESKVSFWLELLDDTVKTGNQSSNQVNEKEAAILWGSLCCYPNIKDVPEASLSMLNKLISNLDRLLELEEENISGLPKSTWRSLLGAALSSYHELLLMNTSKSSETGHILSLVKRHSTSPQVLSAIVEYLDSLPGAASLGMTEEYLQNLSDLFSIFAVNLSNPNKDLRILTLMILSYFGKMDQRLGTDEERALKRQKTEDSGDHSIDMKYANVLDNLLAVETTPISVSTSRKIAIFVSRIQMSLSSKMVHEDYIPLLLHGIVGILYNRFSDLWPPALDCLAVLISKHKELVWNQFIQFITIHQLKGPAVKNQDKLEATIQPQSIYDCFSIYLATDFDCTPLETVATLLLQSLQKIPDVAESRSRHLVPLFLTFMGYDNSNITSVDSYMSSKCKGKQWKMILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKDEFLTPYSQSFKNLIDSKTLREELTTWAVSYDSLSIQKEHRSCVVPLVIRVLTPKLRKLKLLGSRKHTGVSHRKAILRFLMQFDSNELQLFFSLLLKSLIPGNLQLEIFGSQSDNLLGNNLDIVEASTGICIENLTWKKANGFLHLVEEIFGTFDVTHISSVLDVLLLIVVRLLESCMHNLRSVNEEDYLSKQSNGSDDDCSVTIEAGNSMSLKECSKDLPTADHTKESVSMKQLKDLRQEASSSEKPSSLFSCFMAMSESPKLAQLLGAHNLVPAIFSILTVKKASGSITSYALEFIENLMKLDSDLEQHGDHSLKKILVPHMDVLLRSLNDFVSYRRELHRKSGTWLGQRELRLFKLLMKYITDPSSAEHVLDLILPFFSKKDLNSDECLEALRVVEGILPNLRCGVSAKILNAMNPLLATVGLELRLCICDIYVGLSLQESSVSTLAMLMRDLNAVSTSELGEIDYDTRIKAYDMIQPESFLGMRKEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASVMNNESKPSIETENDSSGITTKGSIQQILEKTYLHNMGAAMSKDISIQKEWIILLREMVYNFNHVPSLNSFIPLCKEDLEDDFFHNITHLQAGKRSKALSLFKQRIKDTEFSEDVMMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCLRELSLKPDKQKIILRLICAVLDSFHFMKPADDAMNEDSNSSLTFSSTIVSSEKQHYLQKKVFPQVQKLLGADPEKVNVSINLVALKILKLLPSQLSSIIHRICNFLKNRLESIRDEARSALAAALKELGVGYLQFVVKILRAILKRGYELHVLGYTLHYLLSKTITSDTNGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSFETLKLISQCVTFKTHSLKLISPISTHLQKHLTPKLKTKLETMLHNIALGIECNPSTETSDLFLFVYMLIEDTTMASKPQCKENARSGQGQENIRRNNFLGLPDSALQNSYIITKFAVTLLRNRLKSIKLGKEDEKLLKMLDPFVKLLAECLSSKYESVLSISFRCLALLVKLPLPSLKDNANVIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDDQLQIIVHFPVFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVKIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIIDDQGQTFFLHLVVALANEQHQNVSSMILRAIRKLLERIGDQGKNSMFDYSLSWYTGEKQNLWSASAQVIGLLVGDRSLGIGKHLNNILAVAKQIMNCAVIASGGQEVDLADEICLPFWKESYHSIAMMERLLVRFPELYFKQNMEQATSPHQFWSSLSSSDHSAFLEGFELFGSRKAKNTFLLCSTSTDVIGSNPDGGEELTSLLVSSLLKRMGKIAMQMQDTQMKIVFNCFNMISSALGAEVTLSYATHFLAPLYKVSEGFAGKVVSDDVKQLADSVRDKLSDLIGTEKFVEVYNSVRKDLKQKRESRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >LPERR01G17790.1 pep chromosome:Lperr_V1.4:1:15834473:15836141:1 gene:LPERR01G17790 transcript:LPERR01G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSLLSLSPGEYHHQIGGGSDDMAVAAAAVSSYLSFDDMEDIVGGYYDPPKADFHSKHHEPTSLLQGADEYKNGEMREQSVAAVVMSSSGLSNHGKINKVPTPAVGHAKSKNGSKIAFKTRSEVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCRVKKRVERARDDARFVVTTYDGVHNHPAPLHNPRLQYNQHGCAGGGVGYSVIGGPAAAAGGRRLGLEEAEVIALFRGTTATSLQLP >LPERR01G17800.1 pep chromosome:Lperr_V1.4:1:15839036:15844138:1 gene:LPERR01G17800 transcript:LPERR01G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDEAAALTNGGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFVVPLAAYTLWQVMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFRMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEKENSSQHAEQSQDDLSENGQHSSEDS >LPERR01G17810.1 pep chromosome:Lperr_V1.4:1:15849677:15850338:-1 gene:LPERR01G17810 transcript:LPERR01G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAISSSCLSRVSPGGGGGGGLLPSARRRRFKVTAMAPQKKVNRYDENWSKQWFGAGIFAEGSEEVEVDVFKKLEKRKVLSTVEKAGLLSKAEELGVTLSSLEELGLLSKAEDLGLLSLVESAATVPPDALASVSLPLLVAAIAAVVVVPDDSAALVALQAVLAAVLLAGAAGLFVGSVVLAGLQESD >LPERR01G17820.1 pep chromosome:Lperr_V1.4:1:15850878:15860685:1 gene:LPERR01G17820 transcript:LPERR01G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAEMEAWEPAPGGARRSWNYVVTAHKPTVVTHSCVGNFTAPDHLNLIVSKCTRIEIYLFTRQGIQPMLDAPVYGRIATIELFRPCNESQDLLFICTERYRYCVLQWDGSKSELLTRSEGNAYDQIGRPTDKGQIGTIDPHCRLVGLHVIPFGSKGHLNEPSNIRLEELLVLDIKFLYGCDRPTIVLLFQDDYDARHVKTYEVALKDNEFVEGPWSQNNLDNGASLLIPVPAPLGVVIVIGEITIAYFSATTFRAVSIKQSMIGAVGLVDPDGSRYLFGDNTGGLHLLTVTHDQGRVTDLKICYMGETSIASTISYVDNGFVYIGSQFGDSQLIRLNIKANARGSFVEVLEQYANIGPIVDFCCVDLGKQGQGAYKDGSIRAVQNGVVITKQASVELRGIKGLWSLKSSSNDPYDTFLVVTFINETHFLSMKMENELEETVIKGFDSQTQTLCCQNAIHDQLIQVTAKSVRLVSSTSKELVAQWLAPEGFSVNVASANASQVGISSGYIDLRENLGGEIVPRSVLLCTIEEVSYLFCALGDRHFFSFLLNASTGELSDKNRVLLGTEPISLHTFAMKDRTHVFAASDRPSVIYGRDKNLLYSHVNLKEVNHVCSFNTSVFPESLAIAEECQLSIQTIDDVHKLRIRTIPLNEQARRICHQEQSRTLALCSFKSYIAAELSEAHFVHLLDHQTLGVLSTHTLDAYECGLSIISCSFSDDNSVYYCVGTAYVLPWNTEPTKLIAEKETKGAVYSLNAFNGKLLAAINQKIRLYKWLLQDNRTHELQEECTYHGNVLALYTQTRGNFIVVGDMMRSISLLVHTHEEGLIEVARDHSPTWMTAIEMLDDEVYIGADNCYNLYTVLKSNDAGITGSLLIIGQYHLGDFVNRFHHGSIVMYDPGSEIGQIPTIIFGTASGAIGVVASLPQDQYMFLEKLQSVLVAYIKSVGNFSHALWRSFYDGRSIGEAQSFVDGDLIESFLSLEPDKMKEVGLIMELPADELCKMVKALRKLHCHEHRIDGR >LPERR01G17830.1 pep chromosome:Lperr_V1.4:1:15868661:15873389:1 gene:LPERR01G17830 transcript:LPERR01G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAHIAYLQSLRNTGATLRQFAEVESALSQQPQAGIALPPSPPPPPPPAPPAYSMTSSMPAYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDNELNGDDSTDDDDDTDSCSTPLPPPPPPGIEWEYLEPFEMRPLNFPSSLADRIDKEVASQVTMDDDNWVETNTEFDGYDDESVSGNVDGIVSSVQLNQAKSRVLGDDNSSMVSWVTKDSASSATAWRSKKSLVGIAKEIDEYFLKAAASGSDVVILLDSSGGQPDPSELEANKGAGRNSKSAKVFSTLSWSWSLKSAQTNRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNSSLLQRLESGDHDKLHAEKVRDNIEELQIRIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASLLGNLPRSEPTTDSHCQATSQLEIEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDHDGFMKSSSGIRSLCAELQRALAGLPEKVAAEAIKTFLSVIHSIVVQQTEEHQLKKKSDIIEGKFHAQLEKHGNNATQNSHQPQLAKLDTFRKQVEEEKARYLNSVRTSRAMTMNNLQTSLPNVFHALMGFSGVCVQAFERISRCSEIVASHSGAVSPAISS >LPERR01G17830.2 pep chromosome:Lperr_V1.4:1:15868661:15873389:1 gene:LPERR01G17830 transcript:LPERR01G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAHIAYLQSLRNTGATLRQFAEVESALSQQPQAGIALPPSPPPPPPPAPPAYSMTSSMPAYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDNELNGDDSTDDDDDTDSCSTPLPPPPPPGIEWEYLEPFEMRPLNFPSSLADRIDKEVASQVTMDDDNWVETNTEFDGYDDESVSGNVDGIVSSVQLNQAKSRVLGDDNSSMVSWVTKDSASSATAWRSKKSLVGIAKEIDEYFLKAAASGSDVVILLDSSGGQPDPSELEANKGAGRNSKSAKVFSTLSWSWSLKSAQTNRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNSSLLQRLESGDHDKLHAEKVRDNIEELQIRIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASLLGNLPRSEPTTDSHCQATSQLEIEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDHDGFMKSSSGIRSLCAELQRALAGLPEKVAAEAIKTFLSVIHSIVVQQTEEHQLKKKSDIIEGKFHAQLEKHGNNATQNSHQPQLAKLDTFRKQVEEEKARYLNSVRTSRAMTMNNLQTSLPNVFHALMGFSGVCVQAFERISRCSEIVASHSGAVSPAISS >LPERR01G17830.3 pep chromosome:Lperr_V1.4:1:15869228:15873389:1 gene:LPERR01G17830 transcript:LPERR01G17830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAHIAYLQSLRNTGATLRQFAEVESALSQQPQAGIALPPSPPPPPPPAPPAYSMTSSMPAYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDNELNGDDSTDDDDDTDSCSTPLPPPPPPGIEWEYLEPFEMRPLNFPSSLADRIDKEVASQVTMDDDNWVETNTEFDGYDDESVSGNVDGIVSSVQLNQAKSRVLGDDNSSMVSWVTKDSASSATAWRSKKSLVGIAKEIDEYFLKAAASGSDVVILLDSSGGQPDPSELEANKGAGRNSKSAKVFSTLSWSWSLKSAQTNRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNSSLLQRLESGDHDKLHAEKVRDNIEELQIRIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASLLGNLPRSEPTTDSHCQATSQLEIEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDHDGFMKSSSGIRSLCAELQRALAGLPEKVAAEAIKTFLSVIHSIVVQQTEEHQLKKKSDIIEGKFHAQLEKHGNNATQNSHQPQLAKLDTFRKQVEEEKARYLNSVRTSRAMTMNNLQTSLPNVFHALMGFSGVCVQAFERISRCSEIVASHSGAVSPAISS >LPERR01G17840.1 pep chromosome:Lperr_V1.4:1:15876040:15884724:1 gene:LPERR01G17840 transcript:LPERR01G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLLRYVVEEVPEDVEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMELLFSFVKPDHQHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGVTSIIEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLATKICSPSFVGRLFRHALEEARPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLNLLDTSGAENVLPTTYGYLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKILAAEKLSSLSTESNGPTVPSEGRTIPKIGNIGHMTRIANKLIQLGSSNSTIQTHLQDNSEWVEWQSDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEENQGTLERDDEDVYFDDESAEVVISSLRMGDDQDGSLFTNSNWFTFDGERGITDRIAAVPSSSPNSEEVSPDTEETDDGEVIGTEDQMDSVCLGNGPVEEAEDVAEVTKHLATSTEDEQLQNAEGIERHLDVSNGDTEASTEAVSAAPESSAASSEMQTERTADKPTGSSDSGNSVSEVLPDPDDSSTDPANTAVASEQTVDNKDVELPTKEALAVDVETKIDEIKANE >LPERR01G17850.1 pep chromosome:Lperr_V1.4:1:15884220:15885774:-1 gene:LPERR01G17850 transcript:LPERR01G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNSLASTSCGDDKKRRVCYYYDAGIASADYGEHHVMVPKRVTMAHNLVSAYGLLGHMRRLRTAPATESDLAAFHDAGYLALLRSGAGDADRGVDAAGERGGGGFDDNPAFDGLWDYCLRYAGGSLAAARALAGDSSDIAINWSGGMHHACAGKASGFCYVNDIVLAIRALLGRFRRVLYVDVDVHHGDGVEAAFAGSSRVMTVSFHQHGDNFFPESGELKIVGKGQDERCAVVNVPLKKGFGDEEYHQLFEPIMGRVMEVFDPEAVVMQCGADSLDGDRLGSLKLTLNGHAQCVSFLRSFNRPLLLLGGGGYTINHVASCWCNETAVAIGKDIPNDIPKHGFDQFYQNHGYRLHYTLSQGDKNKKNAKYVDVHKPSIDKLKQQVLENLSKLKLEPVASVQFEERGRSIDVDALYESREDEESPSERLYRKCYLENKCRKQRRLR >LPERR01G17860.1 pep chromosome:Lperr_V1.4:1:15888049:15890743:-1 gene:LPERR01G17860 transcript:LPERR01G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDDENVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSILDAVRILSEHNIRAAPVLNPESGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATVAGVGMGAVGAVGAAAFGATGPAAVAGLTAAAVGAAIAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFRSTTVRSIVESYRWSPFVPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCRGRDWFDYISALPLSDLGLPFMSFDEVITVNGSDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTLGSTLPDSGNNGLVKPPLTCRPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEDRGADSVDKS >LPERR01G17870.1 pep chromosome:Lperr_V1.4:1:15891891:15893433:-1 gene:LPERR01G17870 transcript:LPERR01G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFAFFRDEEQKDAEKVYRHHTIGDEPGFLSLGLSLRSSPDAAGYPYASKKDESVLNGGDVGYLALALRCHTDAEPTTTTHAKRQKTTTSNTRGEYGNAAAASSSTLTTTPANRPGRVVLRTRCGEPTVKDGCHWRKYGQKTAKGNPNCPRAYYRCTGAPGCPVKKQVQRCAHDASVLVTTYDGVHNHPVTPYAAALPPPSAAATTSPADAVAKAVSDPRVRAAVAAAVASYVRGQSAAGGLFNFHNLAPRC >LPERR01G17880.1 pep chromosome:Lperr_V1.4:1:15895436:15899316:-1 gene:LPERR01G17880 transcript:LPERR01G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMICQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAICEDVDQVRGVMEKYPPYQSIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >LPERR01G17890.1 pep chromosome:Lperr_V1.4:1:15903908:15907099:-1 gene:LPERR01G17890 transcript:LPERR01G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLAVEVVDARDLVPKDGLGTSSAYAVVDFEGQRKRTRTVPRDLNPQWHERLEFAVPDPAAMHTEALDVSLYHDRRFNPSSSGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPAVPPPPEDRPPEGGGDNAPPPEVPPEAPRELPPDIPAPTEAAVEVQQPPVHPPVIIIEEAPPPPMHPMMMPPPMMHGPHGPMMMAHGPHGPMAPPPHQHPQPQPEPEPEAEGDGGGDAPYPPEVRKTRMATERVRVARHMSGIPPPEYYATSPRVIPGRFASTGDGVEPVQASTYDLVEPMRYLFVRVVRVRGIRACEGPYVKIQAGPHTLRSRPGRDVSGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPVEAFLGGVCFDLSDVPVRDSPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADETFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDVRVPAPPPGLPFDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLVVLVEDRSMIKEPALLGHTTIPVSSVEQRLHERQLVSSRWFNLEGGTSDIGIGPGGPGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGAGEGERQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRAAAVRVVAAWLERSEPPLGREVVRHMLDVDAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVRRWRSPSTTVLVHVLYLVLVWYPELVVPTASLYVFLIGIWYYRFRPRGPAGMDARLSQADTVEPDDLEEEFDAVPPPEVLRARYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPAAVNFFRRLPSLSDRML >LPERR01G17900.1 pep chromosome:Lperr_V1.4:1:15928643:15930236:1 gene:LPERR01G17900 transcript:LPERR01G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGGPRPWSCSSFLLLQALKISCLLLLLQQGVAPSSSAATATVAVGQPLSGGQRLVSKGGRFAVGLFQPEQ >LPERR01G17910.1 pep chromosome:Lperr_V1.4:1:15935634:15937229:1 gene:LPERR01G17910 transcript:LPERR01G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWYNEIPEHTVVWVANRQVPLSDPESSQLSISSDGNMVLLDRAKSSPTSWSTNTTGKAANSTTVAIILDTGNLVLANASNTSVILWQSFDHFGDTWLPVGKLGRNKLTGEATCLVTRKGYNDPSPGMFSLELDPGGSSQYLLSWNGSRRYWSSGNWTAGGGMFSDVPEMMASKQDPLLLYSFRYVDGENESYFTYDVKHDNAVLTRFVVNVTGQVKSLPENGILPLLGFCADRSTRRLLVYEHMPNGSLDRHLFGSSSAALSWETRYKIALGVARGLHYLHEKCRDCIIHCDIKPENILLDGTFAAKVADFGLAKLMGRDFSRVLTTTRGTVGYLAPEWIAGTAVTTKADVYSYGMTLFEIVIVSGRRNVEQQR >LPERR01G17920.1 pep chromosome:Lperr_V1.4:1:15937347:15937541:1 gene:LPERR01G17920 transcript:LPERR01G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVARVCKVACWCVQDAESARPSMGTVVQALEGHVDVNVPPMSRLFKVGLSTISSQFFARRNG >LPERR01G17930.1 pep chromosome:Lperr_V1.4:1:15937701:15938195:-1 gene:LPERR01G17930 transcript:LPERR01G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVPKGSRPAKAADVVEPRDVDLKPEVTWVDGAAGYVVRVDLAGFKKEEFRVQVDGAGRVTVRGQRPAGHVRVHGDFQLPPTADVDRITARFDGTTLCLTVPKRPVGAAEVVLARMEEAKAVADLEMGERARWDRGNMIAAAVAAFALGVVVTHRILSTRNG >LPERR01G17940.1 pep chromosome:Lperr_V1.4:1:15940687:15941570:-1 gene:LPERR01G17940 transcript:LPERR01G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQGSSSAKDVAAEQQQQPQRDGDYEPVYEWLDAGANYLLRLNVPEFKKEDFQVHVDAAGRLTVRGQRDSLRLHKVFQLPPTSNLDGITGRLEGTVLVLTVPKLPAKPKAEEKEKDANKKVDEDVAAVKPPTDHKAPEPMVAAPATPPPPRRETTARERHDEEEKARAAEHKARIAREADRRIEAARARLAAQAPKPHPAPEPEKKAACWKERAAEGGMKLAEAIGKNKEVVATAVAAFTLGFFISSKLFSRNNN >LPERR01G17950.1 pep chromosome:Lperr_V1.4:1:15943847:15946352:1 gene:LPERR01G17950 transcript:LPERR01G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVEVAESTVAMRETFGKFDGMLEPGCHFVPWFLGQQARGPLSLRLRQLEIRCQTKTMDNVYVTIVTCVQYRALVEKASHAFYTVTNTRAQIQAHVFDVLRASVPKLTLEEVFEKKKEVAEALEEEVAEAMAPYGYEVMRALVVDGHPCA >LPERR01G17960.1 pep chromosome:Lperr_V1.4:1:15946622:15948767:-1 gene:LPERR01G17960 transcript:LPERR01G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYKDYGAHHKFTLTTCTNEGVTITAAGTRKNESVFGELQTQIKNKNLTVDVKANSESDLLTTVTVDQFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKDLSVGVDVAFDTATSNFTKYNAALSLTNSELIAALHLNNHGDTLTASYYHLVKDHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >LPERR01G17970.1 pep chromosome:Lperr_V1.4:1:15949829:15954029:-1 gene:LPERR01G17970 transcript:LPERR01G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTSSSYSAEATQALCCACVGQSTVAVGETCGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALADKAYDAFYRLTNARAQIQSYVFDVIRASVPNMNLDEVFGQKKEVAMAVEEELSKAMTMYGYEIVQTLIVDIVPDEVVKRAMNDINAAARLRVAAAERAEAEKITQVKRAEGEAEAKHLAGVGVARQRQAIVEGLKRFVPSEKDVMDMVLITQYFDTIRDIGATSRSSTVFIPHGPGAVRDMAAQVRDGLLQAAAGGGHAHGAAALKAL >LPERR01G17980.1 pep chromosome:Lperr_V1.4:1:15951177:15953138:1 gene:LPERR01G17980 transcript:LPERR01G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGVDSDGFLCLNIKAVGVGPTKEECKPSDLTGSTDGITSTGRAWTTCSEIARSPRPVSPSSNFRVTGAAKYYADEWSDACCWQYGELR >LPERR01G17990.1 pep chromosome:Lperr_V1.4:1:15956332:15961134:-1 gene:LPERR01G17990 transcript:LPERR01G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARARAGGGGGGVMEKEGKRRAEEGKRRGPRETETEEDAARAMGCWIRLPRLGGGCMSSRSKVDPSTSEIKTMNYVCRDRSVPAVGSGSTTPSNTGSISPSSIVGEELKLAFQLRRFSFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRLLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGALKTAQLAHACLSRDPKARPLMSQVVEVLNPLPNLKDMACSSNFFQSMQQERAASLGNPTGSQSMKAHSTFARNGQQPTRSLSYGPHASPYRQSPRPNGKRQ >LPERR01G18000.1 pep chromosome:Lperr_V1.4:1:15968916:15969242:-1 gene:LPERR01G18000 transcript:LPERR01G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLPTRRRQRAALSNQPVNAGELLLVPSTLLAFPGGGRLSPANTFVDGPDLGARDVRLHQRSASVAVTSTATTPSARPDLAVWVGGGGIRVLSDGDRLARRRPMWR >LPERR01G18010.1 pep chromosome:Lperr_V1.4:1:15977485:15981945:1 gene:LPERR01G18010 transcript:LPERR01G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYLPDFDPSKIPRRRQPKNQQIKVRMMLPVSIRCGTCGIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADKEKRKRDEEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEELIKSITFRMNGSSVSVVNPTDVLTKTSGSENDNKEGNKSWPSKMPKFIVKPKSTTTDPNKKQKTETTAVPDNGKAPVAEEKGEPAQNNVLQSLCQNYDSDESE >LPERR01G18020.1 pep chromosome:Lperr_V1.4:1:15986614:15988214:-1 gene:LPERR01G18020 transcript:LPERR01G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQKGEYHGDKRPPIRPLSIPDANTEAFSVSSGGQLVRVSLFLRAPPMSSRVCFDFFPHTNSGNDRAYLSVLAAHADSLLLEFFVSSNPRGSIDHFVYNAGDAAADPPRPPSLLLLPTYRTQSEEDEIKIMLHNSSTTGLLLRHGEDDGGENDLVVANLAVVEGPRLKDAKLVILHSGEWSITRAPVMHFDVAFLSLPLEALTGEYDDYDYPNNKRNFTVTDRSVCVTNGGATLKLIHTMPRRCCGRAGMTFCGHSRGAFVIKTWALTMEDGDMTWTMDAMIDSTELWSQHTYAGFPHSSPAYPIIMSVEDPNVICFMVVEKYPVKKYCHIQNRWKILFNTRSKTLLSMCSPDGHDYLVSKISSYFTSQGKCSSGAEEASVIVDKAATNDTVIGGSVQLLSYESFGVKRFSESDVASCKEIFAALEEIPELYPHDLLKAYSMLCHDNGRRFKSLLGLPMSLRKTWLLMEIQTCEACVVCSSLTTDLQNP >LPERR01G18030.1 pep chromosome:Lperr_V1.4:1:15993535:15999355:1 gene:LPERR01G18030 transcript:LPERR01G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSVFSELLKSCKLDGSNFTVWKRKIMFLLSAENIDYIIDESEPEEPGKDATIEEKEEYEENYATWTKNNKRARIFILGSMTDSLAAEYEGEQSARKIMRTLEKDFGEVSLVKVLSLVNRFLSTKMNHGDSVNQHLNKLCVLAEELKIAGYPFSEEVQVMVVLNSLPSTWENFKTNFCHFDRVLNMRNLRNHLLMEEDIKLSEGKGKNSNHTELHLGEEKKNYNKRSWQKRKPNDDLRETLNRKRGRDDRGNNSAQRDDWYKKFPCHNCGELGHFRADCKKKRKYSDQKKQNNKSDEHKGKSPPNGSPQDLWPHAVGQIVAQNNLV >LPERR01G18040.1 pep chromosome:Lperr_V1.4:1:16002307:16004340:1 gene:LPERR01G18040 transcript:LPERR01G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSTAKRRLHLHGLLIAALLLLVLPAGSAAQPPPPKAKPAGTGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAASNPSRTIYDAKRLIGRNFADAEVQRDMRLLPFAVVDKAGKPHVKVEVKDGDVRLFSPEEVSAMVLSRMKETAEAYLGETVTRAVVTVPAYFNDAQRQATKDAATIAGLSVDRVFNEPTAAALAYGIGGGGAEKNVLVFDLGGGTFDVSVLAIDDGVFEVLATNGDTHLGGEDFDQRVMDHFIGLVRRKHGVDISRDARALGKLRRECERAKRALSTQHQVRVEVESLFDGVDLSEPLSRAKFEELNADLFRKTMVPVKKAMAEARLKKSDIDEIVLVGGSTRIPKVRQLLKDFFAGKEPNTSGINPDEAVAVGAAIQGNIISGEVDANTKKVVVLDVTPLTLGLETAGGVMTTLIPRNTPVPTKRTQMFTTYKDRQTTVTVKVYEGERSMTSDNRLLGKFDLAGIAPAPRGAPQIEVTFEVDANGILSVSAADKATGKSGRITISGDERKISNDEIDRMIREAEEFADDDRRHRERVDARNSLEAYVYGVKSAVEGKMGGAMDGEEKEKVEEAVREVYEWLDGNHDAGKEEYEEKLREMEDVCNPVMSASYRRSGGSQENGGGGDDDYEHDEL >LPERR01G18050.1 pep chromosome:Lperr_V1.4:1:16011651:16015854:1 gene:LPERR01G18050 transcript:LPERR01G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAAAALLRRLAPRISGGEGGGGAASHRRFPPPVSTSILSRFSSTPTSSPSPPPPSAAAGDGEAEDGDPREDSGDSASRLSITVDRSALYNPPEHSHEPSSDSELVKNLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMIGVWVMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFANFTKALDINLVECSPTLQKVQYKTLKCEDESVGDETSTVSKLCGAPVHWHASLEQKASRGWCEKMVDIAEDSSFRFVLSPQPTASLLYLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISADGGGALIIDYGKDGIVSDSLQAIRKHKFVDILDNPGSADLSAYVDFASIRHSAKEASDYISVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >LPERR01G18050.2 pep chromosome:Lperr_V1.4:1:16011651:16015526:1 gene:LPERR01G18050 transcript:LPERR01G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAAAALLRRLAPRISGGEGGGGAASHRRFPPPVSTSILSRFSSTPTSSPSPPPPSAAAGDGEAEDGDPREDSGDSASRLSITVDRSALYNPPEHSHEPSSDSELVKNLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMIGVWVMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFANFTKALDINLVECSPTLQKVQYKTLKCEDESVGDETSTVSKLCGAPVHWHASLEQKASRGWCEKMVDIAEDSSFRFVLSPQPTASLLYLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISADGGGALIIDYGKDGIVSDSLQAIRKHKFVDILDNPGSADLSAYVDFASIRHSAKEASDYISVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPFTGPKV >LPERR01G18050.3 pep chromosome:Lperr_V1.4:1:16011651:16015854:1 gene:LPERR01G18050 transcript:LPERR01G18050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAAAALLRRLAPRISGGEGGGGAASHRRFPPPVSTSILSRFSSTPTSSPSPPPPSAAAGDGEAEDGDPREDSGDSASRLSITVDRSALYNPPEHSHEPSSDSELVKNLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMIGVWVMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFANFTKALDINLVECSPTLQKVQYKTLKCEDESVGDETSTVSKLCGAPVHWHASLEQKASRGWCEKMVDIAEDSSFRFVLSPQPTASLLYLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISADGGGALIIDYGKDGIVSDSLQAIRKHKFVDILDNPGSADLSAYVDFASIRHSAKEASDYISVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >LPERR01G18060.1 pep chromosome:Lperr_V1.4:1:16017952:16021662:-1 gene:LPERR01G18060 transcript:LPERR01G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEVERRAGAGAGAAGAAAPESGGGGVVAGEKRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPLVNIYQVGLLNVDGFYDPFLSFIDMAVNEGFITEDARHIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >LPERR01G18070.1 pep chromosome:Lperr_V1.4:1:16040797:16043163:-1 gene:LPERR01G18070 transcript:LPERR01G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAPSRLLLFRVSHPLSKHPQRVLPVVRAGRRQMRHAAAMARPARRTLDDAMSAGFVRSLSAPPEQEEEVEEGFGRYDPKPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELMPLYRTDLPAFAGADPPRPGSVGVVAAAAGEEEKRGGRMIVPPGTVVFAEVLGHTLSGRPLLSARRLFRRLAWHRARQGLRAFLPKFELMDRIKTFTDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGSLLQGIVRKIFPYGAQVRIAGTNRSGLLHISNISRGHVLTVSDILKIDDEVKVLVVKSNVPDKIALSISDLESAPGLFLSDKARVFSEAEERAKRYREQLPADSKNTKLDAHLPEGTIPFDDEASMYANWKWFKFLDGGEPGDDSN >LPERR01G18080.1 pep chromosome:Lperr_V1.4:1:16043356:16047402:1 gene:LPERR01G18080 transcript:LPERR01G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFLAGTASASAAGFFFLYKDHLLARAAIARQVENIKETSEKHYEALNQRILAYHILRNQSVKSPIGGQMAGLFTRQAAEYAAARPVYPKDLFAKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDRVVATDVSLEQLRRAVPHPKIQYFHTPDAGATPADDGLVEAFGGEGSVDLITVAEAAHWFDLPAFYGVARRLLRNPGGAIAVWGYNYRVSPVEDIMARFLHTTLPYWDSRARLVIDGYRDLPFPFDGVGLGKEGEPVEFDMDHEMSFPGLVGMLRSWSAVATARQRGVELLDESVVRRLEEEWGGASLVRKVTFKAFLLAGSVRADGDHP >LPERR01G18090.1 pep chromosome:Lperr_V1.4:1:16048140:16053195:1 gene:LPERR01G18090 transcript:LPERR01G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTADEEAALKAGVAKHGTGKWRTILRDPEFTAVLRLRSNVDLKDKWRNLSVTAGGYGSRERARMALKGGKRGSMVVAGPIDVDEKIPDNNDNIIIDAQPLAVVAEPMQLESSPEKDKSVARLDDLILEAIKEIKESSGSNKAAISAYIEEQYWPPNGFDKLLLAKLKAMVATGKLIKVNQKYRIAPSSYSSGGKSTKMYSTGEMDIGNNNVKQLSKPQVDAELDKMKSMSKEEAAAFAARAVAEAEAAIAEAEEAARAAEAAEAEADAAKAFLDAVVFTMRNRNPASAVY >LPERR01G18100.1 pep chromosome:Lperr_V1.4:1:16052291:16053892:-1 gene:LPERR01G18100 transcript:LPERR01G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPISKAIYELPSLYRLARKTSEFLVAGKSGQADNALHLFDGMPAKSQVAWNAELAALVDAGRTERALSLFHEMPRKNAASYTTMIGGLSRARAPSMARRLFDELPLDQHNVFTWTAMVSCHVRNEEPGKAVELFAALYGELFVRGTLPNAHMLSSLLKACVALQSLAMAMQLHALAVKLLEEGTKGSTFVWNGLIDVHAKLGALSDAEEVFRRMRCKDASSWNIMLDGYSRHKVIDKALVLFRLMENKNVVSWNTIISCLGQNSLGEDALRLFIDMMRPSACSNGSAKPNGWNYTTVLHICSVLSLLAFGRQVHTHTVKNGFSRGHVFVGNSLMSMYSSSGATADLEKVFDEMTARDIISWNTVIQGLGQNGHGRRALVFAERALELKLYNGNTFIAILTSCSHAGLVTEGMSYFDAMADKYGVERTFDHFIGAIDLLGRAGQLEEAHGLLLGMPFRPNALAWTTLLHCCLAHKNRSIGSIAARELRAMQPDSGSRNYERLLQGGGSRGKAGELHHEKSSAHLPGCSWVV >LPERR01G18110.1 pep chromosome:Lperr_V1.4:1:16056639:16058751:-1 gene:LPERR01G18110 transcript:LPERR01G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHALEKLHQWILRKHEEESRLTVSDIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQAPIGFAPRPSLSDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGSRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >LPERR01G18120.1 pep chromosome:Lperr_V1.4:1:16059728:16062218:1 gene:LPERR01G18120 transcript:LPERR01G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRATAGLEGASPPKLNSGSTSASLSEESSSSAKDGANGSLTGNEKRGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDEENEGFRKCECVIQ >LPERR01G18130.1 pep chromosome:Lperr_V1.4:1:16062689:16065050:1 gene:LPERR01G18130 transcript:LPERR01G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHGLTGTVGWRRMSERAAPMSSPCKPHKPQANSLSNTPLKLGDLGASVGRGSRAVGDLAGWHWHHTLLSTAGVRACVQTFSTHSSLHFHSLSPSPSKNQETVHLLALLQRGGGDEAIVAMSLAPSIPYIKVKVGGVAVTPPPHRVCRSSFAVIRSSKADGPRRPAAPPLSPPPPMPPKSPALSTPPTLSQPPTPVKPAAPSSSPSQDPEPKPAAAPVAVAAPPAVAGSVTLEYQRKVAKDLQDYFKQKKLEEADQGPFFGFVGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >LPERR01G18140.1 pep chromosome:Lperr_V1.4:1:16065849:16069013:-1 gene:LPERR01G18140 transcript:LPERR01G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKLPPLPHLTLRRSSSSAVASPPPLRRLHRPVPLRDLLAHRFPPPSEQPPLSRPPQPRPHAADILLLRGDAASPESLHIEIFKRGLGNDLFLANHLVNSYAKGARLDAARRVFDGMPERNAVSWTCLISGHVLSGLPDEAFRLFRAMLREGAWCRPTSFTFGSVLRACQDAGPDRLGFAVQVHGLVSKTAFTSNTTVCNALISMYGSCSIGPPIMAQRVFDTMPVRDLITWNALMSVYAKKGDAICTFTLFRAMQYDDSGVELRPTEHTFGSLITATYLSSCSAGLLDQLFVRVLKSGCSSDLYVGSALVSAFARRGMLDEAKYIFLGLKDRNAVTLNGLIVGLVKQQYGEAAAEIFMGTRDSCSVNVDTYVVLLSAIAEFSTTEQGLRKGREVHGHVLRTGLIYMKIAVSNGLVNMYAKCGAVDNACRVFQLMEARDRISWNTIIAALDQNGYCEAAMMNYCLMRQNCIIPSNFAAISGLSSCAGLRLLAAGQQLHCDAVKWGLSLDTSVSNALVKMYGECEAMSECWEIFNSMSAHDVVSWNSIMGVMASSQAPITESVQVFSNMMRSGLVPNKVTFVNFLAALTPLSVVELGKQIHSVVLKHGVTEDNAVDNALISCYAKSGDVDSCEQLFSKMSGRRDAISWNSMISGYIHNGHLQEAMDCVWLMIHNEQMMDHCTFSIVLNACASVAALERGMEMHAFGLRSHLESDIVVESALVDMYSKCGRIEYASKVFHSMNQKNEFSWNSMISGYARHGLGRKALEIFEEMQDSGESPDHVTFVSVLSACSHAGLVEKGLDYFELMKDYGILPRVEHYSCVIDLLGRAGELDKIQEYMKRMPMKPNTLIWRTVLVACQQSKHRAKIDLGTEASRMLLELEPQNPVNYVLASKFHATIGRWEDTAKARVAMKGAAVKKEAGRSWVTLADGVHTFIAGGRSHPNTKEIYEKLNFLIQKIRNAGYVPLTEYVLHDLEEENKEELLSYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVGRQIILRDSIRFHHFEDGKCSCGDYW >LPERR01G18150.1 pep chromosome:Lperr_V1.4:1:16069500:16074738:1 gene:LPERR01G18150 transcript:LPERR01G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPTERAVVARGAASPPWRDDDRDGHYVFELGENLNRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLKRLAENERYRSLCVQIQGWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPGSKKNSQDETHFKCLPKSSAIKLIDFGSTAFDKQEHSSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSTHENLEHLAMMERVLGSIPENMIRKASSSAQKYFRRGARLNWPEGAISRESIRAVKKLDRLKDLVARNADHSRAALADLLYGLLKFEPSERLTAQEALDHPFFRNTT >LPERR01G18150.2 pep chromosome:Lperr_V1.4:1:16069500:16074109:1 gene:LPERR01G18150 transcript:LPERR01G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPTERAVVARGAASPPWRDDDRDGHYVFELGENLNRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLKRLAENERYRSLCVQIQGWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPGSKKNSQDETHFKCLPKSSAIKLIDFGSTAFDKQEHSSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSTHENLEHLAMMERVLGSIPENMIRKARRFNLHLKHYGLKLQDLVARNADHSRAALADLLYGLLKFEPSERLTAQEALDHPFFRNTT >LPERR01G18150.3 pep chromosome:Lperr_V1.4:1:16069500:16074738:1 gene:LPERR01G18150 transcript:LPERR01G18150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPTERAVVARGAASPPWRDDDRDGHYVFELGENLNRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLKRLAENERYRSLCVQIQGWFDYRNHICIKNSQDETHFKCLPKSSAIKLIDFGSTAFDKQEHSSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSTHENLEHLAMMERVLGSIPENMIRKASSSAQKYFRRGARLNWPEGAISRESIRAVKKLDRLKDLVARNADHSRAALADLLYGLLKFEPSERLTAQEALDHPFFRNTT >LPERR01G18150.4 pep chromosome:Lperr_V1.4:1:16069500:16074109:1 gene:LPERR01G18150 transcript:LPERR01G18150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPTERAVVARGAASPPWRDDDRDGHYVFELGENLNRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLKRLAENERYRSLCVQIQGWFDYRNHICIKNSQDETHFKCLPKSSAIKLIDFGSTAFDKQEHSSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSTHENLEHLAMMERVLGSIPENMIRKARRFNLHLKHYGLKLQDLVARNADHSRAALADLLYGLLKFEPSERLTAQEALDHPFFRNTT >LPERR01G18160.1 pep chromosome:Lperr_V1.4:1:16076135:16084029:1 gene:LPERR01G18160 transcript:LPERR01G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVANGDKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVTARIAEGDKADVDLAVKAAREAFDHGPWPRMSGFQRGRILHKFADLVEQHTEELAALDTVDAGKLFAMGKAIDIPGGANLLRYYAGAADKIHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDMAVSLVHMATYTNKGEICVAGSRIYVQEGIYDAFVKKASEMAKKSVVGDPFNPRVHQGPQIDKDQYEKVLKYIDIGTREGATLVTGGKPCGENGYYIEPTIFTNEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYLGFDPDAPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >LPERR01G18160.2 pep chromosome:Lperr_V1.4:1:16076135:16084029:1 gene:LPERR01G18160 transcript:LPERR01G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVANGDKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVTARIAEGDKADVDLAVKAAREAFDHGPWPRMSGFQRGRILHKFADLVEQHTEELAALDTVDAGKLFAMGKAIDIPGGANLLRYYAGAADKIHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDMAVSLVHMATYTNKVDLSDYPAVPSEIMCTSTICSKFSSILHINSAILQGEICVAGSRIYVQEGIYDAFVKKASEMAKKSVVGDPFNPRVHQGPQIDKDQYEKVLKYIDIGTREGATLVTGGKPCGENGYYIEPTIFTNEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYLGFDPDAPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >LPERR01G18170.1 pep chromosome:Lperr_V1.4:1:16092265:16096697:1 gene:LPERR01G18170 transcript:LPERR01G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEFGNGKAGGGGGLVVPEIKFTKLFINGEFVDAVSGKTFETRDPRTGDVLVHVAEADKADVDLAVKAAREAFEHGKWPRMSGYERGRAMNKLADLVEQHADELAALDGADAGKLLSLGKIIDMPAAAQMLRYYAGAADKIHGESLRVAGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGIPDGVINVVPGFGPTAGAAISSHMDVDSVAFTGSAEIGRTIMEAAARSNLKMVSLELGGKSPMIIFDDADVDMAVHLSTLAIFFNKGEICVAGSRVYVQEGIYDEFVKKAAEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLVTGGKPTGDKGYYIEPTIFEDVKEDMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKSLDIANKVSRSVKAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAIDKYLQVKSVITAVPDSPWY >LPERR01G18180.1 pep chromosome:Lperr_V1.4:1:16098977:16099162:-1 gene:LPERR01G18180 transcript:LPERR01G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNLKVYALLSVLFFFSGLMQLSMASAATGSPAATAKAVDQAVTYLLMLAALFVTYLAH >LPERR01G18190.1 pep chromosome:Lperr_V1.4:1:16103985:16104587:-1 gene:LPERR01G18190 transcript:LPERR01G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWFWWLFGWWTGWDGAARRGNIPLRCRMRGSGQNCPDAAVRDGRRLASPPLPHSLVLTVTTESTTTGGARRRLLRSLARSDRQELCSARSPRPRPAESELEKRMMTRGGGGSGGGERNGSGGSRGGEPGVGKGTAAELDAGKRRTTAAELGTGRRRVTVAEVGARRRATAEEVGAGRRATAAELRAGRRRRTVEPALG >LPERR01G18200.1 pep chromosome:Lperr_V1.4:1:16105187:16109372:-1 gene:LPERR01G18200 transcript:LPERR01G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKVYLMLFTAFFLSGLMQLSMAQGAATAKTAAAAPARVIDAKAIDQAIAYLLMFAALFLIKMAPLKLNACVLLSTVFLFSGLIQLSMAQGSPAATSTARVDVKAVDQAVAYLLMLAALFVTYLAH >LPERR01G18210.1 pep chromosome:Lperr_V1.4:1:16111693:16111893:-1 gene:LPERR01G18210 transcript:LPERR01G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKVYLMLFIAFFLSGLMQLSMAQGAATATAAAPARLIDAKAIDQAIAYLLMFAALFVTYFSH >LPERR01G18220.1 pep chromosome:Lperr_V1.4:1:16113117:16115112:1 gene:LPERR01G18220 transcript:LPERR01G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSSIWPICLLGCSASRRSRSCSCSATFQLRIGIAEVHQRLRRTAICGLPVLHARIIGRPPAAADLMEDNINTDINMANSSQVPVPPNQTNASWLTFHPDMEEDNRKRARLRKSIQLFLSRKLKIPPIHIPSSTIPAKITSNRLLSTCRFPRTPSLHGGGDHNTTTDDDSNSRDHAATLSDVDRFLFDNFRSLYINDGDNGRRPSSSPGAFTPYVDTTQPAAAAETSSTMSESVAEDVRETSPGDENGSSTAIMLFSMDPYKDFRGSMQNIIEMHHGEEPLPLDWDFLEELLFYYLQLNDQSVHKYILKAFADLTAGAHVSCPARGKSQWTDKNVRSRKDY >LPERR01G18230.1 pep chromosome:Lperr_V1.4:1:16115574:16126477:-1 gene:LPERR01G18230 transcript:LPERR01G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGSQQPPYGSSQPSGSQHSGDSASQSSKYPVIVYASRTHSQLRQVIKELKATNYRPKMAILGSREQMCIHHEVSKLRGRQQNNACHYLCKKRWCRHHNSVSEFMRSNSELGTEALDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNTLTSCIAEAQECIQLCSAKRSIENSADKQFDPENYAILKALLMALEKKVAELVIDSKELGYTKPGNYIYEFLSELNITSETSKKLIDTIDSASLLLEEGNSAETGPGMKAKATVCRLETIRDILEIIFRDGGQSHAKYYRFHVNDSQQTSGDALKVLGKVSRTFSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVIASDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGISIVNFARIVPDGLLVFFPSYSMMDKCINCWKERVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKQGTPSNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCHKKYGEVVQGLTRFFRDKVSVDSLKPKETEFNDSIVPLGGKLTPHETISASAGTTANENQGTTLPLNATTIRSNFVKFAEITPANRSTLSMKHGYSSTSQLLPSGDQLSMDAQVVDLTSDMATRGHLAGHTFKSLGHKKAKVTVGSKDAFCFDDISPKFHHNLESRASVGCLGERSTVTSKKSNIVQTPGNTGTINENSGGQESNAGPAFLKLAREKLSTAEYRDFVDYMKALKSKTMHIKDSLDAIAKLFSSPERLPLLEGAFEILNISLKGSVEFVPIILGQGEPLKKRFMPASALRIVEHV >LPERR01G18240.1 pep chromosome:Lperr_V1.4:1:16128305:16149214:1 gene:LPERR01G18240 transcript:LPERR01G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDREAALLARVAANHLFLGQFEALRAALLSLRRRGGADPDLAAGFLRAVVAAGGRVPGVLWSGSACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQHVADDPATGDEARGTLSRLLDLGVARLGREVDGGGGEVGVGAEEAAVSQEDLRGLWGVFLDKAELFDALCAGVSRQVALDSGFGTDVLLCLRRSVQLAHLDAVKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKVVLGELVRTGWPKSSNYGLPWFESHDRIFKMFGSSLQSSSPQLVQLIQLILDDIISEEIEDHGVSDANWMPLPFKKFMETLSLERGTDLADKTMLNRAITSCKKDLYHYSRLPGKHVLEVVMETVLSFIKREQLEEAANVISMFPLLQPLVAVLGWDILKGKTALRRKLMLLFWIRKSQALRLQEYPHYRKQTDEVLLCFLAFFHFSSTGMHTKGVKDCDSFYWQTSCEEYLCDLLCFHLDVACFVSSVNSGRPWNLRNSLLFSQQEQDKDVSTEILDPFVENLILERLAVQTPIRVLFDVVPGIRFEDAIELVGMLPLSSTTAIRKRMHDIELMHMRYALQSVALSLGEMEKSAIDGNEHHYQIALSYLREMQNFMEAIKSTPRKIYIVSIVLSLLHMDDSIKLSEAAPSECSVSHECFDSKTESEEKNMVTSFVGLLLDILRHNLVLDVDHLTTMGLSPAGRQALEWRLKHAKHAIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDSSNTTQELDILSFRAQLGPLTTATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDQEGQPYLQLVFTEMNASSSIEASRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKEGIKLEKKDILSSEKGVIFGHGLRILKQSSRTEPTTSTVLESNSEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSNINSESRSQPHDSLSSSHQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNAKDATQTPETKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVTAETENEVTLHQPKGKFSSKRSREPDSDAESEIEDIVISGKTSSNSLESPKCDEFKLEPTNFVSFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQFRAYGSRNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTMCICHLPENDPMWSEVLHTKQSLQRYGHIMSADDHYTRWQEVEVDCKDDPEGLALRLAAKGAVPAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGTLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIISYAKKAISINVNSTHREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEAMPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNTTRACHRYETSPDITLFKALLSLCTNNSIAGKGALEICITQMTDVLSSLQLPLHASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDIDDISVDTGSSSTGSQNLDELSDLLALADMWLGRAELLQSLLGSGIIASLDDFADKESSTTLRDRLVRDERYSMAVYTFWIAWGLALVRMEHYSQARVKFKQALQQYKGDATPFVLEIINTIEGGPPVDVSSVRSLYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKDPMDSQFAPASSYLEDGPRSNLDGTRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQQTAEGETPLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSATYQGTVIQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYINSMSQEEALKHLGHAKTHFEEALSVRDRTIEATKLVTRSARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSADGPQWNTSLFGNPTDSETLRRRCMVVETLAEKHFDLAFRLLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >LPERR01G18250.1 pep chromosome:Lperr_V1.4:1:16158560:16161005:1 gene:LPERR01G18250 transcript:LPERR01G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSVVPQTSSESIAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLKLFSGLGTKSPRSSFLDANQNQKILLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNITSKNSFTAPVEVGMVDQKDESMCDELKGSFMSLDDIVNSEDYTRVVTRGPNPRTTHFFGDHVLEFEGEQLMPDEIKSESLSPHLKEGMMSFCHFCGEKLKEGRDIYMYQGDKAFCSTECREIFMEDEMEEGEPDRSAPPSSPPASDGCIFQLVQ >LPERR01G18250.2 pep chromosome:Lperr_V1.4:1:16158560:16161005:1 gene:LPERR01G18250 transcript:LPERR01G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSVVPQTSSESIAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLKLFSGLGTKSPRSSFLDANQNQKILLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNITSKNSFTAPVEVGMVDQKDESMCDELKGSFMSLDDIVNSEDYTRVVTRGPNPRTTHFFGDHVLEFEGEQLMPDEIKSESLSPHLKEGMMSFCHFCGEKLKEGRDIYMYQGDKAFCSTECREIFMEDEMEEGEPDRSAPPSSPPASDGCIFQLVQ >LPERR01G18260.1 pep chromosome:Lperr_V1.4:1:16162270:16166535:1 gene:LPERR01G18260 transcript:LPERR01G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVEQGGKELHGFERAISFIIGHGCVPGDDDLEQLRLYEELERERERERRLYRKRREKKERCARNKQAKLLSKFGLPCWLFGSDPDDPCADPSCLDEQDGSDTEAGPDYPGYQSVIVQTPRSHSISHNMDYDLSQGSTNLQDSQKLWTVWDSFWQLQFLGEATAGSLGEAPPNRPPATSNTYSRSS >LPERR01G18260.2 pep chromosome:Lperr_V1.4:1:16162270:16166535:1 gene:LPERR01G18260 transcript:LPERR01G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVEQGGKELHGFERAISFIIGHGCVPGDDDLEQLRLYEELERERERERRLYRKRREKKERCARNKQAKLLSKFGLPCWLFGSDPDDPCADPSCLDEQDGSDTEAGPDYPGYQSVIVQTPRSHSISHNMDYDLSQGSTNLQDSQKLWTVWDSFWQLQFLGEATAGSLGEAPPNRPPATSNTYSRSS >LPERR01G18260.3 pep chromosome:Lperr_V1.4:1:16162270:16166535:1 gene:LPERR01G18260 transcript:LPERR01G18260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVEQGGKELHGFERAISFIIGHGCVPGDDDLEQLRLYEELERERERERRLYRKRREKKERCARNKQAKLLSKFGLPCWLFGSDPDDPCADPSCLDEQDGSDTEAGPDYPDSQKLWTVWDSFWQLQFLGEATAGSLGEAPPNRPPATSNTYSRSS >LPERR01G18260.4 pep chromosome:Lperr_V1.4:1:16162398:16166535:1 gene:LPERR01G18260 transcript:LPERR01G18260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVEQGGKELHGFERAISFIIGHGCVPGDDDLEQLRLYEELERERERERRLYRKRREKKERCARNKQAKLLSKFGLPCWLFGSDPDDPCADPSCLDEQDGSDTEAGPDYPDSQKLWTVWDSFWQLQFLGEATAGSLGEAPPNRPPATSNTYSRSS >LPERR01G18260.5 pep chromosome:Lperr_V1.4:1:16162270:16166535:1 gene:LPERR01G18260 transcript:LPERR01G18260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVEQGGKELHGFERAISFIIGHGCVPGDDDLEQLRLYEELERERERERRLYRKRREKKERCARNKQAKLLSKFGLPCWLFGSDPDDPCADPSCLDEQDGSDTEAGPDYPDSQKLWTVWDSFWQLQFLGEATAGSLGEAPPNRPPATSNTYSRSS >LPERR01G18270.1 pep chromosome:Lperr_V1.4:1:16166739:16168759:-1 gene:LPERR01G18270 transcript:LPERR01G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRPLPILPLLGRHLSAAAAAASAEAVTADYNPDLLLPPFEYLPGHPRPDAKHDELILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPEQKKSK >LPERR01G18280.1 pep chromosome:Lperr_V1.4:1:16169639:16174836:1 gene:LPERR01G18280 transcript:LPERR01G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAIVWDPWLIVAQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWFVIASFLLAAAAGAGFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMSLLGEYLCIRRELKEIPISRLRASV >LPERR01G18290.1 pep chromosome:Lperr_V1.4:1:16176855:16179883:1 gene:LPERR01G18290 transcript:LPERR01G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPENDHEHHRRVNLLPAAGRRPLGAAVRAGLKDTFFPDDPFRGLGALPPARRAWRVVRYFVPALDWGAEYSLGSFWYDLLAGVTIASLSIPQGISYATLASIPPVIGLYSCFVPPLVYAVMGSSRNLGVGPVATSSLLVASIIGGKVKASDDPQLYTQLVFTSAFFTGVLQAALGFLRLGILVDFMSRPAITGFMGGTAIVIMLQQLKGLLGMKHFTTKTDVVSVLRYVFHNTHQWQWQSTVLGVCFLIFLVFTEQVRRRRPKLFWVSAMSPLLVVIVGCVFSFLIKGDKHGIPIVGTLKRGINPSSISQLKFQSEYVGVAMKAGFVSGMLALAEGVAVGRSFAAMKNERIDGNKEMVAFGLMNLIGSFTSCYITTGAFSKTAVNYHAGCRTAMSNAVMSACMALVLLALAPLFRHTPLVALSAIITASMLGLVKHRELRRLYAVDKADFAVAAAALLGVVFHTMITGLALAVAVSLLRALLHVARPPTSKLALVPSVSGAADDASFRDVAQYPSAAAAEPGVLVLQIGGSAVCFANAEYLRERIARWVDDEAAAGEDDLVYVVLDMGGVTAIDTPGIEMLREVHGRLEKKGVKMAMTNPRIEVAEKLVLSGFVEVIGESWMFVSNGDAVAACRYTLQGSKHGGVPPV >LPERR01G18300.1 pep chromosome:Lperr_V1.4:1:16184183:16185820:1 gene:LPERR01G18300 transcript:LPERR01G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTPQKSILLLALLLVPHLAAAATPGNELISQTQRRRLLDYHHRNGGDNAHDAVDPSYTFPNPHLRDAYVAMQAWKRAILSDPHNVTGTWNGPDVCAYEGVFCAPSPHDPYLVVVAGIDLNHADLAGHLPDELGLLTDLAVLHANSNRFCGAVPESLDGLTLLHELDLSNNRLVGAFPDVVLRLPSLRYLDLRFNDFEGHVPSELFDRPLDAIFLNSNRLRFTIPDNVGNSPVSVLVLANNDFGGCLPASVANMSRTLDEIILMNTGLKSCVPPELGMLTGLKVLDVSHNSLMGAIPGELANLQNIEQLDLGHNRLVGDVPEGICHLPHLKNFTYSYNFITGEAPVCLHVKAIDDRRNCIDGRPDQRPADQCQFFSTHHSVNCDAFMCKNSPPPPYYEVSPEDRYLSPPPPSPVYYSSPPPPYYEVSPEDRYLSPPPPSPVMWKLPVYEYSSPPPPATTP >LPERR01G18310.1 pep chromosome:Lperr_V1.4:1:16189144:16190083:-1 gene:LPERR01G18310 transcript:LPERR01G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVAVVLGAVVVSVVPLLASGAYADCFAYCYKDCISKDKSMVDYCNYACDKTCGPDKAPLVVSSSSRPLAADPGAVGRRVGDDMDCQLSCAWDSCHRLLPDDKATEICFGRCYDGCKTTAAAGAVAAARLPRPLHAGAGDVRPAEKKQNDDAIQPASEPDPDQSPDQPVALSPPDDVDRHVMVASPPDEDRQVMVASPPNEDDVDHQVLLSSPPDDVDRQVMVASPPNEDDVDHKVLLSSPHYHITPPDDGDHQVLASPPDDPDHV >LPERR01G18320.1 pep chromosome:Lperr_V1.4:1:16209163:16215708:1 gene:LPERR01G18320 transcript:LPERR01G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDMPAPEGSDKKFAAATQRLQGRSTQGFGGGVSCDDRGQSAPIFHRWHPAAFAAASSPGEGVLGRVGALEEGRPSSTNGHASDSPTVDLEVPDARNNFRRVGFQIPSMTNTS >LPERR01G18320.2 pep chromosome:Lperr_V1.4:1:16209163:16212160:1 gene:LPERR01G18320 transcript:LPERR01G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDMPAPEGSDKKFAAATQRLQGRSTQGFGGGVSCDDRGQSAPIFHRWHPAAFAAASSPGEGVLGRVGALEEGRPSSTNGHASDSPTVDLEVPDARNNFRRVGFQIPSMTNTS >LPERR01G18330.1 pep chromosome:Lperr_V1.4:1:16227788:16231193:-1 gene:LPERR01G18330 transcript:LPERR01G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEPQDLARPAREVRRGEAEAETGTETNRTQPTKQSTARSDRLPCTFRFGSESHWKASTREKEKKNPAFHQRKFPLAAAAARRSIVEVSVSTQENGPPSTSTTAYHFAESGRLGVNFGGDMLRDGKRRRTAPSGEGAVPTMNPVAPSTKRRKCVPSSSWPGAMLPDELLTEVFLRVPMKSILPCQAACRSWAAILSSEEFRQLYTARTEEMSLTPKLLYVSPTANFNSTAVYLCSPSKPTDDLLFTLDDVCGDSVAVAPTPCHGLTLLYDAVAAAYWVFNAATQAVTRLPPCQEVILATAGLGFDAKTKDYKVVRLFQGKLHEKQSFKCEIYTLGGDEGDHWRPAAGGVPFRFCRWIRSPRFEVSGVHLVELDDQLCIVRDLRDRSAAVCMLEVWKLKDFNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSFGSCGSSKKIIIATSKHKVCAYDPVSGTLETIISILETCTSYQNEKSDIRFSFFKECLTPVRRTREEIALSTPLAKATEKILLRLPAESILKFKLVCKQWFGLIKSDRFVRAYFVNKNMDKRPKIMLVVCSKPCHGLNLVSIEEKDYLFNPCTGYHRIYHNRHKKRLQLQLQPLWKVPIGCCEQEDNPFAVDSKNVGLAFSQVIQDHVVVAIFYDWRDYKSRGYYIRCVLFCCGSGYSQHLPEPPLPVNDMPPASLDGVLYWMSEPRLGWTYERAIVSFDVTAKIFNVIPCPSCIAMWDSKSRCHAFVVELQGMLCAVLSNSVADELDIWKWNHGLWSRAYTINLKLWPDYSLATNFVVPLAVDPTDGRVLLNTGRKLGLYNPFEQAIEILFTLDQASVVTSKVQRRHPRVHRKCITRCEDVPSKSSPWKLSMALCENFASPSSASSGKELNCVNPIMPVVPMLYEESLAYYPQVARARGFLT >LPERR01G18330.2 pep chromosome:Lperr_V1.4:1:16227788:16231193:-1 gene:LPERR01G18330 transcript:LPERR01G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEPQDLARPAREVRRGEAEAETGTETNRTQPTKQSTARSDRLPCTFRFGSESHWKASTREKEKKNPAFHQRKFPLAAAAARRSIVEVSVSSGLRRTDRPPPARSIPISGLTYTAYHFAESGRLGVNFGGDMLRDGKRRRTAPSGEGAVPTMNPVAPSTKRRKCVPSSSWPGAMLPDELLTEVFLRVPMKSILPCQAACRSWAAILSSEEFRQLYTARTEEMSLTPKLLYVSPTANFNSTAVYLCSPSKPTDDLLFTLDDVCGDSVAVAPTPCHGLTLLYDAVAAAYWVFNAATQAVTRLPPCQEVILATAGLGFDAKTKDYKVVRLFQGKLHEKQSFKCEIYTLGGDEGDHWRPAAGGVPFRFCRWIRSPRFEVSGVHLVELDDQLCIVRDLRDRSAAVCMLEVWKLKDFNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSFGSCGSSKKIIIATSKHKVCAYDPVSGTLETIISILETCTSYQNEKSDIRFSFFKECLTPVRRTREEIALSTPLAKATEKILLRLPAESILKFKLVCKQWFGLIKSDRFVRAYFVNKNMDKRPKIMLVVCSKPCHGLNLVSIEEKDYLFNPCTGYHRIYHNRHKKRLQLQLQPLWKVPIGCCEQEDNPFAVDSKNVGLAFSQVIQDHVVVAIFYDWRDYKSRGYYIRCVLFCCGSGYSQHLPEPPLPVNDMPPASLDGVLYWMSEPRLGWTYERAIVSFDVTAKIFNVIPCPSCIAMWDSKSRCHAFVVELQGMLCAVLSNSVADELDIWKWNHGLWSRAYTINLKLWPDYSLATNFVVPLAVDPTDGRVLLNTGRKLGLYNPFEQAIEILFTLDQASVVTSKVQRRHPRVHRKCITRCEDVPSKSSPWKLSMALCENFASPSSASSGKELNCVNPIMPVVPMLYEESLAYYPQVARARGFLT >LPERR01G18330.3 pep chromosome:Lperr_V1.4:1:16227788:16231193:-1 gene:LPERR01G18330 transcript:LPERR01G18330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEPQDLARPAREVRRGEAEAETGTETNRTQPTKQSTARSDRLPCTFRFGSESHWKASTREKEKKNPAFHQRKFPLAAAAARRSIVEVSVSTQENGPPSTSTTAYHFAESGRLGVNFGGDMLRDGKRRRTAPSGEGAVPTMNPVAPSTKRRKCVPSSSWPGAMLPDELLTEVFLRVPMKSILPCQAACRSWAAILSSEEFRQLYTARTEEMSLTPKLLYVSPTANFNSTAVYLCSPSKPTDDLLFTLDDVCGDSVAVAPTPCHGLTLLYDAVAAAYWVFNAATQAVTRLPPCQEVILATAGLGFDAKTKDYKVVRLFQGKLHEKQSFKCEIYTLGGDEGDHWRPAAGGVPFRFCRFARAAICNAVDYKLQPVFVNGFLHWLIRPSLFSKAPRAAILSFSLTDETFRWIRSPRFEVSGVHLVELDDQLCIVRDLRDRSAAVCMLEVWKLKDFNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSFGSCGSSKKIIIATSKHKVCAYDPVSGTLETIISILETCTSYQNEKSDIRFSFFKECLTPVRRTREEIALSTPLAKATEKILLRLPAESILKFKLVCKQWFGLIKSDRFVRAYFVNKNMDKRPKIMLVGKGSGKSIFNFIRLSKWLQEASHQGALFLDTKVVCSKPCHGLNLVSIEEKDYLFNPCTGYHRIYHNRHKKRLQLQLQPLWKVPIGCCEQEDNPFAVDSKNVGLAFSQVIQDHVVVAIFYDWRDYKSRGYYIRCVLFCCGSGYSQHLPEPPLPVNDMPPASLDGVLYWMSEPRLGWTYERAIVSFDVTAKIFNVIPCPSCIAMWDSKSRCHAFVVELQGMLCAVLSNSVADELDIWKWNHGLWSRAYTINLKLWPDYSLATNFVVPLAVDPTDGRVLLNTGRKLGLYNPFEQAIEILFTLDQASVVTSKVQRRHPRVHRKCITRCEDVPSKSSPWKLSMALCENFASPSSASSGKELNCVNPIMPVVPMLYEESLAYYPQVARARGFLT >LPERR01G18340.1 pep chromosome:Lperr_V1.4:1:16253164:16253956:-1 gene:LPERR01G18340 transcript:LPERR01G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRATVALVVLLAVAALFATAIADEDDHHDKEKHWKCYSSCMKKCCHDDDDDAKTTKLNATTTATVGDDDHDDDDDHHGEDYHKCKAECVGDCFEDVPAVCYHKCVADSCIKLPPYSSEKTECFKKCGHKCLHDDDDHPKPKPKPKPKPSPPKPGPKPKPNPPKPGPTPNPPKPGPKPNPPKPGPTPNPPKPGPKPGPLPGPPKPWWPLPKPPCPPGATEKSSSTADEKLN >LPERR01G18350.1 pep chromosome:Lperr_V1.4:1:16260800:16261177:-1 gene:LPERR01G18350 transcript:LPERR01G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGVGKAATAVAVSVLLLAGAAAGAKTEAAVPPCFHACFDQCVQREEYWFCQFSCYRRCGAGARAGAGLFSGDCEHACALSMCGQIDPDSKMMAVCRNTCGKSYSVAGCRRRPTNRPSLTAAV >LPERR01G18360.1 pep chromosome:Lperr_V1.4:1:16277045:16283750:1 gene:LPERR01G18360 transcript:LPERR01G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPADVRPEQPPASEKPPAAPLTPEEAEAEPEMTAGTGDDDDDDDEEYVSDLDDAPLPEMRRREPSDDEGSEEGRRPPRARIGSDDDDGDGQGAAEVYDEEAYEDEEEEYYDDDLVEEEVGEGFEEGYDGRRAPPPPKEVAGAQGEEGEKVEGEGEEAEGEGDGEEKKEHEPFAVPTSGAFYMHDDRFQEESRGRRRKMFGGRKLWEAKDDQAWVHDRFEEMNFQEERYEDKRMSRGRFRGRGGGGRTRGTGRGFARGGKYRGYNEDINNNNNNQNRPQKVVRGRGPRRYEAVGKNNRDVVGFQRKQPARSRDSSVNASAVRESGQTLNVQSEVAPQKKNVVNSSLNSASPPFYPSGASNPDFSVTTQRRDNIQSGGSNLKLQSGPTVRGRTAMDYGARDKFQHADGPVRQSPRGGGTSMNSSGFTASSVNHGQSPVRAQGGNGIPSNNKSTSSLRQNPKVSTQQQSHTSAVHQKSGQVQTQSTMRVQTTQHLSVRSTESGDNGLYPSSNKSNASSGAGKTNNQETGRGSFMYGGAQVIGAPGAVGLAQGEQNFPGTPALLPVMQFGSQHPGGLGVPTVGMALPGYVAGQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYITLDPSFYSRSSGQTSSSVPSREPTANKGASPPRNDIVNEELDQRRVKTSSLLLC >LPERR01G18370.1 pep chromosome:Lperr_V1.4:1:16286826:16287787:1 gene:LPERR01G18370 transcript:LPERR01G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKALALRWLPHSSDNHLEEDEGRNNERRGLLRSHLEQVVPITDLDEEPKQSSSAVEEPKTVVLKVSMNCHCCARKVEKQISKMEGVVSFKVELESKKVTVVGNVDPIEVLESICRVMKSAQILAAA >LPERR01G18380.1 pep chromosome:Lperr_V1.4:1:16291739:16295354:1 gene:LPERR01G18380 transcript:LPERR01G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRAWDANVEATAERDAETEAVTGPQDRAHVIKRRRRGGGAIEGSAREILRRDREQAVLFFGVAAVASALHPLDLVSSRSRRAPASRCILPHRLGDCHMVDCHNPGGLRESLIECVLIAIPGGLCKIIA >LPERR01G18390.1 pep chromosome:Lperr_V1.4:1:16295978:16301935:1 gene:LPERR01G18390 transcript:LPERR01G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTATTAALLAAAALFLFASAALPLVRSDADGVVATAYDELRLRGFPRGLLPANVRGYTLDGGSGDFAVNLASSCRIVLPAGSYLASFDDRLTGRLDERRISGLSGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADSYAMKAKVLVCIP >LPERR01G18400.1 pep chromosome:Lperr_V1.4:1:16308345:16312914:1 gene:LPERR01G18400 transcript:LPERR01G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNPRMVGCGERTLVLSHGYGASQAIWDRVLPHLALTNKVLLFDWDFSGGGGADEEEQYTFERFADELVAVMEEMGARDAVYVGHSMAGMIGCIASIKRPDLFAHLVLVGASPRYINSEDYEGGFDEPDIDAMLATISTDFLSWAKGFVPLIAGDGGGDAEALVGRSFFAMDPRAASALARMIFLGDNRAVLARVAAPCTLVHATGDVAAPPCVGAYMRGRIGRAALVTVDSVGHFPQLVAPDEMVRILDAVLANGGGGVVVEESSGLAAVAEVEVKGDIDVAT >LPERR01G18410.1 pep chromosome:Lperr_V1.4:1:16317166:16319031:1 gene:LPERR01G18410 transcript:LPERR01G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEELDVVVAPRLERLVLWNEFPYPRRVSHDFRMRVKIGYTPELKMLGYLELGIHVLVIANTVIEDGAKPSHRTMVPTVKVLALKVRFGVRQEAKMLLTFLRCFPRVETLHIMSAESDEPTGKLNSKFMFCQDVVPIECLKSHIKKVVFKNFRGEGSELAFLRFVLERAQLLQTLVVVLADEGGDHASQEEVGNRLKPLIYSTRRASRCTNFVIFVHSGGSAWNFRTASDLSRSDPFDC >LPERR01G18420.1 pep chromosome:Lperr_V1.4:1:16320292:16323133:1 gene:LPERR01G18420 transcript:LPERR01G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLQAKARWETRESMESLMRLVLTCLPTPSPFSSSPSNAGSLSASARIVGGRGGEDDRISRLPDALLANIVSRLPVKDAARTAVLATRWRRVWSVSTPLVLDDVDLLGIPDEDLRRGRSDRIDWPAIVTRVCRVLTTHRGHFHSVHLTCCDMVTRMSTLLPYWLSLLAERGLEELVFANRPMPLDLPLPLEVMRIRSLRRLYLAFWSFPGTGSIARGPDVFPHLRELGLLYTDIMADDLDNVLQCSPVLEKLALVATFLDHGRIRVRSRTLRCVLLWLSQAKEIALVVAPRLERLILWKKFIHFPCELCPPMRIKIGYATELRVLGYLDPRRHELEIGNTTIEAGTKVSAGKTVPSVKILALKVRFGIRKEAKMLPVFLRCFPNVETLHVMSDEGHDPTGKINLKFWQDIGPIECLRSHINKVVFNMFRGERSELAVLKFILERAEALQKIVVVLANEDQAWVDEMRAKLRPLATAKRASENPTLLILVLEGGSAWSFHRAADLSVSDPFDYC >LPERR01G18430.1 pep chromosome:Lperr_V1.4:1:16323485:16324786:1 gene:LPERR01G18430 transcript:LPERR01G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMDAILHLFYSCLPDDPVSSTASLSAAFTSSSSSDGGGEDEDRISALPDDLLRHIVGLLRTKDAARTTALSTRWRGIWHTTPLVLIDGDLVPHEPYNASAAGGVIAAAVTRVLASHPGPFRCVRLVNNFMDQRRDELASWMRDLADKGVEDLVFVNRPWPLDLELPDSILRCASLRRLYLGVCRFPDTAGHPRGPDVFPRLQELGICHCIMADRDLEHVLACCPALETFALIVGYGAPSCVRVESHSLRCVLLWLTMVAELAIVDAPCLERLILWETYAGDEETTMIKIGHAPQLTVLGYLHAGIHTLQIGNTVIKAGMMNVSLRARVPSVKVLGIKVNFAVCEELEMLPCFLKCFPHVEALHIKVVDLHDQFTQV >LPERR01G18440.1 pep chromosome:Lperr_V1.4:1:16336449:16345202:1 gene:LPERR01G18440 transcript:LPERR01G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSALMTMENIFVQMENHGADPQELDRSVDQVCQLLLASLPPSPVYAEAILSCKDDDDASYSGGGGLDRISALPDALLGDIVSRLPTKDAARTAALSTRWRGVWLSTPLVLVDTGLLPPDASGGGDGDVERPLPLDSSGAVAAAVSRVLETHPGPFRCVELISSAMDARRRELARWLHLLAVKGVRELVLVNRRRPMDVALPATVFALAPLSRLHLGTWRFPDTAALPRGAGFPHLRELGLYCVHMEDRDLDFVLANSPVLEHLGVYYSQRRIVLLRLASHSLRCVQIRMCIVGEIAVVDAPRLERLLLWEMIEFGSCGRTKLRIGNAPKLQLLGYLRPGIDVLEIGNTIIKAGTKVSPRTIFPWVNVLALKVHFEVRHEAKLLPNFLKCFPYVVNLHIKSEQAHEPAGKLNLKFWQEADRIECLQSSISYVVFYGYRGERSELTFLKYILGSGQVLEEMVIVLVKGLFSSWDEVDDKLLKPLVSVKMANENCRQEKEILPILLQDCSCTLHGSEHQDIAILALRVNFGVLKEVTMLVSFLRCFPNIETLHIKSDRDYEPTGRHHAKFWREVCPVECINSHVKKIVLHEFQGNKCEFEFLKFISRTAQELQALLLMLTSQIYSSIDEDNEVNSQLALLSFASEECITSLLGPEVRTYYGWVFLMCPCPFRVVHIRRFIFAFHRPELAEWTHHVADKGVEHLVLINKPNTVHRTLNAFLPVNILRCAALRRLFLANWIFSATTAFPRGADDQISALPNNLLSEIVSRLPITDAIHTTSLSHGWSRIWHSIPLNLDNSQIKREGEHFVDEISNDALVARVSSILLSHPGPFSSVRLTCGNMGSHEDTLKSWFRAFAAKHLEELTFLNLHYPNYLTVPGDLFRCKSLRWLYLVGVQLPNTGTIPPTHMFHELQEICLFRCILHERDIENLLTCSPKVENLSLISNTCSCPLQLHMCNRSLRCILYWASLLEELVVVSAPGLERLILWKDYASDWDDCKKIRICSAPKLKVIGYLNPSDHVLQIGDAVIKNDMKASATVVVPSVEILAMAVRFGVRKEEQMVPCFLNCFPSIKILHVKDTSAKNAWNYKIASDLSLYDPFGFVVSAITPA >LPERR01G18450.1 pep chromosome:Lperr_V1.4:1:16362680:16364535:1 gene:LPERR01G18450 transcript:LPERR01G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMELIVSAIRRFFSCFSAMAVAAGVVRSAPVPIPAGSLTLGGSNDNTAKAARSAVLTSHWLAFWASTPLIFSDSDLILSAGYAGIGTVARTVTRILNAHPGPFKSISLTSYFPESERDTFAGWIRTAAAKSVNELTLHNIPWSGLYVLPSDLLRCTSLERLHVSVWKFPSTAGVLDIDNGNGDGNGVMFPHLKELVLSKSSIEEGDLENVLTRSPVLQVLVLVLSWGVPQRVHLACAALRCVMVCQSVVDVLDAVAALRLERIVLWESSGLYDSYLMRIKISRASAIKAIGYLNPTSHSLEIDGTEIKPVENPRSPNQHGDFEFWEEISSVRCVRSSIKKVVFHGFSWEDSEIEFINSIIGGLMLERMYIFQRRRYGTVSDDEINGKMSIIASMNLGLGRTDVVFSGEDKTWCYKVAADLSRVDPFDCYI >LPERR01G18460.1 pep chromosome:Lperr_V1.4:1:16371414:16373633:1 gene:LPERR01G18460 transcript:LPERR01G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGAQASPLASPLLHGKHEQQQNLQDSNEAVLHDVEDPQLLPHGRRILLHDRRLELILEELPQPQQRDLGHRDALRALCARAGRVAQPHPLRGFALVGAVCFYTGMLIDRCMRANDSVRSYPDIGHLAFGAHARTAIGAVMYVELYLVAVSFLIAEGDNLDKLCPGTVVDLLGYRVHGKQLFVLVAAAFILPTTWLKNLSMLAYVSAVGLVASETLTVSLVWAGVAEKGFHVRGGNLLNLSGLPTALSLYFVCFAGHGVFPTVYSSMRTKKDFPKLYTKIAVLTTLITPLAKYALVIQPVTTAIEEKLSGATTAPENKGLARAVTSTAVVVSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMTRGVHRFEVAAIVGILVIGVGIAIVGTYTSLHQIICTF >LPERR01G18470.1 pep chromosome:Lperr_V1.4:1:16388065:16388289:1 gene:LPERR01G18470 transcript:LPERR01G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRYNSCLLLLGCCLLAALPDCVLVPVRSRRRARAINLGGCAAACVAPCGRVCAAAAAAATTRAGGWLSQRR >LPERR01G18480.1 pep chromosome:Lperr_V1.4:1:16390473:16394430:1 gene:LPERR01G18480 transcript:LPERR01G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGAQASPLASPLLHGKHEQQQNLQDSNEAVLHDMEDPQLLPVCSTGGASFYTAGASSSFWRSCLNLSNVISGIGMLSVPYALAQGGWLSLVLFALVGAVCFYTGRLIDRCMRAEESVRSYPDIGHLAFGAHGRTVIGAVMYVELYLVAISFLVLEGDNLDKLLPGTVVDLLGYRVHGKQLFVLVAAAFILPTTWLKNLSMLAYVSAVGLVASVALTASLVWAGVAEKGFHVRGGNLLNLSGLPTALSLYFVCFAGHGVFPTVYSSMRTKRDFPKVLLISSLLCSLNYALTAVLGYLIYGDDVQAQVTLNLPTGKLYTKIAVLTTLITPLAKYALVIQPVTTAIEEKLSGATTAPENKELARALTSTAVVVSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMTRGVHRFEVAAIVGILVIGVCVAIVGTYTSLHQIIGTF >LPERR01G18490.1 pep chromosome:Lperr_V1.4:1:16400221:16401486:1 gene:LPERR01G18490 transcript:LPERR01G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKVTLAEPLLPAKEADDDVEAQLTSYNTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVAVGAVCYYTGTLIERCMRADGSIASYPDIGQFAFGDAGRRAIAFFMYVELYLVAISFLVLEGDNLDKLFPGTTMELLGYKLHGKQLFIVLAAGVILPTTWLKNLGMLAYVSAAGLVASAALTASLVWAGVAETGFHKTSNVINLVGIPTSLGLYFVCFTGHAVFPTIYSSMKSNKHFSKVLLISSVLCSLNYGITAVLGYLIYGDDVQSQVTLNLPAGKLCTKVAIVMTLVNPLAKYALLVAPITAAVKERLALGQRGGAPARVAISTAVLASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIRRTELVAIAGILMLGVFVAVTGTYTSLQQIIGTF >LPERR01G18500.1 pep chromosome:Lperr_V1.4:1:16403610:16405130:1 gene:LPERR01G18500 transcript:LPERR01G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDEQQPLHILFLPFLVPGHLIPIGDMAALFAARGVKCTILTTPVNAAVIRPAVDRANDAALWSGHPAIDVAVVPFPDVGLPPGIESATALASEEDRGKFFNAIMRMREPFDQFVAEHRPDAVVSDGFYTWSVDAAADHGVPRLVFLGTSAFARSCTDSTVRNNPVGACADEDPDAVVPLPGLPHRVEMRRSQMLDPKKRPDHWAFFQAMNAADQSSYGEVINSFHELEPEYAEHYREALGRRAWLVGPVALASKDVAARGAAPGALSPDADGVLRWLDAKPDGSVAYVSFGTLSSFSPAEMRELARGLDLSGMSFVWVIGGGAANDTDTDASAEWMPEGFADLISPRGDRGFTIRGWAPQMLILNHPAVGVFLTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLIVEVLKVGVGVGSREYASNLEDRRVIGGEVIAAAIGTVMGDGKDGEAMRRKARELGVKARGAPEKGGSSYDEVGRLMDALMDRRRPAGSSVHERYGD >LPERR01G18510.1 pep chromosome:Lperr_V1.4:1:16408364:16413309:1 gene:LPERR01G18510 transcript:LPERR01G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQVNREEDNKSKLRPDQVCTRVHFGFKSLGVDFLMGCLPTKQVGRSSHSLEPREAVALAAETSSCFIQMHLKNRKLNLKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVRNNPYVLRNMTLPYLKDITMVFPSFVIHSEVSEEDMVT >LPERR01G18520.1 pep chromosome:Lperr_V1.4:1:16413942:16416788:1 gene:LPERR01G18520 transcript:LPERR01G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPMFLTQATMIISLLLSMYTSSCSSVGSGRQDRSCIASERAALLSIKEKLSDPGEQLSSWQGEECCSWKGIRCSNRTGHVVELDLRGDRCYHFIIDCLGGSEFTSTPSSLQHSNLTALEILDISDNKFGSKISPNWFWDVTNLKHLDISWSHFHGPLFPDDMRNMTALEEIHLAGNNLRGENPSNLKNLCNMKVIDIAYLEITKDISELREWLPKCSWIKLRQLDLSSNNFYGNLPDWLKLLTNLTYIDFQDNKLTGYTPIWLGTLTKLVVLQLSSNYLDGAIYEDHFKGLANLKVLGLAYNSLVMTVSSDWIPPFRLAIADLRSSRLGPRIPAWLRSQVGIQMLAMSNASITDSVPDWFWNLVSGATFLDLSKNQLTGMLPERMELMEAHLIDLSNNRLFGPVQKFPRKAIYIDFSSNSLSEKLPTDFATEVLYVLALQNNSISGTIPTSMCKMRYIRKLDLSNNMLTGEVPSCAQQADLNDFNEMVSLKLNDNNLSGVFPSFLQMCPKIVFVDLAYNQFSGILPAWLQKMAPFLALLRLRSNMFSGQIPIQYTKFQRLQYLDIAYNYLSGEIPTSIADLSAMNSPGDESSFQDMNITFAPTYGALDDLIFYTESTSVLTKGQQLDYSKQIIYMVNLDLSCNRLTGTIPVDISALVALKNVNLSWNNLSGGIPDNIGAIKELESLDLSHNRLSGEIPSSISTMIFLSHINLSYNNLSGRIPTGNQLQTLEDPASIYIGNINLCGPPLANTCPDNRTRMPIYPEERQGEHQMPSHLSVIIGFILGLWMVFCVMLLSRRWRHAYFVFIDGHCYRILETMVCVFHHK >LPERR01G18530.1 pep chromosome:Lperr_V1.4:1:16418487:16423542:-1 gene:LPERR01G18530 transcript:LPERR01G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGEEGDMTYREVAEYFNSMDDPPAQDRVDTIIPYLISILPAPFIPAPPDAAGSSSDDFSLTSSDSDEDDSAAVAVHTFPTTPGDGGKDHISSLPDDVLSEIVSRLSTKEAARTMGLSTRWRGVWGKTPLVVDDAHLRNADESHEISLVRAISRCVDAHPGPVRGARVTHLGFYHHEYALRRLVASLADKAVEDLILFNRPWPLDMPLPDDILRCGYLRRLYLGAWMFPNVAAAAASPPALANLRELGLFHCIITNRDFDALLSHCDKLEILSLAASFNCPSRLRLASPSLRVVVDWMSAFEEIVVDDAPCLERLLLCATIPAVGSERTPVRIIRAPRLEVLGVLDLQVQALEIGGTSIRPGMFVRSSAKLPSLKILAVKVCLAIEQHIKMLVTLLKCFPHLETLHIKSIYSIPCASPGIKNYTELWKSLDSCECLKSHLKTVTLQWFWARYYELLCLNYLTSKGNVLETVAFFSEDDVSFVYQDDVLADISLVVRKVIAKDRWSFQSAIDLSLDDPFCYCEASPSRTGLKPMDAAGEEQGMVYEDVVEYYNNLEDAPDQECIDRVIPYLISFLPAPLIPAPPDAASSSSSSSDFSLTSSDSEDEESAAAAAHSFPSAPGDGEDHISRLPDDLLAEIVSRLTTREAARTMAVSTRWRDVWPKAPLVLDDAHLGGGGAAADETSHLVRAVSRCVDAHPGPVRAARVTRVAFYHHELVLRRLVASLADKGVEDLVLFNRPWPLHMQLPDDILRCAYLQRLYLGVWMFPNVAAAARPPAFVNLRELGLFRCIILDRHFDILLQHCHKLEVLSLAMSDICESCLRLFSPSLRVAVEWTSSVDEVHVDAPCLERLLIQTIPDSDSPFVGIVRAPRLEVLGVLDLQIHGLEIGGTTIRPGMFVGSRAKLPSLKILAVKVRLAIEREISLLVTLLQCFPYLENLHIKSIPCTSPDIDADDCMELWSIMDSCECLESHLKIVSFQGFHAKYYELFFLGYLTLEGKVLKTVAFYCEDDVGFVYEDDVAERTSLMLPNDLTKDRWCFQSAIDLSLDDPFCCAACAVEH >LPERR01G18540.1 pep chromosome:Lperr_V1.4:1:16427644:16429134:1 gene:LPERR01G18540 transcript:LPERR01G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPALLSSALLLLLLAGAGASTNAVRYQTLVATPLSSHPYTAPAAVAGEDDGLFEGSLAAGESDAASTVGLRLVHRDDFAVNATAAELLAHRLQRDKKRASRISAAAGGAAANGTRGRGGGGSGFVAPVVSGLAQGSGEYFTKIGVGTPVTQALMVLDTGSDVVWLQCAPCRRCYDQSGQVFDPRGSRSYGAVDCAAPICRRLDSGGCDLRRKACLYQVAYGDGSVTAGDFATETLTFAGGARVPRVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRFGRSFSYCLVDRTSSSASSMTSRSSTVTFGSGAVGPSSAASFTPMVKNPRMETFYYVQLMGISVGGARVPGIAESDLRLDPSTGRGGVIVDSGTSVTRLARPAYAALRDAFRGAAAGLRLSPGGFSLFDTCYDLSGLKVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRLGFVPKGC >LPERR01G18550.1 pep chromosome:Lperr_V1.4:1:16434523:16436814:1 gene:LPERR01G18550 transcript:LPERR01G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLREVSLSVVFSVWCLLFLLRSQFLHSQTDPSEFYDNDVENGMRQNYCKVMPLEAYIFPTQYNASPVSAPTCQPSSHPPPEPQQEPDHGSLEPSNTNSSADAALVELDEFRSRITQDKADNVTTGRGRHVVPDAAAATAAQHRLEPSGVEYNYAAASKGAKVLAQNKEAKGAGNILGGDKDKYLRNPCSAEDKFIDVELSEETLVRTIGLANLEHYSSNFREFELYGSATYPVPAEEAWELLGRFTADNAKHAQRFVLPEPRWTRYLRLRLVTHYGSGFYCILSYLQVFGVDAVEQMLQEIISGAAPDTDAVAAKPEEDGGGGGGNDTAQVNARLDGVGVAGRNESGGGGDGAKNNNGSRATMAGDAKPSWTGRFHGDAVLKIMMQKMRSLELGLSTLEEYTKALSQRYGSKLPDLQSELSQTAMALDKMKADVRELVEWKGNVAKDLAELKEWRSAVSGKLDDLIRDNAAMRLDVEEMRSIQETMQNKELAVLSISLFFACLALFKLACDRVLFLFTGKEAERMCSASKGWMLVLASSSFTTLLVLIYN >LPERR01G18560.1 pep chromosome:Lperr_V1.4:1:16437153:16439785:-1 gene:LPERR01G18560 transcript:LPERR01G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQFRSKAAQASEFVSKHGCAYYKELMEKNKQHVVQPPTVEKVQELSKQLFYTRLASLPGRYESFWKELDGAKQIWKNRKDLKVEDLGIVTLFGVELYAWFCVGEIVGRGFTLTGYKV >LPERR01G18580.1 pep chromosome:Lperr_V1.4:1:16446107:16453034:1 gene:LPERR01G18580 transcript:LPERR01G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAVVVPEPAAASAPDQASASPVAPLEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKADYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANGALFLLSTNDKTIKLWKVQEKKVKKIAEMNLSHLNALANGRPAGGLTNGGILKPGGLDSLRLPVVTSHETSLAASCRRVFTHAHDYHINSISNNSDGETFISADDLRINLWNKEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQLFEQHEAPGSRSFFTEIIASISDIKFSMDGRHILSRDYMTLKLWDINMNSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >LPERR01G18590.1 pep chromosome:Lperr_V1.4:1:16453511:16456981:1 gene:LPERR01G18590 transcript:LPERR01G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVVDFPSMGSACCFPSLESLLRDSTSRYLAAVSAAPDPDLTNFRSLFSRVLNTYPDPPLEAVWFFSALSYHDAPDDLRSLLHLLSAFTASSGSVAKPLALLAPVVSELFHSAKPRRETEALVEAVLSYISICSSRATSGGDGVDADAGSLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEDARRELMREGCSVAFLAGVVVAEAFLLRLCLKVQGATGVPRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDILYDALILVDYSFINKGAEFDQADNSLLPLFVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYLAKWATHQAGLNQLSKPAAVTPQALLKWLVELQDKGFRVFGENVSRVRERLMYDEVKNGYQSRMIHSDADLFFIDKQSGGEVMDTGAGEDEAVGMETTDNAFMAAAQSMKVMTNGMRKRKDCGTEDANVLKFVKYKIEDSSVKDYFLSANNGTSSGSEVENPQSDDEMED >LPERR01G18600.1 pep chromosome:Lperr_V1.4:1:16457219:16460403:1 gene:LPERR01G18600 transcript:LPERR01G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPTLLHDSLLSRAHHRPPPSPPPPPPTSRLAAARHPSTPSPSPHADELLRSYAAALQGCAASRALRRGQALHARLLRSGPPPDAFLHASLLNMYCKCGRLSTDARRVFDGMPHRDVVAWTAMISAHAAAGDAGSALALFAEMGKEGVVPNGFALAAALKACAVGSDSGFTPQVHVQAVKLQGLVDPYVGSSLVEAYVSCGEVDVAERVLLELPVRSDVSWNALLNEYARDGDYTKVMMVFDKLVESGDEISKYTLPSVLKCCMELGLAKSGQAVHGLVIKRGLETDSVLNNCLIEMYSKCLSAEEAYEVFARIDEPDVMHCSAMISCFDRHDMAPEAFDVFMQMSDMGVKPNQYIFVGIAIAASKTGDMNLCCSVHAQIVKSGFSRTKGVCDAIVTMYVKAGAVQDAILAFDLMHGPDITSWNTLLSGFYSGDNCEHGLRIFKKMVCEGVLANTYTYIGILRCCASLMDLRFGCQVHACILKSGLQIDHDVSRMLLDMYVQAGCSKNARLIFDQLKERDVFSWTIIMSTYAKTDEGEKAIECFRSMLQENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSGALLDMYVKCGNITDAGFIFDESDTHDLVEWNTIICGYAHHGHGYKALEAFQEMIDEGKVPDEITFIGVLSACSHAGLLDEGRSYFKLLSNVYGITPTLEHYACMVDILAKAGKLAEAESIIIEMPLTPDASLWKTIMGACRIHRNIEIAERAAEKMFELQPDDISSSILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKIHGLFEFLAIIPWMNLGLEKLCVAADEIPEGIVLLWHDIVPFP >LPERR01G18600.2 pep chromosome:Lperr_V1.4:1:16457219:16461966:1 gene:LPERR01G18600 transcript:LPERR01G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPTLLHDSLLSRAHHRPPPSPPPPPPTSRLAAARHPSTPSPSPHADELLRSYAAALQGCAASRALRRGQALHARLLRSGPPPDAFLHASLLNMYCKCGRLSTDARRVFDGMPHRDVVAWTAMISAHAAAGDAGSALALFAEMGKEGVVPNGFALAAALKACAVGSDSGFTPQVHVQAVKLQGLVDPYVGSSLVEAYVSCGEVDVAERVLLELPVRSDVSWNALLNEYARDGDYTKVMMVFDKLVESGDEISKYTLPSVLKCCMELGLAKSGQAVHGLVIKRGLETDSVLNNCLIEMYSKCLSAEEAYEVFARIDEPDVMHCSAMISCFDRHDMAPEAFDVFMQMSDMGVKPNQYIFVGIAIAASKTGDMNLCCSVHAQIVKSGFSRTKGVCDAIVTMYVKAGAVQDAILAFDLMHGPDITSWNTLLSGFYSGDNCEHGLRIFKKMVCEGVLANTYTYIGILRCCASLMDLRFGCQVHACILKSGLQIDHDVSRMLLDMYVQAGCSKNARLIFDQLKERDVFSWTIIMSTYAKTDEGEKAIECFRSMLQENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSGALLDMYVKCGNITDAGFIFDESDTHDLVEWNTIICGYAHHGHGYKALEAFQEMIDEGKVPDEITFIGVLSACSHAGLLDEGRSYFKLLSNVYGITPTLEHYACMVDILAKAGKLAEAESIIIEMPLTPDASLWKTIMGACRIHRNIEIAERAAEKMFELQPDDISSSILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKIHMLLYLFVSISHVHRVEALKS >LPERR01G18610.1 pep chromosome:Lperr_V1.4:1:16460149:16461539:-1 gene:LPERR01G18610 transcript:LPERR01G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGSDAATAAAAVVVVFDFDRTIIEWDSDDWVITKLGAADAFARLRPTMPWNPLMDRMMAELHTQGKSADDIRDCLKSAPLDAHVLSAIRTASALGCDLRVVSDANTFFIETVLEHHGVLGCFSEIITNPARVDGDGRLRISPFHDADSSSPHGCSLCPENMCKECSDGEGVDNGNPPWCPGKIIERIQATGSGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNTQLLKAEVHPWNNGEELEKTLLKLVNKLIAPPAQASQFECKCDMSNPVSTEVGRHQALRVPH >LPERR01G18620.1 pep chromosome:Lperr_V1.4:1:16467111:16468683:1 gene:LPERR01G18620 transcript:LPERR01G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPALAGKAATKVFGEGRITMRKTAAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >LPERR01G18630.1 pep chromosome:Lperr_V1.4:1:16475873:16476763:1 gene:LPERR01G18630 transcript:LPERR01G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCENLVYLDLAYNQFSGSLPVWVGKLSASLALLRLRPNMFSGHIPVELTTLQSLDLSYDEFFGAIPSSVSALTSLSFMNLSYNNLSGNIPTGNQLQTLDNPKFIYIGNIGLCGPPLANACPGDAATTPSYPTQQQHEIKMSSHSNSP >LPERR01G18640.1 pep chromosome:Lperr_V1.4:1:16477740:16482212:1 gene:LPERR01G18640 transcript:LPERR01G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVGAGGGGSCSGCGAEGLALAYPPARDKGRRRRRVTARRGELDDGKVSTGENLQAGLLSRTPPFGLRLWIVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDIAEPESPNVTKEIAVDEVRNRAVESINDQEIHALSSKERQTKKDSRKMLAHFLRCKSSDNHNLVGCSSMYQNDKAQCSYSSDEGTSGHNDREYSQTQYATVSTSPRLGLPEFSHLGWGHWFTLRDLELATNGFSDDNIIGEGGYGVVYHGHLINGTEVAVKKLFNNIGQAEKEFRVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYINNGNLEQWLHGAMSQHGVLTWEARIKIILDIAKALAYLHEGLEPKVIHRDIKSSNILIDTDFTGKLSDFGLSKLLGSGKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPVNYGRPSDEVHLLEWIKMMASSRRAEEVVDPAMEAKPTKRQLRRALVAALKCVDPKADKRPTMGNVVRMLEVEADDVAPSREFVVVYFFPLSFSLESLLVVVHLSPSVQLSEDRRSPNPNVQCGGGEGTRSMFCS >LPERR01G18640.2 pep chromosome:Lperr_V1.4:1:16477740:16483469:1 gene:LPERR01G18640 transcript:LPERR01G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVGAGGGGSCSGCGAEGLALAYPPARDKGRRRRRVTARRGELDDGKVSTGENLQAGLLSRTPPFGLRLWIVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDIAEPESPNVTKEIAVDEVRNRAVESINDQEIHALSSKERQTKKDSRKMLAHFLRCKSSDNHNLVGCSSMYQNDKAQCSYSSDEGTSGHNDREYSQTQYATVSTSPRLGLPEFSHLGWGHWFTLRDLELATNGFSDDNIIGEGGYGVVYHGHLINGTEVAVKKLFNNIGQAEKEFRVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYINNGNLEQWLHGAMSQHGVLTWEARIKIILDIAKALAYLHEGLEPKVIHRDIKSSNILIDTDFTGKLSDFGLSKLLGSGKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPVNYGRPSDEVHLLEWIKMMASSRRAEEVVDPAMEAKPTKRQLRRALVAALKCVDPKADKRPTMGNVVRMLEVEADDVAPSREFVVVYFFPLSFSLESLLVVVHLSPSVQLSEDRRSPNPNVQCGGGEGTSEMQ >LPERR01G18640.3 pep chromosome:Lperr_V1.4:1:16477740:16483469:1 gene:LPERR01G18640 transcript:LPERR01G18640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVGAGGGGSCSGCGAEGLALAYPPARDKGRRRRRVTARRGELDDGKVSTGENLQAGLLSRTPPFGLRLWIVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDIAEPESPNVTKEIAVDEVRNRAVESINDQEIHALSSKERQTKKDSRKMLAHFLRCKSSDNHNLVGCSSMYQNDKAQCSYSSDEGTSGHNDREYSQTQYATVSTSPRLGLPEFSHLGWGHWFTLRDLELATNGFSDDNIIGEGGYGVVYHGHLINGTEVAVKKLFNNIGQAEKEFRVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYINNGNLEQWLHGAMSQHGVLTWEARIKIILDIAKALAYLHEGLEPKVIHRDIKSSNILIDTDFTGKLSDFGLSKLLGSGKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPVNYGRPSDEVHLLEWIKMMASSRRAEEVVDPAMEAKPTKRQLRRALVAALKCVDPKADKRPTMGNVVRMLEVEADDVAPSREFDRRSPNPNVQCGGGEGTSEMQ >LPERR01G18650.1 pep chromosome:Lperr_V1.4:1:16482786:16487953:-1 gene:LPERR01G18650 transcript:LPERR01G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEVQSPKKRKRDAAGKPKTLAAKGGDEGKKQRKRPYDANVAQGSGGGEFKVKKQPVTAKEKRLAAKEMAESRKKKRKRNYSIEKELTVLWEKMRCHSVSSAERSKLVSEALSKMDGKYLEIAGSHVTARVLQTCVKWCSQSERDAIFDALQPDLLTLSRKKYAVFLVKKLIKLATKKQFEWFISSLHGHVAELLRHTIGASVVDFAYQRATPPQKRRLLLELYSTELQLFTDLTAQKTHSLLETISNLGLQKSSVLQYMTTVIHPILEKGIVEYPIVHTAVLEYFTIADKTSASEVIQLFIPLLTQGSAAIDGDEPSVAPELHKKLKAKKKRLTVPLLVQIMNSQEGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALGDYGCIELAKHLKQLIFDKNGRRPLLQLLHPNCSRYLPPTDLACLNYRVPCLITKDEASDNAAEVILENKVDVVTNKEQDGSEGIQSASDSKKDPFQRRHELLMKSELAEVLVQTCIENVGELLRTNFGKDILHEVVIGGKDNVLEGITDRIHSLHNAIASDAARPKTEDTEHAFDNYHSSRLIRRLILESQSFAAILWNKALEGNCKTWADGHSSKVVTAYLESPDFEVRNLAKSELQPLIDRGILKIPDHKAVSK >LPERR01G18660.1 pep chromosome:Lperr_V1.4:1:16492106:16494892:-1 gene:LPERR01G18660 transcript:LPERR01G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPCRLLMQKAYGAAVMVFLLFAYQMQSAPSLVHARATTTAGRSCVAHVREALLSFKDSLLDPAGQLSSWRGEDCCQWKGIGCSNRTGHVVKLDLRNTDPYADYSKPRLTVSRGEMSSSIVALQHLRYLDLSNNEFYTAIPKFVGALKNLKYLNLSNSYFEGEIPSRVGNLTKLQSFDVGYNYLYPSDLSWLPRLSMLKYLDMTNVDLSSDKDWVHRVNMLPALQVLRLSSCGLNSTLSTLSRSNFTHLEILDLSFNPFNSSMSHNWFWQITNLKELYLSFSEWYGPIPDELGNMSNLQVIDLSENIQFGNIPTTLENLCDLQALYLSYTTFNEDIAELMERILPMCSWNKLHTLELSYANLTGSLPIWIGNLTGLSYLDVSGNMLTGRVPVGIGALGNLSELYLCKNSFSDVLTEQHFANLAKLKYMRLSDSGSLKLNIGEDWAPPFRLLGGYFQSCDLGPQFPTWLRWQTRIIYLDISNTSISDVLPDWFWVIFLDAFSLDLSRNQISGALPSKLELPSLYDLDLSSNCLSGKLPVIFSAPQLYKLWLSKNHITGTVPAAVCQLHRLGQLDLSNNQLTSDFARCAENNTYGFGFELSVVNLKRNRLSGEFPIFLRNSAQLVFLDLSENMFSGNMPVWIAEKMPNIEVLNLRSNMFRGHLPKKLMKLAGLHFLDVANNSISAGIPSSLVNLKAMAHLDRYGGGANNYSGDNISVFTKDQRLDYTYTYTNEMVLIDLSHNSLTGHIPREISLLKGLRSLNLSGNKLTGTIPDNIGDLRILESLDLSYNDLAGEIPSSLSDLTFLSSLNMSYNNLSGRIPSGQQLQTLNNFYMYIGNPGLCGPPLLTNCSANKSSQIVNDEHDDASHDTTYLYLSISAGYVVGLWTVFCTILFKKSWRVAYFRLFDWIYEKIYVQVATGKAALIRTFQ >LPERR01G18670.1 pep chromosome:Lperr_V1.4:1:16518289:16523737:1 gene:LPERR01G18670 transcript:LPERR01G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSSQQQQQQLLQRKGKAVAEKGGATAAVAEKVVVAVRAASREISRTALMWALTHVVQPGGSILVLVVLPSHSSGRKFWGFPLFAGDCANGNRTMLDQKDISELSSQMLDKLIYDRNKINVKTKVVSGSPSGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPILDSSVGKTTSDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEILATKDRAQHSDINISDSDSDTLSPPASFSLQPWMVNILQGSASSRSHGKGPRRTRTPTADALLENISKLDLLNEISSMRSHSDLNFRGNVRDAVSLVRSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGWRFWVGTMAT >LPERR01G18680.1 pep chromosome:Lperr_V1.4:1:16526137:16529344:-1 gene:LPERR01G18680 transcript:LPERR01G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPRPAAPSKLPTKPPPPAQADVEMCQSVAGKGVQELWCSDAMDQGVVVVASVEAGRAKGVILVRVIPIVWREDLQSSDRSHGPRQPYRGGSRRGGYTGGEAGAESGRVPHHAYERHSGTGRGYGMKREGAGRGNWGAMTDEALAQESAEAVNIEVAAAMTEDENKQEDVLESEVEKHKEGEPNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKAEERKVVVDKELQSMQQLSVKRDSDEVFIKLGSDKDKKKESVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPAIEDQAQFPSLAGR >LPERR01G18690.1 pep chromosome:Lperr_V1.4:1:16538464:16540780:1 gene:LPERR01G18690 transcript:LPERR01G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSSSSSSAAGHRDNNTTMLSDGGGSGLRLFGVQVHVGDGGGGGLSMKKSYSMGCLQLAAAPAAATPSSLVSPSSSSSSSMLLSIEEGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQTSIGKKKRRSSLFDMVPICENTIRVSEQLSGEDASTSLSSLSLMNTPRQHESSDRAAAAIDLNSTEEDDTVSAVSSGSGASAARPLFPVALMDQQQQQASLGHGHHHCTPLDLELGMSLSSTPSIGT >LPERR01G18700.1 pep chromosome:Lperr_V1.4:1:16553711:16554176:1 gene:LPERR01G18700 transcript:LPERR01G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTATTPLTPPSIVAAVTSLLLVVFGDAITTATASPKAPASTSGCIASEREALLSFKAAITSDPSNRLSSWLGEDCCKWSGVRCSRTGHVVILNLSNTEGNLTIPMEG >LPERR01G18710.1 pep chromosome:Lperr_V1.4:1:16555999:16559325:1 gene:LPERR01G18710 transcript:LPERR01G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPKGMGPTEEKMQCGTVGRLIEDDRVSPPIWGAAKDEVTESSPIGRASIDEDTESSPIRRTAAVEVAENYHLRLVLTASCRHRSLSHGRESGLGRGKGESTDMESVRGYCGLFALSHSFRHSGTTKHTPNRELTENVLTFEQLTLHINGDFGAVDMSLGAVLNGVEATVEVAISELVSAFDLSLSCDLAMLEERGELQLFSGTIGESCGLRRFVVAVRLDTMMHLKFKVDKEDSNVVEHFCTFEAKQHGCASHQIKFELANISVKKTVLSGGHLINWGLCPEDKLETMCEKTNGNGDEEERQRFTAAVVIMLSSSGLNPAQMTPPSSFDQNLTVAILLLVAVLG >LPERR01G18720.1 pep chromosome:Lperr_V1.4:1:16580887:16582563:-1 gene:LPERR01G18720 transcript:LPERR01G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSCNPLKVVLEESWSPPANPLIVNFASCQLGPEFPVWIKSWNYGYSIDISSSGIEDELPNWFWNLVYNFSNVNISHNQISGKLPDSFTGMLTEQLILASNQLTGRLPSLPESLYYLDISRNLLSGPVPFHFGGAYLDTLILFSNHINGSIPQSLCKMHNLHALDLADNFLVGELPKCLPTELKPSNSKISSSETDGSSLHFTSLNIHILILSKNQLSGAFPVLLQSCQNITILDLAWNKYFGDLPEWIGDVLASVVILRIRSNKFSGHIPSGFTKLDYLRYLDIANNSFSGTIPQSLQHMKGMINEPEDLTTLSLFGEALEDGFGAFDVLGLFHYSISFVMKGQQHEYSHGLVYLVGLDLSSNKLSGHIPKEIGSLVQLTNLNLSRNQLSGNIPDQIGALHQLNSLDLSFNQFSREIPSSLSNLTFLSYLNLSYNNLSGRIPMGHQLDTLNADDPSSMYIGNSGLCGYPLANNCSANGTSHGHVVKRHHDGSLYAGLAVGFVVGVWMVFVSLLFKKSWRFSYFRHIDRQYDRLYVFVTATSVIYLQKATQFKGGRS >LPERR01G18730.1 pep chromosome:Lperr_V1.4:1:16582625:16583383:-1 gene:LPERR01G18730 transcript:LPERR01G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGRVPHQLGNLSNLQYLDITVGLYPPMHAADISWLACLSSLMYLDMSYVNLSSVVDWVRPINMLSHLEVLRLKGVTPSWVWSMKTLKMLNLETCELSSSFPDGLGNLTLLEGLNLGSNSFKGTLPSTLNNTCNLRVLDLNNNLIGVEIKDLMDKLPSCTRNKFEELYLSYNDITGNLDWLGSQTSLRSLYLSDNKFSGHLPLVIREMAKLSTLFLSNN >LPERR01G18740.1 pep chromosome:Lperr_V1.4:1:16587473:16589619:1 gene:LPERR01G18740 transcript:LPERR01G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFTARRPSPPPPPAGDATACRSSDPTQPPPAKSRKISSYYAAAAIDSLGEDLLRCIFLRLPSLATLVSAALTCRAWRAAVASSSSFRRRFRELHPAPLLGFFCDPDPHDLPVFLPAHGHGRRDRDVLAAIRGGEFLLTGLLDPDSNDGPLRWRIYDCRDGYLLLMNSDAGLLAIVNPLAPRMTEFIDMPVRIDNSNADDAAGQNGSALPIFLGVHLISSEEDPMSFRLVWLRHDESRVQASVWSSDTRNWCVLPWVNIKARSSTPQEGRSKYWLLPGMQADGILFCPFENGKHMLMLDTDTMEFSVHRHPISSKVQQGCSSAVGETQDGIPCIAYVTRVNIGLLIRRLDKKRGVQRWKFLDSINFDEAADQLGIHDRLDVVTIKNGFVYLATEGMILSLCLETKKLDKLLPMSFQFPHLHPYVMAWPPALVGNYGRFAVVQDDLSTI >LPERR01G18750.1 pep chromosome:Lperr_V1.4:1:16594008:16596926:1 gene:LPERR01G18750 transcript:LPERR01G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAASVLCTLVIILTTGAAAASSVHTSKQSYGVSCVTEERAALLSFKEGVTTDPLKLLDSWQGTGDCCRWNGVRCSNRTGHVVKLDLRNTLYWEDKKHLRSDNPHAMFGQVSTSLLALRHLKYLDLSGNNLVGPGSAIPSFIGSLKSLVYLNLSCIDFMGEVPPQLGNLSRLSYLDVGSIYSSRSIFSSGLSWLGRLTSLKYLDMSGVNLSTVSNWDHVVNMIPSLIVLNLEECQLTRSNRPLLHSNLTVLEKLDLSSNNFYGPLAPNWFWGINTLKTLELEFCSLYGPLPDSLGNMTALQVLDLQNNDNITGMFPSTLKNLCNLQEIIFTQTNLGGDITEQMGRLPRCAWDKLRKLHLDATNMTGNLPDWLGNLTNLTGLSVSRNQLSGAVPLGLGSLTKLTVLYLSQNNFSGVISEGHLANLRDMIILDLSYTSLKIVVGSTWIPPFRLMRAELASCQLGPGFPVLFKHQKGINYIDISNAAIADEIPSWFWDEISYAAYVDMSHNQIGGELPAKLEAKTWQELHLNSNQLKGSIPQLLRNITKLDISRNSLSGPLPSNFQAMELVALVLFSNYIPGSVPLSICDLQNLAILDLSNNLLGGELPQCRVASLGINTLLLENNSLSGEFPMLLKSCTKITFLDLARNNFNGSLPEWIGDLTSLVIFRLRSNMFSGHIPSEITELVNLQYLDLAKNNISGSIPQSLVTLKGMSSKNQDPWQIGLNGPFVRSSEWFGNVMEFEWFDDSLFVSIKGRELPYSSQLKYMVSIDLSSNNLVGNVPEEVGSLIGLINLNLSFNHLTGNIPYQIGVLQSLESLDLSRNQLSGEIPQTLSNLTSLGELNLSYNNLSGRIPSGSQLDTLHTDDPASMYIGNNGLCGHPLPNNCTENETPHGHPISDYNNDWSTKMSFPLGIIVGFLLGLWLVFCALLFKKRWRIAYFHSFDNLYDRAYVFIVVTWALCFRKTSTTSSST >LPERR01G18760.1 pep chromosome:Lperr_V1.4:1:16613394:16613951:-1 gene:LPERR01G18760 transcript:LPERR01G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAGGDGKGKPPTHAGRGRARLRGEQLRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAMDADGNGTVEFDELASSLADLILGPCRPAVAVDQAQLAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGVISFQEFTAIMAKSALDFLGLAAL >LPERR01G18770.1 pep chromosome:Lperr_V1.4:1:16614781:16619175:-1 gene:LPERR01G18770 transcript:LPERR01G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEASTDGGDPQRLKRIGAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLVVEPMSSTSSTQSTKPAARSSSTPSSENVRARDSGSNARSTTASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAVQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLRYLEDSCLWVETNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLRCMMLLRLWLSGMVRLQNCVTM >LPERR01G18780.1 pep chromosome:Lperr_V1.4:1:16623583:16626201:1 gene:LPERR01G18780 transcript:LPERR01G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGLGYLRSRMSSQHTVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRVSRVDETKSMMFSMEEGEEMADVHKGSEFRWRLVCRDNTSGGNGNGNGRGGNGNYRLEVRSFEMSFHKKHKEKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKLKQSVMDDLERFIRRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVELQQREEGQESSKPNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVTVTPAEVAEVLMRNDDTDVALEGLIQFLKRKKDVGKECKAENVEQVVKTEEIEKGLMKKNDIPENQDPQDASTE >LPERR01G18790.1 pep chromosome:Lperr_V1.4:1:16624165:16627946:-1 gene:LPERR01G18790 transcript:LPERR01G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAAYGCSAAAPQFAATISCRRAPPPRVSLASSSGKRAAEFPRLRVSCRRRILAVSACSGEADSDAAAAPAEGTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEYDLREENGLVIHGKRGEGGGVVEVDGEPRLSFVHVDLQRPVLILDLLCSGQDVWEVRIEGLLLVLLVEAHLKGPDLEPVVAVPTTAVPVAIPPAGVVAADEAPPELGALVYVGHLLAFLHAEHHALGLVDAADPEALHVGVDPRGQPSPEKSRSRTSYGTSSFTTPRTSIADAASDAAVVTALLYDSIALSYDAMAVRQSFVSAPHWYVSAAFFTTKCWVEF >LPERR01G18790.2 pep chromosome:Lperr_V1.4:1:16626101:16627946:-1 gene:LPERR01G18790 transcript:LPERR01G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAAYGCSAAAPQFAATISCRRAPPPRVSLASSSGKRAAEFPRLRVSCRRRILAVSACSGEADSDAAAAPAEGTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEYDLREENGVNTDTES >LPERR01G18790.3 pep chromosome:Lperr_V1.4:1:16624165:16625046:-1 gene:LPERR01G18790 transcript:LPERR01G18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYRSGQDVWEVRIEGLLLVLLVEAHLKGPDLEPVVAVPTTAVPVAIPPAGVVAADEAPPELGALVYVGHLLAFLHAEHHALGLVDAADPEALHVGVDPRGQPSPEKSRSRTSYGTSSFTTPRTSIADAASDAAVVTALLYDSIALSYDAMAVRQSFVSAPHWYVSAAFFTTKCWVEF >LPERR01G18800.1 pep chromosome:Lperr_V1.4:1:16628155:16628667:-1 gene:LPERR01G18800 transcript:LPERR01G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQPSTFHLAPAHRRNWQIGLAVTGSEYPSSVVAPKSPPLLSSYSEAPWRPVGNCVEQLATHHLTAGRLEYSGRMRSLPTASTATSSGCHEGKALPPAAPPRLRPAKASSRVSFRRILEARAGGRGNEAAWRAAKRKKRKGH >LPERR01G18810.1 pep chromosome:Lperr_V1.4:1:16629201:16631933:1 gene:LPERR01G18810 transcript:LPERR01G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRIQKEIKLLLNDPPHGVSLNLSGDESALSSLLSFEARIEGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKAPNIQEEKLSIEPMPKASNKNGEGSRKKMRLLGQKLSLKSEGSKENTTTGKKDMVANHLSSTARSSVPTACLSDISGKLNAPENISAIADNTVTAKKEYQGTRKNLQLFGQGFPVTSEGSSKNSSGNVEDNLPNHLHGSASSNTRHLVMESSDDVLEKSSAMSIDGSLDSSYYKASEGDRTNVWSLGQKLSLKLAKPEKKSNDQKENMAPKHLPSLSGFNNLQKRLSDVISTKNSIGQTSLVEQNSKSEHVLMPSNECNHGRKKLHSLSKRLSLKPELPADKSTQKEYVPNELPLSVPALESQILGTSDPKKDARQSNSSIKQNKTPMEMLVVSDSEDSADECERPSRSRLSLMRRRLAGKPRS >LPERR01G18820.1 pep chromosome:Lperr_V1.4:1:16632760:16638286:1 gene:LPERR01G18820 transcript:LPERR01G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGWDARWAIGVAVHIKKHRWGGKRFRKTMTELTPQQRKWVCDYGFEYLLSVSDFTMKPALAEWIMQRINTDLFEYRRGNRVIVFDKVLTRAILGLWDGDLPVNPSGSSEDAKELRAFYKPHFTSNRFGTGTCATLLLSLNDEEHFIRTFFFLFLLATLLCPNTGNYVNLEYLNCLDDVSKVNEYDWSSHIVRRLMFEVKKYQSFTPEQRKNDFQIGECIPLLLIAYMDHLELPTTGQEIHIVNYDMPRICHVTDDDLKYVEFVDRRRLSVGFLSYGNRPFRPKNEVPYYVVQANAGDAAQRGDGVQGDAAKGGAGGDSSQAGVGVPTSVQAIIVKHSSMWKDKFVSAAESFQKSMIEMHSKMTTDMISEITKVMGTRNEAGSADDVDLNQASADQPAAPDVIVMPSRSPSPAPSHTPAGSASASSLPTRSCSPAPTLLDASRSPSPVSIPPLAARIPSPATVALALPAPATRSPSPVAAAARSPSPVPPPAARSPSPGVHTPTAAGSPSAVGDSSGPSTHELKNRKKRAHKDVDDDDVAVGEVKKMRINTEVEEVYKRIMNVNIPRRRVKKGKLSDEPPPFLKTTGGFYVSLEYFRNSMCPRGLLYNEGQLINEPETFMTQSCLRDVVSVNKTEKLAKVDLIFFPILKEQHWVLVCVNNLQKQINYFDPIDHGKDSLWVKLSKQLANCGFFCMRYMDNWDGSKMMNFTAISIPTYRKLMTYMLVDSNLTTVDFSQLNQAKVG >LPERR01G18830.1 pep chromosome:Lperr_V1.4:1:16644251:16645676:1 gene:LPERR01G18830 transcript:LPERR01G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMSSLAPWRTMLSLGEIEHFLGLFLSVTLVKKTSLQLLRREVTIQHQDGPRRSSVYQLVYISARPYERKNQTEHSKPIYTENPRQSMIGLAKITDLVFFSKNPSRVTIRRD >LPERR01G18840.1 pep chromosome:Lperr_V1.4:1:16653356:16659051:-1 gene:LPERR01G18840 transcript:LPERR01G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRAQQASAAGGGGQPWSSAAAASAPAKGHDGGGGARREILTNYHHHGLKEKMRALTLFYEQHKQQLASSQAAGGARSRRSIQYAVGEVGKDENGKNFDEDMGIKRHSAVAVAAPATETAAVLRENMAPPEERAPPPPPPKSNNSNNVVVFSRPSDPTEKENVSHGGIAMSCPIKKAPLPAPATRKLSLGGGMAARMKAVGEVGGGNADAVGSRILVFVRLRPMSRKEKEAGSRSCVKIVNKKEVYLTEFASETDYLRLKRLRGRHFCFDSSFPDTTTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFAKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSMDGGTVVTRIGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSSLSFGETQNTLHWADRAKEIKTKALTTANEEVLKVADSETDQAKLVLELQKENSDLRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILTGNCFNTPDSKRPAADNALVRELQKKVKTMEAEIEKMKRENLLQLKQKDEFIRDLINRKTSNDPEAATVERRVATRASMRKAQKDATAAGELRSPSHRFTSPAPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKATSMLLQIYLVAEDKVVKITLKKLHTIDPPMKVCYS >LPERR01G18850.1 pep chromosome:Lperr_V1.4:1:16653988:16654311:1 gene:LPERR01G18850 transcript:LPERR01G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATNSQSAEEAGPSNYANTVDQVHDENTPDAKSTTPEFTNPLYVERLSFSSTLSNEAYYLMEKMQHNSAPSKRKTLRPSLIHRKNIGNLEELNPIGKNPKVFETKD >LPERR01G18860.1 pep chromosome:Lperr_V1.4:1:16661971:16667869:1 gene:LPERR01G18860 transcript:LPERR01G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLPSPPLAITHDSSPFAIPSPSHRADPLHLAPPPMAAGGSGGGGDIGADSERRLKKAMDKLYHFPKPKPPSSGSKPPSSSSSTLSIGRAGKAAGAGVRRFGMVRGSRLPPQMAAMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDSGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLSALPRISCSSLDSMKKKSPQLDQFLSKPFSSSIVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANPSSTLAQPGLINNTMEDRVVVYSPNEVDGASAAADVNQANQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTDGHASTVSGAGRSKTANVGFNFTIAGGPPPTRQNFRPRVSLPVLSRHLKADLSFRGNSFSFGSESHMVPVTTLHASGLTKRKRRDESHTLGGNNTSSNDADTTAKGADNQRDDSVSDLVVNTEHIQEGSHSDASKGAGEVSHEESATGPAAIKSLTSTDMELSQNVSEPKSPLVENSSNACKLAETSMNNSRPVQVATFTKSSASREKGASQSSVTGKQGLYDKLNEFDPMKQHRTFCPWICPDDGEALPGWRLTLSALLSQDKRFNGDSQVEPQISLLNEEDDPVTSVRKLFMTPPSKKLRIQQSEKN >LPERR01G18870.1 pep chromosome:Lperr_V1.4:1:16668795:16671062:1 gene:LPERR01G18870 transcript:LPERR01G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRILKKKDVEEFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVVEAIYLIIFFLYSPNKKRLRMMAVLGVEAVFMVAVILGVLLGAHTHKKRSMIVGILCVFFGSLMYFSPLTIMGKVVKTKSVEYMPFFLSLVSFLNGVCWTAYALIRFDIYVTIPNGLGAFFGAIQLILYFCYYGSTPKESKQPPKDVEMPPHVVSGNTDGGNVSITVER >LPERR01G18880.1 pep chromosome:Lperr_V1.4:1:16673533:16676851:1 gene:LPERR01G18880 transcript:LPERR01G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLGVEAVFMVADVLGVLLAADTHEERSTIVGVPCVCFTSLVFFTPLTFIVDIRGLNF >LPERR01G18890.1 pep chromosome:Lperr_V1.4:1:16679336:16679584:1 gene:LPERR01G18890 transcript:LPERR01G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDCICWTAYALIIFDSNLALPNGIGAVIGAVLLILYAWYYRSTPMMSKAKNIKMPVIVSCPGASAADTTGCIVSVTIER >LPERR01G18900.1 pep chromosome:Lperr_V1.4:1:16681115:16684060:1 gene:LPERR01G18900 transcript:LPERR01G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKKKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVVEAIYLIIFFLYSPNKKRLRMMAVLGVEAVFMVAVILGVLLGAHTHEKRSMIVGILCVFFGSLMYFSPLTIMGKVIKTKSVEYMPFFLSLVSFLNGVCWTAYALIRFDIYVTIPNGLGAFFGAIQLILYGCYYRSTPKKGKVPPKDVEMPPHNAGSAAAAAAGANPGGSNVSVTVER >LPERR01G18910.1 pep chromosome:Lperr_V1.4:1:16687172:16690682:1 gene:LPERR01G18910 transcript:LPERR01G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYQFKEILKIQKFRRMASYAGFYCFTTLLTYAYTSNTTRAGISRGDQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >LPERR01G18920.1 pep chromosome:Lperr_V1.4:1:16690622:16691029:-1 gene:LPERR01G18920 transcript:LPERR01G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLSKASSAVAACARRVSRATRRLLHKRLLRRCGGGGGVETGKPDVVGEGLWRRAILMGERCEPLSFPGAIHYDSLGRRLSQPRRGRQQAKPAGAAAMLCRSSDAVEEAVVSAAAANGSSKARYVAAVPLLRD >LPERR01G18930.1 pep chromosome:Lperr_V1.4:1:16693080:16694078:-1 gene:LPERR01G18930 transcript:LPERR01G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLPPLLLLLFLLAAVAPGPSSARHVITFTPSRGVIPKSLAWDPTAQHFVVAGGGEAVLSVSDAGVTESIASSAAASAVAVDDRRRRLLVASRGSISALDLRTPRPHAVLFSTPLPDDQAPPGGVAVDPLSGAAFLAVGARIYKVSPDFDLAALPSSPAYGSNPLGSLAAHVSLGFLLVGQPSTGHLLRVDMEDGSARVVSGALAPPSPAAVAVRSDGTVAVAGGATLRLVGSNDGWASCAEHDVAEAEPSSAAVVAVAVRERRRVYALVAPAAEGTGEKWRIEEVAWKKEGEGEMVVGLIFVGVALAIFMFWRFQMRQLAGNMNKKIR >LPERR01G18940.1 pep chromosome:Lperr_V1.4:1:16695789:16696200:-1 gene:LPERR01G18940 transcript:LPERR01G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTGMSIFMLFLALGSLAIMVHGGKAHAREIVKSEEDKVAADLTQPEIDPQTLCDPSTDCAPQPWRGCYRCIVNPKGNPPFLTPDECKTGCPIPPAHA >LPERR01G18950.1 pep chromosome:Lperr_V1.4:1:16701884:16702274:-1 gene:LPERR01G18950 transcript:LPERR01G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTRMSVFMFLLAFGSLAVIVHGGRTHAMETVKSVGEGKGAAGLIQPQIDPITICSPSNFCIPQPWSVCYRCIVKPYDNPPFETIDECKQNCPIPPAHT >LPERR01G18960.1 pep chromosome:Lperr_V1.4:1:16709864:16711616:1 gene:LPERR01G18960 transcript:LPERR01G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRRTMQPAATGSYYAVLGVHPGASAAEIRAAHHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEMVSLMATVGRQEPVYSLDELRAMLDGMMQDFTSSELPSPSGFFASAGSSPFAEPRDVQQPRGSASTHTHPQGVGNSAFLSRMAFSSY >LPERR01G18970.1 pep chromosome:Lperr_V1.4:1:16711972:16712358:1 gene:LPERR01G18970 transcript:LPERR01G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSQMLLQVAILASVLATPSLGRVMKCKCLMCVCDVDPHPVVTPSVPVHHYSPPHEEATPVYYLPPPAVPYAQYPYPQGQGAYGQYPYPYPQYIHSAAHGDGVSSRLVAVSALLVSGLLPLLVCSV >LPERR01G18980.1 pep chromosome:Lperr_V1.4:1:16712834:16715217:1 gene:LPERR01G18980 transcript:LPERR01G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVALLLVGVVAFLAAAPSPATAAAAPVGAFSEVPPATPDGGGGEGCFCHLLRDPLLLGFPVDAARLGALLPTCAAVNASAAAAAVATVEAATLFADTCRDLKSLPEMRFLPETPPTPTISPAAVPGSMPPTTEVPRSTPVPPQDLSGSETSNPCRIFLVVLLALTAIAAADLIQL >LPERR01G18990.1 pep chromosome:Lperr_V1.4:1:16715562:16716089:1 gene:LPERR01G18990 transcript:LPERR01G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIGSRMCRGVRSCWAHQWPRSYRRLAPAPATPRRPARAAAAFGGIRLGRRPAAAWIRFAQLRAEHVRWWPSPRLQLLCRVVGAYLSTVLPRRMLQERTESLDDATAARLRRGASKRHGGVDHGRGVVLNLCVAEALLLRRSSSVTRRSPLRTRKTARTERTSILSSLISNCR >LPERR01G19000.1 pep chromosome:Lperr_V1.4:1:16716836:16717281:-1 gene:LPERR01G19000 transcript:LPERR01G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILDLQISMAVHRPGRSGFLEISSGREEGKAAAESDVEEDRRVKFQNYKPVLYLLF >LPERR01G19010.1 pep chromosome:Lperr_V1.4:1:16720196:16731111:1 gene:LPERR01G19010 transcript:LPERR01G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRIAGGDEEVAAASGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLYGTGLQFGGPATMVYGWPIAGTFTLIVGLAMAEICSAYPTSGGLYFWSARLCSHRQWGPFASWLTGCKPLNSVGAMTWNKATSADSAVDVDDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHAAILLSHAVINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSPDNEAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSAFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFLLVLSSWLVSARHWFKGPGVEEAIVDCVPGRRRYQKMIWKVVK >LPERR01G19010.2 pep chromosome:Lperr_V1.4:1:16720196:16731564:1 gene:LPERR01G19010 transcript:LPERR01G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRIAGGDEEVAAASGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLYGTGLQFGGPATMVYGWPIAGTFTLIVGLAMAEICSAYPTSGGLYFWSARLCSHRQWGPFASWLTGCKPLNSVGAMTWNKATSADSAVDVDDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHAAILLSHAVINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSPDNEAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSAFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFLLVLSSWLVSARHWFKGPVTNLDG >LPERR01G19010.3 pep chromosome:Lperr_V1.4:1:16720196:16731111:1 gene:LPERR01G19010 transcript:LPERR01G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRIAGGDEEVAAASGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLYGTGLQFGGPATMVYGWPIAGTFTLIVGLAMAEICSAYPTSGGLYFWSARLCSHRQWGPFASWLTGCKPLNSVGAMTWNKATSADSAVDVDDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGCVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHAAILLSHAVINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSPDNEAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSAFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFLLVLSSWLVSARHWFKGPGVEEAIVDCVPGRRRYQKMIWKVVK >LPERR01G19020.1 pep chromosome:Lperr_V1.4:1:16736301:16739888:1 gene:LPERR01G19020 transcript:LPERR01G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKKKNPPPESARATKSSKNTQHDHQIQNPPSNSAARAPLPSLQPKSPQKNHRISGGEREESPPTLSRLVIPMAAAAADEEELEPLFDYSRVQPTMAFSFDDTDIEKSDIFVHCHKRRKVDDAGGGGSAEEGDKADQQAAASAKATARTVDLEENWLPSPPKPKSTVRPEIEEDSLLRELRLYKQRLAKIAEESANDVLEKVTETARQKVEARKTLEHIDLDKSPERHVENAREKVVITVQNKAGEQQFRLYKDEKFDKLFRAYAKKNNLSLSALTFVFDGEKVNPASTPEELGLEDEDMIEVD >LPERR01G19030.1 pep chromosome:Lperr_V1.4:1:16740439:16751845:-1 gene:LPERR01G19030 transcript:LPERR01G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQHQQQQQHQHQQQQQQQQQQRRDGTHLLNGNASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLEEASIKQRYGDNAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQNIKTEMNPILTPRSAGPEGSFMGVQGSNQAGNNLTLKGWPLTGLDQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLVLQAQQNMASPTSSDVDSRRLRMMLTNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAHAQQLQQQQLLQQQSSSQQHPQLQQPAVSSQQSQSSNQLLQQEKSGIGSMPVDGGMPNSFGGADQTTKKRKKPGTSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALGDVDRLLEDGSLDENVESFLSQDDMDPRDPLGRCMDASKGFGFAEVAKARASTTKVSCCHFSSDGKLLATGGHDKKVLLWFTEPLKPKSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNILSLCWDSTGDNLASVSEDCVRIWSFASGHDGEFVHELNCSGNKFHSCVFHPSYPFLLSLELWDIREKNALTIHGAHDGLVAALAASSATGKVASVTT >LPERR01G19030.2 pep chromosome:Lperr_V1.4:1:16740532:16751845:-1 gene:LPERR01G19030 transcript:LPERR01G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQHQQQQQHQHQQQQQQQQQQRRDGTHLLNGNASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLEEASIKQRYGDNAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQNIKTEMNPILTPRSAGPEGSFMGVQGSNQAGNNLTLKGWPLTGLDQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLVLQAQQNMASPTSSDVDSRRLRMMLTNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAHAQQLQQQQLLQQQSSSQQHPQLQQPAVSSQQSQSSNQLLQQEKSGIGSMPVDGGMPNSFGGADQTTKKRKKPGTSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALGDVDRLLEDGSLDENVESFLSQDDMDPRDPLGRCMDASKGFGFAEVAKARASTTKVSCCHFSSDGKLLATGGHDKKVLLWFTEPLKPKSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNILSLCWDSTGDNLASVSEDCVRIWSFASGHDGEFVHELNCSGNKFHSCVFHPSYPFLLSLELWDIREKNALTIHGAHDGLVAALAASSATGKVASVSHDKCVKLWK >LPERR01G19030.3 pep chromosome:Lperr_V1.4:1:16740532:16751845:-1 gene:LPERR01G19030 transcript:LPERR01G19030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQHQQQQQHQHQQQQQQQQQQRRDGTHLLNGNASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLEEASIKQRYGDNAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQNIKTEMNPILTPRSAGPEGSFMGVQGSNQAGNNLTLKGWPLTGLDQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLVLQAQQNMASPTSSDVDSRRLRMMLTNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKQLQQQQLLQQQSSSQQHPQLQQPAVSSQQSQSSNQLLQQEKSGIGSMPVDGGMPNSFGGADQTTKKRKKPGTSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALGDVDRLLEDGSLDENVESFLSQDDMDPRDPLGRCMDASKGFGFAEVAKARASTTKVSCCHFSSDGKLLATGGHDKKVLLWFTEPLKPKSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNILSLCWDSTGDNLASVSEDCVRIWSFASGHDGEFVHELNCSGNKFHSCVFHPSYPFLLSLELWDIREKNALTIHGAHDGLVAALAASSATGKVASVSHDKCVKLWK >LPERR01G19040.1 pep chromosome:Lperr_V1.4:1:16751900:16755389:-1 gene:LPERR01G19040 transcript:LPERR01G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHRLHVSAKLQLAALLAGVLLLRCRSLRVRHRCRRAFQRWVGRATPRLGCCWSSSFPVAAPTVRDSGRLSRRVVVARARSKGGWF >LPERR01G19050.1 pep chromosome:Lperr_V1.4:1:16755778:16766711:-1 gene:LPERR01G19050 transcript:LPERR01G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNWEADKMLDVYIHDYFIKRNLQATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQQAKAREHQKQQQQQPQQQQQQQQQQQQQQQQQQQIQMQQMLLQRAAQQQQQRRDGSHLINGSASGLSGNDPLMRQNPATANAMAAKMYEERLKLPSQRDSLDEASMKLQQRYGENSGQVLDPNQASLLKAATGGQSSGPILHGAAGGLSGTLQQVQARSPQLPIPEQNIKNDINPILTPRAAGPEGSMIGLQGSNHGGSNLTLKGWPLTGLDQLRSGILQQKSFIQSPQQFQQLQFLTPQQQQQLLLQAQQNMASPAANDVENRRLRMLLNNRNMALQRDGQINSGGDTIPNIGSPDQSGGSRNEIDMLIKLQQQGHSQQQQQLQQPTISHQQAQSLNQLLQQEKLGVGSMPDGSLPNSFGVADQASKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQHNGGPSKSLLMFGSDGTGSLTSPANPLGDVDRLLEDGSLDENVESFLSQEDMDPRETMGHCMDASKGFGFTEVAKAHASANKVVCCHFSSDGKLLATGGHDKKAVLWYTDGLNPKSTLEEHSMIITDVRFGLSMTRLATCSFDKTVRVWDADNPDYSLRTFTGHAASVMSVDFHPNKEDIICSCDSDGEVRCWSINNGSCMNYARVFNGGATQLRFQPRHGKYIAAASEKMISILDAETLQIYRSDLQGHVKNIQSVCWDATGGYLASVSEDSVRVWSFTAGNDGDCFCVIDILQSLELWDIREKNTVTISNAHDGMIPALAASNASGLVASVSHDRLVKIWK >LPERR01G19060.1 pep chromosome:Lperr_V1.4:1:16772475:16775705:1 gene:LPERR01G19060 transcript:LPERR01G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPLPATRLPPKPPHDASLHATLASLSQQCGAGAGGGGSALRDAFALVSRAERDACPSAAVAVPVGPEVYASLLQCCVSAGCLRAGRQVHAAAVKRGPYYCRHAYIGTKLAVFYARCGAVDDAERAFGPLPAKNAFAWAAVIGLWSRAGSHGKALAGYAAMLEAGVPADNFVVPNVLKACAGLGQIEAGRAVHGYAWKAGVGDCVYVMSSLVDFYGKCGEVDNAREVFDAMPERTVVSWNSMLMGYIRNGRIDEAAELFYEMRVEGVLPTRVSVLSFLSASADLEALDGGRQGHAVAVSSGLEMDLILGSSMINFYCKVGLVEAAEGIFEQMVERDVVTWNLMISGYLQDGQIDKAFNTCHRMLNNGLKFDCVTLASIIMACVKSRTEVGRAAHAYTVRNNLESDKTVSCGLIEMYASSGMIEHARRVFDSIRSREIVTWKAMICAYAYHEMGSDALKLLYQMQLEGMSPTAACWDSVLSAFTQNGQFDDALNTFYEMLLTSTRPNLRTWSLLITGLSQNVHIPRNGVWSESELVISSRVPVLYENNISSSSECSDINQKVSITLKGSKQQNMKKEHHQPVLNNRNRKIDALLNGTRVLRPEELSAHRCLRRSRLCNRPDLTA >LPERR01G19070.1 pep chromosome:Lperr_V1.4:1:16778710:16783785:-1 gene:LPERR01G19070 transcript:LPERR01G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRALALLLLAVTSTLVVSEPPASERSALLAFLTATPHERRLGWNSTTSACGWVGVKCDAGNTTVVQVRLPGVGLIGAIPPDTLGRLPNLQVLSLRSNRILGGIPSDVLALPSLRLLFLQNNLLSGQIPPEVSKLGSLERLVLSNNNLSGSIPFTLNNLTSLRALRLDGNRLEGSIPSISIKGLDIFNVSDNNLNGSIPASLSRFPANSFAGNLQLCGSPLPPCKSFFPSPAPAPGLSPSDVPGGAASSSKKRKLSGAAIAGIVVAAVVVGLLLLIAIVLCAVSKRRRAAAGEGPKGTTAAAAAGGAGTRGVPTPGSGEGTGMTSSSKEDMGGGGASGSAAAAAVAAAGCGEGSGFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTQHNLVHGNIKSSNVLLRPDQDAAALSDFCLHPIFAPSSSRPGGGGYRAPEVVDSRRPTAKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGGSAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESEEGVRGTSEEERSRGTPPAAPTP >LPERR01G19080.1 pep chromosome:Lperr_V1.4:1:16822045:16829473:-1 gene:LPERR01G19080 transcript:LPERR01G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAEMQKVASLRKGGGGSSASMWWSADNGVFSRSRSASVTEEDDEEALRWAALEKLPTYDRVRRAILPTTVEDGAGGGGGEGGGGGEAAGKRVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNKLEAAANALGVLPNRKQTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLNKDLKFSGQVTYNGHQMEEFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGTRFDMLTELSRREKAANIKPDADIDAFMKASAMEGQETNLITDYILKILGLDICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPDRKGVADFLQEVTSRKDQKQYWMRHDKPYRYVPVKEFASAFQSFYTGISIANELATPFDKSKSHPAALTTSRYGVGAMELLKANIDREFLLMKRNSFVYIFRMCQLMTVSAIAMTLFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIELFLTPELLHDIRFFKQYLLMLAINQMAAALFRFVGGAARNIIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAIQVNEFLGHSWDKILNSSLSNETLGVQALRARGVFPEAKWYWIGFGALLGFIILFNGLFTLALTFLKPYGKSQPSVSEEELKEKQANISGNVLDVASSTNLPIVSNTETGSEISDNSQPTQRGMVLPFSPLSLTFDDIRYSVDMPQEMKARGVVDDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARVSGYCEQNDIHSPQLTVYESLVFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDSLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIQGVNRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTKYSQSFLNQCLACLWKQHISYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLVQSVIYGIIVYSMIGFKWTAAKFFWYLFFMYFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPLNSIFARPCSQKVPIWWRWYCWICPVAWTLYGLVVSQFGDIMTPMEDGTPVKVFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKR >LPERR01G19090.1 pep chromosome:Lperr_V1.4:1:16833073:16840369:-1 gene:LPERR01G19090 transcript:LPERR01G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSGGEESQHKKRRRKKMRKNGKLRRKMENVTLPTYDRVRRAILPLGGDGDGGDAAGDAAAAGKGVVDVLGLGPRERRALIERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLDAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILANRKRTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKVSGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVITDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESVGFKCPDRKGVADFLQEVTSKKDQRQYWARDDMPYRFVPVKEFVKAFQSFHTGRAIANELAVPFEKSKSHPAALATTRYGASGKELLKANIDREILLMKRNSFVYMFRTFQLMLVSIIAMTLFFRTKMNRDSVTSGNIYSGAVFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDPNVGRFFKQYLLMLAINQMAASLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAVSVNEFLGNSWNKIVDSSKSNETLGVQVLKSRGVFPEAKWYWIGFGAMLGFTVLFNALFTFALTYLKPYGNSRPSVSEEELKEKCASLNGEVSDDTQLSSGSTRRPIGNATENDSRTVDADSGPTQRGMVLPFTPLSLTFDNVRYSVDMPPEMKAQGVADDRLELLKNVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFQSIPGVSKIKDGYNPATWMLEVTTISQEQLLGVNFSDIYKKSELYQRNKTLIKELSQPAPDSSDLYFPTKYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTIIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGVIVYAMIGFEWTVAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCWICPVAWTLYGLVVSQFGDIDTPMEDGTPVKVFVENYFGFKHSWLGYVGTVVAGFALLFACLFGFAIMKFNFQKR >LPERR01G19100.1 pep chromosome:Lperr_V1.4:1:16859424:16860497:-1 gene:LPERR01G19100 transcript:LPERR01G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASSSQSCSAIFHRLLPHEMMTRTRLPETPSSSSSSDAVGELRLSDFDKIGDLGEGASGSVTKVRLRGTGAVFALKTAYYCGSGAEGEGEEKVEIAALRRLGGAPSPSPHVVRCHAVFRCDGGEPAILLELMDAGSLGDILRRRRRGLPEPAVAEVAARCLAALAHVHARGVAHLDLKPDNLLATTARGGDVKLADFSVSRVFLGDSGERRRVPIAAGSTAHMSPERFAPNAHAGPRGACAADVWGLGVTVMELFLGRCSFLPPAAAGEMVSSWVRLMEAICHGEPPSLPESSAASPELRGFVASCLHKDPRRRATVAQLMDHPFVARRDGEACRRQLWEIIGENIVEERST >LPERR01G19110.1 pep chromosome:Lperr_V1.4:1:16861178:16868509:-1 gene:LPERR01G19110 transcript:LPERR01G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRRDGSMWRSRGNDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVDGGDGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEAEVRVGNRGLPTLVNSVSNTVEAIGNALHVLPSRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLTVSGKVTYNGHGMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTCVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVKQFADAFHSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDKDYGMIYLGAMYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFVAGIGRTMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSKIVPEENVTLGVSILKSRGIFTDAKWYWIGFGALIGYTLLFNLLYTIALSVLSPFADSHASMSEEALKEKRANLTGEVLEGQKETRKQELELSHIANQNSGSSRVDSSASRKGMVLPFAPLSLSFNNIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLREALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGLDGISKIKDGYNPATWMLEVTSSAQEELLGVDFSEIYRQSELYQRNQEVIEELSTPPPGSTDLNFPTQYSRSFFTQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGTKTRKNQDLFNAMGSMYAAVLYLGVQNSGTVQPVVVVERTVFYRERAAGMYSAFPYAFGQVVIEFPYIAVQAVIYGLLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGFLIPRPKIPVWWRWYSWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVNEYFGFRHDLLWLVALVHVLFALTFACLFSFAIMKFNFQRR >LPERR01G19120.1 pep chromosome:Lperr_V1.4:1:16877929:16878471:-1 gene:LPERR01G19120 transcript:LPERR01G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQCEKDFDAVIERMLNLNLDAAGAEPDPPAQQQLQPAATGPGGNNNIGAGLSYWAETLVSEVFGADTLGDARERVRAVLEAFGGAVDASARAGAASRMDAASRESAVLKRAVLYHYRLLKAERKAQEQLRMQVYDYGERVRRLEASNYALTLHLRQAELHHAGGGAMPPGPRNPEIF >LPERR01G19130.1 pep chromosome:Lperr_V1.4:1:16881093:16884379:1 gene:LPERR01G19130 transcript:LPERR01G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSALMHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >LPERR01G19140.1 pep chromosome:Lperr_V1.4:1:16885426:16894781:1 gene:LPERR01G19140 transcript:LPERR01G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNENIQFSWGKKRAKGGAKMDTQFYDSFTFDNVKYSLYDSVYLFKSGDPEPHVGKIIKIWQQNQAKKVKILWFFFPDEIRKHLSGPVMENEIFLASGEGTGLADINPLEAIGGKCTVVCISKDEKNRQPSPREQAMADFIFYRFFDVGKCKLSEQLPEKISGLEVNLLLNPKVEQVTSFSEQEAHGVDQKVLNAPLPLPQSTVKEDESPVAAVSVPQSVVKEEESVAAAIPLPQVAIKEDDFPKPTENVPKSSENIPKPMQKVLYGERPSKRDRSKWFKLSWDTRLRNADEQGTLVYIQNLDIQFASADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKDAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLVGHLTISNLKMGQRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVREKQEKKFRILHKKHTEERKSFASMMGK >LPERR01G19150.1 pep chromosome:Lperr_V1.4:1:16896070:16899612:1 gene:LPERR01G19150 transcript:LPERR01G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGDAAASKEKGGGVERTSLDGVRDKNVMQLKKLNTALFPVRYNNKYYQDTIASKDFSKLAYYSDICVGAITCRLEKKEGGAVCVYIMTLGVLAPYRSLGIGTKLLNHVIELCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCHRDAGEAADRQWAAGKGGRRGGGNAAGATRSGEGGGQGRRWGKLVAGKLGWAAQQDRGRCDEEVATLLVAEGGGWRGEARS >LPERR01G19160.1 pep chromosome:Lperr_V1.4:1:16902227:16904938:-1 gene:LPERR01G19160 transcript:LPERR01G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPAATGPDPPTIKFADSNLQTFPPSEAKGKISGAYRPPTDADDTFSSKSGGGGRGSGGAGSDDAAQGGWFRMFSVAAYKPYFDVDSSDVVERIWESVFPFRGTFTEKTSDNPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWSYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >LPERR01G19170.1 pep chromosome:Lperr_V1.4:1:16907093:16914109:-1 gene:LPERR01G19170 transcript:LPERR01G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTAFRRRKLSSSSVLRILRQQSLLTVTSAAPRSGLVECGRAAPSAVNERAAEAMRLAPSGSLRRAAAMSSYYYNPRGSARPRRGYSGRPTTTPPPPQHDAGVDIVSGDSHHNAVRAANDSLRRGGGRRPPSSYGGYGGPQPYGAVPYNYGHQPPSPDPRYGSYGTPNPYVQGHPQPYWRAQTNAGFPQPYACFRPQQPPRLAEYRRRWRFAQHRPPRQAERFKVLSYNILADYLAQEHQYLYECIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYKEDIEFNKLDLRDNVAQICVLESVIPGNMQTGSSSSNPQQDKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRAYTISKAWNDAPLNLAGLAKSTISGQQTSTSQGLYTGYNTSRLYPPFLTTSSREGSVTCSNDHKPQPEARNVMENSCLSGREPALTDTASDACLTSESSSCFDTKVPCSGSTNSHEHELLSRVEGPTKDAYTSDAEAHINTSNGEDISVVNNSNEGCYEVVKKGPVEETNTTDFPSASSELCDEILQSDSSRSHLLSSKDSGEELAGGSNNSSNTLGDFSGHLISEIATCAFEGNNVQPDTLYQSKDRHDEMEQNNCTTSESESTCFSDSLRFTDTLHQMSNLRLEENNTEPTHLPSALEPSHHTDCASSDTCDTQCTPEVINKYSELHTCSDEFGNRSHALEDGETSNEVLCPDVNSDPSFFQEFSGVNESLLEDENQLRTTSDGSPSAQQVVTSDRRYYDYDPYRWTPDEIKAATGNEECTFLEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYHRKFMGTLDYIWASEDLQTVQVLDTFPKELLKQTVGFPTKAKRVFAFGARERCLPT >LPERR01G19180.1 pep chromosome:Lperr_V1.4:1:16915314:16917769:-1 gene:LPERR01G19180 transcript:LPERR01G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQRMHRDAGGGEPAAFETAPLLARPPPPSPSNSPAEIEDEEIEASSAACCRICLESDTEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNICRKMKFRLFVARDVVLVFLAVQTVIAAIGGMAYVLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGVVVFFVLVGFIGLIVQCSSFNTTDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVVVFAILGVAYGFLAATVAVQRILQRHYHILTKKELTKEYVVEDLHGCYTPPKMDPVQEQRLKTLQLM >LPERR01G19190.1 pep chromosome:Lperr_V1.4:1:16922460:16924891:-1 gene:LPERR01G19190 transcript:LPERR01G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLPRLCALVLPFLAVATCLDAPSHGCYWTGCQSKWSRVCSTGHFLDSQSGDCDGLCTESKTPPCLPFHTHFHCCITGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTIMIRHSKLGYCKSSAELSMQLKPHEIFHWVAGPWMKCSSPCDGGARYRDVACYGNLSDATIKHYPVDDASCRADEMPTRQEACNEQSCGGVDMTEPTDSNRSGMSGWLVALIVLLGLGAIGGIVFTSYTYYLRYA >LPERR01G19200.1 pep chromosome:Lperr_V1.4:1:16929216:16932256:1 gene:LPERR01G19200 transcript:LPERR01G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAVLVLLLACAAARAAAVVTDGLLPNGNFEEGPPKTDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLSVTPGAYYAVTFSAARTCAQAERLNVSVSPEWGVLPMQTIYGSSGWDSYAWAFKAKMDVVSLVIHNPGVEEDPACGPLIDGVAIRALYPPTLVKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFSVGDAADGCTGSMVAEAYAAKGSVKVPYESKGTGGHKRAVLEFAAISPRTRVVFQSTFYHMKTDGTLCGPVIDDASLVGLRKKLAGRRLLL >LPERR01G19210.1 pep chromosome:Lperr_V1.4:1:16933554:16936953:1 gene:LPERR01G19210 transcript:LPERR01G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPNFKLVIVGDGGTGCGMAVWVIGSRLGLQFRCRRGSLEIKRRCCREGGEVAGGAREGGGAVAGGAQEGAVVCAGGAKSKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVESPALAPPDVLIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >LPERR01G19220.1 pep chromosome:Lperr_V1.4:1:16938467:16939851:-1 gene:LPERR01G19220 transcript:LPERR01G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINTGMKVEAWRGTDTVMGGWRMGVVVWGNGHQYKIQWDDGGEVSGRIRRVSVRPLPPPRPVPDDLEHGDLVEVFDECMWRLAEFVRSSGDEFTVKIVATPNFITVPCSLVRVRQVLTDRDIWVATYKGEEIPGAREPTSRPAAAAKPRAPTAGAGKKRGGQFAPPPPVASGQWAKKIKKSRHAIGGGYDVVRQVTGDEIHSDSICALEDEQNERYMRKYHKYHNLNVEMEVINVNEPNSAAALGNKQQQREMNGGECEAKSVSSSGSSSSRSRSEDDSDDDRSDSDGSSSSSDSDNGDHAAANSPPRNAQPANQPPPQQPQRVKEELADADAEANANNDERTESRASAMQQRRPAPAPAVVAGQIHDLELDAYEALMRVFHATGTLTWEKEELLTQLRMQLHISGDEHLQLIRTLHGGRRRAPKPDNVDA >LPERR01G19230.1 pep chromosome:Lperr_V1.4:1:16943121:16944401:-1 gene:LPERR01G19230 transcript:LPERR01G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINTGMKVEAWQRNGNARSWAAAGGAQARWCGGNGGAVVSGRIQRVDVRPTPPPLPILTDLEPGVHIEVFDQSMWKLAKFVSSGDQAGSTSADEFTVKIVTTPSVDVTLPRSMALSNI >LPERR01G19240.1 pep chromosome:Lperr_V1.4:1:16947113:16947397:-1 gene:LPERR01G19240 transcript:LPERR01G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYQLTSSSSSSSLAAMVTAGPSGTAAAGVSSSNVDVDQLPTYDQRSDAGKKKEALDASRASLAHTLVHLVPVVVLLCGLLLWSLSTTPS >LPERR01G19250.1 pep chromosome:Lperr_V1.4:1:16952762:16956264:-1 gene:LPERR01G19250 transcript:LPERR01G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAATWRVLEFYSGIGGMRYSLEASGVRAEVVEAFDINDVANDVYELNFGHRPCQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILSLMKGMSFPPQMLFVENVVGFEVSDTHDQLLEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPMTFQNPSDNSKLLRTPTFLKLIRDGHNIYNHNEDEMELICKPIKDFLETRIQNIDEQGSSGTISDFIRCDGCTPTETFFQDYVVPLNLIERWGSAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSNNLKQISKENLELSSLKELGLRFFTPREVANLHSFPSSFHFPDHISLRQQYAMLGNSLSVAVVGPLLCYLFAET >LPERR01G19260.1 pep chromosome:Lperr_V1.4:1:16958412:16962928:1 gene:LPERR01G19260 transcript:LPERR01G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLQTLAPAVRRAASSAASAAASGAAPSSIAAASRAAPLSTAAAAFRRTSPLLSGDKPATVEDVMPIATGLEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEHHH >LPERR01G19270.1 pep chromosome:Lperr_V1.4:1:16970062:16974860:1 gene:LPERR01G19270 transcript:LPERR01G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGARGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFTNGVAYPITLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGKADEVVTYRNGERSADFLRSSGFQYLSTKSYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >LPERR01G19280.1 pep chromosome:Lperr_V1.4:1:16976527:16977504:-1 gene:LPERR01G19280 transcript:LPERR01G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSHGKGCSRRSEECAAAEAAAAAKQAAEWEDVRCPVCMDHPHNAILLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKESSKDSGAGSAAAPECSECQQPVKLSCPLCRGPVSHWTKDYDARKYLNAKVRACTKESCEFRGAYGQLRSHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREEGIGVSEGEEDIGDRTLHSPSITMVFIVRTGRSILHYREAFPSHRRRTIFLLGEAFGRESSPLGGASGSGDADTTVRDNDEGDDDVELSTEASAGSQHDGEVDGDPAH >LPERR01G19290.1 pep chromosome:Lperr_V1.4:1:16994182:16998981:-1 gene:LPERR01G19290 transcript:LPERR01G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVAAVFAAAAVVAGVAAAAAGRGEGGGGGVCFDRIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLGGGDFRHGANFAVGGATALNGSFFRNRGVEPTWTPHSLGEQMQWFKELLTTISSSESELNNIMSKSLFLVGEVGGNDYNHLIVRGKSLNELHELVPKIVGTISSAITDLINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRSLHPDVSIIYADYYGAALNIFRAPLQFGFTVPLNSCCGSDAPYNCSPLILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYAVPPLSETCQGGEYKVSQLHQCTDNPTNTVTYDAMSSFI >LPERR01G19290.2 pep chromosome:Lperr_V1.4:1:16994182:16998981:-1 gene:LPERR01G19290 transcript:LPERR01G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVAAVFAAAAVVAGVAAAAAGRGEGGGGGVCFDRIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLGGGDFRHGANFAVGGATALNGSFFRNRGVEPTWTPHSLGEQMQWFKELLTTISSSESELNNIMSKSLFLVGEVGGNDYNHLIVRGKSLNELHELVPKIVGTISSAITDLINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRSLHPDVSIIYADYYGAALNIFRAPLQFGEFHLPSFTVPLNSCCGSDAPYNCSPLILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYAVPPLSETCQGGEYKVSQLHQCTDNPTNTVTYDAMSSFI >LPERR01G19300.1 pep chromosome:Lperr_V1.4:1:17008781:17009310:-1 gene:LPERR01G19300 transcript:LPERR01G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGGRDAGARARRQLQAAGRAAAFLGGGFLLLSAASSVAVRSLRALSDANQRKFAAPCGACEGKGTYACRLCRGSKTIEWSPLYDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >LPERR01G19310.1 pep chromosome:Lperr_V1.4:1:17012330:17018185:-1 gene:LPERR01G19310 transcript:LPERR01G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPETAKPPDTTSRATPPPPLFPSPLQKNPSLTTAAPPEPSPPSHATPIPPSSSGQAWPEADEPLPSTPTPIDGPPGAAGPPPPSFPSSLELDTSVVAAAAKPSSRSLLRPGEALFEFYHDAAPLSPSSSEETAEDASTASPPATPPPLVLCASPDSYYSDPDAMESPPRDPMGSDEGWHTAPESPTPPLEARQEGFQQQQPPIPFPTNSPECDSSEPAELPLPPTSPAEISRTSPDADEIVDVVAVTPGETPAGSTIAMEVTHGEMEIDTVAVSVPPVLECGEEVSLQESMQRPSSLAMNIEQETVQRPSSPAMDAEIDIVVAATPEVPVSMPPAPVLESGEGSVQESMQSPSSLATKAEQESVQRTSSPTIDTKVDVVVVATPEEAPGSSTLAMEVTYGETDTATVMTLEEAPGSKLAMEVIYGETGTAAVSVPLSPVLKSGEEGSLQESMQKPSSPAMNSEPESMQKPSSPAMNTEPESMQRPPSPAMNAEEESTQRPSSPTMNAETDVMVAVTPEEAPVSMVAMEVTYGATDMAAVSVPPAQVLESGEKRSLQESIQRPSSLAMKAQQESMQRPSSLTLHTEIETVAVAMPEEAPGPTVATEVTYRETDTAAVSVLPAPALEGGDEGSMHRPSSLAMNTQQESMQRPSSPTMDTEIDTVVAAMPEEAPGSIVATDVTYRETDTAAVSVPPAPVLESGDVGSLQESMQGPSSFVMNTEPGSMQGSSSTTMDTKIDVVVSAKPEEAPGSMLAVEVTYGETDIAAVSVPPALILESGEEVSLEESTQRPSSPATNTDPVSMQRPSSPTVDTEIDVAVAARPENAPGSTLEMEEAHRETDTTTVSVPLAPVLEGGEKGSLQESMQRSFSPKMNTELESMQRLSSPAMDIEPESMQKSSSPTLDTKPCSPEMVPPGFECFKASWLPLPPPIPAESIPPVPVAAAPEALVVMPEEAAESLPSSEALHAEKHASVTQAEPNSPDAPPPGFENFKSSWLPLSMTHIPVETTDVFPDVVVTKTVEAPIEEVSGRLPVLEVMNMETDIVLNVLPTERAQELLQQPLLRPPSPVAQSESRSQDEMAPPGFENFKSSSEPCFPEEMASSGFENLRSSSEPCSPEEMAPPGFENFKSSWPPLPTLPQTTYALPNAAAIDASAVTLEDKAGSPVLEAMDVDMDTIHPPPLSFDSRVEGSLQEPLQRAPSPIMQEAPCLPDRAPPGFETFKSSQILLPSLSLAQTTNAQQDQLVSEAVSLIEEAPQPLHSVDVMGANMDAEPPLLLPSESGPDGPSPQQVPCLASPAEKGTITCLPDIVRSGGDDLEPSQLLPSPAVISPVQTPDGLADVPAIDRIAVASEESPHRLLVSGGMEDGTVPIQSSPLDNTSEGSLPQLESQVHSSTAQAANSLLDAPGSKSLPVASEEMPQPPLASQATNTDHIDTTEMQPQSEGIVGELLQPQHPPSSAHSAPYLQDSMSLVLPPPPLHKEIGQMVCGSCRVLLAYFRGAGHVHCTCCQTMNLVLEV >LPERR01G19320.1 pep chromosome:Lperr_V1.4:1:17015091:17016438:1 gene:LPERR01G19320 transcript:LPERR01G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGEDSLCIDSSSVFILGENDLCIDSCSDPFSPPSNTGARGTLTVVVSVSLWASSISSVEPGAFSGLAATATSISVSTVGEDGLCIDTGSVFVAGEDGLWVTSVATVGPGASSGIATATVSISVCNVREDGLCIDSC >LPERR01G19330.1 pep chromosome:Lperr_V1.4:1:17024317:17025680:1 gene:LPERR01G19330 transcript:LPERR01G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTPALPPLLWPEKGTTRTTTATTASSYPHADRGGQTTSCEAGSRCFASSAMPQIELMWGNVLMAVPVDVRRRRQIGSRRIRSGRRCPDFFIFLVVAVAVDEGTILIGTAHQHSLLADLAVLKTEDKVIIQNAFDEEGEMALPMLTTMCMGGDTDESDHEDADIEDDMGVATKELSSFASLEDGSGGGGTIYHAVWIICCPFIFFKMI >LPERR01G19330.2 pep chromosome:Lperr_V1.4:1:17024317:17025680:1 gene:LPERR01G19330 transcript:LPERR01G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTPALPPLLWPEKGTTRTTTATTASSYPHADRGGQTTSCEAGSRCFASSAMPQIELMWGNVLMAVPVDVRRRRQIGSRRIRSGRRCPDFFIFLVVAVAVDDLAVLKTEDKVIIQNAFDEEGEMALPMLTTMCMGGDTDESDHEDADIEDDMGVATKELSSFASLEDGSGGGGTIYHAVWIICCPFIFFKMI >LPERR01G19340.1 pep chromosome:Lperr_V1.4:1:17053948:17057635:1 gene:LPERR01G19340 transcript:LPERR01G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKSRSMGDTEEKMQSGTVGRLIKDGTVSPRETANDEVTESSPIGRAATDEATESSPIRGAAAVDVTDRYLIGTVAKDEIMESDPTAEVYQPAKNYPLSCHRDAQLELMRFTVATDDCFRDSEVCQTHLPCEMVQIFSLKLAKTPIKSDPIQLYGYIAARDDVDFMLNYVFYRSRDDPIIIQQGSLIEMTGPKRGIQMYYNIMFEFDMRMKSGKDEEDDLPLIDGLTELTETFLRFEQLTLHIKGDFGAVDMSLGAVLNGVEATVEVAISELVSAFDLSLSCDLTMLEERGELQLFSGTISESCGLRRFVMAVRLDTMMHLKFKVDKKDSNVVEHFCSFEAKQHGRNIHQIKSELANIFVKVTWSTILSV >LPERR01G19350.1 pep chromosome:Lperr_V1.4:1:17092443:17095796:1 gene:LPERR01G19350 transcript:LPERR01G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVHFALQAGLSKIIMLFQSKGVGESQHQASRDDFSYLYWGSIDRYHTPVLPNPTSPGM >LPERR01G19350.2 pep chromosome:Lperr_V1.4:1:17092443:17095796:1 gene:LPERR01G19350 transcript:LPERR01G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVISTGVLLTDTTRLCCRTPPRLGCR >LPERR01G19360.1 pep chromosome:Lperr_V1.4:1:17114856:17115351:-1 gene:LPERR01G19360 transcript:LPERR01G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDDRLLVIGVPRPAAAQAVLTKHLSNPGEHNLAGAPFHHHAEDGAPPRAHTSATHIVPARRPAAGKRGQVASGRIEPVRGSIWDP >LPERR01G19370.1 pep chromosome:Lperr_V1.4:1:17125543:17127257:1 gene:LPERR01G19370 transcript:LPERR01G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPIEIKFSSCRGVTFELKPSPASPFAVDSAAYNANPAQPPPTPPVVPTTGRSLASSHFCDLDVDGDDDDGLSVFNVHDEEIPVVVDEVVKEKKPNASSPARRQSRLAVILFDQGLFTVYKRLFFLCFALNTAAIALAAASHFPYAEQRAAVFAMGNILALTLCRSEAALRVVFWLTVALLGRPWVPVAAKTCVTALLQSLGGVHSGCGMSSVAWLSYALALARARRDTDTETVAVASAILALLALSCAAAFPLVRHLHHNAFERTHRFAGWSALALLWAFVLLSSSSSTGAAALAPGSRSPSHSSPSSRGSPIISDGRTTHSMLAGAVGDFTRGLVADPPTHLWVRGVRFAGLPHLISMYGRATMVATGSGICVFLSLVMQPSVATGLSLVWVAKGIEANYGEEIRAAVALGSTNLAGRVVVHDTAVMGRPNVTELAVGAARRWGAEVVVVTSNPEGSRDVVAGCRKAGIPAFGHIWDSCFNGHQVFRKEEKK >LPERR01G19380.1 pep chromosome:Lperr_V1.4:1:17128695:17129267:1 gene:LPERR01G19380 transcript:LPERR01G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYTPQLTRCRVATDGGVVRDCVDHEGKLLVVRREDCRRRFFFRGDNNNDDDDDAQQRECIRIDGEVFPLMEEKTVAAPGAGGNNAAVRCVEYVEDDGSALLLTVTVKGEGKEQKQKVAVVDARSGEVRVLGCSGSYYDSGYYDRDTGTVQHVVEVQVQVQGGGRESYMLLVSVRKELARIVRIKRLN >LPERR01G19390.1 pep chromosome:Lperr_V1.4:1:17130590:17133463:1 gene:LPERR01G19390 transcript:LPERR01G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSAASFPSSRLLCPTKPTPPPLLLSSSPRRPSRSLQLHRIRSGWSERPAAAGLARALGSSSMEAAQPQAGAGGGGGDGQGQEAMKLLFVEMGVGYDQHGQDITAAAVRACRDAITSNSIPAFRRGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYIGY >LPERR01G19400.1 pep chromosome:Lperr_V1.4:1:17133342:17140588:-1 gene:LPERR01G19400 transcript:LPERR01G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLFLMLAAAVAAAAAVASASGSAAPDDDPGMPMAVRHQCWMARVGRTYADAAEKARRFEVFRANAERIDATNRAGDLTYTLGLTPFADLTADEFRARHLMPDVDVDEPTKAPAARSMLEDEKKKQHLPNVGPPAEWGSKDWRVFGAVTPNQNQFSCNSCWAFAAVAATEGLIKIATSTSNLSPLSAQQVLDCTGNDNTCKGGHIHEALRYIAGTGGRLSTDTSYPYGGVQGACRGSTGAGAGLASVVHGVQKVTPHDKNALRAAVEKQPVAADMDSSDSGFQLYVRGVYRGSKNCGKKRNHAVAVVGYGTDNGTNYWLLKNSWGKGWGEDGYMRIAVDADCGVSSRPAYPFPRRPAGMAARHERWMARFGRKYADAAEKARRMEVFSANAARVDAANRARGNRTYTLGLNQFSDLTDDEFAKAHLGYWPPPHQHNGTASAAAVVHVPSLDEYGQDLPDSVDWRARGAVTEVKNQRSCGSCWAFAAVAATESLVQLATGNLVSLSEQQVLDCTGGANTCNGGDVSAALRYIAASGGLQTEAAYAYGGQQGACRTVAGATTPNSAAGIGGARWARLNGDEGALQALAANQPMAVVVEASEPEFRHYRSGVYAGSAACGRRLNHAVTVVGYGEAADGEEYWLVKNQWGTWWGEGGYMRVARGGDAGGNCGIATYAFYPTMDS >LPERR01G19410.1 pep chromosome:Lperr_V1.4:1:17141403:17147618:-1 gene:LPERR01G19410 transcript:LPERR01G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTTTTPTCCPCGRSITARIPASASSFSGCFVRAPRSRAVRRGMAASGADAAATAAAADGGGSASPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKMEWYQIVEGEHTLWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGDPHKSLKNHPKDYVNALLVPKGGQIPLDVKNLASQGIFRVVTVDSINDAKTGIIFDPQSLIQALTSLISDRRFVEPDLLTENLESVC >LPERR01G19410.2 pep chromosome:Lperr_V1.4:1:17141403:17147618:-1 gene:LPERR01G19410 transcript:LPERR01G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTTTTPTCCPCGRSITARIPASASSFSGCFVRAPRSRAVRRGMAASGADAAATAAAADGGGSASPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIIVEGEHTLWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGDPHKSLKNHPKDYVNALLVPKGGQIPLDVKNLASQGIFRVVTVDSINDAKTGIIFDPQSLIQALTSLISDRRFVEPDLLTENLESVC >LPERR01G19420.1 pep chromosome:Lperr_V1.4:1:17149126:17153047:1 gene:LPERR01G19420 transcript:LPERR01G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAVKSSPSPPSSSSRHQRWALATSLSTLLCLSILVVVSVAGLLLLLGSSRPLFAALMPRQQQQPEADAEAPWERYVKLAQQAAASRSPSTSPGGVVRDRDAGDEDAEAEDDGVAPAPAPAPAQAPEESCDLFEGRWVRDAARYPMYEAAECPLLSEQVTCRRNGRADAGYEQWRWQPRGCGGVGGGGIEAGLERLRNRRVVFVGDSLNRNMWESLACLVYTAVPDRSRVRVEDVASDYRIFLAMDYNCSVEFIWSPLLVKLETKQDRTRALKLDQLPATLEQIRGADVLVFNTGHWWTHTGNLRAWDHLERDGKMVEMGGDEAFNRALRTWARWVDQNVDTTKTRVFFRSVSPEHKGENWCYNQTSPITEETKIVPWFPRTLVSIVERNIRSMRTPVTYLNVTHLSELRIDAHPSVYTITGEGKPLSMEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPINVH >LPERR01G19430.1 pep chromosome:Lperr_V1.4:1:17149504:17155341:-1 gene:LPERR01G19430 transcript:LPERR01G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPKKRKPDENGGAAVAVSPAAGAAALGLTRDDVLRLLEPLSRDQLADIAASAALVSGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAYGDLEEAVVITDKSTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGASGGAAGAGGAPVADVSLRKIFVGNVPADMPSERLLAHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGPQMGAQYGGPGSGLSSFGAFGGAGGGLGGPNPYANLPSSMGGGGGAGLGSMGNQMPSGMGGAGAGAYGPGGLGAGSFGGSSQFGAGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRGPMYPNMPPYF >LPERR01G19440.1 pep chromosome:Lperr_V1.4:1:17164049:17168309:1 gene:LPERR01G19440 transcript:LPERR01G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEQAQAQAQAEPEAPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVISKGEECIIRLEDKNSGELYARAFLREGEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERHEAYDFQAALHDHMKYLNKKKTAEEMVQHYEKASSVDYSLKEGETLVLQLKNKESGTNIKSAFFEQGLNKLSFNEKTNSKDAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPASDTGVDTTPFKVEFPSQEQPAADTVVSSPPPKAEVAPQDQPASTEKATQESVDDDFGDFQAAG >LPERR01G19450.1 pep chromosome:Lperr_V1.4:1:17168387:17168860:1 gene:LPERR01G19450 transcript:LPERR01G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHHAVDADDIAGHDRSKKAAEERAHAQVFVCSVSLLLAGMMALLLLSHGGSPMWLASELAAVLCLLLYLWAYHVTQNLTVGACAVPVEALVFAFPLVFGAGFLAALLAVAVAPVAGVLVMGADVACVSALFGFCLAEYVRHNMAHAHAAVLQLQQ >LPERR01G19460.1 pep chromosome:Lperr_V1.4:1:17169199:17175582:-1 gene:LPERR01G19460 transcript:LPERR01G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPLQVAAIGVCAETGFWDSLRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALILRAEAKKILHDISSGNVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLVFDSKITLLSLKFASSALSQEEVTSLSKAFIEWRKSSETTVVPFFLINITQDSTATVRHLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRCKIEKVQFFCYREKRGHPDLQQSLIGEASFLAPHGWDAPDYVPEAIGWEGETIGKEYKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFAVNLDKLSSARCLLLGAGTLGCEVARLLMTWGVRKLTVVDNGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMEIPILGHNISASNAASVLDDCKRLQTLVDSNDVVFLLNETRESMWLPTLLCADKNKIAIAALLKYDSYLVMRHGAGPGIKGGGMDEVIAQIENLSTEDALGRQRLGCCFCSDIASLVNSEYTGTLDQHSTVISPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFYFVMQAINCPTHLKELTNISDLKKPFACSKISASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRKITIVDSGRVVVSNLARQSLYTSDDRDSPKASAILGHLKERCPSVIAEGIKMEIPMPGHPVSPNEAASVLEECKRLQELVASHDAIFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTSRGNPDGDAAADKLSAEDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRLLHHPDGIHAQGEIAGTNSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYQRRGMDFVMQVINEPTYLEDLTGITDLMKSAAYSQVEWIDEADDDEIDML >LPERR01G19460.2 pep chromosome:Lperr_V1.4:1:17169199:17175582:-1 gene:LPERR01G19460 transcript:LPERR01G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPLQVAAIGVCAETGFWDSLRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALILRAEAKKILHDISSGNVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLVFDSKITLLSLKFASSALSQEEVTSLSKAFIEWRKSSETTVVPFFLINITQDSTATVRHLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRCKIEKVQFFCYREKRGHPDLQQSLIGEASFLAPHGWDAPDYVPEAIGWEGETIGKEYKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFAVNLDKLSSARCLLLGAGTLGCEVARLLMTWGVRKLTVVDNGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMEIPILGHNISASNAASVLDDCKRLQTLVDSNDVVFLLNETRESMWLPTLLCADKNKIAIAALLKYDSYLVMRHGAGPGIKGGGMDEVIAQIENLSTEDALGRQRLGCCFCSDIASLVNSEYTGTLDQHSTVISPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFYFVMQAINCPTHLKELTNISDLKKPFACSKISASIPTTISLTMVEHTFQDCGVRKITIVDSGRVVVSNLARQSLYTSDDRDSPKASAILGHLKERCPSVIAEGIKMEIPMPGHPVSPNEAASVLEECKRLQELVASHDAIFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTSRGNPDGDAAADKLSAEDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRLLHHPDGIHAQGEIAGTNSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYQRRGMDFVMQVINEPTYLEDLTGITDLMKSAAYSQVEWIDEADDDEIDML >LPERR01G19460.3 pep chromosome:Lperr_V1.4:1:17169199:17175582:-1 gene:LPERR01G19460 transcript:LPERR01G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPLQVAAIGVCAETGFWDSLRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALILRAEAKKILHDISSGNVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLVFDSKITLLSLKFASSALSQEEVTSLSKAFIEWRKSSETTVVPFFLINITQDSTATVRHLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRCKIEKVQFFCYREKRGHPDLQQSLIGEASFLAPHGWDAPDYVPEAIGWEGETIGKEYKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFAVNLDKLSSARCLLLGAGTLGCEVARLLMTWGVRKLTVVDNGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMEIPILGHNISASNAASVLDDCKRLQTLVDSNDVVFLLNETRESMWLPTLLCADKNKIAIAALLKYDSYLVMRHGAGPGIKGGGMDEVIAQIENLSTEDALGRQRLGCCFCSDIASLVNSEYTGTLDQHSTVISPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFYFVMQAINCPTHLKELTNISDLKKPFACSKISASIPVNLEKLSSARCLLLGAGTLGCDVARILMTTISLTMVEHTFQDCGVRKITIVDSGRVVVSNLARQSLYTSDDRDSPKASAILGHLKERCPSVIAEGIKMEIPMPGHPVSPNEAASVLEECKRLQELVASHDAIFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTSRGNPDGDAAADKLSAEDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRLLHHPDGIHAQGEIAGTNSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYQRRGMDFVMQVINEPTYLEDLTGITDLMKSAAYSQVEWIDEADDDEIDML >LPERR01G19460.4 pep chromosome:Lperr_V1.4:1:17169199:17175582:-1 gene:LPERR01G19460 transcript:LPERR01G19460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPLQVAAIGVCAETGFWDSLRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALILRAEAKKVTSLSKAFIEWRKSSETTVVPFFLINITQDSTATVRHLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRCKIEKVQFFCYREKRGHPDLQQSLIGEASFLAPHGWDAPDYVPEAIGWEGETIGKEYKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFAVNLDKLSSARCLLLGAGTLGCEVARLLMTWGVRKLTVVDNGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMEIPILGHNISASNAASVLDDCKRLQTLVDSNDVVFLLNETRESMWLPTLLCADKNKIAIAALLKYDSYLVMRHGAGPGIKGGGMDEVIAQIENLSTEDALGRQRLGCCFCSDIASLVNSEYTGTLDQHSTVISPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFYFVMQAINCPTHLKELTNISDLKKPFACSKISASIPVNLEKLSSARCLLLGAGTLGCDVARILMTTISLTMVEHTFQDCGVRKITIVDSGRVVVSNLARQSLYTSDDRDSPKASAILGHLKERCPSVIAEGIKMEIPMPGHPVSPNEAASVLEECKRLQELVASHDAIFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTSRGNPDGDAAADKLSAEDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRLLHHPDGIHAQGEIAGTNSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYQRRGMDFVMQVINEPTYLEDLTGITDLMKSAAYSQVEWIDEADDDEIDML >LPERR01G19470.1 pep chromosome:Lperr_V1.4:1:17176389:17177810:-1 gene:LPERR01G19470 transcript:LPERR01G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAVAAAAAAAPTVTKSAPELVPPAGPTPGGALPLSSIDKTAAVRVSVDFIQVFSPSSTGGGGDGQDAAVAKMRDGFAKALVPYYPVAGRIAEPSPGDVVVDCTGEGIWFVEATASSTLADVNYLERPLLIAKEHLIPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPSPSVAPVWDRDAIPDPPKPPPRGPPPSFTAFNFVTQVVEISPESIARIKEDFNATTGQTCSTFDAVTAVVFKCRALAVSSLPDDAEVRLGFAASTRHLLTGVLPTVDGYYGNCVYPVGITRSRGAMRGAALAEVVGVMREAKEALAVRFGDWLRGGATGDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLRPPAPKRGIRLMLRCVEEPHAAVFADELAKFA >LPERR01G19480.1 pep chromosome:Lperr_V1.4:1:17178647:17181231:-1 gene:LPERR01G19480 transcript:LPERR01G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWTTYSDTKHDGPSSAAQVQLKRKVQLLLLSNEVVGRCRRLGATTNLHTPTRSRTKLINPSRDTRASEATTRNRSRSLSSSGRVSGDPDRHHRAMAAAAPTVTKSPPALVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPSSSSESAAASVAAMREGFAKALVHYYPVSGRIAEPVPGEPEIDCTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKTVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKHVKDQVASETNQKCSTFDVVTAMMFKCRTLAIDFAPDTDVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLTKTSQEVKDASLVEIVTAIREAKNVISTQFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEPQHSKVFGEELQKLA >LPERR01G19490.1 pep chromosome:Lperr_V1.4:1:17188924:17190741:-1 gene:LPERR01G19490 transcript:LPERR01G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVASSPRETVAPPMSKSGGGRRRRYRLETRGLSYVLPARGAAARLFCGGGGREERMLLRGVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVAGEVLVNGRAMDAAWFRRVSGHVPQDDALFPMLTVEESLVYSARLRLRGGGATAAVARARELMAELGLRHVAGSRVGRVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAVTHGKTVVLTIHQPGFRILELLNRVILLADGAVRHHGHLSILDARLAASGHRIPPHVNVLEFAMEAIESLKPDITLPTITTAPAQAPASPSRRGAGATYANSPAAEVRILSSRFVRTVLRTPQLFAARMAQSLLAGVFLGTIFLGASDLQSRLGFFAFTLTFVLSSTTEGLPVFLQERRILERETTRGAYRVSSYVASNAAVFTPFLLASALLYATPVYWLVGLSREPARFAYFSLVVWLVMLTANSFVACFSALAPNYIVANSVIAGLIGCFFLFSGYFVASKNIPRYWVFMHYISLFKYPFEAFLVNEYGGDRGGRECLAEVGGGLCVLDGAALLRQQGMRESMRWSNLGVMLGFVVGYRVLCFVFLWFRCHRMRR >LPERR01G19500.1 pep chromosome:Lperr_V1.4:1:17197382:17206635:1 gene:LPERR01G19500 transcript:LPERR01G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQAGSGGPGLAWRIYTARPREGAPSTPYPVVSVWAAMAMATEPVFASVANALGCLDNVSKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGESKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTELVVDLQRMLSVDVAARPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKSDFELSTLPALVPVFTSASGETLILLVKHADLIINKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVSLSRQLDMKLLRQSVLPRVHGLALKTTVATVRVNALRCLGDLVPSLDKDGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVMPLIFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKAPPSLANGIHSEPLSGVAGQTGQIPAAKGPAWDEDWGPTKKSSAPSQSYDSSVQKKQLSDPFDFNTQSKQPSALPFDFSTQQPKPSSAISQVTPATISPAQSLLSLQSLAPSSGPQTSGSCVPVDIEWPPRKSTSSDFNAPLSISKESKSGGFSSDGLDIDPFADWPPKASRGASISAAEHPASTNQSITGLNTGNVGFSGNSGSLGQMKTNQVSWSVKPNTTNLMGMNSTSSYLNQGNSALGFGNPIGGVGTALQRVRPGLLLPHQLLLVEGVVEIKGNRHFHDNHGLLIPMLHQGSHLF >LPERR01G19500.2 pep chromosome:Lperr_V1.4:1:17197382:17208405:1 gene:LPERR01G19500 transcript:LPERR01G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQAGSGGPGLAWRIYTARPREGAPSTPYPVVSVWAAMAMATEPVFASVANALGCLDNVSKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGESKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTELVVDLQRMLSVDVAARPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKSDFELSTLPALVPVFTSASGETLILLVKHADLIINKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVSLSRQLDMKLLRQSVLPRVHGLALKTTVATVRVNALRCLGDLVPSLDKDGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVMPLIFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKAPPSLANGIHSEPLSGVAGQTGQIPAAKGPAWDEDWGPTKKSSAPSQSYDSSVQKKQLSDPFDFNTQSKQPSALPFDFSTQQPKPSSAISQVTPATISPAQSLLSLQSLAPSSGPQTSGSCVPVDIEWPPRKSTSSDFNAPLSISKESKSGGFSSDGLDIDPFADWPPKASRGASISAAEHPASTNQSITGLNTGNVGFSGNSGSLGQMKTNQGNSALGFGNPIGGVGTGFSNPVNSSGGQGIMQSKSDFGSLPLSTSTTEGPPRLAPPPSTSVGRGRGRNQGQSALSRQSRAPHSNASSGQPPILDLLENNTGPWKKSVVNRLIDQWC >LPERR01G19500.3 pep chromosome:Lperr_V1.4:1:17197382:17208405:1 gene:LPERR01G19500 transcript:LPERR01G19500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQAGSGGPGLAWRIYTARPREGAPSTPYPVVSVWAAMAMATEPVFASVANALGCLDNVSKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGESKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTELVVDLQRMLSVDVAARPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKSDFELSTLPALVPVFTSASGETLILLVKHADLIINKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVSLSRQLDMKLLRQSVLPRVHGLALKTTVATVRVNALRCLGDLVPSLDKDGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVMPLIFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKAPPSLANGIHSEPLSGVAGQTGQIPAAKGPAWDEDWGPTKKSSAPSQSYDSSVQKKQLSDPFDFNTQSKQPSALPFDFSTQQPKPSSAISQVTPATISPAQSLLSLQSLAPSSGPQTSGSCVPVDIEWPPRKSTSSDFNAPLSISKESKSGGFSSDGLDIDPFADWPPKASRGASISAAEHPASTNQSITGLNTGNVGFSGNSGSLGQMKTNQKSRAIGTFTTITGSSFQCFIRAATYSRFTVARKITPGRGKSLLSIA >LPERR01G19500.4 pep chromosome:Lperr_V1.4:1:17197382:17208405:1 gene:LPERR01G19500 transcript:LPERR01G19500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQAGSGGPGLAWRIYTARPREGAPSTPYPVVSVWAAMAMATEPVFASVANALGCLDNVSKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGESKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTELVVDLQRMLSVDVAARPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKSDFELSTLPALVPVFTSASGETLILLVKHADLIINKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVSLSRQLDMKLLRQSVLPRVHGLALKTTVATVRVNALRCLGDLVPSLDKDGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVMPLIFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKAPPSLANGIHSEPLSGVAGQTGQIPAAKGPAWDEDWGPTKKSSAPSQSYDSSVQKKQLSDPFDFNTQSKQPSALPFDFSTQQPKPSSAISQVTPATISPAQSLLSLQSLAPSSGPQTSGSCVPVDIEWPPRKSTSSDFNAPLSISKESKSGGFSSDGLDIDPFADWPPKASRGASISAAEHPASTNQSITGLNTGNVGFSGNSGSLGQMKTNQVSWSVKPNTTNLMGMNSTSSYLNQGNSALGFGNPIGGVGTGFSNPVNSSGGQGIMQSKSDFGSLPLSTSTTEGPPRLAPPPSTSVGRGRGRNQGQSALSRQSRAPHSNASSGQPPILDLL >LPERR01G19500.5 pep chromosome:Lperr_V1.4:1:17197382:17206626:1 gene:LPERR01G19500 transcript:LPERR01G19500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQAGSGGPGLAWRIYTARPREGAPSTPYPVVSVWAAMAMATEPVFASVANALGCLDNVSKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGESKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTELVVDLQRMLSVDVAARPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKSDFELSTLPALVPVFTSASGETLILLVKHADLIINKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVSLSRQLDMKLLRQSVLPRVHGLALKTTVATVRVNALRCLGDLVPSLDKDGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVMPLIFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKAPPSLANGIHSEPLSGVAGQTGQIPAAKGPAWDEDWGPTKKSSAPSQSYDSSVQKKQLSDPFDFNTQSKQPSALPFDFSTQQPKPSSAISQVTPATISPAQSLLSLQSLAPSSGPQTSGSCVPVDIEWPPRKSTSSDFNAPLSISKESKSGGFSSDGLDIDPFADWPPKASRGASISAAEHPASTNQSITGLNTGNVGFSGNSGSLGQMKTNQVSWSVKPNTTNLMGMNSTSSYLNQGNSALGFGNPIGGVGTGFSNPVNSSGGQGIMQSKSDFGSLPLSTSTTEGPPRLAPPPSTSVGRGRGRNQGQSALSRQSRAPHSNASSGQPPILDLL >LPERR01G19510.1 pep chromosome:Lperr_V1.4:1:17220137:17225211:1 gene:LPERR01G19510 transcript:LPERR01G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIDLHAAALALDGGSGGAVRDKPDAKVDVFADLGSPVSPLQACASVAMSTSSSSGSVKSLAPSNARAMALAGGRSHSGGLVVESTPPWLPGHRRCGSGPPIFSGGSSGRGGGDHGGGSTVRSKMTNALPTGNICPSGRVLAAVAAPPLRHSRPDVLGSGTGNYGHGSIMRGGGMAPARTSIDSSSFIGHAPRSPVNFPAASTGSSSCGSLQEVTRVGNECYKKGKLAEALHHYNRAVVLCPESAACRRIRAAALIGLGRLADALRDSEEAIRLDPANGRAHDRLAGLCLRLGMISKARRHFTQAGHLHHSDPSEWEKLQEVEVHQGRSIDARKMGDWKSALREVDAAIAAGADSSQLLLAIRSEALLRLHKLEEADSTLASLLKLDNVFLSLMAAKLSGMLAESYVCIVQAQVDMALGRFDAAVEAAENARLIDPGNAEVGMILNNVKLVAKARAQGNGLFKATKFSDASIAYSEGLKYDPSNPVLYCNRAACWGKLERWEKVVDDCNEALRIQPNYTKALLRRASSYSKLERWADCVRDYEVLRKELPADREVAEALFHAQVALKTTRGEDVSNMKFGGEVEMVTSVEQLRAAIGTPGVSIVFFMSTMNQQCTQITPSVDSLCSECPSLNFLKVNVEDSPVVAKAENVRIVPTFKIYKDGVRMKEMICPSLHVLRYSVRHYAVSSS >LPERR01G19520.1 pep chromosome:Lperr_V1.4:1:17240458:17247038:-1 gene:LPERR01G19520 transcript:LPERR01G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPFHPYAHAVTGEGAAAGRSSYEIDLIAARYGGGPLANISAASPAGARRSAEVLYHQPIMGSHSTVEQIEALYSSNTTVKRPRLESSLPIYPQRPGTKDCAFYMMTRTCKFGVSCKFDHPQWVPEGGIPNWKEAANLEESYPEREGEPDCPFFMKAGKCKFGSKCKFNHPKEKVNALASGKGNEKHLSADSSILPVRPSEPLCSFYAKTGKCKFRAKCKFNHPKDIEIPSSQNGSEDAATVEEERDIGVADDSVSVKTSTPVASAQEFNSKGLPIRPGEVDCPFYMKMGSCKFGSTCRFSHPERLVLNFPLVPPLDQTILPTPETMLLNTAANFMQSFNFHSAHIPVEPEPVTYPQRPGETVCDFYMKTGFCKFSDRCKFHHPIDRSAADQSVQLTLAGLPRREDAVVCAFYMKTGVCKFGLQCKFDHPPPEEAIAKVSMKGDE >LPERR01G19530.1 pep chromosome:Lperr_V1.4:1:17250406:17257074:1 gene:LPERR01G19530 transcript:LPERR01G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKATDGDGGSEKLAGRKIAACTPQRGKGREILAGLTTRPSPLAFTADLQFSAFSPKPTPPPPPPPSSVRQRSLSFTFSAAAAASPLSLGAETPEEETRFLSMVVWRAAGGARAVLRRLGAVAEAAAKQDSRVFSSSYGSSSVNAPFGLGQYANLLRAQAFASRGVPMNFHQLIHNSGISTTRNLLADDAMVPMSSPLTPPLGDGEETDKKGTVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGMDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGATA >LPERR01G19540.1 pep chromosome:Lperr_V1.4:1:17262580:17267724:-1 gene:LPERR01G19540 transcript:LPERR01G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLESFAREPKLDEWVARATIFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCHRKLVVDWVASTDLEDSTAIEAPDAYKAAWNLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQLAVVEFARNVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKFVDCKSAKLYGNVNYVDERHRHRFEVNPDMVPEFENAGLQFVGKDETGKRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLVAASSGQLDRLLQGCCNGHVVPTKHSLSNGSYTSTVHQNGHAKKLANGLSNGAFYANGNGLHV >LPERR01G19550.1 pep chromosome:Lperr_V1.4:1:17311448:17322868:-1 gene:LPERR01G19550 transcript:LPERR01G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAIEVLEAYEGTLEDDYPPENERYEHNEMLLYKISLLEECGMLDKALEEMHKKEGKIVDKLSFKEQMACILLKLGRFEEAEKIYKSLLFMNPDNYKYFIAVQKCLGLYSENGQYSADDVDRLRALYKSLKEEYGWSSAVKRIPLDFLEGEKFQEAADTYVRPLLTKGVPSLFSDLSPLYEHPGKANILEELFLKLEESIRTSGCFPGSPHMEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTVIDLYSTKGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPAKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEATSSNTSKSGKKQNARPVDLDPHGEKLVQIEDPLAEGTKYLKLLQNNSSDSIETHTLSFELNMRKQKILLAFQAVKHLIRLDENNPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRQLHGKSLVEVNRSFLEKHNASLAHRAAAAEMMYLLGPDKKLEAIKLIEDSVNSTASGNGVLGPVKEWDIQDCIDVHKLLETIFGDQDVANSWKARCAEYFPYSTYFEGIKSASAAYCSVNSVEDSSENGVVNGTVHIVDELRELSIR >LPERR01G19550.2 pep chromosome:Lperr_V1.4:1:17309800:17322868:-1 gene:LPERR01G19550 transcript:LPERR01G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAIEVLEAYEGTLEDDYPPENERYEHNEMLLYKISLLEECGMLDKALEEMHKKEGKIVDKLSFKEQMACILLKLGRFEEAEKIYKSLLFMNPDNYKYFIAVQKCLGLYSENGQYSADDVDRLRALYKSLKEEYGWSSAVKRIPLDFLEGEKFQEAADTYVRPLLTKGVPSLFSDLSPLYEHPGKANILEELFLKLEESIRTSGCFPGSPHMEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTVIDLYSTKGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPAKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEATSSNTSKSGKKQNARPVDLDPHGEKLVQIEDPLAEGTKYLKLLQNNSSDSIETHTLSFELNMRKQKILLAFQAVKHLIRLDENNPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRQLHGKSLVEVNRSFLEKHNASLAHRAAAAEMMYLLGPDKKLEAIKLIEDSVNSTASGNGVLGPVKEWDIQDCIDVHKLLETIFGDQDVANIAKHIVVGGNHFPNPLAKWAL >LPERR01G19560.1 pep chromosome:Lperr_V1.4:1:17327175:17337077:1 gene:LPERR01G19560 transcript:LPERR01G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPFLAASSPNRLLPRTLAPHAASSAAASPSTARGALFDGISRPLVMSKELTEQARMALREKGDIGKLYRDGGERLAAAANDNNNQPRRRPAPERKRHQFTIKPQTNNPAQNVDFSELQNIEDPDVYFSTLDKLEKADKEIKRLRGEAPTETAYNHRAIEPPKMRAGLLRRKSVHSYKFSASNDTPDATEAPASQTETITESQLTQDDVHASSPGMTKEPISSRSSQHAIPDSSVREDSFDGIDNLCTLNYLLSAFKGLDETEEESLLRKTLQIREISTGKVCLPDFNVPGDMPARNTTEQKNPMSGHTLERTVSGSNLDQISQLEKRIFGKEALEGKHADLLEDDESDGSPESLLCKRSPVRHSSDAAEPEGATTDERPLGGSPIGVNKDSELVHEKDVACGHNILLEEDDMPTDYLVSSPHHLEGSSTGVLPNTPSRNVPPLNHGDGNSENQTTQSIHWKYLQKKLIPRIVLKYIMEMLRNWQLILGMYSPQVKARNKELKIRSRHQREEKERQTTLSIHRKYLQKKLAADISNALSPSKGEEQRGKNKSQLSKRRKRAAGEPGDLEIPTPNFEPENQPHPQEIYAEQQPARRNSPSQSNDKRQKVVQKRNKKQDLNRRKSLTDAGLAWQSGVRRSTRIRSEPLKYWLGERFVYGRIHGTMATVIGVKVESPSQGKMKVKSFVSEQYSDYLAESAKY >LPERR01G19570.1 pep chromosome:Lperr_V1.4:1:17335679:17336801:-1 gene:LPERR01G19570 transcript:LPERR01G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPKPPSLIESLLVRRREQQQNKEEGKRKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLQLDAKNKPPEEYDIEALTGNEKEYIEMDLLLGIADLHSEKAVEVAEATMSGFQPSGNSFPCSSYDTEDDSDDSDDGDETNMSAKDERKDGVEPETHASKGKKPNKRQKIVVLN >LPERR01G19580.1 pep chromosome:Lperr_V1.4:1:17337992:17341094:1 gene:LPERR01G19580 transcript:LPERR01G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSPPPSLPTCSPAPSRSRSRSAAGTGGRAAATGRRSRAIVSCSYRARPASGGGGLDLERRHLLLSGLVSSFALVLPISDSHAVAEVDEDVKMAAAVDQINAYSFLYPVELPGKKLTFKWIESRKPERYSSAAPLSPDARQRIVSERVDMINNVVISVSIGPPNSRFLPSKDKSKWDPKDVADCLLADKSSLKVTTGQRMTESSVLDAHSTDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNVACTAERDGYLYSLNASTLSKKWESMGPSLQKTVASFHLLPPTENYVPPYKDPWRFW >LPERR01G19590.1 pep chromosome:Lperr_V1.4:1:17340851:17341762:-1 gene:LPERR01G19590 transcript:LPERR01G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPTFLAPPPPPRRKSAPRARGEGEFDHFVVIDFEATCEKGRRIYPQEIIEFPSVLIDAATGRVVSEFRTYVRPRHHPRLTDFCRELTGIAQSDVDAGVGLDDALRLHDEWLREAIGDVDKNDGRFAVVTWGDADCRTMLEQECRFKGIEKPAYFDRWVDLRVAFEAAFGGGGRRVKLHEAVAKAGLQWSGRPHCGLDDARNTARLLVELMRRGMPISITGSLLPPAVLRQHEQQQQQQMLLVPCGGAAAVCCYCGVASMGGVMEMPGSTERRCFYGCGNWTPASGATCPFLLVGGIVASLY >LPERR01G19600.1 pep chromosome:Lperr_V1.4:1:17345505:17347699:-1 gene:LPERR01G19600 transcript:LPERR01G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEDSTLQFHFTHRPPPHSPSPATLRPRGREAMEQAPPAPMPRVKLGTQGLEVSKLGFGCMGLTGAYNSPLDDDAGIAIIAHAFRRGVTFFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIRRDADGTRTVCGRPEYVRACCEASLGRLGVDYIDLYYQHRIDNTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSAESSLQEHPRFSAENLEKNKQLYLKMEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDDDLKEMSSQIREEDVAGGRQYTSFAHYTWKFADTPKK >LPERR01G19600.2 pep chromosome:Lperr_V1.4:1:17345505:17347699:-1 gene:LPERR01G19600 transcript:LPERR01G19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEDSTLQFHFTHRPPPHSPSPATLRPRGREAMEQAPPAPMPRVKLGTQGLEVSKLGFGCMGLTGAYNSPLDDDAGIAIIAHAFRRGVTFFDTSDVYGPLTNEILLGKVAPFPCSSSCLVLDLGIWDGELTIASSSSPLDFQALKQLPREQVQVATKFGIRRDADGTRTVCGRPEYVRACCEASLGRLGVDYIDLYYQHRIDNTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSAESSLQEHPRFSAENLEKNKQLYLKMEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDDDLKEMSSQIREEDVAGGRQYTSFAHYTWKFADTPKK >LPERR01G19610.1 pep chromosome:Lperr_V1.4:1:17350336:17355628:1 gene:LPERR01G19610 transcript:LPERR01G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHDGGVVEDQEGSSSPCPDVTAAAEAEAEAAAAIARAARPPRPGRDKRLGVRHPLKHRRFRAGGKMMAEAGRVSSSAQSLAGAREVGEATTVAEATAMGVGSDGEDEARYICGGWKSEDGIMSCGYSSFRGRRASMEDFYDIKSSKVDDKQINLFGVFDGHGGSHAAEYLKGHLFENLLKHPAFVTDTKSAISETYRKTDSDFLDAETNVNREDGSTASTAILVGNHLYVANVGDSRTVMSKAGKAIALSDDHKPNRKDEQKRIESAGGVVTWSGTWRVGGVLAMSRAFGNRLLKRFVVAEPEIQEQEIDDDMEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFGQEEKTVDSASSGEQS >LPERR01G19620.1 pep chromosome:Lperr_V1.4:1:17356149:17361101:-1 gene:LPERR01G19620 transcript:LPERR01G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDDSAPNERSISIPGQASDQPAALAGDDKAWKTPQKWLHRFIRTVAFMERTGNAVGTLAFTWATVVVLGGFSTDLRDDFWYATAIVFLEAFRVFSRENRSDDKLLFKTTGGIRVLRLSSTLELLYFLNAVVVILCLSIIILIALSHLLPNKRYTPLLLAGILVPLAKFPVTSLLKRANKPRTRRQARRVGVLLPLIPLAAILALACVLVLDGTPPTTVVATATLLFIMCVLSQQLITAREAIKTAAVADEASPSSVGFARRACRVLRPKLINAALLVFIPCIVFLMMNFGYLGPYTLLTAVALGNFQIPVAVARVAISSARLAGRVNRIVTNNVNLVPSLKIYGLVLAQGALYILACLIDPFSVVLRRWLARRCKLGGVLGVRCVDLYHEHAYDACMEEGLLAMDDVDILSFAINSLISSNEPDREKVLAAVRVLHSLLQSGGSKARLAASKITTSTNTVATLIGMLAWAAIEDHDARLFAAKIIAALAGEIPIVRFPGTVQLISSLLDTRSNPMREQGGGGTTQAQVAAPAGNINTDHCSTCCCCFRKPNNCRIKKLWSLPEDEHPDNDEEALPVLGMVILEKLVSDPENCARIGRATGLISKAIGFIACSSDDDHAIAVSGEAQRCRPITTSSLKLVAKLASTKGEVGVELRRKISDHPFLLSCLSEILEGDSREQYWASAMDIVGKLSVDEDTRQEVGDNRVIIARLVRKFLDQPSSTDGDHPLWASAGKALEVLSMESPSNCSAILKEAENLKKDEEHGHDLVDDLKNMLWSRDDDGHRGVVAASLLQNLCAHVGDDLHRHPGSTEHLASALKEVLEKILDANGKQLEVLIGLASQIHNAIPAQRFEDAVKSFTDNLEALIQKLWAHSTPTGSQTCSDQYKSIFRKKGMVEALTKVERTPSKRYRLFFGDAGVVLERGLPLPELVAKSAAV >LPERR01G19640.1 pep chromosome:Lperr_V1.4:1:17364869:17367945:-1 gene:LPERR01G19640 transcript:LPERR01G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLLLRTHGGLPVLARAFPCRLDIRVPARHRRRGAPPLTAAKVDVADAVGRVRPGDAAVPKRRLRRSAEEEEDLTFSRVVTRRGRGVREEDAVEGEARAFDAEKTVGDEAGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIIASVPKERQTLLFSATVPEEVRQISHIALKKNYNFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVTEDPDYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEAVVPSVDSSTQTKVKDAVQKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPRQILRKMGLSNVPGLRSS >LPERR01G19650.1 pep chromosome:Lperr_V1.4:1:17371382:17372104:-1 gene:LPERR01G19650 transcript:LPERR01G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIYDSSGHFAKVLEKILDAKGKQLEVLIGLASQIHNVIPAQHFSKALDIIYDSSGHFAKVNHLQIIGCQRKAARGPDWTRITDSQRHTCQHFSEALKSRYDNATALVGKLVRTLNSNQKPSPKWPRMRRATVELVICMMETHSPYKAVFREKGMKKALSEMKKTPPKLEKYKLFFGDVGVVLERGLSLLELVAKAKRLIMPK >LPERR01G19660.1 pep chromosome:Lperr_V1.4:1:17372183:17378057:-1 gene:LPERR01G19660 transcript:LPERR01G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPNEPSIDIAVPVTDDDQPVASALAGDDDERSWKTPQKWLNRFISTVAFMERTGNAVGTLAFTWATVVVLGGFSTDLREDFWYATAIVFLEAFRRLIAVQDNGRHQSAKAVVNPGAPVFPQLGDRDAVPLHHPPDRPVPPAPQQAFPTTWLLKRANTSATGRRVRALLLLAPYATIVALGFAVWLDGAPSGKAVATALPLVFMCFLCQQLIAVREASNVGGATQRRMISAPADDPSVPSQLSPVSSSARRARALLPKMGKILFAAYAPFVVYFMATSFGYLGLYVLFTVVVLGNFQIPVAVARVAISWARLSGRVNRIVTENVNFVPSLKIFYGLVLAQGALTSRRAACLTDPLSVVLRRWLARRCRLGTGSVDLYHEHAYDACMEDGLLASEDDVNIVTFAVETLSTDKPDRKKIRAGLRVLHCFLRSGGSKARLAASEVTTSTHAVATLIRMLGWEPDDEHDIRLLAANVVAELAEEIRIVRFPGTMQLIASLLDARSCYLKKEQGGRGISISAQAAVEVAAAVGNTTSDGSTCCCCFRKPNYHRRIKNLWSLPYEVALDDDEHALPVLGMLILQNLASDPENCAEIGRATSLISKAIGFIAYSGDDGEEHRREQITTSSLKLVSKLAGTKGEIGVVLRQKISDHPLLLSSLAGILEDDGHREQCWAPAMDIIAKLSVDDDTRQEVGEIRVIITKMVREFLLSSRDPLPSLNTHGYPPLWRVAGEALAMLTMGSPGNCLAILKEAKRVKEDDDHGHNLVNDLKNMLLTIRDEDGYRCVVVASLLQNLCAHSGDQLRSHPGSTEHLHLS >LPERR01G19670.1 pep chromosome:Lperr_V1.4:1:17379817:17383631:1 gene:LPERR01G19670 transcript:LPERR01G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGPSDAAHRKRRRRRGRRDAAEGGPSFPGVVATKGIGSEEEVDVVEGKAMEKDAERSSAAELGGVDGTYLSDTRFDQCAVSPLSLKGIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIEVLTTLPCSPSINLLVICPTRELANQVAVEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPGEVRQISHIAMRKGYKFINTVKEGDEETHSQVSQTYMVAPLDLHFSILYDVLKKHVAEDADYKVIVFCTTAMVTRLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSEAMVPKIDSSIHAEVRGALERVEMKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSI >LPERR01G19680.1 pep chromosome:Lperr_V1.4:1:17388217:17391332:1 gene:LPERR01G19680 transcript:LPERR01G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAAPHAAAASTGGSRRGRREPASMHAGIRRSRSEPHLRCSRRGGAAGATLTTSRSIGVFPFQFGAAPLRPPPLPDGGGDGSRLLDADPDADAEPGMPEVRRPEAHWLDRLLELRSRFHDPAKRHASENEHLFQDDEYDDDVYHIDGDGDLHDGCGVNYEEDVDKQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKAEELKKYYGLRYVTSSLKKKADAGAICSKLDADSTRPPRPEPPCESAATTSGPQPRRPIRSHLAYEVAASAASYVHARARGLLSFGGGQQPQGAEQQRLYNSGVAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCFVIQGSDSLASWQANLLFEPTVFEGTGVLVHRGIYEAAKGIYDQLMPEIASHVAVHGRERARLRLTGHSLGGSLALLVSLMLVARGVVTPDALLPVVTFGAPSVFCGGARVLDALGVGEGHVRCVAMHRDIVPRAFSCRYPGHAVALLRRLNGVLREHPCLNEQRMLYTPMGTTYILQPDGAASPAHPFLPEGAALYRLDHQDEHVAASALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALHALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAPTVSVRNKELVSEA >LPERR01G19690.1 pep chromosome:Lperr_V1.4:1:17394491:17401757:-1 gene:LPERR01G19690 transcript:LPERR01G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQASLLLRPLPSPLPPRRRLTLPSSASFPRAPHRRFPVPLRALASEGPQPAPSPVPEPPAAPEAEVVGTASPEAAAEGEGGKEEELEDLVEKARAWVVALAVAAVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPTDAAKQSALLHELNKFSPDDVIKRFEQRSHAVDSRGVAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSARFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGNVKTFKDVKGCDDAKKELEEVVEYLKNPTKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAELTFSHVRFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVAIDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERRSIGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLEHETLTADEISKVVHPYQEEPQLSFQEEDFALT >LPERR01G19690.2 pep chromosome:Lperr_V1.4:1:17394491:17401757:-1 gene:LPERR01G19690 transcript:LPERR01G19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQASLLLRPLPSPLPPRRRLTLPSSASFPRAPHRRFPVPLRALASEGPQPAPSPVPEPPAAPEAEVVGTASPEAAAEGEGGKEEELEDLVEKARAWVVALAVAAVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPTDAAKQSALLHELNKFSPDDVIKRFEQRSHAVDSRGVAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSARFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGNVKTFKDVKGCDDAKKELEEVVEYLKNPTKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVAIDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERRSIGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLEHETLTADEISKVVHPYQEEPQLSFQEEDFALT >LPERR01G19700.1 pep chromosome:Lperr_V1.4:1:17409548:17414010:1 gene:LPERR01G19700 transcript:LPERR01G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRSSWLLAVAAVAAAAVMPAAGQETCSGIVPAPPRRGAWMSLASFGGGGGDGRTVSTAAFEAAVASIERRRAPGGAVLYVPAGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIEALPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVIVSNVVFRDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLVAIKSGWDEYGMAYGRPSSHITIRRITGSSPFAGFAIGSETSGGVEHVIAEHLNFFSTGFGIHIKTNSGRGGFIRNITVSDVTLDTVRYGLRIAGDVGGHPDDRYDRNALPVVDNLTIKNVQGQNIKEAGSIKGIATSAFSRICLSNVKFNGGATVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >LPERR01G19710.1 pep chromosome:Lperr_V1.4:1:17412895:17418968:-1 gene:LPERR01G19710 transcript:LPERR01G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRGWDRVDGGEEERFWGRFGMASGQPQFRYTQPPSKVIHLRNLPWDCTQEELIELGSPFGKVVNTKCNVGANQNQAFIEFADQNQAIAMISYFASSAEPAQVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSVEGVLPDAVSIDVLHLQNLCAQVFSAFGFVQKIATFGKTSYQALIQFCDNETASSAKAALDGRCIPSYLVPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEADSNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGYTAPASNQPSILGPQPVQYSGAGGAYNNVPSSATGAIVPPGTTPAPPGAPSHPYTSSEPLPQTPAVPSGGAPPFASQGILQGPLGVPPPQFPGYGSPQFPSGSAQVHMHQQHPAQGGQQMPSHVNHQPPPGSPMFMQYPGDRGRPVQDAPGQQAMPFHGHGGQHLPPGFGNQLQFQQGPRPPMPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQYPFAPPLRPYNNN >LPERR01G19720.1 pep chromosome:Lperr_V1.4:1:17420724:17422008:-1 gene:LPERR01G19720 transcript:LPERR01G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGNQTGKNSKTSDDRETKEVDSGAQNFVHFTEAEEDLVFRMHRLVGNRWELIAGRIPGRTAEEVEKFWVIKHQDTSEQKKDVCPKSSF >LPERR01G19730.1 pep chromosome:Lperr_V1.4:1:17423543:17425466:-1 gene:LPERR01G19730 transcript:LPERR01G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRSPTRRGGVGAGDCRRGSRISSLQLLARPVAAASRCRHDLPQSSPRSRQRLTFSAQAAIGGGRAGEDERGTDGGGVLQVLSWTGLGAPSMACSTPCSPSSPPSAVSGRQGIWCRATSPNPPLPLSTSTAAATVTCCSFVFFNLNYYGFFNYSNYYITFVYVAYEFLH >LPERR01G19740.1 pep chromosome:Lperr_V1.4:1:17451309:17457594:1 gene:LPERR01G19740 transcript:LPERR01G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPDTSRSSTAASPSSSSHSSGSRFRPALLAPLLLLLLFLVVAALHFTGRISLTGPQPQNPQRRKNLSVYERGLVKRAVSASEILAEHATVSVNRSRRHFRNPVLAYVTPWNSKGYDMAKLFSAKFSYISPVWYDLKSDGDKLVLEGQHNFDSRWVSELQSNGSLVLPRVVLEAFPRVVLLKKKLWKKAIDLIVSECRDKGYDGVVLESWSRWAAYGVLDDPELRNMALQFVKHLGKTLHFTSSNLSTHHHLELIYVIPAPRMKELNNHDFGPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIRYSLETLLTAKVSASHGHSHMIFLGINFYGNDFLLSKGDGGHAITGRDFIYLLEKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSVRLDEAQYWGTGISIWEIGQGLDYFFDVL >LPERR01G19740.2 pep chromosome:Lperr_V1.4:1:17451309:17457894:1 gene:LPERR01G19740 transcript:LPERR01G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPDTSRSSTAASPSSSSHSSGSRFRPALLAPLLLLLLFLVVAALHFTGRISLTGPQPQNPQRRKNLSVYERGLVKRAVSASEILAEHATVSVNRSRRHFRNPVLAYVTPWNSKGYDMAKLFSAKFSYISPVWYDLKSDGDKLVLEGQHNFDSRWVSELQSNGSLVLPRVVLEAFPRVVLLKKKLWKKAIDLIVSECRDKGYDGVVLESWSRWAAYGVLDDPELRNMALQFVKHLGKTLHFTSSNLSTHHHLELIYVIPAPRMKELNNHDFGPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIRYSLETLLTAKVSASHGHSHMIFLGINFYGNDFLLSKGDGGHAITGRDFIYLLEKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSVRLDEAQYWGTGISIWEIGQGLDYFFDVL >LPERR01G19750.1 pep chromosome:Lperr_V1.4:1:17456595:17457251:-1 gene:LPERR01G19750 transcript:LPERR01G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSGSQGKNSKTSDGCEIKETAQKFVHFTEEEEDLVFRMHRLVGNRWELIAGRIPGRTAKEVEMFWAIKHQDT >LPERR01G19760.1 pep chromosome:Lperr_V1.4:1:17461309:17465939:-1 gene:LPERR01G19760 transcript:LPERR01G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVAQLGAGTTCVGWNHCGRRLAVGAVDGSVSVYDSQPSPSSKWQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEEVGEDDQLSTWRKCKVFEGGNSHILNVQFGLQLSSLKMVTAHSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLAELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLNPESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGTVKLWQANLNGRWYEQAVLDCNVSHKS >LPERR01G19770.1 pep chromosome:Lperr_V1.4:1:17472839:17474823:1 gene:LPERR01G19770 transcript:LPERR01G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHHLLLRPLLRGLHSTTSAMARLEQHEFSKMSNYGEPGDSREVWARLERLRKGYTRDAFEQDFRQALMKERAEKLESWWEKEKLRAQKKADGRELLRKKSSMRVAESKRESTIMEAIRNTTAL >LPERR01G19780.1 pep chromosome:Lperr_V1.4:1:17502592:17504037:-1 gene:LPERR01G19780 transcript:LPERR01G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKNPHVVLLACPGAGHVLPMMEFARRLTEHDHNFTVTIITHTSLSSSGVKSSSSILDSLPSSISIATLPAVPLDDLTSADARIETFIITAVKRALPHVRDLLAAIVGERGDDVVAFAADMFCSWALPIAADLGLPKYILFLPNLMALASILQLPELDAATTCAYRDLPEPVRLPGCVPLRGADLFDTVQDRSNEAYGHLVETARHHLLADGILVNTFDEMEHDAISAFRELADKGVYPPVYPVGPFFRPCSSEAAEHICSRWLDEQPEASVLYVCFGSGGALSVTQTAELAAGLEASGQRFLWVVHLPSDKDSSAGYFSSDGHVAQDPLSYLPEGFLTRTNGRGLAVPTWVPQVEILNHPAVGGFVSHCGWNSTLESVTAGVPTVVWPLFAEQRMNAVMLEERVGIALRPKVREDGLVTRAEVAAVVKELMVGEKGASARSKTKELQMSAAKAWAPDGPSHKALEAIIGKWKFTNNGV >LPERR01G19790.1 pep chromosome:Lperr_V1.4:1:17539164:17540687:1 gene:LPERR01G19790 transcript:LPERR01G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESTPASNERREHVVLLASPGAGHVMPVAELARRIVEHGGFTLTIITYTNFSSAEHSSTFASLPPSVSTAVLPEVPLDDLHADARVETRIFTVVRRALPHLRDLLRSLLASPAGVAVFVADLLSPRALAVAAELGIPRYVFFTSNLMCLSTLLYTPELARTTSCDCRDLPDPILLPGCVPLHGAHLADPVQDRANPVYPLMIDLGLDYLRADGFLVNTFDAMEHETLVAFKELSDKGVYPPAYAIGPFVRSCTGDAANDACIRWLDDQPDGSVVYVCLGSGGTLSVAQTAEMAAGLEASGQRFLWVVRFPSDKDNCASFFGGSGGDGGDSPMNYLPEGFLERTKGTGLTVPMWAPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMLTWPLYAEQRMNAAMLSSRVGLALRVRPGTSREGDGVVTRDEVAAAARELISGKKGASARAKARELRVAAANAMRAPGGRSREAFDAVVAGAWKGRSSSARVGYAAETDNGSTATAAE >LPERR01G19800.1 pep chromosome:Lperr_V1.4:1:17542661:17543239:1 gene:LPERR01G19800 transcript:LPERR01G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDKLDMLLRRVEEFERRWVEAERRLRADLLSLKAAVESGMPEVQKGVEDLQILVGDEQPKVTSTMCSTKCSSLDVEPNLAKDVVGTCATTTTTSVELVVTENTMGAEYIDHPDQPKGMLTKCLTNCSNPDVDFNLTVAAVDMGVTTSMAPMEMVRGVMTQSARTMSIPLTIPRWRTPSVRQMASPSIWR >LPERR01G19810.1 pep chromosome:Lperr_V1.4:1:17544660:17545741:1 gene:LPERR01G19810 transcript:LPERR01G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCDELKQWKLDGWDVKVVNTAVVGGGQHNSRMMRAAGWHRPGRGGVLASCSKTAQEMRARDKNARCRKWLAADIEWGGGKQQRPARGRWRDFWH >LPERR01G19820.1 pep chromosome:Lperr_V1.4:1:17558931:17563652:-1 gene:LPERR01G19820 transcript:LPERR01G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAEAGAATKPAATDASDAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLAVLTIIGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVTVQTTINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALALLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPAKDYSLSSSKSDQTFNAFLSISILASVFGNGILPEIQATLAPPVAGKMIKALVLCYSVIAFTFYLPSITGYWAFGSHVQSNVLESLMPDSGPALAPTWLLGLTVLFVLLQLLAIGLVYSQVAYEIMEKNSADAMQGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNIALAPPRRSPLFLANTAIMVVFAGVGAIGAFASIRKLVLDAGEFKLFSNNVVD >LPERR01G19830.1 pep chromosome:Lperr_V1.4:1:17567857:17572374:-1 gene:LPERR01G19830 transcript:LPERR01G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLEERAQCSEQRTLDWRALKQDPVELLRKLDELREQITRSCQIVEPPGEHRRTSRRTLSLLPENPEPPPMPGYHRSRYGGRYGHGLPPSPYETLRPELGERYSRQSSGRYRQYQGRQWDNCGVGHGNYNPYACSCPHCLHGHKNSPQEEHIPMARYFAGQQECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVHGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQVISLKHEEKVIPFSPPASFCVSKTDQCSNDQTRRDFDHQLNDFANSAFYNLNEHSSMQINIDFGDNHSVSSSISHDTTEEACGSSRSIQLKTDGLLLSPNRSGDIESPKDILCERDAGCQVQHSDVRISPCSPVLEDKLVDPLCRQKKDNTEDLSMADISDVNCKGELKVNNDDGIISMESEQKGKECDEVSLVDESMCKTHEQKSKEDHCSPGGEVSKMHELDSIKDDISSLIDGNENHDLESKQDDTNSLEGEGLKEEYEQMSKEDDNSGLEGENVNKGFDENNKENDNIALEDANTPLEDTRHAFDSASLSGRCEEKKTEEENGKSDQSFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCATGDTGVFVNGRELHQRDLDLLVGRGLPRTSGKSYSVEISGNVTDEATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >LPERR01G19840.1 pep chromosome:Lperr_V1.4:1:17575665:17576446:1 gene:LPERR01G19840 transcript:LPERR01G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWRRGAACLEREARGRGGGWVEWVMTSALLVGCRQGQRRRRGAAYTVCGVRAVRGETGEVKFTITPKGPWPWPRPASEWHAALTHPKINRRAEALQHGKDEFRTYIITTATMASPHVSCLWWPIDRFLLASCHVVNHFARAILFVAVKATCCVTYVRRYTSMTLHDTILSYGNAPRG >LPERR01G19850.1 pep chromosome:Lperr_V1.4:1:17576801:17577202:1 gene:LPERR01G19850 transcript:LPERR01G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQQGAERGEDGKEVWDMGSSLYDSYELASLCQILDRHINPDLPSPHGEPTQQEELAEAASPPEERKCGQVVVFRGAHRHRGRPGTGRKMTLRSLFRAAACWAIRPRKAHGCGCVAASSGAIEPVVTPGKL >LPERR01G19860.1 pep chromosome:Lperr_V1.4:1:17588684:17591093:-1 gene:LPERR01G19860 transcript:LPERR01G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAMGSINALMAFEADLRINPRQCRLLADACAHALAAVSGEVRAQLRFDERGGAKWRALEPPLRELHRAFRDAEAYVRQCLDPRGSWWARAAAMAHGTECVEQYIHNVLWCVAVALEAIDAAGEIAGSDPDELARRRLVTAKKSDSDMVDPKVFEHAFGKLYLVSRELVSRMDMAWKEDRWVMSQMFDEMKGAAAAKPLTRNEHRLAELLAAARGKLHPASVLLGSDYSVRRRLGGRMKEAHWMGESFAVKHFIGDTDAAGAEVALLSSVSHPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEMSCPRRRVPFSLVVAVDIMLQISRGMEYLHAKKMCHGELNPSNVLVKPRQPDGGYVHVKVTGFGRRAKASANANGNDNSCIWYAPEVLKSDGVVDAAKADVYSFGMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQPQGQADDAPTPAVDYLDIEVQLLKRLPAWQRGEAARVADVPFQMFAYRVMEREKAAGAVHVAKDKVSDSGSEGNSLYGDENGFGAMSPEHTFSAVSNGTMRSRPDSSDGNKAPAKKADGKAPRQAGSQLKAKPVNTARTPQSARRALGVKPEDHLQPNSAATAAAPTARRRTPEKTSG >LPERR01G19870.1 pep chromosome:Lperr_V1.4:1:17591106:17591991:-1 gene:LPERR01G19870 transcript:LPERR01G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRNLSRLRRTAAENLPSKTTFSSSIWSSSSSSSSFCFGDFSLLFVSFAGSLRQKTSFSPGRFLKQNGGPWSDKDFRPLPWCSDLFSFCCDSRNLIRRGIQTLSSKKQGNNKQSRRG >LPERR01G19880.1 pep chromosome:Lperr_V1.4:1:17594193:17596649:1 gene:LPERR01G19880 transcript:LPERR01G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPEPAPDPPPPAATGDGDEGGAPAPAAGLLMAARVRRRSAVYRDCKGDYIGVPDDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITELALYLVDPDADILKRRIAIAAVNKLCTSKLSDNFFAIVVPTEYDCLMASTRKKEIVDVIIKAFKSTSEYEPEVSSSNRFEYHAAAEVIKEVEFEDAEGGVKTRITHKAKS >LPERR01G19890.1 pep chromosome:Lperr_V1.4:1:17607438:17609381:1 gene:LPERR01G19890 transcript:LPERR01G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASYGLGFGDYNNFLGTSRHWSTVQHTSGLKATTVDYSVYSGLSIASSKTLKRKRGAMAGPDGIENPLLTLGLGHSPTSSDNSKVSSATAYAMSPSPLKEADEESSADIGLNFELCLGNDMVHCQRKSPVGAENSPSTYSHKLDLQLSLSTGSPKSAVTNPNMVPPIIHGGLEIPFTNSSPAIIGEGSVPCTWVFENKVVSSSYASDSTYTFPFSKVPKTGDAAMSSPVISSTLVTSMKIPVACTSGSTNPQQRNSNTKTCQFPGCVKGARGASGRCIAHGGGRRCQKHGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHEGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECSKGAQGSTKYCKAHGGGKRCTFLGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSNYGVGLPQCDKFARSKTALCSAHCALVQDHCVRGGTLGVAAYQFAPDAKYDEMEVAPVQEDSHTNTSSDEPSHGSTPVVRSTTSGELSEGRVHGGGLLALLSRSGNHASAGNSKNGPPTMMTWE >LPERR01G19900.1 pep chromosome:Lperr_V1.4:1:17610151:17616983:-1 gene:LPERR01G19900 transcript:LPERR01G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPVRFLLFEKVDYLQWIGAASAFFFFTILFVAFFPGSAVFERPTILLPSRRAGGGGGRGRGGGGAEALLPGGRLGVVETGEGVVFEPTRLRERWARERREEADSLAKLGSPVRRLGVRKPRLAMVFGDLSPDAMLLQMVSVASVLEAMGYEMKVFSFKDGPCSNVWKAIGVTVNFLPEDTDLNISVDWLDYDGILANSIEARPIFSSLMQEPFKSVPVIWNVQESSLAHRISEYNSSGMIQILDGWKEAFSRADVIVFPDYVLPVMYAAFDSGNYFVIPGYPAVPIQDRITDKSYDQDVRVSLGLSPTDFVIVIVGSQFSYGGFLMEEALVLQAVGCLLQQYPSENSTQSELKVRILAENVTEKHRMALQAVASNIGFPRGSVEHVASEDKDNLLVISDLVIYGSCLNEQSFPSIDGGVNALLFPCKNAGKLTQVLLQAVSNGKISDLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPADVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHKNGTLVGYNILQKLEEWHKNQMEDRHSNASKIDETFSIIAWEEERADGIVNSKKRLEEEELKERSDQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLCIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDLLGDFGAFFALASRIDRIHKNSWIGFQSWRVTARKVNLSRKAESALLEAIQTQKHGDAFYFWVRMDHDERNLAKQDFWSFCDAINSGNCRFAVQKAFQSMYGMRFDDDLNTLPLMPDDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGHCMLSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVNPETGAMQEQHLLNGRRGQMSIQWFSFGTLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPDEAGSLNDTRTVDQ >LPERR01G19910.1 pep chromosome:Lperr_V1.4:1:17622179:17626230:-1 gene:LPERR01G19910 transcript:LPERR01G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPTATTAFLAAAPASTSSSTNHHRRSRLPTISASLASSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPLIQSPVRSEEQVRELTPIDFDKLQFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLAHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPHVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAYLFSPLPILTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGSVTEELQPVA >LPERR01G19920.1 pep chromosome:Lperr_V1.4:1:17631178:17639018:1 gene:LPERR01G19920 transcript:LPERR01G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHNRPAPEQPQPQPPPQPKPKPQPQAAQWPRPQQPPPPAAAPAPDAAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGQRFACKSIATRKLVHRDDLEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSTKEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKMLRPDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >LPERR01G19930.1 pep chromosome:Lperr_V1.4:1:17635766:17638134:-1 gene:LPERR01G19930 transcript:LPERR01G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAWPAAGFCGLVAGDGGGGATTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTTTRHHKHYIVPTLANKRMQAFSEMQTDVDIDSRPRPYPSAKTLFWHLASEKNSKSNGARQPEFVLEETKATKPSSRGKESSASPLPRHLQSNTISSDIVMHNVGMKDQPGVRHLSSFSSVDNPRGRQIQKAPLRTKSMLAAFFVKHRSGKMKNVSVR >LPERR01G19930.2 pep chromosome:Lperr_V1.4:1:17635768:17638099:-1 gene:LPERR01G19930 transcript:LPERR01G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAWPAAGFCGLVAGDGGGGATTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTTTRHHKHYIVPTLANKRMQAFSEMQTDVDIDSRPRPYPSAKTLFWHLASEKNSKSNGARQPEFVLEETKATKPSSRGKESSASPLPRHLQSNTISSDIVMHNVGMKDQPGVRHLSSFSSVDNPRGRQIQKAPLRTKSMLAAFFVKHRSGKMKNVSVR >LPERR01G19940.1 pep chromosome:Lperr_V1.4:1:17647227:17648696:-1 gene:LPERR01G19940 transcript:LPERR01G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTMVVVAMLAAACRAEFTVVVPDSSSSSALVNAPQTGYSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDHGEVYHVVSLSFGALSDDTAFPACDAARASLSPAVLALPHLKSLFFYRCFTANPQPIPAFLGRLGLAFRSLVLRENGHVGAIPPEVGNLTALRVLDLHGNHLTRTIPATIQSLTHLQLLDLSYNRLSGEVPHFNFQHLGILDLSHNALQGGVPASLGQCRSLLKIDLSQNRLTGAIPDAIGDLSELILLDLSRNSLSGPIPEALGRLSSLRSLILGDNRMQFTTVPGDFFAGLKALTTLVLSGMGLEGSVPESIGELGRLRVLRLDNNEFTGVIPASFRQLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVSDCDSVRSRTTQHLGGLRNTDEVLPMAVAANVTASAAPRVGAVVCVGSWHVFVAVLVPLLQLAWL >LPERR01G19950.1 pep chromosome:Lperr_V1.4:1:17651395:17658979:-1 gene:LPERR01G19950 transcript:LPERR01G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLMAAIVHDLIKPNLKAARGRRQQQQQPRSPKRSRAAWAALQVADLLSRSPHFRISLLPYSRRRQKQQRQRLEASGRAATSQILIAKRVDLYDAPAGFVIGMEFGNDVRIRMDAGVHGGSEQSSGEAALPRLLIEVPSKVIDGFDIVGGGEQSKEMVGEEKDVVISIPAPPVNAPRSVSVSAAYDHDAQAQIPYSVSLSMPASPSGFHFSQFGMAAAAKSVHRDETRVAPAETKFDAHPAVGRVESHSPRLLTKQTRFHSQPILHLSKNNSHDETARRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPPAPHDHAHINGEGAPGCEPNDIAEETETEQVPGADRYFDALEGPELETLRATETTVLPKDEMWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPTVNHVLWWISLALMGLVSTIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEIHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGARMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKITGQFDYGARIAYFIALFLYMSLAVRINFFRGFKFSLAWWAYTFPMTGASIATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSISKPRNSDCDSSVSSKVTVNDPSVTTTKAEP >LPERR01G19950.2 pep chromosome:Lperr_V1.4:1:17651395:17658979:-1 gene:LPERR01G19950 transcript:LPERR01G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLMAAIVHDLIKPNLKAARGRRQQQQQPRSPKRSRAAWAALQVADLLSRSPHFRISLLPYSRRRQSFVIGMEFGNDVRIRMDAGVHGGSEQSSGEAALPRLLIEVPSKVIDGFDIVGGGEQSKEMVGEEKDVVISIPAPPVNAPRSVSVSAAYDHDAQAQIPYSVSLSMPASPSGFHFSQFGMAAAAKSVHRDETRVAPAETKFDAHPAVGRVESHSPRLLTKQTRFHSQPILHLSKNNSHDETARRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPPAPHDHAHINGEGAPGCEPNDIAEETETEQVPGADRYFDALEGPELETLRATETTVLPKDEMWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPTVNHVLWWISLALMGLVSTIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEIHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGARMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKITGQFDYGARIAYFIALFLYMSLAVRINFFRGFKFSLAWWAYTFPMTGASIATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSISKPRNSDCDSSVSSKVTVNDPSVTTTKAEP >LPERR01G19950.3 pep chromosome:Lperr_V1.4:1:17651395:17658353:-1 gene:LPERR01G19950 transcript:LPERR01G19950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNPSELSNSTPGAMILIAKRVDLYDAPAGFVIGMEFGNDVRIRMDAGVHGGSEQSSGEAALPRLLIEVPSKVIDGFDIVGGGEQSKEMVGEEKDVVISIPAPPVNAPRSVSVSAAYDHDAQAQIPYSVSLSMPASPSGFHFSQFGMAAAAKSVHRDETRVAPAETKFDAHPAVGRVESHSPRLLTKQTRFHSQPILHLSKNNSHDETARRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPPAPHDHAHINGEGAPGCEPNDIAEETETEQVPGADRYFDALEGPELETLRATETTVLPKDEMWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPTVNHVLWWISLALMGLVSTIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEIHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGARMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKITGQFDYGARIAYFIALFLYMSLAVRINFFRGFKFSLAWWAYTFPMTGASIATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSISKPRNSDCDSSVSSKVTVNDPSVTTTKAEP >LPERR01G19950.4 pep chromosome:Lperr_V1.4:1:17651395:17657784:-1 gene:LPERR01G19950 transcript:LPERR01G19950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIIHILIAKRVDLYDAPAGFVIGMEFGNDVRIRMDAGVHGGSEQSSGEAALPRLLIEVPSKVIDGFDIVGGGEQSKEMVGEEKDVVISIPAPPVNAPRSVSVSAAYDHDAQAQIPYSVSLSMPASPSGFHFSQFGMAAAAKSVHRDETRVAPAETKFDAHPAVGRVESHSPRLLTKQTRFHSQPILHLSKNNSHDETARRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPPAPHDHAHINGEGAPGCEPNDIAEETETEQVPGADRYFDALEGPELETLRATETTVLPKDEMWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPTVNHVLWWISLALMGLVSTIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEIHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGARMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKITGQFDYGARIAYFIALFLYMSLAVRINFFRGFKFSLAWWAYTFPMTGASIATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSISKPRNSDCDSSVSSKVTVNDPSVTTTKAEP >LPERR01G19960.1 pep chromosome:Lperr_V1.4:1:17667936:17668430:1 gene:LPERR01G19960 transcript:LPERR01G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASPTGQASARERANADAVRSDRPEATGVMVDVPTTNDGTGVAATATTMTTRLVAAAATTVEVAVEQTNSEPALETAATTPAPEMAPPKDGDNNDDEVPTDDETPPKEPTPAPVQAATEPTDGGTLSGDDATPHAAMAKRLLVGDDGQQVPCDLFECIICPE >LPERR01G19970.1 pep chromosome:Lperr_V1.4:1:17688222:17693622:1 gene:LPERR01G19970 transcript:LPERR01G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRSIIASAVGVGVGVGVGLGLVSTRLTGSATGGGGGGGATAAEVEAELRRLVVDGSEIGVSFDDFPYYLSEQTRLALTSTAFVYLSPTILPNHIRVLSDATRTILLCGPSELYLQSLAKALANQFNARLLLLDVNEFSRRMHHKYGGQSNTQARERSITAAAFDRVSSLVGSFNLFRRKEEPAGSVSRETSVFDIRTSNCCTHNTPSVRFQLSLIPVAKDHESEFDFDSVKQYWSMNEKVLIQLLYKIIVSASEISPVILYIRDVVELLGGSEKTYCMFQKMLNKLSGRVVVIGSQFLDSDQDRDDIDEPVCALFPCILETKPPKEKELLEKWKTQMEEDSKNTHNQLVQSYIAEVLSENSLECEDLSSINADDDFKIIVHYLEEIIAPTVSYHLMKNKDPKYRNGNLVISSESLSHGLRIFQESNNLGKDTVEAKDETETVVPDTEYEKKIRQTVIPANEIGVTFNDIGALADIKESLHELVMLPLQRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKALANAAGASFLNISMSSMTSKWYGESEKCIEALFSLAAKIAPAIIFIDEVDSMLGKRDNQNEHEVSRRIKNEFMSHWDGLLSKSNGRILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTMLSKETVENIDFKELAKMTEGYTSSDLKNLCVTAAYHPVREILQKEKNKGKKETVPETKKEPKEEQKLLENGTGSSDSKKDKDTADSKEVKKDKPDDKKDKSDKGAAGETLRPLNMEDLKKAKDEVAASFASGGAVMSRIKEWNELYGKGGSRKREQLTYFL >LPERR01G19970.2 pep chromosome:Lperr_V1.4:1:17688222:17693622:1 gene:LPERR01G19970 transcript:LPERR01G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRSIIASAVGVGVGVGVGLGLVSTRLTGSATGGGGGGGATAAEVEAELRRLVVDGSEIGVSFDDFPYYLSEQTRLALTSTAFVYLSPTILPNHIRVLSDATRTILLCGPSELYLQSLAKALANQFNARLLLLDVNEFSRRMHHKYGGQSNTQARERSITAAAFDRVSSLVGSFNLFRRKEEPADSVKQYWSMNEKVLIQLLYKIIVSASEISPVILYIRDVVELLGGSEKTYCMFQKMLNKLSGRVVVIGSQFLDSDQDRDDIDEPVCALFPCILETKPPKEKELLEKWKTQMEEDSKNTHNQLVQSYIAEVLSENSLECEDLSSINADDDFKIIVHYLEEIIAPTVSYHLMKNKDPKYRNGNLVISSESLSHGLRIFQESNNLGKDTVEAKDETETVVPDTEYEKKIRQTVIPANEIGVTFNDIGALADIKESLHELVMLPLQRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKALANAAGASFLNISMSSMTSKWYGESEKCIEALFSLAAKIAPAIIFIDEVDSMLGKRDNQNEHEVSRRIKNEFMSHWDGLLSKSNGRILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTMLSKETVENIDFKELAKMTEGYTSSDLKNLCVTAAYHPVREILQKEKNKGKKETVPETKKEPKEEQKLLENGTGSSDSKKDKDTADSKEVKKDKPDDKKDKSDKGAAGETLRPLNMEDLKKAKDEVAASFASGGAVMSRIKEWNELYGKGGSRKREQLTYFL >LPERR01G19970.3 pep chromosome:Lperr_V1.4:1:17690625:17693622:1 gene:LPERR01G19970 transcript:LPERR01G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPIEICSWKDLPSFVAICISPSQSHNLKPRVTHSPSSDTDSVKQYWSMNEKVLIQLLYKIIVSASEISPVILYIRDVVELLGGSEKTYCMFQKMLNKLSGRVVVIGSQFLDSDQDRDDIDEPVCALFPCILETKPPKEKELLEKWKTQMEEDSKNTHNQLVQSYIAEVLSENSLECEDLSSINADDDFKIIVHYLEEIIAPTVSYHLMKNKDPKYRNGNLVISSESLSHGLRIFQESNNLGKDTVEAKDETETVVPDTEYEKKIRQTVIPANEIGVTFNDIGALADIKESLHELVMLPLQRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKALANAAGASFLNISMSSMTSKWYGESEKCIEALFSLAAKIAPAIIFIDEVDSMLGKRDNQNEHEVSRRIKNEFMSHWDGLLSKSNGRILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTMLSKETVENIDFKELAKMTEGYTSSDLKNLCVTAAYHPVREILQKEKNKGKKETVPETKKEPKEEQKLLENGTGSSDSKKDKDTADSKEVKKDKPDDKKDKSDKGAAGETLRPLNMEDLKKAKDEVAASFASGGAVMSRIKEWNELYGKGGSRKREQLTYFL >LPERR01G19970.4 pep chromosome:Lperr_V1.4:1:17688222:17690490:1 gene:LPERR01G19970 transcript:LPERR01G19970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRSIIASAVGVGVGVGVGLGLVSTRLTGSATGGGGGGGATAAEVEAELRRLVVDGSEIGVSFDDFPYYLSEQTRLALTSTAFVYLSPTILPNHIRVLSDATRTILLCGPSELYLQSLAKALANQFNARLLLLDVNEFSRRMHHKYGGQSNTQARERSITAAAFDRVSSLVGSFNLFRRKEEPAGSVSRETSVFDIRTSNCCTHNTPSVRFQLSLIPVAKDHESEFDFG >LPERR01G19980.1 pep chromosome:Lperr_V1.4:1:17693450:17694953:-1 gene:LPERR01G19980 transcript:LPERR01G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCNASRVIFVLLCCMHLLSCAAEEAYNIESFGAKPDGRTDASQALAAAWSAACRSPEPATVYVPSSEFFVSHAAFAGPCSGRMTVQIDGTLVAPSGYTGSDSSGEAWIVFDHVDGLTVSGGTLDGRGDSLWACKADGHGGCPDGATSVKVMNSRDVVISGVKSVNSELYHVVIDGCEGVTVQNARIVAPGSSPNTDGIHVQSSSDVTITSASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEERGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGHGCPHQSSDVQISGVTYTDIQGSSASQVAVKFDCSASNPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >LPERR01G19990.1 pep chromosome:Lperr_V1.4:1:17705194:17706947:1 gene:LPERR01G19990 transcript:LPERR01G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRPRRAVSTITATAPATPCGGGYGYGEDEGPFFELDLSSFCSASATVSSAESSGSESEDYSSCAGEHDDFVISLQRSRSASPSSYERVFYSVRGGGWAATPAQLKFCAFEPSDAASVRRGKLRTLSFGSAKAALYGGRASFSRSSSSARIFATFGYGYGSPDQDDQEMASRRTPSRDVIRRYISKISRQLRRVAPSATATADLRLRKSRSASAAQMAAARSPPRRDDSLIELQDGIASAIAHCKESLHRASVSECDSPLLRSRSDPRS >LPERR01G20000.1 pep chromosome:Lperr_V1.4:1:17710815:17716196:-1 gene:LPERR01G20000 transcript:LPERR01G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDITGPAADVNMMGYANAEQVASGIHFRLKSRAFIVAEPSGKRVVFVNLDACMTSQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLFDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDKLGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNSLPKQLAHANSDYLRSLHKTSGLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKAVYLFNSASEEIQGKIDYRHTYLDLSQLEVQLSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWRLVRNLLKTPGKEQVECHSPKPILLDTGEMKEPYDWAPAILPIQMIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLTSGHDEFDNNIHVVLAGLTNSYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMIANKEVPTNFQPPDLLNKQIGLLPGVVFDSTPPGVKFGDVSSDVPDGNNNWVPVYDDDDWSLRFKWSRPARLSPRSFATLEWTVPEDAASGVYRLRHFGASKPMFGSIRHFTGTSRAFAVR >LPERR01G20000.2 pep chromosome:Lperr_V1.4:1:17710815:17716196:-1 gene:LPERR01G20000 transcript:LPERR01G20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDITGPAADVNMMGYANAEQVASGIHFRLKSRAFIVAEPSGKRVVFVNLDACMTSQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLFDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDKLGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNSLPKQLAHANSDYLRSLHKTSGLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKAVYLFNSASEEIQGKIDYRHTYLDLSQLEVQLSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWRLVRNLLKTPGKEQVECHSPKPILLDTGEMKEPYDWAPAILPIQMIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLTSGHDEFDNNIHVVLAGLTNSYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMIANKEVPTNFQPPDLLNKQIGLLPGVVFDSTPPGVKFGDVSSDVPGNSTFNKGTTVNATFHSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSPRSFATLEWTVPEDAASGVYRLRHFGASKPMFGSIRHFTGTSRAFAVR >LPERR01G20010.1 pep chromosome:Lperr_V1.4:1:17723927:17725026:1 gene:LPERR01G20010 transcript:LPERR01G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEGRERPDEAAARVAAVTTGEGGEEERRERGVVAGLVDRAKGFVAEKIAQIPKPDATLDRVSFKGVSRECITLHSHVDVNNPYAHRIPICELTYTFKSGGKVIASGTMPDPGWIAASGTTKLELPVKVPYDFIMSLIKDLGGDWDIDYVLEVGVTIDLPVIGSFTIPLTTEGEMKLPTFRDLF >LPERR01G20020.1 pep chromosome:Lperr_V1.4:1:17726586:17731958:1 gene:LPERR01G20020 transcript:LPERR01G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEVGPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIKLEEYQTAKAALELGSSFASGDSRFTRLMKECDERIAEEASQAPVKKTDEGASASVAATVEKKDDTETMDNTQQPMAEVPSKPKYRHDFYNSATDVVLTIFAKGVPAENVAVDFGEQMLSVLIEVPGEEPYHFQPRLFSKIIPDKSKYQVLSTKVEIRLAKAEQITWTSLDYDNKPNAIPQKIISPAASTPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >LPERR01G20030.1 pep chromosome:Lperr_V1.4:1:17736130:17737972:-1 gene:LPERR01G20030 transcript:LPERR01G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASPLRIVVVMDHFNDWDLQAVVRSCSFPQPEQRAAPEAAGRTTPAVVASAATTTPARGVDPPARAGTAKATSVLCNLEYLDLDHKPFLLTGTSTTSASSRARGREDGGSKHEVIISFPAAAAAAAASTSGAQPRSPTGGGRKPGIRTPRPKRSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMAEHCHPVPTQLNALAGTTRHKSAPAEDDHQTTTTTTGSHEAGPAAGEAAAGEGVVKCEDVDGNELSETMAAAAVDDDSEFWLEGMGLELELDEFLGPVDDDVFDHVFEEEDGGVLGRRLSL >LPERR01G20040.1 pep chromosome:Lperr_V1.4:1:17745441:17749735:1 gene:LPERR01G20040 transcript:LPERR01G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGRRRFSSEQLMFDVPANAGGAAGKWAQRGGVRRGDGEIFVSVEPATPARLRGGETAAAESPGQRQQLSPGLLDLHAFDTELISDLQVPGIGMYDGAQKFGYGNGGFDDSDSTFATNKLTSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEITKKEEDIIDIEQHSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRMAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSSTKKDLPLAAVPLRDSSPSPLASAVPSFSAAEVMNDITERSNFGWPKQQFVKEQQALSFVDRLPKVKEDTEFGLSSGGYIKEQRTKGTAPVGIAEVPDTVYQQGRQPTRKARDSASDNNIRNSIAYPTRRVVPDEDDHLNDLLQEEEDLVSAHRKQVEETLDIIKEEMNLLVEADQPGNQLDGYITRLSGILSQKAAGIVDLQARLAQFQRRLSENNVLLYSQCP >LPERR01G20050.1 pep chromosome:Lperr_V1.4:1:17755082:17757070:1 gene:LPERR01G20050 transcript:LPERR01G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGNAGGGAASCSFGGFSGGEQNEAAATGCLGMLGELEDADDVSGKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTVSPLPPAPPPQPLLALAGAASAMDCPDAAWQWTDHHHHHRYHHHQIKPMMFPSLEASCGGVQQVPELGGGGVMGLTLTDGEAKVEPPFPFCLLGQAFF >LPERR01G20060.1 pep chromosome:Lperr_V1.4:1:17764582:17765652:-1 gene:LPERR01G20060 transcript:LPERR01G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPFVDKSPHRRRDGVAGAGGWLKQRLALILARSTCTTNTTSATAFVTLDKTNAADHHQEPPPPPSPYFCTPCTYQRPKLDGANRRPRRCRRHSASLVHISIDCTGGAGATSGRRSVHSDAPLLPTTKDGRKQSRARRKPPQLSPSTSRRHCPSSSWGRARLPRGTAGQHYYSSSSSTVTDDELAPFSTDEEGGGEEAETRTLFSSLSFSSDSTSEFYKKGHNKNASRRPPPRRGSTRITSDHPADAFRPVVSVAAAKKQHNECYKKEEEAIKKKMGAAEDTDGVGAGMAVVKRSSNPYADFRSSMVEMVVERRICSVPQMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >LPERR01G20070.1 pep chromosome:Lperr_V1.4:1:17769968:17775892:-1 gene:LPERR01G20070 transcript:LPERR01G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGQSSQDYDGLKTSAASWLDQLVAVATRIQEAHSWTAEAAHHENFSNPRCRVPMGFMQAYCLAGCSDCQSSAATKKCYCSTQIHDYMPCWRMAQLKKVFRTDPSTSHCFRPISGHHLALFSPRDI >LPERR01G20070.2 pep chromosome:Lperr_V1.4:1:17769968:17776235:-1 gene:LPERR01G20070 transcript:LPERR01G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKGGAPPAIRRQGQSSQDYDGLKTSAASWLDQLVAVATRIQEAHSWTAEAAHHENFSNPRCRVPMGFMQAYCLAGCSDCQSSAATKKCYCSTQIHDYMPCWRMAQLKKVFRTDPSTSHCFRPISGHHLALFSPRDI >LPERR01G20070.3 pep chromosome:Lperr_V1.4:1:17770060:17776235:-1 gene:LPERR01G20070 transcript:LPERR01G20070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKGGAPPAIRRPAGPRKPRIMRTSATHVAGYPWGSCRHTALQVAATVSQALLLKSATAPHRSTTTCPAGVWRS >LPERR01G20080.1 pep chromosome:Lperr_V1.4:1:17776422:17785250:1 gene:LPERR01G20080 transcript:LPERR01G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAADADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLTDPDAQEIWTLEAAKSEEAAREIETLYSKPTVFYLLNRIKEFSEWAQCLVLELVSKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLAAGLADTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLTVGAETSENTISAQRYQENDNDLLLSTSDKEDSGTATSNGSSASTYNAPSDLLGPSLLSSQTASETSLINPGGLTYSSQSNFSLDDLLGLGVPEAPVPQPAPALALNSKPVLDPATFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQSNYVQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKIKADDGTASEAFSTIFQSALSKFGLS >LPERR01G20090.1 pep chromosome:Lperr_V1.4:1:17784917:17785159:-1 gene:LPERR01G20090 transcript:LPERR01G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKGKGARKGSPPADEEQSKAAATMQFVKEWTTWTMKKSKVAAHYGFIPLIIVLGMTTEPKPTLFQLLSPV >LPERR01G20100.1 pep chromosome:Lperr_V1.4:1:17789234:17792176:1 gene:LPERR01G20100 transcript:LPERR01G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGDRHGTYHHQLGHGGHLARGDGAADYVYNTSDMESFFFTQTGGAVGGAGDTGGGGADEIMPYSSITDYLQGFLDPSGLARHLDVPCPSSQDTAVKQELSVDVTSHESQATGGAAGEGAAPNSSASFSSSDGEAEEGGKSRRCKKGGQAKAEEEEGKDEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGIGGHHLFMPGVHGLPPSHLMAPAGFHPELMGLMHHPMAAASNPSMYLPSVAAQPAAGAISTVPPPPLQQHHFTDYAMLQDLFPSTMPSNNHD >LPERR01G20110.1 pep chromosome:Lperr_V1.4:1:17810564:17811304:-1 gene:LPERR01G20110 transcript:LPERR01G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPPTSFNGNPSFAYGHETDGCIANGHLGGQCNYRVPVSPAFGAPSGMTSPQLRNSVGGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPTLVSKLNPTATNAFPSYPEEICRSSGQDSGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDRFQSGSLDSSSPPRSKKLHHSSGKSSVFHGSMDGATHERMRNTVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKTEAKKLGVEVSDN >LPERR01G20120.1 pep chromosome:Lperr_V1.4:1:17811551:17813283:-1 gene:LPERR01G20120 transcript:LPERR01G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLCPFVPVVFSAPVSSPKSLSFFLVLWCCRTKPRAPPPRHLGIASKIRPADELRPSLSRLASQYRSALLGEAVTFTGESFSFCGSLFLKTLGLRMYVKIELKRFRIVKEVGTIVMNVRIFAYYQLMQVCQAEYFRQLLKPVT >LPERR01G20130.1 pep chromosome:Lperr_V1.4:1:17818752:17828316:1 gene:LPERR01G20130 transcript:LPERR01G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAALPFAVGEASPWSLLGGVAAALLLVWAVQMLEWAWLAPRRMDRALRAQGLKGTQYRFLHGDLKEDLQLVMAARSKPVPMDRPHDIVPRISPLLHRALEDHGKLSFTWFGPVPRVTITDPELVREVLSNKSGHFEKTRLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKRMLPAFSASCTELIGRWENVVAASVGKAELDVWPEFQNFSGDVISRAAFGVRYQEGRRIFQLQAEQAERLLQSFRTNYIPGVSLLPIENNRRMKAIDREIRAILRGIIEKRQKDMKNGDANKDDLLGLLLESNMDYNDEDGKTSKGMTVEEIIEECKLFYFAGMETTAVLLSWTMVVLSMHPEWQDRAREEVLQVFGQNKPDFNGVNRLKVVTMVLYEVLRLYPPVVLMNRRTYKAIELGGVTYPPGVMLALPVLFIHRDTAVWGHDAGEFNPGRFAEGVAKACNDPGAFFPFSWGPRICIGQNFALLEAKVAISMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRR >LPERR01G20130.2 pep chromosome:Lperr_V1.4:1:17819004:17828316:1 gene:LPERR01G20130 transcript:LPERR01G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAALPFAVGEASPWSLLGGVAAALLLVWAVQMLEWAWLAPRRMDRALRAQGLKGTQYRFLHGDLKEDLQLVMAARSKPVPMDRPHDIVPRISPLLHRALEDHGKLSFTWFGPVPRVTITDPELVREVLSNKSGHFEKTRLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKRMLPAFSASCTELIGRWENVVAASVGKAELDVWPEFQNFSGDVISRAAFGVRYQEGRRIFQLQAEQAERLLQSFRTNYIPGVSLLPIENNRRMKAIDREIRAILRGIIEKRQKDMKNGDANKDDLLGLLLESNMDYNDEDGKTSKGMTVEEIIEECKLFYFAGMETTAVLLSWTMVVLSMHPEWQDRAREEVLQVFGQNKPDFNGVNRLKVVTMVLYEVLRLYPPVVLMNRRTYKAIELGGVTYPPGVMLALPVLFIHRDTAVWGHDAGEFNPGRFAEGVAKACNDPGAFFPFSWGPRICIGQNFALLEAKVAISMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRR >LPERR01G20130.3 pep chromosome:Lperr_V1.4:1:17818909:17822186:1 gene:LPERR01G20130 transcript:LPERR01G20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAALPLRVGEVSPWSLLGGVAAALLLVWAVQMLEWAWLAPRRMDRALRAQGLRGTQYRFLHGDVKEDLQLNIAARSKPVPMDRPHDVVPRVAPLLHRALEDHGKLSFTWFGPMPRVTITDPELVREVLSNKFGHFAKTRLATRKLLVGGLAVLRGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCTELISRWENVIVASVGKAELDVWPEFQNLSGDVISRASFGASLLPTENNRRMKATDREIKRILRGIIEKRQEAMKNGETNKDDLLGLLLELNMDYNDEDGKSSKGMTVDEIIDECKLFYFAGMDTTAVLLSWTMVVLSIHPEWQDRAREEVLQVFGQNKPDFNGVNRLKVVTMVLYEVLRLYPPVVLMNRRTYKTVELGGVTYPPGVMLALPVLFIHRDTAVWGHDAGEFNPGRFAEGVAKACKDPGAFFPFSWGPRICIGQNFALLEAKVAVSMILQRFAFELSPAYAHAPYTVFTLHPQHGVPVRLRQL >LPERR01G20140.1 pep chromosome:Lperr_V1.4:1:17830643:17834116:-1 gene:LPERR01G20140 transcript:LPERR01G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGEASPWGLAGAAVAVALLWLAGWTLEWAWLTPRRLERALRAQGVRGNRYRLFTGDVPENARLNREARKKPLPLGCHDIIPRVLPMLSKAVKEHGKPSYTWFGPTPRVMIPDPESVREVLSNKFGHFGKVKPSRIGQLLASGVASYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMITRWENSTSPEGIFELDVWPEFQNLTGDVISKTAFGSSYQEGKRIFQLQAELAERLIQAFQTIFIPGYWYLPTKNNKRMREIEREVRKILRRIIEKRVGAIQNGENSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVMHHFGRTPPYYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVNLMLPLIFIHHDPNIWGKDASEFNPDRFVDGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICKILQRFSFELSPSYIHAPYTVITLHPQHGAPIKLKKI >LPERR01G20150.1 pep chromosome:Lperr_V1.4:1:17847337:17849689:-1 gene:LPERR01G20150 transcript:LPERR01G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAPFFSTKFLTIKVWTGARSSLTNHRQLDLEVAVLLMDPTSVPWIFITYCLLGLVLLLHRLLERLWWQPRRLERALRAQGLRGTSYRFLTGDVRDYGRMSKEAWARPLPLGCHDIAPRVAPFVHKTITEHGKTCLSWFGPIPKVTIADPDVARDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWTASLGSDGSNELDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQSEQAELFMGAIQKISIPGYMSLPTKNNRRMHQIKDEVESIIRSLVKKRMKSMEEGERTKDDLLGILLESSKRHADENGQSSQGMTAEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVLGLFQKDKLDYEGLSKLKIVTMILYEVLRLYPPGIGFVRKTYKEMEIGGVSYPAGVMIELPLLFIHHDPDIWGSDVHEFKPERFAQGISKASNDHGAFFPFGWGPRICMGQNFALLEAKMAMCMILQRFEFELASSYTHAPHTVLMLRPMHGAPIKLRAI >LPERR01G20160.1 pep chromosome:Lperr_V1.4:1:17863394:17866437:1 gene:LPERR01G20160 transcript:LPERR01G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEEGASLAGKLSSPRGLVYGIAALLVLKVLYWVAEKCWLRPLRVASALQAQGLRSTAYRFPAGDLPENARRNKEAKARPMPLCHDIVPRVAPYLRDIVKKHGNVSITWFGTTPRVVIAEPEQVKDILSNKFGHIEKFTLKSIGKLIALGLASYDGEKWARHRRILNPAFHIEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGLHELDIWEEFQNLTGDVISRTAFGSSFLEGRRIFQLQEEQADRIIKAIQYIYIPGFLYLPTENNRRMKENSREIEGLLRGIIERRSHAVENGKLSGDDLLGLMLKSNMDSGESSDLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLAMHPDWQDRAREEVLSSFGRDKPNFDGLSRLKMASVTMILHEVLRLYPPAISLSRKTFKEIQIGGITYPAGVALELPIILIHHNIDVWGKDAQEFKPERFAEGISKATKGDQQAFFPFGWGPRICIGQNFAMLEAKMALCMILQNFEFQLASSYIHAPYASVTLHPQHGAQVILRKL >LPERR01G20160.2 pep chromosome:Lperr_V1.4:1:17863394:17866437:1 gene:LPERR01G20160 transcript:LPERR01G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEEGASLAGKLSSPRGLVYGIAALLVLKVLYWVAEKCWLRPLRVASALQAQGLRSTAYRFPAGDLPENARRNKEAKARPMPLCHDIVPRVAPYLRDIVKKHGNVSITWFGTTPRVVIAEPEQVKDILSNKFGHIEKFTLKSIGKLIALGLASYDGEKWARHRRILNPAFHIEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGLHELDIWEEFQNLTGDVISRTAFGSSFLEGRRIFQLQEEQADRIIKAIQYIYIPGFLYLPTENNRRMKENSREIEGLLRGIIERRSHAVENGKLSGDDLLGLMLKSNMDSGESSDLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLAMHPDWQDRAREEVTMILHEVLRLYPPAISLSRKTFKEIQIGGITYPAGVALELPIILIHHNIDVWGKDAQEFKPERFAEGISKATKGDQQAFFPFGWGPRICIGQNFAMLEAKMALCMILQNFEFQLASSYIHAPYASVTLHPQHGAQVILRKL >LPERR01G20170.1 pep chromosome:Lperr_V1.4:1:17877985:17912790:1 gene:LPERR01G20170 transcript:LPERR01G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVGVSLIGMPSLAPSGFLCGVAGMLVLGAVYWAAERYWLGPRRVAGKLLAQGLCGTAYRFPFGDLPENARRSEVALARPMPLCHDIAPRVVPFLHDIVEKHVNQFMMFIGLSYLRAYNTELNVGNVCITWFRTMPRVVVAEPELVRDILSDKFGHFKKFSAERVGKLLALGLASYDGEKWETHRRILNPAFHLEKLKRMLPAFSTCCSEMIGRWDSKLAGCDGPCELDIWQEFRNLTGDVISRAAFGSSFMEGRRIFQLQDEQIERVMKDIQYIQMPGYLYLPTENNRRMKENNREIKELLRGIIEKRNRTIENSEFISDDVLGLMLKANMDSGEPSNLRMSMEDVMEECKLFYMAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLSVFGRNKPNFDGLGRLKTASITMILHEVLRLYPPSITMHRRTSKDMQIGGITLPAGIGIEVPIVLIHHNTYVWGEDAHEFKPERFADGISKATKVDQAAFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLSPSYIHAPQPVVAVTLHPQYGAITLSAGIGIEVSIIQIHHNTYVWGENVHEFKPERLADGISKVAKDDQTLFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLLPSYIQLSMRLRLDYDHLRCMADPVAAGGFSVFYECAQAELSHAGVGAVSSMPWSILAYGLLGLVVRWQAGLLLHWLWLRPRPRRLELALRAQGLRGTSYRFLVGYLREHRRLKREACARPLPLRYHDIAPRVVPFFYNTVRAHGKTCVSWFGPTPRVTIVDPDLAKDVMSNKSGHFEKPKFEGLTKLLNDGLPNHEGEKWKILNPAFHLEKLKPMLPAFSVCCEELACRWMEPLGSDDSYELDIWPEMQSLTGDVTWIIYEVLRLYPSVVTFLRQTYKQMEIGGITYPAGVTIELPVLLIHHDSDIWGSDVHEFKPERFANGISKASKDPAMVLGAEGLMGQTSVPWSLLAYGLLGLLLLWQAGRLLHSLWWQPQRLELALRAQGIRGTPYRFLTGDLKEHGRMTREAWARPLPLRCHDIAPRVAPFLHNTVRQHGKTCVSWFGPVPKVTIADPEVAKDVLSNKFGHFEKLKFQSLTKLFADGLASHEGERWVKHRRILNPAFHLEKLKRMMPAFSECCEELVGRWMKSLSSDGSYELDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQAERLMKCVQKIVIPGYMSLPTKNNRKMHQIKKEVNLILRGLIDKRMQVMKEGDRTKNDLLGLLLESNMRDMAENGQSSQGLTIEEVIEECKMFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGRNKPEYDGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMDIGGITYPAGVIVELPVLLIHHDADIWGSDVHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMVLQRLELELAPSYIHAPHNMVTLRPMHGAQIKFRDYLTPSV >LPERR01G20170.2 pep chromosome:Lperr_V1.4:1:17877985:17912790:1 gene:LPERR01G20170 transcript:LPERR01G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVGVSLIGMPSLAPSGFLCGVAGMLVLGAVYWAAERYWLGPRRVAGKLLAQGLCGTAYRFPFGDLPENARRSEVALARPMPLCHDIAPRVVPFLHDIVEKHGNVCITWFRTMPRVVVAEPELVRDILSDKFGHFKKFSAERVGKLLALGLASYDGEKWETHRRILNPAFHLEKLKRMLPAFSTCCSEMIGRWDSKLAGCDGPCELDIWQEFRNLTGDVISRAAFGSSFMEGRRIFQLQDEQIERVMKDIQYIQMPGYLYLPTENNRRMKENNREIKELLRGIIEKRNRTIENSEFISDDVLGLMLKANMDSGEPSNLRMSMEDVMEECKLFYMAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLSVFGRNKPNFDGLGRLKTASITMILHEVLRLYPPSITMHRRTSKDMQIGGITLPAGIGIEVPIVLIHHNTYVWGEDAHEFKPERFADGISKATKVDQAAFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLSPSYIHAPQPVVAVTLHPQYGAITLSAGIGIEVSIIQIHHNTYVWGENVHEFKPERLADGISKVAKDDQTLFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLLPSYIQLSMRLRLDYDHLRCMADPVAAGGFSVFYECAQAELSHAGVGAVSSMPWSILAYGLLGLVVRWQAGLLLHWLWLRPRPRRLELALRAQGLRGTSYRFLVGYLREHRRLKREACARPLPLRYHDIAPRVVPFFYNTVRAHGKTCVSWFGPTPRVTIVDPDLAKDVMSNKSGHFEKPKFEGLTKLLNDGLPNHEGEKWKILNPAFHLEKLKPMLPAFSVCCEELACRWMEPLGSDDSYELDIWPEMQSLTGDVTWIIYEVLRLYPSVVTFLRQTYKQMEIGGITYPAGVTIELPVLLIHHDSDIWGSDVHEFKPERFANGISKASKDPAMVLGAEGLMGQTSVPWSLLAYGLLGLLLLWQAGRLLHSLWWQPQRLELALRAQGIRGTPYRFLTGDLKEHGRMTREAWARPLPLRCHDIAPRVAPFLHNTVRQHGKTCVSWFGPVPKVTIADPEVAKDVLSNKFGHFEKLKFQSLTKLFADGLASHEGERWVKHRRILNPAFHLEKLKRMMPAFSECCEELVGRWMKSLSSDGSYELDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQAERLMKCVQKIVIPGYMSLPTKNNRKMHQIKKEVNLILRGLIDKRMQVMKEGDRTKNDLLGLLLESNMRDMAENGQSSQGLTIEEVIEECKMFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGRNKPEYDGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMDIGGITYPAGVIVELPVLLIHHDADIWGSDVHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMVLQRLELELAPSYIHAPHNMVTLRPMHGAQIKFRDYLTPSV >LPERR01G20170.3 pep chromosome:Lperr_V1.4:1:17877985:17912790:1 gene:LPERR01G20170 transcript:LPERR01G20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVGVSLIGMPSLAPSGFLCGVAGMLVLGAVYWAAERYWLGPRRVAGKLLAQGLCGTAYRFPFGDLPENARRSEVALARPMPLCHDIAPRVVPFLHDIVEKHGNVCITWFRTMPRVVVAEPELVRDILSDKFGHFKKFSAERVGKLLALGLASYDGEKWETHRRILNPAFHLEKLKRMLPAFSTCCSEMIGRWDSKLAGCDGPCELDIWQEFRNLTGDVISRAAFGSSFMEGRRIFQLQDEQIERVMKDIQYIQMPGYLYLPTENNRRMKENNREIKELLRGIIEKRNRTIENSEFISDDVLGLMLKANMDSGEPSNLRMSMEDVMEECKLFYMAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLSITMILHEVLRLYPPSITMHRRTSKDMQIGGITLPAGIGIEVPIVLIHHNTYVWGEDAHEFKPERFADGISKATKVDQAAFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLSPSYIHAPQPVVAVTLHPQYGAITLSAGIGIEVSIIQIHHNTYVWGENVHEFKPERLADGISKVAKDDQTLFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLLPSYIQLSMRLRLDYDHLRCMADPVAAGGFSVFYECAQAELSHAGVGAVSSMPWSILAYGLLGLVVRWQAGLLLHWLWLRPRPRRLELALRAQGLRGTSYRFLVGYLREHRRLKREACARPLPLRYHDIAPRVVPFFYNTVRAHGKTCVSWFGPTPRVTIVDPDLAKDVMSNKSGHFEKPKFEGLTKLLNDGLPNHEGEKWKILNPAFHLEKLKPMLPAFSVCCEELACRWMEPLGSDDSYELDIWPEMQSLTGDVTWIIYEVLRLYPSVVTFLRQTYKQMEIGGITYPAGVTIELPVLLIHHDSDIWGSDVHEFKPERFANGISKASKDPAMVLGAEGLMGQTSVPWSLLAYGLLGLLLLWQAGRLLHSLWWQPQRLELALRAQGIRGTPYRFLTGDLKEHGRMTREAWARPLPLRCHDIAPRVAPFLHNTVRQHGKTCVSWFGPVPKVTIADPEVAKDVLSNKFGHFEKLKFQSLTKLFADGLASHEGERWVKHRRILNPAFHLEKLKRMMPAFSECCEELVGRWMKSLSSDGSYELDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQAERLMKCVQKIVIPGYMSLPTKNNRKMHQIKKEVNLILRGLIDKRMQVMKEGDRTKNDLLGLLLESNMRDMAENGQSSQGLTIEEVIEECKMFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGRNKPEYDGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMDIGGITYPAGVIVELPVLLIHHDADIWGSDVHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMVLQRLELELAPSYIHAPHNMVTLRPMHGAQIKFRDYLTPSV >LPERR01G20170.4 pep chromosome:Lperr_V1.4:1:17877985:17915114:1 gene:LPERR01G20170 transcript:LPERR01G20170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVGVSLIGMPSLAPSGFLCGVAGMLVLGAVYWAAERYWLGPRRVAGKLLAQGLCGTAYRFPFGDLPENARRSEVALARPMPLCHDIAPRVVPFLHDIVEKHVNQFMMFIGLSYLRAYNTELNVGNVCITWFRTMPRVVVAEPELVRDILSDKFGHFKKFSAERVGKLLALGLASYDGEKWETHRRILNPAFHLEKLKRMLPAFSTCCSEMIGRWDSKLAGCDGPCELDIWQEFRNLTGDVISRAAFGSSFMEGRRIFQLQDEQIERVMKDIQYIQMPGYLYLPTENNRRMKENNREIKELLRGIIEKRNRTIENSEFISDDVLGLMLKANMDSGEPSNLRMSMEDVMEECKLFYMAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLSVFGRNKPNFDGLGRLKTASITMILHEVLRLYPPSITMHRRTSKDMQIGGITLPAGIGIEVPIVLIHHNTYVWGEDAHEFKPERFADGISKATKVDQAAFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLSPSYIHAPQPVVAVTLHPQYGAITLSAGIGIEVSIIQIHHNTYVWGENVHEFKPERLADGISKVAKDDQTLFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLLPSYIQLSMRLRLDYDHLRCMADPVAAGGFSVFYECAQAELSHAGVGAVSSMPWSILAYGLLGLVVRWQAGLLLHWLWLRPRPRRLELALRAQGLRGTSYRFLVGYLREHRRLKREACARPLPLRYHDIAPRVVPFFYNTVRAHGKTCVSWFGPTPRVTIVDPDLAKDVMSNKSGHFEKPKFEGLTKLLNDGLPNHEGEKWKILNPAFHLEKLKPMLPAFSVCCEELACRWMEPLGSDDSYELDIWPEMQSLTGDVTWIIYEVLRLYPSVVTFLRQTYKQMEIGGITYPAGVTIELPVLLIHHDSDIWGSDVHEFKPERFANGISKASKDPAMVLGAEGLMGQTSVPWSLLAYGLLGLLLLWQAGRLLHSLWWQPQRLELALRAQGIRGTPYRFLTGDLKEHGRMTREAWARPLPLRCHDIAPRVAPFLHNTVRQHGKTCVSWFGPVPKVTIADPEVAKDVLSNKFGHFEKLKFQSLTKLFADGLASHEGERWVKHRRILNPAFHLEKLKRMLPAFSACCEELVSRWMEHLGSDDSYELDVWPEMQSLTGDVISRTAFGSNYLEGRRIFQLIEEQVERIIKSIKKTIIPGYMFFPTKNNRRMHQIKKEIDSILRGLIDKRMQAMEKDETTKEDLLGMLLESNMRHTAENGQPSQGLTIEEVIEECKLFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNRPEYECLSRLKIVMMILYEVLRLYPPAVTLIRQTYKQMEIGGITYPAGVIIELPVLLIHHDSDIWGSDVHEFKPERFAEGISKASKDTGAFLPFGWGPRICLGQNFALLEAKMALCMILQSFKLELAPAYTHAPEEIATLRPVHGAQIKLRAV >LPERR01G20170.5 pep chromosome:Lperr_V1.4:1:17877985:17915114:1 gene:LPERR01G20170 transcript:LPERR01G20170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVGVSLIGMPSLAPSGFLCGVAGMLVLGAVYWAAERYWLGPRRVAGKLLAQGLCGTAYRFPFGDLPENARRSEVALARPMPLCHDIAPRVVPFLHDIVEKHVNQFMMFIGLSYLRAYNTELNVGNVCITWFRTMPRVVVAEPELVRDILSDKFGHFKKFSAERVGKLLALGLASYDGEKWETHRRILNPAFHLEKLKRMLPAFSTCCSEMIGRWDSKLAGCDGPCELDIWQEFRNLTGDVISRAAFGSSFMEGRRIFQLQDEQIERVMKDIQYIQMPGYLYLPTENNRRMKENNREIKELLRGIIEKRNRTIENSEFISDDVLGLMLKANMDSGEPSNLRMSMEDVMEECKLFYMAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLSVFGRNKPNFDGLGRLKTASITMILHEVLRLYPPSITMHRRTSKDMQIGGITLPAGIGIEVPIVLIHHNTYVWGEDAHEFKPERFADGISKATKVDQAAFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLSPSYIHAPQPVVAVTLHPQYGAITLSAGIGIEVSIIQIHHNTYVWGENVHEFKPERLADGISKVAKDDQTLFFPFGWGPRICIGQNFALLEAKMALCSILQNFEFRLLPSYIQLSMRLRLDYDHLRCMADPVAAGGFSVFYECAQAELSHAGVGAVSSMPWSILAYGLLGLVVRWQAGLLLHWLWLRPRPRRLELALRAQGLRGTSYRFLVGYLREHRRLKREACARPLPLRYHDIAPRVVPFFYNTVRAHGKTCVSWFGPTPRVTIVDPDLAKDVMSNKSGHFEKPKFEGLTKLLNDGLPNHEGEKWKILNPAFHLEKLKRMLPAFSACCEELVSRWMEHLGSDDSYELDVWPEMQSLTGDVISRTAFGSNYLEGRRIFQLIEEQVERIIKSIKKTIIPGYMFFPTKNNRRMHQIKKEIDSILRGLIDKRMQAMEKDETTKEDLLGMLLESNMRHTAENGQPSQGLTIEEVIEECKLFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNRPEYECLSRLKIVMMILYEVLRLYPPAVTLIRQTYKQMEIGGITYPAGVIIELPVLLIHHDSDIWGSDVHEFKPERFAEGISKASKDTGAFLPFGWGPRICLGQNFALLEAKMALCMILQSFKLELAPAYTHAPEEIATLRPVHGAQIKLRAV >LPERR01G20170.6 pep chromosome:Lperr_V1.4:1:17912775:17915114:1 gene:LPERR01G20170 transcript:LPERR01G20170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAGGFMGTVSSMPWSLLAYGLLGLVLLWQAGRLLQWLWLRPRRLELALRAQGLRGTSYRFLVGDLREHGRLTREALARPLPLRCHDIAPRVVPFFYNTVRAHGKTCISWFGPMPKVTITDPDLAKDVMSNKFGHFEKPKFEGLTKLLSDGLLNHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEELVSRWMEHLGSDDSYELDVWPEMQSLTGDVISRTAFGSNYLEGRRIFQLIEEQVERIIKSIKKTIIPGYMFFPTKNNRRMHQIKKEIDSILRGLIDKRMQAMEKDETTKEDLLGMLLESNMRHTAENGQPSQGLTIEEVIEECKLFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNRPEYECLSRLKIVMMILYEVLRLYPPAVTLIRQTYKQMEIGGITYPAGVIIELPVLLIHHDSDIWGSDVHEFKPERFAEGISKASKDTGAFLPFGWGPRICLGQNFALLEAKMALCMILQSFKLELAPAYTHAPEEIATLRPVHGAQIKLRAV >LPERR01G20180.1 pep chromosome:Lperr_V1.4:1:17928017:17930175:1 gene:LPERR01G20180 transcript:LPERR01G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGALISRASLPPWSLLAYGLVAPALLWQAGRLLDRLWWRPRRLERALRAQGIRGTTYRFLLGDVKEFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRENGRPCFYWFGPIPNVTLTDPTQVRDVLSNKLGHFEKPKLPALTKLLADGLTTHDGEKWVKHRGIMNPAFHLEKLKLMLPAFSTCCEELVGRWTDSLGADGSCELDVWPEMQSLTGDVISRTAFGSSYREGMRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRKINNEVESILRGIIGKRMQTIAEGESTNDDLLGMLLESNMRHVDENGKSSPGMTTEDVIEECKQFYLAGMETTSVLLTWTLVVLSMHPEWQDRAREEVFGLFGRNKPEYEGLSRLKTVTMILYEVLRLYPPAVVFSRKTYKAMEIGGVVYPSGVIIELPVLFIHHDREIWGNDVHEFKPERFADGISKASNDRGAFLPFGWGPRVCIGQNFTLLEAKMALCMILQHFEFELAPAYTHAPHTVMTLHPMHGAQMKLRAI >LPERR01G20190.1 pep chromosome:Lperr_V1.4:1:17947228:17951314:1 gene:LPERR01G20190 transcript:LPERR01G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAAGGERPQLQLQLLWAVVVAGAVVAWCAVRALEWAWWRPRRLERELRSQGLRGTPYRSPAGDAPLSEQLNGEARSRTMPLGCHDVVPRAMPLFHQTMKEHGKVSITWFGPMPRVTITKPELVREVLSNKFGHFEKLKLGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTEMVDKWEGLAKTDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELAVMTMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDLLGLLLESNMEQAKGNNGSNSGITIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGNRAPDYDGLSRLRIVTMVLYEVLRLYTPLSALTRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGPDADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSVILQRFSFELSPSYTHAPFLVGLLQPEHGAPVRLTRLH >LPERR01G20200.1 pep chromosome:Lperr_V1.4:1:17952187:17953980:1 gene:LPERR01G20200 transcript:LPERR01G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDGASPSSLHPWNLLLYALGALPALWCAWQALDRVWLRPRRLGRALRAQGLRGTDYRFLSGDMKEFVRLLGAAASSPMPPMSHDVALASAPVRPRCHQAARELICRWQNSVGADGVQEIDVWPAFQNLTGDVISRSAFGSSFSEGRRIFRLQSEQAENVVKMARAMYFPGFCLQSSIEGRKQTHERSQSF >LPERR01G20210.1 pep chromosome:Lperr_V1.4:1:17957941:17960135:1 gene:LPERR01G20210 transcript:LPERR01G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEDIIGELKLLFFAGSDTTAVLLTWTMVLLSMYPERQDRAREEVTMILHEVLRLYPPILLIGREAYEETELGGITYPAEVTFALPIACIHHDPGVWGEDVDEFKPERFAEGVSKACKDSSALFPFSWGPHICAGQNFALLEAKMALSMILQQFMFELSLSNTHAPFPVSTLQPQHGAQIKLTKL >LPERR01G20220.1 pep chromosome:Lperr_V1.4:1:17966508:17967167:-1 gene:LPERR01G20220 transcript:LPERR01G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVARYGLRSLAARAKVTAAPAARRHMSSAHDDTHEMPKWENITYTGIVTCTLLAAYNLSKGHPHFDEPPYHSKRKTK >LPERR01G20230.1 pep chromosome:Lperr_V1.4:1:17986856:18000140:1 gene:LPERR01G20230 transcript:LPERR01G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDLQVKEMNSDATAMDESTEFLQLILSSNDEGYNNGNEFQLWDVLDFYFSESFSAVQFDSLMGFTNDVSSSDHDWMNLVDMVERPVALLSLNDTEEQNNVTHEAHVDQATLDPDDTSLYLQMKPSDSESEINYASRGVAVNEYVDEKPLSRCLPDLMDVDSPSHLSKSARSKQLTLVLDLDETLVHSTLDHCDNADFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFEVIIYTASQRIYAEQLIDRLDPDGKLISHRIYRESCIFSDGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVRVRLESLKIYSLSMGSIYLSEYLSESQWKGGPGCSSLIGNFAELGSYLLDDTGALSLNGNGWNRRFGVIFIDNPLGAGFSAAATDGKIPTDEPTVAAHLLAALQSFMALSESAYRARPLFLTGESYAGKYIPAAAAHILDANAKLPRERRVNLRGVAIGNGMTHPVAQVTVHADQAYFAGLINAAQKAKVEEMQNTTVRLIKSKNLTEARKERGKIISFLENVTGIATAFNYVRDKPYSTKPLHEFLNTGEAKAALGARSDVEWERCSGAVSKALREDIMASALGDVEAVLASNGTRVLLFQGVFDLRSGPASVEAWVRELTSWTGLGAFLAAERAVWRLGDGQLAGYVQGSGALTNVVLVGAGHMAAGDNRPAAQAMIEGWVLQTAPFDGSVAS >LPERR01G20230.2 pep chromosome:Lperr_V1.4:1:17986898:18000140:1 gene:LPERR01G20230 transcript:LPERR01G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDLQVKEMNSDATAMDESTEFLQLILSSNDEGYNNGNEFQLWDVLDFYFSESFSAVQFDSLMGFTNDVSSSDHDWMNLVDMVERPVALLSLNDTEEQNNVTHEAHVDQATLDPDDTSLYLQMKPSDSESEINYASRGVAVNEYVDEKPLSRCLPDLMDVDSPSHLSKSARSKQLTLVLDLDETLVHSTLDHCDNADFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFEVIIYTASQRIYAEQLIDRLDPDGKLISHRIYRESCIFSDGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVRVRLESLKIYSLSMGSIYLSEYLSESQWKGGPGCSSLIGNFAELGSYLLDDTGALSLNGNGWNRRFGVIFIDNPLGAGFSAAATDGKIPTDEPTVAAHLLAALQSFMALSESAYRARPLFLTGESYAGKYIPAAAAHILDANAKLPRERRVNLRGVAIGNGMTHPVAQVTVHADQAYFAGLINAAQKAKVEEMQNTTVRLIKSKNLTEARKERGKIISFLENVTGIATAFNYVRDKPYSTKPLHEFLNTGEAKAALGARSDVEWERCSGAVSKALREDIMASALGDVEAVLASNGTRVLLFQGVFDLRSGPASVEAWVRELTSWTGLGAFLAAERAVWRLGDGQLAGYVQGSGALTNVVLVGAGHMAAGDNRPAAQAMIEGWVLQTAPFDGSVAS >LPERR01G20230.3 pep chromosome:Lperr_V1.4:1:17986856:18000140:1 gene:LPERR01G20230 transcript:LPERR01G20230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCRTTEIFEDSQSQEIVFDRTAVGSTLPSHQNVCSTSEVSGGNFGIAGVSLLQDESDTATTSVLPLSLLSCGPRSMLPTSVPSSSGLETILSPDPMYNDLQVKEMNSDATAMDESTEFLQLILSSNDEGYNNGNEFQLWDVLDFYFSESFSAVQFDSLMGFTNDVSSSDHDWMNLVDMVERPVALLSLNDTEEQNNVTHEAHVDQATLDPDDTSLYLQMKPSDSESEINYASRGVAVNEYVDEKPLSRCLPDLMDVDSPSHLSKSARSKQLTLVLDLDETLVHSTLDHCDNADFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFEVIIYTASQRIYAEQLIDRLDPDGKLISHRIYRESCIFSDGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVRVRLESLKIYSLSMGSIYLSEYLSESQWKGGPGCSSLIGNFAELGSYLLDDTGALSLNGNGWNRRFGVIFIDNPLGAGFSAAATDGKIPTDEPTVAAHLLAALQSFMALSESAYRARPLFLTGESYAGKYIPAAAAHILDANAKLPRERRVNLRGVAIGNGMTHPVAQVTVHADQAYFAGLINAAQKAKVEEMQNTTVRLIKSKNLTEARKERGKIISFLENVTGIATAFNYVRDKPYSTKPLHEFLNTGEAKAALGARSDVEWERCSGAVSKALREDIMASALGDVEAVLASNGTRVLLFQGVFDLRSGPASVEAWVRELTSWTGLGAFLAAERAVWRLGDGQLAGYVQGSGALTNVVLVGAGHMAAGDNRPAAQAMIEGWVLQTAPFDGSVAS >LPERR01G20230.4 pep chromosome:Lperr_V1.4:1:17986898:18000140:1 gene:LPERR01G20230 transcript:LPERR01G20230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCRTTEIFEDSQSQEIVFDRTAVGSTLPSHQNVCSTSEVSGGNFGIAGVSLLQDESDTATTSVLPLSLLSCGPRSMLPTSVPSSSGLETILSPDPMYNDLQVKEMNSDATAMDESTEFLQLILSSNDEGYNNGNEFQLWDVLDFYFSESFSAVQFDSLMGFTNDVSSSDHDWMNLVDMVERPVALLSLNDTEEQNNVTHEAHVDQATLDPDDTSLYLQMKPSDSESEINYASRGVAVNEYVDEKPLSRCLPDLMDVDSPSHLSKSARSKQLTLVLDLDETLVHSTLDHCDNADFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFEVIIYTASQRIYAEQLIDRLDPDGKLISHRIYRESCIFSDGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVRVRLESLKIYSLSMGSIYLSEYLSESQWKGGPGCSSLIGNFAELGSYLLDDTGALSLNGNGWNRRFGVIFIDNPLGAGFSAAATDGKIPTDEPTVAAHLLAALQSFMALSESAYRARPLFLTGESYAGKYIPAAAAHILDANAKLPRERRVNLRGVAIGNGMTHPVAQVTVHADQAYFAGLINAAQKAKVEEMQNTTVRLIKSKNLTEARKERGKIISFLENVTGIATAFNYVRDKPYSTKPLHEFLNTGEAKAALGARSDVEWERCSGAVSKALREDIMASALGDVEAVLASNGTRVLLFQGVFDLRSGPASVEAWVRELTSWTGLGAFLAAERAVWRLGDGQLAGYVQGSGALTNVVLVGAGHMAAGDNRPAAQAMIEGWVLQTAPFDGSVAS >LPERR01G20240.1 pep chromosome:Lperr_V1.4:1:18002729:18008258:-1 gene:LPERR01G20240 transcript:LPERR01G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDCLFSLPEEERVVFVRIFLVRDPTGGKMQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFSGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPILFSQKFPNADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENSGNAPVVPMDRKHTSLPRSTVVHSAPIPAKEQSRTGPSQAPQRVPTARPGRVVGPVLPYENGATKDSYDTRRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYACANSTAVPDVALDMRAAPFHLSGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >LPERR01G20240.2 pep chromosome:Lperr_V1.4:1:18002729:18008258:-1 gene:LPERR01G20240 transcript:LPERR01G20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDCLFSLPEEERVVFVRIFLVRDPTGGKMQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFSGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPILFSQKFPNADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENTPIPAKEQSRTGPSQAPQRVPTARPGRVVGPVLPYENGATKDSYDTRRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYACANSTAVPDVALDMRAAPFHLSGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >LPERR01G20260.1 pep chromosome:Lperr_V1.4:1:18023218:18025485:1 gene:LPERR01G20260 transcript:LPERR01G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVVVDIERALRGSVIAEEDDDASQVEQVRLTVPTTDDPSLPITVQVASLPLGHLLARVLPRRKFRAPALLGGGEWSLNPGPFNMKEHVLISSFANAGCAFGNGNAYAVMIVDIIRAFYRRSISFFAAWLLITTTQVLGYGWAGLMRKYVVEPSQMWWPGMLVQVSLFRALHGKNNEEENTVAEGGGGGMSQDKFFLIALACSFVWYAVPGYLFPPLTSVSWVCWLFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSSFLYSPLVSPFFATANILVGFALFMYVVVPVSYWDLDLYNARRFPIFSSHLFMSNGTTYDITAIVNDRFEIDMDAYQRMGWINLSTFFALSYGLGFATIAATVMQVALFHGKEIYRRFRASQREKPDVHTRLMKSYRDVPSWWFYGMLALSVAVSLVLCTVLKKEVQLPWWGLLFACAMAFVFTLPISIITATTNQTPGLNVLTEYVIGLILPGKPIANVCFKTYGYMSMSQAVSSARWLPRRSTSGWRTGCWAPSPTSAKTRFSRRTARGRARVFFDASVIWGLAGPRRIFGTQGNYGALNWFFLAGAVGPLIVYALHRAFPRNAWVPMINLPVLIGATANMPPATAVNYNSWLFIGVVFNFFVFRYRKRWWKRYNYILSAALDSGVAFMGVLLYFSLSMENRTISWWGTAGEHCPLASCPTAKGINLGPDSVCPVVL >LPERR01G20270.1 pep chromosome:Lperr_V1.4:1:18027684:18028193:-1 gene:LPERR01G20270 transcript:LPERR01G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFVVAVQAEEDESVRQQQQHQQHLVRERGRRIKAAAELGLARSSGGRRWGRALGRRALLLRKDPATTAAISPSVGSQEEAKQGKVMEAEAGEEEDEEVMVEEKVELLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSTPEKEASATLEKPL >LPERR01G20280.1 pep chromosome:Lperr_V1.4:1:18030065:18032799:1 gene:LPERR01G20280 transcript:LPERR01G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAECSLFYGVPTEALFGKAWNGPERPLFRPKRSDPFSNLTWPGSIIRFGLPGLETGPFWPSSSCSKPGSNPHLLPSGSNPDGTRAAGDAGRRRGRRRTDVVDDDDLFPSSVRALRCLLADWSISFDFVEEQGHGNGGCWN >LPERR01G20280.2 pep chromosome:Lperr_V1.4:1:18030065:18032799:1 gene:LPERR01G20280 transcript:LPERR01G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAECSLFYGVPTEALFGKAWNGPERPLFRPKRSDPFSNLTWPGSIIRFGLPGLETGPFWPSSSCSKPGSNPHLLPSGSNPDGTRAAGDAGRRRGRRRTDVVDDDDLFPSSVRALRCLLAGSHTYEMDMGMEVVGTEPAPAEVKIADGLL >LPERR01G20280.3 pep chromosome:Lperr_V1.4:1:18030065:18032799:1 gene:LPERR01G20280 transcript:LPERR01G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAECSLFYGVPTEALFGKAWNGPERPLFRPKRSDPFSNLTWPGSIIRFGLPGLETGPFWPSSSCSKPGSNPHLLPSGSNPDGTRAAGDAGRRRGRRRTDVVDDDDLFPSSVRALRCLLAGTWEWRLLELNLHQQRLR >LPERR01G20290.1 pep chromosome:Lperr_V1.4:1:18042840:18050234:1 gene:LPERR01G20290 transcript:LPERR01G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLALFPVLIAWIYSEILEYRKSSSMKVHSDSNLENGTVKEDDKTILLEGGLSKSPSTKLRINSTKANLIRAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDFMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIASCFLAVILIWEIPGYKDPEPSKAHLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTISLVVGYLWYEYIYKLDKLTYNKYHPYTSWIPITWLGKITLETYISQIHIWLSAQTSSFDTMENLVKGDPIRYSGNNIIFSHFGIIRVTTKYLLQWQLYRVTLDPCLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVVGIAISVCLYFLSVVLLKIPIV >LPERR01G20300.1 pep chromosome:Lperr_V1.4:1:18051868:18055451:1 gene:LPERR01G20300 transcript:LPERR01G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRAPPRFQPLPAPIPTSTASIALAARLSSSSSGHAVVCSSAASPFTEATSSSRYRRDAWSYAAADGDSPSSAPAAAAAAASGRRDDEIALQLPELRKLLEALRASRGRGPEMEGGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGESARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFSMLKDLVNEVKSADLVSPTLIIIGKVVALSPFWVDSSTQDALNIQNSHAIEAKRFFFSEVKCWSGH >LPERR01G20310.1 pep chromosome:Lperr_V1.4:1:18069662:18077186:1 gene:LPERR01G20310 transcript:LPERR01G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTILPKIAAHWLFTFYRAARKLRGHAFQYYRNSAAKPPPPPSVSQATPAASKCNVVVVPDAADKSVVFGFDGALMRSASLFPYFMLVACEGGSLLRALLLLCAYPLVCALGERSDAGVRVMAFVAFAGLRPRDMDLVARAVLPKFYMEGLNAQVYTRLWLPARRKVVVTSAPRLMVEWFLKEYMAADVVVGCELQVVRVGPAGSYFTGLICGPGSAPGLEQKASVSADGDMADVAVVGSSSQLDHPCIPYGKEVYFVNRESTKNAWLPRDRYPRPLIFHDGRLAFLPSPSAVLAFFLFLPLGVILSIIRISIGILLPYKVSFSAGALFGVRFRTSGLRAPEPGAKHRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSLSRMSEAIAPIKTVRLTRDRARDAETMSRLLAHGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTWLYGTTASGHKWLDPVVFFANPQPSYRVDFLGAVPREWTRAGGRAGAEVANWVQRRLGEALGYECTGLTRRDKYMMLAGNDGVVAK >LPERR01G20320.1 pep chromosome:Lperr_V1.4:1:18085450:18087458:1 gene:LPERR01G20320 transcript:LPERR01G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYAIAAGKARPYFTLRRQVSQIVRPGPAGVLPCLELEMGYLPFLVLLLAFCGGAERHRAEAADPSPHGASTRRGLTTVSVAKPSYPTVTTPMSASTSPSTTPMGSSSTFPSLATAGGGGAGGTWCVASQSASPTALQVALDYACGYGADCSAIQAGGSCFNPDTVHDHASYAFNSYYQKNPVPTSCDFGGTATITNTDPSSGSCQYPASSGGGQNMLPPPSPTTLPPATPMTPTPTTPMTPTPTTPDTGTPIYGGSTTPPDYGSLSPPGFGSSNSPPDYSDVGAAPTTANGMATAVAIACVLIAAMSLSMST >LPERR01G20330.1 pep chromosome:Lperr_V1.4:1:18095651:18100703:1 gene:LPERR01G20330 transcript:LPERR01G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQASFPPQDKPTNREQGKTLGHMTLSKSSDPDNMSQGSSVCNVDRAASVHSGEDGSSGHGRKPYSPAAFLSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPSLEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >LPERR01G20330.2 pep chromosome:Lperr_V1.4:1:18096226:18100703:1 gene:LPERR01G20330 transcript:LPERR01G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQASFPPQDKPTNREQGKTLGHMTLSKSSDPDNMSQGSSVCNVDRAASVHSGEDGSSGHGRKPYSPAAFLSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPSLEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >LPERR01G20330.3 pep chromosome:Lperr_V1.4:1:18095851:18100703:1 gene:LPERR01G20330 transcript:LPERR01G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQASFPPQDKPTNREQGKTLGHMTLSKSSDPDNMSQGSSVCNVDRAASVHSGEDGSSGHGRKPYSPAAFLSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPSLEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >LPERR01G20330.4 pep chromosome:Lperr_V1.4:1:18095651:18098796:1 gene:LPERR01G20330 transcript:LPERR01G20330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQASFPPQDKPTNREQGKTLGHMTLSKSSDPDNMSQGSSVCNVDRAASVHSGEDGSSGHGRKPYSPAAFLSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQHGVFSWENRMKVVIGTAKA >LPERR01G20330.5 pep chromosome:Lperr_V1.4:1:18098707:18100703:1 gene:LPERR01G20330 transcript:LPERR01G20330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPSLEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >LPERR01G20330.6 pep chromosome:Lperr_V1.4:1:18095851:18098796:1 gene:LPERR01G20330 transcript:LPERR01G20330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQASFPPQDKPTNREQGKTLGHMTLSKSSDPDNMSQGSSVCNVDRAASVHSGEDGSSGHGRKPYSPAAFLSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQHGVFSWENRMKVVIGTAKA >LPERR01G20340.1 pep chromosome:Lperr_V1.4:1:18101421:18101738:1 gene:LPERR01G20340 transcript:LPERR01G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVGKVGEWMRKRMMPRRKRSKENSGSRGRSEGGGEASLPPAPPQRKLRARALPAALRWRPRARVLAVLYEKVVYHLLWLVESVVVVARLCFFIMRFGLKQL >LPERR01G20350.1 pep chromosome:Lperr_V1.4:1:18103114:18109652:1 gene:LPERR01G20350 transcript:LPERR01G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTCGICTLLSVLFVSSMLASAANDGLVRIALKKRPIMESIYGDLVPKSSTVEHEVALGYSADKMTCTAEGIYDPVTEAINHVRLHQQRMLKDIEAAAMEQRLKHFWSYKGSRERSSLENDIRYPLALKNFLNAQYFGEIGVGWPPQNFTVVFDTGSSNLWVPSAKCIFSLACYFHRKYESRTSSTYKENGTPASIHYGTGSIHGYFSEDQVTIGDLVVKNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNIIQQSLVKEKIFSFWLNRNANDMKGGEIVFGGADQDHYKGLHTYTRVTRKAYWQFEMGDFLIGGKSTEICVDGCAAIADSGTSLIAGPIAAVAQIHAQIGATGVVNEECKQVVAGHGHEMLELLKDKTPRAHVCSKIGLCKSDGRHGISVGIESVTGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTNEGKLEHIGSLRNVAFSIGGRAFELTPDQYILKFGEGFLAHCMSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYDKMRVGFADSA >LPERR01G20350.2 pep chromosome:Lperr_V1.4:1:18103501:18109652:1 gene:LPERR01G20350 transcript:LPERR01G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTCGICTLLSVLFVSSMLASAANDGLVRIALKKRPIMESIYGDLVPKSSTVEHEVALGYSADKMTCTAEGIYDPVTEAINHVRLHQQRMLKDIEAAAMEQRLKHFWSYKGSRERSSLENDIRYPLALKNFLNAQYFGEIGVGWPPQNFTVVFDTGSSNLWVPSAKCIFSLACYFHRKYESRTSSTYKENGTPASIHYGTGSIHGYFSEDQVTIGDLVVKNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNIIQQSLVKEKIFSFWLNRNANDMKGGEIVFGGADQDHYKGLHTYTRVTRKAYWQFEMGDFLIGGKSTEICVDGCAAIADSGTSLIAGPIAAVAQIHAQIGATGVVNEECKQVVAGHGHEMLELLKDKTPRAHVCSKIGLCKSDGRHGISVGIESVTGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTNEGKLEHIGSLRNVAFSIGGRAFELTPDQYILKFGEGFLAHCMSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYDKMRVGFADSA >LPERR01G20360.1 pep chromosome:Lperr_V1.4:1:18116755:18117489:-1 gene:LPERR01G20360 transcript:LPERR01G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNADAGRPAAEASTWLRLAWRVVRAAEALALAVLLYRCLPLLPVAAGAASSVIRLAASLLLRPFSVFLLTNAIVVLLLALSRRDHVPDCSSCRSDHVDVRDQLLSFAGPLPAITEAASLPPEEEDGAVFEDKQAVHVTPARAPPRRSRSEKVGRGRRRARAASPELRRSESERFRRRRSLSSASPADWGVEHGDEEEGEEFRRAVEAFIAKQQTRFHREESFVLVAGAGEEINAAAAAEAK >LPERR01G20370.1 pep chromosome:Lperr_V1.4:1:18123174:18123659:-1 gene:LPERR01G20370 transcript:LPERR01G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTPFLPAPAAKATKATLRSVVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEESAGGN >LPERR01G20380.1 pep chromosome:Lperr_V1.4:1:18126246:18133049:1 gene:LPERR01G20380 transcript:LPERR01G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNSCAQPMRRAGESAGTDRLMERLNIGGMTQEKALRKRCFGDGVAGTARCVFTSDADADSLHLRTQSSRKNYADASRVSAVILGGGTGAKLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHCTYLGGGINFTDGSVQVLAATQMPEEPAGWFQGTADAIRKFMWILEDYYNQNDIEHIIILNGDQFYRMNYMELVQKHVDDNADITISCAPVEGSRASEYGLVKFDSSGRVIQFFEKPEGADLESMVLNDFGSEILPRAVLEHNAKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGICSRISIGCELKDTMMMGSDLYETEEETSKLLLEGKVPIGIGKNTKIRNCIIDMNARIGRNVVIANTEGVQEADHPEEGYYIRSGIVVILKNATIKDGSVI >LPERR01G20380.2 pep chromosome:Lperr_V1.4:1:18127545:18133049:1 gene:LPERR01G20380 transcript:LPERR01G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNSCAQPMRRAGESAGTDRLMERLNIGGMTQEKALRKRCFGDGVAGTARCVFTSDADADSLHLRTQSSRKNYADASRVSAVILGGGTGAKLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHCTYLGGGINFTDGSVQVLAATQMPEEPAGWFQGTADAIRKFMWILEDYYNQNDIEHIIILNGDQFYRMNYMELVQKHVDDNADITISCAPVEGSRASEYGLVKFDSSGRVIQFFEKPEGADLESMVLNDFGSEILPRAVLEHNAKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGICSRISIGCELKDTMMMGSDLYETEEETSKLLLEGKVPIGIGKNTKIRNCIIDMNARIGRNVVIANTEGVQEADHPEEGYYIRSGIVVILKNATIKDGSVI >LPERR01G20390.1 pep chromosome:Lperr_V1.4:1:18135823:18140078:1 gene:LPERR01G20390 transcript:LPERR01G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSDEDSEISDSEIDEYEDKFYARLVAGEYKVKDGESYNCPFCSGKKKKDFNLNTLIQHASGVGAAPNRPAKDKATHRALAKHLKMKNSLTKSSEQLPQTVVVEPQPLPSRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFSPLKVIPLWNFRGHTGTAIVEFGKDWHGFRNALAFENYFEAEGYGKGDWKEKQNQGPNLFGWVARAEDHSSPGLIGDHLRKNGDLKTIDELENEGARKTDKLVANLANQIEVKNRHLQELEVKYNERTASLEKMMGQREQLMQKYNEEIRKMQQLAQRHSQKIIDENQKLRAELESKMSELNTRSKELDEIAAKSDYDRRIIEQEKQKNAIKSSNLKLATLEQERADENVLKLVREHKREKEVAVKKILKLEQQLDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLSDGQAHIGIKRMGELDLEAFSKACRNMSSEDDVEVTAAILCSKWQAEIKNPDWHPFRFLLVDGQEKEIIEDDAKLRELKEEHGEGIYRLVTKALREINEYNPSGRFPVGELWNFRDDRKATLKETVQFVLRQWRANRRKR >LPERR01G20390.2 pep chromosome:Lperr_V1.4:1:18136302:18140078:1 gene:LPERR01G20390 transcript:LPERR01G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSDEDSEISDSEIDEYEDKFYARLVAGEYKVKDGESYNCPFCSGKKKKDFNLNTLIQHASGVGAAPNRPAKDKATHRALAKHLKMKNSLTKSSEQLPQTVVVEPQPLPSRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFSPLKVIPLWNFRGHTGTAIVEFGKDWHGFRNALAFENYFEAEGYGKGDWKEKQNQGPNLFGWVARAEDHSSPGLIGDHLRKNGDLKTIDELENEGARKTDKLVANLANQIEVKNRHLQELEVKYNERTASLEKMMGQREQLMQKYNEEIRKMQQLAQRHSQKIIDENQKLRAELESKMSELNTRSKELDEIAAKSDYDRRIIEQEKQKNAIKSSNLKLATLEQERADENVLKLVREHKREKEVAVKKILKLEQQLDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLSDGQAHIGIKRMGELDLEAFSKACRNMSSEDDVEVTAAILCSKWQAEIKNPDWHPFRFLLVDGQEKEIIEDDAKLRELKEEHGEGIYRLVTKALREINEYNPSGRFPVGELWNFRDDRKATLKETVQFVLRQWRANRRKR >LPERR01G20400.1 pep chromosome:Lperr_V1.4:1:18141686:18144033:1 gene:LPERR01G20400 transcript:LPERR01G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSAGKAGGGTAPASAAAGEYWSEALKSFLDHIPVSSVSGALQPSPSPALEFRLDGSVPDAIESMYRGNVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEELDKGPGNRSSDFLSSLKQHPQIAETKIAWLAKSFLWEPFFPVRTHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKILSEFRFANASKPVSIYSDQVVADALHILSKEKIGVAVIDRKTRCLIGSILCSDLYLLVDDNSLFQNRNVPLSTPFLILIPQESVSNKIYVIRTLSAEEFIKLKSKDEDISTENSSASDGQSVLGLRTGQRKRADLPVTNRKSDTLKQAMENLTASRSSCSFIVDEDGLVEGIVTARDIISVFSPPCMDSRIDGGTFFSAALEQTGCRVEHGQMIQSS >LPERR01G20400.2 pep chromosome:Lperr_V1.4:1:18141686:18144864:1 gene:LPERR01G20400 transcript:LPERR01G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSAGKAGGGTAPASAAAGEYWSEALKSFLDHIPVSSVSGALQPSPSPALEFRLDGSVPDAIESMYRGNVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEELDKGPGNRSSDFLSSLKQHPQIAETKIAWLAKSFLWEPFFPVRTHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKILSEFRFANASKPVSIYSDQVVADALHILSKEKIGVAVIDRKTRCLIGSILCSDLYLLVDDNSLFQNRKTLSAEEFIKLKSKDEDISTENSSASDGQSVLGLRTGQRKRADLPVTNRKSDTLKQAMENLTASRSSCSFIVDEDGLVEGIVTARDIISVFSPPCMDSRIDGGTFFSAALEQTGCRVEHGQMIQSS >LPERR01G20410.1 pep chromosome:Lperr_V1.4:1:18146406:18148469:1 gene:LPERR01G20410 transcript:LPERR01G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNVGKTKPLLDLPGSKERLSLWKADLSEEGSFDAAIKGCTGVFHVATPMDFESKDPENEVIKPTVEGMLSIMRACKEAGTVKRIIFTSSAGTVNIEEQQRPSYDHDNWSDIDFCRRVKMTGWMYFVSKSLAEKAAMDYARENGMDLISVIPTLVVGPFLSTGMPPSLVTALALLTGNEAHYSILKQVQLVHLDDLCDAEIFLFESPDASGRYVCSSHDVTIHSLARMLGEMFPEYGVPRSFPGIEHGLEPVHFSSWKLLAHGFRFRYTAEDMFEAAVRTCREKGLLPTPPAAAGGDGPPASVAAGEKEAIVGRGQAIGAETEALVK >LPERR01G20420.1 pep chromosome:Lperr_V1.4:1:18151197:18159115:-1 gene:LPERR01G20420 transcript:LPERR01G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAAAPPRHRERRRHRRKASTTEAAARAGFGDVFGGPPQFSAPFDGVPADYGEVFGGVSASCSIPYLDLSPAATGDDGAGTRGYGEIFGRFEFGDFAEPYEDLLAEAVALAAEITSSSDSSRSSVRKQSCQLDAEPSIIHQHYPTASYDRHFDEEEFSPISSPPDSGKQFSMSYNKASGGRPDDIVKITTCMVEPSISYVVDSCNISNKSPMDHVVVVDSDTFVNGEKESTGLIFPSSSIVKSASSDSMADQNLHTPTCHPFSKNDQEDEDHKSLSTHSASSEDVPYPDYPFLRVSNNSLHTQPIKVQPPSMPPSKLLNKKESKANVDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELTERKGDSFKLRKKTGHHRGSKSTELKEIMMPEEVRLYDEKLTMRKLVKEEKTYEDPALVNKNGESSAVKLTRCDRNEKGILSPRKPQQTAQSGSKLEQLGKWTSGAEFYELINPDQKCKTNSVTCEGDNIQTTNPSSKLDQLEKGKGETTSADLEECEKSWDGGGITELTMEHLNLREYAIGSMENGCKAPTAPEVSFGKEKPTYQESTETHFEECVGAQNFQEGYGDDGRFEISCVNGISSKLHAPQITTSSLDSCTSGGHFNDNKNPFDVSTEETMPLGKSNKENNNLEVLEVPCANEMLSQILQGSHEVHNENIDENKGGQVQIVKLEELVEYYETPNFQIRLSKAHGETETVENERMLSFSDELCLQNENVGITKVPPESLIHEETKKFGTEEKAYITLEGDVVKKSGSLEREANIILESSSANENKEAEVVNVFAEGINVMETHASACGTSVEDSGQIHEPQGSLGPQDSENRTDSIGDLVCHVNDEAAKDPWLDNSERAQVEECFSHDGKEGQPSMEGGIDKGLNDAYAEVTVRNDGNGDVHHSETIVIIDNDNDCDMKTSTRSKEPNASFLESCASMQHMSQIDESIYAQTSDGNSPLENPIEDCRKVERKLPEENCTALEQGQAIGSKVEGYGDDKVSKLKPKDLKYVHLDSNIVPNFMENTTLIFFQKLRDDTPDFQRSEGRETGKKTQRETEKEVLHRLDEDKERYKMEREKEQAKERSRRESEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAETIALERITLARQRASAEAREKEERATAEATTEKASREARLKAERSAVERATAEARERAIEKAKAAADAKERMEKFRSSFKDSFKATNHDNQLDKQFQKTASNNYERSTDSSNQVVEIESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAIAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKQ >LPERR01G20420.2 pep chromosome:Lperr_V1.4:1:18151549:18159115:-1 gene:LPERR01G20420 transcript:LPERR01G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAAAPPRHRERRRHRRKASTTEAAARAGFGDVFGGPPQFSAPFDGVPADYGEVFGGVSASCSIPYLDLSPAATGDDGAGTRGYGEIFGRFEFGDFAEPYEDLLAEAVALAAEITSSSDSSRSSVRKQSCQLDAEPSIIHQHYPTASYDRHFDEEEFSPISSPPDSGKQFSMSYNKASGGRPDDIVKITTCMVEPSISYVVDSCNISNKSPMDHVVVVDSDTFVNGEKESTGLIFPSSSIVKSASSDSMADQNLHTPTCHPFSKNDQEDEDHKSLSTHSASSEDVPYPDYPFLRVSNNSLHTQPIKVQPPSMPPSKLLNKKESKANVDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELTERKGDSFKLRKKTGHHRGSKSTELKEIMMPEEVRLYDEKLTMRKLVKEEKTYEDPALVNKNGESSAVKLTRCDRNEKGILSPRKPQQTAQSGSKLEQLGKWTSGAEFYELINPDQKCKTNSVTCEGDNIQTTNPSSKLDQLEKGKGETTSADLEECEKSWDGGGITELTMEHLNLREYAIGSMENGCKAPTAPEVSFGKEKPTYQESTETHFEECVGAQNFQEGYGDDGRFEISCVNGISSKLHAPQITTSSLDSCTSGGHFNDNKNPFDVSTEETMPLGKSNKENNNLEVLEVPCANEMLSQILQGSHEVHNENIDENKGGQVQIVKLEELVEYYETPNFQIRLSKAHGETETVENERMLSFSDELCLQNENVGITKVPPESLIHEETKKFGTEEKAYITLEGDVVKKSGSLEREANIILESSSANENKEAEVVNVFAEGINVMETHASACGTSVEDSGQIHEPQGSLGPQDSENRTDSIGDLVCHVNDEAAKDPWLDNSERAQVEECFSHDGKEGQPSMEGGIDKGLNDAYAEVTVRNDGNGDVHHSETIVIIDNDNDCDMKTSTRSKEPNASFLESCASMQHMSQIDESIYAQTSDGNSPLENPIEDCRKVERKLPEENCTALEQGQAIGSKVEGYGDDKVSKLKPKDLKYVHLDSNIVPNFMENTTLIFFQKLRDDTPDFQRSEGRETGKKTQRETEKEVLHRLDEDKERYKMEREKEQAKERSRRESEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAETIALERITLARQRASAEAREKEERATAEATTEKASREARLKAERSAVERATAEARERAIEKAKAAADAKERMEKFRSSFKDSFKATNHDNQLDKQFQKTASNNYERSTDSSNQVVEIESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAIAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSGER >LPERR01G20420.3 pep chromosome:Lperr_V1.4:1:18152595:18159115:-1 gene:LPERR01G20420 transcript:LPERR01G20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAAAPPRHRERRRHRRKASTTEAAARAGFGDVFGGPPQFSAPFDGVPADYGEVFGGVSASCSIPYLDLSPAATGDDGAGTRGYGEIFGRFEFGDFAEPYEDLLAEAVALAAEITSSSDSSRSSVRKQSCQLDAEPSIIHQHYPTASYDRHFDEEEFSPISSPPDSGKQFSMSYNKASGGRPDDIVKITTCMVEPSISYVVDSCNISNKSPMDHVVVVDSDTFVNGEKESTGLIFPSSSIVKSASSDSMADQNLHTPTCHPFSKNDQEDEDHKSLSTHSASSEDVPYPDYPFLRVSNNSLHTQPIKVQPPSMPPSKLLNKKESKANVDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELTERKGDSFKLRKKTGHHRGSKSTELKEIMMPEEVRLYDEKLTMRKLVKEEKTYEDPALVNKNGESSAVKLTRCDRNEKGILSPRKPQQTAQSGSKLEQLGKWTSGAEFYELINPDQKCKTNSVTCEGDNIQTTNPSSKLDQLEKGKGETTSADLEECEKSWDGGGITELTMEHLNLREYAIGSMENGCKAPTAPEVSFGKEKPTYQESTETHFEECVGAQNFQEGYGDDGRFEISCVNGISSKLHAPQITTSSLDSCTSGGHFNDNKNPFDVSTEETMPLGKSNKENNNLEVLEVPCANEMLSQILQGSHEVHNENIDENKGGQVQIVKLEELVEYYETPNFQIRLSKAHGETETVENERMLSFSDELCLQNENVGITKVPPESLIHEETKKFGTEEKAYITLEGDVVKKSGSLEREANIILESSSANENKEAEVVNVFAEGINVMETHASACGTSVEDSGQIHEPQGSLGPQDSENRTDSIGDLVCHVNDEAAKDPWLDNSERAQVEECFSHDGKEGQPSMEGGIDKGLNDAYAEVTVRNDGNGDVHHSETIVIIDNDNDCDMKTSTRSKEPNASFLESCASMQHMSQIDESIYAQTSDGNSPLENPIEDCRKVERKLPEENCTALEQGQAIGSKVEGYGDDKVSKLKPKDLKYVHLDSNIVPNFMENTTLIFFQKLRDDTPDFQRSEGRETGKKTQRETEKEVLHRLDEDKERYKMEREKEQAKERSRRESEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAETIALERITLARQRASAEAREKEERATAEATTEKASREARLKAERSAVERATAEARERAIEKAKAAADAKERMEKFRSSFKDSFKATNHDNQLDKQFQKTASNNYERSTDSSNQVVEIESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAIAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKVQYLFTSYAYFLKFSSLNFYPQLLVNDCLIFREVCL >LPERR01G20420.4 pep chromosome:Lperr_V1.4:1:18151549:18154063:-1 gene:LPERR01G20420 transcript:LPERR01G20420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMQDNQLDKQFQKTASNNYERSTDSSNQVVEIESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAIAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSGER >LPERR01G20420.5 pep chromosome:Lperr_V1.4:1:18154151:18159115:-1 gene:LPERR01G20420 transcript:LPERR01G20420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAAAPPRHRERRRHRRKASTTEAAARAGFGDVFGGPPQFSAPFDGVPADYGEVFGGVSASCSIPYLDLSPAATGDDGAGTRGYGEIFGRFEFGDFAEPYEDLLAEAVALAAEITSSSDSSRSSVRKQSCQLDAEPSIIHQHYPTASYDRHFDEEEFSPISSPPDSGKQFSMSYNKASGGRPDDIVKITTCMVEPSISYVVDSCNISNKSPMDHVVVVDSDTFVNGEKESTGLIFPSSSIVKSASSDSMADQNLHTPTCHPFSKNDQEDEDHKSLSTHSASSEDVPYPDYPFLRVSNNSLHTQPIKVQPPSMPPSKLLNKKESKANVDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELTERKGDSFKLRKKTGHHRGSKSTELKEIMMPEEVRLYDEKLTMRKLVKEEKTYEDPALVNKNGESSAVKLTRCDRNEKGILSPRKPQQTAQSGSKLEQLGKWTSGAEFYELINPDQKCKTNSVTCEGDNIQTTNPSSKLDQLEKGKGETTSADLEECEKSWDGGGITELTMEHLNLREYAIGSMENGCKAPTAPEVSFGKEKPTYQESTETHFEECVGAQNFQEGYGDDGRFEISCVNGISSKLHAPQITTSSLDSCTSGGHFNDNKNPFDVSTEETMPLGKSNKENNNLEVLEVPCANEMLSQILQGSHEVHNENIDENKGGQVQIVKLEELVEYYETPNFQIRLSKAHGETETVENERMLSFSDELCLQNENVGITKVPPESLIHEETKKFGTEEKAYITLEGDVVKKSGSLEREANIILESSSANENKEAEVVNVFAEGINVMETHASACGTSVEDSGQIHEPQGSLGPQDSENRTDSIGDLVCHVNDEAAKDPWLDNSERAQVEECFSHDGKEGQPSMEGGIDKGLNDAYAEVTVRNDGNGDVHHSETIVIIDNDNDCDMKTSTRSKEPNASFLESCASMQHMSQIDESIYAQTSDGNSPLENPIEDCRKVERKLPEENCTALEQGQAIGSKVEGYGDDKVSKLKPKDLKYVHLDSNIVPNFMENTTLIFFQKLRDDTPDFQRSEGRETGKKTQRETEKEVLHRLDEDKERYKMEREKEQAKERSRRESEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAETIALERITLARQRASAEAREKEERATAEATTEKASREARLKAERSAVERATAEARERAIEKAKAAADAKERMEKFRSSFKDSFKATNHV >LPERR01G20430.1 pep chromosome:Lperr_V1.4:1:18165240:18167218:-1 gene:LPERR01G20430 transcript:LPERR01G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSNIPLVVSSLLLALFALTTHADVKRYQFDIVMSNVSRLCHEKAMVTVNGSYPGPTIYAREGDRVIVNVTNHVKHNMTIHWHGLKQRRNGWADGPAYVTQCPIRSGGSYVYDFNVTGQRGTLWWHAHIAWMRATVHGAIVILPEAGVPYPFPKPDGGEAEIVLGEWWHADVETVATQGSVLGMAPNTSDAHTINGKPGPLFPCYEKHTYALQVQRGKTYLLRIVNAAVNDELFFSIAGHTMTVVEIDATYTKPFAASTVQLSPGQTMNVLVETDQTPGRYFMVVKPFNDVPIPADNKTATAILQYAGIPTTVVPALPQTMPATNGTGFVTAFHDKLRSLNSARYPADVPLAVDRHMLYTIGLNVDPCDTCLNRSRLAASLNNITFVMPRTALLQAHYYGLKNVFAADFPDRPPARFNYTGVPLTAGLGTLLGTRLSKIAYNATVELVLQDTNLLSVESHPFHLHGYNFFVVGTGVRNFDPAKDPAKYNLVDPPERNTVAVPAGGWTAIRFRANNPGVWFLHCHLEVHTSWGLKTAFVVEDGSGPDESVLPPPKDLPKC >LPERR01G20440.1 pep chromosome:Lperr_V1.4:1:18168830:18170202:-1 gene:LPERR01G20440 transcript:LPERR01G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLYGVNHAPCRGNRATSFALLCSCLAISSCFVSSVSMPSPVVITVDQSGNGDHRTIQDAIDAAPANDSAAVVIRIRPGVYREKVVVDKPNVTLTGTSASSTVITWNESWVSDQSPTVSLLASDFVAKRLTFQNTFGNTAPAVAVRVAGDRVAFYGCRFVSFQDTLLDERGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPAGAGGAFTAQQRSSESEDTGYSFVGCKLTGLGAGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPSKQRTVFYGQYQCYGDGSKTDGRVAWSRDLTQAEAAPFITKAWVDGQQWLR >LPERR01G20450.1 pep chromosome:Lperr_V1.4:1:18171395:18172053:1 gene:LPERR01G20450 transcript:LPERR01G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGVRGARHRRASEQVWGLQSGCPAPRSSACNSGDDPRPQSAGERRLRQGGAGTARGAVTAYAWVAGGVRERSSARSRGGRIQLDATPMGMGNAEAFCFGWLLVYQINGQAFGVLSGRGEEARGGAARRPGGEGPWRREAYTEMPGVACRLLLLSLDLGEAGGIPRWF >LPERR01G20460.1 pep chromosome:Lperr_V1.4:1:18173765:18177009:1 gene:LPERR01G20460 transcript:LPERR01G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVIRGNEALNPVIKLPLENSSSSPIHSSHRYRSVEAREQDCSICSSKFPSILNMQGITKALRLHGKHLKFTVLQHMNKGIFSWATLISRIQSESPTVIIPHIGLENITVREILNAKGEAESGAVYWCSTSHLVHEAVKHMTAHNVGALVVLKSGDEKQLAGIVTERDFARKVLLPGRPSEQTRVEDIMTEEDKLITVSSNTNILRAMELMTDRHIRHVPVFDEKVVGMISIGDIVRTIVDQQHQEVKQLKKYIKGDYY >LPERR01G20460.2 pep chromosome:Lperr_V1.4:1:18173765:18177009:1 gene:LPERR01G20460 transcript:LPERR01G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVIRGNEALNPVIKLPLENSSSSPIHSSHRYRSVEAREQDCSICSSKFPSILNMQGITKALRLHGKHLKFTVLQHMNKGIFSWATLISRIQSESPTVIIPHIGLENITVREILNAKGEAESGAVYWCSTSHLVHEAVKHMTAHNVGALVVLKSGDEKQLAGIDKLITVSSNTNILRAMELMTDRHIRHVPVFDEKVVGMISIGDIVRTIVDQQHQEVKQLKKYIKGDYY >LPERR01G20470.1 pep chromosome:Lperr_V1.4:1:18180597:18180914:1 gene:LPERR01G20470 transcript:LPERR01G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKGSSSASASAPSSSVWTKQQNKLFERGLAVYDTDAPDRWHNVARYMGGTKSAEEVRRHYELLYDDVELIEAGRAPFSLGYGATPPAGRGGADASVDVAER >LPERR01G20480.1 pep chromosome:Lperr_V1.4:1:18190103:18190360:1 gene:LPERR01G20480 transcript:LPERR01G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMRAQWTAKQNKQFEQALAVYDKDTPERWHNIARAVGGKSPDEVKRYYDLLVQDVKHIEAGKVPFPAYRCLPPPGAAAKGY >LPERR01G20490.1 pep chromosome:Lperr_V1.4:1:18194076:18201718:1 gene:LPERR01G20490 transcript:LPERR01G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGAGAANHNPNKSIEVNPAPGDSISSLSFSPKANHLVATSWDNQVRCWEVQPNGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPTVLSGHDGPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSVSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLMFNSIVKLKVGSIEGRVGVHHIDDGQQGKNFTFKCHRDGNDIYSVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKVADGHQNQGLLYGPFLTGHVNVTGAFNKCPLPITCSTFNQDGSIFAYAACYDWSKGAEKHNPSAKPNIFLHSVQDSEVKGKPRANKK >LPERR01G20490.2 pep chromosome:Lperr_V1.4:1:18194076:18199665:1 gene:LPERR01G20490 transcript:LPERR01G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGAGAANHNPNKSIEVNPAPGDSISSLSFSPKANHLVATSWDNQVRCWEVQPNGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPTVLSGHDGPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSVSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLMFNSIVKLKVGSIEGRVGVHHIDDGQQGKNFTFKCHRDGNDIYSVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKVADGHQNQGLLYGPFLTGHVNVTGAFNKCPLPITCSTFNQDGSIFAYAACYDWSKGAEKHNPSAKPNIFLHSVQDSEVKGKPRANKK >LPERR01G20500.1 pep chromosome:Lperr_V1.4:1:18201316:18213120:-1 gene:LPERR01G20500 transcript:LPERR01G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHSVNLILAVLLISLWTVTPVLSYSEQDYGRRVKNEKKPDHDKDMSKEYGKRVEHHVNQAAADAALVLKAKEEIAKRTAKHIQSSIASARVAIHIKEEMLEKTAEVMSRMAGEVSVQLSKVAKEHTKVAVGDIAAALKYKQEILKRAAERVKDVSEDVNMANKAKQEILQKVAHDLGKVAGDMATSMAKMAEVAAGVAGGAAAGVATGIAGGFAGGVSGGARVHISGGIHGNIHFGAGARAGANAAASAGAEAKASKSGSSNVGGNAEEYVGGSGSASEGTKVEGNGNAKAGASAGVGISGGAKVGGGVGGNAGVGSNANVGLGVGASAGISESAKVGAGIGGSGGVGSNANANAHAGLGVGISGGAKVGGGVGGNAGAGSNTDGYTNAGAGISRSTKVGGAVEENAGVGSNAHANAGLGASVGAGISGGAKVGRGVGGNAGIGSNANAGLNAGADISGNAKVGAEMGGNVGVGSNANAGLGAGVAVSGGAKVGGGIGGNMGAGSNANANVGLGAGVSASGGAKVGGGVGGNASVSANANGYAGVGAGISGSGKVGGAIEGNAGVGSNAKVNTGLGAGVGVGISGGAKVGAGVGGNADAGSNANASLGVSAGVSGSAKVGADAGGNLGVGSNANAGLGAGVGISGGAKVGGGIGGSVGAGSNANVGADISGNSKVGGAVEGNAGAGSKANANVGLSASAAISGGAKVGGGISGNAGVGSNANAGLGVGAGISGSAKVGAGIGGNVGVNSNANVGIGAGAGISGGAKVDSDIGGSASVGSNANAGLVGAGIGISGGTKVGGGIGGNAGVSSDADGYAKTGAEKTKNTKAGSGENGGIGSNANTNVGFDAGAGISGGAKVGGGTGGNAGVDSNANANANADLSAGVGISAGAKAGGAIGGNMAGVVNANAGLGASASKDAKIGGGIGGNIRSGGNVNAGVNAGLGVGVSKDAKIGGDTGGNVGGGGNVKADANVDLGASAYKDTKIGTEAKGNIVGGGNANAGIGASISKEAKINGGIEGNVGDGGNANAGANAGIGASIYKEAKINGGIGGNTGGGGNANAGLGASVGISGGAKIGGNIKENVNGSSNGNDEKSGANKKSKDSEAYVGGKSSTNVGANAGVGISGGAKIGGNVGGNAGGNANGGVGANAGVSGDSKVGGDIRGNLGGGDKSKTNVGANGGLGISGDAKVGGSAGGNIGGNANSGVVANAGVGAGVGFSGGARIGAGIGGNVGGNGNANADVGSSPNVYGNNKVGGGVGGKAGASANVGANVGIGAGFGVSGGGRAGASVGENGNVGIGGNANAGANVAGGTSLGAHFGASKHVGVGFGANAGIGGGFNFGASGKANAGANSAIGGSGGSNTGIGASASKSVGAGVDVGAGSNAGIGASASKSVGVDAGAGSNTGIGASASKSVGAGVDAGAGSNAGIGASASKSVGAGIGVGAGSNVGIGASASKSVGAGVGVGADSNAGVGVSASKSVGAGAGIGAYANGGIGASASKSVSGGADADAKVGGNDGVGASGKDKTDVEEGASKEKIDGEEEASKSAGATANKGYLHVRGMELSGHDEDAGYAGGGCSPPTPLHRGPAAETTTTRSRYHECLRNHAAASGGHVVDGCGEFMPASTEDPLACEACGCHRSFHRRDPSPARAGAAPLLLPLHRPASVNPRAPALLLTPAGSKQGLPLPVYGTTPSSGTGTTTASSSEERLRPSPAPARRQSRTTFTREQKEQMLAFAESVGWRIQRQEEATVEQFCTQVGVRRQAFKVWMHNNKHSSKQKQQQQLQENRQE >LPERR01G20500.2 pep chromosome:Lperr_V1.4:1:18201316:18213120:-1 gene:LPERR01G20500 transcript:LPERR01G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHSVNLILAVLLISLWTVTPVLSYSEQDYGRRVKNEKKPDHDKDMSKEYGKRVEHHVNQAAADAALVLKAKEEIAKRTAKHIQSSIASARVAIHIKEEMLEKTAEVMSRMAGEVSVQLSKVAKEHTKVAVGDIAAALKYKQEILKRAAERVKDVSEDVNMANKAKQEILQKVAHDLGKVAGDMATSMAKMAEVAAGVAGGAAAGVATGIAGGFAGGVSGGARVHISGGIHGNIHFGAGARAGANAAASAGAEAKASKSGSSNVGGNAEEYVGGSGSASEGTKVEGNGNAKAGASAGVGISGGAKVGGGVGGNAGVGSNANVGLGVGASAGISESAKVGAGIGGSGGVGSNANANAHAGLGVGISGGAKVGGGVGGNAGAGSNTDGYTNAGAGISRSTKVGGAVEENAGVGSNAHANAGLGASVGAGISGGAKVGRGVGGNAGIGSNANAGLNAGADISGNAKVGAEMGGNVGVGSNANAGLGAGVAVSGGAKVGGGIGGNMGAGSNANANVGLGAGVSASGGAKVGGGVGGNASVSANANGYAGVGAGISGSGKVGGAIEGNAGVGSNAKVNTGLGAGVGVGISGGAKVGAGVGGNADAGSNANASLGVSAGVSGSAKVGADAGGNLGVGSNANAGLGAGVGISGGAKVGGGIGGSVGAGSNANVGADISGNSKVGGAVEGNAGAGSKANANVGLSASAAISGGAKVGGGISGNAGVGSNANAGLGVGAGISGSAKVGAGIGGNVGVNSNANVGIGAGAGISGGAKVDSDIGGSASVGSNANAGLVGAGIGISGGTKVGGGIGGNAGVSSDADGYAKTGAEKTKNTKAGSGENGGIGSNANTNVGFDAGAGISGGAKVGGGTGGNAGVDSNANANANADLSAGVGISAGAKAGGAIGGNMAGVVNANAGLGASASKDAKIGGGIGGNIRSGGNVNAGVNAGLGVGVSKDAKIGGDTGGNVGGGGNVKADANVDLGASAYKDTKIGTEAKGNVGGVGNANAGVGASISKEAKISGGIEGNIVGGGNANAGIGASISKEAKINGGIEGNVGDGGNANAGANAGIGASIYKEAKINGGIGGNTGGGGNANAGLGASVGISGGAKIGGNIKENVNGSSNGNDEKSGANKKSKDSEAYVGGKSSTNVGANAGVGISGGAKIGGNVGGNAGGNANGGVGANAGVSGDSKVGGDIRGNLGGGDKSKTNVGANGGLGISGDAKVGGSAGGNIGGNANSGVVANAGVGAGVGFSGGARIGAGIGGNVGGNGNANADVGSSPNVYGNNKVGGGVGGKAGASANVGANVGIGAGFGVSGGGRAGASVGENGNVGIGGNANAGANVAGGTSLGAHFGASKHVGVGFGANAGIGGGFNFGASGKANAGANSAIGGSGGSNTGIGASASKSVGAGVDVGAGSNAGIGASASKSVGVDAGAGSNTGIGASASKSVGAGVDAGAGSNAGIGASASKSVGAGIGVGAGSNVGIGASASKSVGAGVGVGADSNAGVGVSASKSVGAGAGIGAYANGGIGASASKSVSGGADADAKVGGNDGVGASGKDKTDVEEGASKEKIDGEEEASKSAGATANKGYLHVRGMELSGHDEDAGYAGGGCSPPTPLHRGPAAETTTTRSRYHECLRNHAAASGGHVVDGCGEFMPASTEDPLACEACGCHRSFHRRDPSPARAGAAPLLLPLHRPASVNPRAPALLLTPAGSKQGLPLPVYGTTPSSGTGTTTASSSEERLRPSPAPARRQSRTTFTREQKEQMLAFAESVGWRIQRQEEATVEQFCTQVGVRRQAFKVWMHNNKHSSKQKQQQQLQENRQE >LPERR01G20510.1 pep chromosome:Lperr_V1.4:1:18216105:18217973:-1 gene:LPERR01G20510 transcript:LPERR01G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPYYPDRPVVDQYIPVWAKHPAIGSKPAFIWADDDQVGNGGSVSPRETLTYSELDSAVQRMAAALLGGLRRGDTVLVLASPGIRLVKLMFACQRAGLVAVPVIPPDPSVIGTPAEGPAHRHLLRAVSQTRPHAAVADALYVGVVMRSSVAALTSLHWVSVDHLESLPDSTSTVAAGYKGCGPRETYLVQYTSGATGAAKPVVVTAGAAAHNVREARKAYDLHPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLSSATAFVRRPRLWLELISEFKATCTPVPSFALPLVLRRGRSEHGTRPLQLQSLRNLILVNEPIYKSSVDEFLEEFGRAGLRASSISPSYGLAENCTFVSTAWCGAETKTLPSYKKLLPSARLPPPSSPLAEAPDVEIVVVDAETGKPVKDGVEGEIWLSSPSNGSGYLGDSAASSEVFGARLQGRDGPCFVRTSDIGIVHGTERYLYVLGRTTDVILSDIQRPVPAHYIETAAFESSPGCLRGGCIVSFTTRSASPSPVVVVAELQQNGRGGDVDMARICDGIRQAVWREVGVSIRRVVLAKSGGVPKTTSGKLQRGAARAKLLTGKLPKIFEARYAGLEPASGVVMARESTSHRVRLQSPL >LPERR01G20520.1 pep chromosome:Lperr_V1.4:1:18233195:18235503:-1 gene:LPERR01G20520 transcript:LPERR01G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTVISFWMPEGKVPKDRGTVRDVAPEVTAADSGNQQKTSSSSTAKPGKERPAVVLVHGFAAEGVVTWQFQAGVLAKQYDVYVPDLLYFGGSTSPSTDRSPGFQAECLVTALRKLGVEQCTVVGFSYGGMVSFKMAESHPDLVSSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKAMLQSISKAGHLAHIERPCVYNQHLKEFLAYVNAESPKETA >LPERR01G20520.2 pep chromosome:Lperr_V1.4:1:18233197:18235477:-1 gene:LPERR01G20520 transcript:LPERR01G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTVISFWMPEGKVPKDRGTVRDVAPEVTAADSGNQQKTSSSSTAKPGKERPAVVLVHGFAAEGVVTWQFQAGVLAKQYDVYVPDLLYFGGSTSPSTDRSPGFQAECLVTALRKLGVEQCTVVGFSYGGMVSFKMAESHPDLVSSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKAMLQSISKAGHLAHIERPCVYNQHLKEFLAYVNAESPKETA >LPERR01G20530.1 pep chromosome:Lperr_V1.4:1:18242977:18248745:1 gene:LPERR01G20530 transcript:LPERR01G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLVLLALCSTIDVCDARRGKHWRPRNSPSSSMLRKKGKGKKGSSNRQHGGNRPSPKPPVSPPLSPGAGKGYPNPSPSPSPNAPVSPSPTNGSGQASPKLPTPPSCGKGNQPPSRPTPTPAQGAVFNVVDFGAKGDGMSDDTKAFEAAWAAACKQGASTVLVPSELEFLVGPISFSGPYCKPNILFQLEGTIVAPTSAKAWGSGLLQWIEFTKLNGITIQGNGMINGRGQQWWTYSDTDDNEDDDTQYDVEFERMPQVKPTALRFYGSFNVLVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNMACGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGIQYENIRGTFTIKPVHFACSDNSPCSGITLTGVQLRPVQIPHYHLNDPFCWQAFGELYTPTIPPIACLHLGKPAGNNLQSYHDLC >LPERR01G20540.1 pep chromosome:Lperr_V1.4:1:18250688:18253089:-1 gene:LPERR01G20540 transcript:LPERR01G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHLHLSPLLRGFASSSRPILAAQPRARPLSRMGSAAPLAASRARRGLGSTVATAPPAEDEDFATAADLLFEPPLKVVKYPDPILRAHNKRINTFDDSLRRLADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKLSKRLLVYEEGCLSFPGIYANVVRPENVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSPDVLESVREGLKDLEKNYEESTELVSPESIENYKGRKDLISFSR >LPERR01G20550.1 pep chromosome:Lperr_V1.4:1:18254364:18255309:1 gene:LPERR01G20550 transcript:LPERR01G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLIYINDDGAGCPINQDPRVQRTIDRPDPLTLLCSALCSSARVPVIKQLLAMATRMCGRVIAGEPAVRKGTWTLEEDLILVSFISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDVVIRELHSRWGNRWCKIAKHLPGRTDNEIKNYWRTKIHRKPRCSRSQMQEPCEDDAVVMGIDTSEAASTTSASASSSQSQESSGAVWDGYVQTSDLGSVAGDHHHLEMPGMDEVTAHFTAMEFGFNDGFWNFVDNFWETMPFSDMV >LPERR01G20560.1 pep chromosome:Lperr_V1.4:1:18259899:18261374:1 gene:LPERR01G20560 transcript:LPERR01G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEQQPLHILFFPFLAPGHLIPIADMAALFASRGIRCTILTTPVNAAVIRSAVDRANDSFRGSNGGLVIDLFVVPFPDVGLPPGFESGTALTSQEDRDKFFLAVRLLREPFDRFLSEHRVDAVVADSFFKWAADAAAEQGVPRLAFLGTSVFARSCTNSMLCYNPVESTPDDPDAVVSLPGLPHRFEFRRSQMMDPKKRPEHWELFQSINAADQRSFGEVFNSFNELEPDYVEHYRTTLGRRTWLVGPVALANKDAAARGASELSPDADGYLRWLDAKPHGSVVYVSFGTLSSFSPAEMRELARGLDLSGKNFVWVINGADADASEWMPEGFADLISPHGEQGLTIQGWAPQVLILNHPAVGGFVTHCGWNSTLEAVTAGVPMVTWPRYADQFYNEKLITEVLKVGVGVGSVDFASKLENRRVIIGGEVVAEAIGRVMGDGEEGEAIRKKATELGVKARGALEKGGSSYDDVGRLMDELMARRTSVDV >LPERR01G20570.1 pep chromosome:Lperr_V1.4:1:18272508:18273980:1 gene:LPERR01G20570 transcript:LPERR01G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDEGEQKPLHILFFPFIADGHLIPVADMAALFAARAVKCTILTTPVNATVIRAAVDRANNASCGTGVPEISITLFPFPDIGLPPGVESIPGISNKAERNKMVESLLRFREPFDRFLADHHPDAVVSDSFFHWSADAAAEHGIPRLAFLGSSLFARACTDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRQSQMMDPRKRADEWAFLQLVNAADQRSFGEVFNSFREMEPGYVEHYHSKLGRRAWLLGPVALANNPMEETGTGRLSPDAERCLRWLDGKMAGSVVYISFGTLTRFLAAELREIARALQLSGKNFLWIITREDTDASEWMPEGFADLMARGERGLIIRVWAPQVHILNHPAVGGFVTHCGWNSLLEAVSAGVPMVTWPRYTDQFFNEKLIVEILKVGAGVGASDFASFVDDRSQVIGGEVIADAIERVMGDGEEGKAIRGKVKELSEKARSAVREDGSSYDDAGRLLDELMARRSSAMA >LPERR01G20580.1 pep chromosome:Lperr_V1.4:1:18285173:18291919:1 gene:LPERR01G20580 transcript:LPERR01G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIREDLLRGIYGYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDYINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVQQASVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >LPERR01G20590.1 pep chromosome:Lperr_V1.4:1:18290039:18295322:-1 gene:LPERR01G20590 transcript:LPERR01G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMQAEVEEMRASLLHGHGAAGWRPSAGDADVKRAAGGDGGAAGPRTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIEACVRTESVRKCVFTSSLLACIWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGASAMLAEGLLATANVETVAEAHVRVYEAMGNNTAGGRYICYDHVVQRPEEFAELERQLGLPRRAAVTVEDSGDRPARFELCRKKLARLMSTRRRCTYDDYYSVAFD >LPERR01G20600.1 pep chromosome:Lperr_V1.4:1:18303866:18306259:-1 gene:LPERR01G20600 transcript:LPERR01G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPAQAPPTLPPPMGVTVIMPNSGTPASTSQSPPGPTAGTAISSPLAPPSGSWGGNAPSGLLVGVAVAGFLLALVSMGLFLCLKNRGKRRRPAYTTNLARRNTLVVPDRVVSPDVYQPSNGPAASPSGTNSYDFSGTTSWFTYDELAAVTGGFAEENVIGEGGFGKVYVGALGDGRRVAVKQLKVGSGQGEKEFRAEVDIISRVHHRHLVTLVGYSFTEHHRLLVYEFVSNKTLEHHLHARGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSAPLGEESLVEWARPLLVDALDTDDFRELADPALERKYSRFEMRRMVEAAASCIRHSVAKRPRMVQVWRSLDVDGSSTDLTNGVKLGQSTAYDSNQYSADIELFRRMAFANDLSSAEFGYSDEDDAHHASSSSRPGPNC >LPERR01G20610.1 pep chromosome:Lperr_V1.4:1:18312032:18312253:1 gene:LPERR01G20610 transcript:LPERR01G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDSGPSPHLLTSLSHWRQGTPNLDPGGAPSRGGDGVGARRGSGGGARKEGGVAPSDRGRAREERSAWVRG >LPERR01G20620.1 pep chromosome:Lperr_V1.4:1:18323406:18324161:1 gene:LPERR01G20620 transcript:LPERR01G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHRVRVPTVEEERGDAAACSGDEESDGEFEFPFVSRETEYAGGVADELFADGRIRAFYPVFGRVFDDVAPATAAAAERRPPLGRLFLEEGRNSSVGSTSSSSTEAELDGVSPDSYCVWVPGSSPASSPSRPPPPRKSGSTGSIARWRRISELVIGRSHSDGKEKFRFLSAPPSPAREHSKAKAPTAKGAGKFPTELDTIAVGRRMSYSSSPTRAPAGATRRTFLPYRQDLMGLFANVNGLSRTHHRPF >LPERR01G20630.1 pep chromosome:Lperr_V1.4:1:18335548:18344042:1 gene:LPERR01G20630 transcript:LPERR01G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAQSWCFATVTPRSRSTVVASLASPSPSSSNSSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFSKFKTEVYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTLGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLQDGAPDSFHFVEDWVRTGFPAKKKVQSEHGSLPFDDQCTILEKEAVNQSLENLKTYPFVKEGLANGTLKLVGGHYDFVSGKFDVWEA >LPERR01G20630.2 pep chromosome:Lperr_V1.4:1:18339003:18344042:1 gene:LPERR01G20630 transcript:LPERR01G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCLCLPRYKKAPDGTPSTPAEAAAAKKPSVDSSSSLTNNHPPPSKMDAAVDRLKDGFSKFKTEVYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTLGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLQDGAPDSFHFVEDWVRTGFPAKKKVQSEHGSLPFDDQCTILEKEAVNQSLENLKTYPFVKEGLANGTLKLVGGHYDFVSGKFDVWEA >LPERR01G20640.1 pep chromosome:Lperr_V1.4:1:18350109:18355691:1 gene:LPERR01G20640 transcript:LPERR01G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLPGRRPPPHSPQAGATFVGNRQIGGARTSRGATGMRVCLIDVDDGNCLILKFIRNLFTSETHTSEAPPYWCAIFFFVDADRLGRIVTRGNTSKMGACCCCFFNDKPERENPMMYPARESLIKHKPKPAKPHHPPPPPLITYTESLTSKKPELFEQLKSGQSPKFMVFSCADSRVCPTLTLGLQPGEAFTVHNIAGMVPAYDKRRQCSVGSAIEFAVVVLKVECIIVIGHSCYGGIKELLSLREDRPNTFHFIDDWVKIGLTAKKKVERENTLLPFDQQCTVLEKGTLRLLGAHYDFVYGRFEMWDISKREARREESVTGVRKGDNSVVVASWGLYFSVFPKKKDPTFNIMVLLSEFSLFHIKDKGPRTSYKKNEVFSEKCPRSMWLHLYTPAQNSISMCNS >LPERR01G20640.2 pep chromosome:Lperr_V1.4:1:18350109:18355318:1 gene:LPERR01G20640 transcript:LPERR01G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLPGRRPPPHSPQAGATFVGNRQIGGARTSRGATGMRVCLIDVDDGNCLILKFIRNLFTSETHTSEAPPYWCAIFFFVDADRLGRIVTRGNTSKMGACCCCFFNDKPERENPMMYPARESLIKHKPKPAKPHHPPPPPLITYTESLTSKKPELFEQLKSGQSPKFMVFSCADSRVCPTLTLGLQPGEAFTVHNIAGMVPAYDKRRQCSVGSAIEFAVVVLKVECIIVIGHSCYGGIKELLSLREDRPNTFHFIDDWVKIGLTAKKKVERENTLLPFDQQCTVLEKGTLRLLGAHYDFVYGRFEMWDISKKQ >LPERR01G20640.3 pep chromosome:Lperr_V1.4:1:18350109:18355318:1 gene:LPERR01G20640 transcript:LPERR01G20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATGRSEAPPYWCAIFFFVDADRLGRIVTRGNTSKMGACCCCFFNDKPERENPMMYPARESLIKHKPKPAKPHHPPPPPLITYTESLTSKKPELFEQLKSGQSPKFMVFSCADSRVCPTLTLGLQPGEAFTVHNIAGMVPAYDKVECIIVIGHSCYGGIKELLSLREDRPNTFHFIDDWVKIGLTAKKKVERENTLLPFDQQCTVLEKGTLRLLGAHYDFVYGRFEMWDISKKQ >LPERR01G20650.1 pep chromosome:Lperr_V1.4:1:18356482:18359467:-1 gene:LPERR01G20650 transcript:LPERR01G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSWSTTLPTRTVVRFRPESSTGLAHQRDNSSATVRLHRLFGVLFLNDWRDCITVFDYCTSSRTLVCGTLPCAHDHSIASLARLLAWLVCSSSTFDFGSIDHGYSARGYHDHDFFAPLALATSTIAQRAIICCELSPCSKCPMQWKLSWKKQRAVLPRAADQLVLAYLLMSASSTAALVKEPFNTKINNSVWLSFLGFLTLAANALISTDNLFRRMV >LPERR01G20660.1 pep chromosome:Lperr_V1.4:1:18359772:18360036:1 gene:LPERR01G20660 transcript:LPERR01G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSADDEGVDVQTAVKTMRALTFGEKSTNNGATLRRAPLNIATVSGGGPQAATLPKRAIQWRPKARWRSRRA >LPERR01G20670.1 pep chromosome:Lperr_V1.4:1:18361165:18363777:-1 gene:LPERR01G20670 transcript:LPERR01G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEPTAPTSTGPATARPMGITGLPARQVQLTKYHNPVASLAPNDQEVLLELKGSSSTERAGLDLIAVIDVSGSMSGDGLSKVKAALHFVIRKLSDLDRLCIVTFSKYATRVCPLRFVTDDLRVGLRTLVDGLVASGLTNIKAGLETGLGVIDGRRLTAGRAVNVKLMSDGEQNEGGDARDVDLKNVPVYTFGFGAGHNPNLMEAIVRKSLGGTINYVADGANLTGPFSQLLGGLLTIIAQDVQLTVTRASTPAGDGSSITVTFGTLYSAEVRRVIVYLALDDKTGSRPYDAKVLMAQYRFTFQAQQVNSNPDVITIHRRWSAPAPDAARRAQVETELARRQHAELIRAARARAEANDMENARRKLKEAQKALEDNPDLQAAVNPTAGMLMEELRQLRALMEKGLYDKQGHPYAASSLASHEHDRQRAATRGKADGGVGLFNTPRMETYIEQAKQFDNNPQARCHFPEPEVVEVPPPVPAEPEVPPEVPRDMVAGDRRTLSVVLRVATAVLCLVAFVLMASGSARASADDSYGHYDQYSP >LPERR01G20680.1 pep chromosome:Lperr_V1.4:1:18366593:18369050:1 gene:LPERR01G20680 transcript:LPERR01G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRHAAATTATAHSPAGPSRRRLHRRGPMLSVSCCRVVVIASLVAAATATALLNFSLPSSPHVSATEFTGKLSAAISPPPPQSSPPPPLATPPPPARPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAEPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVTDAAKAHLFYLPYSSQQLRISLYVPDSHNLRPLASYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGAYTTTSHGDLRRNTIKALCNADSSEGIFVPCRDVSLPETTIRTPRRPLRYVGGLPVSRRRILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWTAFAVVVAEKDIPDLKKILQGISLRKYVAMHGCVKRLQRHFLWHARPLRYDMFHMILHSIWLSRVNQVELNE >LPERR01G20690.1 pep chromosome:Lperr_V1.4:1:18369607:18371717:-1 gene:LPERR01G20690 transcript:LPERR01G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRTPAPERPPPPVPVPDSPQASPSPPPVPDPPPASPPPQPLLPESAPSTPREEYHTPPPSLDEARDESLVGTPRQVGVDVNGGSEITTKSPQLSPVRLHLSPHRLLPPAPGSPAVNGFQDGANGTAPPAAPPGRRRGTPPPQLHVPMERLVRTPSQGSLAMSSPSPSPTPPSPLTPAPAPATATAATPTAKSKSGQATPKQAEAWKPPASLAATAIAMQFDPVEEAITSPLRIGNGKAARLDRQRAPLPGENGAASGDVAPEVAAVAAVGERRTTSVALRVATAVLSLVSFSLMASARTSGWAGDHYGRYQQYRYAVGVNVVVCIYSIAQAFGEIRRLVSPRFIFRSTSSYYFSLFLDQASPVLAYLLMSASSAAASQNDLWVSRFGKDPFNKKINSAVWLSFIAFITLAANSLISTANLFSMI >LPERR01G20700.1 pep chromosome:Lperr_V1.4:1:18372936:18377042:-1 gene:LPERR01G20700 transcript:LPERR01G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLRGNSSPPPSRRLFSALRRPPSAARFEPGSKVMLKGMDYPELEKWVQSQGFRPGQAMMLWKCLYGNNVWAHCHDELAGLNKDFRKMVADHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLHESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREELHSKKKYIVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPDKFQYQDLLGSLGDRGVIFAVVKSTLN >LPERR01G20710.1 pep chromosome:Lperr_V1.4:1:18377799:18382640:1 gene:LPERR01G20710 transcript:LPERR01G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPGAGGGSGDAGFVRADQIDLKSLDEQLERHLGRPAERAASQQGGGGGAGSRRGESGRLPGEELLPPQAPHHQRRREDWEIDPSKLVIRGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMADVVAMLEAIDTSKGGGMIPIDQPQGCFSCFRRYRGP >LPERR01G20720.1 pep chromosome:Lperr_V1.4:1:18382730:18386199:1 gene:LPERR01G20720 transcript:LPERR01G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRLPGAPGLLRRAPRGILPASLSVEHPPRRRIAPGVRAVSGSHGTGGAPVPRRTPAPADAAASAPAGAAPSAASSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKQRYGKQK >LPERR01G20730.1 pep chromosome:Lperr_V1.4:1:18388196:18392029:-1 gene:LPERR01G20730 transcript:LPERR01G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIMIEGILSARMSSTSSSQLSASSDRKSAQASENAGPPDSGGNNSGGQARSRIALQLDQRSLHFSVTAWVLFNALIGILPLTPRQLQYKGHRLSLIGTTCTTGYALFAFYRLPRAGNMHVAQLFHHVASSKDFIPFIPFFVDNTLIFSDSCFGAGKWARGIVGTKSIKSMTYMEKPCTWVETNTTAANFLSSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGFH >LPERR01G20730.2 pep chromosome:Lperr_V1.4:1:18388196:18392029:-1 gene:LPERR01G20730 transcript:LPERR01G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIMIEGILSARMSSTSSSQLSASSDRKSAQASENAGPPDSGGNNSGGQARSRIALQLDQRSLHFSVTAWVLFNALIGILPLTPRQLQYKGHRLSLIGTTCTTGYALFAFYRLPRAGNMHVAQLFHHVASSKDFIPFMYCLTFVMSKLHLKLVLVPVICWALEHVARFLRRHFSNSSLYRTYMEKPCTWVETNTTAANFLSSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGFH >LPERR01G20730.3 pep chromosome:Lperr_V1.4:1:18388196:18392029:-1 gene:LPERR01G20730 transcript:LPERR01G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIMIEGILSARMSSTSSSQLSASSDRKSAQASENAGPPDSGGNNSGGQARSRIALQLDQRSLHFSVTAWVLFNALIGILPLTPRQLQYKGHRLSLIGTTCTTGYALFAFYRLPRAGNMHVAQLFHHVASSKDFIPFMTYMEKPCTWVETNTTAANFLSSNAEILLGFLLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGFH >LPERR01G20740.1 pep chromosome:Lperr_V1.4:1:18401141:18403677:1 gene:LPERR01G20740 transcript:LPERR01G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSDALERYKNAITAASSVVGLAMLLRRIVADVLPDTALGALLLLPPASSRRHSVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKASLPRGASAEQQITLAMRPGTAVVDVFDGAELTWRLSSHHGGGGRRRGGGGGGDDAARPEVFKLSFDRRHKDMVLSAYLPAVMARVAAMSQEQRQARLYSNEWGKWRPVRLRNASTFATLAMDAAMRRAVMDDLDRFLGRKEYYERTGRAWKRGYLVHGPPGTGKSSLVAAISNHLRFDVYDLDLGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRRGADGSSTSSMNRKVTLSGLLNMVDGLWSSSGHERILIFTTTHVDPLDQALLRPGRMDMHVHMGYLGFGAFRELATNYHGVDSHPLFPEIEALLREVEVVPAEVAEKLLMTDDAGAAIEMVAKLLRDRKDGTEEDGGGYVSQKLHAGVGRRHPRPSPLPRASRRGGGAVVATRRGVFVDEMGIEISRGQGRRGAGGVRGRGRR >LPERR01G20750.1 pep chromosome:Lperr_V1.4:1:18405490:18415564:1 gene:LPERR01G20750 transcript:LPERR01G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTSAEEVSALLARSDSSGRRRRSSPVQSASPRPAGGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMSKLLALTIYAILLMPGFLQVGYYYFFSNQVRRSIVYGEQPRNRLDLYVPKDIRKPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLMGQSAGAHISACALMDQALKESSGQSISWSVTQIKSYFGLSGGYNIHSLVDHFHERGLSRSIFLSQTFANVLQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHVDDELTQEKIAVAPAPRRLVFEWQLQLARRISPF >LPERR01G20750.2 pep chromosome:Lperr_V1.4:1:18405490:18415570:1 gene:LPERR01G20750 transcript:LPERR01G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTSAEEVSALLARSDSSGRRRRSSPVQSASPRPAGGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMSKLLALTIYAILLMPGFLQVGYYYFFSNQVRRSIVYGEQPRNRLDLYVPKDIRKPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLMGQSAGAHISACALMDQALKESSGQSISWSVTQIKSYFGLSGGYNIHSLVDHFHERGLSRSIFLSQTFANVLQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHVDDELTQEKIAVAPAPRRLVFEWQLQLARRISPF >LPERR01G20750.3 pep chromosome:Lperr_V1.4:1:18405490:18413951:1 gene:LPERR01G20750 transcript:LPERR01G20750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTSAEEVSALLARSDSSGRRRRSSPVQSASPRPAGGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMSKLLALTIYAILLMPGFLQVGYYYFFSNQVRRSIVYGEQPRNRLDLYVPKDIRKPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLMGQSAGAHISACALMDQALKESSGQSISWSVTQIKSYFGLSGGYNIHSLVDHFHERGLSRSIFLSQTFANVLQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHVDDELTQEKIAVAPAPRRLVFEWQLQLARRISPF >LPERR01G20750.4 pep chromosome:Lperr_V1.4:1:18405490:18413438:1 gene:LPERR01G20750 transcript:LPERR01G20750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTSAEEVSALLARSDSSGRRRRSSPVQSASPRPAGGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMSKLLALTIYAILLMPGFLQVGYYYFFSNQVRRSIVYGEQPRNRLDLYVPKDIRKPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLMGQSAGAHISACALMDQALKESSGQSISWSVTQIKSYFGLSGGYNIHSLVDHFHERGLSRSIFLSQTFANVLQQAGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHVDDELTQEKIAVAPAPRRLVFEWQLQLARRISPF >LPERR01G20760.1 pep chromosome:Lperr_V1.4:1:18414636:18414926:-1 gene:LPERR01G20760 transcript:LPERR01G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKVKSSKPAAAKN >LPERR01G20770.1 pep chromosome:Lperr_V1.4:1:18426586:18427452:-1 gene:LPERR01G20770 transcript:LPERR01G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPHESSFSFSRRHFRWPVLGKSSSHGASNACDDDFIKGAGAEDEEEATMAFSSSCPSFHSEHFVSPPPCKPLNQQQPPQQQQRKNKGRTAVSRLRTALAAALAGRHRQVSLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELASPTASLVREMASGLVRIALECERAKGGGGGRKLVEETVWRAYCNGKGCGYAVRRECGAADWRVLRALEPVSMGAGVIPAACGGGEGGGDVMYMRARFERVVGSRDSEAFYMMSPDCAGAGGGGGNHNGGAELSVYLLRV >LPERR01G20780.1 pep chromosome:Lperr_V1.4:1:18441521:18444563:-1 gene:LPERR01G20780 transcript:LPERR01G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDGLFCKSATNISSSFTKLPANSAASRRGLLLRCLSSHLHGSLPYRRLPISVRLEAMGHAAAFLDTPRNPVLAGRVVGGGSDRLRRSSVVRAHAEEERCRAAACSDSRTGVTQAVVLASGLLSSSSDPSTAPAPSASLTRSTSPWGIGRRISIGSSGKHIFILEVAGNNTRNVPVQELRLYFHKDYQVAQRT >LPERR01G20780.2 pep chromosome:Lperr_V1.4:1:18441521:18444563:-1 gene:LPERR01G20780 transcript:LPERR01G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDGLFCKSATNISSSFTKLPANSAASRRGLLLRCLSSHLHGSLPYRRLPISVRLEAMGHAAAFLDTPRNPVLAGRVVGGGSDRLRRSSVVRAHAEEERCRAAACSDSRTGVTQAVVLASGLLSSSSDPSTAPAPSASLTRSTSPWGIGRRISIGFLPWFPVAQRT >LPERR01G20790.1 pep chromosome:Lperr_V1.4:1:18446167:18462938:1 gene:LPERR01G20790 transcript:LPERR01G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIALGTLRPSYLLLLLAAIGSVVTPLLSQATDTVSRSQPLSGDGKLVSRGGKFAVGFFQPVGGRPDKWYLAVWFNKVSKLTPVWVANRVAPISDPKSSELKILEDGNMALYNQLNSPIWFTNITSNTSSPTVAVILDTGNFVLTLAYNLTNFLWQSFDEPTNVWLPGAKLGWNKITGLNRRLFSWKTSSDPSPGYYSVEIDLAGSNQFFYRWNSSEKSENYWATGSWTGTMFSGVPEMALYAKSLLTYDYVNNEQENYFMYRTNESKITAMFSMEIAGQVKAVSWMESAQDWVPFLAMPKAQCSVYLVCGPFSICTENAFTFCSCIRGFSKQYGGDRLYGNPIEGCTRNVGLPCGGNSFRKEKVDGFYALAVANFPNNAWSVAATSDNEYSSIGQSIYIRLAASEFSSPTKSKKNVTGVAIAGALCLILRNCRFSSANEVDGSLILYKYRDLQNLTNNFSEKLGKGSFGSVYKGVLADGTLVAVKKLDSISQGDKEFRAEVSTTGTVQHVNLIRLLGFCSERSLKILVYEYMPNGSLDRYMFGSNPGALSWSTRYQIALGIAKGLTYLHEKCRSCIIHCDIKPENVLLDASFLPKISDFGLAKLVGRDFSRVLTTMRGTIGYLAPEWISGTAITVKADVFSYGMMLFEIISGKRNLEEIDQSTETFFPVLIAKRLPKGNVQELLHDELTVDANFNEVETACKVACWCVQDDENSRPTMGQVVQVLEGLVDINMPPVPRCLEIIAERSLFSSREDRPLFGGQRLVSSGGSFALGFFNPANRASPISDPKSSQLTVSEDGNLVLLDQVRSLIWATNITTISINSTVGVILDSGNFVLAPASNRSNFQWQSFDDPTNVWLPGAKLGRNKITGQTTRFVSWKSSVDPSPGYYTLEIDPNGGDQFIHRWNDSAIYWETGQVKGVVWMENREDWVPFLALPKAQCTVYFVCGSFSMCSENDVTFCRCLRGFNKQYNGEWRYGDPSGGCMRNTKLQCGGNSSRKTATDGFYALAVSKLPDKALSLATVSTDGCKEACLNNCSCTAYSYVGGCSLWYGDLINLVAPTDGSMGQSMYIRLAASEFSSSTKTRKAVVIAVSIAGAILATLIVIIGILLIRRKRSFNELNKVEGSLVVFRYRFLQHVTKKFSERLGKGSFGSVYKGTLPDGTLIAVKKLDSFSQGDKQFRAEVSTIGTIQHVNLIRLLGFCSERSRKMLVYEFMPNKSLDRYLFGSTSMALSWETRYQIALGIAKGLAYLHEGCRSLIIHCDIKPENVLLDADCMPKIADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGAAITAKADVFSYGMVLFEIISGKRNTDWHQQGEETFFPVLVAMRLPEGKVHSLLGPVLIADANIEEVERACKVACWCVQDDESTRPTMGDIVQILEGLVDVNFPPVPWYLHVLAQRSNFSTEAS >LPERR01G20790.2 pep chromosome:Lperr_V1.4:1:18446167:18462938:1 gene:LPERR01G20790 transcript:LPERR01G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIALGTLRPSYLLLLLAAIGSVVTPLLSQATDTVSRSQPLSGDGKLVSRGGKFAVGFFQPVGGRPDKWYLAVWFNKVSKLTPVWVANRVAPISDPKSSELKILEDGNMALYNQLNSPIWFTNITSNTSSPTVAVILDTGNFVLTLAYNLTNFLWQSFDEPTNVWLPGAKLGWNKITGLNRRLFSWKTSSDPSPGYYSVEIDLAGSNQFFYRWNSSEKSENYWATGSWTGTMFSGVPEMALYAKSLLTYDYVNNEQENYFMYRTNESKITAMFSMEIAGQVKAVSWMESAQDWVPFLAMPKAQCSVYLVCGPFSICTENAFTFCSCIRGFSKQYGGDRLYGNPIEGCTRNVGLPCGGNSFRKEKVDGFYALAVANFPNNAWSVAATSDNEYSSIGQSIYIRLAASEFSSPTKSKKNVTGVAIAGALCLILRNCRFSSANEVDGSLILYKYRDLQNLTNNFSEKLGKGSFGSVYKGVLADGTLVAVKKLDSISQGDKEFRAEVSTTGTVQHVNLIRLLGFCSERSLKILVYEYMPNGSLDRYMFGSNPGALSWSTRYQIALGIAKGLTYLHEKCRSCIIHCDIKPENVLLDASFLPKISDFGLAKLVGRDFSRVLTTMRGTIGYLAPEWISGTAITVKADVFSYGMMLFEIISGKRNLEEIDQSTETFFPVLIAKRLPKGNVQELLHDELTVDANFNEVETACKVACWCVQDDENSRPTMGQVVQVLEGLVDINMPPVPRCLEIIAERSLFSSREDRPLFGGQRLVSSGGSFALGFFNPANRASPISDPKSSQLTVSEDGNLVLLDQVRSLIWATNITTISINSTVGVILDSGNFVLAPASNRSNFQWQSFDDPTNVWLPGAKLGRNKITGQTTRFVSWKSSVDPSPGYYTLEIDPNGGDQFIHRWNDSAIYWETGRWTGNMFTGLPEMALYHKENVRYKFTVNNQESYFVYETNASFITAMFLMEISGQVKGVVWMENREDWVPFLALPKAQCTVYFVCGSFSMCSENDVTFCRCLRGFNKQYNGEWRYGDPSGGCMRNTKLQCGGNSSRKTATDGFYALAVSKLPDKALSLATVSTDGCKEACLNNCSCTAYSYVGGCSLWYGDLINLVAPTDGSMGQSMYIRLAASEFSSSTKTRKAVVIAVSIAGAILATLIVIIGILLIRRKRSFNELNKVEGSLVVFRYRFLQHVTKKFSERLGKGSFGSVYKGTLPDGTLIAVKKLDSFSQGDKQFRAEVSTIGTIQHVNLIRLLGFCSERSRKMLVYEFMPNKSLDRYLFGSTSMALSWETRYQIALGIAKGLAYLHEGCRSLIIHCDIKPENVLLDADCMPKIADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGAAITAKADVFSYGMVLFEIISGKRNTDWHQQGEETFFPVLVAMRLPEGKVHSLLGPVLIADANIEEVERACKVACWCVQDDESTRPTMGDIVQILEGLVDVNFPPVPWYLHVLAQRSNFSTEAS >LPERR01G20800.1 pep chromosome:Lperr_V1.4:1:18463527:18466683:-1 gene:LPERR01G20800 transcript:LPERR01G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTATAAASLLARRLLLSRRFLSSPLRPFSTTTSSSSSSSSSSDDSHAGSDAGPHPEQPPADQDHQTPVPPRARDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYADRCSVQWHRVCIYPDRLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDSNAPKLGEAKGVGYF >LPERR01G20810.1 pep chromosome:Lperr_V1.4:1:18475571:18479504:1 gene:LPERR01G20810 transcript:LPERR01G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRSGAGALPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLNVDPDVVSLEGGRAETEAEVAADGRLHVTVRRSSVSRRSLLVTTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAALRGSSFGPSELYSLQSSRGPTPRQSNFDDEHSARPPKPPATTAGALNSHDAKELHMFVWSSSASPVSEVSGLPVFGGGAARDVGAKEIHMVIPADLPQNNSGSGKEHEEYGPAALGGGGGGGENFSFGGGKKVDSAEAVDEEEALPDGLTKLGSSSTTELHPKDDGANGGGGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLSWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAAVSMAVRFLAGPAVMAAASIAIGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >LPERR01G20820.1 pep chromosome:Lperr_V1.4:1:18488005:18490909:-1 gene:LPERR01G20820 transcript:LPERR01G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIKRLPRLLLLFNLSVSLRLCPVFPAPALFLLLLLHWLVMGATSPSGLELTMAVPGLSSSSGSEGAGCNNNNNGGGGGNMRDLDINQPASGGEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPAARPPSSAAGPSPFHPRRPSAAF >LPERR01G20830.1 pep chromosome:Lperr_V1.4:1:18508478:18513349:-1 gene:LPERR01G20830 transcript:LPERR01G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCGADKHSTTVEMLRICWVKLPGLTGVQDSKLGQARSLPTSFLPLETRSPNPSLAGAEPPHPVGRSRTRTDAFPDPLFSAASRAHHPHLGLGVSDLPFPSPLWRSARAPRARGDRASTATACSRSLRQFAYMAPPSTAPPRLPLRLRIPRPPVAARQPRADAAGVSGRGTARGRGGARALGTMDAKKGSGEPEFFTEYGEASRYEVSEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHLSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCKVKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLIGKPLFPGKNVVHQLDLMTDLLGTPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQQYLRGGDQSNFLYPRERVIGSGDGPEKPTADYCIKLHVGEQPGHASVTDGLNKPLLSARNFLKSESISASQCVVIKEKREKDEESMSEYMNEPADGVPQKIAQLKT >LPERR01G20840.1 pep chromosome:Lperr_V1.4:1:18513466:18513822:1 gene:LPERR01G20840 transcript:LPERR01G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPGSRTVLPRPPRPRRGQAYTDLPLRRRVQHHAYDSARLVGFLALAVTLTTLLVLAGVTLVIVFVALVILSPLLLLTSPLWAPMAVAVLVSGAASIFGWCLFVAALAAATWAYR >LPERR01G20860.1 pep chromosome:Lperr_V1.4:1:18528109:18530118:1 gene:LPERR01G20860 transcript:LPERR01G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFLQLSPPIHGLPATARNGTRPRISVACCKNNQPTDVQEAKLTSSLSRRDALSCMSSAFIATLLVAGPAEARTSRQENKRKVREKLEKIREKALGPDDKKKESVANLLLPPKLVEATI >LPERR01G20870.1 pep chromosome:Lperr_V1.4:1:18531676:18531900:-1 gene:LPERR01G20870 transcript:LPERR01G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGVVEWPLGALVYLFRHRKGRRIMGHPANVVYPRITSAIPI >LPERR01G20880.1 pep chromosome:Lperr_V1.4:1:18538303:18540395:1 gene:LPERR01G20880 transcript:LPERR01G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRRPVLVLAILAVAASTAAAAMEAEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPASYPGSYCPPPPAAYVQFGGGTQSGRESEMGTIFTYMEC >LPERR01G20890.1 pep chromosome:Lperr_V1.4:1:18544148:18544660:1 gene:LPERR01G20890 transcript:LPERR01G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEEAFPIGFTKGIRSYWRSSKYQHVDGSAAGRGTSNLVRLGGGSGSGSGGGTWAVRLGGVFHARVKAAPATTDVAKVPARVLGRMRDAYVVAMIGVAKKQSAAALSQPGGAESLWQKRVPVRRSRGQSKKQVRQKADELGQRLVMEMYKSVLASRDLSGMLKASRAR >LPERR01G20900.1 pep chromosome:Lperr_V1.4:1:18548174:18549266:-1 gene:LPERR01G20900 transcript:LPERR01G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPVRSALELKPFAFGDQRLASSPRYFAGGEDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAVAAEEAAALRRENAELRVANSDLTRRLALLSGKHSAAVAVADEICRLGRLGEQKTAPASKESTPQKLAVLPKSISVRSTSYLKLNQQAQTAATPDAHNRKPRTSSNPTNPSSQRAYDGGKKGEEQKEQAAGADDAAELEVYNQGMFKTELCNKWEETGDCPYGNQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRP >LPERR01G20910.1 pep chromosome:Lperr_V1.4:1:18560780:18566917:1 gene:LPERR01G20910 transcript:LPERR01G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTCPARSMASLSRALRPRPNASIASAAVRAGGHLGGGLGIACSMPSYGRKEKEDWGVTIASAPATTAAPILRNCKMLCKAEANISSNLPESIPSGENQYEKIVELLTTLFPVWVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMSLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIITITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIVPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >LPERR01G20910.2 pep chromosome:Lperr_V1.4:1:18560780:18567368:1 gene:LPERR01G20910 transcript:LPERR01G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTCPARSMASLSRALRPRPNASIASAAVRAGGHLGGGLGIACSMPSYGRKEKEDWGVTIASAPATTAAPILRNCKMLCKAEANISSNLPESIPSGENQYEKIVELLTTLFPVWVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMSLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIITITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIVPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >LPERR01G20920.1 pep chromosome:Lperr_V1.4:1:18576293:18578333:1 gene:LPERR01G20920 transcript:LPERR01G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPAQERRETWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLASTWRHRMYDRLTLHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAAGVAPRFGATVEEASFDAAVGAWRVRLAGGEVLMARWLVVATGENAEPRVPDFPGMHKFAGLAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMLGVSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQLGLKRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGEVKEISSQGVRFADGKEEQFDAVILATGYTSNVPSWLKEGGDLFTREGTSKVPFPNSWRGRNGLYTVGFTQRGLLGASSDALNVAKDIHFRWRERDGPAINVVDIGSFSF >LPERR01G20930.1 pep chromosome:Lperr_V1.4:1:18597626:18598316:-1 gene:LPERR01G20930 transcript:LPERR01G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQSLVTDITGGQSPSSAQQQDDQLEAPKASTLSDGEPKGSVFGSFRYRCHPSGGELVDHDELSVTTRPNLLYNR >LPERR01G20930.2 pep chromosome:Lperr_V1.4:1:18594436:18598331:-1 gene:LPERR01G20930 transcript:LPERR01G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKMMIQSLVDNRREITRQYCVNKSRATALYNSIDIAWGEVPAFCVERWSRSKFDASLPAHEGVLLSRQLLDMMAADLHVKELELDVEIQPIRPEEASRPCYLSCAIKFGNGKVGTPHPCKAHFCVYS >LPERR01G20940.1 pep chromosome:Lperr_V1.4:1:18613093:18613545:1 gene:LPERR01G20940 transcript:LPERR01G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKQENPAHRESTLHRVSFAVVFLLLLFGPYAWYMIRVAIERGKPYYTAEITSIKASNFTEAEVYHTSPSFYITMRVQNQDTSCNIYFKDWQFSIFHDGIPLGHGFSPDGFVVNKTSDVAGITGTTSTPLLGLAKEVHDHIISSGQSF >LPERR01G20950.1 pep chromosome:Lperr_V1.4:1:18625536:18626294:-1 gene:LPERR01G20950 transcript:LPERR01G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILSDNPAPQFYDSSATLRFTLLHREHPCLPTSTVPADHFSSSTLSRHRTRVRRLATGCFSSCPVDEGNMSGSIFANGVPWDQYSYVTQIQLGTPATTYNVLVDTGSSLSWIRCKPCTNECPGSVFDPAASSTYNAVYCRSSLCNAVPSATMAPINCWLSLEKCSYKQTYEDGSTSVGVVSSDQLIHGGGNVQELVFGCSNRFEGLGGHYSGIIGISANTLSFFTQLTVGRRYRATSYCFPHPSKKGFL >LPERR01G20960.1 pep chromosome:Lperr_V1.4:1:18632686:18633034:1 gene:LPERR01G20960 transcript:LPERR01G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAEVKMSKVAKPHGTQSAPRRVPRTRVCEDAVANVVEPWRTRRHAKLARLGDNSRRTRAVCCQYHVGKVEDHHESHVNVGGD >LPERR01G20970.1 pep chromosome:Lperr_V1.4:1:18634527:18635927:-1 gene:LPERR01G20970 transcript:LPERR01G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDPLLSGEAGDGRRSFLPSNIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGILFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLILGVTGLMTTLYRFLPLPVVRGVQLSQGLSFTFTAVKYIRYVQDFSRSSSASTAVPRSLLGLDGLVLALAALLFIILATGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSIVPGLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGISLTGSSAALGFISGIVLYLLLRLREVEIGGFVGHWGAGRRRSTNKADEDGAGDAVRVYG >LPERR01G20980.1 pep chromosome:Lperr_V1.4:1:18638992:18641854:1 gene:LPERR01G20980 transcript:LPERR01G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYFHGNASQAQSIRHHLLACLDACASRAHLTELHGRIVRTNLASDSFFAGRLIVFLASPAASHDMPYARKVFDRMPHPNAFVWNCMIRGDLTAVARKEYMWYAA >LPERR01G20990.1 pep chromosome:Lperr_V1.4:1:18646115:18648075:-1 gene:LPERR01G20990 transcript:LPERR01G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTFPFQWPMDPVASSGLDAALLPPPPAVATDDGVAYYASAAAGADVDAAALPEFAAAIPPCAPDAAAVLALRREEEVAGIRLVHLLMSCAGAIEASDHARASAHLAEAHAALAVVSAVSGIGRVAVHFTTALSRRLFPAPVAPPTTDAELAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVLPWMLQIAPGEAVAFNSVLQLHRLLGEPVDQAPIDAVLDCVATVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGGAMAEAYLQREICDIVCGEGAARRERHEPLSRWRDRLARAGLSAVPLGSNALRQARMLVGLFSGEGHGVEEADGCLTLGSKS >LPERR01G21000.1 pep chromosome:Lperr_V1.4:1:18669919:18672617:1 gene:LPERR01G21000 transcript:LPERR01G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDILLAGDHHPSPASAATTALGPLLLLPSELLHDILLRLALPELLRVRSVARPLTHVISSPDFRRLYHLYSASAASGPAAAWLLVFKKLRPRDASLRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHTARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNSPFLFEYQSETDTWLSSEAVQAEGTSTAAGPDGTFLCAAHAGPDCVMVYSGLGVDRPVFFRPRFPHNPNNGGGGADRLHVYGDGSAVVVRSTLIDEPSRTRAKLVAGVDLYGFGSAVGGDWQLVSTVPGELIEGFRRPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLSFDRARGEWRWVPVPDWGTKGLNMAGIAVSSTFSRLWPPSVSSSTTSTSQ >LPERR01G21010.1 pep chromosome:Lperr_V1.4:1:18673562:18677421:-1 gene:LPERR01G21010 transcript:LPERR01G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLHARPGALPLRAGLRLPLPRNPRSALASVSRPALQFPLLASRSSGLSSRDAVAGLGYGLLRRRSSGPGNGVSCAAAAVPVPPAQPEEGKKFLGVELKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADKLLASLGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGVDGWEVSLKGMMSLVVVLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILAFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKKDKSAKEKVDPSLLKAPEGQADVLVEHTNGTIGSEVAGTESSPSNSTPSH >LPERR01G21020.1 pep chromosome:Lperr_V1.4:1:18683856:18684014:-1 gene:LPERR01G21020 transcript:LPERR01G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSETSYHVQDSGIASFAVYADAPCRSVRGRMAETDGDDDDDDYDCAPAA >LPERR01G21030.1 pep chromosome:Lperr_V1.4:1:18705141:18709206:1 gene:LPERR01G21030 transcript:LPERR01G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGWVERARRGVKTVWFMVAMVASLLMASAPALVAAGDVAVALWLEMRLGCLSCHGLRGHLERYGFRSSLVDIPLVSIVRSVVITCVYLMCDSSGFSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSFKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKSAYSGCYKAPRSPTTYSGKLYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDSSSSISLATDTFPEIHHDVISASISPRRQRHESPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDIPVLTVDDGSADVCLKPMGFDLDSGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRVSGESRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLVRSVEDLPVLVVAGSEDALVSPKSAQVMASRLRLVTISNCGHLPHEECPKALLSALSPFISGLLSSDDSLQRL >LPERR01G21040.1 pep chromosome:Lperr_V1.4:1:18710258:18710500:-1 gene:LPERR01G21040 transcript:LPERR01G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGVSLTMTVAAPVWCQRTRCCRCTSDTCIGRTLLGVPNLNSSSCSCSAIADSRRRSPAWPISTNRLGNAAGNLNQSS >LPERR01G21050.1 pep chromosome:Lperr_V1.4:1:18710995:18716713:-1 gene:LPERR01G21050 transcript:LPERR01G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGAARMPACGPWGGSRRVGAGDAFEASELSRDGRRRMPACGPWGNERELSRDGSHYSISSAILPSLGARSSRRIKLRRFIVSPYDRRYRVWETFLIVLVVYTAWVSPFEFGFIRKPAGALATIDNVVNAFFAVDIILTFFVAYLDKMTYMLEDDPKKIAWRYCTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHNRSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVVQVSKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTTFLNIVQSNVGDGTIIMNNLIQFLKGQKDNNVMAGVVKEIDSMLARGHLDLPITLCFAVTRGDDFLLHQLLKRGLDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDAVGKVPLWEALCEKHSAVIQLLVEGGADLSSDGSTALHRAVCDGNVQMVEILLEHGVDIDKQDSNGWTPRALAEQQGHDDIQLLFRSRKAPSHHHVPSSTSVAPSLIGRFNSEPTMKNMIHEDADLSSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGLASAAGSPNPSGSGKSIIRVTIRCPEKGRNAGKLVLLPQTLDMLLELGEKKFGFAPTRVLTVEGAEVDEVEIIRDGDHLVLVSDEWDAEKMKYKR >LPERR01G21050.2 pep chromosome:Lperr_V1.4:1:18710995:18716713:-1 gene:LPERR01G21050 transcript:LPERR01G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGAARMPACGPWGGSRRVGAGDAFEASELSRDGRRRMPACGPWGNERELSRDGSHYSISSAILPSLGARSSRRIKLRRFIVSPYDRRYRVWETFLIVLVVYTAWVSPFEFGFIRKPAGALATIDNVVNAFFAVDIILTFFVAYLDKMTYMLEDDPKKIAWRYCTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHNRSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVVQVSKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTTFLNIVQSNVGDGTIIMNNLIQFLKGQKDNNVMAGVVKEIDSMLARGHLDLPITLCFAVTRGDDFLLHQLLKRGLDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDAVGKVPLWEALCEKHSAVIQLLVEGGADLSSGDTGLYASIAVAESNTELLNDIIHYGGDVNRASKDGSTALHRAVCDGNVQMVEILLEHGVDIDKQDSNGWTPRALAEQQGHDDIQLLFRSRKAPSHHHVPSSTSVAPSLIGRFNSEPTMKNMIHEDADLSSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGLASAAGSPNPSGSGKSIIRVTIRCPEKGRNAGKLVLLPQTLDMLLELGEKKFGFAPTRVLTVEGAEVDEVEIIRDGDHLVLVSDEWDAEKMKYKR >LPERR01G21060.1 pep chromosome:Lperr_V1.4:1:18733033:18736114:1 gene:LPERR01G21060 transcript:LPERR01G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNEAAAASSSNTEDASIDLSFSDGISCATEDGRSGTFMIGNDSFPASLLDLPAVVESYKTYDDLVLIKTADIGQMIMVREEDDPAPEGVEHKHSLTPPMRDARKRRFRREPDLNAELVHRVEKDLVKIMQGVYNASVIGAGEGGDRKKAAPGPAAKSNVQQPAANGEEAETERSDSDESV >LPERR01G21070.1 pep chromosome:Lperr_V1.4:1:18737505:18739019:1 gene:LPERR01G21070 transcript:LPERR01G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEDPAAAPPRGRCCGGGFACEESTIAKALLRGTIVTILLVAIVSGEASSNAGARITVETVAYAAVAVLYICTASSRKEMFISVVFIMLTVVVVSLAATATGGRSAGSVIFGGNFAAISLYCIWKLDCLRRRRAPATAATAMVGAALRPSTQEEDEEQRRRLPPRTVFRIEGQPREYSYSEIQEMTQDFGCMVGRGGSAAVFRGVLDDGTVVAVKRIVSDDSVGEANFLREITIVASVHHYALVDLLGYSLQRGGGRYLVYPFFENRSLDSWLFSGEERRRNLPWVTRRHIAVDVAKALAYLHHECRNQILHLDIKPANVLLDGDFRAHVSDFGISMSIGRDLTSVDNRGRGTLGYMAPEILVNALSAKSDVYSYGMILFELVGRRRNFELATVDSSATPTDFSRDFLPYVMRDRMVEGKFMEVVDATMTRGAAGSIDEDEVEVVVKVAFLCTQHSRDMRPSMTSVVDMLEGRAPIPLLPVRPEFLLDTVLISCARTALSR >LPERR01G21080.1 pep chromosome:Lperr_V1.4:1:18745146:18750770:-1 gene:LPERR01G21080 transcript:LPERR01G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQECKIGGLPHPTSKRKENTRYRLDAHKECTRLFVEMKTLEVRKMGSMVVVEVEEGFFLGSRLRGGQKKGYVGVPTAVIGLNGKGKEED >LPERR01G21080.2 pep chromosome:Lperr_V1.4:1:18744015:18750770:-1 gene:LPERR01G21080 transcript:LPERR01G21080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQECKIGGLPHPTSKRKENTRYRLDAHKECTRLFVEMKTLEVRKMGSMVVVEVEEGFFLGSRLRGGQKKGYVGVPTAVIGLNGGYNIGTRSVDEFLAKSNISRCVDFKETADGIAKVFSLNLLLYGSD >LPERR01G21080.3 pep chromosome:Lperr_V1.4:1:18749595:18750770:-1 gene:LPERR01G21080 transcript:LPERR01G21080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQECKIGGLPHPTSKRKENTRYRLDAHKECTRLFVEMKTLEVRKMGSMVVVEVEEGFFLGSRLRGGQKKGYVGVPTAVVSATVVIGSQPCRVSWKVAGLSTPTCSGTLIGSVHRIDGIYMHVFALMPSYFAWEGNIFKST >LPERR01G21090.1 pep chromosome:Lperr_V1.4:1:18753752:18759196:1 gene:LPERR01G21090 transcript:LPERR01G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVEAGSDADPPQARGCCCCACARSKIKKFLVRAAIVVVLFVMVCIGFAHQNLGAKLAVESVAYVATACLYFFTSSSWKEKTISGTFLVVDTVVVVCVEATTKTDHGLGLLLCTIVALGVYCIWKPYQALLSCIEHRLGRAPAATTASSLPLQPVKRKEEPWRPLVQEQPRAAFQIEGLPREYTDSEIQAMTEDFGFMVGRGGSATVFRGVLEDGTAVAVKRIVGDESVGEADFLSEITIVASVHHYALVGLLGYCLQPGGGRYLLYPFLENRSLDFWLFSGEERRRDLPWSARRHIAVDVAKALAYLHHECRNQILHLDIKPANILLDGEFRAHVSDFGISMSIGRDLTSVGTRGRGTLGYMAPEMLVNALSAKSDVYSYGMMLFELVGGRRNFEISSGGSSEPPDFSKEFLPCVLRDRMAEGRLMEAVDATMMQGAAGDVDEDQVEVVVKVAFWCTQHSRDMRLSMTEVVDMLEGRTPIPMPPIRLEFLGDTFLAGCARTELSSTSRVEQLRLATLLSAGRIAIMANVEEAGSVADPPRRRSCSSAYARSKIWKVIALAAILGLMFVVVSVGYASNRIAVKMIVESMAYVGTACLYIFNASSWKEKTVSGIFILVVTVVVVSVEAAARTDHGLAILVGTVLALLVYCIWKPSQAFLACIERCRLDHAKALPPTKQQDAGRMLVQAQQDAGSILVQAHHPRPAFRIEGLPREYTYGEIKNMTEDFQSMVGRGGSGAVFRGRLDDGAAVAVKRIVGDKSVGDAEFLTEVTIVASVHHYALVGLHGYCLQLNGDRYLVYPFFENGSLDTWLFAGEERRGRLPWATRRRIAVDVARALAYLHHECQQQILHLDIKPANILLDGDLRAHVSDFGISKAIGRDLNTVDTRGRGTVGYMPPEVLINALSAKSDVYSYGMTLFELVGGRRNFEPPNPDDDGCAATPNLTDDFLPRVMEKRIGEGGLMEVVDATLVRGAAGVDDEDVKLVVKVALCCTQRRRDMRPSMAEVVDMLEGRAAVELLPPESPPALHEFLGTNSLPANCEPTVNHFTTCKTVMSRICSVPDMSA >LPERR01G21100.1 pep chromosome:Lperr_V1.4:1:18759766:18762688:-1 gene:LPERR01G21100 transcript:LPERR01G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSPPAPLGFPTESKHHLPVLQPPAAASPGDVGLSADLADAFVRCGKLLDNLLEHEDGWVFAEPVDARALRLVDYYLYISDPMDLGTVRRRLERRRYADAWAFAADVRLTFANAMSYNSPGDPVYESAAELSEIFESEWPSVLAAPPPPPPDAERKRRLRDALPRLPVGAQVMVAEIMKKQDGCLREENGMMEVDLDRADAATLDELDRLVEEHGVDLAGVVKVKQEP >LPERR01G21110.1 pep chromosome:Lperr_V1.4:1:18765873:18766397:-1 gene:LPERR01G21110 transcript:LPERR01G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLAISCMVVFSVTFVLVSAYITRPRNPTPFLVLARADGIDPGASSAAPSFRLEMGVVGLTPQYTACVGGDGSTLQVSYHGMAIAWAVCHGSASTASGLAASGPMAWPLWWPARRRRLCARSCVYSLIWSERQIVGSAEFSVEGEIKGPGYLRCRALLFRDDKYESDTSVSS >LPERR01G21120.1 pep chromosome:Lperr_V1.4:1:18769400:18770101:-1 gene:LPERR01G21120 transcript:LPERR01G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPYHGESSAAAAASSSKKRKHPEQPPQPTAVAAAPGEVAGMGDHSGAFERCGKLLDKLMDHEDSWVFAEPVNARALGLTDYYECISDPMDLGTVQRRLERGRYADPWAFAADVRLTFSNAMTYNSRGDPVYESAKELSDIFESEWPSVLAAPPPPSDAERRRKLFDVISRLPMGVQVMVAEIMKKRDGCVREENGMMELDLDKADAATLDELERLVAEHGGAPLAGVGDVK >LPERR01G21130.1 pep chromosome:Lperr_V1.4:1:18770924:18783534:1 gene:LPERR01G21130 transcript:LPERR01G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTTAPPLPDAAAFSPDGELFAAVSDRRVQVWRTRDGEIIEGWTDPISAPEDSYSCIACCSVQKKNKKDGNLIVVAVGTTNGQVLAMDSTGVMWRSVHHTGKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKASKKPINSFTISHDEKFMGVSSKITRLFSVGDKKEILRIPSDVGPIQLMSVSDDGRFLVSHVDNNKEVQVWSCDHDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLTVSKKGVAHVWHLQTLSQDEVLRRKISVKNSLDKKGRIPIISAKLCDTNEDKTVKVHVVFGSPNILHFKVVELDDTCKDINLVAEYDERDMVSPQERKLELEAKDSKEAAEPVHQGKAKKRTSSVLDSTNDTIKEANPEYDLDEPTMEEKLASLNLLHKSEITEEQSPSLAPPSADSVHILLKQALRADDHTELLKCLYNRDEKVIVKSISLLTPADIIKLLKFFVLLIQSRGAKLVCVLPWLRALLCRHMSSVVSQESSLLLLNSLYQVSIFFDTAVAVTLIDARTSTFKSALQLSTTLDYHFSEVSDGETDEDEAAPPIIYEDKDTDDEESEVDAMETDGESEELGDVTDASEHSDGSDIMAD >LPERR01G21130.2 pep chromosome:Lperr_V1.4:1:18770924:18777893:1 gene:LPERR01G21130 transcript:LPERR01G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTTAPPLPDAAAFSPDGELFAAVSDRRVQVWRTRDGEIIEGWTDPISAPEDSYSCIACCSVQKKNKKDGNLIVVAVGTTNGQVLAMDSTGVMWRSVHHTGKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKASKKPINSFTISHDEKFMGVSSKITRLFSVGDKKEILRIPSDVGPIQLMSVSDDGRFLVSHVDNNKEVQVWSCDHDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLTVSKKGVAHVWHLQTLSQDEVLRRKISVKNSLDKKGRIPIISAKLCDTNEDKTVKVHVVFGSPNILHFKVVELDDTCKDINLVAEYDERDMVSPQERKLELEAKDSKEAAEPVHQGKAKKRTSSVLDSTNDTIKEANPEYDLDEPTMEEKLASLNLLHKSEITEEQSPSLAPPSADSVHILLKQALRADDHTELLKCLYNRDEKVIVKSISLLTPADIIKLLKFFVLLIQSRGAKLVCVLPWLRALLCRHMSSVVSQESSLLLLNSLYQVSIFFDTAVAVTLIDARTSTFKSALQLSTTLDYHFSEVSDGETDEDEAAPPIIYEDKDTDDEESEVDAMETDGESEELGDVTDASEHSDGSDIMAD >LPERR01G21130.3 pep chromosome:Lperr_V1.4:1:18777378:18783534:1 gene:LPERR01G21130 transcript:LPERR01G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLMRSASQALRRRRSYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPASNALSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDIVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKSSIEKGIKFANEN >LPERR01G21140.1 pep chromosome:Lperr_V1.4:1:18788334:18795721:1 gene:LPERR01G21140 transcript:LPERR01G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFGRGGGGILSPVVAAVVVAVAALLSAVQATEEPCYPRLFAFGDSLTDTGNFPFLVGNDSREPALRSPYGETFFHRATGRFSDGRLVVDFIADALGLPLVRPYWSGRTAGDFACGANFAVGGATALRPDFFRARGVPMADIVHLDLEMKWFRDLLDLFCPGDLKGCTSMMNQSLFLVGEIGGNDYNLPLLGGVSITKIRSFTPSVIAKISSTITELIRLGAKTLVVPGNLPIGCVPNYLMIFKSDKKEDYEPETGCLRWMNEFSQYHNKLLRDELEKLRKLHPDVTIIYADYYGAAMEIFVSPEQFGIEDPLAACCGGGGPYGVSGTARCGYGEYKVCDDPQKYGSWDGFHPSEAAYKAIAIGLLRGTYTQPSIATAANSCPQITELSSSVEYKSYRTVLHITAVAQVIVKRHSGYKERNTFFGHLGWMVDMRDRYIATSCMPAVRATKRTCADRPLSRELHPTLRCGSVLTFGKRGGRPKSSLGDSALTALSPQDITPLRPVSVAFNVMGGCVAEGHEYSTLLLPA >LPERR01G21150.1 pep chromosome:Lperr_V1.4:1:18800876:18802844:1 gene:LPERR01G21150 transcript:LPERR01G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGKIVSSTSGRRGGFLKPAAVLLMVAAMLSASQALAPPCYQRVFSFGDSLADTGNLEFLSANDTNHPSLWPPYGETFFHKANGRCSNGRLIIDFIAEALGLPFVRPYWSGQSARDFATGANFAVAGATALSSDFFRARGVPVHNSVHLDMQMKWFRDLLDLLCPGDLNGFI >LPERR01G21160.1 pep chromosome:Lperr_V1.4:1:18808339:18811452:1 gene:LPERR01G21160 transcript:LPERR01G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSAISHRYQCGEAVPALMNAAVGSAAPLPPARLLKGELARVIYRLPQVLPGVVCFLPEGAPGCKSMMNQSLFLVGEIGGNDYNHPLYDGVSITKIRSFTPSVIAKISSTITELIELGAKTLVVPGNLPIGCLPDYLMIFKSDRKKDYELETGCLRWMNEFSQYHNKLLINELERLRKLHPDVAIIYADYYGAAMAIFLSPEQFGIEDPLVACCGGRGPYGVSAYARCGYGEFNVCHDPEKYASWDGHHPSEAAYKVIATGLLRGSYTKPSFATTTNSCTQITDLGSYVEHNAL >LPERR01G21170.1 pep chromosome:Lperr_V1.4:1:18812527:18812726:-1 gene:LPERR01G21170 transcript:LPERR01G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPFDGVVAMDCQEYLAPAEVDDYCSGLQVMMRVEGSDFSKMCFSACDYHGEDQRRSMGLD >LPERR01G21180.1 pep chromosome:Lperr_V1.4:1:18815174:18819129:1 gene:LPERR01G21180 transcript:LPERR01G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISGRAGGLLSPAAVAVAVLLSAAQVLAAAPCFPRVFSFGDSLADTGNLAFLSGNDSSETSLYPPYGETFFHHATGRCSDGRLIIDFIAEALGLPFVQPYWGGQNAGDFAFGANFAVGGATALSPDFFRARGVPMDDGIVHLDTEMKWFRDLLNLLCPGDLEGCKDMINQSLFLVGEIGGNDYNRPFLSGVSFRKIRSFTPSVIARISSTITELIELGAKTLVIPGNLPIGCVPEYLLLFESDKKEDYEPDTGCLRWMNEFSQYHNKLLIDELKRLRKLHPDVAIIYADYYGAAMEIFLSPKQFGIEDPLVACCGGGGPYGVSRSAGCGIGEFNVCDDPGKYGSWDGFHPSEAAYKAIATGLLSGSYTQPPIAATTNSCPQLMELNSSVEYKALYDL >LPERR01G21190.1 pep chromosome:Lperr_V1.4:1:18839037:18844886:1 gene:LPERR01G21190 transcript:LPERR01G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESFIGPQGRACSSSALFVLFCAAVVLLNAHVALCGCYKRIFSFGDSIIDTGNFVYIVGSGQSEYKELPYGMTYFNRPTGRISDGRVIVDFYAQAFNLPLLEPSMPEEGSGQFATGANFAVLASTALSPDYFKTKYNFSTPVPYCLDSQLASFKKVLERIAPGVDATKSLLGESLVVMGEIGGNDYNFWFLSRNPRDTAIQYIPDVVGRIGTAVQNVINLGAKTVLVPGNFPFGCAPVYLNAFKSDNSADYDATGCIAWFNDFSKKHNQALTQEVARLRSQNPGVTLIYADYYGAAMQFFQNPKNYGIPDPLLECCGGEGPYHTGMTCNKTAKFWGSPANFANWDGVHMTEKAYNIIADGVSQHHFISMYLVFVSAVLVLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDQPCPFKEPPFGMTYFKHPSGRISDGRVLIDFYAQALQLPLIPPNLPQKDQGQFHYGANFAVFASTALPPEYFKRWNHTVPMPLSLATQMKWIKQMLQRIAPGDAARKALLGESLILLGEIGGNDYNFWFFAHKPREIAYQFIPDVVASISSAVQELIGLGAKTIMVPGNFPTGCVPAYLRSFQSGNPADYDDLHCLRWFNAFSAAHNQALLNELNRLKAQHPGVKLIYANYFGAALQFFRDPHRFGINDPLVACCGGHGPYHTGAICDKTATVWGNPSSFANWDGLHMTEKAYNVIANGVLNGPFADPPLLHSC >LPERR01G21200.1 pep chromosome:Lperr_V1.4:1:18845110:18846906:1 gene:LPERR01G21200 transcript:LPERR01G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSPAAAAPACARSLADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHTLIAHHLLTFYARCALPGLSLRAFLDLPPPPSPPAWSSLISSFAQNGLPYAAFDAFRRMLAAGVPATGRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLDDARRLFDEMPERNVVSWSALICGYADAGLHSSAMEIFRLALEEAVPVNDFTVSCIVRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYRVFGEAHERNLGIWNAVLIASAQHGHTSAAFQRFMEMQNAGFRPNYITFLCLLTACSHAGLVDEGKRYFSLMKEYSIEPQAEHYAAMVDLLGRVGRISEALELIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFDTGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPKSNEIYNVLEKVGEKMEADGYVADTSAVVKDVDKDEKQATVRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYISKCTGRIIILRDNRRFHRFEAGVCSCGDFW >LPERR01G21210.1 pep chromosome:Lperr_V1.4:1:18849001:18851509:1 gene:LPERR01G21210 transcript:LPERR01G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSLRGLGNIARRWRELNGVSYWKGLLDPLDFDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDGFMVKSLSKAAWSKQSNWMGFVAVATDEGKEVLGRRDVVVSWRGTIRMVEWMDDLDISLVPASEIVLNEIKRLQDVYQHEETSITITGHSLGAALATITATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRVRNSPDVVPNWPKRGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFNLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDE >LPERR01G21220.1 pep chromosome:Lperr_V1.4:1:18851810:18855959:1 gene:LPERR01G21220 transcript:LPERR01G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCDMASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYESFIGERRSPNAGMCRYRRADLFRRVDVSHPGWYTATRYVYATANADVHGKVLLRPLCREGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLAPASAAGILGPEGADGTDPTVHRGYLSLYTSADQSSELSKQSARMQRRKIATRTGSSCHNSQVKAQLQLRIAEVLTEIARLMDKYKDEETSITVIGHSLGATLATLNAVDIAANSYNTSSLSRPGKGRTPVTAVVFGSPRTGDRDFRNVFHRIPDLRMLRVRNRPDRIPHYPPAGYADVGVELLIDTRRSPFLKEHGSESQSHDLECHLHGIAGWQGDHRGFELVVDRDVALVNKFDDCLADEYPVPVGWKVHHNKGMVNGPDGRWVLQDHEPDYDDDEDDQS >LPERR01G21230.1 pep chromosome:Lperr_V1.4:1:18858367:18863722:1 gene:LPERR01G21230 transcript:LPERR01G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRFGFSPAVAMLCYAALMVAAVGLGSRPVYGCYSRIFSFGDSLTDTGNYVRLTSSGRNPSPYGAPPYGKTFYGRPTGRASDGRLVIDFIAEEFGLANVTAIQVGTGMADFQHGANFAIISSCANNASFFARRGLDITPFSLDTQMIWFRSHLQQLTLQNAGGGNVLSDALVAMGEIGGNDYNFAFNKGVPRETVRAFVPEVVEKLAAAMEELIGMGAKAFVVPGNLPFGCSPLYLQRFRGAARSEYDARTGCLSWFNRFAEYHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFQAPAKLGFTNALRACCGNQSVPCGNAGCTVCDDPSTYGSWDGTHPTEAVYKIIADGVLHGPHASPVPLASTCSPS >LPERR01G21240.1 pep chromosome:Lperr_V1.4:1:18861395:18861652:-1 gene:LPERR01G21240 transcript:LPERR01G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLRMKEERLGQLGEQVLARGTGEACGPCSTPSAIILYTASVGCVPSHEPYVDGSSHTVHPALPHGTLWFPQQARNAFVNPE >LPERR01G21250.1 pep chromosome:Lperr_V1.4:1:18877104:18881084:1 gene:LPERR01G21250 transcript:LPERR01G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLLVVVLLATTVTDVARAQKWREVSGGKDKWDGLLDPLDADLRRDIIRYGELAQATSDAFIGDPASPFAGASRYAPDAFLRNLRVSNPDAYRVTRFIYATSSIRLPDAFMTRPSPAAGAAAWTGESNWMGYVAVAADAVAVAHGRREIVVAWRGTKRAVEWASDLDITLVPADGVVGPGAGWSQPSVHRGFLSVYTSKNFSSRFNKLSAREQVLAEITRLLHMYKNENCSITITGHSLGAALATLNAIDLVANGYNVRGSSRVPVPVTAIALASPRVGDEQFKKAFDSTPGVSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRKSPYLKNPVGPAQWHNLESYLHAVAGTQGAGDSAGFSLVVDRDVALVNKEVDALRDEYLVPTSWWVEKNKGMVQNASGRWVLQDHEEGNLAM >LPERR01G21260.1 pep chromosome:Lperr_V1.4:1:18897702:18907068:1 gene:LPERR01G21260 transcript:LPERR01G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSSKEPKSPAPTAAHAGRTPETPGRTSSSSSSGSPAPGGSASKAASFARSFGVHFPRSSAQVQPARTPPEVAELRRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKETAARLESENMRLCAELDAAVMEVTSRKQRIVHMEKEMEELKKQQEAAAADADDCSSTASVSHEQSESSSAEAIPANLVQRGPSIPVPPPPPMPPATFKSKSYSASSRASPPSTSAPSPSSSSSTSTSLAYSCSSSDTVTTPTNRKPELSKLPPIPPPPPMPTLSVCGSAAAPPPPPPPPPARRTLGAISPAASSSRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVEIEDVVTFVKWLDNELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLVGEATSFRDDARQPCAAALKKMQALFEKLEHGVYNLARVRDGATGRYSRFQIPCEWMQQDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHMRQQKLVART >LPERR01G21260.2 pep chromosome:Lperr_V1.4:1:18900202:18907068:1 gene:LPERR01G21260 transcript:LPERR01G21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFEKLEHGVYNLARVRDGATGRYSRFQIPCEWMQQDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHMRQQKLVART >LPERR01G21260.3 pep chromosome:Lperr_V1.4:1:18897702:18901495:1 gene:LPERR01G21260 transcript:LPERR01G21260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSSKEPKSPAPTAAHAGRTPETPGRTSSSSSSGSPAPGGSASKAASFARSFGVHFPRSSAQVQPARTPPEVAELRRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKETAARLESENMRLCAELDAAVMEVTSRKQRIVHMEKEMEELKKQQEAAAADADDCSSTASVSHEQSESSSAEAIPANLVQRGPSIPVPPPPPMPPATFKSKSYSASSRASPPSTSAPSPSSSSSTSTSLAYSCSSSDTVTTPTNRKPELSKLPPIPPPPPMPTLSVCGSAAAPPPPPPPPPARRTLGAISPAASSSRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVEIEDVVTFVKWLDNELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLVGEATSFRDDARQPCAAALKKMQALFEKLEHGVYNLARVRDGATGRYSRFQIPCEWMQQDTGIVSQQENKGPLRLDSRVVLRSVSASTGTARPIRICLRWLLGSG >LPERR01G21260.4 pep chromosome:Lperr_V1.4:1:18900501:18907068:1 gene:LPERR01G21260 transcript:LPERR01G21260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFEKLEHGVYNLARVRDGATGRYSRFQIPCEWMQQDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHMRQQKLVART >LPERR01G21270.1 pep chromosome:Lperr_V1.4:1:18904665:18909158:-1 gene:LPERR01G21270 transcript:LPERR01G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRLPCRRLLFLAAAVACSMLAGGAAASMLETLGVRRHGGSPRHNATRQHGGRGASARSGMASCNMFQGSWVYDESLPMYDTASCPFVEAEFDCQKYGRPDKQYLKYRWRPTSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAASSRTTYSRSTPLSTVTFQDYGVSVAYYRSTYLVDIVDQSIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDYVQDGAQVMKDMDRLTAFSKGMLTWSRWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSAYSAGPVPAQSAVRNAIGGMSKPVFLLDITLMSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDAWNQILWEQCQAR >LPERR01G21270.2 pep chromosome:Lperr_V1.4:1:18904912:18909158:-1 gene:LPERR01G21270 transcript:LPERR01G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRLPCRRLLFLAAAVACSMLAGGAAASMLETLGVRRHGGSPRHNATRQHGGRGASARSGMASCNMFQGSWVYDESLPMYDTASCPFVEAEFDCQKYGRPDKQYLKYRWRPTSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAASSRTTYSRSTPLSTVTFQDYGVSVAYYRSTYLVDIVDQSIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDYVQDGAQVMKDMDRLTAFSKGMLTWSRWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSAYSAGPVPAQSAVRNAIGGMSKPVFLLDITLMSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >LPERR01G21280.1 pep chromosome:Lperr_V1.4:1:18914227:18916257:-1 gene:LPERR01G21280 transcript:LPERR01G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPSRSIAERWRELHGEDHWKGLLDPLDADLRLSIIGYGELAEATNDAFIREAWSPHMGASRYSRDRFLDKAQVSSQLAGLYDVTAFFYATAGAGGVPMPFMATAESNWMGYVAVATDAGVAALGRREVVVAWRGTVRPMEWLNDMDFTLVSAAGLLGGGRSPAPRVHRGWLSIYTASDPASKYSKLSAREQISNEIKRLMDKYKDEDTSITVVGHSLGAAVATLNAADIVSNGMNRHDACPVTAIVFASPRVGDAGFKKLFDELPNLRLLRVRNSPDVVPKYPPMGYVDVGVEIPIDTGKSPYLKSPGNQAVWHDLECYLHGVAGAQGKKRGGFKLEVDRDVALVNKNVDALREVYHVPPSWGVQRNKGMVRGADGHWKLMDHEEEETAHNN >LPERR01G21290.1 pep chromosome:Lperr_V1.4:1:18921904:18926066:1 gene:LPERR01G21290 transcript:LPERR01G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSSTGLAHPKTLNPVSKSAAAGSVSFPSAQPPCLLAAFAGRRRAVAAKVSSPQVIGTTMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVVGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIKSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPTGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >LPERR01G21290.2 pep chromosome:Lperr_V1.4:1:18921904:18926461:1 gene:LPERR01G21290 transcript:LPERR01G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSSTGLAHPKTLNPVSKSAAAGSVSFPSAQPPCLLAAFAGRRRAVAAKVSSPQVIGTTMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVVGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIKSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPTGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >LPERR01G21300.1 pep chromosome:Lperr_V1.4:1:18926873:18927283:1 gene:LPERR01G21300 transcript:LPERR01G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAEVKKGHDEGMKMAASLLEDFGLPLGLLPLADVIEVGFVRATGYMWIAQRKKVEHRFKMVSKQVSYDVEITGYVKDRCIKKLKGVKAKELMLWPPVNEITVDHPPTGKIHFKSLAGVTKTFPVEAFAAGE >LPERR01G21310.1 pep chromosome:Lperr_V1.4:1:18929247:18930632:-1 gene:LPERR01G21310 transcript:LPERR01G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILIVLTNAQYFGLVGVAVPRATKLASSAPVVSVMKYCDIFRGEWVPDAEAPYYNHKTCYMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFVRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDISANPSTQNKVYHYRAYNFTISMFWSPFLVRAREPDHDGPAHTGHWSLYLDEPDQSWVSQISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLENVRGRVIVRMLSPMSHFENGTWDQGGDCKRTEPLRSNQTVMEGRDLHFYTAQMEEYRAAEKVAQGKGRRMMLMDATAAMLMRPDGHPSRYGHLPGQKVQLYNDCIHWCLPGPIDIWNDMLFQMILV >LPERR01G21320.1 pep chromosome:Lperr_V1.4:1:18938886:18940182:-1 gene:LPERR01G21320 transcript:LPERR01G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFISALVVLSCFKSVPYLSSMDSSDLPSYMSYFESPIPKPRCDISQGEWVPDESSPQYTNETCSYIQEHQNCMMYGRPDLEFLRWRWKPAGCELPRFDPDKFLWLVGNKTLAFVGDSLSRNHMQSLLCLLSKVAKPKDVSVTGKTDPNKILYYEGYNFTIYIFWSPFLVRSEESTESPGAFKLYLDEPDCKWFARVARFDYVIFSGANWFTRPTMFYENGRLVGGSYVALDGNFTSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRVNETAMGGLDLDFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >LPERR01G21330.1 pep chromosome:Lperr_V1.4:1:18944944:18946458:1 gene:LPERR01G21330 transcript:LPERR01G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSLQRPRKPRCPSNPMLSLSPSLVCLLVLLPFLSLLLLHRSAFPSSCSPLLAHLTASSSSSRSSASSFAGGDLREIEFSWNHLPFRQSRPPAARLKIAVFSRKWPVASAPGGMERHAHTLHTVLAARGHRVHVFTSPPPHTEAAPPRSADGPQLHFLDGEPGVWRCDEAWKLYEAEAENDPFDVIHSESVAVFHRYARGVPNLVVSWHGISLEALHSGIYQDLARADDERMSPAFNHSLGQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSPRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWENRYMELGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDDEFGYMFAPNVESLLERLEAVVREGARRAAQRGRTCRGYAKTMFAATKMALAYERLFLCVKNDTFCGYPAQFD >LPERR01G21340.1 pep chromosome:Lperr_V1.4:1:18948369:18948623:-1 gene:LPERR01G21340 transcript:LPERR01G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIRGADHMTTRHGPPAVVKIIETVHIEADSAEFKSVVQRLTGKDAVAGGGSWQSSGYRKEETEEEAHGLSIYCSSARREEK >LPERR01G21350.1 pep chromosome:Lperr_V1.4:1:18960697:18974720:-1 gene:LPERR01G21350 transcript:LPERR01G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGRSSSSDAPLVAFGASDGVIRVLSMLTWKVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSETGRSRNDSLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAIIWPDIPSFAIYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYPQYIVISSLRPQFRYLGDVSIPFATGAIWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSLFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGVKPGLPNAAQAPTAAIGAPMAQGTPMVQGTPMVQGTPMTQGATGAQGAPLGEGAPAQTQNAEEAKPSEGTTAPDSSEKTAAPDNAEATAVPGNAESTAEPAAASGNTEATTAPATDPTSNPDTTTASAPADSNGTDPQAVTPGEVSNGAPSSEAPGTADKPSSTEPAPVPPVPDVPAV >LPERR01G21360.1 pep chromosome:Lperr_V1.4:1:18995989:19003017:1 gene:LPERR01G21360 transcript:LPERR01G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASGIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERKGDYLGKTIQIVPHITDEIQDWIERVAMNPVDGKEGPPDVCIIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLVPNILACRSTEPLEENVKAKLSQFCHVPISNIINLHDVSNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPRQPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEACAAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSVMKLPGANSTEFDPDTTSPCVIFMPEGSKTHMGATMRLGSRRTYFNVTTCKSAKLYGNARFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGTRMEIIELPNHKFFVGAQFHPEFKSRPGKPSPLFVGLIAASSGQLETLLQPSPIIVNPKPVPKPINGTVGPKKTMYPNGHAKKPLDSLIGNCEILSEALFEICFHGFA >LPERR01G21360.2 pep chromosome:Lperr_V1.4:1:18995989:19007389:1 gene:LPERR01G21360 transcript:LPERR01G21360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASGIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERKGDYLGKTIQIVPHITDEIQDWIERVAMNPVDGKEGPPDVCIIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLVPNILACRSTEPLEENVKAKLSQFCHVPISNIINLHDVSNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPRQPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEACAAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSVMKLPGANSTEFDPDTTSPCVIFMPEGSKTHMGATMRLGSRRTYFNVTTCKSAKLYGNARFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGTRMEIIELPNHKFFVGAQFHPEFKSRPGKPSPLFVGLIAASSGQLETLLQPSPIIVNPKPVPKPINGTVGPKKTMYPNGHAKKPLDSLVYFANGNVIHT >LPERR01G21360.3 pep chromosome:Lperr_V1.4:1:18995989:19007389:1 gene:LPERR01G21360 transcript:LPERR01G21360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDGKEGPPDVCIIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLVPNILACRSTEPLEENVKAKLSQFCHVPISNIINLHDVSNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPRQPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEACAAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSVMKLPGANSTEFDPDTTSPCVIFMPEGSKTHMGATMRLGSRRTYFNVTTCKSAKLYGNARFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGTRMEIIELPNHKFFVGAQFHPEFKSRPGKPSPLFVGLIAASSGQLETLLQPSPIIVNPKPVPKPINGTVGPKKTMYPNGHAKKPLDSLVYFANGNVIHT >LPERR01G21360.4 pep chromosome:Lperr_V1.4:1:18995989:19007389:1 gene:LPERR01G21360 transcript:LPERR01G21360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEACAAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSVMKLPGANSTEFDPDTTSPCVIFMPEGSKTHMGATMRLGSRRTYFNVTTCKSAKLYGNARFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGTRMEIIELPNHKFFVGAQFHPEFKSRPGKPSPLFVGLIAASSGQLETLLQPSPIIVNPKPVPKPINGTVGPKKTMYPNGHAKKPLDSLVYFANGNVIHT >LPERR01G21370.1 pep chromosome:Lperr_V1.4:1:19004263:19005388:-1 gene:LPERR01G21370 transcript:LPERR01G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWLLEQITGVPETSPLSGYLSTYDFILSVDGLNMTRSDEWMKMLAQDNVEKTRSLDLLEGYESHGATGSRKGYCVPNSWMDASKNLWKINDNLSCPDDLLFFQKMSGKSSDKKEAEEKYCLIANDVVKLKKCGNGWQGTEDDRNNFAACLEDEYCLMPVLGPGISWIEISYARPYSLECVQKEGNSSLMHGANNNPGLSPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLLIADAPRVLEHGLSSLFRVSAALAVVNCLPQEFGK >LPERR01G21380.1 pep chromosome:Lperr_V1.4:1:19008852:19014261:1 gene:LPERR01G21380 transcript:LPERR01G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSLRNPKVMVLSVALPLPPPEAVLYDGLPLGAIEAIKAAYGLVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASRTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELKGVPSDALNANAGFVTFVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGGSFKRLSLKNGSNSRH >LPERR01G21380.2 pep chromosome:Lperr_V1.4:1:19008852:19014261:1 gene:LPERR01G21380 transcript:LPERR01G21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSLRNPKVMVLSVALPLPPPEAVLYDGLPLGAIEAIKAAYGLVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASRTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELKGVPSDALNANAGFVTFVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGGSFKRLALTL >LPERR01G21390.1 pep chromosome:Lperr_V1.4:1:19013770:19014021:-1 gene:LPERR01G21390 transcript:LPERR01G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTRTDLRSREDNGAIQIEKEQNKVEEPAARKVDDRAFDAKQVGHGAGADAGATGTGA >LPERR01G21400.1 pep chromosome:Lperr_V1.4:1:19014878:19018503:-1 gene:LPERR01G21400 transcript:LPERR01G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAVWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAEELRSRL >LPERR01G21410.1 pep chromosome:Lperr_V1.4:1:19021304:19031558:-1 gene:LPERR01G21410 transcript:LPERR01G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESDGSGESGPPACGVAVIGGTLTLMVWVTVLMAPIINGPPDPNFSVRLVGVEGLDPRLPGPASPVFDIAVDVDSVSPRYQVCGGGHDDTMMRVSYHDIVLASALVPRFCIDGKMLEGCRADGVVVVKAKSAADSTIHDDLRNLIWTERNVLGKVNFNVNGNLGKVSVSAAFTVMVLWITAVGYFFVGTVQDIMHSRATPSFYLRIVGVEGLGLDPTSASSPGDHRAPPAFRLAVDVKGVGAGYAACLGGGWSSALRVSYHGMVLAWGEVPSFCVDGGQDGAGGSADGVATVHAKAESAVLLEEMHGMVRSEQRIMGKVVFEVEGYVAGLGYLRCKTPFFAGEHAGPMHSCEVRKADRRTPDLFLRLVGVEGLDPGGSSSPAFHLAIEVEQVQEGYQSCFGGDRLSMLHVSYHGIILAWGAVPNFCIDGKRLAQQWQSVVVTVDAKAERAVLREELRDLVWNERHIAGKVDFDVEGYVMGLGYLRCQTPFFEGNPTSTLYSCAISRAYIY >LPERR01G21420.1 pep chromosome:Lperr_V1.4:1:19025060:19028127:1 gene:LPERR01G21420 transcript:LPERR01G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLPLEVPDDEYKDLYALYFPDPAPENQQHAPDQPQEPDQPPPYANVASAVLSWGSAYLYISWGLRPVEGHQAQAEEADQ >LPERR01G21430.1 pep chromosome:Lperr_V1.4:1:19036840:19044198:1 gene:LPERR01G21430 transcript:LPERR01G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRGQRSEILTLKRCNAGGGAGVGEDEGDGGGERRPSKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAGASRAAAAAASAAETRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPLPAKSVHSAPKNEVPYRKGAAVDRSLTMSELVDEDDDDDYEEAERYRAGHNYVASRKYSVSLSTLTSVHDVYSYRHRKGLMKMQYKDEEEEEEEEEGEEEEKEEEEEEEELFYRKNNFVYGDIVWARLGKRQPVWPGVVADPAQPTAAEALPPQPRSGAVFCVMLFGWAAEFGDEKKFIWVRQGGIFPFMDYMDQFQGQTELSSCKPGDFQRALEEAFLADQGFLEVPMDCGTTGQPAVCQSFPADLQEHCKRALQCESCGNCFPNKEPNMMVYVMEQLACRQCAKILQSKEYCGDLRDNSYFCPYCRVKRNSNLSSKKTNCHEDRNDNSAQKSSKADKVAVICFDMEGTYLRDLDLISCHCGPCKGQKFLFNEWERHAGCRSKNWKSSIKIKDTLMPFGKWIEQHQASSCSTNPAKRSQKMKKQKLLDLLNEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRRCCLCPVRGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKVMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTKKISYCAHHRTPNPDNVLIVQTPAGTISSKKLVQNNGTVAASRLIRKDIPKDTVSEVEISENLSAARCRVYAKKALKRSQEGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDTDEADDRKVPCLCQTANCRKFMN >LPERR01G21430.2 pep chromosome:Lperr_V1.4:1:19036840:19044198:1 gene:LPERR01G21430 transcript:LPERR01G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRGQRSEILTLKRCNAGGGAGVGEDEGDGGGERRPSKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAGASRAAAAAASAAETRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPLPAKSVHSAPKNEVPYRKGAAVDRSLTMSELVDEDDDDDYEEAERYRAGHNYVASRKYSVSLSTLTSVHDVYSYRHRKGLMKMQYKDEEEEEEEEEGEEEEKEEEEEEEELFYRKNNFVYGDIVWARLGKRQPVWPGVVADPAQPTAAEALPPQPRSGAVFCVMLFGWAAEFGDEKKFIWVRQGGIFPFMDYMDQFQGQTELSSCKPGDFQRALEEAFLADQGFLEVPMDCGTTGQPAHCKRALQCESCGNCFPNKEPNMMVYVMEQLACRQCAKILQSKEYCGDLRDNSYFCPYCRVKRNSNLSSKKTNCHEDRNDNSAQKSSKADKVAVICFDMEGTYLRDLDLISCHCGPCKGQKFLFNEWERHAGCRSKNWKSSIKIKDTLMPFGKWIEQHQASSCSTNPAKRSQKMKKQKLLDLLNEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRRCCLCPVRGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKVMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTKKISYCAHHRTPNPDNVLIVQTPAGTISSKKLVQNNGTVAASRLIRKDIPKDTVSEVEISENLSAARCRVYAKKALKRSQEGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDTDEADDRKVPCLCQTANCRKFMN >LPERR01G21440.1 pep chromosome:Lperr_V1.4:1:19046958:19052737:-1 gene:LPERR01G21440 transcript:LPERR01G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSAERSESEGEEAGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVTDRWNSGVCEDHLVEKRSKGDVFGPADVDKLAEKSKGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRDSSGQYRDDRDRERERDREKEREREKEKEWDRQKERERERGRDREREREREKERERERERERDKERDRERDKERERERDRERERERQKDREREKKEYDSKHERYDDGSAKKNVSKASRAEDDGYSYKREIEINVSTAKEKYSNTEKDLDRHNRRKDVSEDKEKWPTDNRDSDDRKTLSRHDHSKVRSSKEQRFDDEKYKEKYKDDYEKDKRQQDDKYLDERLTRDYQSDRADYKSAKDGHRTSENHYRKDVVQDGDHCDDYGSRYKENRGRKRPPEENDDQYDLKPSSAREQRGSVDKSSGSGRLDSLVERARSDHRHPENVDSSPSKIHPRSSPGPNTYHEKDQNWNGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQPREMQLEISSSSQYDRTPRKDTHPSPKNLSERSPTEQRFSGRLSAGRNIDNKAERSGLTKYRDRDGDLSLERSGHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFMGSHDDDRRPQSGERRFHQKRNDMNSGRGHGHAWNNPPSWPSPVPNGFVPMQHGAPGFHPPVHQFPAPPMFNLRPQMKLNQPGVSYPMHDSVDRFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFATEPYMYGRQEWDPNRQHSVSRGWESTGDALKGQNELHEPEPPVTKKEPDSSAKPASETPSGQYNLNTRIEQKDIDQTSEKNGLKDDMKSSFRNLGAPSGAPLMSSMPSNNGTAIFSKSYLSRISVSQDLVESELYKRCISLVGDMGIANSPQVVQTGLVQNNGSFVNLTRKLGTRTMGSLNRALALHKNQTSKTVSSAGSIFETEGKMSLLLEDNHDDKEMMDHTASKELLVDNSEPPLVADTMEEGLPLKQEPDGGTRMTIPLESTEPGNMEAPPAIIEPDEGTEEVMAPKVAEPDVNIEEVAPPTIIQPDEDIEEVKPEKGIEEVVPAEPEKDMEDVAPQEIVEPAKDGMDEVEPPAIAVPAVGLGNGVPEVNVERADNVQEKPPAIGDLCDGMEVMLPPVPETIQGKEDSPAGASSPEDQEIVSAMHAVIEKGMEGEADNLIDDNPGDGEVNASSFELDVTSGADDCEALVGSRVNLSRIPNSPESTH >LPERR01G21450.1 pep chromosome:Lperr_V1.4:1:19057695:19063575:1 gene:LPERR01G21450 transcript:LPERR01G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAARPSTGVGLARPSHGGVSARPCRGRRLRVEASSSASASSSTPVPAASNEGVGAGPSCPVVRFGMDDFAVADRVSVGLHGRSDELIFEATVRDPSSELYGSTVVLRQLMSSQAKRRGRRALEVVLKKLARRQMMYHSYAMQVHGYVTPGDDGVQDDCPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEDQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHIHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDSDPKSSTLTSNDERRKMMIAFDMRCVGFIMGKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCQNSPSGNIGLQMLDRHWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGWHQRRRLAYFVELMEVLNPNPRTENWLDILPGRWRLLYCTGRHIGLTLRQPSPRILITDVFLTFEQNTESIDPVFSLTSDIGFKIMVESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGNDSRVTSSRSSRRYLRGKWRKVSKMKELPASLPTVNISMDELDVSMSCNSTLNVKSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALLFTRSNCTADS >LPERR01G21460.1 pep chromosome:Lperr_V1.4:1:19068447:19077125:1 gene:LPERR01G21460 transcript:LPERR01G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVEVEQGHPAADGRPSVGPTYRSAFARDGFPPPVPGLESCYDIFRMAVEKYPDNRMLGHREIVDGKAGAYVWKTYKEVFGLATKVGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQAGDQEFDLPVNTKTDICTIMYTSGTTGDPKGVLISNASIMCLIAGVDRLLSSVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFRGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKISSGGFIKSTLFNLAYKFKQFRMMRGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVRLESVPEMDYDALATRPRGEICIRGETLFSGYHKREDLTKDVLINGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAVANGISGDFEALCDNPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKV >LPERR01G21470.1 pep chromosome:Lperr_V1.4:1:19085468:19090926:1 gene:LPERR01G21470 transcript:LPERR01G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSRLDHAATAVAVRDVLAGAGGDRKVGVVSRSARRRRLELRRLRRTASAAAEDEAAKRVRPASEYSSSDSSESGKVAPEPNPDHAAGRWPACVSHGAVSVIGRRREMEDAFFVAAPFMAEEEDEDEEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRERRLVHEKEEDGERRWKEAMVACFARVDGEVGGEEDEADAGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVAVPLSSDHKPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNEVACKIARNCLSGRTASKFPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLKSRTTASRQNGRR >LPERR01G21480.1 pep chromosome:Lperr_V1.4:1:19096458:19097577:-1 gene:LPERR01G21480 transcript:LPERR01G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMERRRRRRLAQWSGAASWKTLPKWSKQVRVSSVQDIGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADADPLLFDVVYQGNHTCLQSRSTSRPAAEQQQQPPHEQNGGVSVAFIKPAKDEAGLVHEAPFSFNSTAHDGGAAGALLHGGCGVVTTAASMPSPFVSMATSDCVYDQLGGGSMAGVRNVPDVEFATEAAKSSMADDIDFIFSLDDDADFLDKYPGYF >LPERR01G21490.1 pep chromosome:Lperr_V1.4:1:19109521:19113464:-1 gene:LPERR01G21490 transcript:LPERR01G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKHKLRKSRHLLENKKGVDDNVQNILRMIDEENESAEIEPSDDSGNALKKSKLSSLVKGFHEEYEYLHKQCKQLISKLENVGHSSSGSDSSDSDAEGDRSDNDRTSKVDALSEENGWKQNLVEDREGKEQSLELVDKLKQNTEKQATEISDLKQLLDKAIKDKEATRLELSSDVANLTSENENLKLLIETAEREAGESHKTIALMENETRVLSTEKQIIEKERDDLKISIVDLENKKEDLSNQLQDTMEKCTFLSSQLEKAQLAEEEVKTLLSENEKMKNENLMLSRENDNLKAYGQNLDTECSQLKETIAETKAENNTLTEEKHLLESKLQVLGVNIDGLIAEKEELMNNMNIERGAAMEEKEKLVSEHSKCLNELDKAQSNVKELESTNGALNDEIAAIQKEKNALESELQQLEASFKHLGNNLEQELERISIMQKKNEDLELVNSNLQNDLTMVQGQKNEAVASTVELGNKLEEQSQQISNLQEAIENLEVAKANMYNEVTLHKEEKSAALLQVQQLESCLKNLECELEQKQNQVLALQQANEEVLEKNSSLERQLEDARSKLQGEIIVLQEEKERALDNLQQSNTSIKTLEEELERQREQGSILQLANEDLHKSVANLEKELEDAKVSSHAEILAQQEEKNKALSELEQSEISIKNLMMELKQGVEKISILHLSNEDMKDNNCRLNQQLEETRTSLRAAIAALREEKDAVQLELQQSLASARNLETVLQKQTENNSTLQQANNELKKNTHTLTEQFEVIKIELQEEVKMAHKEKNTTLTQLEKSKDSIKNLEIEVVLLKDELSIQMKNNSSLNKQLEEEILKASNLNEELETFQVETASKINDMNTKTEDLVKTIDLLSTQKTKVEENMRIITEACMEKMSFMNDFEDQVNKKITDREISITCLQQSLRGILGSCQRLQYAYGEVSTTASHLEVLRRNHIEQIDKLEDKYKEILEKYHHLEEEKISTNKENRKLHDHVQELEAQLQLAKQKLRVTEAESKSKEDSYVTAVETSHREVQYLEQKIHKFSGQISSLEKTLIQIKGTAESGLCTLVDQLEKLELHFNKSFSQYTARSFACSEELKLLRNRLHHHLAEQKELVMENDLLGIRLREKENVLSEMVRSASETKEKMAQLENTIDEKEEEISARVQEKREAIKQLSDAIIYHKNNSDDLIRYIRSHNRPRLPFCL >LPERR01G21500.1 pep chromosome:Lperr_V1.4:1:19124177:19127940:1 gene:LPERR01G21500 transcript:LPERR01G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELDPVAARQAELAHLPGPKLVDHLCTTHRRADYEAVARVLAARDRSLEAALAEIEDLRRKCDALLDSQRRPPPREELAEEEEKVNPRPAPAPARREDHGGGGKGSEEGEVKDAHFIDLSSDDEVETAGRGAGSTSRAPIREASEDAEGEADEEDNLPLCHYWKKRRRGEPGAVELGKGDSGKSPHNSVDSVGNDPRNCTPARTNVAGSLLTEQMVSSPGDSMVAAFLQSKGTVQPENGGGEKMPRAVLHSPAQVVSSILQKRKFGNKDGPARVPGDTTPSQAGSTRPVTPKKEDASVVQGDIRSSQARSTRLLTPKKEGSSTVPGDTTPSQAGNLSPSATTRRWESDERMIDSLKGNMELTTEAFCALYRRRKLVVKSTEGQLTGLSRIDAFRAIKVAEFLLDGKIQGPLKRTAEELVSYDATGPSFIEKLTLSYSKELFDIYKNKEDPSRWQTGSFLNLSIRRTGPSTP >LPERR01G21500.2 pep chromosome:Lperr_V1.4:1:19124177:19127818:1 gene:LPERR01G21500 transcript:LPERR01G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELDPVAARQAELAHLPGPKLVDHLCTTHRRADYEAVARVLAARDRSLEAALAEIEDLRRKCDALLDSQRRPPPREELAEEEEKVNPRPAPAPARREDHGGGGKGSEEGEVKDAHFIDLSSDDEVETAGRGAGSTSRAPIREASEDAEGEADEEDNLPLCHYWKKRRRGEPGAVELGKGDSGKSPHNSVDSVGNDPRNCTPARTNVAGSLLTEQMVSSPGDSMVAAFLQSKGTVQPENGGGEKMPRAVLHSPAQVVSSILQKRKFGNKDGPARVPGDTTPSQAGSTRPVTPKKEDASVVQGDIRSSQARSTRLLTPKKEGSSTVPGDTTPSQAGNLSPSATTRRWESDERMIDSLKGNMELTTEAFCALYRRRKLVVKSTEGQLTGLSRIDAFRAIKVAEFLLDGKIQGPLKRTAEELVSYDATGPSFIEKLTLSYSKELFDIYKNKEDPYFCYP >LPERR01G21500.3 pep chromosome:Lperr_V1.4:1:19124177:19127930:1 gene:LPERR01G21500 transcript:LPERR01G21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELDPVAARQAELAHLPGPKLVDHLCTTHRRADYEAVARVLAARDRSLEAALAEIEDLRRKCDALLDSQRRPPPREELAEEEEKVNPRPAPAPARREDHGGGGKGSEEGEVKDAHFIDLSSDDEVETAGRGAGSTSRAPIREASEDAEGEADEEDNLPLCHYWKKRRRGEPGAVELGKGDSGKSPHNSVDSVGNDPRNCTPARTNVAGSLLTEQMVSSPGDSMVAAFLQSKGTVQPENGGGEKMPRAVLHSPAQVVSSILQKRKFGNKDGPARVPGDTTPSQAGSTRPVTPKKEDASVVQGDIRSSQARSTRLLTPKKEGSSTVPGDTTPSQAGNLSPSATTRRWESDERMIDSLKGNMELTTEAFCALYRRRKLVVKSTEGQLTGLSRIDAFRAIKVAEFLLDGKIQGPLKRTAEELVSYDATGPSFIEKLTLSYSKELFDIYKNKEDPYFCYP >LPERR01G21510.1 pep chromosome:Lperr_V1.4:1:19128022:19130525:1 gene:LPERR01G21510 transcript:LPERR01G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPICRASVLVMAIVAMLVTLANAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >LPERR01G21520.1 pep chromosome:Lperr_V1.4:1:19132999:19134864:-1 gene:LPERR01G21520 transcript:LPERR01G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGGPNLAVLEALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRIYYQPLDSTEKKPGALSLSANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLVLMAACAIASGLSFGHTRRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLHYNPAPSFKANPNLSRQLPAADYVWRIILMIGAVPAVATFYWRMKMPETARYTAIIDGNAKQAASDMQKVLAVEIEAEQEKLAKFNAANNYPLLSVEFARRHGLHLIGTATTWFLLDIAFYSQNLTQKDIFPAMRLVDNAADVNALKEMFQISKAMFLVALLGTFPGYWVTVALIDKMGRYVV >LPERR01G21530.1 pep chromosome:Lperr_V1.4:1:19138384:19139289:-1 gene:LPERR01G21530 transcript:LPERR01G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEDEGCGSGGGRRMGSMVRELPPAPAPMVQQAGFAPAAALPEQCSGGDGDMGRRPVGGGCTAGARRRLTKGGGAGAQSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDSAAIQLRGPSATTNFSTSTISAGALDPAAVGYESGAESSPAVSSPTSVLRKVPSLSSLAEDKDDYEAGHCEPAAAGSSSLTVLEELGEFVPFEDAPVYGGSSFWDYEPKSGFLYAEPSSPDAPWDAGATSASWASPVQDQNDYFQDLRDLFPLNPLPAIF >LPERR01G21540.1 pep chromosome:Lperr_V1.4:1:19155116:19159420:-1 gene:LPERR01G21540 transcript:LPERR01G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLHVSQEDKQGVGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRCRQP >LPERR01G21540.2 pep chromosome:Lperr_V1.4:1:19155116:19158886:-1 gene:LPERR01G21540 transcript:LPERR01G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRCRQP >LPERR01G21550.1 pep chromosome:Lperr_V1.4:1:19160708:19163104:-1 gene:LPERR01G21550 transcript:LPERR01G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCKSQKIYIVSLEEDFPLFSNPKIERSLSYEAKEVFLSALVNEGRAEWMDKGHKKCLILWMRIQDWANYILNFVKENGLEDNVMTVEEIRSGIETRGTELEGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >LPERR01G21560.1 pep chromosome:Lperr_V1.4:1:19166545:19170571:1 gene:LPERR01G21560 transcript:LPERR01G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEEEVAVILAPVAVYWLYSGIYEALGSVRALDSYRLHTRKDEEKKNMVSKKDVVKGVLLQQAIQVAVSLAMLKLRSEQDGVAVDAKGAQLSTPSSSSSSSLLSTPSSSSSGHHRVVAPYAFAAQYNHPVDGVLTETLSGAAAYLASGLPPRAAAAFFVFAAVKGIDDHCGLLLPWNPLHAAFENSAAYHDVHHRLGGGRRNLSQPFFVHWDRLLGTHAGYTVREKNSGGDGGGLEAKAVRKDRDA >LPERR01G21570.1 pep chromosome:Lperr_V1.4:1:19171156:19174594:-1 gene:LPERR01G21570 transcript:LPERR01G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYAEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNERGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRIWAVDDEVPPLNQNDNNCKASVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPNVIAVLDHEKKRTFIVRKEGLPDTVVWNPWDKKSKTMSDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >LPERR01G21570.2 pep chromosome:Lperr_V1.4:1:19171158:19174556:-1 gene:LPERR01G21570 transcript:LPERR01G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNERGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRIWAVDDEVPPLNQNDNNCKASVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPNVIAVLDHEKKRTFIVRKEGLPDTVVWNPWDKKSKTMSDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >LPERR01G21580.1 pep chromosome:Lperr_V1.4:1:19178760:19182230:-1 gene:LPERR01G21580 transcript:LPERR01G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALPYFDWSNMQAYYGPGILPPTFFGPGIAPGHTPPPFIWGPQPLVPSSFGKPYAAIYPHGGAKSVNSKENCSNKKMKEIDGAAVSTGSGNSEKTSGDCSLEGSSDGNNQKASGTPKKRGIEDRPKSDDKKAINVSPGMETGGASTPNDRPSERGTLRNLCIPITAIKPDVSAASDFRIIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTEAEPDDAQEVPPVKPTAQPARDVENFLSIIEKTSASRSSGHMDHAKPKLRQLLGTGLATDAVAAR >LPERR01G21580.2 pep chromosome:Lperr_V1.4:1:19178760:19182224:-1 gene:LPERR01G21580 transcript:LPERR01G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALPYFDWSNMQAYYGPGILPPTFFGPGIAPGHTPPPFIWGPQPLVPSSFGKPYAAIYPHGGAKSVNSKENCSNKKMKEIDGAAVSTGSGNSEKTSGDCSLEGSSDGNNQKASGTPKKRGIEDRPKSGMETGGASTPNDRPSERGTLRNLCIPITAIKPDVSAASDFRIIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTEAEPDDAQEVPPVKPTAQPARDVENFLSIIEKTSASRSSGHMDHAKPKLRQLLGTGLATDAVAAR >LPERR01G21590.1 pep chromosome:Lperr_V1.4:1:19188700:19194002:1 gene:LPERR01G21590 transcript:LPERR01G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAILLRCRKEDHHHVESVLHSAKQEYASKAEVHHPEILVDHDVYLPPAPSSHDSHEMFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQATA >LPERR01G21600.1 pep chromosome:Lperr_V1.4:1:19201283:19205467:1 gene:LPERR01G21600 transcript:LPERR01G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAVVAPPQIRQFSTAAAAVAPLPTSPVSPMRPRIRNDVVTSVLAALSPHRRPLCGCNFFGVEEAESMTHVAATATAVVARDHIFILFGYQIFNFI >LPERR01G21600.2 pep chromosome:Lperr_V1.4:1:19201254:19205467:1 gene:LPERR01G21600 transcript:LPERR01G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAVVAPPQIRQFSTAAAAVAPLPTSPVSPMRPRIRNDVVTSVLAALSPHRRPLCGDFCLPDEILPRPQPKDVTLILIGCNFFGVEEAESMTHVAATATAVVARDHIFILFGYQIFNFI >LPERR01G21600.3 pep chromosome:Lperr_V1.4:1:19201254:19202057:1 gene:LPERR01G21600 transcript:LPERR01G21600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAVVAPPQIRQFSTAAAAVAPLPTSPVSPMRPRIRNDVVTSVLAALSPHRRPLCGDFCLPDEILPRPQPKDVTLILIGCNFFGVEEVIFLNMDVTT >LPERR01G21610.1 pep chromosome:Lperr_V1.4:1:19227284:19228171:1 gene:LPERR01G21610 transcript:LPERR01G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDEEAGLSLPEGERILEVTLISAQGLKPPSGLRRRLLQPYAVAWVDAAHRLQTPPDRAGGVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPTGGWHIGGDSLVGSARFLLGDHCLLSRPIGSPSMFAVGVRRPSGRVHGLLNLAASLVAAPPSPAASLALRSSPAVSLSALSTAPIPAGRVLRVLNRSFATPPQSPTVLTPKKQQIAAKPSKKGADKQDVAAKLDNNGADDGSDEEREESREMGGVVFCGPCVLPLPRKIHISPSDENLQAFASIFSGGVGIIKRSPRH >LPERR01G21620.1 pep chromosome:Lperr_V1.4:1:19240553:19244005:1 gene:LPERR01G21620 transcript:LPERR01G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMDNNSPWTALSIDHIRLSAFNFRIVSEDNNEGKEFPFSLDMVVPDDILERIFTFLPIVSMLRSTAVCKRWYDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDNRNAISVSNPITKDCTRILEPPGAKFADYSTIAIKVDRSSHTYTITLAKSKQVPEDYVRWDFSLYKYDSRSNSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNVDTRHSIIMYDLIAGPPKASLMASSIPVPCSLTCGRLVNLREKLIMIGGIAKHNRPDIIKGIGIWELHNKQWQEVGRMPHKFFQGFGEFDDVFASSGTDDIIYIQSYGATALLAFDMKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITP >LPERR01G21630.1 pep chromosome:Lperr_V1.4:1:19249124:19251093:1 gene:LPERR01G21630 transcript:LPERR01G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLKFAPLLALLLIAAIAVTSRAGDIAVYWGQNGNEGSLADACSSGNYGIVLVSFLTTFGNGQTPVLNLAGHCNPDGGGCTGQSSDIQSCQSQGVKVLLSLGGAVGNYGLADTQDAQSVADYLWDNFLGGSSSSRPLGAAVLDGIDFDIENGQSAHFDELANFLKQRGVMLTAAPQCPYPDASLGPALQTGLFDRVFIQFYNNPQCQYAGNGNAADMVNAWNTWTSSVNAGSFYVGVPAAEAAAGSGYVSPDDLKSVVLPAVQGNAKYGGIMVWNRFYDVQNNYSPQVKSNV >LPERR01G21640.1 pep chromosome:Lperr_V1.4:1:19253690:19260898:-1 gene:LPERR01G21640 transcript:LPERR01G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVAAAARAAAAAAAAAAGGRPLCGAGSGERPVAARLSFAPRENAARGRREAVVTSVISRAPRLDAEVMPVSADDDADVKVEENFRHLKAIQQLATTANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPITLEAGQEFTFTIERGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVYELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVALRTEATMSAGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGICPVQMKFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >LPERR01G21650.1 pep chromosome:Lperr_V1.4:1:19267586:19268317:-1 gene:LPERR01G21650 transcript:LPERR01G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYCTPPNPLPQPMEGRSKPRPNRRPGPPKDTYHTYVVMEGAEIRTTVTSSPDQVANFIKEITRGHRRHEGEGGLIVGIDTEWRECGRNERGGKCYKVAVLQLCVSHRCLVFKLSRAGKYPPELADFLADPAVRFVGVGVDGDVKRLAEDCNLQVANAVDLGKAAATVLDQPELRRAGLKSLALTVMGAQMEKSKKITMSNWHAQMLTRQQINYACIDAYVSFEIGRRLLTGESLPATQL >LPERR01G21660.1 pep chromosome:Lperr_V1.4:1:19269482:19279618:-1 gene:LPERR01G21660 transcript:LPERR01G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQQRDLPDVIQDIVHHSGMLMHGFHVLTNKEELLVYSPVLRVPLQTACARYVYITNIHYIRNDTRHVDSPENPARVVDRISTFSAVCRAWHAAAPLAEGPQRVVPWLLLPTRDAPPSFFCFHSGTTRRMRLPDGVRGTRLCGAHDGGWVAVAADPWRGFAAVNLLTRRRLPLPEKLRLGPIDPPRFANNPQFFAGEFTRHTMLLRNVVFSAPPTSRDCVAAALVASASNIAFCEPAAISTHWITALAQRRDPPDVIQDIIHHSGALMQGFHVLTNKEELLVYSPVSRAPLQMAYARYSSLRPRDDYQADADLPATFVATRYLVESRGKLLMVVRHYTGNPVVRRCTRVFRVFELTPDAPPPATPNAGGAATPCSWIEIPELTGRALFVGRGCSRAVEVAPFGNIQEDTIYFLDDAKFDLSMVLNDESRYNADMGMYRKGEKISRPSARQFPREFTADCSPPIWLVP >LPERR01G21670.1 pep chromosome:Lperr_V1.4:1:19279647:19287567:-1 gene:LPERR01G21670 transcript:LPERR01G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHPSSASTQARTTCDSSTASKTHASVVPTMAVGLLPPLTHGEGSPPSTTSPEGDSHSRRSRCLGRLRPHTSPTTPDSLLASLPAITCSSTTSSNPPHPSCDCVVATHSSTSIALLTVPHSQPSAACDVPWCSLPKVRSARSCGSSSRPTSCHRPSLASTRAPHASCASLTASVTRTSTAPTIEAGSLSQLTCGDGSLSSTSSPEEGSCDRRHHEGESGLIVGIDTEWRECGRNDHGGKCYKVAVLQLCVIHCCLVFPLSWRENTPPPLPKLAEFLANLAVWFVGVGVDDDMKRLAKNCNLHVASVVDLGYVAAAVLARCSWSDGLLPMLLAIIVRHLNCLVGLRHLNCLPDHTSFLSACHVWHAKAPLAKGPQRGVSWILLPRRDASPSFFSFNPGTMHRIPPTWLDGLPPELLAIIVLHINCLCRPRFILSRLLWVARSGAPRQGPAAQVAVAPPHDPRHAAVLLQLPLGRLRCRHHMLLRNVVFSALPTLHDHVTATHIASAANITFM >LPERR01G21680.1 pep chromosome:Lperr_V1.4:1:19289986:19290579:-1 gene:LPERR01G21680 transcript:LPERR01G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDTYVTEVTFNNAVITTTVTSSGAAVEVWLRDLRATYGPSLIVGLDVEWRPSYCAEQNPVALLQLCVGHMCLIFQFLHADYVPAALRRFLNGSGDRFVGVGVVMDAERLSDDHGLPVANTTDLRPLAAQVLGRPDLRQVGLQALVRAVIGANLVKPQRVTMSRWDAYCLSNEQIRYACIDAFVSFEVGRILLCT >LPERR01G21690.1 pep chromosome:Lperr_V1.4:1:19291883:19297717:1 gene:LPERR01G21690 transcript:LPERR01G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCALATGPSCSKCWAGLPRWQVLLLRIHGRKMQPQAASPSPAAPPPPPRVALPCLSRRELLLLSASLPLTLAPQPAATARGLFRMPPPRLANRYFLVRAGESVYEGQGVVHTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACDDDCWIWPSITQRAYQAAEIIAAANDINRSHIVPEYSFLDARGLGAFEGKSLETLPEVYASDSISPDIKPPPITDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASADPTPRPQKTRPPAPDPAPPAQLSPQAPGSPESSTLEREASEEAAGESEKSMTLALALAETEEKAIPPTPPKAAEAVESPIGSPHKESALTIAKLLSGEDPVGTETKPAPEKAAPAAAAAAASVGGGGGGGGGGVGSKRWLLGGVPEKVRRSELRRAELGFRVSAAVFCLVSLSVMAADSTPGWAGNSFRRYNEYRYTLAASVLAFTYSGFQLAVEILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFIALGLSSIISAYYVFSTAF >LPERR01G21700.1 pep chromosome:Lperr_V1.4:1:19298559:19302680:1 gene:LPERR01G21700 transcript:LPERR01G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALIDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSLEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIINPELSCMDATGKTAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLETLGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSYAPALLMDLKACGIFEPVMVLQTGRTQLSCYLNRER >LPERR01G21710.1 pep chromosome:Lperr_V1.4:1:19303266:19306504:1 gene:LPERR01G21710 transcript:LPERR01G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPDQRDALRTARTQRTALAFPLPLLQSFSKATPVKWPSCCCYSGFLKVEFGYPDLAMGGRRSEINTNKCGTHPTPSKPYYVDTDTQKAVHCQVNCKPARDCNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSPEPEYPDGQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTSSNVETCGILGASFREGTYYVTTLIIPKQEATAHSCQAVNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPNRQELWNIQADRPRRNGESGFHAHRETTDGGPIYETCSKVMFNPNLRFEVVDLRSAP >LPERR01G21710.2 pep chromosome:Lperr_V1.4:1:19303266:19307205:1 gene:LPERR01G21710 transcript:LPERR01G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPDQRDALRTARTQRTALAFPLPLLQSFSKATPVKWPSCCCYSGFLKVEFGYPDLAMGGRRSEINTNKCGTHPTPSKPYYVDTDTQKAVHCQVNCKPARDCNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSPEPEYPDGQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTSSNVETCGILGASFREGTYYVTTLIIPKQEATAHSCQAVNEEEIHAILSEQSLYPAGLTLHKRVSYHQSICTLNTPTRSCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVMFNPNLRFEVVDLRSAP >LPERR01G21720.1 pep chromosome:Lperr_V1.4:1:19309462:19310214:-1 gene:LPERR01G21720 transcript:LPERR01G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDLDRDHGADVESVAGPAAAAHEEEDEVVDGGDEGFSFPVPPLAADGFIVPVYPVFGRPLSPPPVEDDDDDDEPETATVRVPLGRLLLEEREFRARQRRPDDEGGGELEGVAPESYCLWAPGQPPSTTTTPASPRWCRKSGSTGSVLRWRRISERLVRRSQSDGKEKFVFLNAAAGPSPHPPKENSNDNDVAGGKRDGDGRHGWRYYSKGGGGGGSGGRRKSYLPYKQEIVGLFANVSGLRRSYHPF >LPERR01G21730.1 pep chromosome:Lperr_V1.4:1:19312690:19313082:1 gene:LPERR01G21730 transcript:LPERR01G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQMSPTKISTWTNVIQLTAPRGDKCVEFDGTGRPAAMVVSSRRAGHEPSTSALWPVDT >LPERR01G21740.1 pep chromosome:Lperr_V1.4:1:19313040:19313600:-1 gene:LPERR01G21740 transcript:LPERR01G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQQQGRFRLAGGEEESVPVVDQADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLAFVRLPLAVARRCVRRLRRRQGRLRQKKRVRDMDAAAAAKNAAGGGGCSCHHHHQEATSKGEEGNDADVATVVSSPGNDVSESDVEKVWLELYQVGRWGFGRLSVSAPVRPPVYVSTGHNADVDGS >LPERR01G21750.1 pep chromosome:Lperr_V1.4:1:19321650:19321850:1 gene:LPERR01G21750 transcript:LPERR01G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDTKVIVGVVIVAVCLLVICLLFNCKWKRGKDDKNKDAGTKVNDKHDDGHISISTDQSSPHAKV >LPERR01G21760.1 pep chromosome:Lperr_V1.4:1:19328894:19329309:-1 gene:LPERR01G21760 transcript:LPERR01G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAATQFMSGGRHQHHARPLVPAAVRKGPWTVEEDIVLMSYVAVNGLGGWDSVARGTGLNRTGKSCRLRWLNYLRPGVRRRAPVQMGQQVVQDREAPPWTD >LPERR01G21770.1 pep chromosome:Lperr_V1.4:1:19330678:19332651:-1 gene:LPERR01G21770 transcript:LPERR01G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPVLRRVGGGGAAAAAPAAVGGARAYHERVVDHYENPRNVGSFKDDDPSVGTGLVGAPACGDVMKLQIRVDESTGKITDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKNYEAKKAKLGQNGEEKAAEA >LPERR01G21780.1 pep chromosome:Lperr_V1.4:1:19333558:19336716:-1 gene:LPERR01G21780 transcript:LPERR01G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVTAHILPSSLPLPLASAPPLAPSPTASSSSSSSPVSDNYRRVHGDVPSEPPEWRAATDESGKGFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELTRAFEDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRQILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFTKFPRKP >LPERR01G21790.1 pep chromosome:Lperr_V1.4:1:19338448:19339307:-1 gene:LPERR01G21790 transcript:LPERR01G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFPSAGDHHHYYKQYCGGEGEHPVGAGGFDGPYGGGGSVDCTLSLGTPSTRRAEAAVAGMPPWDQSSSLQPGCNGPHDMSGPGGGAAAAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDGGVEYAAYGYPRQQQQWGCYGPAVAKAASFGMFSDAAGEVDGPCLPWGLGVMPSSPAFGAVREMPSLFQYY >LPERR01G21800.1 pep chromosome:Lperr_V1.4:1:19343791:19346473:-1 gene:LPERR01G21800 transcript:LPERR01G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLDCNNVYECQPSTLADLVLPKCGSCPRASRRSGATLPPQADPLPPPPPLVTPRAATRHHHPPQASSLAHDARSPATGGSGAPLLHPSNRWARDSACALAATS >LPERR01G21810.1 pep chromosome:Lperr_V1.4:1:19359077:19363382:1 gene:LPERR01G21810 transcript:LPERR01G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRLALLVTLACVVVGREANAAVGGGDGFVRAQGTRFVLNGSPYYANGFNAYWLMSLAADPAQRGKVTSALSAAAAHGLSVARTWAFSDGGAGALQNSPGNYNENTFKGLDFVLSEARKYGIKVILGLVDNYDSFGGRKQYVNWARAQGQSIGSDDEFFTNPVVKGFYKNHIKTVLTRRNTITGVAYRDDPTIMAWELMNEPRCQSDLSGRTIQSWIAEMAAHVKSIDRNHMLEVGLEGFYGATTPLSSKNDQEQQGFMGRWLDAHIADAQQVLRKPLLIAEFGKSWKDPGYSSAQRDALYGMVYAKIYASARGGGAAAGGLFWQLMAPGMDSYRDGYEVVFGEAQSASTAGVITTQSRRLRFLTKSFARARGKGGAGGN >LPERR01G21820.1 pep chromosome:Lperr_V1.4:1:19363295:19368908:-1 gene:LPERR01G21820 transcript:LPERR01G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVARLLLLAAVLLQALLAAPAAERVVRIALKKRPVDESGRVAGHLTGEGGQRLLARRHGFLSNDAAAAGSASKKKKAAAAAAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKSASIHYGTGAISGYFSQDSVKVGGVVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMMKQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLTGPTAIITQINEKIGAAGVVSQECKTVVSQYGQQILDQLLAETKPAKVCSSVGLCTFDGTHGVSAGIQSVVDSGVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDAILQYINQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGRKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKLKVGFAEAA >LPERR01G21820.2 pep chromosome:Lperr_V1.4:1:19363295:19368908:-1 gene:LPERR01G21820 transcript:LPERR01G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVARLLLLAAVLLQALLAAPAAERVVRIALKKRPVDESGRVAGHLTGEGGQRLLARRHGFLSNDAAAAGSASKKKKAAAAAAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKSASIHYGTGAISGYFSQDSVKVGGVVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMMKQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQDSVQLAIADSGTSLLTGPTAIITQINEKIGAAGVVSQECKTVVSQYGQQILDQLLAETKPAKVCSSVGLCTFDGTHGVSAGIQSVVDSGVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDAILQYINQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGRKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKLKVGFAEAA >LPERR01G21820.3 pep chromosome:Lperr_V1.4:1:19363295:19368908:-1 gene:LPERR01G21820 transcript:LPERR01G21820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVARLLLLAAVLLQALLAAPAAERVVRIALKKRPVDESGRVAGHLTGEGGQRLLARRHGFLSNDAAAAGSASKKKKAAAAAAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKSASIHYGTGAISGYFSQDSVKVGGVVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMMKQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLTGPTAIITQINEKIGAAGVVSQECKTVVSQYGQQILDQLLAETKPAKVCSSVGLCTFDGTHGVSAGIQSVVDSGVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDAILQYINQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKLKVGFAEAA >LPERR01G21830.1 pep chromosome:Lperr_V1.4:1:19371146:19377814:1 gene:LPERR01G21830 transcript:LPERR01G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPAVAHARLLLARSYAMAASGWPGVSKNLPLLGHGRSHCLTRQDETKISSRRWCHAAPAGNGTVSQLNISTAAAGSSLGATAVGMSSSTAKVAHAELAKKSCVPCNSKDIHAMSEDSAKKLLEQVGGWQLATEGDILKLHRVWKVKNFVKGLEFFQLVSAIAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATSKKDAL >LPERR01G21830.2 pep chromosome:Lperr_V1.4:1:19371146:19377814:1 gene:LPERR01G21830 transcript:LPERR01G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPAVAHARLLLARSYAMAASGWPGVSKNLPLLGHGRSHCLTRQDETKISSRRWCHVSPDNQELAKKSCVPCNSKDIHAMSEDSAKKLLEQVGGWQLATEGDILKLHRVWKVKNFVKGLEFFQLVSAIAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATSKKDAL >LPERR01G21830.3 pep chromosome:Lperr_V1.4:1:19371146:19377814:1 gene:LPERR01G21830 transcript:LPERR01G21830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPAVAHARLLLARSYAMAASGWPGVSKNLPLLGHGRSHCLTRQDETKISSRRWCHAAPAGNGTVSQLNISTAAAGSSLGATAVGMSSSTAKVAHAGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATSKKDAL >LPERR01G21840.1 pep chromosome:Lperr_V1.4:1:19380417:19381052:1 gene:LPERR01G21840 transcript:LPERR01G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVGDHRFPAEPLAPAWIRGNLLPSLGLPSDSPLHFIGEKAVTASDLSSQQGRFFLPTGMRPRLVPLLSTEERNAADLQPDKVPGAAGVGEEAATKKVPANRGGKEGASVMLAARRGDGAGEDGFFELKLKRWEASDGAVIKGKGYSEFFRGCGFRQGDAVEIWAFRRSPTVRLFGEDLQLAVLPLNIVIAKRDDQRWQQVPAVTAPVT >LPERR01G21850.1 pep chromosome:Lperr_V1.4:1:19384434:19390598:-1 gene:LPERR01G21850 transcript:LPERR01G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGGGGGRGAAAAAGPVPASARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEINKETLEPKSRGAANSNNRAIRGGADRTARSSSVQSVSSGTDNMSSRSSILGPGMPTTNSTQKQAVPNTSVNKDVLPDGSLGAMQSSSGFQSNWCGVPGQMSMADIVKMGRPQVRSSSKPVATLDKAYASQTSSFSSVVNQNPNKYPSTVVPTAFEQRFPVLQDPTLQVTNSSHACDDNHERKESDWFQQNGTLSGSQFTVAEASRDISLPVATLESSMLVADDASSHNNSHVGQNSSAIPSDRHLEVHEDSSQFNDDILHNSSSYQSQGNNYDEDDEEVEVSNVDVESASANIQHLSLQTEDLVATKSAEDNPAVIIPDHLQVENGDCGHLSFGSFGSGAFSGLLPSTSHKNNVEEVPIPDESPSIDHVDVRNQDCNVTLDSSINVDVQARVETDMENTDVPSVPQPDVLTQGAVDVSSLKYNLPSVSDHVYSNTTQPGTMESQQGDTQMQHLSQFSSLLQANTLHNNLMGSNLSPLRDFDFSQLLSTQLATKSNPAVPTTSLPAISMQETLKQGVFSNMQTTQNIPSTSIPSGPPLPQQLSVHPYSQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQAYSSNGPFHQSAATTAVPGVGMKYSMPQYKSSLPATSPPQPSSVISGFGGFGSSNSIPGNFGVNQNVASAATTMGFDEALSTQFKDNSHYIPLQQNDNSAMWLHGAAGSRVVSAVPPGNFYGFQGQNQQGGFRQGQQPSQYGGLGYPSFYQSQAGLPQEHPQSHTEGTLNSSQTAPSQPSHQIWQHIY >LPERR01G21860.1 pep chromosome:Lperr_V1.4:1:19398241:19404048:1 gene:LPERR01G21860 transcript:LPERR01G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPYSPSPRPPHSRANPRPTKPRPPCALPFLDALRAAAAGTAISLSLLTGDAAGAAELLCRDVGAGGETKEEVRTEVVTNEQLVEEAWEVVNEGFLPDAGSRPWSPEMWMKKKQDILQSSIRSRSRAHDIIQRMLANLGDPYTRFLPPSEFSKMSKYDMTGIGLNLREIPDDNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGPVESMKVQRQLVARTPVFYRLEKRENEESAVGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIEIAKLFLNKGDTVIYTAGRDRQVQNTIVAEREPLVTTPLMVLVNNRTASASEIVASALHDNCKAVLIGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRLPGATHIHPIFFCCTHLHNAVEKLTEFPFAIDFNEATEYLSRCRSKELS >LPERR01G21870.1 pep chromosome:Lperr_V1.4:1:19405411:19412980:1 gene:LPERR01G21870 transcript:LPERR01G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEEQQPHQVSGLPRVQDGVAKASLGKEHVPGSELWTDGLICAFELIKGHKKLVQPKSWPTIDNPVHMKRHISRNGHHVATLKPEECDVEENPRQMEFANDPSFFKDRPMHADHKWVPIGWSRIAELVQRVQSDASWESEQVEMTDSEDDYTVADLAAPFWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYIFGEADEIELKFVNRRYREDLNLVSIILNQEIRRLATQVIRVKWSLHAREEILIELLRHLRGNTTRVILQNIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMILSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGAVLIGVGILYLGLQNPVTNEKVKVRKMELQQLVSMFQHEAEQHGKVREGLSRHDSSPKSSSASDEGYILIS >LPERR01G21870.2 pep chromosome:Lperr_V1.4:1:19405411:19412980:1 gene:LPERR01G21870 transcript:LPERR01G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEEQQPHQVSGLPRVQDGVAKASLGKEHVPGSELWTDGLICAFELIKGHKKLVQPKSWPTIDNPVHMKRHISRNGHHVATLKPEECDVEENPRQMEFANDPSFFKDRPMHADHKWVPIGWSRIAELVQRVQSDASWESEQVEMTDSEDDYTVADLAAPFWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYIFGEADEIELKFVIRVKWSLHAREEILIELLRHLRGNTTRVILQNIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMILSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGAVLIGVGILYLGLQNPVTNEKVKVRKMELQQLVSMFQHEAEQHGKVREGLSRHDSSPKSSSASDEGYILIS >LPERR01G21880.1 pep chromosome:Lperr_V1.4:1:19412086:19415122:-1 gene:LPERR01G21880 transcript:LPERR01G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICVVLVFPAAPLFCKEAKAACPSCAFRCVATPHAGQEQRPAFFSSCGDGGGAGFLMGFLPNGDELKALRSNSISAALSQTTPVLRLRVWVLIAIGIGILMAILFILALWLSMRRKKKTTVGFDNRSQMEIPVVSKDINVDRVDAQSLHDSGTAIIPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPARKGSSSYGYSSASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADEANLVEWLKMMISNKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSQMGSVDVESQQSVEEISNSADS >LPERR01G21890.1 pep chromosome:Lperr_V1.4:1:19422066:19425023:1 gene:LPERR01G21890 transcript:LPERR01G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCTMSVNIEIEFFFGSSIFTMSGMPSDDATGQARLEGDVEKKEEKTQDQNEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGTHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLNQTADPVEDKNKEEV >LPERR01G21900.1 pep chromosome:Lperr_V1.4:1:19443092:19450539:1 gene:LPERR01G21900 transcript:LPERR01G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDSVAEERAMQTEQRAMQQQQRRKGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTITRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERKKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTSFLYPSAVDNFRRQFADLEENGGKNGDAVPSDRKHVSLPRTTTVHSTPIPPKDHHNTSQVPQRIPTGRQGRVVCPVIENFSATGPYSQRRVVRNPVLPPATTNLSAYGYHRKSDSSERELQQELEKDRMRYQPSEHYMDAKVVSHMSHDLRSSSYYVSKAKADVADRSALQSNMMHGIGSFNGIAAVGGSYNKVSAVQYGVSRMY >LPERR01G21910.1 pep chromosome:Lperr_V1.4:1:19451368:19455522:-1 gene:LPERR01G21910 transcript:LPERR01G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTTLIKMPALSMKRKFDDDGFRDEFDSKPPKPVKITHFQVGELEQSAVLNLPYKDPQDELDLTTQPAGQDIMIMEAASLDDALGGTSLAFLKDLISEVAVSPDMENDSLVNYEDSRSQLNVVNYFDKDEDVNSAAYNICTIDCCEESWGSNEGCSLLDIYSPDDAFSFLLDTPSEFPSSFTTICDEVVPIDALVNISGRYGLFPLTESITEASIGNEPCKSEGDLLFSNSEVLEWLNPHLPEDLPKLIDLTELNSDAACETKKQGARKVTLVLDLDETLVHSTIQQCDDYDFNFPVLFDSKEHMVYVKKRPHLRMFLQKMAEMFEIVIFTASQSVYADQLLDILDPGKELFSRRYFRESCVFTNTGYIKDLTIVGVDLAKVVIMDNTPQLQVNNGIPIESWFDDSSDDALPQLIPFLETLAFADDVRPIIAKKFGNKKDTAEILQ >LPERR01G21910.2 pep chromosome:Lperr_V1.4:1:19451368:19455818:-1 gene:LPERR01G21910 transcript:LPERR01G21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSMKRKFDDDGFRDEFDSKPPKPVKITHFQVGELEQSAVLNLPYKDPQDELDLTTQPAGQDIMIMEAASLDDALGGTSLAFLKDLISEVAVSPDMENDSLVNYEDSRSQLNVVNYFDKDEDVNSAAYNICTIDCCEESWGSNEGCSLLDIYSPDDAFSFLLDTPSEFPSSFTTICDEVVPIDALVNISGRYGLFPLTESITEASIGNEPCKSEGDLLFSNSEVLEWLNPHLPEDLPKLIDLTELNSDAACETKKQGARKVTLVLDLDETLVHSTIQQCDDYDFNFPVLFDSKEHMVYVKKRPHLRMFLQKMAEMFEIVIFTASQSVYADQLLDILDPGKELFSRRYFRESCVFTNTGYIKDLTIVGVDLAKVVIMDNTPQLQVNNGIPIESWFDDSSDDALPQLIPFLETLAFADDVRPIIAKKFGNKKDTAEILQ >LPERR01G21920.1 pep chromosome:Lperr_V1.4:1:19457197:19463482:1 gene:LPERR01G21920 transcript:LPERR01G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARLRVPLAGLTPATPSFSGSTPKPPGNHLSFPLKPASASLSSSNAPASPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLATGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGVGSEDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLSGMPTETFEQISQAAGACHKMVDQGVKEVLVKLGSQGSALFIEAEEPIRQPIIPAKEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVVDLLESVQVE >LPERR01G21920.2 pep chromosome:Lperr_V1.4:1:19457197:19462114:1 gene:LPERR01G21920 transcript:LPERR01G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARLRVPLAGLTPATPSFSGSTPKPPGNHLSFPLKPASASLSSSNAPASPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLATGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGVGSEDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLSGMPTETFEQISQAAGACHKMVDQGVKEVLVKLGSQGSALFIEAEEPIRQPIIPAKEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVVDLLESVQVE >LPERR01G21930.1 pep chromosome:Lperr_V1.4:1:19467678:19469913:-1 gene:LPERR01G21930 transcript:LPERR01G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYQFQDELAPLFARPGEMQQQQQLPASWFADYLQAATPTQMDYDLMCRALELPVGEDVKREPGVDVVTASGGVAPLTPNTTSSMSTSSSEGGGGGGGGGGGGGAGEEDSPAMCKKEEEDENKEEGKGEEEGHKNKKGSAAKGGKAGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQAAAHLHHHNLGMPPPLASVRAQFGRAPGGVDLLAGLLQQPRHGAMNPTMGAGATATTTTNSMNGAMTSTNTVTVAAATPPPSSTALQMQHFLAQDFGLLQDILLPSFVHGTSQP >LPERR01G21940.1 pep chromosome:Lperr_V1.4:1:19470633:19471032:-1 gene:LPERR01G21940 transcript:LPERR01G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRLRMETRAGPTCQLDRTLPLPGCVWLAHVIPLPIVSLVACTHARDATRRDEMRLPNVRCVPFRTTTTASISGRQLEIGRVRARRHAGVGLPQSTFPFAVSCARPHVPVRLRGLVKGGWRRTRVGDRIV >LPERR01G21950.1 pep chromosome:Lperr_V1.4:1:19490522:19491034:1 gene:LPERR01G21950 transcript:LPERR01G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVLEAEMEIPPPAASAAEVKSATRGGWVKRMMTATTMPTTRRGGHYSPVGVEPHHAEIGADQKPKPRRRGGGWLRRMMLPRECVQVQQQRQRWWTKLQAGSSSSSSRLMAGLSRSLRWKKPAGGMGGGGCAAAVADAVAFRVMYVVEAVVLGLALSCFFCCCGCQI >LPERR01G21960.1 pep chromosome:Lperr_V1.4:1:19494382:19497297:-1 gene:LPERR01G21960 transcript:LPERR01G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGDEGSVPASSATGARADPPVCTPFPTIRSHGASVARSHAYDWLALLLLVAVEGLLNAIEPFHRFVGAGMMTDLRYPLKTNTVPLWAVPIYAVIGPIIVFTIIYVRRRNVYDLHHAVLGILFSVLITGVITDAIKDAVGRPRPNFFWRCFPDGIAVFDNVTTGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCIVLAPLLVAAMVAISRVDDYWHHWQDVCTGGILGLVVASVCYLQFFPAPSDDKGFWPHAHFRYIAERQNESQTRRATELLEAMEAGGGGQ >LPERR01G21970.1 pep chromosome:Lperr_V1.4:1:19499590:19503217:1 gene:LPERR01G21970 transcript:LPERR01G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTAPKPLKTVRLPPIKPSPKPPPPPPQQQQQPSRKKGQPLVDPRRPKKPPTAFFYYMEDFRKTYKEENPGVKSMQEVGKACGEKWNTLAFEERVKYYDLATEKRAEYEKALADYNKKKESGELSEESDYD >LPERR01G21980.1 pep chromosome:Lperr_V1.4:1:19504199:19505430:-1 gene:LPERR01G21980 transcript:LPERR01G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPEQKAVTSVTPATPAAASQFDFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQENRDERTGGALAPQWWRPFKYRLAELLIDERDGSIYGAVLEWDRQAALSDLIPIRPAGAPAAVVALRGTLLRGATFRRDVTDDLRFLAWDSLKGSVRFAGALQALRAAAARHGAGSVCVGGHSLGAGFALQVGKALAKERVFVECHVFNPPSVSLAMSLKGFAESAGELWGRVRSWIPYAGGGGGGESEEAKSAAAAATLARTGSTMGKWLPHLYINTNDYICCYYNDASSGTATVAAGGGSGSGKAAVRGSGGVARMMVAAKGPSKFLDAHGLNQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAS >LPERR01G21990.1 pep chromosome:Lperr_V1.4:1:19506142:19508340:-1 gene:LPERR01G21990 transcript:LPERR01G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSSSAASASAAQEPGGQQGVAGGRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPSAHDAAAAAAASGEFRRAKKRASPADPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDQEKYCVDFFQKSFDRVYEPRQPESQSATDSVEPENDNDDSMASAKPMGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLRQAYLKKRLEKLIAEKQASSATDDLPAS >LPERR01G22000.1 pep chromosome:Lperr_V1.4:1:19507364:19512116:1 gene:LPERR01G22000 transcript:LPERR01G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPSSSATRLQAVDAAAAAAAMRSGRTPPRAAAVSPAQRSLGAGCKAARQEGASATQDPISVSSARNQLDLLEQLTSPTSDGIGLENGAPTEPRVQTTIREQLSALIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >LPERR01G22010.1 pep chromosome:Lperr_V1.4:1:19510537:19511911:-1 gene:LPERR01G22010 transcript:LPERR01G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLEVSSAIEFTARFRQYLWNSLRKRLLFLFTSYLVLLLLAPSDGSSNSTLNLNQSHNKTGHPSEMTPKVLFQLKLHALFHWSSFGFLMPIAAVLLATGGATLSLMNFENSFSNSHQRVGLALYGFMWLQPLIGFFRPERGFKVRSLWYFLHWLIGIAICTTGIANVYIGLHTYHERTTKSVKLWTGLLTFELSLLVFFYLLIDRWSYMMKQGYAPIEQLRPTDNHRTYPTTLRKELGMVQE >LPERR01G22020.1 pep chromosome:Lperr_V1.4:1:19517795:19527544:1 gene:LPERR01G22020 transcript:LPERR01G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSVAAEWDLLSDRFYRRIAVYSPLPWSSAAVASSSSASGSGGGSSGAVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFTSSGHPVASSPWPPQLPRLHSLAFSSSLSLVALLSDGSLLRFGLPDLKPNPSSSPAPLLPPASGGVADAAFWGGGVSVLTEDNRIVVTTDIDAADPHPRELADPGVGPDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVVSVDEDGVQILGEELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPMDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNTSMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGNEFDVSRQHALLRAATYGLAFCSRFPHERFQEMCKTLRVLNAVRDPQIGMPLTIRQYMDLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASATIPDTLLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAIEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHGPRARLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQPIFVGSSVSDTIRTCIVMGNERAALKVKSEFKVPDKRWYWLKSYALATVGNWDALEKFSKEKRPPGGYKPFVEACIDAGQKTEALKYIPKLADPRERSEAYARLKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >LPERR01G22030.1 pep chromosome:Lperr_V1.4:1:19527915:19529385:-1 gene:LPERR01G22030 transcript:LPERR01G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGNIKFPLVYRKIRPPTRKLKTTFKASRPNLFM >LPERR01G22040.1 pep chromosome:Lperr_V1.4:1:19530056:19531150:-1 gene:LPERR01G22040 transcript:LPERR01G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRPRRRCRAPRRREDNEIDEHPSEQDLLESYLLPHVASSTVRDKKSPPSFIHEADVYTADPAELTRRHAPAVAKKSGGAEAWYFLGPVRGLKGGGQRKARTVDDGAGCWHSEAGAKPVLASSGRCLGHLQSFSFLTKDDDGRRVRSGWLMVELSLDDNNEVVLSKVYFSPRAHLTTKNHVTAKKRKNLATTASPPPRHRRRRAPSPPEEEEEKKTLPETAPEAEECGGDEQPSWLEMRVALGFDTTPIDDETRVRDSPWLKDILMPFPVAPSTPPPRSPSPRREPIEMPEIREFFMRGPYLGEPDPPLYQFDPEKLAAAEKQLQLDGDQRGGGVDDRILLELAAQLEFERYFDLVRSRR >LPERR01G22050.1 pep chromosome:Lperr_V1.4:1:19531883:19534117:-1 gene:LPERR01G22050 transcript:LPERR01G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPDADGKPRSSAFQPSAPPQPQQYGTFGAPSAPGELPQPAVGFPQPAPPPGLQHYPSPPPASYAVYPPPQTYPAAAPYYVQGYQAVQGYIPVVEGRPMRMRRLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLICVRVHDYREKPGYVACTIAASLAAIAVLLGVTKGEDIW >LPERR01G22060.1 pep chromosome:Lperr_V1.4:1:19535468:19539224:-1 gene:LPERR01G22060 transcript:LPERR01G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLRSCRRLIPHLSAAAAASSPSSSWCAPRARRGLRLLPVVLAMAAYSSGGAGAEGTRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDINLIKELGLKLVYAMNTHVHADHVTGTGLIKTKVPGVKSVISKASKAKADHFIEHGDKIYFGNLFLEVRATPGHTAGCMTYVTGDGHDQPSPRMAFTGDALIIRACGRTDFQGGSSDELYESIFTLPNDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMENLNLAYPKMIDVAVPANLVCGIQDPPPSKV >LPERR01G22060.2 pep chromosome:Lperr_V1.4:1:19535468:19539224:-1 gene:LPERR01G22060 transcript:LPERR01G22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLRSCRRLIPHLSAAAAASSPSSSWCAPRARRGLRLLPVVLAMAAYSSGGAGAEGTRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDINLIKELGLKLVYAMNTHVHADHVTGTGLIKTKVPGVKSVISKASKAKADHFIEHGDKIYFGNLFLEVRATPGHTAGCMTYVTGDGHDQPSPRMAFTGDALIIRACGRTDFQGGSSDELYESVSTVEEEVAYNARLTKDKETFKTIMENLNLAYPKMIDVAVPANLVCGIQDPPPSKV >LPERR01G22080.1 pep chromosome:Lperr_V1.4:1:19551131:19554916:-1 gene:LPERR01G22080 transcript:LPERR01G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHATQLPWQTQPDMAGSPPPLLSGSSTGSVGGGAYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLLRTPGSGGARAGTRGATATATSPRGVAPPFTPPPIMPQPVQQQLVMSPVAAPTSSSSSSSDRSSGSSKPVAKPPATSTRAMCSATAAMDLLSPLAAPCHRQMLYEGQPLDLPAPAPATKVPDEPIFLQWPQSPCLSAVDLGAAMIGAGNQHMHLPAAPVHQQPPPPSSPASGMFRGLCNDVTAPNKVCAWSAGIGQHWSSSGADQLGLGKTIAAVAREEAHPHDDATKLGLLQYGFGISTTPAVNVDVGSPDPGVLPPVPPSPSQDADVTVASVVAAAGMADFASSAMSTGAVANNQLQGLADFGLVSTGAGAGAAVSTGSTAVSAAAVLAFTSFGSAVGSFLYPATRFNLRHQFGDAAVLFRYKGDSTEAVRLDDSGFTVEPLQPGAVYLVLI >LPERR01G22090.1 pep chromosome:Lperr_V1.4:1:19564102:19567025:1 gene:LPERR01G22090 transcript:LPERR01G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVRVDDKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFENAERWLRELREHTDANIVVMLVGNKADLRHLRAVEAEDAGAFAEAHGTFSMETSALEATNVEGAFTEVLAQIYRVVSRNALDIGDDPAAPPRGRTIDVSAAKDDAVTPVRSSGCCSA >LPERR01G22100.1 pep chromosome:Lperr_V1.4:1:19566233:19568602:-1 gene:LPERR01G22100 transcript:LPERR01G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYDVGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTPMNRYVYHTQNFGSPSDQVVLGSMDEGSTNENAGESARGFIKRKNAAAIGSYHCANGFASSSSSSHASLNPTHRPWDPSFESNVLPNIASHNPSEYHSQTSWPSIEGSSISSNGFNLMGAHQESAQHGNYAFPTSHISQCFQPTSNTWISQAANGIADGIPQWDYVNGMNNAPGRFSRSGMTETVNGSLREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQMQAPYQQCHNNPVLHGVNHSSNRFHLGPRIPVLLSERTFGPPHHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNAVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSCIADKLKTSLYVPRSSSVSDKSSEFSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >LPERR01G22100.2 pep chromosome:Lperr_V1.4:1:19566233:19568637:-1 gene:LPERR01G22100 transcript:LPERR01G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYDVGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTPMNRYVYHTQNFGSPSDQVVLGSMDEGSTNENAGESARGFIKRKNAAAIGSYHCANGFASSSSSSHASLNPTHRPWDPSFESNVLPNIASHNPSEYHSQTSWPSIEGSSISSNGFNLMGAHQESAQHGNYAFPTSHISQCFQPTSNTWISQAANGIADGIPQWDYVNGMNNAPGRFSRSGMTETVNGSLREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQMQAPYQQCHNNPVLHGVNHSSNRFHLGPRIPVLLSERTFGPPHHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNAVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSCIADKLKTSLYVPRSSSVSDKSSEFSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >LPERR01G22100.3 pep chromosome:Lperr_V1.4:1:19566235:19568744:-1 gene:LPERR01G22100 transcript:LPERR01G22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHVNSDSFNRSGTDSSDQGAQHAIRVGNSTNIGLSDMRGYYDVGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTPMNRYVYHTQNFGSPSDQVVLGSMDEGSTNENAGESARGFIKRKNAAAIGSYHCANGFASSSSSSHASLNPTHRPWDPSFESNVLPNIASHNPSEYHSQTSWPSIEGSSISSNGFNLMGAHQESAQHGNYAFPTSHISQCFQPTSNTWISQAANGIADGIPQWDYVNGMNNAPGRFSRSGMTETVNGSLREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQMQAPYQQCHNNPVLHGVNHSSNRFHLGPRIPVLLSERTFGPPHHPFLANPVNHRNIRILPPEHATIMDFSRLYEVSNAVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSCIADKLKTSLYVPRSSSVSDKSSEFSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >LPERR01G22110.1 pep chromosome:Lperr_V1.4:1:19578006:19578204:-1 gene:LPERR01G22110 transcript:LPERR01G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMVTVVVVVALVVEGGAEAADMKGVGMMSSKACKESKSNESSEERRTKIKQWNRERDEKQG >LPERR01G22120.1 pep chromosome:Lperr_V1.4:1:19578687:19583107:-1 gene:LPERR01G22120 transcript:LPERR01G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMAAASPAFLRRPHRCLLLLRHCQRRRPLSASASAAAPPAAASTATVVDVLRERGLVEATTSETLGSSPRELKAYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALVGGATGRVGDPSGKSAERPELDLAAVETNSDAIKSLIAQILDRAPQPSSQSIPNLGDRMGPFEILDNYNWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKSEDGAIWLSAKMLSPYKFYQYFYSVPDVDVIRFMKILTFVSLDEIQELEDSMKKPGYVPNTVQRRLAEEVTRFVHGDEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCSLPYDQVLRSPLVDIAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVEEFADPAASHDGRIARTGRAILERRGSKQKAKEHHSDNRQNLNSISPKNLVTLSTVDLCHQVHLY >LPERR01G22130.1 pep chromosome:Lperr_V1.4:1:19590364:19590807:-1 gene:LPERR01G22130 transcript:LPERR01G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWLRPEPAAAGVVKVEAAAMRGGEAEVGLGEEEAAEVRRAVAESPVLVVGRRGCCLIHVVKRLLQGLGVNPAVHEVAAGEAALKGIVPAGGGESGAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >LPERR01G22140.1 pep chromosome:Lperr_V1.4:1:19597907:19604912:1 gene:LPERR01G22140 transcript:LPERR01G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCTIQATHVEVGIRGNPPYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >LPERR01G22140.2 pep chromosome:Lperr_V1.4:1:19597867:19604912:1 gene:LPERR01G22140 transcript:LPERR01G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGRTKHVLIFLPIVAVAILISTGRWTPSPRSRRRPPPPLQPRAASLLRSSPLHPPASSPPSAVAVDLRAGPPISSPADLRAGLHSSPADLHVGLHSSPSTSTPVSTPSSSTPRVDCSPRGRRRQSRCSLPPRRPSLVAVELHARLDSVFINLRAPAAGLDLLRRGPRRPPHASRRPPLRTLTWPRSWDLRSDTPLSTTGRRYLSGTKHWRR >LPERR01G22140.3 pep chromosome:Lperr_V1.4:1:19596930:19604912:1 gene:LPERR01G22140 transcript:LPERR01G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCTIQATHVEVGIRGNPPYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >LPERR01G22140.4 pep chromosome:Lperr_V1.4:1:19601981:19604912:1 gene:LPERR01G22140 transcript:LPERR01G22140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCTIQATHVEVGIRGNPPYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >LPERR01G22150.1 pep chromosome:Lperr_V1.4:1:19600670:19600936:-1 gene:LPERR01G22150 transcript:LPERR01G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDELDEGGRPRRPAGGEGIKDALMAVPGGDKGSADWIRRREGQRGRPSSTAGQRQRVEAAATMVAGEDEVAGDELRRGTDDFHE >LPERR01G22160.1 pep chromosome:Lperr_V1.4:1:19607174:19607908:-1 gene:LPERR01G22160 transcript:LPERR01G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAVAVVLVAMAVAASAQAPTAAPTTPSGPPNVTAILEKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVALVQGHVLPQFYSMDSFETASNPVRTQASGTDGPYTLNITATSNNQVNVSTGVVDVTVTNALSSVKPLAVYSVDKVLLPFELFGVKAPAAAPTASSTKPKKGSEAASGPAGSEDAAEPTGAASARAVGWGVAGVAAVLGCLL >LPERR01G22170.1 pep chromosome:Lperr_V1.4:1:19612021:19622232:1 gene:LPERR01G22170 transcript:LPERR01G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEEIGTLVVDLRDGAEEDEMGKESAVLPLGGMELRDREINLTIDLNVDEHDEVVEGVNVVEEDNEKKGDEEDEGKGEEVGTGNDLDEGKNEEIGDKKGLHGKESTKELESPSLEGHNDDELPCNENSVEDGAGSSHEYEHLDAQNEQTVEESSLCVEQQMELDGCSPSEQLKEVQQDGQTDDVPNVVLPEEAQKKGACEFPILEEKQGIREIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGTLPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACNDHRHYFQPQGDKYVELLRKMKMKKMKADLRKVSHDAWRKDKEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKTYRGWESVAGLSNVIQSLKEVVMLPLQYPDVFSSIGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKNWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLQEILCSAEKGFEHGRLPLPSIRVEERDWLTALAAAPPPCSQREAGIAANDLVSSPLDSHLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVVFSSMENNNVPHTVWSSYLPSLIQQKDIAKRIASILSSYGLIVSQLGNYDSVSSYVGQHEKFDAHRLSSTCSHTKGGLAHKLSGFRALVAGSPRSGQQHLIRCLLHGFVGQTVIHKLDLATMAQEGNGDVLSGLTQILLKCLNLGRCIIYMPRIDLWAIDKVHEQEAEDHVLNVGTSKLGSMPTKNIKRCSEVWNALVDQMGSLSASVSISILATSELEFQDLPSGVRNFFSTHVIDQRLASSEHTIPRFSVNVDSYFSWDEVINACCLRVSQDLVQQHVQLLHDRTHKNQDEQKEVFVPMEISAPGEHRSSGSVSSREHPTQLGTCNAQKEPQTSNVEDKEDNAEKINFNKKVTTNCSNRIVKDNESLAIIAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSSASPDKSLSGGTNALKGKEKILCVRGLVAVGLLAYRGAYASVMEVCTDVRKVLELLVGQIRTKILEKKNRYQYFHVLSQVAYLDDVMSSWAYTFRRLHTDKRTGKTDPKIKSSIRECPGNGNTAEDNILGAPDGCSEVQGTPSQHTNNIQVVPACCPYEMQDNSLQHAPHHLEIHDMVCNLDNDNVKSMSSMDAVEHDLTHSASLDVHMDSLRPADAGINDGKSCGVDNDGQMSRVTNDEENRMSDTERSESHAGSVEDLNELQRKISIVSSTRTDNARASRNTVSSEARGSSNEQNTEFPMDDVKLDHLVNAQSQDTMKDQSVLKSSCLYKCCPVCFNAVYKMVHDTISNSVRSNLHCLAVDDMHDLLSSWSVNLLSTVRKWYISQGTVVVDCEEDSRGHCACQSDSSCIPRECTCHLERNEDAGTVNHESYYLNGQPLSFLFKDGVFIPSDITAEPTLHCSYMRLCVCSILGTISIFNRILS >LPERR01G22170.2 pep chromosome:Lperr_V1.4:1:19611463:19615632:1 gene:LPERR01G22170 transcript:LPERR01G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPPYRKLGFWNLEFTLSKKKKKCNRSEHRIAQGPPVSEGIRHRTPFSDFPLAAAPCSGLRPKPSRRHSRLASPAQVASLSLQADASSETRPPQNPRNLCGRRAIASSLPHFPMPPSTPKRRRGGSARSRSRKKHKRLDAIHDVAPAAAVAMADSPGGGGGGAESEDSDAEGLRRSTRVRRAPALLDTSPLPSPRRKRARGGGVAGPSGGSSRRRSRGRARGEADSREIGEEEEDEEEEGDEAGNVAWRSRLRDRVKGKARLERRARSLWFEENYEVGMEEEEEEEEEEIGTLVVDLRDGAEEDEMGKESAVLPLGGMELRDREINLTIDLNVDEHDEVVEGVNVVEEDNEKKGDEEDEGKGEEVGTGNDLDEGKNEEIGDKKGLHGKESTKELESPSLEGHNDDELPCNENSVEDGAGSSHEYEHLDAQNEQTVEESSLCVEQQMELDGCSPSEQLKEVQQDGQTDDVPNVVLPEEAQKKGACEFPILEEKQGIREIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGTLPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACNDHRHYFQPQGDKYVELLRKMKMKKMKADLRKVSHDAWRKDKEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKTYRGWESVAGLSNVIQSLKEVVMLPLQYPDVFSSIGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKNWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLQEILCSAEKGFEHGRLPLPSIRVEERDWLTALAAAPPPCSQREAGIAANDLVSSPLDSHLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVVFSSMENNNVPHTVWSSYLPSLIQQKDIAKRIASILSSYGLIVSQLGNYDSVSSYVGQHEKFDAHRLSSTCSHTKGGLAHKLSGFRALVAGSPRSGQQHLIRCLLHGFVGQTVIHKLDLATMAQEGNGDVLSGLTQILLVYTEESVISLFKLKPVTA >LPERR01G22190.1 pep chromosome:Lperr_V1.4:1:19631581:19634234:1 gene:LPERR01G22190 transcript:LPERR01G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRLARSVQAKAGGSPRRELLFCHGSKVSVGEWKLCHTVLLLESLITRLPVSRRVTVVCLPEDVLLSHTVVPLVSARTRLPSWPSDILEPYRFTGE >LPERR01G22200.1 pep chromosome:Lperr_V1.4:1:19647597:19650004:1 gene:LPERR01G22200 transcript:LPERR01G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCLVILPLIIVLLSSHASAQSTLSTGSSIPVEKHKQTFLTSPNADFSCGFYEVGRNAFSFSIWFANSKEKTVVWSANPKSPVNGHGSKVTLNNEGNLVLTDVNGTVNWDSKTSSGKGTTVVLLDTGNLIIRDSTGTKLWQSFSAPTDTLLPLQPLTKGTRLVSGYFNLYFDNDNVLRLMYDGPEVSSIYWPSPDYNVFDIGRTRYNSSRNAVLDTEGHFLSSDQLNIKASDWGPGIKRRLTLDYDGNLRMYSLNVSDGSWTVSWQTIAKLCDVHGLCGENGICQFLPRFKCFCPPGYEMKDPTKWNRGCRPLFVKNCSKTEEFEFVKLAQTDFYGFDLTVNHSISLKECKRTCLEICSCLALTYKTGSGTCYTKNVLYNGYSSTNYPGDNYIKVPKNMVSKHSDLSCNPSTEIVLGSATVLGALVAIFAVRMWGFCSEDQHKLLVYEYVENESLDKYLFGNVSTERLLAWNQRFKIAWGTARGLAYLHHECLEWVVHCDIKPENILLTRDFEAKIADFGLAKLSKRDNTSLNFTHMRGTMGYMAPEWALNSPITAKVDVYSYGVVLLEIVTGSRISSGIKVDGKEVELREFVQAVKQILASGDVKDIIDTRLNGYFNSAQAKDGKHAQHLK >LPERR01G22210.1 pep chromosome:Lperr_V1.4:1:19665888:19668455:1 gene:LPERR01G22210 transcript:LPERR01G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFDIGILVVQLSLACLLLCSSASTAQHTLGAGSSLSVEDQPRPFLVSPDGTFSCGFLQAGDNAFTFSIWFTADRSSTAVWSANRDAPVNGRGSSRVTFRRDGELALADANGTTVWSTKTGGSKKSLAVSLRDTGNLVITDTSTGRATWQSFDWPTDTLLPSQPFTKNTKLVAGYYSLYFDNDNVLRMLYDGPEIASIYWPYPGVSVFNNGRTNYNSSRIAILDDTGVFQSSDQAKGEASDLGLGIKRRLTMEQDGNVRMYSLNASTGGWAVTWLALKVPCQAHGLCGRNGLCEYQPSLRCSCPPGYVINDSRDWRKGCKPTFAVGNCSRGAPPPERYKFIKVPHTDFYGFDLWYNESVTFKQCRDMCRNMCQCTAFSYRINGGGKCYPKGTLFNGFTAANFDGIIYFKVPQDFNASALQVSSQSAGGLMCSPNVTVVNVSADVYGMAPRSSGKWTYLFAFAGVLGLLDLLFIATGWWFLSSKQSIPSSLEAGYRMLMTSQFRRFTYRELRDATGNFKEELGRGGSGVVYRGVLDGGKVVAVKRLEAIDVTTRGDEEFWAEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVDNQSLDRHLFEEAGGGKTTTTTLAWSDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEIVVGGRIADQRTEAGERLQLPQITQVLRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACMEDRSSRPTMDDIAKSLTAFDDEDEHPAYHS >LPERR01G22220.1 pep chromosome:Lperr_V1.4:1:19671271:19684663:-1 gene:LPERR01G22220 transcript:LPERR01G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLYPIILSSFSILVFWASASPQLTLTTGSSFSVEDYQHTFLASPNSTFSCGFYPVGANGFTFSIWFTGTADKTVVWSANRHSPVNGHGSKVSLHDDGYLVLTDVNGSTVWTSTVSAGGGSTAELLESGNLVRSRRHSLVAKLHFANGYLAPCAAIDQRHKNGIKVKNNSRLAALDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSINTSDGSWIVTWQAIVEMHYVHGMCGKNGFCEYTPEPRCSCPPGFQMVDPQNWNKGCKPTFSYNCGKERNEYKFIEIPKTDFYDFDLSLNESISFEECRNICLDMCSCIAFSYRLTGTGVCYTKALLFNGYKSPAFPGSLYLKVPSRANIQPLSNQSGLTCSALGSQVIAIPSDHTRWLYFYIFPGAFGALELVLILTTWWFLSRKNDIQNSAEGGYMMIRNQFRRFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTQRLLLWRERFNIALGAAKALAYLHHECLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTVGYMAPEWTTNLPINAKVDVYSYGVVLLEIVAGQKISSCTTREGKVINLKQFVENVKKSLATGDTRRVVDVRLHGQFNSEQAMVMLTVAVSCLEEERSKRPTMDEVVKTLLDCEDRLGTLPVPSISAARLLLLLLRHHLPHEPPLRCALRLRRRRSRGSQIQSRPATTIGQVRLDAAYLPFLGEQLGNFSVGYIVSSDASNMGGKKNQRLNGEENGDASISKTMAGLGIVCLAAVQLSLACLLLCSSPSTAQRDTLGTGSSLSVEDHAQPFLVSPDGTFSCGFIQAGDDGENAFSFSVWFTNAKDSAVVWTANRDSPVNGRGSRITFRRDGELVLTDTNGTTVWASRTGGGGRGLTVSLLDTGNLVITDPSTNGGRTMWQSFDWPTDTLLPSQRFTKDTKLVAGGGGYFSLYFDNDNVLRMLYDGPDIASIYWPLPGGSVFDGGRTNYNSTRVAVLDDGVFRSSDRLQAQASDTGVAGIKRRLTIEQDGNLRMYSLNASTRGWAVTWTAVKQPCQAHGLCGRNGICEYLPSLRCSCPPGYVMNDRRDWNKGCKPTFAVGNCSRGAPPPEKFKSVVVPQTDFYGYDLMFNQSMTFNVCRQQCLDDCQCVAFSYRFDGVGRCYTKGMLFNGYRSANFPGNIYLKVPLDFNESLPSVTAESAVGLVCDPNVTVVTVSADVYGITPRSTGKWTYLFVFAGVLGVVDLLFIATGWWFLSSKQSIPSSLEAGYKMLMTSQFRRFTYRELRNATGNFKEELGRGGSGVVYRGVLDGGKVVAVKRLEAVDVTKQGDEEFWAEMTVLGRINHINLVRIWGFCSERKHKMLVYEYVDNQSLDRHLFDATDGGKTTTTTLAWRDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGTGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEIVVGSRVADQRNEAGERLQLPQITQALRHVLDSGDVVSLVDARLQGQFNPRQAVEMVRISLACMEERSSRPTMDDIAKSLTAFDDEDEHPAYH >LPERR01G22220.2 pep chromosome:Lperr_V1.4:1:19671271:19684663:-1 gene:LPERR01G22220 transcript:LPERR01G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLYPIILSSFSILVFWASASPQLTLTTGSSFSVEDYQHTFLASPNSTFSCGFYPVGANGFTFSIWFTGTADKTVVWSANRHSPVNGHGSKVSLHDDGYLVLTDVNGSTVWTSTVSAGGGSTAELLESGNLVVRDPGGTVLWQSFTSPTDTLLPAQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFASIYWPNADYTMFQNGIKVKNNSRLAALDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSINTSDGSWIVTWQAIVEMHYVHGMCGKNGFCEYTPEPRCSCPPGFQMVDPQNWNKGCKPTFSYNCGKERNEYKFIEIPKTDFYDFDLSLNESISFEECRNICLDMCSCIAFSYRLTGTGVCYTKALLFNGYKSPAFPGSLYLKVPSRANIQPLSNQSGLTCSALGSQVIAIPSDHTRWLYFYIFPGAFGALELVLILTTWWFLSRKNDIQNSAEGGYMMIRNQFRRFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTQRLLLWRERFNIALGAAKALAYLHHECLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTVGYMAPEWTTNLPINAKVDVYSYGVVLLEIVAGQKISSCTTREGKVINLKQFVENVKKSLATGDTRRVVDVRLHGQFNSEQAMVMLTVAVSCLEEERSKRPTMDEVVKTLLDCEDRLGTLPVPSISAARLLLLLLRHHLPHEPPLRCALRLRRRRSRGSQIQSRPATTIGQVRLDAAYLPFLGEQLGNFSVGYIVSSDASNMGGKKNQRLNGEENGDASISKTMAGLGIVCLAAVQLSLACLLLCSSPSTAQRDTLGTGSSLSVEDHAQPFLVSPDGTFSCGFIQAGDDGENAFSFSVWFTNAKDSAVVWTANRDSPVNGRGSRITFRRDGELVLTDTNGTTVWASRTGGGGRGLTVSLLDTGNLVITDPSTNGGRTMWQSFDWPTDTLLPSQRFTKDTKLVAGGGGYFSLYFDNDNVLRMLYDGPDIASIYWPLPGGSVFDGGRTNYNSTRVAVLDDGVFRSSDRLQAQASDTGVAGIKRRLTIEQDGNLRMYSLNASTRGWAVTWTAVKQPCQAHGLCGRNGICEYLPSLRCSCPPGYVMNDRRDWNKGCKPTFAVGNCSRGAPPPEKFKSVVVPQTDFYGYDLMFNQSMTFNVCRQQCLDDCQCVAFSYRFDGVGRCYTKGMLFNGYRSANFPGNIYLKVPLDFNESLPSVTAESAVGLVCDPNVTVVTVSADVYGITPRSTGKWTYLFVFAGVLGVVDLLFIATGWWFLSSKQSIPSSLEAGYKMLMTSQFRRFTYRELRNATGNFKEELGRGGSGVVYRGVLDGGKVVAVKRLEAVDVTKQGDEEFWAEMTVLGRINHINLVRIWGFCSERKHKMLVYEYVDNQSLDRHLFDATDGGKTTTTTLAWRDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGTGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEIVVGSRVADQRNEAGERLQLPQITQALRHVLDSGDVVSLVDARLQGQFNPRQAVEMVRISLACMEERSSRPTMDDIAKSLTAFDDEDEHPAYH >LPERR01G22230.1 pep chromosome:Lperr_V1.4:1:19682123:19684944:1 gene:LPERR01G22230 transcript:LPERR01G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLFTIQESFDNFIHGSKVSVGEVKLCHKTVPPGSLTTRFPLSSSSAVDPPPALTVLVHTVEPLTSVRTR >LPERR01G22240.1 pep chromosome:Lperr_V1.4:1:19687282:19690598:-1 gene:LPERR01G22240 transcript:LPERR01G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTPSGPTDDDPCTDDCAAEVDGANEEASSSKITVSITLSSVHTSIDPSETSSGSGWPYLTGGRLNRWLRRGNSCSSHQQTSGGGARYSTGGIPYRCGWRDWRRDRRRKTSGGAAGRVAGRVAAGDGEATLFLPLPRVIAGNSDFLLDGYAAGWWGIDCKTSRRGEEGQRPWVHRSFPSARLRGPRHALGDFLCLEPVVSNFLGPSNRGLRWELVV >LPERR01G22250.1 pep chromosome:Lperr_V1.4:1:19690610:19692447:-1 gene:LPERR01G22250 transcript:LPERR01G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAPSWSVVLDFQLGRGSTWLSRVVVCQGERGSCRPWWFGRLWGLAPWVGESVASSPHGLDQLFHQLFPNTLLALLLDASAPFSLSSSIPAICAVFLMPVSSRLLSAAERRMDINSSGSFLNLQDPTVVANLSQSSTPPGFASFNSFPYAHVPFPLFSTQPPPSAAAEKAGPSSRRRKRVTAKAPATNVEAAPADQAAHEGDGPGRMYYRVEEDIRLNAPPPEPPRPVGIKKAKKGKEKVLSSEVVEMLETLTDAQVANKEEDEKMKEFQLQISEKKIEATNNILMAAEKKLEAKRLDHKKELLFKMTEMLKEDT >LPERR01G22260.1 pep chromosome:Lperr_V1.4:1:19692931:19697792:-1 gene:LPERR01G22260 transcript:LPERR01G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIDLNTVEEEEEEEEEGCTGAALARAAGGGGVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGAAASSGAAAAAVPPHVFCRVVDVSLHADAATDEVYAQVSLVADNEAVERRMRERDDGAAGDCEGEDAAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNVSPFPALHNQSSNASSLSEVARAVAVKSIFHIYYNPRLSHSEFIIPYWKFMRSFNQPFSVGMRFKLRYESEDASERRRTGIIIGSREAEPIWHGSKWKCLVVKWDDDVECRWPNGVSPWEIELSGSISGSHLSTPNSKRLKPCFPQVNPDIVLPNGSVSSDFAESARFHKVLQCQELFGLKTRDGTVNTASQVTEARKFQYPDERSCFISMGNNFSGVPKLGVKTPSRNLGFSYHCSGFGESQRFQEVLQGQEVFRPYRGGTLSDACIRGSGFRQPDGNHASGAAFKWLAPQGCDHHGITTPVLPQASSPSSVLMFPQTSSKMPGLEYIYGCMDRNESSQHFKTGPTQDMARADQTLRLWPHLISGKVLDECKGNEKLHSPVSGAEHESNNSCLNTNGCKIFGISLTEKVQAGDEVDCANASYHSRLQSLKPQMPKSLGSSCATVHEQRAVVGRVVDISAVNTMI >LPERR01G22270.1 pep chromosome:Lperr_V1.4:1:19710651:19713266:1 gene:LPERR01G22270 transcript:LPERR01G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMPATPSSSATVPPIETQHQPDDIEAGQQPDDMEEAKQPYDMEVASKPPQFLTRLDIYILTALCETCEIVWSKELWISHLFVVTLRTDMRTWRPSFRLCSSYFPSISRWEHSV >LPERR01G22270.2 pep chromosome:Lperr_V1.4:1:19710543:19713266:1 gene:LPERR01G22270 transcript:LPERR01G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGCGYSGRRCGHQIRRYRPCDDGGDDLGAQIPVLPIRCTRASQRSRAIGKTTPKRRSPLPEWYPRSLLRDITSIVKVMNHFRWLCDGCSLNPVN >LPERR01G22280.1 pep chromosome:Lperr_V1.4:1:19713770:19714245:1 gene:LPERR01G22280 transcript:LPERR01G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSLWPKPEPPWLMLPAAGGGDVHPPTSGHRGIFLLLRWSMPLNHPACPRHPKPCLHRLTANGWLVTADGECGLHLLNPISSAQHSLPSITTTGYFDVLRELMIAPFEKSYWPEGHPEFANWQPVTEMPAKEIQSSRLLKAIPL >LPERR01G22290.1 pep chromosome:Lperr_V1.4:1:19714336:19714980:1 gene:LPERR01G22290 transcript:LPERR01G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTQYQYDDLIVYRGCFYMVTGDGLEHHDGTTLTERDEDGLPLFRKYLAESPDGKLMLIWREHSSDRCDSSDEDDIVGTNEDDNEDDDNATHNYHYDPESDPTIRFQAFVLDEHPEESKWREVHNFGGASIFIGRNSTMFFSSDIMLGLARDCIYFTDGSLSFLRYRKQLPRDIGMFDMKAKVVKPMASWEQHMKNWPLPIWITPLMK >LPERR01G22300.1 pep chromosome:Lperr_V1.4:1:19728933:19732521:-1 gene:LPERR01G22300 transcript:LPERR01G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQANPHVNLIKTCPSCGHRAQYEQSELQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGNVSLSIAMKISGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGGGSTATAKVIDPSVDLVAGNIVKNNGSAAAAAVATDHHHNDNVGILKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLMPNFEVHTGGAGFGP >LPERR01G22310.1 pep chromosome:Lperr_V1.4:1:19742039:19743688:-1 gene:LPERR01G22310 transcript:LPERR01G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAGPIDVHSTPIGPQWQLTYVEVLVLPGQGSIPPNTSVSPDTLAVLPNSSRRRRSPMPPSTPSATRWPKTLTADHLHRLVRAERDPRRALALFDAATARPSSASSPAADPTAPVLLPSRDTVSLLTSRLASAGFLPLAASLLSRCSRELFPSPPGAALEPPFLALLRAYSRAHQPLAALHLFRSAPSALGLPHSARSYTAVLAALVAHSHLPLARSLLADMRAAGFAPTTATYNVLVKAHCSDAAAPIDDAVRLFRSIPKPDACSYNTVIDGLCRRGRMPEARHLFEEMVVNEIEPTVVTYTTLIHWLAREACFDDALKLFDEMSRRGIMPNVVTYSSLIDGLCKGGRATSAMELLDRMVKERKLPNTITYSSVIDGLYKEGRLGDAMEILDRMRLQGRKPDAGLFGKLIVGLCDAGRAVEAANYLDEMNLAGIQPNRLTWSLHVRINDAVVTSLCAKGEVGRAFQVYQSMRTRGISTKPRTFHLLVECLSKKNNLEKAAHVVRDMLSERCIPERETWDAIVHVYWSKKKVRQAAEEMWNQLTVSN >LPERR01G22320.1 pep chromosome:Lperr_V1.4:1:19750264:19767707:1 gene:LPERR01G22320 transcript:LPERR01G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGDGKAPAEAPAAAAASTPPAAAAAAAGSAGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMPPPPVLPPSALPLASSELLIRGSSPYDEPLPPPVHSRRGAGRSSAVPRLSGRYYEPVPVMLPPPPMASMQLTPSELRVIHSVESQLGEPLREDGPVLGIEFEELPPGSFGAPIVPEQQKQSVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSFAGKRKSMPSDRDEGASRSRYYDTPVEASKSRMASHTPGSHLLPGSEEAAYAFQGQTSCSGLLPQSGRPEVLPAVPADYEMIQSNSNLSSVPIEGQYGIAGFENTLLPSERRAYNDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMNRKREEQMRKEMERHDRERRKEEDRLLRERQREAERFEREQRREHERMERFLQKQSRRAEKQRQKEELRKEKEAARQKAASARATARRIAREYMELMEDEHLELMELAAQSKGTSMLCLDSDTLQQLDSFRGMLSPFPPERVGLKVPFLIKPWTISEDNVGKLLMIHILSMQMDTLASGLYESVGEVWKFSITFADVLELSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNTEDVYYRDDNEGHDGKDVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEASKISLDKPSSANTSGDSLVRASSDYHEVARKDKEIDESNQGEPWVQGLTEGDYCDLSVEERLNALVTLVGVANEGNFIRAVLEERLESANALKKQMLAEAQVDKRRTKEDFAGRVQYNSNMNLKADVNQENASESTPTPFRNADKDNDGNAGVVNNNNEITDHGSNAANTSYERNGLGQDITATPETLSIQQYVYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVIDSEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKQSAVVEQSAGRYLKNGATEMTRASHRSEVSIEFGNPSNNLSGVTSDCATAYSDSFKIELGRNDVEKAAISKRAAVFLRWMWGECNDRKLTCAMEYGKKRCSELMHSCNYCYQIYLTEERHCSSCHKIFKSIHNFSDHSSPCEEKRRTDNNWKMQTADPSVPIGMRLLKLQLSTIEASIPPEAIQPSWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEGAIKRDYLSSDFETTTELLKLNTQDNASQNHLGLSGSAAVLPWVPSTTAAIALRMLDLDSAVTYIQNQKMERDGGDSMKLPSRFAVKNTQELEPLESTGFDLYDGRCVAGVVDEEVEEVAGEAEAGVEAEGSQEVLAAHLGSDLRMKTKPQGRTLVEGVLVVVVVGEDVELLGHGNHLREGADQFPRKICWGALACLAMQSLPVWSLQGAQVLMSGAWRTEGRTLKSDFTSVNDMRVQSPMGPHKTHMSVTKSL >LPERR01G22320.2 pep chromosome:Lperr_V1.4:1:19750264:19767707:1 gene:LPERR01G22320 transcript:LPERR01G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGDGKAPAEAPAAAAASTPPAAAAAAAGSAGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMPPPPVLPPSALPLASSELLIRGSSPYDEPLPPPVHSRRGAGRSSAVPRLSGRYYEPVPVMLPPPPMASMQLTPSELRVIHSVESQLGEPLREDGPVLGIEFEELPPGSFGAPIVPEQQKQSVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSFAGKRKSMVGNSPLVHPHTGSRAVHEYQFLPEQPSDRDEGASRSRYYDTPVEASKSRMASHTPGSHLLPGSEEAAYAFQGQTSCSGLLPQSGRPEVLPAVPADYEMIQSNSNLSSVPIEGQYGIAGFENTLLPSERRAYNDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMNRKREEQMRKEMERHDRERRKEEDRLLRERQREAERFEREQRREHERMERFLQKQSRRAEKQRQKEELRKEKEAARQKAASARATARRIAREYMELMEDEHLELMELAAQSKGTSMLCLDSDTLQQLDSFRGMLSPFPPERVGLKVPFLIKPWTISEDNVGKLLMIHILSMQMDTLASGLYESVGEVWKFSITFADVLELSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNTEDVYYRDDNEGHDGKDVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEASKISLDKPSSANTSGDSLVRASSDYHEVARKDKEIDESNQGEPWVQGLTEGDYCDLSVEERLNALVTLVGVANEGNFIRAVLEERLESANALKKQMLAEAQVDKRRTKEDFAGRVQYNSNMNLKADVNQENASESTPTPFRNADKDNDGNAGVVNNNNEITDHGSNAANTSYERNGLGQDITATPETLSIQQYVYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVIDSEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKQSAVVEQSAGRYLKNGATEMTRASHRSEVSIEFGNPSNNLSGVTSDCATAYSDSFKIELGRNDVEKAAISKRAAVFLRWMWGECNDRKLTCAMEYGKKRCSELMHSCNYCYQIYLTEERHCSSCHKIFKSIHNFSDHSSPCEEKRRTDNNWKMQTADPSVPIGMRLLKLQLSTIEASIPPEAIQPSWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEGAIKRDYLSSDFETTTELLKLNTQDNASQNHLGLSGSAAVLPWVPSTTAAIALRMLDLDSAVTYIQNQKMERDGGDSMKLPSRFAVKNTQELEPLESTGFDLYDGRCVAGVVDEEVEEVAGEAEAGVEAEGSQEVLAAHLGSDLRMKTKPQGRTLVEGVLVVVVVGEDVELLGHGNHLREGADQFPRKICWGALACLAMQSLPVWSLQGAQVLMSGAWRTEGRTLKSDFTSVNDMRVQSPMGPHKTHMSVTKSL >LPERR01G22320.3 pep chromosome:Lperr_V1.4:1:19750264:19767707:1 gene:LPERR01G22320 transcript:LPERR01G22320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGDGKAPAEAPAAAAASTPPAAAAAAAGSAGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMPPPPVLPPSALPLASSELLIRGSSPYDEPLPPPVHSRRGAGRSSAVPRLSGRYYEPVPVMLPPPPMASMQLTPSELRVIHSVESQLGEPLREDGPVLGIEFEELPPGSFGAPIVPEQQKQSVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSFAGKRKSMVGNSPLVHPHTGSRAVHEYQFLPEQPSDRDEGASRSRYYDTPVEASKSRMASHTPGSHLLPGSEEAAYAFQGQTSCSGLLPQSGRPEVLPAVPADYEMIQSNSNLSSVPIEGQYGIAGFENTLLPSERRAYNDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMNRKREEQMRKEMERHDRERRKEEDRLLRERQREAERFEREQRREHERMERFLQKQSRRAEKQRQKEELRKEKEAARQKAASARATARRIAREYMELMEDEHLELMELAAQSKGTSMLCLDSDTLQQLDSFRGMLSPFPPERVGLKVPFLIKPWTISEDNVGKLLMVWKFSITFADVLELSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNTEDVYYRDDNEGHDGKDVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEASKISLDKPSSANTSGDSLVRASSDYHEVARKDKEIDESNQGEPWVQGLTEGDYCDLSVEERLNALVTLVGVANEGNFIRAVLEERLESANALKKQMLAEAQVDKRRTKEDFAGRVQYNSNMNLKADVNQENASESTPTPFRNADKDNDGNAGVVNNNNEITDHGSNAANTSYERNGLGQDITATPETLSIQQYVYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVIDSEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKQSAVVEQSAGRYLKNGATEMTRASHRSEVSIEFGNPSNNLSGVTSDCATAYSDSFKIELGRNDVEKAAISKRAAVFLRWMWGECNDRKLTCAMEYGKKRCSELMHSCNYCYQIYLTEERHCSSCHKIFKSIHNFSDHSSPCEEKRRTDNNWKMQTADPSVPIGMRLLKLQLSTIEASIPPEAIQPSWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEGAIKRDYLSSDFETTTELLKLNTQDNASQNHLGLSGSAAVLPWVPSTTAAIALRMLDLDSAVTYIQNQKMERDGGDSMKLPSRFAVKNTQELEPLESTGFDLYDGRCVAGVVDEEVEEVAGEAEAGVEAEGSQEVLAAHLGSDLRMKTKPQGRTLVEGVLVVVVVGEDVELLGHGNHLREGADQFPRKICWGALACLAMQSLPVWSLQGAQVLMSGAWRTEGRTLKSDFTSVNDMRVQSPMGPHKTHMSVTKSL >LPERR01G22320.4 pep chromosome:Lperr_V1.4:1:19750264:19767707:1 gene:LPERR01G22320 transcript:LPERR01G22320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGDGKAPAEAPAAAAASTPPAAAAAAAGSAGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMPPPPVLPPSALPLASSELLIRGSSPYDEPLPPPVHSRRGAGRSSAVPRLSGRYYEPVPVMLPPPPMASMQLTPSELRVIHSVESQLGEPLREDGPVLGIEFEELPPGSFGAPIAFFPSVEHPFVPNSFAGKRKSMVGNSPLVHPHTGSRAVHEYQFLPEQPSDRDEGASRSRYYDTPVEASKSRMASHTPGSHLLPGSEEAAYAFQGQTSCSGLLPQSGRPEVLPAVPADYEMIQSNSNLSSVPIEGQYGIAGFENTLLPSERRAYNDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMNRKREEQMRKEMERHDRERRKEEDRLLRERQREAERFEREQRREHERMERFLQKQSRRAEKQRQKEELRKEKEAARQKAASARATARRIAREYMELMEDEHLELMELAAQSKGTSMLCLDSDTLQQLDSFRGMLSPFPPERVGLKVPFLIKPWTISEDNVGKLLMVWKFSITFADVLELSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNTEDVYYRDDNEGHDGKDVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEASKISLDKPSSANTSGDSLVRASSDYHEVARKDKEIDESNQGEPWVQGLTEGDYCDLSVEERLNALVTLVGVANEGNFIRAVLEERLESANALKKQMLAEAQVDKRRTKEDFAGRVQYNSNMNLKADVNQENASESTPTPFRNADKDNDGNAGVVNNNNEITDHGSNAANTSYERNGLGQDITATPETLSIQQYVYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVIDSEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKQSAVVEQSAGRYLKNGATEMTRASHRSEVSIEFGNPSNNLSGVTSDCATAYSDSFKIELGRNDVEKAAISKRAAVFLRWMWGECNDRKLTCAMEYGKKRCSELMHSCNYCYQIYLTEERHCSSCHKIFKSIHNFSDHSSPCEEKRRTDNNWKMQTADPSVPIGMRLLKLQLSTIEASIPPEAIQPSWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEGAIKRDYLSSDFETTTELLKLNTQDNASQNHLGLSGSAAVLPWVPSTTAAIALRMLDLDSAVTYIQNQKMERDGGDSMKLPSRFAVKNTQELEPLESTGFDLYDGRCVAGVVDEEVEEVAGEAEAGVEAEGSQEVLAAHLGSDLRMKTKPQGRTLVEGVLVVVVVGEDVELLGHGNHLREGADQFPRKICWGALACLAMQSLPVWSLQGAQVLMSGAWRTEGRTLKSDFTSVNDMRVQSPMGPHKTHMSVTKSL >LPERR01G22330.1 pep chromosome:Lperr_V1.4:1:19771954:19772282:-1 gene:LPERR01G22330 transcript:LPERR01G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRPLGFLLSLPFAFLSLVLSVVGVAVWLVGITLSCICPCLSCCVSVVELAVILMKLPVTIVLFFIDLIPC >LPERR01G22340.1 pep chromosome:Lperr_V1.4:1:19775786:19780199:1 gene:LPERR01G22340 transcript:LPERR01G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHIDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGHRTRRFVIPESQALSLLSRDLRDAQLQALLGALDSEERSKAPVSIPDDTSIHKDTPPQPWESSVDSSLTSEEREQKRKQATDRATFVQGLMLSTLFGD >LPERR01G22340.2 pep chromosome:Lperr_V1.4:1:19775786:19780006:1 gene:LPERR01G22340 transcript:LPERR01G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHIDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAVSSSSPYPSPESSFLVVGSAVALGVDLANELRICASLQEEACGDLLEIPCPICFEKITRDMLNHITMQHGYLFKSGHRTRRFVIPESQALSLLSRDLRDAQLQALLGGGHRHRRSNTTATNISADPLLSSFGLGFSALDSEERSKAPVSIPDDTSIHKDTPPQPWESSVDSSLTSEEREQKRKQATDRATFVQGLMLSTLFGD >LPERR01G22340.3 pep chromosome:Lperr_V1.4:1:19775786:19780006:1 gene:LPERR01G22340 transcript:LPERR01G22340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHIDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGHRTRRFVIPESQALSLLSRDLRDAQLQALLGGGHRHRRSNTTATNISADPLLSSFGLGFSALDSEERSKAPVSIPDDTSIHKDTPPQPWESSVDSSLTSEEREQKRKQATDRATFVQGLMLSTLFGD >LPERR01G22350.1 pep chromosome:Lperr_V1.4:1:19780346:19787576:-1 gene:LPERR01G22350 transcript:LPERR01G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGNQRRGGAAASPAAEPYNIIPIHDLLADHPSLRFPEVRAAAAALRAVGGLRPPPFSAWRADQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARTLRRKLLRNYTSWCGFLGRRPNVYVPDGDPRADMLFAGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYIDTATGRPANPAVHGENAFLTRVVTPIYGVIRAEVESSRNGTAPHSAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNLYRSFDRLWVMLVIYLQAAAIVAWEGDGWPWKSLRNRNTQVRVLTVFITWAALRFLQALLDIGTQLRRAFRDGRMLAVRMVLKAIVAAGWVVAFAILYKEAWNQRSKVSQIMRFLYAAAVFMIPEVLAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTVDNVKYSVFWVLLLAVKFAFSYFLQIKPLVRPTQEIYKLNNINYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNDRSFLPSRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVQGPDRRLWRKICKNDYRRCAVIEVYDSAKYLLLKIIKDGTEDHGIVTQLFREFDESMSLEKFTVEYKMSVLQSVHAKLVALLSLLLKPEKDITKIVNALQTLYDVVVRDFQAEKRSMEQLRIEGLAQSRPTSLLFVDSIVLPDEENSTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMSFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVSIDGSGYYSRTSSSRALSRASSSVSTLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKHSNGGETEYFSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRLYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAYISSNTSSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRYFFFQYAIVYRLHIAGTSKSILIYLLSWACILLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGATVAAIVLLLEFTKFQFIDAFTSLLAFLPTGWGIISIALVFKPYLRKSEMVWNTIVTLARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKRSHGV >LPERR01G22360.1 pep chromosome:Lperr_V1.4:1:19799958:19805970:1 gene:LPERR01G22360 transcript:LPERR01G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPEASAAPILYDALPGLTLAFSSSSEEEALGVAAADPGASTSASASEAEDATVTYSVFRNEITAAGDALKDIPAAEFFSLDVSAGAEDEPVTPRALSPRPAPEAALSGSLAPAEQPAQGSESAWFRGGRRFRSPMLQLHKEILDFCEFISPSAEEQSSRTAAVKAVSNVVKHIWPHCKVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDVDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDLLGYRKKEHNLGILLITFFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLSIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSMLTDVNLITSLGPNRSILGTIVRPDSVLLDRKGWNKDGTIVDMLTEPWEPLPRQFDSENDATYNWYVIDDEPLPRNSPSTSEDTNPSPTQKRKSSKSKQRSRKKAKADSSSGKNVENGFKRGKGLAPCDGSYHSAGSSKRSKGPREYDRFTNTLPQFTQHISRW >LPERR01G22370.1 pep chromosome:Lperr_V1.4:1:19806526:19808641:-1 gene:LPERR01G22370 transcript:LPERR01G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPPDPAAAARCSFDQSRRRTDVDLQQQQQQQQQEKRMVRTFVNVYGQESYTKEVVMAAVEECMKKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQVQKSVQTLHDKHELAEAPVELSKLQIAQEFPARANEASAFSTFGARENDHSTQLAKHEVTFVPVHQVNAIQSPAIPVQGSNGYILQQLVPVSLSTQPDQQQPSQAAVYYVQSQNPVKRTESKPSESAVHVIQPQIQNPEARVAAELSQKSTQLTELYPQTQDQRLHLPAQQLESQTWRTQPPVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVTVLYPPYSSQKPANATTEPLLRNMVVQSPYSSPQQKHHEAMPSFYGQGNTILLPSLDHNMQHQQPQPLQPPSLSSCPPQPSKPNHCSVASYPVQGSGQTYSTMYKNPSNCPATVVAILPQHPASAPMAFDHLGPQVVHTQPFGNMFDTASMVGYPRDRVESVALPVVTAAQPVDSIAMVDKLNAGSNVTSPREWSG >LPERR01G22380.1 pep chromosome:Lperr_V1.4:1:19830468:19831649:1 gene:LPERR01G22380 transcript:LPERR01G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRPPWSDLPVELLGIVFEQLNCLADRACLAAVCRPWCSAAASVDAPQRGLPWLLLPSRDAPSFFSLHSGATRRLTLPEGVRGARLCGAHDGGWVAVAEDPWRGFAAVNILTGVRVPLPERLWLEVRYAHGWPFTDTCGHPMLVRTIVFSAPPTSTNCIAAAHVSSSSNLCFWQPGIGMDKHWIARQAHPDVIQDIIYYHSGEKMQGGFHVLTNKEDILVYEPRAGGGPDAPLEMTCRSYQIPRRANRLPDSFVATRYLVESRGKLLMVVRHRSGNPSVRRRTRMFLVFEMCLTSPNAATWEEIPELSGRALFLRRGCSRAVEVSHFSVLEEGTIYYLNDAVDMSNPMEFNNGSRYDMGVYRKGRKIRPVGRQFPRQFTADCSPPIWLVP >LPERR01G22390.1 pep chromosome:Lperr_V1.4:1:19835103:19835399:-1 gene:LPERR01G22390 transcript:LPERR01G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSVVAAVIAACVLMVVVGMTATSVDAAATARRLGNGRDSGGVAIAVAVTTEQMLPAAQTAAGPVVVVVVADDGHGDVVSGSKRLSPGGPDPQHH >LPERR01G22400.1 pep chromosome:Lperr_V1.4:1:19843398:19849322:-1 gene:LPERR01G22400 transcript:LPERR01G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEPSITRWTFEDFEVYYEVRLGIRREPSGDEDDAPLGSDSVASARPAAAHANGVGGGADLAVFEQFERLERKVELRNGAIEAGPPQKSLLPSFESAEMRNLAETLLRDIIRGNPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARHAMFDELLPSIPGTMNIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGRQEEVPEDELPEVGPVTTEDIELALRNTRPSAHLHVHRYEKFNQDYGSHILS >LPERR01G22410.1 pep chromosome:Lperr_V1.4:1:19851383:19854128:-1 gene:LPERR01G22410 transcript:LPERR01G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >LPERR01G22420.1 pep chromosome:Lperr_V1.4:1:19860432:19865358:-1 gene:LPERR01G22420 transcript:LPERR01G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGSDTPPTIPRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTKPILQTQVEAAWRGRAIGAEAVNGAAAPRWRLVPTRREQAKWDRAAKAATGGTDVILRESKSKVKQGDPEVLAAKSREQYLELKERLQLLTLGIGGIGVVSAYLSYTPEIAVSFGAGLVGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDSIPNQNIRGRHQASKGNQKKEKITNNSINLGSICQS >LPERR01G22420.2 pep chromosome:Lperr_V1.4:1:19860911:19865358:-1 gene:LPERR01G22420 transcript:LPERR01G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGSDTPPTIPRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTKPILQTQVEAAWRGRAIGAEAVNGAAAPRWRLVPTRREQAKWDRAAKAATGGTDVILRESKSKVKQGDPEVLAAKSREQYLELKERLQLLTLGIGGIGVVSAYLSYTPEIAVSFGAGLVGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDSIPVVGNRY >LPERR01G22430.1 pep chromosome:Lperr_V1.4:1:19870786:19871793:-1 gene:LPERR01G22430 transcript:LPERR01G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPPPNPNLPYREDCWSEGETEALVQAWGRRYVDLNRGSLRQPQWREVAEAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGTPSSWIFYRDLDMLVGPTLSATGAAGGGGGGGGGKKPSPPTALPMMRRRLESPSRSPSPPSPTPAMALPLPNYRQASNLPSAGLLFNKMAAAAAAESDSEDGYNNPNNNNYADYEDDEGSQQSASRSLSSRSGGVAAGGGAGGGVSSNKRKRGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGASEVLMSLAALPFLSTPAYL >LPERR01G22440.1 pep chromosome:Lperr_V1.4:1:19874122:19883925:-1 gene:LPERR01G22440 transcript:LPERR01G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLSGALVKGLNSSAALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEVEGIEASSNLSGHSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSSSYVREDQSNSTDCPNNDDTSGGVVATNNGSRNRNGSTQKAMSLPSSPHEYREQISETSNACDFVSKERMALTWKQVLQSSPFLNKPLLPFEEWNIDFSELTIGTRDSSERFFVVYGMALMLLSNYIVSWGMHGTTTLINGNGIYGNGIAVLSHPYEWSEKEAQLAQEIENCSGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVVYTVANEGSRLEIPEGPLGKLIADCWADPQDRPSCQEILTRLLDCEYAVS >LPERR01G22440.2 pep chromosome:Lperr_V1.4:1:19874122:19883925:-1 gene:LPERR01G22440 transcript:LPERR01G22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLSGALVKGLNSSAALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEVEGIEASSNLSGHSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSSSYVREDQSNSTDCPNNDDTSGGVVATNNGSRNRNGSTQKAMSLPSSPHEYREQISETSNACDFVSKERMALTWKQVLQSSPFLNKPLLPFEEWNIDFSELTIGTRDSSERFFVVYGMALMLLSKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVVYTVANEGSRLEIPEGPLGKLIADCWADPQDRPSCQEILTRLLDCEYAVS >LPERR01G22450.1 pep chromosome:Lperr_V1.4:1:19891398:19892033:1 gene:LPERR01G22450 transcript:LPERR01G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKRGGSSPSSAKLPPPHGTYQDPRLQPPQAGLTTVVTDGGQEVSMSQFVAQLDEAARKRLDSMNQRLRWLEQQMEALEAEVGKASTAD >LPERR01G22460.1 pep chromosome:Lperr_V1.4:1:19892835:19896826:-1 gene:LPERR01G22460 transcript:LPERR01G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTTTPPPQQQQPEHGEVPEVVEMEGGEEEDSQAAAEVEDQEERWARLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPRELPMQCFIKRNKKNSTFYLYLGLTSATVDKGKFLMAARRFRRGPHTEYIISLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEIGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAATDPDDPTSSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >LPERR01G22470.1 pep chromosome:Lperr_V1.4:1:19899980:19907682:1 gene:LPERR01G22470 transcript:LPERR01G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSATVGLLNLGGCGVLLPDSSACHGLLVPRNRGRGRGRVSVAPLGWGLVGRRGRLFDARADGSGATGVVASGEAGAAGSSGLRHIEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSPRRRFTGNGDASVVRRGGERSGDGRSKSFDRRNGAQRNGGDANGRSGDGRTTSFDRRTGAHGNRRDANGMSGDGRTMSFDRRNGAQRNRGDANGRGMRFANDDIQSNSTRSVERRMLGDVEKRPRRHGKVEEYVQRRIVRGEPSEDDGKVDRNEWKQFTSQLKMKDTRGSMVAHQSERSMHVQLPSNAQNSLQGRTASTVSRISSPPNSKVILESTKSLVKRGKENFGSTKRSIYENNRNYPRERKISNYDVNADGKFQRDQQTTESSGRDLVVGRFRGSDIDYYRPTVSKRFDGSMRPMSGYDGRPLDSLKSGKPEAIQMRRGKNVQTGKFVRMDAESTELDDRAAFKTFEVFTDVRNRPRVLQMELEDRIKKLASRLNATDVNTPEWKFSKLIHDAKIKFSDHSILRIVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQAGLVKELFDIIDLMRSPPKKKFKLSPIQNWDPRLEPDLIIYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLIMEVMLVCGKYNLVYEFFNKAEKTSIPGSLNYKVLINALWREGKIDEAVMAVKDMEDRGIVGSASLYYDLARCLCSGGRCKEALLQVKKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMRNYCSPNSITCNIMLKSYTEHGMFEEAKDLLENILNGRIRSKVESSQKAIADKFTFNTFMEACAKTKRWNDFEYAFCKMLACGYHFDERRHLRMVLDAYRNGKEQLLEDIWDYLCHHSRVPPAPMIMERFCLKLRQGDIMASVSCINTFQESKIRNVSSMSWFNLLNRNADRLKEDNIIKLVHELNKLVSSSGHSDSLYQNIVSSCTEFLSGSTSVKKDFGKFSEEYSDSDKRGPDGGRTQGCRRMARTMIGGCAT >LPERR01G22480.1 pep chromosome:Lperr_V1.4:1:19908197:19914374:1 gene:LPERR01G22480 transcript:LPERR01G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKMVDVLLRCSALIALVLHFVINGCAAVNIEGSALLRFQSRVEDDPHGAMAGWSHRDGDPCSWNRVRCVDGRVVILNLKDLSLRGTLGPELGSLSHLRALVLSDNLFSGPIPKEMSGLGMLEILDLSNNNLTGEVPQEIAEMQSLKHLLLSNNNFQWPLVKNSYRNFDQEIDFDIYDDRGNVNQRSQNGFEPDSSSEENKKDNNNPSARLSSQFAARNPAVQLSRRRLLEDTNLAAVSVNAPVPAVAPVPSTGTGTYSAYSNSGPPTLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLFIGLACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIMTSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEDPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGIGYCIQHMHELKPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISRGKMPKNDDFVDHYEPVSADPAGNVCSFGLLMLEIISGRPPYSEHKGSLANLAMECIKDDRNISCLLDPSLKAHKENELEIICELIQDCIRSDPKKRPSMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >LPERR01G22480.2 pep chromosome:Lperr_V1.4:1:19907932:19914374:1 gene:LPERR01G22480 transcript:LPERR01G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKMVDVLLRCSALIALVLHFVINGCAAVNIEGSALLRFQSRVEDDPHGAMAGWSHRDGDPCSWNRVRCVDGRVVILNLKDLSLRGTLGPELGSLSHLRALVLSDNLFSGPIPKEMSGLGMLEILDLSNNNLTGEVPQEIAEMQSLKHLLLSNNNFQWPLVKNSYRNFDQEIDFDIYDDRGNVNQRSQNGFEPDSSSEENKKDNNNPSARLSSQFAARNPAVQLSRRRLLEDTNLAAVSVNAPVPAVAPVPSTGTGTYSAYSNSGPPTLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLFIGLACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIMTSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEDPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGIGYCIQHMHELKPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISRGKMPKNDDFVDHYEPVSADPAGNVCSFGLLMLEIISGRPPYSEHKGSLANLAMECIKDDRNISCLLDPSLKAHKENELEIICELIQDCIRSDPKKRPSMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >LPERR01G22490.1 pep chromosome:Lperr_V1.4:1:19917076:19918185:1 gene:LPERR01G22490 transcript:LPERR01G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINADVLTEMEIPEEYIETLPKNGRSSLGDSIYKCITDDHFDPNELLNSVDLSTEHKIVDLKDRIEASVVIWQRKICNKLSWGPGVSLEKREQFEERAQTVLLILKHRFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLYADAIARDPKRTKSRRRPSIADIPX >LPERR01G22500.1 pep chromosome:Lperr_V1.4:1:19920690:19922364:-1 gene:LPERR01G22500 transcript:LPERR01G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVGDTLPDGQVGWFDEEDKLQQVSIHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLISVNDPFVMKAWAKTYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSR >LPERR01G22510.1 pep chromosome:Lperr_V1.4:1:19934750:19935631:-1 gene:LPERR01G22510 transcript:LPERR01G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPAAGYSAGMKRPLAAALHNHRIAAAAAAAERRWQRREAIRAKRGGAPAAGALVPYVAPPPPIDAQPMHAVPHAAVAPKKPKKAAAEARPPVEPEWIRDLLFEELRLTRDQPLVFIGERKLTWSDLKDHQNRFRLPTLGVQQRLLPMLTLDEAKAAHLLKNNEEGLPMPMKRRRPEKEINVVEGITDAPDQPRKKGKEHGGLPVTVMHGNDVEGKRELQLVVWESTNGAVIKGNGYMDFIKRTQLKEHDVVQIWAFKRREFRFFGVNVEESPLYLLIVRAPVDPPVPLI >LPERR01G22520.1 pep chromosome:Lperr_V1.4:1:19938026:19940641:-1 gene:LPERR01G22520 transcript:LPERR01G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAAAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDPVLVSSSLKSAWAAISSHKHARSLERSRSKGLSCKRAMLHLLVCFMVGIFIGFTPPFSVDLPEKIASENGRLPFDGGVIERKMIEQQGTKLEPFVEEAESEASDEPQVEESPPVPAILDDEVDFVEASPIVNFVNDSGIVMRKQLIVITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVILEGPLCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVSYPTGWLLQKNLDAVVPIT >LPERR01G22530.1 pep chromosome:Lperr_V1.4:1:19940874:19941942:-1 gene:LPERR01G22530 transcript:LPERR01G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPAEQDGHGGRRSKRATPTVAAAVTMDEASRPRRTQPAMDAAEDEDGGDGGRRRRGLEEQRKRRTETKALDAGVRMKIATGGSHASSGGASSLLSPPNPLSDGDSAAISILPLLLLFVPPLPLYLPSSLPLHHLTNSSCPDERVNPPRTGQRGDEIGDCLVSGRKV >LPERR01G22530.2 pep chromosome:Lperr_V1.4:1:19940660:19941942:-1 gene:LPERR01G22530 transcript:LPERR01G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPAEQDGHGGRRSKRATPTVAAAVTMDEASRPRRTQPAMDAAEDEDGGDGGRRRRGLEEQRKRRTETKALDAGVRMKIATGGSHASSGGATVHA >LPERR01G22530.3 pep chromosome:Lperr_V1.4:1:19940874:19941942:-1 gene:LPERR01G22530 transcript:LPERR01G22530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPAEQDGHGGRRSKRATPTVAAAVTMDEASRPRRTQPAMDAAEDEDGGDGGRRRRGLEEQRKRRTETKALDAGVRMKIATGGSHASSGGAGRKV >LPERR01G22540.1 pep chromosome:Lperr_V1.4:1:19941621:19942652:1 gene:LPERR01G22540 transcript:LPERR01G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECFDDIALFQDYHDLKSIRKLGVMALAMDMRIIGGKARFDPDLLLLHLLERVCPSRRRAHPSAAAVATAADRHPSAPPVAAGAEHPPPPVAVLVHRRALV >LPERR01G22550.1 pep chromosome:Lperr_V1.4:1:19955775:19960555:1 gene:LPERR01G22550 transcript:LPERR01G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPDRTRREPSFLTKEKNWRRPIATEPGPRAPATTSLAPDSECQHPPSPTARALRCPPPVLARTVVKKYQALEPSLATAGGYSPVRSSGSAAYTSASWTYAHGFLPDSTWRRRYLVRSSWSVGWEPRSAPESASSAREGDMAAIKSIDLGSKRKGIRGEEEGAASEKEW >LPERR01G22560.1 pep chromosome:Lperr_V1.4:1:19959368:19960267:-1 gene:LPERR01G22560 transcript:LPERR01G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRADEADSGADLGSHPTDHELLTRYLRRHVESGKNPWAYVHDADVYAADPEDLTGEYPPAVASDGSKAWYFFTTVRAKTGGGQRRARAVGDGGCWHSESGARDVVAGARGPGSVAIGRRQFFSFVKKEGSRRVRSGWIMVEIGLNLGQQDVPSDELVLCKVYRSPRAPPPAVNRSTAAPPPAAAAEKSKTEEAAAPPPVDVKPVVADAAAPIPDTKIVKAAKEAAAAATGCKRKADGKSSGARRGKRLCARCQAETSESDSEAETAVLDNSPRSGDETADSSDVHVNREAKLLKFL >LPERR01G22570.1 pep chromosome:Lperr_V1.4:1:19961276:19962016:1 gene:LPERR01G22570 transcript:LPERR01G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLRPLLPPKPFLSATPKPHLPTTTTTAVRCTAAPRPATGSTPKPIQEEANNNNNNQEQESSNAAVATPDEAGANPHRIPDDDTPPSAAATTSFAVARRVPSAITTDGRHRRTALTQGEEPPNYEIGWKRTKKLPLEKPRGWAIADFMEKLEGLLARGRYGSGQLLGTVAGVVTERAREEAEILVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >LPERR01G22580.1 pep chromosome:Lperr_V1.4:1:19973574:19976266:1 gene:LPERR01G22580 transcript:LPERR01G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNYGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYYNPLGQPGNLIHRAGSLNLEDARASTSSLSSSPSSPFHATAVSSSPFPIHPNLAMAYGERGDVRYSEFQTPIIRSPSSRSTIYGAPHYAHPSITLPLFEPEESARLKAHHDRSQSADSTSMNSDDPQDVDLELKL >LPERR01G22580.2 pep chromosome:Lperr_V1.4:1:19973574:19976266:1 gene:LPERR01G22580 transcript:LPERR01G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNYGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYYNPLGQPGNLIHRAGSLNLMAYGERGDVRYSEFQTPIIRSPSSRSTIYGAPHYAHPSITLPLFEPEESARLKAHHDRSQSADSTSMNSDDPQDVDLELKL >LPERR01G22580.3 pep chromosome:Lperr_V1.4:1:19973574:19976266:1 gene:LPERR01G22580 transcript:LPERR01G22580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNYGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYYNPLGQPGNLIHRAGSLNLMAYGERGDVRYSEFQTPIIRSPSSRSTIYGAPHYAHPSITLPLFEPEESARLKAHHDRSQSADSTSMNSDDPQDVDLELKL >LPERR01G22590.1 pep chromosome:Lperr_V1.4:1:19980739:19982823:1 gene:LPERR01G22590 transcript:LPERR01G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPAGLLLRAAVLSATLLAAAEADGAANDVLNIFSPRSESDYFHNAFQERQEQVAPRGVGGEQQQQQEFVLTGAGPGGSGLTRAPPRAAPSKVALDSVTLRADGSAGAWSVVSENSGVSAMHMVVMRHGKAIMFDTSTTGRSLVRLPAGGCRPDPRSKRPGAMDCWAHAVEFDYNTGAHRSLKIVTDTWCSSGAFDGDGNLVQTGGYFEGEKAVRYLSACANCDWKEFPKSFAEGRWYGTQHVLPDGRFIMIGGRRAFSYEFANPKATPLRLLRETTDDVENNLYPFVNLLPDGTLFLFVNDRSVVFDYRTGQVVRELPILPGGARNYPASAMSALLPLDLRRGASLSAEVIICGGATKNAFKLGENNTFPPALRDCARINPSKPGARWALDQMPVGRVMGDMLILPTGDLLMLNGAARGCSGWGFGRQPVLSPVMYSPRQPRGKRFRALAATAVPRMYHSTSTLLPDATVLVAGSNTNTAYNFSGVDFPTEVRVERFTPPYLAASRPSIDAASVPGDGMRYGSRFTFRFTTPPGQQGVVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVSTFAVQGQGRHTVTVDAPPKPELAPPGYYMVFVVAKGVPSKAAWVKIHK >LPERR01G22600.1 pep chromosome:Lperr_V1.4:1:19985491:19989592:1 gene:LPERR01G22600 transcript:LPERR01G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDASSPLPALSNAYQPLPSLYLGFLALWAVSGFSWAFNSWRSRHFQANNLQWILAMVPLIKALQMALSFLYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTLNFIEEEDIHSLHGTLNTKYTMFKRFQGTMQVAIVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVEPFMKSTWEIAMPPIYSVEMDAADFNGLVSEHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSVAATASRLQLNKNNQV >LPERR01G22610.1 pep chromosome:Lperr_V1.4:1:19992898:19995621:1 gene:LPERR01G22610 transcript:LPERR01G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGPDDAYACLSLLLLILLPAVTATPYSSLCSSPAETADLVVTGHHHQSHDDDELHLPLPSEGYFSGGGDLHFASENYRFRRSFSFFTLRASRTTNPAIHHLVAVVTLSGYRLGRHTNGSYSVSFHLDGYYSTEPASASAVLCMVGSGSRARDDGFGVVVLPDVVLRLRLPRPANLTRPFVTGSLEGPDFGTVTLVAYAEGDYKYGEAASCPAPPLAGAVRSASQVLDAAGLLSCNRIKELLRGSYALEYPAAGRASNGFPPVLRRHRSMHVSQMYCAHDGAVRAHMVLDDMAWWRPDGFLFHAAGAEALVADGFWDTSRSRLCFKACRPVRSTVRKSDCGIGMQFWFPAVWSIHDRSVVAGMIWNTSDDDTNKMSRVISVSRTGFRGNFSDIKYNYTRVEDAKKYYYSKPELSKERKGRFPGNYSYRDFDIYFHMMRQGGSGYASPVTLGSAIVDDGDGGRLMADYAFARHAVPEMNEQRLLNVSYEFDFQYRYVESSRAGNVSFTYESWRISAEGIYDTKAGSLCMLGCRVINGSSDCEILVTLQFASLDGVDNEDGEHGFGSISSLRKNKTDPLFFETLGIAPSVMIALQAAEEVSRLDMERIMLVSSMTLSCVFLLLQLRHTKKNPDALQATSVTMLAVLSLGYMIPLVLNFEAMFADDGGGDRGRNFVLLASGTRRLELALRASTMVAFVLQLRLLQLAWSEKLTTGVLSQSRDKDQWAAERSTLWVCLPLYIAGAVLIWIHHISDGHADQPTIGRVEFVLDVAPRRAPLSGDLVSYAGLIMDGFLLPQIVCNALSGSRVNAISPWFYVGGTVIRAAPHVYDGLRTRGYVQRWMPSYVDVYASPRDGLFGVAWDVAIPCGAAALAVLLFFQQRLGGDFLCRVKSRKPGGYERVDLDAST >LPERR01G22620.1 pep chromosome:Lperr_V1.4:1:20005108:20007844:1 gene:LPERR01G22620 transcript:LPERR01G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAKNRMLCFVLLVLSTTATTLSTAAPSGPYSSRCGTSPTPAADQHTDVDDAAALIRSFQITSGFFSGGGADTLFSAGSYVSDGFARPSFSLLPHSVSRTTDPTVLHLAATLTMSGIRVHENYDGFFGNSFRKYSHSISFYLDGYYSSASAQLCMVGEGSELSNEGSVTHYAGVALRLRIPSPSSLTDPFVTGNLEGADFEPISLVTYAEGTSYEYSATASCPPVSDSDSVPRRAIETSPDGGNFSDIFLPEETAIVADGRWDSVTNRLCLRACLVARSSSPPSSTNLLEVRECGIGMSFWFPAVWTIRDRSVAAGALWNATQLNSSASSSDALITASSFEKFKGNVSDVNYSYNFTMLDEAKKNYLKVKARLNTSNKKKSKGSFARNHTNYSRRDFDFMFFLDGGGSGRAYPVSIDSAMVEGNSLSAEDSFSGHAARQLKQSSLVNVSYGVLYSVMPKNLTDFVRTKDRHIWAEGVYDPTTGYLSLVGCGELNDTMDCRILITVQFTPSSDGEGFSHGKGRISSLRDSRDSLYFPRRDIALLGMYSHEVSDSIWTMDTESVVVVISTTLTCVFTVLQILHTKRNPKAAASTSITMLAVQALGLVTPLVVNYELLIMNKRKYMDWLIGDADGWLHLNELMLRVPTLIAFALQLRLLQLGMARPKRHIAGRREEAAVTVVVHMVNVRAAREAALVSRRFAPAEAATLWADLASYVGLVLDGFLLPQVVFNAASGSRVEAISPWFYAGGTVIRAAPHAYDALRAMGVSYGPSHVYASARDDFFGAAWDVVVPLGAASLALVLFLQQRLGGDLMLRSRSRRSRDYQLVSAFQR >LPERR01G22630.1 pep chromosome:Lperr_V1.4:1:20008084:20022591:-1 gene:LPERR01G22630 transcript:LPERR01G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDATEPLIAEAAKHISHGSRSGSSGTGSQSGGGRKYQRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRGHAQHACDQRDLYFLGQLPYLNKAESLIYEGLTLLILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFMLACDVLVFAFSPQPFRIAPYIRVAFLIMTIRELRMCAVTLIGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTLFSSYGATLYQMFILFTTSNNPDVWVPAYKSSRWYSLFFIIYVLLGVYFLTNLILAVIYDSFKQQLAKQVSQADFTRKSILEKAFGLIDANGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIRFQKEPPPSYLEKYPFYHSTLCERLKSFVRGKQFEYIVIFVLLMNLVAVIIETTLDIQNSSSQKVWQEVEFVFVIGEALTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQIFGGIVYAGNPTLEETDLFDNDYLLFNFNDYPSGMSYRQLTGILLLLNLIVAFVLEAFFAEMELEKDGETNTQDPTLEGNRRRSVRQ >LPERR01G22640.1 pep chromosome:Lperr_V1.4:1:20026110:20028897:1 gene:LPERR01G22640 transcript:LPERR01G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSPLFSLSSLSASLPSPTRTTPASLSLRALAPRARLSASYAAFPIGGLGAWAATASSSGRWRRRGLEVVCEATKTGRRPDSVKKRERQNERHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTANHRKSILARRKKAIEILRGWYVPNAEPAATT >LPERR01G22650.1 pep chromosome:Lperr_V1.4:1:20028490:20032113:-1 gene:LPERR01G22650 transcript:LPERR01G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIRGTAGLPPLPPRSGGNTAGREEGGANRNGKKEKSGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSISLNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYERIHKLEEVHKELITRIKNKKKLLQEIEKQFDDLQNITSRNQARQRPAESANGVCLPFLLIKTSRQARVEIEISKDSKFARFDFNGTPFTMHDDVSILEDIRRT >LPERR01G22650.2 pep chromosome:Lperr_V1.4:1:20028807:20032113:-1 gene:LPERR01G22650 transcript:LPERR01G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIRGTAGLPPLPPRSGGNTAGREEGGANRNGKKEKSGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSISLNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYERIHKLEEVHKELITRIKNKKKLLQEIEKQFDDLQNITSRNQARQRPAESANGVCLPFLLIKTSRQARVEIEISKDSKFARFDFNGTPFTMHDDVSILEDIRRNNIGRSSLSILP >LPERR01G22660.1 pep chromosome:Lperr_V1.4:1:20036938:20037583:-1 gene:LPERR01G22660 transcript:LPERR01G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKTCILRIVSGTPGIKSVAFDDEKSTLTVIGEVDVVVIVDKLRHPNKGKKKKGKKGKKEKKAEGYMVEVMTVSDEKKEAEEKKKKEEEEKKKKEKEKEEEEKKKKQCAEIKQCAELKQCCKACQPYYIIDEPGGPCAIL >LPERR01G22670.1 pep chromosome:Lperr_V1.4:1:20039465:20041066:-1 gene:LPERR01G22670 transcript:LPERR01G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLLVGCSSVVLLLHGANLFLRALFSRDAVARPIRQQQPGLARTVTDFHRRDDISSFPHELNRWTAACSNVAVATSRGASCVLARWPATDKTGFTKLQAESIGNPPLQSSRRNLRFDQLEKRSNIVLPVETPFCSVTYPFAGPTEDSRSNFIKGGNKNVQRRRLTSKVIAFSASSQLD >LPERR01G22680.1 pep chromosome:Lperr_V1.4:1:20043069:20050491:1 gene:LPERR01G22680 transcript:LPERR01G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNSPRGSGDKTATHYLANFDNILHRQRFSKFLSVIRADIPESEALLEGLLQNGRLTFDQLVERTISKVPEGSITPSREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSTRKRAKTVEIALSIDKKVVNTASLSDAERFSEIPYIMEDASNANDSTHSSIAGTKRKHNALEVDAELNSTIAENEVLWRANFEKFIFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLIERDNNSVTSPINGILERVGQKEGGTLMTLDHITRVLEELNCNPSSEDPDSFILALGKLEARASKKELSGFELESDLSRIVEASQNEEIESLVKKKYGHAAFTIFRLLVRDGCPMETDKIIDTTILDKQIVYGTLYKLLKDDYIETERILSGTGTGNLQFFVWRVKNTFREQFIDNLYHAALNLRQMVNYIADLLLEGSKDDTKLRNRKNILILALTRHDDSLMLFNGF >LPERR01G22680.2 pep chromosome:Lperr_V1.4:1:20043069:20050491:1 gene:LPERR01G22680 transcript:LPERR01G22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNSPRGSGDKTATHYLANFDNILHRQRFSKFLSVIRADIPESEALLEGLLQNGRLTFDQLVERTISKVPEGSITPSREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSTRKRAKTVEIALSIDKKVVNTASLSDAERFSEIPYIMEDASNANDSTHSSIAGTKRKHNALEVDAELNSTIAENEVLWRANFEKFIFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLIERDNNSVTSPINGILERVGQKEGGTLMTLDHITRVLEELNCNPSSEDPDSFILDLSRIVEASQNEEIESLVKKKYGHAAFTIFRLLVRDGCPMETDKIIDTTILDKQIVYGTLYKLLKDDYIETERILSGTGTGNLQFFVWRVKNTFREQFIDNLYHAALNLRQMVNYIADLLLEGSKDDTKLRNRKNILILALTRHDDSLMLFNGF >LPERR01G22680.3 pep chromosome:Lperr_V1.4:1:20043069:20050491:1 gene:LPERR01G22680 transcript:LPERR01G22680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNSPRGSGDKTATHYLANFDNILHRQRFSKFLSVIRADIPESEALLEGLLQNGRLTFDQLVERTISKVPEGSITPSREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSTRKRAKTVEIALSIDKKVVNTASLSDAERFSEIPYIMEDASNANDSTHSSIAGTKRKHNALEVDAELNSTIAENEVLWRANFEKFIFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLIERDNNSVTSPINGILERVGQKEGGTLMTLDHITRVLEELNCNPSSEDPDSFILDLSRIVEASQNEEIIDTTILDKQIVYGTLYKLLKDDYIETERILSGTGTGNLQFFVWRVKNTFREQFIDNLYHAALNLRQMVNYIADLLLEGSKDDTKLRNRKNILILALTRHDDSLMLFNGF >LPERR01G22680.4 pep chromosome:Lperr_V1.4:1:20043069:20050491:1 gene:LPERR01G22680 transcript:LPERR01G22680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNSPRGSGDKTATHYLANFDNILHRQRFSKFLSVIRADIPESEALLEGLLQNGRLTFDQLVERTISKVPEGSITPSREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSTRKRAKTVEIALSIDKKVVNTASLSDAERFSEIPYIMEDASNANDSTHSSIAGTKRKHNALEVDAELNSTIAENEVLWRANFEKFIFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLIERDNNSVTSPINGILERVGQKEGGTLMTLDHITRVLEELNCNPSSEDPDSFILVYGTLYKLLKDDYIETERILSGTGTGNLQFFVWRVKNTFREQFIDNLYHAALNLRQMVNYIADLLLEGSKDDTKLRNRKNILILALTRHDDSLMLFNGF >LPERR01G22690.1 pep chromosome:Lperr_V1.4:1:20058161:20062528:1 gene:LPERR01G22690 transcript:LPERR01G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRVVLLLVVVAAAFLVAATSAGRATRGKSARFELLRLAPAASLPDLARMDRERMAFISSRRASARRRAEETASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCHRAAAASKNASSYSHPAAAASPRRTFHPDKSRTWAPISCSSATCKESLPFSLAACSTPANPCAYDYRYKDGSAARGTVGTDSATIALASGRAAPAPRNKAKLSGVVLGCTTAYNGESFLASDGVLSLGYSNVSFASHAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSPPPLESTASCKPAPAPAPADAHGARQTPLVLDHRTRPFYAVTVRGVSVAGELLKIPRAVWDVDQGGGAILDSGTSLTVLARPAYRAVVAALSKRLAGVPRVAMDPFDYCYNWTSPSTGGDLDVALPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEAPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCTH >LPERR01G22700.1 pep chromosome:Lperr_V1.4:1:20063102:20065638:1 gene:LPERR01G22700 transcript:LPERR01G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGAPATQPPPAPHPAVAPLAFLLGKWRGEGEGSFPTIAPFRYGEELLFSHHPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVISQSTGLAEVQKGSYDAERKTVTLQSELVGNASKVKQITRAFQVVDGELSYVVQMATITTSLQPHLKALLKKI >LPERR01G22710.1 pep chromosome:Lperr_V1.4:1:20065605:20068114:-1 gene:LPERR01G22710 transcript:LPERR01G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYISSVSRFRIEPSHARRRRPYLAAADASVPVPVAEMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >LPERR01G22720.1 pep chromosome:Lperr_V1.4:1:20080178:20086848:1 gene:LPERR01G22720 transcript:LPERR01G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAEQHNHHSMEEAMENLKINASTKTSNVNLPARKDASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGWDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPVENGYTSYGPVVPGVSAGVDGQYVSKEPYYSTISMQDPSTPGIIAQPVAYYGPELVPAYTWDPSFTILDGVQGHPVGLHQANYPARPKYSSNKHTLSSSKASRNTKAVSDTIKGSSSALDTMSTCANGYPSSKTANKVSGASITKGLPSKFLVHTNQGKGNLYQGKDIGLKESGRSWNSTEKFKARSKLNGYGDTDISDNLNDNSKSSLSPEVGTLSSAREGNDVTPSPVAISRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFRLAQERVAEKGTKCPLFLFFSVNASGQFCGVAEMAGPVDFNRNMNFWQQDKWNGFFAVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGVEMLNIFKNFSCKTSILDDFEFYENRQKVMQDRRGKPHATSLDHPVSKAEKPVETKKASQSASTVDLDTAKTDEKPIDKVATELDATKICEEQINRVEVEIDTTNSSE >LPERR01G22720.2 pep chromosome:Lperr_V1.4:1:20081289:20086848:1 gene:LPERR01G22720 transcript:LPERR01G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMENLKINASTKTSNVNLPARKDASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGWDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPVENGYTSYGPVVPGVSAGVDGQYVSKEPYYSTISMQDPSTPGIIAQPVAYYGPELVPAYTWDPSFTILDGVQGHPVGLHQANYPARPKYSSNKHTLSSSKASRNTKAVSDTIKGSSSALDTMSTCANGYPSSKTANKVSGASITKGLPSKFLVHTNQGKGNLYQGKDIGLKESGRSWNSTEKFKARSKLNGYGDTDISDNLNDNSKSSLSPEVGTLSSAREGNDVTPSPVAISRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFRLAQERVAEKGTKCPLFLFFSVNASGQFCGVAEMAGPVDFNRNMNFWQQDKWNGFFAVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGVEMLNIFKNFSCKTSILDDFEFYENRQKVMQDRRGKPHATSLDHPVSKAEKPVETKKASQSASTVDLDTAKTDEKPIDKVATELDATKICEEQINRVEVEIDTTNSSE >LPERR01G22730.1 pep chromosome:Lperr_V1.4:1:20090087:20091250:1 gene:LPERR01G22730 transcript:LPERR01G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTTTSAPEQLLDMPPSSVAANGAGAARRRRRLLLCANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPFLLVPVYAGRSPSQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSLLPVSTSSLLLSTQLAFTLVLAAVIVRHPLNFSNLNAVVLLTLSSVLLALRSSDSGERPDGGSRSRYFAGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEEMARWDRSSAAYWAVLVALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVVGGVAVFRDSFGADKAVATVLCVWGFSSYLYGEYTTQHKQQDGDGNKVAAASATAGSAGGAAKEKNPAGGGQVLEVV >LPERR01G22740.1 pep chromosome:Lperr_V1.4:1:20101719:20102474:1 gene:LPERR01G22740 transcript:LPERR01G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSCPSPCVTHNLSSAPATVNGELQSRDPNYAHVPAAVALAGARHPKETYTSWASLFVCCSVSESDQGKE >LPERR01G22750.1 pep chromosome:Lperr_V1.4:1:20103024:20105995:1 gene:LPERR01G22750 transcript:LPERR01G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAAQEEEATVVAEVYGAGSRAPPPRLPPLPGAGPSGSGGAASAGRGKQPRLQPKEPEEEDDEDDHMEVDLDKLPSGSSDPDKLAKMNAILSQFTEEQMNRYESFRRSGFQKSNMKKLLASITGSQKISPSTNIVVSGIAKMFVARIVMTERKDSGPIRPSHIREAFRRLKLEGKIPRRTVPRLFR >LPERR01G22760.1 pep chromosome:Lperr_V1.4:1:20106863:20107783:1 gene:LPERR01G22760 transcript:LPERR01G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLPAPPPPSPLGRQGRLVGVTAPSPSASQTHRPIIPASLQLPRCRCHRSEGSWRTRTTTNGRRRWWSDEDMEDEDEDEEEYEYDGGGLGGSARELFGEPWFSKLFRAYGYVLPLLLASMLVATGPKAFLMAMALPLAQSAVSWAVSLFTKTTRRQQQEEPYGYEYEHDDDPAFRRREEEEEDDDDNYERGGAWQWQSRGYKESSKSSGAGFGGWDDLRDDDEKQREITGKRRTPPEPDTAAAAAAADASDVGLRTSRGRRRSNGGMAAGRGRSSSTRRYNQAPLLTRLLVALFPFLGSWFRIL >LPERR01G22770.1 pep chromosome:Lperr_V1.4:1:20110386:20114673:-1 gene:LPERR01G22770 transcript:LPERR01G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRYAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGGGSGTVTRKPASSRSCKDDDGVAADGSRLASYLPSSHLDSKPLRTRAPQPPSSSSAAWSPARDRDHAHHSHHHHHPSDSSDTASPSSNGGGGGDVLLQWGHNKRSRCRRDSAASSAPSSQQRRQNASAAGKIQRRSSAPAEKLMPPPPPSTTAGSYTRGSNLRSASTFPTRSATAAAAGDAHPHRSAAEERSGGGHKRSPDKAHKSALEAVLHMDSKNNHQHDSLVTANGGGTGEKLGSERFELPRIYLTLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKSLQFVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >LPERR01G22780.1 pep chromosome:Lperr_V1.4:1:20124401:20126216:-1 gene:LPERR01G22780 transcript:LPERR01G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGAAVLVALAAALVLLAPRSATAQTCDDELPSGLAGNYSGLACRPIWNSFVLRLLALTGGTDLCGSQYAQDKENVVRVVLSTMYSTGWVGMGFSKDGMMVGSSAMVGWIGKKGMSHVKQFSLKGKTPSQVVADEGFLQSKDHDHTVVVQQAKIYLAFQLRFSSPLKKQQVLLAFSPTIPVNDRLTEHQDKTSFTFDFTTGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCKRWDPLWFYLHGGIQFVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPNKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILVITIITLEVLMWTRWKNNSSSMPTY >LPERR01G22790.1 pep chromosome:Lperr_V1.4:1:20131201:20140960:-1 gene:LPERR01G22790 transcript:LPERR01G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGGIRLRKPPLLSIDNTAQAPAPAGDETAMSEAKKAAEEEEEELELELEEPVSPAGRLFREPNFSCYIVCTFGLAKPVDLPAVRAGIEATLARHPRFCSIQVLDEQDKSAKPKWVRTKVNMDDHIIVAPTDTSAVDADPDKAVEDYASSLSTPSMPMDHSRPLWELHLLPFPTSEAAATAALRVHHSLGDGVSLLSLLIACTRSAADPAAMPALPSSSSSGQKRRGPVYALAPRPPLAAGAGAVLKWVVSWLVLAWHTVVDVVIFALTAASLLGDARTVLKGEEGAEFRPRRFVNRTLSLGDVKDVKNAMGCTVNDVLVGLSSAALSRYYFRRTGDSEAKNVKVRTALMVNLRPTPGLHELAKMMESSKKNGVKWGNQFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMESIFTYWSADMVVKISSIKAAASLCYGMFKNTTLSFSNLVGPSEQIVFCGNPIVYIAPTSYGHPHALTMHWLSYMNILKLALAVDETQFPDAHEILDDFTESLRLIREAASRQRDKAQDCS >LPERR01G22800.1 pep chromosome:Lperr_V1.4:1:20142944:20148487:1 gene:LPERR01G22800 transcript:LPERR01G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGVGSALPTLWDSLPTIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDTEYAEFMHKPTVKFTDFALVRKEITDETERETGHTKQISPVPIYLSIYSPNVVDLTLIDLPGLTKVAVEGQSDSIVQDIETMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYTWIGVVNRSQQDINKSVDMIAARHREREYFANTTEYKHLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKTIAGLEAELNHLGKPIAADAGGKLYTIMEICRMFNGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHSILRELVRKAISETDELKQFPTLRVEVSNAAFESLDRMRNESKKNTLKLVDMECSYLTVDFFRKLPQDVDSGGNPAQSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFMELGAREIKQLSKLLDEDPVVMERRVNLARRLELYRSAQAEIDAVAWTR >LPERR01G22800.2 pep chromosome:Lperr_V1.4:1:20142944:20148487:1 gene:LPERR01G22800 transcript:LPERR01G22800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGVGSALPTLWDSLPTIAVVGGQESLHGAPWFCNSTGSMETQNMQTLVRKEITDETERETGHTKQISPVPIYLSIYSPNVVDLTLIDLPGLTKVAVEGQSDSIVQDIETMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYTWIGVVNRSQQDINKSVDMIAARHREREYFANTTEYKHLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKTIAGLEAELNHLGKPIAADAGGKLYTIMEICRMFNGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHSILRELVRKAISETDELKQFPTLRVEVSNAAFESLDRMRNESKKNTLKLVDMECSYLTVDFFRKLPQDVDSGGNPAQSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFMELGAREIKQLSKLLDEDPVVMERRVNLARRLELYRSAQAEIDAVAWTR >LPERR01G22810.1 pep chromosome:Lperr_V1.4:1:20149100:20154080:-1 gene:LPERR01G22810 transcript:LPERR01G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTMKHLVKVGEARAAEDGGAPSAGPAYRCATGGGAASPPVVPGLECCWDIFRMTVERCPGNLMLGRREIVDGKAGKYTWMTYKEAYDTVIKVGASIWSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFVEEKKIGEVLKTFPNATKYLKTIVSFGKVNHEQKEKVEQIGVSIYSWEEFLQLGGEEKYELPTKEKDDICTIMYTSGTTGDPKGVLISNRSIITIVSALREDDVYISYLPLAHIFDRIIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMMKGSRHEEAAAIFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEFLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVCLESVPEMGYDALSKESPRGEICIRGDTLFSGYYKREDLTKEVLVNGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFASICEDPKAKEFILGELTKIGKEKKLKGFELIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQSVIDSMYKNMK >LPERR01G22820.1 pep chromosome:Lperr_V1.4:1:20156060:20162413:-1 gene:LPERR01G22820 transcript:LPERR01G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAAGNKTGGWVDPSIPRFKCQECNRALVVVGVESFADKLPAQATSGMQVSSFQGSIMGASRMDNSYVVLSKQNRSHGHGIPPRPPSAATPHIEPNQPTRAIEGSYIVLPPAAASIYKTSTSEGGGPQLPPPSINSNSHLPGNNFHSNVTVLQRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNTDIKAYEACLQRLEQESYNVLSDAGFQKEKQKIEEEEKKLKTAIEEAEKQYSEISSEMKNLELKAKEFEELEERYWHEFNSFQFQLTSHQEERDAVLAKIEVSQAHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQSALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMGWFLTCLQDFAEFAISLDKENNVPPEKSLRLPYKIDGDKVGSHTISVSFNKDENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSPKR >LPERR01G22830.1 pep chromosome:Lperr_V1.4:1:20162710:20166006:1 gene:LPERR01G22830 transcript:LPERR01G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASQYQIQVRLLDGRTRCLRFSTPTVSGAALLDAVSALSRVPAASLRLVTGRLDVSPSSVLASYADGQFPSATALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAENFIKKKAKEAGRRGVKAVEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVAKPRSGEDAKKLKIWMGKKKVEEDESDSDSDSDSDMDDDEGTDTKSIILDDGNSSRESKSEDEKIDLGSVTESHSGETSGEKSGRSDSEENDNCVQESMEPTIRSERECGDFVSDDVVEHEVGTVNQPTPENCDSALSEEVLKPDVKAEDNKNAVSATSDLNGPEVPPVEEPANGNRSLSEESVELTNYSSAAELEVLGMEKLKLELQTRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >LPERR01G22840.1 pep chromosome:Lperr_V1.4:1:20170374:20170700:-1 gene:LPERR01G22840 transcript:LPERR01G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSAPRVCRDDDEDAAPTGATKSWYSKSVEVGERGVVTVKVVMTRKEAERLAARLKEQRTRGRKARMDELKNVLRAGTAAGGDGCAAARPVRSKDLLVHTLSPIQER >LPERR01G22850.1 pep chromosome:Lperr_V1.4:1:20173245:20173628:-1 gene:LPERR01G22850 transcript:LPERR01G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPRMRQSHDYATATATGGGRKRRCSKNAEPANVASEGWPGENVAGGRVADGNSAAVSATGVTVKVVLRRKDAEKLIARLNEQSARGRKARMAEIKNELRAGDAAPSPARCRDGWTHRLAPIQE >LPERR01G22860.1 pep chromosome:Lperr_V1.4:1:20179785:20190257:-1 gene:LPERR01G22860 transcript:LPERR01G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGMAYKLRADAAAPTGAGRRARRSQSSVAAPYRAARMVQGGVSIEGGFVGGCQLTEERVAARAPRAAARVHAEPVRPLSTLPESSIGLYDPSNERDSCGVGFVAELSGDGKRATVTDALEMLERMAHRGACGCEKNTGDGAGILVALPHSFFREASFLLNNIFRQKAVTKDAGFELPPPGQYAVGMIFLPVDEKRRERGKAEFQKAAESLGHTILGWRQVPTDNSDLGESALQTEPVIEQVFLTKSSSSKADFEQQLYILRRLSIVSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLMPSQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLKCEKLGLSKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDIPPKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERIAPTISGSLTQKNEQKELAGVNGILTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSVDEMEAIKKMNFRGWRSKVLDITYPKKSGRKGLEETLDRICTEAREAIKKGYTILVLSDRGFSSDCVAVSSLLAVGAVHQHLVTNLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQKDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIHKCFDGTPSRIEGATFEMLARDALRLHELAFPSRKAPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYAEYSRRIQELNKTCNLRGMLKFKDSADKVPLDEVQPASEIVKRFCTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTINEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPARDSTFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVHCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLENMKAEKAAAKVAKEPKISNGVSVTTKKVQSEQSTNRPTRVSNAKKYRGFISYEREGISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGVTFVVNAHVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTNVVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSTEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSRNGQDVAEDITPSGAGFVQPVAA >LPERR01G22870.1 pep chromosome:Lperr_V1.4:1:20205937:20209904:-1 gene:LPERR01G22870 transcript:LPERR01G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATEEAKPKPRLIVRLGVFLASHHILFSAICCTAGVIALLFLPALAKNTYLSENALIPGSANTLFSTEDVLEANRFAKGIEAATGESRGGTEIPKFIAQQMKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAVKPNGTYTNFGINTIGIIRAPRGDGKEAIVLVTPYNSQRVQPNELLSLSLGFSVFSLLSRVAWLSKDIVWLSADSQFGEYTAVSAWLNQYHNPMFLSHPVTLATKMYGANRIIYKPDGTTEKAELMAFKRAGTVAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIETFSSLLTSSWLKVIAEVFHNIGTVLRKVNPDWKLDVTVPDYVEGTANLASSIYNQALGIPTGSHGAFRDYQVDAVSLEFGPAFHLRNENAKSSFLLRGGRLTEGVVISINNLLEKFHQSFFLYMLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGSSKTKGKLLDECKTKGSADDLQIECGSWKWLKSARVLLVIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSIAILIILYAMFGSPFHAGVEWKLLKATMITTITLGMGLMSIINFATAQLGALILIPMCLFSRPLREQLEMTFLPRTILLVSSILLTLLGFPPVAFLIMNGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCHQPESKMKQE >LPERR01G22880.1 pep chromosome:Lperr_V1.4:1:20209998:20210731:-1 gene:LPERR01G22880 transcript:LPERR01G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVQIKAAIYARAKENENGVEQSDGPWPALPQCPSPSTRRFPAISSKRHRLTGDHSAVSHRAQVGHTGAASLASSPLRGRHLRSSSARPRQSARFAHPTYSPELHPISPCPSGRREPLPLLSVSVRVPNCLSSGQLQLCDS >LPERR01G22890.1 pep chromosome:Lperr_V1.4:1:20217533:20221385:1 gene:LPERR01G22890 transcript:LPERR01G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHAAPHHAAAAVVSSPSSAPPPLLRAKPYHPKASAAACSLTVTATTPSRKAFLSCPDHGGQAGAAPPRSAQASPPALISSVQDLYDFICSGPLLDRVGYTKEKIAESIDRWLRCGVQVARLFRLNELQLSEAEKARIYHFYIPVFLWCEDQVDEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRFAGRNSATLSIDDFYLTAAEQAKLRERNPGNALLELRGNAGSHDLPFSVETLESLTKLTKEGTKMKLPRYNKSAFGGKGDRADPTTWPEVEGPLQVVLFEGWMLGFKPLPNDVLEVVNKNLQAYYDAWDRFIDSWMVIKIKDPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNQDHLLVIDIDEQRSPMWGR >LPERR01G22900.1 pep chromosome:Lperr_V1.4:1:20221883:20227429:1 gene:LPERR01G22900 transcript:LPERR01G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWMNCKKAICDEVEIVKQLDAQLKAFKEAPGASRSSSPPIRSNKSFVFQPLDEYPTSSPAPFDDPDVWAPPPRDTPNRRSARGQSSTRKSSQDGAWARGPSKSGTPSRGAKPNGSKGNSGVRSSTASSTGGRKGKASSSKAESVSSDAEEGKPKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVGTDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFVEALAKVQKSVSPADIEKHEKWMAEFGSA >LPERR01G22910.1 pep chromosome:Lperr_V1.4:1:20243306:20248758:1 gene:LPERR01G22910 transcript:LPERR01G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDLVLVPLGLAVLAVYHVWLLYAVLRHPTRTVVGLNALARKRWVTIMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSSSSSSPSASSGAPLLVYGSKTGQVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVPGGEGEEAAEEFAAYVARTVNRGSHSWSLGLRAFYVSLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERATVAGIHHGRHKDSTV >LPERR01G22910.2 pep chromosome:Lperr_V1.4:1:20243306:20245502:1 gene:LPERR01G22910 transcript:LPERR01G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGISADVPIIHSENLTSNVKSIYYSRTFLSIISGVVAGIWGFTGLMGFVFYFLVMMVASLGLLVKAKFSIHTYFDSWNRILIEGVFGGLMLHSHIPLN >LPERR01G22920.1 pep chromosome:Lperr_V1.4:1:20255663:20261001:1 gene:LPERR01G22920 transcript:LPERR01G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESVTALATEQEEAVQLGEGILHHFEQILHQDPLIDEVGFLHPTQFQALEHSQTGDWTSEAPECPWRYFWCKDHKLAISMEILPKLYRAARLAYTNSAAAKDGPLMETDLMRHSKALLILCPDMLTAWNSRRWVIKKVSEHDQDDSELIERESLLVKEIAEKSKMNYRAWRHRCWLIPYMTRKQSLLLALLDSCHVEDTEDILDRKSEVQLMWKEELAWNEMLIRRYQGREV >LPERR01G22930.1 pep chromosome:Lperr_V1.4:1:20260026:20263001:-1 gene:LPERR01G22930 transcript:LPERR01G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREEPLLPPAPPRRRDGQKGEEEEEEEEGWWRRWGSEAGKLSYLALPMVVVTVSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAIITLLVVCIPLSLIWVFMGKILVLIGQDPLISHGAGRYIVWLIPGLFANAVIQPVTKFLQSQSLIMPMLVTSIASLVFHIPLCWLMVFKTNFGYNGAALSISISYWLNVAMLVAYVLLSNSCKETRTPPTIEAFKGIVGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSVCLTSVTSLFAIPYGLGAGASTRVANELGAGNPEGARSAVRVVMSIAVTEALIVCGTLLASRRLLGQAYSSEEEVISFVAMMVPLVCITVVTDGLQGVISGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIICGSLSQIALLSAITFFTNWQKMAENARERVFGEKPTEPSRYHLLE >LPERR01G22940.1 pep chromosome:Lperr_V1.4:1:20271782:20273746:-1 gene:LPERR01G22940 transcript:LPERR01G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLEDDLEWKLIYVGSAEDENYDQLLESADPPDPTKIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPAKLLFDRVQRNILTDKPRVTKFPINFHPETSAGTGQEQQQQPQSGSPENHPNQDNKP >LPERR01G22950.1 pep chromosome:Lperr_V1.4:1:20281146:20281951:-1 gene:LPERR01G22950 transcript:LPERR01G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPSPPVNSVDGCFSRPPLTSGSIVISGSTHLPPPIPSPPGESTGSLFSLLSFSLTIPFHIGEHRRVSRRYGRSTVYRGGRSRVTAGATN >LPERR01G22960.1 pep chromosome:Lperr_V1.4:1:20283570:20286051:-1 gene:LPERR01G22960 transcript:LPERR01G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDILTRVDAICHKYERYDADMLNGAGVAGGDDLFARLFASVDAEVNECLEKAEAARKEKNRATVVALNLEIRRTKAKLVQEDLPKLQRLALGKVKGLSKEELAIRSDLIGSSQYQIEVLHLQRKLEYRDPVQEARFSLSRHLDEDLDIIGEGVETLKNIVSDMNKADGANTDLKNTNNRLKETVLQIVGPKYAFLSPHLYDPLRSNRNFCIDIVLLCVILGIAAYLYW >LPERR01G22970.1 pep chromosome:Lperr_V1.4:1:20288727:20290608:1 gene:LPERR01G22970 transcript:LPERR01G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIIWSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHHRQPQQQQQQYHQHRVTLVSPPPAPQTQCASSMQPSPASASSSAATTPSGGGGGACSFGAAMYSPSPSTAATLAAYTSMAAAAAQQSQLAELICQVPSVPPPPPPTSAAADCWPGGVTLDDVFLPELVGAAGEFPNGGDLFGGFGPLLTDRPSMAELAACYFPIAAAPAAEMWAAAEVKQAGLCHSLT >LPERR01G22980.1 pep chromosome:Lperr_V1.4:1:20299933:20312571:-1 gene:LPERR01G22980 transcript:LPERR01G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSSPDSKSLSITTSTLFLSSLASLPSTNTETPSTSASSSPLTLSPVPASVPATALIPGTRFLVDAFRHAGDFTVSYFLSHFHSDHYAGLGPTWRRGLVFCSALTARLLASVLSVPPQLVVVLDDGVHVTVDGWDVVSVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMRTESNLLEFIGADAVFLDTTYCNPKFTFPSQDESLDYVVDAIKRAKEESGAAGERVLCLISTYVVGKERILLEVARRCGCKIHVDSKKMEILTALGFGGENGVFTEDAEATDVHVTGWNILGETWPYFRPNFVKMKEIMVDRGYTKAVGFVPTGWMYETKKEGFAIRAKDSLEIHLVPYSEHSSYDELRDYVRFLRPKRVIPTVGLNDGKLDSKEAFAMQKHFAGLVDETANKQEFLLAFHRRSTNATLGPEDVARLIQQEGEGVTMLPAISSAFERSDSLQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVSNSETPKSEVDLTSDHGSSADASSQQEVLLFSQKPMDHSRKLLNLNSMGSKPSISKRERKRGSNSGDKPKKKGRSTASKFSAESSGRKQSTITNYFARTTTVASKSDTSDEVTADATQNNVKNGDQVTDVVESEKHQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDRNVVTQNTENELKDKFSNKGMLHNSSEATLKLPNLCVQSYVAQVDSVSISLPIEKYLPIEHACWTAGQSAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCSNKISPEHENTEALGSSRSKIHEMYKTYGDLGDVAQECRQNQMLLAPPRSLSIRDVFSTLRKLSAISGSGSTGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKHSKSPVLSLEGIKLQLQELSTEVAEAYNVIPNLDLLIPSLLREGAAFSASSLEMVPGTPIPPMLARITNGLTQSLKLFHGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVNMIKELCSIKVSSFIIDAEVVGIDRKKGNRMMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQRLLNCPLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLDRMNAFFKMACQSSCEGIMLKTLDVDAGYSASKRCDSWYSPFLMACYNPECEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSVEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYICARPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >LPERR01G22980.2 pep chromosome:Lperr_V1.4:1:20299933:20312571:-1 gene:LPERR01G22980 transcript:LPERR01G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSSPDSKSLSITTSTLFLSSLASLPSTNTETPSTSASSSPLTLSPVPASVPATALIPGTRFLVDAFRHAGDFTVSYFLSHFHSDHYAGLGPTWRRGLVFCSALTARLLASVLSVPPQLVVVLDDGVHVTVDGWDVVSVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMRTESNLLEFIGADAVFLDTTYCNPKFTFPSQDESLDYVVDAIKRAKEESGAAGERVLCLISTYVVGKERILLEVARRCGCKIHVDSKKMEILTALGFGGENGVFTEDAEATDVHVTGWNILGETWPYFRPNFVKMKEIMVDRGYTKAVGFVPTGWMYETKKEGFAIRAKDSLEIHLVPYSEHSSYDELRDYVRFLRPKRVIPTVGLNDGKLDSKEAFAMQKHFAGLVDETANKQEFLLAFHRRSTNATLGPEDVARLIQQEGEGVTMLPAISSAFERSDSLQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVSNSETPKSEVDLTSDHGSSADASSQQEVLLFSQKPMDHSRKLLNLNSMGSKPSISKRERKRGSNSGDKPKKKGRSTASKFSAESSGRKQSTITNYFARTTTVASKSDTSDEVTADATQNNVKNGDQVTDVVESEKHQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDRNVVTQNTENELKDKFSNKGMLHNSSEATLKLPNLCVQSYVAQVDSVSISLPIEKYLPIEHACWTAGQSAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCSNKISPEHENTELNIGGSLVVSALEEALGSSRSKIHEMYKTYGDLGDVAQECRQNQMLLAPPRSLSIRDVFSTLRKLSAISGSGSTGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKHSKSPVLSLEGIKLQLQELSTEVAEAYNVIPNLDLLIPSLLREGAAFSASSLEMVPGTPIPPMLARYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVNMIKELCSIKVSSFIIDAEVVGIDRKKGNRMMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQRLLNCPLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLDRMNAFFKMACQSSCEGIMLKTLDVDAGYSASKRCDSWYSPFLMACYNPECEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSVEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYICARPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >LPERR01G22990.1 pep chromosome:Lperr_V1.4:1:20315619:20324740:1 gene:LPERR01G22990 transcript:LPERR01G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALTSVLRSASRLRAASPSPCPRRAPLPNHRPSPAGFFLHRAAAAYASSAAAQAAPAAPPASDKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKEPAVLRSLAMSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTARDRMLLELEQECLEVYRRKVDLANRSRAQLRQAIAEAEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMRKKKVERWNQFVDVIDQIKKISSEIRPAGFVPFKAPVDQSDLSLRKLDELTKDLESLQKEKSDRLKQVIEHLNTLHSLCEVLGIDFKQTICEVHPSLHEAEGSKNLSSTTIERLAAAVNCLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSSDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRARLIGEEGYAAEFNIEAIEAGAVDPSLVLEQIEAHIATVKEEAFSRKDILEKVDRWRNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVSKIPGMVDVLRTKIVAWQNEREKEFTFDGVSLLSMLEEYLIVRQEKELEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPQSTKKAPRQSMGGANRRLSLGGATLQPPKTDILHSKSVRAAKKNEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPPSNVSSTPVRPITNNTEDDENRTPKTFTAALNPKTPMTVTGPMQMAMTPALANKVSATPVSLVYDKPEPTLPEDIEYSFEERRLAIYLARQMA >LPERR01G23000.1 pep chromosome:Lperr_V1.4:1:20327999:20328550:1 gene:LPERR01G23000 transcript:LPERR01G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLVSCTMARVPGAKVVLPDGAVRAVRVPAKAAELMLEAPGHFLADARSMRAGRRIAALGADVDLELGALYAALPMKRLGSPASPADLARLAAAATRTRSSATAKVAAVVVLAPHAAAAEEDGEARARTAPKLEEMSVGDAAAEAEIDVLKQRISGGRRSRRPTLETIHEESYAAATRCSC >LPERR01G23010.1 pep chromosome:Lperr_V1.4:1:20339166:20342310:-1 gene:LPERR01G23010 transcript:LPERR01G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAAAGVGSGEPSSSSPAGKEKEEDGGGGKEWEEEVDFDLSGAAAGWVEAQTSFPHLTSMPAAGADDLARVRPPDSPCSRCHHPAENWLCLICKDIMCSRFINKHMLYHYQETGHCLALSFSDLSLWCFACDSYLDVQAILELRPVYEVAHLLKFAHTNAEKTGDAATSPPVATSTP >LPERR01G23020.1 pep chromosome:Lperr_V1.4:1:20342465:20345245:1 gene:LPERR01G23020 transcript:LPERR01G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRIPPPPPPTTRSRSRASHLAPAAAAAEEGGEGEDGALQRVELPPQELRARIPRLPGVREPSWADQEVRAHVLQAVLPQQRQGHWLHQEDDRPVPSHCQKIGKLHPACQRFFVLLQ >LPERR01G23030.1 pep chromosome:Lperr_V1.4:1:20350186:20351565:1 gene:LPERR01G23030 transcript:LPERR01G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTPASRSPPHVLLVSAPLQGHVNPLLSLGHRLASRGLLVTFTTAPHSGLKLNNLHNDDDGAAAIVVGRGTLRFERLRGGCLWSPDDPPYRVPDDMHRHLQDAGPAALEALIRRQADAGRPVSFVVVNAFAPWAAGVARDMGIPRAMLWTQSCAVLSLYYHHLHSLVPFPPTGADQDLPVNVPGLPALTVGELPALVYAHDEYVWREALVADLVSLHETLPWVLVNTFEELEHAAIDALRVHLPVVPVGPLLETDNGAAVQDDCTEWLDARPPRSVVFVAFGSLVVIGRDESAELAEGLASTGRPFLWVARDRDDNSRGIVPDESSAGESKVVAWCDQRRVLAHRAVGCFLTHCGWNSTTEALAAGVPVVAYPAWSDQITNAKLLADVYGVGVRLPVPPTRDEVRRCVDEVMSGTEAEAMRLRAREWRDKASAAVADGGSSDVLIRDFADALLSTQV >LPERR01G23040.1 pep chromosome:Lperr_V1.4:1:20353957:20355345:1 gene:LPERR01G23040 transcript:LPERR01G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAQPHVLLISSPFQSHVNPLLRLGRRLAAKGLRVTFTAALRDGIRLPDNGDVDGGVRVERLRGCGMWAPDDPRLRVPTDMVRHVEATGPAALGELVGREAEAGRPVTCVVANAFVSWAVRAAGDMGLPCAMLWIQSCAVMSVYYHYVYSLTDFPSGDESDSNSSGGAVTIPGLPELSIDELRPLLVYTSGHETWRQMLVMDLGTMKEKAPWVFINTFDELEREAIAGLSKHMPLVPVGPLVDPDDDSGGVEDDDGCASWLDAQPRRSVVFVAFGSLVDIGHDEAVEIAEGLAATGRPFLWVLRDANRALLPDQDAIDAAVVAACGGGRGKVVPWCAQRRVLAHVAVGCFVTHCGWNSTAEALAAGVPMVASPRWSDQRINARFIVDVYRVGVHAPTPLTRETLRLCVEEVTVGPEAEAMAARAAILGEKARAAVGDGGSSDRGVQAFVDRITYGAAEP >LPERR01G23050.1 pep chromosome:Lperr_V1.4:1:20359940:20364925:1 gene:LPERR01G23050 transcript:LPERR01G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLTPEEPELPQGTPPRPQLPPAFAGAGTAATATATATAGALAGSGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSGASDCCSDKDDQDPSDVEGSMLGLQSYWDASYSEDLANFQEHGHAGEIWFGADVMDTVAIWTKKLCAEIIKGATPSGNDNINSEVDDNQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKSLAARDGFTSINFLVDDILGTKLDRKFKIVTDKGTFDAIGLHPDGRIKRVMYWESVSNLVESGGIVVITSCNHTKDELVQEVEDFSKTKSGKEHLDEVAGNVAQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRL >LPERR01G23060.1 pep chromosome:Lperr_V1.4:1:20366852:20369076:-1 gene:LPERR01G23060 transcript:LPERR01G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFSLMVGRLLTESTLQSAMEEAFAAASVKIVHEQPDPSVHEDVQEGKPKSGVIVECRICQEEGDESGGALAPGQGLGADATTYAHHACIQRWCNEKGDTMCEICLQQFTPNYTAPLKLFRHGRNPINFRRSGERSDNTDQSQEHFDQTSDQTAGASSFDSQNSSTKGVFYCRVVAISLMVLLVLRDAISLILGDPEAYSMALLTLLMIRTAGIVIPIYIILISVTALFHRYRQHQTVHEAPISETGGEGVHPMPPPQHVISIR >LPERR01G23060.2 pep chromosome:Lperr_V1.4:1:20366852:20369076:-1 gene:LPERR01G23060 transcript:LPERR01G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFSLMVGRLLTESTLQSAMEEAFAAASVKIVHEQPDPSVHEDVQEGKPKSGVIVECRICQEEGDESGGALAPGQGLGADATTYAHHACIQRWCNEKGDTMCEICLQQFTPNYTAPLKLFRHGRNPINFRRSGERSDNTDQSQEHFDQTSDQTAGASSFDSQNSSTKGVFYCRVVAISVSVAKHEPSIWALLMVLLVLRDAISLILGDPEAYSMALLTLLMIRTAGIVIPIYIILISVTALFHRYRQHQTVHEAPISETGGEGVHPMPPPQHVISIR >LPERR01G23060.3 pep chromosome:Lperr_V1.4:1:20366852:20369076:-1 gene:LPERR01G23060 transcript:LPERR01G23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFSLMVGRLLTESTLQSAMEEAFAAASVKIVHEQPDPSVHEDVQEGKPKSGVIVECRICQEEGDESTLTTHASRGGAMRRETQCAKYAYRRSGERSDNTDQSQEHFDQTSDQTAGASSFDSQNSSTKGVFYCRVVAISLMVLLVLRDAISLILGDPEAYSMALLTLLMIRTAGIVIPIYIILISVTALFHRYRQHQTVHEAPISETGGEGVHPMPPPQHVISIR >LPERR01G23060.4 pep chromosome:Lperr_V1.4:1:20366852:20369076:-1 gene:LPERR01G23060 transcript:LPERR01G23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFSLMVGRLLTESTLQSAMEEAFAAASVKIVHEQPDPSVHEDVQEGKPKSGVIVECRICQEEGDESTLTTHASRGGAMRRETQCAKYAYRRSGERSDNTDQSQEHFDQTSDQTAGASSFDSQNSSTKGVFYCRVVAISVSVAKHEPSIWALLMVLLVLRDAISLILGDPEAYSMALLTLLMIRTAGIVIPIYIILISVTALFHRYRQHQTVHEAPISETGGEGVHPMPPPQHVISIR >LPERR01G23070.1 pep chromosome:Lperr_V1.4:1:20370862:20371459:-1 gene:LPERR01G23070 transcript:LPERR01G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHLRSDVVVAHLLRRSHPRTPALVLEEAAAVQLHLVIGWHRQQIAKPPQKKRQIAASIERRRGSGAAEAVAGIRRCHRRARSVEKREGERERAWRGAARGKRKKKVPISSSPRTSNFLGTKKIPKIVPPIWILRDFFLEVLGTFLIQTGS >LPERR01G23080.1 pep chromosome:Lperr_V1.4:1:20372422:20375201:1 gene:LPERR01G23080 transcript:LPERR01G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQSVGDTAAGATEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVACVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGSIRIYKISGFSYAG >LPERR01G23090.1 pep chromosome:Lperr_V1.4:1:20377050:20385106:1 gene:LPERR01G23090 transcript:LPERR01G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFANRFGEKVDLEVLPNKSGTIESSYHKHSLWMAHWARSSIGASPQNGQSGSPLKEIDDVGCSKGCGALPCELMKARVAERLMVGVSHGGASAENARQFSSNMWGVAHDVCQEVQCKNVDKMGSSFESSVMQKKVNLYAAKTVVSERYSVHKISDISMDSHKLSSTENLSSEWSHFPMFEINRKIDSILNPKRSAFVTSSEKIFVPKKSVKINMSTSNVMAFSSKEYQLHAHRVTDENRQCKSTRGMLCHLDNYTGLNSDHAGKRLKGHLSTEESCSCSKDETDSSVPSADNHRANNHIPNLKNSPHWSLKNSSAHLASKIENQAVEGSALEHKLGAYGVCKKQRQLEEVALHEPALRRESEIKPVQTTVTAKEGDSLQVQTTAVTNGHHVFANLLQGDQKNLNEHIVDSALNLTEFCKLPDATDNAVTMKSKDDALAQGKRTENRLSNNKRKGPCLFEMLTQPIKSHAKCSKDPTPSGKSYGNMASCLLEAQKQFSTKTDTLYSEAQHASKSTAGFASASIQKDSGYAASAKTEQFVTSSMKGVSSCGKGNEAVNTSAEHQNLYPAATCANNEDWSMSKTSSMNIDLVLFQISGMRNPIPNDLNEPPVCPDPSEKWLKRLQHDTSSSHVPCSKKSKVGDGPMAGGACTEFGEVFNCGSNSSDVIKHVENKLMCKGFIDQQSEEGSPMPAKSLNRWIGRWCRGGTPVFHGISNIERQEDKSDMPLDRLESQFPSIAAMAMMGRAMNKLRPCELQKRGPSVVWRTEGL >LPERR01G23090.2 pep chromosome:Lperr_V1.4:1:20377052:20384583:1 gene:LPERR01G23090 transcript:LPERR01G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFANRFGEKVDLEVLPNKSGTIESSYHKHSLWMAHWARSSIGASPQNGQSGSPLKEIDDVGCSKGCGALPCELMKARVAERLMVGVSHGGASAENARQFSSNMWGVAHDVCQEVQCKNVDKMGSSFESSVMQKKVNLYAAKTVVSERYSVHKISDISMDSHKLSSTENLSSEWSHFPMFEINRKIDSILNPKRSAFVTSSEKIFVPKKSVKINMSTSNVMAFSSKEYQLHAHRVTDENRQCKSTRGMLCHLDNYTGLNSDHAGKRLKGHLSTEESCSCSKDETDSSVPSADNHRANNHIPNLKNSPHWSLKNSSAHLASKIENQAVEGSALEHKLGAYGVCKKQRQLEEVALHEPALRRESEIKPVQTTVTAKEGDSLQVQTTAVTNGHHVFANLLQGDQKNLNEHIVDSALNLTEFCKLPDATDNAVTMKSKDDALAQGKRTENRLSNNKRKGPCLFEMLTQPIKSHAKCSKDPTPSGKSYGNMASCLLEAQKQFSTKTDTLYSEAQHASKSTAGFASASIQKDSGYAASAKTEQFVTSSMKGVSSCGKGNEAVNTSAEHQNLYPAATCANNEDWSMSKTSSMNIDLVLFQISGMRNPIPNDLNEPPVCPDPSEKWLKRLQHDTSSSHVPCSKKSKVGDGPMAGGACTEFGEVFNCGSNSSDVIKHVENKLMCKGFIDQQSEEGSPMPAKSLNRWIGRWCRGGTPVFHGISNIERQEDKSDMPLDRLESQFPSIAAMAMMGRAMNKLRPCELQKRGPSVVWRTEGL >LPERR01G23090.3 pep chromosome:Lperr_V1.4:1:20377052:20382708:1 gene:LPERR01G23090 transcript:LPERR01G23090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFANRFGEKVDLEVLPNKSGTIESSYHKHSLWMAHWARSSIGASPQNGQSGSPLKEIDDVGCSKGCGALPCELMKARVAERLMVGVSHGGASAENARQFSSNMWGVAHDVCQEVQCKNVDKMGSSFESSVMQKKVNLYAAKTVVSERYSVHKISDISMDSHKLSSTENLSSEWSHFPMFEINRKIDSILNPKRSAFVTSSEKIFVPKKSVKINMSTSNVMAFSSKEYQLHAHRVTDENRQCKSTRGMLCHLDNYTGLNSDHAGKRLKGHLSTEESCSCSKDETDSSVPSADNHRANNHIPNLKNSPHWSLKNSSAHLASKIENQAVEGSALEHKLGAYGVCKKQRQLEEVALHEPALRRESEIKPVQTTVTAKEGDSLQVQTTAVTNGHHVFANLLQGDQKNLNEHIVDSALNLTEFCKLPDATDNAVTMKSKDDALAQGKRTENRLSNNKRKGPCLFEMLTQPIKSHAKCSKDPTPSGKSYGNMASCLLEAQKQFSTKTDTLYSEAQHASKSTAGFASASIQKDSGYAASAKTEQFVTSSMKGVSSCGKGNEAVNTSAEHQNLYPAATCANNEDWSMSKTSSMNIDLVLFQISGMRNPIPNDLNEPPVCPDPSEKWLKRLQHDTSSSHVPCSKKSKVGDGPMAGGACTEFGEVFNCGSNSSDVIKHVENKLMCKGFIDQQSEEGSPMPAKSLNRWIGRWCRGGTPVFHGISNIERQEDKSDMPLDRLESQFPSIAAMAMMGRAMNKLRPCELQKRGPSVVWRTEVCKQRDQSDDKLDHKCIIAGELHTAAMEWGNSAPSLWS >LPERR01G23100.1 pep chromosome:Lperr_V1.4:1:20387719:20391716:1 gene:LPERR01G23100 transcript:LPERR01G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRFFRCAAKSTSASAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPSDLIFTPERAGEEAFLSDLKDVRPELCITAAYGNILPQRFLDIPHYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIACEKFAVDEYIKSPELLAILFNLGSKLLLHELPSILDGSAKEKAKPQDDSEATHAPKLNSDESWLSFDQEAKVLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKVCASCDKTRDGNEVLFSGNSLLIPCSGSSCLEVSELQLPGKKVTTARDFWNGLRGQKLLKSP >LPERR01G23100.2 pep chromosome:Lperr_V1.4:1:20387719:20392273:1 gene:LPERR01G23100 transcript:LPERR01G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRFFRCAAKSTSASAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPSDLIFTPERAGEEAFLSDLKDVRPELCITAAYGNILPQRFLDIPHYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIACEKFAVDEYIKSPELLAILFNLGSKLLLHELPSILDGSAKEKAKPQDDSEATHAPKLNSDESWLSFDQEAKVLHNKLVNQNGEPDVLEIKVISTKVCASCDKTRDGNEVLFSGNSLLIPCSGSSCLEVSELQLPGKKVTTARDFWNGEAVQTAERGLHMVCTSPITYLHVFKYLMKKLQQFDFPYLQEFVRSKVHQTDSEVSYADGQLIG >LPERR01G23110.1 pep chromosome:Lperr_V1.4:1:20393849:20397291:-1 gene:LPERR01G23110 transcript:LPERR01G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSSDPQPASFPSKPTNSSSSFLSGFVRAGATPAPTKPPPPHPPEPSRTGRHSRSPSPSRQHRARSRSRSPSRSRRHRSRSRSRSRSPSRRSGRSSYSEDRRDRHGDRRREDGGGRRESESSRSKGRGGREGGKVDYSRLIEGYDRMNPAERVKAKMKLQLSETVTNDDASLVKHIGKSFRLSAVESKHEDMVRDAHDNAIFGVPTYSIVDTETTEAEPKTNDESEKAEDVETEPSSSLISEKVLATQRGSWRERAQKLRQHPNA >LPERR01G23110.2 pep chromosome:Lperr_V1.4:1:20393849:20397291:-1 gene:LPERR01G23110 transcript:LPERR01G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSSDPQPASFPSKPTNSSSSFLSGFVRAGATPAPTKPPPPHPPEPSRTGRHSRSPSPSRQHRARSRSRSPSRSRRHRSRSRERRRRSRSRSREREDRRASRRRSRSRSRSRSPSRRSGRSSYSEDRRDRHGDRRREDGGGRRESESSRSKGRGGREGGKVDYSRLIEGYDRMNPAERVKAKMKLQLSETVTNDDASLVKHIGKSFRLSAVESKHEDMVRDAHDNAIFGVPTYSIVDTETTEAEPKTNDESEKAEDVETEPSSSLISEKVLATQRGSWRERAQKLRQHPNA >LPERR01G23120.1 pep chromosome:Lperr_V1.4:1:20399072:20402103:-1 gene:LPERR01G23120 transcript:LPERR01G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFGTKWIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSAQQGQLPIIESSQVASISRDKPSISISPVEDTAKYQAPQSPSSPAGPAVTKSNPVPQEHHRSSADEISCASPSSSDVLEKARTAIAAANRASAAARAAADLVKVKITR >LPERR01G23130.1 pep chromosome:Lperr_V1.4:1:20404190:20407468:-1 gene:LPERR01G23130 transcript:LPERR01G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHMVAMRAISQRHAGVVVPRRGGRAGTGRVAVVVAAAGGAASKPPRLEGASEELRAAAAQCLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTVTKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIDQYSRIKGRKEVRGLPHFLLGQSMGGAVALKAHLKQPKEWDGVLLVAPMCKIAEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPNKRKVAEFNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADMVTDPHVSEFLYEKASTNDKTLRLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >LPERR01G23140.1 pep chromosome:Lperr_V1.4:1:20421077:20422177:1 gene:LPERR01G23140 transcript:LPERR01G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALAGVGRDAPSHKRPRRVSMKMERSSRVVGDGCCCSCSGRTTALSSSSSAAAAAAPGATAMVVIVGATGTGKTKLSIDAAQALGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGTVRAEAGELPASSYRSLAATTAAGIASRGRVPVVAGGSNSLIHALLADRFDAGAPRDPFAAGMDVGYRPALRLPCCLLWVDVDDAVLDEYLDRRVDDMVGEGMVEELREYFATTSPSERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAAAQVGKIRRMADAWGWPIRRLDATATVVARLAGAGRAAEAAAWERDVRGPGLAAMRQFIGRDEAVHHLAALRRQCHRGGMVAG >LPERR01G23150.1 pep chromosome:Lperr_V1.4:1:20439690:20443361:1 gene:LPERR01G23150 transcript:LPERR01G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNIHGYTLATVNRSRSRCFIVHLSYIGIVRIAALNAQHPSEPSISQLAAPRSPYHGAINSRDSTLALLPPPKSPPSPKPLPCPSPPIATRPPAFRLSPPPRGAMEEKDRDPGLDFKGSKDLGIDHVAVDRKKFIPNPNTATADLACMVVKPPTWGRKPRPNFGRRRPRPVEGIYPKGSPPNPPGSAKAWPFLRYPYVPIDPFPEPLSFPEPVLTNVSEIDCHLKSVHGYYQRIARIRYCIYMKATRIPKDHTERARDIATLWGQHDQLWEEAAEKNNKLGFNSLSEPSWFSLRTFGVLQASDYTMKENSSKGCTLAVAGYSTAEPLLEENASTTESLALLEVLVV >LPERR01G23160.1 pep chromosome:Lperr_V1.4:1:20444556:20444954:-1 gene:LPERR01G23160 transcript:LPERR01G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKVKEATANLQPASPAPVASDCSAKKTVKVRMSQSQIDLFMSPDPPPLNPVKGVGKEMENRFAEIDAQLKILEDEVRADKAMVRKQYELKGYVECEADADLFLARAPRPGRRRARHGVVVKKKPAAN >LPERR01G23170.1 pep chromosome:Lperr_V1.4:1:20451030:20451828:-1 gene:LPERR01G23170 transcript:LPERR01G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVDDHDRHRAAANSPSPSEDSPSPAPALPLPPPPSRTRLHSFSFPTLSWGTHRLLRCSKNPSSSPPPVAPDTPSPDKEKAAHRSTDGVGGGASPHRTPQRPWNLRTRRSATAAPRPEGSDDAADAAAVERAPPPPVTATRKRAFSIVLSKEEIAQDFKAIRGTRPPRRPKKRPRTVQRQLDVRIEPGLLYPGLCLADVTPETYKIEER >LPERR01G23180.1 pep chromosome:Lperr_V1.4:1:20456718:20458603:-1 gene:LPERR01G23180 transcript:LPERR01G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGNKRQGPVIGIDLGTAYSCVAVWQDGRVEIVTNVDGGRTTPSYVAFTDTEKLVGDAAKSQASRNPTNTVFGTKRLMGRRFSDSSVQTGLKLWPFDVAPSRGDRPMVAVSYKRKQKMLAAEEVASMLLSKMKAEAEAYLGSTVKNAVVTVPASFDVLQRRATKHACAIAGLNVVGFIHDPTAAAVAYGYGIHESADDKNVLVFDLGGGHKIDVSGNPRAMMRLMAACEEAKRTLSSASWAAIEIDCLHEAIDFYSTITRDQIDDLNMDLFSKCLDPIKKCLADAGMDRSSVENVILVGGSTRIPRVRRLVQDLFNGKELRQYIDPEEAEALGAAIARRSDNFLNLLLVDSTASSLGVEETGGAMAVIIPKNTSIPVWWTEKIISMLPHHNNGVLISVFEGERPRARDNTLLGELQLPSCHMGGNFRFKIHVSVCMGIDADSVLTVSAGARNKVNQMIIMEHSQLSKEEIERMAKEAKEYMAEEEEKKERIKAKNSLEEFLYKKHHAIEEEKRKVDNALSAIEEMIQQVHDDQVSSARDFEEALKKLMIE >LPERR01G23190.1 pep chromosome:Lperr_V1.4:1:20463190:20464488:1 gene:LPERR01G23190 transcript:LPERR01G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTTAAHHLKPWVPSPTPRAHRVPSLPAVSGGGGGASRPAARDRRRSSSSHRRGGTGTDAGGVDEEEAFDGGLEDLRAKLMGHIKDVADRLHLPQPKPPRPRSPEPDPPAAPLPPPPPPPPQEYSAAAAAARPWNLRERKRRSAARGSTSSASPTRAWAARQAETARGGDERAPFAVSLAAEEIEEDIYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >LPERR01G23200.1 pep chromosome:Lperr_V1.4:1:20473601:20474899:1 gene:LPERR01G23200 transcript:LPERR01G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPISAASWALLLLVVVVSPCHSAAGGRPKAVALPVSRDDATRQYVATFQQRTPRVPVLNAVLDLSGATLWVDCDAGGYYASSSCSRVPCGSKTCRLVTKNTSPPPCLNRTCSGYAKNTVTNLGGSGEVVVVTELLSFPTTFPGLASAPLATAPAFVFTCGHTSLTEGLAVGATGMASLSSARFALPTQLAGAFRFSRKFALCLPSSASDAGVVVFGNARYLFDDMDHTNSLLYTPLLVNPVSRSSSSSSEYFISLKRIVVDDRPVPLNATLLEIGKNGGTKLSTVSPYTVLESSIHKAVTDAFAASMATAEIPRVPAVAPFELCYDGSKMESTIAGPTVPVFELYVQSVLKSKVAPWMVSGENLMVRVDGGALCLGVVDGGVAPETSVVIGSHMMEDVLLEFDLEGSRLGFSPNLRAFQLSCSMFRLG >LPERR01G23210.1 pep chromosome:Lperr_V1.4:1:20477548:20487878:-1 gene:LPERR01G23210 transcript:LPERR01G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLKAVMPRSPSPPSAAAGSAAEAPMLIFLYFHKAIRAELEGLHAAAVRLATERAGDVAALAERCRFFVNIYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFSQLFDLLQLDIQNDDGLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMLAEFLPWLAASVSSDEHEDIRCCLCKIVPEEKLLQQVVFAWIEGNAMMKVTQSSINSSSEASCDGKDASSTDQADNHICSHEESKNGNTKYAESIDGQVDRYPIDDILYWHNAIRKELNDIAEETRRMRQSENFSDISAFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRELLYKSLCVMPLKLLERVLPWLVSKLSDDEASSFLENMRLAASSSEKALVTLLSGWACKARSEDKSNSGEYLCLTSGEVRCLLDEVDGLEKCRPFCPCASRSNTDGSSQTESGSRPGKRGNDAESVPCTNGSDFCQTDDTEARPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDSISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYKAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALGELSQIHDRMTHPHIEVSEGERNIYSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGASTSSDSSEEASSAPEDSFLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPDPKSEECSEGAGIPGCVPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHMMERKATQEMMCMVCLKVQPVGPHCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLMEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQVYFGMLDALLATEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGSCGSYNTRVIKTDTTDCSTPN >LPERR01G23220.1 pep chromosome:Lperr_V1.4:1:20506043:20509002:-1 gene:LPERR01G23220 transcript:LPERR01G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASKNQMGRGGGGDVDNGGGDEAEKEDALAASKLFDPDFKPSKISQDRLDKFKELHKKRLQIKEKSKCKEKSRGRTKKNTKVTSDCSISGKDESVSNVAIDVQHTASTAGTQVDLSSSFPPRNKRKLQWGYSSVIILILEAHVGCAGV >LPERR01G23220.2 pep chromosome:Lperr_V1.4:1:20506043:20509002:-1 gene:LPERR01G23220 transcript:LPERR01G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASKNQMGRGGGGDVDNGGGDEAEKEDALAASKLFDPDFKPSKISQDRLDKFKELHKKRLQIKEKSKCKEKSRGRTKKNTKVTSDCSISGKDESVSNVAIDVQHTASTAGTQVILEAHVGCAGV >LPERR01G23230.1 pep chromosome:Lperr_V1.4:1:20514266:20519217:1 gene:LPERR01G23230 transcript:LPERR01G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLLIPEISRPPTRRRRRDGGGDASASGGGEEEDGTEVVRLRTRRGNEIVGVHVRHARASATLLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPEPDLKEITSKEGTSSKDAEPASSDKPQEAAKCAQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVCHLFCLGAQLTRNVTDGNAAPQAMNVLGQTNHVRDVDGEEPGEAEVGDLDVEVGVEEDVGCLDVAVYDGRLDGVEVGERGGGFHGDAEAERPREGALLRAVAVQVVGDRAVGHELVHQEQLPAVAGRAPVEHHQVRVPQPRQDRRLVHELLHSPVAVVVQLLHRHHTPVSKFSWTHKEPKPDIATL >LPERR01G23230.2 pep chromosome:Lperr_V1.4:1:20514266:20528290:1 gene:LPERR01G23230 transcript:LPERR01G23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLLIPEISRPPTRRRRRDGGGDASASGGGEEEDGTEVVRLRTRRGNEIVGVHVRHARASATLLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPEPDLKEITSKEGTSSKDAEPASSDKPQEAAKCAQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >LPERR01G23240.1 pep chromosome:Lperr_V1.4:1:20514955:20531501:-1 gene:LPERR01G23240 transcript:LPERR01G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRHSMAHLPCLLPFLLIGIHAYVSHGSPLPPTYNTSVCSKSSYCGSIKISYPFYLSNAIGETDNYTAYSCGYTDLKVTCSMEKGKETPIIQLDGSNYTIIRDINYDKSMVVLADTDALRAGKCPRVRHNVTFGGAYEWLNYTGSRDDLTFFFDCKDKPWPGGFYRDTYQINCKEFSDGPGTGVSFVFTSEELVGLPEYEYLVRHCSQIIVVPVHGDLLNATNQSALPSGEYGTVLNEGFELAWNSMKDKQCHLCEQSQGQCAYNQSRTFLGCLCSDGKVNIQYCKTRGSNKKARKIIAAVVGGISAMLVLGAIAIFVVRKRKHRKVNSSSKLLNNNKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNDNRELGDGGFGTVYKGKLRDGRVVAVKKLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSHSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLGIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDIDLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKGIQELCVVEKDGGKDKDGPPLSPNTVHAHWDSRQTTPNTSQIKLKIAVTTTSPETSVKHTI >LPERR01G23240.2 pep chromosome:Lperr_V1.4:1:20514955:20526454:-1 gene:LPERR01G23240 transcript:LPERR01G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLCRRQLFLLLLLLLVAASHGDSSGDTYDTSMCLPESTCGNISIRYPFYFYNKTKDINGSNNSYCGYPGLAIDCDDGKLTLQLNGADKYKVNNISYGSITNVSLVDRDFVDYSSGCTKVDHNVTTPPASWLFFPDMSVEYLVFFLGCSFMNLPRKNTDPITCRFIGLAGQSYVIPKDQVLPGNWSQFCNQIFEVPVLKYQPVDPNSDAWRNGGYGQVLRQGFQLSWNDTGRPPNCTQCEESKGRCGFSQNREFLACLCPNGRVHSVNCSASDTGSNKKARKIIAAVVGGISAMLVLGAIAIFVVRKRKHRKVNSSSKLLNNNKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNDNRELGDGGFGTVYKGKLRDGRVVAVKKLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSHSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLGIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDIDLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKGIQELCVVEKDGGKDKDGPPLSPNTVHAHWDSRQTTPNTSQIKLKIAVTTTSPETSVKHTI >LPERR01G23240.3 pep chromosome:Lperr_V1.4:1:20518106:20522849:-1 gene:LPERR01G23240 transcript:LPERR01G23240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHRGRVPLLLIVALLLAAAICRGDPTGDTYDAAMCGSQASTVCGDVIVRYPFYLSNATKALPKYSNSSTFCGYPGLEIICDGSDGDTGGKAVMRLGKDNYTVSRIDYGGLTASLADADVANGSCPVVRHNVTIPPPPSSLSLADTVGKLIFFYRCSFGPGADAPPKPPPSIHPITCGGNEEDPRPASFLLPATPLPPGDWYRGCEAVYSVPVLGGSLPSDANDPAWRKDGYVTALRKGFQVSWERSTRCGKCEQTSGKCGYNQDGRFLGCLCANGMIDSDGCSKISDSTLRLAGSNKKARKIIAAVVGGISAMLVLGAIAIFVVRKRKHRKVNSSSKLLNNNKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNDNRELGDGGFGTVYKGKLRDGRVVAVKKLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSHSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLGIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDIDLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKGIQELCVVEKDGGKDKDGPPLSPNTVHAHWDSRQTTPNTSQ >LPERR01G23240.4 pep chromosome:Lperr_V1.4:1:20518106:20522849:-1 gene:LPERR01G23240 transcript:LPERR01G23240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHRGRVPLLLIVALLLAAAICRGDPTGDTYDAAMCGSQASTVCGDVIVRYPFYLSNATKALPKYSNSSTFCGYPGLEIICDGSDGDTGGKAVMRLGKDNYTVSRIDYGGLTASLADADVANGSCPVVRHNVTIPPPPSSLSLADTVGKLIFFYRCSFGPGADAPPKPPPSIHPITCGGNEEDPRPASFLLPATPLPPGDWYRGCEAVYSVPVLGGSLPSDANDPAWRKDGYVTALRKGFQVSWERSTRCGKCEQTSGKCGYNQDGRFLGCLCANGMIDSDGCSKISDSTLRLAAVVGGISAMLVLGAIAIFVVRKRKHRKVNSSSKLLNNNKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNDNRELGDGGFGTVYKGKLRDGRVVAVKKLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSHSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLGIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDIDLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKGIQELCVVEKDGGKDKDGPPLSPNTVHAHWDSRQTTPNTSQ >LPERR01G23240.5 pep chromosome:Lperr_V1.4:1:20531506:20536628:-1 gene:LPERR01G23240 transcript:LPERR01G23240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFLLPVVLVLLAAADASPAACRNATCGVHTVAYPFWLRNSSNSSMDCGYPGLGLVCVNNTTLILPFRTHHYKVLDIQYRSHTISLTDADMEYTNTNSNCPLLHANLTIDDNSWLQLASSDSNITFLYNCKSNNTSLSSAMKLTGCGPGPEYSSSSSYVFLDGWITDEAYDYECEMVVVAPVLDAHKKAMVDAWSRSSANTSSSPPNSFGEMLSAGFQLTYNTHSEKCGQCEGSGGWCGYQHNETHTTMDFTCFCEEGPTKNHCARGQVHSLESIGHDGDFHIARAQLLTAHQTKSMPGLKPAK >LPERR01G23240.6 pep chromosome:Lperr_V1.4:1:20523999:20526454:-1 gene:LPERR01G23240 transcript:LPERR01G23240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLCRRQLFLLLLLLLVAASHGDSSGDTYDTSMCLPESTCGNISIRYPFYFYNKTKDINGSNNSYCGYPGLAIDCDDGKLTLQLNGADKYKVNNISYGSITNVSLVDRDFVDYSSGCTKVDHNVTTPPASWLFFPDMSVEYLVFFLGCSFMNLPRKNTDPITCRFIGLAGQSYVIPKDQVLPGNWSQFCNQIFEVPVLKYQPVDPNSDAWRNGGYGQVLRQGFQLSWNDTGRPPNCTQCEESKGRCGFSQNREFLACLCPNGRVHSVNCSASDTEWERELIGGRMPSNSGVMLTMA >LPERR01G23250.1 pep chromosome:Lperr_V1.4:1:20540925:20541852:1 gene:LPERR01G23250 transcript:LPERR01G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGDCNSISHRRQQLHRWPRPRIDAAALSSTSWGGSAATPPSHARAPATINGGVAPSATTTAESAIVGLRASKSAVAALGGGWICAAAPPRVVVAVARGTSSWSSSPASVLMVGAWEGTGVVGRGWSSAMPSLVGAGGVLKLLQEKEKVENVVSVVVCGGVDGSVTGD >LPERR01G23250.2 pep chromosome:Lperr_V1.4:1:20540778:20544119:1 gene:LPERR01G23250 transcript:LPERR01G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYYNRCQLVSATRSHLHRPPSNIARMASVVFSGADRLRDLHAFDDTKAGVKGLVDAGITSVPYFFHHPPDPHPIASSPSIIPIIDLAEAATDRDYVVAQVRSAAETAGFFQVVNHGVPVGILDGALAAVRRFHVEEPVEAKAAYYTRDRARKVRFNSNVNLFLSPALNWRDTLFMEVSPNPPSPEEIPESCRVVAVEYAAAVRRLGARLFGLLSSAMGLPEDYLGGCLEEGMGVAAHYYPACPEPEKTMGTSKHRDPSFLTVLLQDKSIGGLQAMIGGRWVEVPPLAGGDGGASALVVNIGDLLQLVSNDRFRSAEHRVVASGGGGARVSVACFFRPESASAREYSPVVAGGEAAVYRSTTAGEFLRCFYGKGLDGRSALDHFRRD >LPERR01G23250.3 pep chromosome:Lperr_V1.4:1:20540925:20544119:1 gene:LPERR01G23250 transcript:LPERR01G23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYYNRCQLVSATRSHLHRPPSNIARMASVVFSGADRLRDLHAFDDTKAGVKGLVDAGITSVPYFFHHPPDPHPIASSPSIIPIIDLAEAATDRDYVVAQVRSAAETAGFFQVVNHGVPVGILDGALAAVRRFHVEEPVEAKAAYYTRDRARKVRFNSNVNLFLSPALNWRDTLFMEVSPNPPSPEEIPESCRVVAVEYAAAVRRLGARLFGLLSSAMGLPEDYLGGCLEEGMGVAAHYYPACPEPEKTMGTSKHRDPSFLTVLLQDKSIGGLQAMIGGRWVEVPPLAGGDGGASALVVNIGDLLQLVSNDRFRSAEHRVVASGGGGARVSVACFFRPESASAREYSPVVAGGEAAVYRSTTAGEFLRCFYGKGLDGRSALDHFRRD >LPERR01G23260.1 pep chromosome:Lperr_V1.4:1:20545259:20546872:1 gene:LPERR01G23260 transcript:LPERR01G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFLLPVVLVLLAAADASPAACRNATCGVHTVAYPFWLRNSSNSSMDCGYPGLGLVCVNNTTLILPFRTHHYKVLDIQYRSHTISLTDADMEYTNTNSNCPLLHANLTIDDNSWLQLASSDSNITFLYNCKRNNTSLSSAMKLTGCGPGPEYSSSSSYVFLDGWITDEAYDYECEMVVVAPVLDAHKKAMVDAWSRSSANTSSSPPNSFGEMLSAGFQLTYNTHSEKCGQCEGSGGWCGYQQNETYTTMNFTCFCEEGPTKNHCAYLLVSLQFVEVF >LPERR01G23270.1 pep chromosome:Lperr_V1.4:1:20549858:20552551:1 gene:LPERR01G23270 transcript:LPERR01G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLCRRLLPLLLLAAASHGDASGDTYETSMCLQESTTCGNISIRYPFYFSDKTREINGSSNSYCGYPGLAIDCDDGKLTLQLNGADKYKVNNISYGSITNVSLVDRDFVDYSSGCTKVDHNVTTPPASWLFFPDMSVEYLVFFLGCSFMNLPRKNTDPITCRFIGLAGQSYVIPKDQVLPGNWSQFCNQIFEVPVLKYQPVDPNSDAWRNGGYGQVLRQGFQLSWNDTGRPPNCTQCEESKGRCGFNQNGEFLSCLCPNGRYQRLNRAFKLKEEKETLLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTHAEVKRMTKSFAEKLGQGGFGAVYRGNLSDGHQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLQGSKRALIYEHMPNGSLEKYAFNKNSKGELSLTWEKLFDIAVGIARGLEYLHRGCNTHIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGVISSKSDVYSYGMMVLEMVGARERNVDANSESSSHYFPNWIYEHLDEYCISSSEINGETTELVRKMIVVALWCIQVVPTNRPTMSRVVEMLEGSTSSLELPPKVLLSW >LPERR01G23270.2 pep chromosome:Lperr_V1.4:1:20548117:20552551:1 gene:LPERR01G23270 transcript:LPERR01G23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLCRRLLPLLLLAAASHGDASGDTYETSMCLQESTTCGNISIRYPFYFSDKTREINGSSNSYCGYPGLAIDCDDGKLTLQLNGADKYKVNNISYGSITNVSLVDRDFVDYSSGCTKVDHNVTTPPASWLFFPDMSVEYLVFFLGCSFMNLPRKNTDPITCRFIGLAGQSYVIPKDQVLPGNWSQFCNQIFEVPVLKYQPVDPNSDAWRNGGYGQVLRQGFQLSWNDTGRPPNCTQCEESKGRCGFNQNGEFLSCLCPNGRVSSLSCSTSDSTVYGHQHPRAFKLKEEKETLLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTHAEVKRMTKSFAEKLGQGGFGAVYRGNLSDGHQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLQGSKRALIYEHMPNGSLEKYAFNKNSKGELSLTWEKLFDIAVGIARGLEYLHRGCNTHIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGVISSKSDVYSYGMMVLEMVGARERNVDANSESSSHYFPNWIYEHLDEYCISSSEINGETTELVRKMIVVALWCIQVVPTNRPTMSRVVEMLEGSTSSLELPPKVLLSW >LPERR01G23280.1 pep chromosome:Lperr_V1.4:1:20553725:20555976:1 gene:LPERR01G23280 transcript:LPERR01G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAGMCQRRLIKLRRGTQGTLRFHLSVASSYGIKNIRKFAESCICGLGCFLELYTWLGFLSGWGVYDMDFCPIK >LPERR01G23290.1 pep chromosome:Lperr_V1.4:1:20557470:20560061:1 gene:LPERR01G23290 transcript:LPERR01G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLYIFTSVLLSVYVLSTAASGGGGDVKIAVYWGQNGSEGTLGDTCATGLYAYVNLAFLSTFGAGRAPVIDLADHCDAAAGTCASLAADIASCQAAGIKVLLSIGGGALGGYNLTSPSDARDVAAYLWDNFLGGAVATGAPRPLGDAVLDGVDFDIESPSKFYDDLARSLASLYKGGGGGGGKAYMLTAAPQCPYPDASLDAALATGLFDRVWVQFYNNPPCEYAADVSGMRSAWRRWTAGMPATTAVFLGLPASPDAADSGFVDADTLVAQVLPVVEGAANYGGVMLWSISYDKDSGFSVKLQGILQNRNKETGAGTSSHNKMRICKWLPYVIRTNIIAGIVAGILVVLLLLTSCILCHKKYRGLPPPEQGLTNPPKIGPSQRKQINQQLKRYSYSEVERMTKTFAHKLGQGNYGDVYKGNLRDGRQIVVRLLKDCRGNDKEFVKDVASIGTNSHVNVVPLLGFCLQGPTRALIYEYMPNGSLERYAFGNDDSREENYSLWIYWEKLYEIAIGVARGLEYLHRSGNVNIMHLKIKPRNILLDQDLCPKISDFGVANLCLRKDSKTSTHNVRGRHGYDAPEVVSRKFGAVSSKSDVYSYGVMILEMIRAKRRINVGADSTTKYFAQWLYDHLDQFCNSISDISDETRELVRRIIIVGLWCIQTAPENRPSMCRVVEMLDSSSTNLDLPEILLDS >LPERR01G23300.1 pep chromosome:Lperr_V1.4:1:20560674:20560970:-1 gene:LPERR01G23300 transcript:LPERR01G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNVLIALVLVAAVTFPGTMCRASRTAQSAKCDPLALHPCAPAILWREAPSAECCAQLRAQKRCLCRYAKNPDLRKYILSQTIKNVAAVCGVPAPRC >LPERR01G23310.1 pep chromosome:Lperr_V1.4:1:20561403:20561738:-1 gene:LPERR01G23310 transcript:LPERR01G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRPSSCSVLAAVAVVVLLGLLLQATPAAASARAAKTAAGCDLMQLRPCSSAFAGEGQGAPSSACCGKLKAQGSGCLCLYKDDPNVKRIVSSSRLKKVFTACKVPAPSC >LPERR01G23320.1 pep chromosome:Lperr_V1.4:1:20562285:20563794:1 gene:LPERR01G23320 transcript:LPERR01G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHFPRDSDSDHNVRMPFHRQKSIYKLLGGGKVADILLWKNRNLSAGVLAGATLIWFLFDVVEYNILPLLCQIAIFAMLVIFIWSNAAPLLDRAPPRIPEVIISEHVFREMAMTVRYKLAYTLSVLYDIACGKDLKSFLLVVGCLLILSEIGSSCSFTSLLYIGFLCAHTMPMLYQRYKTEVDHLVARGSEDIKKFYRKVDSNLLNKIPRGPVKTKVK >LPERR01G23330.1 pep chromosome:Lperr_V1.4:1:20562713:20567442:-1 gene:LPERR01G23330 transcript:LPERR01G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRPVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTILEAVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRGLKLSVPGVQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLQAIADACGVPATIQSGVQDPEIPKESSEQFDEDQELQQVINGQVCMKVYHLCDSLEKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKKEKLIPVQEWCGI >LPERR01G23330.2 pep chromosome:Lperr_V1.4:1:20564149:20567442:-1 gene:LPERR01G23330 transcript:LPERR01G23330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRPVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTILEAVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRGLKLSVPGVQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLQAIADACGVPATIQSGVQDPEIPKESSEQFDEDQELQQVINGQVCMKVYHLCDSLEKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLVIPEDLRDMFISIPEEKFRMDISSTEIRKKQGP >LPERR01G23340.1 pep chromosome:Lperr_V1.4:1:20572308:20579552:1 gene:LPERR01G23340 transcript:LPERR01G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARGPKRHKSSAPPKTIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLQTLLKDDIISRARLYPEDSVGGPSFTVSKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPEELPNLKYYTLDDQLELLAESLNAREDMIGVEHLEEDSDGKALMKARRFPGSMSAFSGSGGMLYMEYSTGKGKAKKTKDPSKRHHLFKKRYQ >LPERR01G23340.2 pep chromosome:Lperr_V1.4:1:20572461:20579552:1 gene:LPERR01G23340 transcript:LPERR01G23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGESSNPNRYRRPACPVRRISPLTPFPALLPAGDRARGPKRHKSSAPPKTIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLQTLLKDDIISRARLYPEDSVGGPSFTVSKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPEELPNLKYYTLDDQLELLAEVASHHYFEQSLNAREDMIGVEHLEEDSDGKALMKARRFPGSMSAFSGSGGMLYMEYSTGKGKAKKTKDPSKRHHLFKKRYQ >LPERR01G23340.3 pep chromosome:Lperr_V1.4:1:20572461:20579552:1 gene:LPERR01G23340 transcript:LPERR01G23340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGESSNPNRYRRPACPVRRISPLTPFPALLPAGDRARGPKRHKSSAPPKTIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLQTLLKDDIISRARLYPEDSVGGPSFTVSKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPEELPNLKYYTLDDQLELLAESLNAREDMIGVEHLEEDSDGKALMKARRFPGSMSAFSGSGGMLYMEYSTGKGKAKKTKDPSKRHHLFKKRYQ >LPERR01G23350.1 pep chromosome:Lperr_V1.4:1:20581015:20585761:1 gene:LPERR01G23350 transcript:LPERR01G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRTAVPYFL >LPERR01G23360.1 pep chromosome:Lperr_V1.4:1:20592023:20592816:-1 gene:LPERR01G23360 transcript:LPERR01G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAELRLLGEKMSPYCIRVEHAMAVKGVTGYEYVEEDLENKSELLLASNPVHAKVPVLIHNSKPLCESLIIVQYVDEVWAGAGPSLLPSDPYERSRARFWAAYIDDKFFRSYEPFFMSRTKEEMVEKFKNVIPQVETLEEGLKECSKGKPFFGGDRIGFVDVALGGYLRFFKALDEVAGTDLFDAARFPRLAAWAERYEAVDAIRRASPAVADVVEFYKKMQAAGPPH >LPERR01G23370.1 pep chromosome:Lperr_V1.4:1:20594826:20595633:-1 gene:LPERR01G23370 transcript:LPERR01G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGNELQLLGTWYSTYAIRAKIALGLKGLSYEYIEQDLFGKSELLLKSNPVYKKVPVLVHRGRPVCESRVILEYIDETWAGASPLLLPSDPHDRATARFWATYFDTTFFPVWRLLMRSTTAEQTAEEFRSVVPQVETLERAFTECSKGKAFFGGDAVGLVDVVVGSFVPWFRAVDEVTGTSLFDEAKFPGLAAWADRFLAVDAVREAMPDAGKLLEHHKGFLAKLASQTG >LPERR01G23380.1 pep chromosome:Lperr_V1.4:1:20596368:20597136:-1 gene:LPERR01G23380 transcript:LPERR01G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGELQLLGTWSSPWVIRVRVALAMKGLSYEYIEQDLPNLKSELLLRSNPVHKKVPVLIHRGQPVCESLVILDYVDDAWASVGPPLLPADPYDRATARFWANYINDTFVPSWRVLIRSTTAEQRAEAFKSVVPHVETLERAFGECSKGKAFFGGDAAGLVDVALGGHLVWIRVVDEVSGTKLFDEDRFPGLAAWAERFFDLDAVKQVMHDAGEVLKLYKGFLAK >LPERR01G23390.1 pep chromosome:Lperr_V1.4:1:20599319:20602059:1 gene:LPERR01G23390 transcript:LPERR01G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMDQGLGRSESFRATMSIFGESISGRKAEKNKGFVPGQENLSSEIKQLAQSGLDKLSERKASVDKERAGAESELSRARAMAKELERQIEQATSQRSELQAMWSARTRKKETNVPGTHADAQYTEVAQELDQAKRELLRLRLEVRAAAEAKAKAESDIVASVCRIQSSLRAADEMKRQVEEANEEHVLVELARMEAERERREIEAQRAAESERFAADIEAARARVSALRDEASHAREMEAKLAVTNSDVAVLQAEMEFVRAMEKNSETTADATAAARRKKKNMEEAQDKALLQAAEAELDAARKELEAIKAGSFQFMTSMDRTRTEIMRVADEISNLKAKEKRADAQVQQLNAKLLKARARLEVVTAADERSKAIVSNLAAAMKQLKAEAEAARKEEDLTKLEKRCVIAEAENIEKEIATAEARIKLSVKELDAAKWLEAEAMRKLRDTVENTMQSRAATRRTGTITVSRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEALEREVRETEARVARAAAEAEKMAGEKKELEQELYDLNAAAERDGLQCAYPRRRSSRMSVTGSRRSKSKPRRSSVSAGVRNPRSPSFTIKRKRKVMPNLLKLIKERRGGKSK >LPERR01G23400.1 pep chromosome:Lperr_V1.4:1:20603101:20611193:1 gene:LPERR01G23400 transcript:LPERR01G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAVAHLSSPIPTTRASSPCAPPLLPLPRLRAFATYRASPPPPQPSSPSLSGALASASSAACERGEKPSICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVANTTSDMSPSSSLDKVSTQKINGSEPAKDNSTRLAQNLEVSVIEENDIVESNTSEDPQLVTKLSDALERLGDTFSGYVKDSRLRSIADGFFNRVSELVPDASLTRSLEEVSEKILGLLELPQTSAISDQISYLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIRQQSSSKDGKMLAIGHSMGGILLYAMVSKCGFEGAEPGLAAIVTLASSVDYTTSNSSLKLLLPLADPAEILRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPELLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFLFKQHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >LPERR01G23400.2 pep chromosome:Lperr_V1.4:1:20603101:20611193:1 gene:LPERR01G23400 transcript:LPERR01G23400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAVAHLSSPIPTTRASSPCAPPLLPLPRLRAFATYRASPPPPQPSSPSLSGALASASSAACERGEKPSICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVANTTSDMSPSSSLDKVSTQKINGSEPAKDNSTRLAQNLEVSVIEENDIVESNTSEDPQLVTKLSDALERLGDTFSGYVKDSRLRSIADGFFNRVSELVPDASLTRSLEEVSEKILGLLELPQTSAISDQISYLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIRQQSSSKDGKMLAIGHSMGGILLYAMVSKCGFEGAEPGLAAIVTLASSVDYTTSNSSLKLLLPLVLLQLTTAFRDGGLRNRAGTFLFKQHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >LPERR01G23400.3 pep chromosome:Lperr_V1.4:1:20603101:20611222:1 gene:LPERR01G23400 transcript:LPERR01G23400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAVAHLSSPIPTTRASSPCAPPLLPLPRLRAFATYRASPPPPQPSSPSLSGALASASSAACERGEKPSICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVANTTSDMSPSSSLDKVSTQKINGSEPAKDNSTRLAQNLEVSVIEENDIVESNTSEDPQLVTKLSDALERLGDTFSGYVKDSRLRSIADGFFNRVSELVPDASLTRSLEEVSEKILGLLELPQTSAISDQISYLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIRQQSSSKDGKMLAIGHSMGGILLYAMVSKCGFEGAEPGLAAIVTLASSVDYTTSNSSLKLLLPLADPAEILRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPELLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFLFKQHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEPRMRRRRPEGRRSAPKKGASRWCGGGESMNDDDICSCTVTLRDKHGLCVEAKGIVA >LPERR01G23400.4 pep chromosome:Lperr_V1.4:1:20603101:20607575:1 gene:LPERR01G23400 transcript:LPERR01G23400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAVAHLSSPIPTTRASSPCAPPLLPLPRLRAFATYRASPPPPQPSSPSLSGALASASSAACERGEKPSICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVANTTSDMSPSSSLDKVSTQKINGSEPAKDNSTRLAQNLEVSVIEENDIVESNTSEDPQLVTKLSDALERLGDTFSGYVKDSRLRSIADGFFNRVSELVPDASLTRSLEEVSEKILGLLELPQTSAISDQISYLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIRQQSSSKDGKMLAIGHSMGGILLYAMVSKCGFEGAEPGLAAIVTLASSVDYTTSNSSLKLLLPLADPAEILRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPELLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFLFKQHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >LPERR01G23410.1 pep chromosome:Lperr_V1.4:1:20608436:20612279:-1 gene:LPERR01G23410 transcript:LPERR01G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVVGSPTVERRRGIRRLLLPRGEGSSSSPPPPPQMQVEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGGGGVGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRSRGGGGGDGERTHRERPCLSRRVTVQEQISSSFMDSPPPHHLDAPFFGADLLPSGRLRRMRGYRHSPGGIEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRNLRKVKHPAFDSYRYSTETEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >LPERR01G23410.2 pep chromosome:Lperr_V1.4:1:20608436:20612279:-1 gene:LPERR01G23410 transcript:LPERR01G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVVGSPTVERRRGIRRLLLPRGEGSSSSPPPPPQMQVEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGGGGVGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRSRGGGGGDGERTHRERPCLSRRVTVQEQISSSFMDSPPPHHLDAPFFGADLLPSGRLRRMRGYRHSPGGIEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRNLRKVKHPAFDSYRYSTETEKKCSICQVCPNLFESSASLQSLYQHPEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >LPERR01G23420.1 pep chromosome:Lperr_V1.4:1:20619420:20619782:-1 gene:LPERR01G23420 transcript:LPERR01G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKIWRTNSLLADGEKRSHRASARVSPRSSAAATPPSPPSAARRQPFNARELGAATVDVACSSALASAPLCNPSVAVDQIELSAQLRHPSSALRSASVALLLSSPLHPAAACRRAVCS >LPERR01G23430.1 pep chromosome:Lperr_V1.4:1:20621301:20621585:1 gene:LPERR01G23430 transcript:LPERR01G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRAPDKWVLPRLPDCGACGKKGCVSPVIPGEKREINWLFLFLGQMLGCCTLDDLKFFCKNTSNHRTGAKNRVLYYAYIEMCRQLEPQTQFNV >LPERR01G23440.1 pep chromosome:Lperr_V1.4:1:20631320:20631520:1 gene:LPERR01G23440 transcript:LPERR01G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHEKALKVSDRQTWGGNHEQIERGGDLAKQYSVAHHERIQQLGNACAQSQSSILPNQALAGRFE >LPERR01G23450.1 pep chromosome:Lperr_V1.4:1:20632368:20634523:-1 gene:LPERR01G23450 transcript:LPERR01G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAAQVSTAAWSWTALASAQGRTTTSPGAAQGLSRASFIPDHGTDPRPFSPSSTYLDEVEMGEETPVLDDAEMKEDSVSDSDIQMSNPVLDN >LPERR01G23460.1 pep chromosome:Lperr_V1.4:1:20634968:20638215:1 gene:LPERR01G23460 transcript:LPERR01G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKVARLHMYDWFVLVLLVVIDGVLNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPVIIITGIYFERRNVYDFHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGVPAYDNVTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIIILPLLLAALVAVSRVDDYWHHWQDVFTGGILGRLMLQGHLRRSYLITSKRGSDKRAGWWFLHFATFNSFQCHLMKTAYGLTHTLGTFLTLISYRAMLNPQPWIA >LPERR01G23460.2 pep chromosome:Lperr_V1.4:1:20634968:20638215:1 gene:LPERR01G23460 transcript:LPERR01G23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKVARLHMYDWFVLVLLVVIDGVLNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPVIIITGIYFERRNVYDFHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGVPAYDNVTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIIILPLLLAALVAVSRGWWFLHFATFNSFQCHLMKTAYGLTHTLGTFLTLISYRAMLNPQPWIA >LPERR01G23470.1 pep chromosome:Lperr_V1.4:1:20648304:20649449:-1 gene:LPERR01G23470 transcript:LPERR01G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSLVDDTNSGGGGSGSSSTDKLRALVAAAAETAPLERMGSGASAVVDAAEPGAEADSGGRVVGGKLPSSKFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADADPDAAAELRFLAARSKAEVVDMLRKHTYFDELAQSKRALLAAFTPSSAATTTPAASLIPSPRSPAAAARQHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRAAAGDDDGGKLFIDCKLVRPTSAAVADQAAASPAVKNSIRLFGVDLLMAPTPDEHLAGCKRARDLATTPQAAALKKQCIELALV >LPERR01G23480.1 pep chromosome:Lperr_V1.4:1:20669891:20671516:-1 gene:LPERR01G23480 transcript:LPERR01G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAASSLSLLFAHPHSHRSSSNPNPPFSSGGGGPHLRRPLRAAPPSHRPRCVSDAAAAASTTATRHRRPAEENIREEAARLRGPGNDFSAWYVPFPPAPGDNPNERYSLDEVVYRSSSGGLLDVAHDMEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWSDFQPQVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMALADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCKYANPPINVKADFASVMDVLHNKLNGKI >LPERR01G23490.1 pep chromosome:Lperr_V1.4:1:20678021:20681709:1 gene:LPERR01G23490 transcript:LPERR01G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCISMVFLVLLAPCTTALSSPSNATDLAVLLDFKARIKDPNGIIASNWTASAPFCSWIGVSCDSSGQWVTGFELEDMPLQGTISPQLGNLSFLTSLVLSNTSLMGPFPNELGKLQRLQTLDLSYNSVSGNIPSILGNLTALQTLDLNSNKFFGGIPKELENLNNLQMLRLSNNDLSGPIPQGMFNNTPNLSTIRLGMNRLTGEIPRNIGSLSKLEMLVLEKNIFTGPMPADIFNMSQLQVIAVGRNNLSGSIPGNESFYLPMLELFFLSENQFNGPIPPGLSKCQNLDTLSIPVNNFIGVVPSWLATMTKLTKIYLSTNELTGKIPVELSNHTGLLGLDLSENKLEGAIPPELGQMRNLGSLNTIGMSNNAFVGSLPPYVGNLSTLLEVFVADNNKITGSIPSTLSNLTNLLMLSLSRNQLSGIIPTRITTMNNLQALDLSKNILSSTIPVEISGLTSLVKLYLDGNQLFGPIPSTIGNLRQLQVVKLSQNLLSLTIPTSLWHLQKLIELDLSHNSFSGSLPADSGKLTAITKMDLSNNQLSGDIPFSFGELQMMIYLNISSNLLQGSIPDSIGKLLSIEELDLSSNMLSGAIPKSLANLTYLTDLNLSFNRLDGQIPKGGVFSNITAKSLMGNKALCGLPSQGISSCQYKTHSRSIQRLLKFMLPAVVAFFILVFCLYMLVRRKINKHTTMALPSDADLMNYQLISYHELVRATRNFSDDNLLGTGSFGAVFKGQLDDESTVAIKVLNMQQEVSSKSFDRECQPSNILLDNDMVAHVADFGISKLLVGDGNSITLTSMPGTIGYMAPEFGSTGKASRRSDVYSYGIVLLEVFTRKKPTDPMFDSELTLRQWISQAFPHELSNVADCGLRQDGAMGGTDHSSNLSEDSNILNTCLVSIIELGLLCSRYAPDERIPMNEIVIKLNNIKSNYHSLCGSKGECKFLKYPL >LPERR01G23500.1 pep chromosome:Lperr_V1.4:1:20678210:20679258:-1 gene:LPERR01G23500 transcript:LPERR01G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSSKFPNYIGTDPVNLFPKKSILARLDKLPMDLGMVPVIWLFAMLIYPRFLICPNSGGIAPSSLFSLRSKPRSPIFQFFRNPPKEFVTIKIKSLQCREVAKDGGDFTELVWERTHQAGIAEDKAGEEGEVAKLWADGSLEWHVFEFKSRNPLPAAVA >LPERR01G23500.2 pep chromosome:Lperr_V1.4:1:20679257:20679543:-1 gene:LPERR01G23500 transcript:LPERR01G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGKLPTNALFDIPIVFRLLQFDKAARKSRFPLSWFPST >LPERR01G23510.1 pep chromosome:Lperr_V1.4:1:20685586:20688354:1 gene:LPERR01G23510 transcript:LPERR01G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITGPHCFRRRSCCQPMIPRRRPPNGHRSRSHPQSRTASIADHATPPPIPRHSRSHRTSFATDPPPLLATNRAPPNGSRGAARRRAPPERRAPIHGTHISVDRRRSNQPPQPLPHRRRCQVLLPLDTDQDGLIQC >LPERR01G23520.1 pep chromosome:Lperr_V1.4:1:20695895:20699190:1 gene:LPERR01G23520 transcript:LPERR01G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFFVLMVAAPLLVATAADDLSALLAFRARVSDPSGVLRGNWTAATPYCGWLGITCGRRHRHRVTALSLPGVPLAGALAPEIGGLTFLTSLNLSDAKLSGAVPTELGKLPRLRSLDLSNNYLSGNLPLGLGNLTVLEILSLDSNNLTGEIPPELHNLRNIQYLVLSRNQLSGHIPHGVFNGTSQIDFLSLAYNRLTGTIPVDIGLLPNIRCLVLSGNQLSGSIPQTLFNMSSLVGMYLARNNLSGFLPNNESFNLPMLQTVNLYENQLTGIVPQAFGSCKFLQVFILAYNGFTGGIPPWLASMPQLMVISLGGNDLSGEIPVELGNLTDLTYLDFTTNKLHGKIPPELGQLTQLQWLSLENNSLTGTIPTSFRNLSMISILDISYNSLTGPVPRSIFGQALTELYIAENKLSGDVNFMADLSSCKSLKYLVMNTNYFTGRIPSSIGNLSSIQIFRAFENQITGNIPNMLLTNKSNMLFMDLRNNRFTGEIPVSITEMKSLKMIDFSFNELVGTIPVNIGKLNLDALGLAYNKLHGPIPDSISNLSRLEKLELSNNQFTSAIPMGLWGLQNIVELDLAGNALTGTLPQEVDDLKAITFMNLSSNQFSGNLPASLGLLSTLTYLDLSYNSFSGAIPKSFTTLSSLTTLNLSFNTLDGQIPTGGVFSNIPLQSLRGNKALCGLPRLGFPNCKNDLHRQGKKSRLLKTILIPSILATGIITICMLLSIKFCTSKKQKDQPTSMSLEANNNSKAISYYQLVRATNNFNSDHLIGAGSFGKVFKGRLDDDRIVAIKVLNMDMDKATMSFDAECRALRLARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLMQRVNIMLDVALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACIADFGIARLLLGEDTSIFSRSMPGTIGYMAPESGSTGKASRKSDVYSYGIMLLEVFTGKKPTDDMFTGELSLREWVNKALPSRLADIVDPGISLHDEMMTSDDPHGVSWPNWEDELTGDRSCLAEILDLGLQCTRDLPEDRVTMKDVAAKLQRIKEALV >LPERR01G23530.1 pep chromosome:Lperr_V1.4:1:20702786:20706452:-1 gene:LPERR01G23530 transcript:LPERR01G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLRGLMKGGGEREGRKEEGAGAAAVRKNLHKKNGIRNGFIVPRHVSGEGEAVSTHHHNSQGGIDVTRVVKAVSIYPLSKEKFLVLDSAGVLHVFSLQNKEMLSEATSKRYCQSCTYCLDNVMKVQLFAIFPSSYTKTQIFWVSDGGHSIHIMSALDVEPPNSENGGVDGERELPTINLTAVEAIFTSEKVQDIVPISKDSILILGQGFMGFWLKAGRGMWIIIKWVEYENYASGARQ >LPERR01G23530.2 pep chromosome:Lperr_V1.4:1:20703118:20706452:-1 gene:LPERR01G23530 transcript:LPERR01G23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLRGLMKGGGEREGRKEEGAGAAAVRKNLHKKNGIRNGFIVPRHVSGEGEAVSTHHHNSQGGIDVTRVVKAVSIYPLSKEKFLVLDSAGVLHVFSLQNKEMLSEATSKRYCQSCTYCLDNVMKVQLFAIFPSSYTKTQIFWVSDGGHSIHIMSALDVEPPNSENGGVDGERELPTINLTAVEAIFTSEKVQDIVPISKDSILILGQGFMGFWLKAGVGDFKGCSCSSVFEVMSITIL >LPERR01G23530.3 pep chromosome:Lperr_V1.4:1:20703135:20706452:-1 gene:LPERR01G23530 transcript:LPERR01G23530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLRGLMKGGGEREGRKEEGAGAAAVRKNLHKKNGIRNGFIVPRHVSGEGEAVSTHHHNSQGGIDVTRVVKAVSIYPLSKEKFLVLDSAGVLHVFSLQNKEMLSEATSKRYCQSCTYCLDNVMKVQLFAIFPSSYTKTQIFWVSDGGHSIHIMSALDVEPPNSENGGVDGERELPTINLTAVEAIFTSEKVQDIVPISKDSILILGQVLEILKDAVAHLSLKS >LPERR01G23540.1 pep chromosome:Lperr_V1.4:1:20708557:20723839:-1 gene:LPERR01G23540 transcript:LPERR01G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDAAGAPLLERRDGPPPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPAVVNQSIASGTNLFAAVLEEMTLQINKRGMVDAWLEEMWAWMNQFKDAIHNLIHESVPVATKLYAIKFIETWILCLTPQSKSDRMAEGRNRRLFDISRLSQFHPKLNPSVLEGDANRALILLVDILQSACSHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKGAHPASLRYALKTAFLGFLRSPCQAMIESKDTLARQLRVLSPGEATEQIIRQVEKMTRNIERTSRVSKDEPSTLDMPYGDINRKHPAARSSDAFATADGVAKRARFDASTLNPPYQGALDYSNMQVDNECNVGHSSDPALLNSDVSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNDQQQKNQSSSSPLTENLPANSHSLPFTPQLALPADGVSMSMSDVLVMSSAHDSKRDPRRDPRRLDPRRTVAPAATDSIHVKGETIGVHPSNNLSNIPHPVSGKVENSSDYPGDPSKNEDEQQTSCQPNQALLPKEKCETLDDALELEPKLEVQAAADVGFHSPDVDKATVNPLSPEATSNNELDSIELEVDPFSPVSKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLAIRRIIDDYKKNSLNTRFSLLAHLIAQSASDDNIMDLIQRHIIFHYHDQGHELAMHVLYQLHSVNVTDLPESTAHAPTSKDYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLESICVSQGNSQQTKDSDGDRVTQGLGTVWSLILARPPLRQACVDIALKCAIHSQDEVRGKAVRLVTKKLYGLTYASERVEQFAIDCLLAIANKNGVETEINFTSSKESTPEFDGGSQETSVSGSHISDTEPSESRCNRTDLVSPKQSAVSVSEAKRHTSLFFALCTKRPILLQHLFHVYGRSPKVVKQCIHWHMPNLVRNLGSSCSEMLDIIHNPPEGSEELVTLILQTLTEDSSPSAELVAAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVALKKVDNIPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRTPLCSYVNQRSMHNILPRQILKVLGFINEPQQAPIPFVPAALQTADATSSLPGATIMLGS >LPERR01G23550.1 pep chromosome:Lperr_V1.4:1:20732612:20739299:1 gene:LPERR01G23550 transcript:LPERR01G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERYLRRIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQVPEKGHTRTRELDSQDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQSFLTTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEVPEPVPAEEEKPQIEEPVAAMPSSTEVVSPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGDTSTSGTSTVQDKGFDPSGWELALVTTPSSNTNSLAMDSNLGGGFDKLTLESLYDEGTYRQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMGLQPQQMPMMMQPNPFGPPLQPQHAGIAHQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >LPERR01G23550.2 pep chromosome:Lperr_V1.4:1:20732612:20739299:1 gene:LPERR01G23550 transcript:LPERR01G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERYLRRIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQVPEKGHTRTRELDSQDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALAGSLSDFYENCRGLELARNFQFPTLREPPQSFLTTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEVPEPVPAEEEKPQIEEPVAAMPSSTEVVSPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGDTSTSGTSTVQDKGFDPSGWELALVTTPSSNTNSLAMDSNLGGGFDKLTLESLYDEGTYRQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMGLQPQQMPMMMQPNPFGPPLQPQHAGIAHQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >LPERR01G23560.1 pep chromosome:Lperr_V1.4:1:20740246:20745307:1 gene:LPERR01G23560 transcript:LPERR01G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVNGAMGVEERKAQKSYWEEHSKDLTVEAMMLDSRASDLDKEERPEILSLLPSYEGKSILELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLTIEENSIDLIFSNWLLMYLSDEEVEKLVKRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKAFKECQTLDQDGNSFELSLLTCKCVGAYVKNKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKTSGILRYERIFGEGFVSTGGIETTKEFVDKLDLQPGQKVLDVGCGIGGGDFYMAEKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQVRVAPNLQLIWRDKPSLFRSFLKWLKPGGKVLISDYCKCPGQPSEEFAAYIKQRGYDLHNVKAYGQMLENAGFHDVIAEDRTDQFLSVLQRELAEVEKNKNEFVSDFSQEDYDAIVNGWKSKLQRSSAGEQRWGLFIATK >LPERR01G23560.2 pep chromosome:Lperr_V1.4:1:20740246:20745307:1 gene:LPERR01G23560 transcript:LPERR01G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVNGAMGVEERKAQKSYWEEHSKDLTVEAMMLDSRASDLDKEERPEILSLLPSYEGKSILELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLTIEENSIDLIFSNWLLMYLSDEEVEKLVKRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKAFKECQTLDQDGNSFELSLLTCKCVGAYVKNKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKTSGILRYERIFGEGFVSTGGIETTKEFVDKLDLQPGQKVLDVGCGIGGGDFYMAEKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFRSFLKWLKPGGKVLISDYCKCPGQPSEEFAAYIKQRGYDLHNVKAYGQMLENAGFHDVIAEDRTDQFLSVLQRELAEVEKNKNEFVSDFSQEDYDAIVNGWKSKLQRSSAGEQRWGLFIATK >LPERR01G23560.3 pep chromosome:Lperr_V1.4:1:20740246:20745307:1 gene:LPERR01G23560 transcript:LPERR01G23560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVNGAMGVEERKAQKSYWEEHSKDLTVEAMMLDSRASDLDKEERPEILSLLPSYEGKSILELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLTIEENSIDLIFSNWLLMYLSDEEVEKLVKRMAFKECQTLDQDGNSFELSLLTCKCVGAYVKNKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKTSGILRYERIFGEGFVSTGGIETTKEFVDKLDLQPGQKVLDVGCGIGGGDFYMAEKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFRSFLKWLKPGGKVLISDYCKCPGQPSEEFAAYIKQRGYDLHNVKAYGQMLENAGFHDVIAEDRTDQFLSVLQRELAEVEKNKNEFVSDFSQEDYDAIVNGWKSKLQRSSAGEQRWGLFIATK >LPERR01G23570.1 pep chromosome:Lperr_V1.4:1:20747001:20753516:1 gene:LPERR01G23570 transcript:LPERR01G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAERMLLAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASPNSFVDSFVDKTEKRYNPNMSPVIPKDKWRKGSQWVTLIRRHAEVVVGDKRVLQVFRRHCKMVVTNALLGRKPSYRRLGFGLRRKQILKGATRMEHDCIPDEHYVQTLFSIKGLENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYETASPAQINLIKGIDHVNYQMEHRTEWCQCNAKSVPCFLFARKFSYSAAMHLLEDGTVGPLKSSLLA >LPERR01G23580.1 pep chromosome:Lperr_V1.4:1:20751576:20754545:-1 gene:LPERR01G23580 transcript:LPERR01G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGASGPPAETVAAAFRRVYDTLKAELLRDPCFDFNDDATQWLDSMLDYNVLGGKLNRGLAVVESYKLLKAASGTEPNEEELFLACILGWGIEWLQAYFLILDDIMGNSQTRRGKPCWYRLPKVGLIAINDGLVLRSQISRIFRRYIYGKSYYVDLVDLFNEVEFQTTSGQLLDQIATNEGRKDLSKYKCIVEYKTAYYSFYLPVACALLLFGESLDNYGQVKRILVEMGVYFQSQDDYLDCFGEQEVIGKIGSDIEDFKCSWLFVQALERADEKQKGVLFENYGKSDPACVAIVKDLYNELDLQWVFSEYERESYENLISAIEAQPNEAVRSVLKSFLHKIYKRRK >LPERR01G23580.2 pep chromosome:Lperr_V1.4:1:20751574:20754545:-1 gene:LPERR01G23580 transcript:LPERR01G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGASGPPAETVAAAFRRVYDTLKAELLRDPCFDFNDDATQWLDSMLDYNVLGGKLNRGLAVVESYKLLKAASGTEPNEEELFLACILGWGIEWLQAYFLILDDIMGNSQTRRGKPCWYRLPKVGLIAINDGLVLRSQISRIFRRYIYGKSYYVDLVDLFNEVEFQTTSGQLLDQIATNEGRKDLSKYNIQVYCGIQDSLLFILFAAKHFLPYKVACALLLFGESLDNYGQVKRILVEMGVYFQSQDDYLDCFGEQEVIGKIGSDIEDFKCSWLFVQALERADEKQKGVLFENYGKSDPACVAIVKDLYNELDLQWVFSEYERESYENLISAIEAQPNEAVRSVLKSFLHKIYKRRK >LPERR01G23580.3 pep chromosome:Lperr_V1.4:1:20751574:20754545:-1 gene:LPERR01G23580 transcript:LPERR01G23580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGASGPPAETVAAAFRRVYDTLKAELLRDPCFDFNDDATQWLDSMLDYNVLGGKLNRGLAVVESYKLLKAASGTEPNEEELFLACILGWGIEWLQAYFLILDDIMGNSQTRRGKPCWYRLPKVGLIAINDGLVLRSQISRIFRRYIYGKSYYVDLVDLFNEVEFQTTSGQLLDQIATNEGRKDLSKYNVACALLLFGESLDNYGQVKRILVEMGVYFQSQDDYLDCFGEQEVIGKIGSDIEDFKCSWLFVQALERADEKQKGVLFENYGKSDPACVAIVKDLYNELDLQWVFSEYERESYENLISAIEAQPNEAVRSVLKSFLHKIYKRRK >LPERR01G23600.1 pep chromosome:Lperr_V1.4:1:20756275:20759064:-1 gene:LPERR01G23600 transcript:LPERR01G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPALAGVAAGGRTFANLLSGTEWMLPSPATQVHTISVLPSHSPPSSPRHFAFSNLTTAPKRSGCRGEEEGSARFEVVRDDLLHPLANGNKARKLDALLPLLSRRGTTDVVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDVPTGYNLISLMFGNVTYASRSVYAQRDEMLYDHARKVAGTGGTVWWADDIDREDSLDEDTGCEIGSRRVVIIKEGAGDVQALLGVVRLVEYLYNLPSFQKHEKVHIVVDAGTGTTAVGLALGAVCLGLHWKVTAVMLADTLERYKEREKSLISDFKKLHHNNCHEIVGENDNGDSLVEWVERFSPRRFGKVLSGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLSVEDGRTKVVMIHTGGTLGLFGLAQRYSQHFTSDEQT >LPERR01G23610.1 pep chromosome:Lperr_V1.4:1:20767337:20783214:1 gene:LPERR01G23610 transcript:LPERR01G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPRTRGEDEDEKKEQHEEGSSEAAVGGNNKLPFLRMFRYADRVDMALMAVGTVAAMANGMSEPLMSVVFAAVIDSFGGGDAGTVLHRVSKVVMYYIYLGVGTSVASFLQVSCWTMAGERQSARIRSLYLESVLRQDIAFFDVEMTTGEAASRMSADTVLIQDALGEKYITYGVFIRQTKVLSSFYSTLRTQRKELTQHLNLTCHVQVGKYIQVLTAFAGGFLIGFIRGWMLALVVMACIPPSIFSFAIVSRLRAQISGRTQTSYIKAGNVVEQTIGSIRTVISFNGEKRAIAMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGSASPSISAIAEGQSAAHRIFEIINREPKIDITGTAGIILEDIKGNVELKDVCFSYPARPAQLILDGLCLQVPNGTTMALAGQSGSGKSTIINLVERFYDPQGGEVLIDDINIKDLKLHSIRGKMSLVSQEPLLFMTSIKDNITYGKEDATDEDIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRVMIGRTTLIVAHRLSTIRNADCIAVVHKGKVIDQGSHDELIKDPDGAYSQLIQLQQTHTEERHDVRHNEMSSSRLKSTSLSLEQSVIKDSPRNRRKNSFTKYIGSSGSNGPHKHDLTDEQEDKEFGDSKDLKKAPISRLFSLNKPEAPILLLAVIAAFVHGLLFPVFSIMMSGGIRTFYYPPHQLQKDSRFWALICILMAIISLVSIQLEYFLFGMAGGKLIERVRCFSFQSIVHQEVAWFDDPSNSSGSLGAKLYVDALNIQRLVGDNLAIIVQCTVTLIAGFAIAFASDWKLTLIIMCPIPLNYAQVKFLKGFSEEAKVMYEDASQVVSEAIGSIRTVASFCAEKRVIKTYSQKCQASMKQSIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALIFTAFGISQTSAAASDSTKAQESAASLLAVIDRESRIDSSVDEGIILEKVNGTIDLNHVNFKYPSRPDVQVFCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPDSGTISLDGVELKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGGKGQVTEQEIIAAAKAANAHEFISSLPQGYSTTVGERGAQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVIKDGSIAEKGQHDSLMRIKGGVYASLVDLHSKKA >LPERR01G23610.2 pep chromosome:Lperr_V1.4:1:20767337:20783214:1 gene:LPERR01G23610 transcript:LPERR01G23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPRTRGEDEDEKKEQHEEGSSEAAVGGNNKLPFLRMFRYADRVDMALMAVGTVAAMANGMSEPLMSVVFAAVIDSFGGGDAGTVLHRVSKVVMYYIYLGVGTSVASFLQVSCWTMAGERQSARIRSLYLESVLRQDIAFFDVEMTTGEAASRMSADTVLIQDALGEKVGKYIQVLTAFAGGFLIGFIRGWMLALVVMACIPPSIFSFAIVSRLRAQISGRTQTSYIKAGNVVEQTIGSIRTVISFNGEKRAIAMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGSASPSISAIAEGQSAAHRIFEIINREPKIDITGTAGIILEDIKGNVELKDVCFSYPARPAQLILDGLCLQVPNGTTMALAGQSGSGKSTIINLVERFYDPQGGEVLIDDINIKDLKLHSIRGKMSLVSQEPLLFMTSIKDNITYGKEDATDEDIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRVMIGRTTLIVAHRLSTIRNADCIAVVHKGKVIDQGSHDELIKDPDGAYSQLIQLQQTHTEERHDVRHNEMSSSRLKSTSLSLEQSVIKDSPRNRRKNSFTKYIGSSGSNGPHKHDLTDEQEDKEFGDSKDLKKAPISRLFSLNKPEAPILLLAVIAAFVHGLLFPVFSIMMSGGIRTFYYPPHQLQKDSRFWALICILMAIISLVSIQLEYFLFGMAGGKLIERVRCFSFQSIVHQEVAWFDDPSNSSGSLGAKLYVDALNIQRLVGDNLAIIVQCTVTLIAGFAIAFASDWKLTLIIMCPIPLNYAQVKFLKGFSEEAKVMYEDASQVVSEAIGSIRTVASFCAEKRVIKTYSQKCQASMKQSIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALIFTAFGISQTSAAASDSTKAQESAASLLAVIDRESRIDSSVDEGIILEKVNGTIDLNHVNFKYPSRPDVQVFCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPDSGTISLDGVELKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGGKGQVTEQEIIAAAKAANAHEFISSLPQGYSTTVGERGAQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVIKDGSIAEKGQHDSLMRIKGGVYASLVDLHSKKA >LPERR01G23610.3 pep chromosome:Lperr_V1.4:1:20767337:20783214:1 gene:LPERR01G23610 transcript:LPERR01G23610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPRTRGEDEDEKKEQHEEGSSEAAVGGNNKLPFLRMFRYADRVDMALMAVGTVAAMANGMSEPLMSVVFAAVIDSFGGGDAGTVLHRVSKPNLMGAQHLHIPEVSCWTMAGERQSARIRSLYLESVLRQDIAFFDVEMTTGEAASRMSADTVLIQDALGEKVGKYIQVLTAFAGGFLIGFIRGWMLALVVMACIPPSIFSFAIVSRLRAQISGRTQTSYIKAGNVVEQTIGSIRTVISFNGEKRAIAMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGSASPSISAIAEGQSAAHRIFEIINREPKIDITGTAGIILEDIKGNVELKDVCFSYPARPAQLILDGLCLQVPNGTTMALAGQSGSGKSTIINLVERFYDPQGGEVLIDDINIKDLKLHSIRGKMSLVSQEPLLFMTSIKDNITYGKEDATDEDIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRVMIGRTTLIVAHRLSTIRNADCIAVVHKGKVIDQGSHDELIKDPDGAYSQLIQLQQTHTEERHDVRHNEMSSSRLKSTSLSLEQSVIKDSPRNRRKNSFTKYIGSSGSNGPHKHDLTDEQEDKEFGDSKDLKKAPISRLFSLNKPEAPILLLAVIAAFVHGLLFPVFSIMMSGGIRTFYYPPHQLQKDSRFWALICILMAIISLVSIQLEYFLFGMAGGKLIERVRCFSFQSIVHQEVAWFDDPSNSSGSLGAKLYVDALNIQRLVGDNLAIIVQCTVTLIAGFAIAFASDWKLTLIIMCPIPLNYAQVKFLKGFSEEAKVMYEDASQVVSEAIGSIRTVASFCAEKRVIKTYSQKCQASMKQSIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALIFTAFGISQTSAAASDSTKAQESAASLLAVIDRESRIDSSVDEGIILEKVNGTIDLNHVNFKYPSRPDVQVFCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPDSGTISLDGVELKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGGKGQVTEQEIIAAAKAANAHEFISSLPQGYSTTVGERGAQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVIKDGSIAEKGQHDSLMRIKGGVYASLVDLHSKKA >LPERR01G23620.1 pep chromosome:Lperr_V1.4:1:20784654:20791945:-1 gene:LPERR01G23620 transcript:LPERR01G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAGSKTNGRETKDAAAAAEKKKVSLLGMFRYADRLDVLLIVVGTVGALGTGLSEPLMTILFGNLINSFGENTSSSILRSVTKVVLNFIYLGIGTSNSGIPNFPCGTILSCDALHIIFHQLATAFPNHIIPRIFDQISGKVSCWTMAGERQSSRIRSLYLKAVLRQDIAFFDTEMTTGEAISRMSSDTLLIQGALGEKAGKLIQLSSTFFGGFIIAFTKGWLLTLVMLTSLPVTAIAGAVSAQTLTRVSSKKQTSYSDAADTVEQTIGSIRTVVSFNGEKKAIAMYSNFIKKAYRTTVEEGIITGFGMGSVLCIAFCSYGLAFWYGGKLIVEKGYTGGKIITILFAVLTGATSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDIKGDIELKDVYFRYPARPEQLILDGLSLQVPNGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRRKIGLVSQEPLLFMTSIKDNIIYGKEDATIEEIKRAAELANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMTVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHDALVKDPNGAYSQLIRLQETRDDERHKLSDSKVPDSRSKSTSLSFRRSRTKDSISKSNRYSFKNPLGLPADLHENRITSEQQVEHSDSKAMKKTPFGRLFNLNKPEVPVLLLGSLAASVHGVIFPLFGIIMPAVLKSFYEPPEKLRKDSRFWALMSVVLGVACLVSIPAEYYLFGIAGGKLIERVRNLSFQSIMHQEVSWFDNPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAAATVITGFVIAFAADWRLALIITCVLPLVGLQGYAQIKFLKGFSEESKEMYEDASQVATDAVGSIRTVASFSAEKRVVAIYRKKCEALRKQGIRSGIVGGLGLGFSNLMLYLTYGLCFYVGAKFVSQGKTTFTDVFKVFFALVLAAVGISQSSALSSDAAKARESAISIFGILDRKSRIDSSSNKGTTIENLTGSIDFNNVSFKYQSRPDVQIFSEFTLHIPPQKTIALVGESGSGKSTIIALLERFYDPDSGQISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHGKVTEEEVTAVAKAANAHEFISSLPQGYDTMVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVVKEGKIAEKGKHETLMRIKDGAYASLVQLRSSSE >LPERR01G23630.1 pep chromosome:Lperr_V1.4:1:20798823:20800218:1 gene:LPERR01G23630 transcript:LPERR01G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCGARREKSVHKPLSRLATQPRKRSPARTTPTLSASGCVTLAGNRRKQAARARLSAPIGRIDRSMRQKRPARRGGDDEAAAPQLKRGPWTPEEDEVLARFVAREGCDRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRSPIADDEEDLIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGIDPRTHRPLAATADHSNAAAASYETPVATNPPRVAPSVTAQPSSPAAAGNGGSHDDLAQPADGGGDFAAMGISAPDADGFGDQFFGEDAARGGFDMGSAMVDDDFSSFLDSLINDEIGQGDVLEFK >LPERR01G23640.1 pep chromosome:Lperr_V1.4:1:20801401:20806702:1 gene:LPERR01G23640 transcript:LPERR01G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPLPPPPPSPAAAWGKRSRATPLRFVLAASTRDRDPAPAPGPAPATFERLREQLLQLHAEAELTQSKANSTRVRLVRLTEAAENLKKRAAISIRMGKENEAVDLLVQKKRLTKALESIKQRIEVLDKLSTKISEAISMKQNMLIEHALHPGMSNDKASNDNIRIFSGKVGDEADEITSSPELQTSNSFTLSSDHESPNGLTNHFSYDDFLEHISLQLNSLECEIVQYISSQLAEQVDIQNQVNGKWQKLSDVLMLITQTRERIANIVDNTVEETGSEDLRS >LPERR01G23640.2 pep chromosome:Lperr_V1.4:1:20801401:20803412:1 gene:LPERR01G23640 transcript:LPERR01G23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPLPPPPPSPAAAWGKRSRATPLRFVLAASTRDRDPAPAPGPAPATFERLREQLLQLHAEAELTQSKANSTRVRLVRLTEAAENLKKRAAISIRMGKENEAVDLLVQKKRLTKALESIKQRIEVLDKLSTKISEAISMKQNMLIEHALHPGMSNDKASNDNIRIFSGKVGDEADEITSSPELQTSNSFTLSSDHESPNGLTNHFSYDDFLEHISLQLNSLECEIVQYISSQLAEQVDIQNQVNGKWQKLSDVLMLITQTRERIANIVDNTVEETGSEDLRS >LPERR01G23650.1 pep chromosome:Lperr_V1.4:1:20803855:20805479:-1 gene:LPERR01G23650 transcript:LPERR01G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEEHLLPLVHRDQIYSSRQDRRKSSDVPNRRATSIYPSADIKGNPNTPNHPSPTSGNANSVSTQTFQRVHSSPSMFTSVKEIPCADEFDEQNHAAQHVPSFARQAIVSVILYISIGVLVYITNVDGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMIDAEKKRSRGRMKVLLALGVVAGTISICTIIVHEVEGLNWTDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDTRNRKTTKWILQKKMDNEPLAADLHHDASVSRSDFLIYKLKEIGKIDDKDIATTSDQFDQLGLAKCGKITLADIIGKL >LPERR01G23660.1 pep chromosome:Lperr_V1.4:1:20819734:20820985:1 gene:LPERR01G23660 transcript:LPERR01G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLEIISSLVFSRAMMIDIVGCSFLTQKFELKRKPFLLQLIVHGRVYLFGGATRCCTAASQPELQYCVH >LPERR01G23670.1 pep chromosome:Lperr_V1.4:1:20823566:20831094:1 gene:LPERR01G23670 transcript:LPERR01G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEEAANGRGDGGGEEKKSKKGQEAVRTKDDGDAGKAVSFTGLFQYADGTDLLLMLVGTVAALANGVSQPLMTVIFGQVINAFGDATTDSVLSRVNKAVLNFVYLGIGTAVVSFLQVACWTMTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVLLACIPPVVIAGGAVSKMLAKISTKGQASYSDAGNVVEQTIGAIKTVVSFNGEKQAIASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVLNKGYTGGDVINILFAVMTGAMSLGNATPCMAAFSGGQAAAYRLFKTIKRKPEIDPDDTTGKQLEDIRGDVELKDVYFSYPARPDQLIFDGFSLCVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFVDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTIVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVMNPDGAYSQLIRLQESREEEQKLDRRVSDSRSKSRSLSLRRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDTDVGEQTEQGGDGEVKKKAPIGRLASLNKPEVPVLLLASLAAAVHGVLFPMFGVMISNAIKIFFEPADKLKKDASFWGLMCVVLGIVSIISIPVEYFMFGIAGGKLIERVRAMTFRSIIHQETLDTDDEIPSYSGALGARLSIDALNVRRLVGDNLALVVQVISTLITGIVIAMIADWKLTLVVLCVIPLVGIQGYVQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEERVMTMYDKKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKSSFGDVFKVFFALVLATIGISQTSALASDSTKAKDSSISIFALLDRKSQIDSGSDEGRTLDEVKGDIDFRHVNFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPDSGTISLDGVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNAMVGRTTVIVAHRLSTIKGADIIAVLKDGAIAEKGKHEALMNIKDGVYASLVELRSGSS >LPERR01G23670.2 pep chromosome:Lperr_V1.4:1:20823566:20831094:1 gene:LPERR01G23670 transcript:LPERR01G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEEAANGRGDGGGEEKKSKKGQEAVRTKDDGDAGKAVSFTGLFQYADGTDLLLMLVGTVAALANGVSQPLMTVIFGQVINAFGDATTDSVLSRVNKAVLNFVYLGIGTAVVSFLQVACWTMTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVLLACIPPVVIAGGAVSKMLAKISTKGQASYSDAGNVVEQTIGAIKTVVSFNGEKQAIASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVLNKGYTGGDVINILFAVMTGAMSLGNATPCMAAFSGGQAAAYRLFKTIKRKPEIDPDDTTGKQLEDIRGDVELKDVYFSYPARPDQLIFDGFSLCVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFVDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTIVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVMNPDGAYSQLIRLQESREEEQKLDRRVSDSRSKSRSLSLRRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDTDVGEQTEQGGDGEVKKKAPIGRLASLNKPEVPVLLLASLAAAVHGVLFPMFGVMISNAIKIFFEPADKLKKDASFWGLMCVVLGIVSIISIPVEYFMFGIAGGKLIERVRAMTFRSIIHQEVAWFDDPNNSSGALGARLSIDALNVRRLVGDNLALVVQVISTLITGIVIAMIADWKLTLVVLCVIPLVGIQGYVQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEERVMTMYDKKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKSSFGDVFKVFFALVLATIGISQTSALASDSTKAKDSSISIFALLDRKSQIDSGSDEGRTLDEVKGDIDFRHVNFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPDSGTISLDGVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNAMVGRTTVIVAHRLSTIKGADIIAVLKDGAIAEKGKHEALMNIKDGVYASLVELRSGSS >LPERR01G23670.3 pep chromosome:Lperr_V1.4:1:20823566:20830851:1 gene:LPERR01G23670 transcript:LPERR01G23670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEEAANGRGDGGGEEKKSKKGQEAVRTKDDGDAGKAVSFTGLFQYADGTDLLLMLVGTVAALANGVSQPLMTVIFGQVINAFGDATTDSVLSRVNKAVLNFVYLGIGTAVVSFLQVACWTMTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVLLACIPPVVIAGGAVSKIRPAAPPREQAGDPARQTTLAA >LPERR01G23680.1 pep chromosome:Lperr_V1.4:1:20834689:20835978:1 gene:LPERR01G23680 transcript:LPERR01G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLALMLPLLLLSATPRGSARPASFKASLVRTETSFNYTRAVQRSRSRLSMLAARAVSNAGDSAAQSPLQRGNGDYAMAFGIGTPAAELSAEADTGSDLIWTKCGACATCTPQGSPSYYPTSSSSAAFVACGDQTTCGKLPKPLCSNVDGNGNCSYHYAYGVDSGDSHHYTEGILMTEMFTFDDATSFPGIAFGCTLRSEGNFGLGSGLVGLGRGKLSLVTQLNVEAFAYRLSSDLSASSPISFGSLDDVTGGNGDALLSTPLLSSPARPDPTFYYVGLTGISIGEKLVQIPPGTFSIDPSTGEGGVMFDSGTTLTLLPDPAYTLVRDELLSQMGFPEPPAGMCFPEGSTTNFPSMLLHFDGGAEMNLSTENYLLQTEGQNGERGRCWSVVKSPERLTIIGNVMQMDFLVAYDLSAGNERMLLQPPTV >LPERR01G23690.1 pep chromosome:Lperr_V1.4:1:20836527:20838718:-1 gene:LPERR01G23690 transcript:LPERR01G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQTLLPILPMLAINFTVFSLLPFLADGFHIGNIEARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGWISH >LPERR01G23690.2 pep chromosome:Lperr_V1.4:1:20836426:20838689:-1 gene:LPERR01G23690 transcript:LPERR01G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQTLLPILPMLAINFTVFSLLPFLADGFHIGNIEARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSDINRRAA >LPERR01G23690.3 pep chromosome:Lperr_V1.4:1:20836439:20838689:-1 gene:LPERR01G23690 transcript:LPERR01G23690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQTLLPILPMLAINFTVFSLLPFLADGFHIGNIEARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGYK >LPERR01G23690.4 pep chromosome:Lperr_V1.4:1:20836426:20838689:-1 gene:LPERR01G23690 transcript:LPERR01G23690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSDINRRAA >LPERR01G23690.5 pep chromosome:Lperr_V1.4:1:20836439:20838689:-1 gene:LPERR01G23690 transcript:LPERR01G23690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGYK >LPERR01G23690.6 pep chromosome:Lperr_V1.4:1:20836525:20838689:-1 gene:LPERR01G23690 transcript:LPERR01G23690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGAMHQNRQQDQTLLPILPMLAINFTVFSLLPFLADGFHIGNIEARSTCWTMPNALSGSVWSHGCPDMLLENGARVEGADMNGYQVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGWISH >LPERR01G23700.1 pep chromosome:Lperr_V1.4:1:20843905:20845911:1 gene:LPERR01G23700 transcript:LPERR01G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTARGSSERNVLTRNPWTRYRTGRYRKKARISAPDEGTPSSSATSRAALQKKSITRTEGLASARKSRRLGLERRVTSKVSVSWCLHAAGGSSTFGRRWNVMAGLAAETPARNGPVAPVLPYGGSTTTTVTARSRAAKILPSSIMETRWPMPGDG >LPERR01G23710.1 pep chromosome:Lperr_V1.4:1:20844513:20845958:-1 gene:LPERR01G23710 transcript:LPERR01G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSATTRARKPVVLYPSPGMGHLVSMIELGKIFAARDLAVTVVVVDPPYGNTGATGPFLAGVSAANPAITFHRLPKVELPPAACKHHETLTFEVTRLSNPNLRDFLADANPSVLVIDFFCNAALDVADELGVPSYMFCTSGAEILAFFLYLPVLHEQTTVSFGEMGEELVHVPGIPSYPATHSVLPLMERDDPAYAEFIKAATDLYRVQGFLVNTFRSLEPRAVETMVAGSCTPPGVATPPVYCIGPLIKSEEVGENRGEECLAWLDTQPNGSVVFLCFGSIGRFSAEQIKEVASGLEASGQRFMWVVRTPPSDDPAKKFDKPPEPDLDALLPRGFLERTKDRGLVVKSWAPQRDVLAHRSVGGFVTHCGWNSVLEAIMAGVPMLAWPLYAEQRMNRVFLEKEMGLAVAVEGYDDRDGLVKAEEVAAKVRWLMESDGGRALLERTLAAMRKAKEALRDGGESEATLTRLVESWRKAAGA >LPERR01G23720.1 pep chromosome:Lperr_V1.4:1:20858910:20862853:1 gene:LPERR01G23720 transcript:LPERR01G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGADLLAGHMEFAGVDLVAGGAMEFAGVDLVAGSAIPHCQGGQCVKKCQQTSARHHLFAYEINRLLSHC >LPERR01G23730.1 pep chromosome:Lperr_V1.4:1:20864890:20865402:-1 gene:LPERR01G23730 transcript:LPERR01G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLRKVLGIHLLLNANDIKILIDAANADLKENSGRIAKVRVRLRLFRCLADKAMATPMTLQQRRAVRVAVNEAVDVITASLPLLLERRRQLREVVSILRLLRANDFVVRAEVPLRRVLLAVKIGSATMVAYVVSRHGVPAIRGLVRIFSLGMRGFLERYRVARFLI >LPERR01G23740.1 pep chromosome:Lperr_V1.4:1:20866285:20866788:-1 gene:LPERR01G23740 transcript:LPERR01G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPNPLRFRDVIRNAFHLMNNADDARILLAAADQNVRVIDARMEQLATDVGDLRHELHEVMNAPMSEEEREAQRLMFLEILADLDAEHDLCLEQRRRLMNAIVPLIGFAIVAITKRALWRYVPIAFRTFAVGAAGVVIYNESRRGAVPLSRNLLMVLTRLALYFL >LPERR01G23750.1 pep chromosome:Lperr_V1.4:1:20867435:20867944:-1 gene:LPERR01G23750 transcript:LPERR01G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLMAAVAEVFDNFNVVDNIDAIQALLDGARAEIDQNSSHIDEEIARFSRLRCLVGVLRATPMTAEEQQAARAAVAEAEANIRASLAALLEHRRQLCEVFAVLLVLRAAAFARSRAHLIPGVLLTAAAAAVVVYASSCGAVVPGFRSLVRVLVLVTFFLFGGNLMRL >LPERR01G23760.1 pep chromosome:Lperr_V1.4:1:20872762:20873892:-1 gene:LPERR01G23760 transcript:LPERR01G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAAAAAEKTAPTGGVAGDGVTAAAFGHPDKSYGTGAAKKTSPKGGVAEDELTVKAAGAEGHAKPYADVVADKTNSDGSVAEDDVSYTAPENHAKSYADVVVADKTNSDGSVAEDDVTYTASENHAKSYADVVADKTNSSGSVAEEEVTATKEPAKSHTSVAAEKIVPDGTVAKDEVIVPAPAALAAPAKSYATVAAEAEKRHLLTTKLDLEEKLAAGDRGKKALVAENNRKNEIFSQAEENLGIVECGASSADKKVLGIREELKELQGLLQAEKDAKEAAMRKREVPLRLEAEIKALKEKAAARAAAELAAREEGLASRVAPAEEERVAAPSPGGPQVARVARPVMAGAAAVGVAAAAVAVVIFLRLKR >LPERR01G23770.1 pep chromosome:Lperr_V1.4:1:20881076:20887216:1 gene:LPERR01G23770 transcript:LPERR01G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAATGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSPDGVPEAELDRERFLDLPADEIGGEGSQDAAPSGKGTDTGQADYNAVPFSYGSTAGPDFSNNLSSYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVNEHGGQSEIVLRVKQGNNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDTVDSNKGCKTANIESEHAVSSGGALSLLGAVYESGDEDGDMLPPSPKGTAPGKDVVRHEQGHESSASVIHCSEAGESQKEMAVAAVAVKDKSIVTKKNPTITDSIIIAARREKVKDAMMASSASDVSDTKDVILEPPSFFKRTMEKIVEFILRNGKEFEGKLIEQDRTTGRFPFLLPSNPYHSYYLKILNETKESKSRGGSLEPKDRRNSSEHKEPKDRRRSSEHKDRRSSTEHKDRNFFSEHKDRRSSSERKDSSHGKEGNKSKTRSSTSKDASSSDRSSAEPLEKQPYDKHKQGKGKFHVIIGGAKKEPRNVTADEAAAIVMAATRGLGSANIRTNIPKDMSGIGQIHGDNGHTSSFGSFSSLQEPDVLSKPVSNSEAGTSLTSSGQLRNEGTGIIDDDWIANTIAKAAAAAASKEADSSEASLTKEQKLKAERLRRAKMFASIINSGGSKVANLSTSADPTNEAEKASTGDLSLSGSDAQLPAKEREGSSVPFEREGSNLAKQERDSDDEQNRARKYRKKHHTESDEENYDSEESYKHSMKRRRSEHSIVYTDDVYRHKHKRHSKDMDHRHHRRHHSSSEDEHEHRSSKSRHRHRDGHEVDEHRSSHRHQRDHRSSSKRKKDNDRDKRKQNVDHPEVSQSASGHKFESENAPSDTAQSSQATNEVPNELRAKIRAMLLETL >LPERR01G23780.1 pep chromosome:Lperr_V1.4:1:20887894:20888922:-1 gene:LPERR01G23780 transcript:LPERR01G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMARNFSATFLLLLTLACMLVSPSAAQQIKTTDTRWSYHLLLPDGVSGAESLAFDGKDGIYTGVSDGRVLKWGGSAAGWSTFASNANHRKLPLCSSSEVSTEDRESICGRPLGIRFFRKTGELYIADAYMGLMKVGSDGGEAHVIATAADGVPFHFLNGLDVDQATGDVYFTDSSSIYTRRFNGEITMNADSTGRLLKYDAKTQQVTVLKTDLPYPNGVVVSRDRTHLIVAHTVPCQAYRYWLQGSQAGEYELFADLPGYPDNVRRDGKGGYWVALNQEKMRLDAAPPAKHLVGVRLNHNGMEVDELTAAKGVTLSEVAEQKGKLWLGSVELDYIGMFV >LPERR01G23790.1 pep chromosome:Lperr_V1.4:1:20889384:20893934:1 gene:LPERR01G23790 transcript:LPERR01G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPTHASLPRLRSAKPPRIGLRVSLPPTHHCSPPAISDELLVQMVMQMTKRHVSSPLTYGS >LPERR01G23810.1 pep chromosome:Lperr_V1.4:1:20898216:20900598:1 gene:LPERR01G23810 transcript:LPERR01G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILLFHSSFPKRAIELSSSPSPGSSSRRCRGRRRGRRPIRCRRPFLTAPPRHQHLLASRINSSTRKRKAVKSSSARSSSCRSACSQACLWWFSCLLRVDLLFVG >LPERR01G23820.1 pep chromosome:Lperr_V1.4:1:20902277:20904576:-1 gene:LPERR01G23820 transcript:LPERR01G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARLPQLLHGLLRSERWTRRGRPLVHVDHPRAAWYPPIVSSWRLLARNAKEEGMAGDGNEAVLVREAYT >LPERR01G23830.1 pep chromosome:Lperr_V1.4:1:20908788:20909356:-1 gene:LPERR01G23830 transcript:LPERR01G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCILLAFNLGLVFVLSIPLLLEARPLATGDQDDENLPVLISTVVTAEETAQVMVDYLELKRSSSDSEDETATRLPAPDTALDRAARSPPSPHGRYPPQHRQIVRGRRPYAPPAPRGRNPPHWIRSGDDHPQDQSQGPWLLDVFHRLLRVLSELIGQINM >LPERR01G23840.1 pep chromosome:Lperr_V1.4:1:20918417:20919868:1 gene:LPERR01G23840 transcript:LPERR01G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAESGRWTRLRTLGRGASGAVVSLVEDGASGELFAVKTAGAAYAAMLRRERKMMSGLSSPHVVPCIGGGDGPDGSYNLFLEFAPGGSLADEVARNGGRLEECAIRAYAADVLRGLAYLHGRSLVHGDVKARNIVIGVDGLAKLADFGCAKTMDSAGPVSGTPAFMAPELARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPAWLSAEAKDFLASCFARNASDRSTAAQLLAHPFVAFACREVKESQPKTRWVSPRSTLDAAFWESETDDEEEVEERTESLCDRIKSLACSVSALPDWDSDDGWIDLLGEKCEAFDSESTKESIDVARSAPSKVSSAATVPAADAEVATVGGDCRPRDELDAGDRPFGGDILAADRSIERWNKVCASSDSDVLPVRLLCIRICAMELFRLFTNITVLLCLIPAVQSVFVVASFTPHPCLEQKPNPLRTVKFSEYTSNHAWHK >LPERR01G23850.1 pep chromosome:Lperr_V1.4:1:20927969:20930939:1 gene:LPERR01G23850 transcript:LPERR01G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVSLAADERSGALFAVKSASAKAAGAEQLRREGRILSGLRSPHVVPCLGFRADEAGGECQLFLEFAPGGSLADVVARNGGRLADERAISAYAADVARGLAYLHGMSLVHGDVKGRNVVVGADGRAKIADFGCARTVGSDRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGSAPWSDMADILSAVHRIGYTDAVPDVPGWLSTDAKDFLARCFARNPRERWTASQLLDHPFLASAGCGVKTQEAPPQWVSPKSTLDAALWESDTDDEEDDVPESPTERIKALACPCSALPDWDSDEGWIEVLNEPCQACDITVAKEEADEKGRVLTQVLETVDEFSGVEAECVCTVRLITVASIEQQEVSCLGLINNPLVFSDNKSEIIKSLFRPNCLLLVVASTFI >LPERR01G23860.1 pep chromosome:Lperr_V1.4:1:20933450:20935008:-1 gene:LPERR01G23860 transcript:LPERR01G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTNTAPLPPPRHTPQSTYAQGRNRGKRSNQRIPTMAKQLTRVRTLGRGASGAVVWLASDDVSGELMAVKSVCAGGAAAAQLQREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADGAARSGGRLAEPAIRAYAADMARGLAYLHGKSLVHGDVKARNVVIGGDGRARLTDFGCARVMDSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDILAAVHRIGYTDAVPEIPGWLSPEAKDFLDGCFERNAAHRSTAAQLLEHPFIASAVAAGEHRPEPAKQEFTSPKSTLQEAFWDFDTDDEEDEMPTGAAERIGALACAASALPDWDSDEGWIEVHDEGFSCPVAQPAINADYFVWAELPEPEFEPFAVFANGANNLPRNEVEAIDSSIRQSSYLSVHLGSCKNENFHPFDCNGSESVGFNCVCKNRVIKLSFAQISPRSDQPVGAFNFPLLLLYYFANQSRDSLRPN >LPERR01G23870.1 pep chromosome:Lperr_V1.4:1:20938796:20940203:-1 gene:LPERR01G23870 transcript:LPERR01G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAMQKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAAGAGGAAELLRREGRVMSGLCSPHIVPCLGSRVAAGGDEYQLFLEFAPGGSLADEAARSGGCLAEGAIRAYAADVASALAYLHGNSLVHGDVKARNIMVGADGRAKLADFGCARSTDCERPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDILAAVHRIGYTDAVPAIPVSLSPEAKNFLSKCFTRNPRDRPTAAQLLEHPFLASADIDTTAAKHGWMSTSPKSTLNAECWESDDDEEEDMSQSATKRISALAITCSALPDWDSEDGWIDLQSDHPSEVSETPAPMVVTTTADLSLWWEALDAEIDLYFVDADGDVHATRTSTADRLRAILTQGIFLRCS >LPERR01G23880.1 pep chromosome:Lperr_V1.4:1:20944837:20946273:1 gene:LPERR01G23880 transcript:LPERR01G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGFFIGAGLELPAHFSPIFFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHIRAWTHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >LPERR01G23890.1 pep chromosome:Lperr_V1.4:1:20954400:20958590:1 gene:LPERR01G23890 transcript:LPERR01G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHAAGSDDGGNTTTTTTNATTTENGRNNSSRRAAASDGNHLPSRPNIPPVDVSEDSMESSEEMVTPRAAASEADEEESKAAASEVPVEVVEAGEEVMVDALPPESAGVQVQQEGKVEGNEEAVVVVQEPEVKREESVAKVHPVHDPEPKREDVAAAASVVQEPEVNDDAVVVMETAAQTVVQEPEKSGGVVVKDVVEVSRSLGAADTTEVARGPAVAVAAAGQRTTWWNCCGLFDAFSGSER >LPERR01G23900.1 pep chromosome:Lperr_V1.4:1:20958829:20961585:1 gene:LPERR01G23900 transcript:LPERR01G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLYDIACFAAGLAGNVFALALFLSPVTTFKRILKAKSTEQFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGTGVVFQLVYISLFIFYADSRKTRVKIIGLLMLVVFGFALISHASFAFFYQPLRQQFVGAVSMASLISMFASPLAVMGVVIRTESVEFMPFYLSLSTFLMSFSFALYGLLLRDFFIYFPNGLGVILGAMQLALYAYYNRKWRGQGSSAPLLLA >LPERR01G23910.1 pep chromosome:Lperr_V1.4:1:20964477:20965046:-1 gene:LPERR01G23910 transcript:LPERR01G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSTSLPAVAAAYSRQFRRDFSLFLRSRAAEVVFGGRMVLTMLGRENDERSQHADRNTTLLWDLLSESLAVLVSLGMVEQGKMDAYDAPFYAPWVGEVEEEARREGSFAVSYAQVYEANLKSGIGDARRDRSTVAMAVRATQESILGHHFGMEIIDPLFAKYTKLVTAAMEREEIKSVQIGVVLTRL >LPERR01G23920.1 pep chromosome:Lperr_V1.4:1:20971043:20972817:1 gene:LPERR01G23920 transcript:LPERR01G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMAPANVLIYAALLVLTVLYLAVTRWRSSRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDFVITSPELAREVLRDQDSVFSNRVMPYAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHGLRRCEFRSTLRYLHTKSGEPVDIGAQMFLNTMNVITSTIWGGTIGSESERAAVGSEFRGLVAEVTELLGAPNVSDFFPVLAPYDLQGIRGKMERFRSRFNVMFAKIIQQREKAQKYDGETATDFLECMLKMEKEGGDGKTTFTMDNVKAILLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEESHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASMVGGYQVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGNKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSLAMLLQAFDWKLPQGMQLDLSEKFGIVMKKATPLVAIPMPRLSKPELYHY >LPERR01G23930.1 pep chromosome:Lperr_V1.4:1:20974802:20976211:-1 gene:LPERR01G23930 transcript:LPERR01G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLNCSDAPEPSMNLEAVLHMKEGIGETSYAKNCTLQKKSMESVKSLVTKSARDVYASLRPERFTLADLGCSSGTNALGMVEEIVRSVLESCRGSTPPPEFSVLLNDLPTNDFNTIFSRVPEFTGKLKADAGEEPMVFLSGVPGSFYGRLFPSRSVHFVCSFSSLHWLSQVPPGLSDETNGPVNRGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVSGGRMVLAMLGRKDDKRSQHADRNTTLLWDLLSESLAVLVSRGMVEQGKLDAYDAPFYAPSVWEVEEEARREGSFAVSYARAYEANLKSGSGDARRDGRTVAMAVRAIQEPMLGHHFGMEIIDPLFAEYTELVTAAMEREEVKSVQIGVVLTRL >LPERR01G23940.1 pep chromosome:Lperr_V1.4:1:20979567:20981622:1 gene:LPERR01G23940 transcript:LPERR01G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANVLYGALLVPTVLYIADITRRRRSRLPPGPAGLPIVGSLPFIDPNLHTYFAGLAEKHGPILSIRLGSMVDIVVNSPQLAREVLRDQDAVFANRVMPDAGDAVSFGGVQNIVGNPVGPMWRMLRRVCVHEMMSPAGLASVHGLRRREFRDTLRYLHSKSGEPVDVGAQMFLNTMNVITSTMWGGTIESESERAAVGSEFRALVAEVTELIGTPNVSDLFPALKPFDLQGVRRKMERLRSRFEVMFTKIIQQRMKSDGGDTSTDFLECLLKMEKEGGDGKTPLTMDNVKGFLLEMVVGGTDTTSNTVEWAMAEMLQNPEAMNKVQQELDSVVGRDAVVEESHLPQLHYLRLVIKETLRLHPPVPLLVPHSPSADSTVGGYHVPEGSRVLVNVWAIQRNPLVWNEPLEFNPERFTVDGGGHKGDFSGSQLDYLPFGSGRRICAGMAMAEKLTMYSIAMLLQAFDWKLPQGEQLDLSEKFGIVMKKATPLVAIPTPRLSTPELYCS >LPERR01G23950.1 pep chromosome:Lperr_V1.4:1:20987231:20989296:1 gene:LPERR01G23950 transcript:LPERR01G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVLGATMTEYTATLVAMAVGLMVLLVAYLYEPYWKVRHVPGPRTLPLIGHLHLIAKQGPDLFSVLAREHGPVFRFHMGRQPLIIVADAELCKEAGVKKFKSIPNRSIPSPIANSPIHQKGLFFTRGSRWTAMRNMIISIYQPSHLASLIPTMESCIERAAKNLDVKDELTFSELSLSLVTDVIGQAAFGTDFGLSKVPVSPDDVKTAADTGVDQAKTSSEFIKMHVHATTSLKMDLSGSFSILVGLLLPFLQEPFRQVLKRIPGMGDYKIDRVNNALRTQMDKIVAEREAAMEHGLATPQRKKDFLSVLLTARESNKSSRELLTPDYVSALTYEHLLAGSATTSFTLSTVLYLVAKHPAVEEKLLREIDGFGPRDRVPTADDLQTKFPYLDQVVKESMRFYMVSPLVARETYEQVEIGGYTLPKGTWVWLAPGVLAKDPKNFPKPEVFRPERFDPNGEEEKKRHPYAFIPFGIGPRACIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFSIVNNFKNGVKLQVIKRNNA >LPERR01G23960.1 pep chromosome:Lperr_V1.4:1:20999865:21004247:1 gene:LPERR01G23960 transcript:LPERR01G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVLGATAEYAITLVAMAIGFLAVAYLYEPYWKVRHVPGPVPLPLIGHLHLLAMHGLDVFSVLARKYGPVFRQPLVMVADAELCKEVGVKKFKSMPNRSIPSPITNSPVHQKGLFFTRGSRWTAMRNIILSIYQPSHLASLIPAMESCIERAAENLDGKEEIDFSRLSLSFTTDVIGQAAFGTDFGMSKKTASSDDDTDKITADTGVEAKSSSEFIRMHVHATTSLKMDMSGSLSIIIDQLVPFLHEPFRQVLKRISADSGPRDRPCEPHTRETVGQNRRRMGGGNGARHCNHAVAQGLPVRRAHGEGVEQIFEGAAHSGLHQRAHLRAPPRRVSHDGVHSLHGALPRRQAPTIDGFGPRDRVPMAEDLQTKFPYLDQAFMPFSNVFTLREIVEQDFLTAHCPFSLVVKESMRLYPSSPLIAREADERIEIGGYALRKGTWVWMAPGVLAKDPTNFPEPEVFRPEHFDPNGEEEKRRHPYALFPFGIGPRVCIGQKFAIQEIRLAAIRFYRHYVFRHSPSMESPPAFVFSIVSNFKNGVKLQVIRRRNA >LPERR01G23970.1 pep chromosome:Lperr_V1.4:1:21010337:21013010:-1 gene:LPERR01G23970 transcript:LPERR01G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSQAVHAAACDPPAMASSLLHCSDKLPFMNVETILHMKEGLGETSYAQNSSLQRRGMDTLRSLIINSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGHPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPPGLFDEMNKPINKGKMYISSTSPLSVPMAYLRQFQRDFSLFLKSRAAEVFPGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVEQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >LPERR01G23970.2 pep chromosome:Lperr_V1.4:1:21010337:21011754:-1 gene:LPERR01G23970 transcript:LPERR01G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMNVETILHMKEGLGETSYAQNSSLQRRGMDTLRSLIINSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGHPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPPGLFDEMNKPINKGKMYISSTSPLSVPMAYLRQFQRDFSLFLKSRAAEVFPGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVEQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >LPERR01G23980.1 pep chromosome:Lperr_V1.4:1:21010392:21012208:1 gene:LPERR01G23980 transcript:LPERR01G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPHSSDLAAALSRSVNSGRLKKMVLKSLVGRSLRSTENSGGGRDDRQQIRPMLPMMSSARQSAFGPDEHPRSATANLSGICDRYTSAAELMIRLLSVSMPLLCKNIRDHQNSECMHQPKIISGVEASCSPEAMSSARSWSRRALPSCGGWSPRS >LPERR01G23990.1 pep chromosome:Lperr_V1.4:1:21022149:21029866:-1 gene:LPERR01G23990 transcript:LPERR01G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATSDAKISGQLLRRAHLRRRACGLQGDHYRAATRFFGFPSERHGRSGWVWPVCCSYGSSSDGDGTAAADFDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYAVRMVRITEMVHDAYYSRLYLAKIGNEEETISFDLKPSDAINIAFRCKVPIQVNRRIAYNNGLKVVQPKPSVSYVSSDQIQYTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAGYESFVHDDEKKEWKSDEDNSEGDKKAKAGSFKKRAISAGNKFRRSLRRKRKRKVGDHVASIEDIRDIQELEAVERFHQCLHDEGLLPERHDDYHEFDYSELDDVLKYYPQFYHGVDKEGRPVYVELIGKVDPIKLVQVTTIDRYVKYHVKESEKCLQMRFPSCSIAAKRHIDSCTTILDVQGVGLKNFSKDARELIMQLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLEVIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPEIIKVFHDCCGTYTFFLVSLFYNTKINIYDIQRVINGEANYGRQILAISSVDGKKICYINPRHLTFKLPEHASTSGAPPRAEESIRVDDKAVDTCTGPIASSTAFNSDSFSLRTIPMKLGGLRNRITTWLTVLIMSLFAVLRSVSSRMTARISSQPIVCENDTQSSVLSRLGELEEKLQELQAKQSQMPPNREELLNGAIHRVDALEAELISTKKMLYDALMRQDELLAYIDQQKKIKFRKKRFCF >LPERR01G24000.1 pep chromosome:Lperr_V1.4:1:21040304:21045623:1 gene:LPERR01G24000 transcript:LPERR01G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGTFYAVQRGVTVVCSAGNSGPQPGSLENVAPWILTVGASTMDRDFPAYVTFGVGNNTMTVTGQSMANSTLPEGQRYAMINAKDANAANATSDKSALCLPGSLDQDMVRGKIVVCTRGTNARVEKGLVVEQAGGVGMVLCNDAGSGDDVIADPHLIAAAHISYSQCKKLISYLGNANPVGYITTTDASFGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTDLSFDNRRVAYNIESGTSMSCPHVSGIAGLVKTKYPDWTPAMIKSAIMTTATTGDNDSGKIRDETGAAATPFAYGAGHVNPVKALDPGLVYDTTPTDYANFLCALRPTQNPLTFPLQLPLFGDDGKPWACSQNGRRPEDLNYPSIAVPCLSGSTTVRRRVKNVGAAPCVYTVSVTEQLAGVKVTVEPSELRFEKVLEGKEFTVRLEVQDATAAANYVFGSIEWSDENQKHRVRSPIAAKTTCG >LPERR01G24010.1 pep chromosome:Lperr_V1.4:1:21047546:21062361:1 gene:LPERR01G24010 transcript:LPERR01G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAKQTLTSATDENLAATLIASPSYPNAIAWSRDNLVAVASGHLITILNPAALDGPRELVVLRPSDPFPIGVVSREDLFEPCIMPTPLARETEPCARSISWSQQGFSPNSSCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISQLLLRYYEGIEFGEDDDPHSLTQEKANTEQNQQLMCIDELEESLPGRGTERRKRKPARREGYVYDEDKDVVDAPKDADFSLDSFSNLKKKSSKTITKPGHDYAIGKGQDNPKNIQTPSSCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPYRSSYFIGNWCILAVGYKSGSVSFWKIHKPEYYTIDIGMVTRDPVLIGVIQAHLSWVTAISWELLSPGSSKPLLLLATGCSDGSSKIWMGDIEGLNQCTCVEEVPFTLVAEVTTDSSAPISSVSLSTPAQHQDFVNMAVGRASGSLQVWSWNISGNKTEKIDACDAHDQVDNSARCWMFNEKHLEEIPLHTYCPELKESIDLTEVSDRCYGLALAPGELMIAVVRSLDPHLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSIIQSLQSADLSETNFLWWGSNIFWSLKKYENCERVLVLWDLIAALKGFKKSAPTFLETLMHKWVSDLFSDDPQCASINIPSHSIHDMSKVSLRKLHLLNIICRKVMINDHVQYSLGTEQGNDIVADLWNNLLLRSERELRERLVSFTFAAVLNRIAYLLKGAPMENSWFPVGVAQMASWASINDGEVRNELKFLRMRINDLGSRINSVCEYSVEENCNYCTAPVPFESADVAMCSSNLATPPAEAHKMSRCKASMRLCSVLQPTWHCMCCGGTVDKLLPEIFFTMPTSFWETHGNESLDFISSPAVPFCPFCGILLQRLTPEFLLSVSPEEAAALSASLRAMVVDSAYYDVLGISTDASAADIKKAYYLKAKLVHPDKNPGNSDAEHKFKELGEAYQVLSDPVRKDSYDKHGKESLPQDNMIDPRAVFGMLFGSDCFEDYVGQLALASVASVEIEEESDGAEARARIQDKIKELQTEREQKLIQSLKDRLQPYVDGKYDEFGDWAGAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGMKKIEEGDDKEEQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENTVSKDVLKVRAKGLKKLGTIFQGAKSNYRRENSLRVEAGAAEATPSSTLGQSVLFFTVHMHPFDPNQATATTWRVRRIRALLRSLTPSLRSRMRTRGWPRISYHFSPSYFLRKQLDRRHQPMAEQTGVYGHPYPRVDQYGNPVPPVDQYGNPIPDEPAVQGTVVDSSTGQIASSQPAVPPVAGDFPVSEAVSYGGLAGTEHPRESLVSGVIVPGEAAAPGQNTFAYEGMVSTAAGTAGDTGAGQLQPTREEGHATLGETLRRSGSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKKEEHKAGGHAAVPAAGTGTHAAGKHEKKGIVEKIKEKLPGYH >LPERR01G24010.2 pep chromosome:Lperr_V1.4:1:21047546:21062361:1 gene:LPERR01G24010 transcript:LPERR01G24010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAKQTLTSATDENLAATLIASPSYPNAIAWSRDNLVAVASGHLITILNPAALDGPRELVVLRPSDPFPIGVVSREDLFEPCIMPTPLARETEPCARSISWSQQGFSPNSSCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISQLLLRYYEGIEFGEDDDPHSLTQEKANTEQNQQLMCIDELEESLPGRGTERRKRKPARREGYVYDEDKDVVDAPKDADFSLDSFSNLKKKSSKTITKPGHDYAIGKGQDNPKNIQTPSSCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPYRSSYFIGNWCILAVGYKSGSVSFWKIHKPEYYTIDIGMVTRDPVLIGVIQAHLSWVTAISWELLSPGSSKPLLLLATGCSDGSSKIWMGDIEGLNQCTCVEEVPFTLVAEVTTDSSAPISSVSLSTPAQHQDFVNMAVGRASGSLQVWSWNISGNKTEKIDACDAHDQVLTEVSDRCYGLALAPGELMIAVVRSLDPHLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSIIQSLQSADLSETNFLWWGSNIFWSLKKYENCERVLVLWDLIAALKGFKKSAPTFLETLMHKWVSDLFSDDPQCASINIPSHSIHDMSKVSLRKLHLLNIICRKVMINDHVQYSLGTEQGNDIVADLWNNLLLRSERELRERLVSFTFAAVLNRIAYLLKGAPMENSWFPVGVAQMASWASINDGEVRNELKFLRMRINDLGSRINSVCEYSVEENCNYCTAPVPFESADVAMCSSNLATPPAEAHKMSRCKASMRLCSVLQPTWHCMCCGGTVDKLLPEIFFTMPTSFWETHGNESLDFISSPAVPFCPFCGILLQRLTPEFLLSVSPEEAAALSASLRAMVVDSAYYDVLGISTDASAADIKKAYYLKAKLVHPDKNPGNSDAEHKFKELGEAYQVLSDPVRKDSYDKHGKESLPQDNMIDPRAVFGMLFGSDCFEDYVGQLALASVASVEIEEESDGAEARARIQDKIKELQTEREQKLIQSLKDRLQPYVDGKYDEFGDWAGAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGMKKIEEGDDKEEQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENTVSKDVLKVRAKGLKKLGTIFQGAKSNYRRENSLRVEAGAAEATPSSTLGQSVLFFTVHMHPFDPNQATATTWRVRRIRALLRSLTPSLRSRMRTRGWPRISYHFSPSYFLRKQLDRRHQPMAEQTGVYGHPYPRVDQYGNPVPPVDQYGNPIPDEPAVQGTVVDSSTGQIASSQPAVPPVAGDFPVSEAVSYGGLAGTEHPRESLVSGVIVPGEAAAPGQNTFAYEGMVSTAAGTAGDTGAGQLQPTREEGHATLGETLRRSGSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKKEEHKAGGHAAVPAAGTGTHAAGKHEKKGIVEKIKEKLPGYH >LPERR01G24020.1 pep chromosome:Lperr_V1.4:1:21067619:21068761:-1 gene:LPERR01G24020 transcript:LPERR01G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACATTAGVQQKLRKGLWSPEEDEKLYNHIIRYGVGTSVVCDPGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSSLKKKLRQRGIDPSTHKPISVSSSASAAALDTSPQDQKPPATAADGFAVKQHQHQQQVFDPFPVTDSFGVGFDAAGMALYGHIGGGKDVSAAFLDYSSVLDVSENLGYGESSSNSSNWNCGGAVGAPEVNNALESEALHWATESKVEPLVGYGGEGDAMEHKFVLPCHGQQEQSMPHFDFDVGRSMVVGDFNDLEYFR >LPERR01G24030.1 pep chromosome:Lperr_V1.4:1:21085833:21088024:1 gene:LPERR01G24030 transcript:LPERR01G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSSPPPPTGRVSAFTMRAVARMSRARWFIFMRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMVVTTAVVVTSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSPAIRGGSLSDDPEMHGTNDPLRNRSYLMNKARGFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPDVPRDRDDDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELN >LPERR01G24040.1 pep chromosome:Lperr_V1.4:1:21090456:21090677:-1 gene:LPERR01G24040 transcript:LPERR01G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFGEFRRGNQNPSFCISALATMIQATIGGAALRENEEEMINKLFCIGVNRQGGLVDEMERRMVLTAMAER >LPERR01G24050.1 pep chromosome:Lperr_V1.4:1:21098635:21103837:1 gene:LPERR01G24050 transcript:LPERR01G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVVTNGSSGGDSSKSAFAEIYSKLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSYKMLKGTDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLMKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAQPNKAVQNVLKSFLHKIYKRQK >LPERR01G24060.1 pep chromosome:Lperr_V1.4:1:21105176:21109422:1 gene:LPERR01G24060 transcript:LPERR01G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSESHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVSLLLVIYSYWMLKIPEMHYLVPLFSCLSLFDVLKVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDSTNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLT >LPERR01G24070.1 pep chromosome:Lperr_V1.4:1:21111612:21112304:-1 gene:LPERR01G24070 transcript:LPERR01G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHITALILVAVVLAASSAVDARVAHPGQYHVVIRTAGEPGRRLIGNDAICQEVHYKTLCSTLTTLPGVMTPKQLLDAALKVAATKAGVAEARLQAIKLPGGGKKDTSGMSSTLDTCKTSYASLVDALQKARKTLSGGGSHDDLMTDLSAAGTFSTDCADAFDEFPDLTPPIPGAQRHVSRLVSNCLDLAATMKGN >LPERR01G24080.1 pep chromosome:Lperr_V1.4:1:21116641:21118197:1 gene:LPERR01G24080 transcript:LPERR01G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPAAMEVEGVEAARGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSAYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYRSLHKSGDMHKDSFGNVLRHALTNYRGWILALTYGYCFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPIVLIYFPQWGGMFVGPRKGATAEEYYSREWSEHEREKGFNAASVRFAENSVREGGRSGSAGQSRHTTPVDESPPARGGV >LPERR01G24090.1 pep chromosome:Lperr_V1.4:1:21122480:21129018:1 gene:LPERR01G24090 transcript:LPERR01G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKLGKNCSACKEWQEHCYWSHMADDKKHFVKHMVGDFTGSMMVPTKFANNFNGHIYEEVNLKSPGGKTWTIGVANSGTGEVIFQSGWKEFVDANNIQEGDCLVFRYSGVSSFDVLVFDPSGCEKASLHFDENNAHGRTEKSAGADEGGHVDNCYHRYLEMTLHKNSGRSIPRACKRDLFSDEIKQDQCQEKENVGEDEDEDLVAAAEDKYYFCKNGPVIEYRLTADDKAEISSVRVPVEPGNPVFVQLIHPSHVRGTRGYSFISVSSEFAAKYLGAVENEVVLERATRRGKWHVQFMRRENNSGFAGTGWRQFAHDNDLIGHDVCLFELMMMNEAGDRRRNRRRPKMAVHVLRKFRLSSQMHAKKQSEPIERWNCSPSVPLAFAFRGPRPRTCHRAVKSRPGNAKATVGVGRHALRRYGNHDGRFHIKRITSFAAMDKQVPIVSPTTDALPVEETNNSTGDASGSSYFTERGIGKPGFVTFQGGSSQMKSVESVPHPGKEASRLVWFVGPTTLVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVFSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >LPERR01G24100.1 pep chromosome:Lperr_V1.4:1:21131363:21132711:-1 gene:LPERR01G24100 transcript:LPERR01G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEALEMGMGVGVRGYELKQLRQRHEDVVGREVVRGDGGVPSLANAAVMDLHGDVLESVVERVPAADLAATARVSREWLRAEDACVVALKAPGVWRVDPVFAAVGDRVIALGGACKLALADGEDASAVEVHESGSWTPCGPMPAALRESAAATWLSTAATDHRVYLIDRTTGWASWFDPANQQWGPTCRLRPDAAVSTWGLAPGRAGAERLVLFGAKRGAEPALKGRVVIHAWEVDGDSLALSSGAAHETITMPSEMSDMLFPHDEDEVETAPSIGVCGNAAGGYVYNAANPAIGAVLYELRDGEGTAVVRWEWVACTPAVQAEPLGRVMLACSPVVLDELARGTPAELLTAVTRSKAS >LPERR01G24110.1 pep chromosome:Lperr_V1.4:1:21140013:21146690:1 gene:LPERR01G24110 transcript:LPERR01G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPLYLLLGIFCGLVSTILSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKIMRFTFTGPESLFHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSRSKGSKLDPLEAKSSKFKQAKDVPSRTQLAASVDTDEPITELCKLESSLCVYDAKHENMLENLTVAEAMKTKYISVSVTTPVVEALNLMLVEKQSFVMVIESNKSLVGLLTLKDIQDFCKTAKITSVQTEEPILSIVCEAVKCKMCPVTPQTPLTTVEKIMDSHGTDQLPVVSEHVSHKNRGLLVGFVDKEGITIARRALATKDLLSFTSEIRREER >LPERR01G24110.2 pep chromosome:Lperr_V1.4:1:21140232:21146690:1 gene:LPERR01G24110 transcript:LPERR01G24110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPLYLLLGIFCGLVSTILSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKIMRFTFTGPESLFHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSRSKGSKLDPLEAKSSKFKQAKDVPSRTQLAASVDTDEPITELCKLESSLCVYDAKHENMLENLTVAEAMKTKYISVSVTTPVVEALNLMLVEKQSFVMVIESNKSLVGLLTLKDIQDFCKTAKITSVQTEEPILSIVCEAVKCKMCPVTPQTPLTTVEKIMDSHGTDQLPVVSEHVSHKNRGLLVGFVDKEGITIARRALATKDLLSFTSEIRREER >LPERR01G24110.3 pep chromosome:Lperr_V1.4:1:21141742:21146690:1 gene:LPERR01G24110 transcript:LPERR01G24110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPLYLLLGIFCGLVSTILSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKIMRFTFTGPESLFHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSRSKGSKLDPLEAKSSKFKQAKDVPSRTQLAASVDTDEPITELCKLESSLCVYDAKHENMLENLTVAEAMKTKYISVSVTTPVVEALNLMLVEKQSFVMVIESNKSLVGLLTLKDIQDFCKTAKITSVQTEEPILSIVCEAVKCKMCPVTPQTPLTTVEKIMDSHGTDQLPVVSEHVSHKNRGLLVGFVDKEGITIARRALATKDLLSFTSEIRREER >LPERR01G24120.1 pep chromosome:Lperr_V1.4:1:21145600:21145899:-1 gene:LPERR01G24120 transcript:LPERR01G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKLQQSGELGGVKAEAARAAQRSGSSGHGGYKAGHVQPALDPPSPRVAACGFCNLFGKDKHHQARPRGGGGVKSRRR >LPERR01G24130.1 pep chromosome:Lperr_V1.4:1:21149669:21150328:1 gene:LPERR01G24130 transcript:LPERR01G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSVVLRVLVAVAVVAAAVADSPPLQDICVADLRSNATAVDGFPCKPAASVVSDDFFSDAIVSAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTATNRLFSRVVREKELFVVPRGLQHFQLNVGEGNASFVAMFDSQSPGLVTPTFALFASQPPMPMEVLAKTFLMGEDEVGAIKSKFAGF >LPERR01G24140.1 pep chromosome:Lperr_V1.4:1:21151038:21151853:-1 gene:LPERR01G24140 transcript:LPERR01G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEQASYHAGETKARAEEKTGRMMGTAQEKAREAKDTASDAAGRAMGRGHDAKEATKEKAYEAKDAASDATGRAMDKSRGAAGATRDKAGEAKDRAADTAQSAADRARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAMLQQAGEQVKNVAVGAKDAVMNTLGMSGDNNSTTTTTTTGKDTSTYKPGKDFQ >LPERR01G24150.1 pep chromosome:Lperr_V1.4:1:21159045:21164775:-1 gene:LPERR01G24150 transcript:LPERR01G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAASLAAHHHHLGAGFLPTPRATGRTGRSGVTISMRAQRKQSSDSGSGKGEGGEGRASGGRRVWRRRKLTKEDDMLRYKLERIPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRINEAGFSRPEGYLYPYPIKPGSETQDGLFSEWSSPSFAAYTRRSGRNWGYPILTFENDRAKSRYYTPAGSSKSLEARQHDFYGTPEA >LPERR01G24150.2 pep chromosome:Lperr_V1.4:1:21163008:21164775:-1 gene:LPERR01G24150 transcript:LPERR01G24150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAASLAAHHHHLGAGFLPTPRATGRTGRSGVTISMRAQRKQSSDSGSGKGEGGEGRASGGRRVWRRRKLTKEDDMLRYKLERIPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRINEAGFSRPEGYLYPYPIKPGPYFIKEEGH >LPERR01G24160.1 pep chromosome:Lperr_V1.4:1:21161761:21162820:1 gene:LPERR01G24160 transcript:LPERR01G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSFVLTIILLILLCNASNGQTIVIKNNIAATPKLIKVHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >LPERR01G24170.1 pep chromosome:Lperr_V1.4:1:21175868:21177277:1 gene:LPERR01G24170 transcript:LPERR01G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSETDAALFAAVLGHDAAHYLATTPPHLDAPEESASTAELLARLHDLVERQGGAWTYGIFWQESRGVLGWGDGHCRDGAVAAGPGEQLGAAAERSVARKRVLLRLHALYGGGDDEGADYALRLDRVTGAEMYFLASMYFSFPEGAGGPGRALATGRHAWAEVDPHPSSGPGWYVRSSLARSAGLRTVVFLPCKGGVLELGSLVAFHETPEVLRAIQSALCAEPAAPPEDFMRIFGKDLSRGAVPMQPSTGCDAPWTPRLVVHPTPAARPAKRAAKPAEPPKNIDFSTKAANGQVQAAGGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGGAGAAVPAARPAVEVKAMQDEVVLRVTTPLDEHPISGVFHAMRDSQLSVVASDVAVSEDAVTHTLMVRSPGPERLTAETVLAAMSRGVSVTTPSP >LPERR01G24180.1 pep chromosome:Lperr_V1.4:1:21188245:21191671:-1 gene:LPERR01G24180 transcript:LPERR01G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTQKKVESTVLEILRGSDMESLTEYKVRNAAADRLGIDLSLPDRKRFVRRVVEGYLQSLSQEEERKQQQEQGDGARGEEGKDKQAEEEDEEEEEEEEEEEEERRGGAKREYDDEGDLILCRLSTRRRVTLQEFKGKTLVSIREYYLKDGKELPSKGISLTVEQWEAFRDAVPAIEDAVKKLGESSD >LPERR01G24190.1 pep chromosome:Lperr_V1.4:1:21202758:21209165:1 gene:LPERR01G24190 transcript:LPERR01G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKGKLSGVLHKGFKPDKCKTALRMALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELVDVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDMQTKIKTLTSIAEENSIKWEPKAFEEKLQKQNEDLHGNTTYPGGNIPTRGSSASSSSMPISQPAAPSYSYMESVNSHMSTTHSYSSAEVPDNTTPCVTTNIASSRENRRGSDASVPPGSQHGGTAYSSAHIPGPNSYSHDNAGGPNVSRPDNKHGAPSPDTAPRRGEFNQSRERKPSVSGSNWNVEFKDATSAAQAAAESAEKASIAARAAAQLASRGNLSAEQNSGAFESAVYTHETTPRKQHAEHLMKDDQRSFGKQSSATDDPRFMSSNARKEVERVETNRVGSQNMSSPYSAQSHPYIHSDEYSVPTEPHRAHSPDPEYSDDSSEKDDSSEKESNFGRSEDPQFNLPGERLPDARFGGHNVEDTESKYATFDQEDTGNYYGRYNSSHDTFTHGSSAIWDRQNDKTGPDSSAVVFDQYDSDGGEDNLLDRFSSKHTEEPTVEDYKGFFNGDWSQQDRNESPVKHSTTAQFSRTQAHPSFNLEADRKDVPSPHSHDNVPPTFDSDGVSSDEETVTAMHVKSLRSHSRGSDFSESKDVISGKTVHDVNENIEDYESMSSKKYHNSSGSNAFNKERYGDSSPRSDYSWVDRDAKIRDYDLSEEEREPHKLEGVSSEVTGKNKNQSFSLGKQTSVSSTGYDGDDLGLNFGRLTPGLRNKLRQPPPYTKDSGDSMLPKQLGHKAPAIIEKSVHSEEHDSSFGNIKGTSTSTARSSLGADYSNELDDQHQSSFGARAETRSTVRRNYFESESDRRKLSEQSFNAPSTVMNKSSAGVNSSQDLYHEKPAMPGIGARRGTTTKTTKSYFDSDDSEDELAQEKIQTKWSREQIQSRRSREMTSDIKRETGVHVGGQHAGETENITKEAKSPTGSSPKFAERRRESPVYSRVPRTSPKPVRVDPPLGKGKSQEADLTTVSVTGKEENTESPAETPKETPKTAPAHVHPKLPTDYDSFAAHFMSLRTNRR >LPERR01G24200.1 pep chromosome:Lperr_V1.4:1:21209982:21210245:1 gene:LPERR01G24200 transcript:LPERR01G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEKAADAGDGRPKPPSRSARLDRLLSGLVAGPLVDVEPDKVKGELRRWARAVATMVRQLSFGAWPEKGDGSPEQQEAGDGGSPG >LPERR01G24210.1 pep chromosome:Lperr_V1.4:1:21210956:21214849:-1 gene:LPERR01G24210 transcript:LPERR01G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGEPAVEEATGFEVGIVVPKVSRSAAARCGRGEDCVARLVQELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYRIVNKTNSDIILKFDDKEFHWAQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLTTQFIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKSLGNEVDSLSCSLADDNVQEKKFGDAPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLYRDIMALRQVLIQRLIVLENLIENSIPFLKYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKWE >LPERR01G24210.2 pep chromosome:Lperr_V1.4:1:21210956:21214849:-1 gene:LPERR01G24210 transcript:LPERR01G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGEPAVEEATGFEVGIVVPKVSRSAAARCGRGEDCVARLVQELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYRIVNKTNSDIILKFDDKEFHWAQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKWLVLPAFFIFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKSLGNEVDSLSCSLADDNVQEKKFGDAPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLYRDIMALRQVLIQRLIVLENLIENSIPFLKYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKWE >LPERR01G24210.3 pep chromosome:Lperr_V1.4:1:21210956:21214849:-1 gene:LPERR01G24210 transcript:LPERR01G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGEPAVEEATGFEVGIVVPKVSRSAAARCGRGEDCVARLVQELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYRIVNKTNSDIILKFDDKEFHWAQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLTTQFIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKSLGNEVDSLSCSLADDNVQEKKFGDAPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKVLENLIENSIPFLKYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKWE >LPERR01G24210.4 pep chromosome:Lperr_V1.4:1:21210956:21214849:-1 gene:LPERR01G24210 transcript:LPERR01G24210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGEPAVEEATGFEVGIVVPKVSRSAAARCGRGEDCVARLVQELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYRIVNKTNSDIILKFDDKEFHWAQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKWLVLPAFFIFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKSLGNEVDSLSCSLADDNVQEKKFGDAPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKVLENLIENSIPFLKYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKWE >LPERR01G24210.5 pep chromosome:Lperr_V1.4:1:21210956:21214849:-1 gene:LPERR01G24210 transcript:LPERR01G24210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGEPAVEEATGFEVGIVVPKVSRSAAARCGRGEDCVARLVQELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYRIVNKTNSDIILKFDDKEFHWAQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKWLVLPAFFIFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKSLGNEVDSLSCSLADDNVQEKKFGDAPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKWE >LPERR01G24220.1 pep chromosome:Lperr_V1.4:1:21215788:21216639:-1 gene:LPERR01G24220 transcript:LPERR01G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAQAHHHHQTQRRLVASSKAIKVGPWGGVAGTPWDDGAHRGVRSITFTYARFLESMTVEYDRFHGEKHGGGGGDGRNGGSRTEVVKVDYPYEFVTGVSGRCGPVVHGGSPVVRSLTLRTSRGTVHGPFGEAGPGGGVPFDYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDTVQERGAAAYRSFVYGDSGTHGSMTLQKRKAFEWCYK >LPERR01G24230.1 pep chromosome:Lperr_V1.4:1:21219037:21219228:1 gene:LPERR01G24230 transcript:LPERR01G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMFSAVVVVAAAVSCLAGVALAADGPAPSPTSGATAAVSSSLVAAVFCPAVALLFGNLRH >LPERR01G24240.1 pep chromosome:Lperr_V1.4:1:21221235:21224710:-1 gene:LPERR01G24240 transcript:LPERR01G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPAFRCNLLVFFVVVEALRGTSAGGSAADVLDRARRPEFAAWMAGVRWALHERPELAFEEHETSRRVREELDGMGVGYRWPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVEWEHKSKVAMKMHACGHDAHTVMLLGAARILQERRQELQGTVVLLFQPGEEVGTGAKRMVEDGAVDNVEAIFGFHVSVQLPTGVVGSRPGPMLAGAGFFEAVITGKGGHAASPHDSVDPVLAASSVVLALQSLISREADPLEAQVVTVTRLRAGSAFNVIPESVTVGGTFRVFSRDGFMQLKRRIEEVIVAQSAVHRCAASVDFHAGGRPLLPPTINSAALHAQFEAVAAEALGDATRVLGAVEPCMGSEDFAVYSEAVPASHFYFVGIRDEAAGLVHLAHSPHFRVDDAALPYGAALHASLAMGYLEGRHREGSHSHDEL >LPERR01G24250.1 pep chromosome:Lperr_V1.4:1:21230747:21235398:1 gene:LPERR01G24250 transcript:LPERR01G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLSRKCTAASALDGEKKKQKLNEIQSGVEEAESLIRKMDLEARSLQPSLKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGMADTLTASTDQRGRLMMTTERLNQSSDKIKESRRTMLETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIIATLVLAILLILYFKLTH >LPERR01G24260.1 pep chromosome:Lperr_V1.4:1:21240115:21241236:-1 gene:LPERR01G24260 transcript:LPERR01G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAAAAGGGGGQLHDDVYLPRQVVGCGRFELDDAFLGACFGSQLHCGDGGGDDGGFGHGGGGDPLGLLCSGDVFSEGCGGSAHDDDGLLDAALAFSRKLGGGGGGAPAVSNGAMLSSYSGTTTGGNISSGESNNYSGGGGGCGYDAEVAEVVSPTSTISPTTPSLPHATKRKLYEDHPAAAPPRPTTGAAAAKRKAAAATTSITFGRHVPTAGVAGYEPDVEAMAQVKEMIYRAAAMRPVHLGAAAAAAADQKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQKLETLGTTTTTAKLQQHYYSNSSSSNHGFLGFAANNTTISGYANNSNGNATKLL >LPERR01G24270.1 pep chromosome:Lperr_V1.4:1:21265676:21271080:1 gene:LPERR01G24270 transcript:LPERR01G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSANGLGSRDKLKIPRIKGPSSSTSPSSQTPLLLPPNKVADALPSAESEKSSQDPKIPKYSSMVIEALCEIGDPNGSDIDAICHYIEQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRSYKITESYAAKASNGTKSPSPKKVLAKPLKASQNLGSSAVNSPALAAAAAAAMKVADAEAKAHIAKEQMMEAERIYKLAEETDSLLTLATEIYERSSRGELLSVLQVPQSNFEFKSVNGSGTGSTVLA >LPERR01G24280.1 pep chromosome:Lperr_V1.4:1:21273367:21276244:1 gene:LPERR01G24280 transcript:LPERR01G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNNDAAASASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLADGAIEPPTPLSEVRADPYPLPAAFEWFTCDLDDDALLADLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDIVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAAVTRLLRSYLAKFVVAPDFDEMDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGVGDGQLHYYLYNYRIRNGIKPSELGLAVVDAGKSKLRRIRMVIITHYVLDLFLEIGSATQQSQKARSKPLPPAAGGGG >LPERR01G24290.1 pep chromosome:Lperr_V1.4:1:21277734:21281286:1 gene:LPERR01G24290 transcript:LPERR01G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVLLNTWLHIYKKQRREQELVSIQNFGIHYSCLLIANIDHALVQTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPSTTIHASTLHLLTSPSKEKA >LPERR01G24290.2 pep chromosome:Lperr_V1.4:1:21277734:21281286:1 gene:LPERR01G24290 transcript:LPERR01G24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPSTTIHASTLHLLTSPSKEKA >LPERR01G24290.3 pep chromosome:Lperr_V1.4:1:21277734:21281531:1 gene:LPERR01G24290 transcript:LPERR01G24290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGEIRIQNCMMCIQWRVIMLPIYFAVHFITSGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVLLNTWLHIYKKQRREQELTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPSTTIHASTLHLLTSPSKEKA >LPERR01G24290.4 pep chromosome:Lperr_V1.4:1:21277734:21281531:1 gene:LPERR01G24290 transcript:LPERR01G24290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPSTTIHASTLHLLTSPSKEKA >LPERR01G24290.5 pep chromosome:Lperr_V1.4:1:21277734:21281286:1 gene:LPERR01G24290 transcript:LPERR01G24290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGEIRIQNCMMCIQWRVIMLPIYFAVHFITSGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVLLNTWLHIYKKQRREQELVSIQNFGIHYSCLLIANIDHALVQTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPSTTIHASTLHLLTSPSKEKA >LPERR01G24300.1 pep chromosome:Lperr_V1.4:1:21283631:21287980:-1 gene:LPERR01G24300 transcript:LPERR01G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPPPPPIGDGDGGGGGGGEARSCGSPSPTPTPSVLRRMRKGSPNRSGGSARKSLGSRGFRNSILNSPNMSTSQFKKRVSPIRWSPRKKTESYLKRKIKRLQESDGMIQSLHETLGNANPHYTRMAREKIAARKAATKAMEAHKSAMVEASWCRILHAARIQNKDAEENMEKAKLRAADAFQEAREIGVMMYDRPDCSNQQYEVESSSHTGGQSTHKVIASFQTGFEVDMEVAAAVKKAFMQLANSSDSSKKEEFKELLWKISQNPDATEIDANSEDEHQEDCNNEDKRNLKFNNVLHDNTNVQQPLRVGIFPSDLDNTIVQQPYDLVSIILERLKALHEDELASLAVIVATSGLNAALQSDRGKYQETESVNNISAASKRSHSRRYSTAASFVDILEPRKEITSELPSLDKFLVKHLSKLEKEVEEARKASRKASSGNSCAQGAQSQTTGRNLKSQDSASDLGNILVKRVSKLEKEILEAKKNSHIQLLEKSCKNVELHVKEDANKEESEFYKAQTESCNSNSMGGFDSKASYEKSKNDQDCSQDKENKIVFSHQLPPSGAKGKQAGKRLTRIEAAKLEALKSFCTKDGNTFDVGLDKIFVKPVHRLEREKKKALEYGQTNVQKDPQKNDDNTTVTGSLDEILVKHVSRLEREKIDYEKRNSLGKGLTNVPHDRRKYGNNATGSLDEILVKHVSRLEREKIDYEKRNALGEGLTNVPHDQRKHGNNATGSLDEILVKHVSRLEREKIDYENRNALGGGPINVPHDQILAKHVPRLEKEKMEHEKSGDMILRSDSKCIDGEAGLADILVKRPMKVERAKIAASAAEEALESSFNPVEERRRAKEKELMDAWGGVGLENAMKLHVSKIERHKIEWRKAEEEQKQLCAPATREL >LPERR01G24310.1 pep chromosome:Lperr_V1.4:1:21292769:21298384:1 gene:LPERR01G24310 transcript:LPERR01G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSALTPPALQNDRQSGVEEGRTSGWSSMDRRRAPPPIASVGTLSKQKAPIGNDASFSKDPVVLSSDFLGRSSGSSRRPPVSSSRDVMPTDTSEPSRIRATDVSPGAFRRTSGPQKSSPINSAEPKHSSGRHSSNIKNYESALKGIEGLNFDGDERVQY >LPERR01G24320.1 pep chromosome:Lperr_V1.4:1:21298974:21301205:-1 gene:LPERR01G24320 transcript:LPERR01G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSAAVAAPHSRFGRICVFCGSNAGNRAVFGDAALELGQELVSRGIELVYGGGSVGLMGLIAQTVRDGGCRVLGVIPKALMPLEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKSDCRQIIVSAPTANELLTKMEQYTRSHQEVAPRTSWEMSELGYGKTAEES >LPERR01G24330.1 pep chromosome:Lperr_V1.4:1:21306553:21312548:1 gene:LPERR01G24330 transcript:LPERR01G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLNILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEREARFG >LPERR01G24340.1 pep chromosome:Lperr_V1.4:1:21307478:21307828:-1 gene:LPERR01G24340 transcript:LPERR01G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVAWSPKLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETQEMYDARRQAEEERRAPRPPIVVSHRPPPAAEGAAVAAPAQ >LPERR01G24350.1 pep chromosome:Lperr_V1.4:1:21313485:21315630:-1 gene:LPERR01G24350 transcript:LPERR01G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLKPAAAVAEREKERAGGGGGAKGRKWSRLWRSSSSQRGGNASVSAASEVYSETSSSAADALSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDSQDMLDDRSGFVDPVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERALTYALSNQRCSSHGGRPSSPAVSLKHHGNGANKSNHSWSYLEGWMSTKPWESRLMEQTHTENSTNSRCSESVEEINVGGPKLSDASSVKIRKNNVTTRVVAKPPSMISATSSDFVCDESSPSTSSVTQLSGTNSLATERRSDCGQVGGPSYMSLTKSAKARLSYGGHKPPLRRQKSGDLQNRTAFSSIDVQSTCGSEISVTSKRLNSLTLKGRVPRSLDKENSRLPSSLL >LPERR01G24360.1 pep chromosome:Lperr_V1.4:1:21322714:21327538:1 gene:LPERR01G24360 transcript:LPERR01G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEGDREDSPAYRSPPFSFSIVISRKGETRKKPQGTARQPPFRSSPRSNPAAAADAGGLTGPRGACPVRWDPSGSSRPPPPEEHAGGMGTPSQGSAAVAAAGVDLCALDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVLKSLKKLRQHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQIGSGLKRTITQPKYGGIKDVFRCVYSEGGMRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYRNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQGQQYHDQFCGPRISGTFQGLAVIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKNLLKIPPREKKASVHSSA >LPERR01G24360.2 pep chromosome:Lperr_V1.4:1:21322714:21327538:1 gene:LPERR01G24360 transcript:LPERR01G24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEGDREDSPAYRSPPFSFSIVISRKGETRKKPQGTARQPPFRSSPRSNPAAAADAGGLTGPRGACPVRWDPSGSSRPPPPEEHAGGMGTPSQGSAAVAAAGVDLCALDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVLKSLKKLRQHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQIGSGLKRTITQPKYGGIKDVFRCVYSEGGMRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYRNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQGQQYHDQFCGPRISGTFQGLAVIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKNLLKIPPREKKASVHSSA >LPERR01G24360.3 pep chromosome:Lperr_V1.4:1:21322439:21327557:1 gene:LPERR01G24360 transcript:LPERR01G24360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPNHVRLHIFAKDLHLPLLTGVVTAEDTRTNEFSSLGVLKSLKKLRQHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQIGSGLKRTITQPKYGGIKDVFRCVYSEGGMRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYRNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQGQQYHDQFCGPRISGTFQGLAVIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKNLLKIPPREKKASVHMSVTK >LPERR01G24370.1 pep chromosome:Lperr_V1.4:1:21330965:21333562:1 gene:LPERR01G24370 transcript:LPERR01G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICLPLRCSENGMQAVGVFAQLLPLSLLYNHVTTEKLGVKRDHERELASRQYTVSESEAREMGHHSCCNRQKVRRGLWSPEEDEKLVKYISTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFTQQEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPSPADLYYNILDGAGQSIAAAAAAGCASLNALDNAAHGLAQSPPSSVHNSAAWATSNFSSHQQQIFLPGHAVGDLQYAAAVDGEFVRLCRAAEGYQDINGAAGIVGQCKPSDLLAQDGVVARSCGFPAFVEQKGAAAAGAFLADPGMGPVMDFMDAILGSSSTSAASASSAETFSANTAMQPHWI >LPERR01G24390.1 pep chromosome:Lperr_V1.4:1:21335966:21336283:-1 gene:LPERR01G24390 transcript:LPERR01G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAATTSVALAFAAVVAVAAVTAALIPAAEAGQNCICECVKLCMRARIPSFEAQCAGKCRETACVRSCEEACTLKGYPRVPAEGVRACEMEPLTPDEAHMLH >LPERR01G24400.1 pep chromosome:Lperr_V1.4:1:21339133:21342947:1 gene:LPERR01G24400 transcript:LPERR01G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPAAEDAFAETSAPEAESSGAPPSVADEGPAKPAVLLTCAGGIRSPGLAALVDALVAGGRCDVHVCAPESDKPSCGHSITIRETISATSVDFTGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGSNCGYEMFHSSAIAAAREALLKKDESKDSDFKDAADVCLPLIHAALEGIEKGTFLRGCLLNIGVPSAPTTNKGFKLTKQSVYCPAQSWQGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAHRKTVEVESVAATGKPEVREVVKKLFRAEFNEKQHECLDDDIDLRALENGFISVTPLNIHGNVDPETGAPASDWLLVAVGLDKAKEDSVVAAEEQDATAVAEEKEPPSET >LPERR01G24410.1 pep chromosome:Lperr_V1.4:1:21344080:21351576:-1 gene:LPERR01G24410 transcript:LPERR01G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGDAWIGRCVPVLAGVAATLVVCALVIRGAGGLKQYHAPAFARKVLLSITSGHSQDNLNIVLHPSQLQNPGLQSSGLIVKSPAATSRRVNIQQERYAPRPTISHRSQATPPSHMISPSIQIGHGVPYPHLHEQVPAASPSHPVPPHSPRSISVDTPAVAPFSQQPSSCGMLLWKHGTLCNPCAGRWVTQDSRPVQAAPLLGLAIPPVPTTSPPVFPHPRRSYPPLPARPHPHRQAVPPSVIHPANHGKAQGVPAAAPSKERHHHSIPVNNTHENTHVGPVVAPPMGRHHHALPVNNSRVKGPTYSPSNSPSIHRRGHGIPVAAPPKEHSRKLPPANHRHHKGSFPVISPSPHKTDNASATKHGHSGLHHSPAPAPVDLPPSEGNALGNPAYAPRHPHEYYSPSNSPEPVLPPVHPPDSHAFKKPKTLAPAPQSLPPPPPNCMTLTCQDPLTHSLPGTTCVCVLPIKVELRLGIALFTFFALVSELAQDIASGVFMEQSQVRVMGANAATEDPEKTVVLIDLVPLAAKFDNATAFLVFERFWHKQVNINRMHFGNYDVLYVQYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIVVIVVLSSVFAFILCSGAALVIYFKIRSRNHLIEEESSMPPKPTGPGSVIVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDKSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARSAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDGLETIIDPSLGNNIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSDFNESRSFSQDLHIQDSGIISRASLDMAVEPVLSAELFNASARYDTLDASGSFRRYSSSGPLRVGRTAHNRERGLSTGSSSEHCGTQRFRIDSE >LPERR01G24410.2 pep chromosome:Lperr_V1.4:1:21344080:21351576:-1 gene:LPERR01G24410 transcript:LPERR01G24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGDAWIGRCVPVLAGVAATLVVCALVIRGAGGLKQYHAPAFARKVLLSITSGHSQDNLNIVLHPSQLQNPGLQSSGLIVKSPAATSRRVNIQQERYAPRPTISHRSQATPPSHMISPSIQIGHGVPYPHLHEQVPAASPSHPVPPHSPRSISVDTPAVAPFSQQPSSWLAIPPVPTTSPPVFPHPRRSYPPLPARPHPHRQAVPPSVIHPANHGKAQGVPAAAPSKERHHHSIPVNNTHENTHVGPVVAPPMGRHHHALPDPPIHLQILPVSIEEDMAFQLLHLQRSIPESYLLQIIGTTKGTLHMLHVTPMNITHLQILQNLSYHLCIRLTVMHLKSPRLWHQHPNHCHHRLRVHLRLGIALFTFFALVSELAQDIASGVFMEQSQVRVMGANAATEDPEKTVVLIDLVPLAAKFDNATAFLVFERFWHKQVNINRMHFGNYDVLYVQYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIVVIVVLSSVFAFILCSGAALVIYFKIRSRNHLIEEESSMPPKPTGPGSVIVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDKSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARSAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDGLETIIDPSLGNNIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSDFNESRSFSQDLHIQDSGIISRASLDMAVEPVLSAELFNASARYDTLDASGSFRRYSSSGPLRVGRTAHNRERGLSTGSSSEHCGTQRFRIDSE >LPERR01G24410.3 pep chromosome:Lperr_V1.4:1:21344080:21351576:-1 gene:LPERR01G24410 transcript:LPERR01G24410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGDAWIGRCVPVLAGVAATLVVCALVIRGAGGLKQYHAPAFARKVLLSITSGHSQDNLNIVLHPSQLQNPGLQSSGLIVKSPAATSRRVNIQQERYAPRPTISHRRLAIPPVPTTSPPVFPHPRRSYPPLPARPHPHRQAVPPSVIHPANHGKAQGVPAAAPSKERHHHSIPVNNTHENTHVGPVVAPPMGRHHHALPVNNSRVKGPTYSPSNSPSIHRRGHGIPVAAPPKEHSRKLPPANHRHHKGSFPVISPSPHKTDNASATKHGHSGLHHSPAPAPVDLPPSEGNALGNPAYAPRHPHEYYSPSNSPEPVLPPVHPPDSHAFKKPKTLAPAPQSLPPPPPNCMTLTCQDPLTHSLPGTTCVCVLPIKVELRLGIALFTFFALVSELAQDIASGVFMEQSQVRVMGANAATEDPEKTVVLIDLVPLAAKFDNATAFLVFERFWHKQVNINRMHFGNYDVLYVQYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIVVIVVLSSVFAFILCSGAALVIYFKIRSRNHLIEEESSMPPKPTGPGSVIVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDKSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARSAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDGLETIIDPSLGNNIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSDFNESRSFSQDLHIQDSGIISRASLDMAVEPVLSAELFNASARYDTLDASGSFRRYSSSGPLRVGRTAHNRERGLSTGSSSEHCGTQRFRIDSE >LPERR01G24420.1 pep chromosome:Lperr_V1.4:1:21360211:21366443:1 gene:LPERR01G24420 transcript:LPERR01G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDHFVTRLFIRRRGHLRAGATRKGPEQSFSPHYRERDSEGSEVGAGGGGGGGGGQRAAKGELEMKEKGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRLRRLPTRITDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLGAAESRPDLVPTSCPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASASKGKAANDKDSPKVDPRGVFHGHDSTVEDVQFCPSSVGDDSCLILWDARTGTSPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGTPIHKFEGHKAAWSPDKTSVFGSSAEDGFLNVWDHEKVGNKRNPNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKAHLASCAPRS >LPERR01G24420.2 pep chromosome:Lperr_V1.4:1:21360211:21366443:1 gene:LPERR01G24420 transcript:LPERR01G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDHFVTRLFIRRRGHLRAGATRKGPEQSFSPHYRERDSEGSEVGAGGGGGGGGGQRAAKGELEMKEKGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRLRRLPTRITDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLGAAESRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASASKGKAANDKDSPKVDPRGVFHGHDSTVEDVQFCPSSVGDDSCLILWDARTGTSPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGTPIHKFEGHKAAWSPDKTSVFGSSAEDGFLNVWDHEKVGNKRNPNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKAHLASCAPRS >LPERR01G24430.1 pep chromosome:Lperr_V1.4:1:21373409:21374164:1 gene:LPERR01G24430 transcript:LPERR01G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATAWPTGWSLVRGYFSPATLFLLLNVVIGTIALTSRSSHRRHHGHHDDDDDDERYKATQHQHNHEYAPPPPPAPLARTSSVMERLRSLGLYRFRSGDFPPEYNLSAAICDESEKQQAQYTRSRSEPAARTAPVSVEQRAKAAPAARAKAAVKKSTTEVRKLEQRAPAQARQVAQRAAPPRARPARAIVQTAAPVAAREEETAAAEGKLATATSVDARADDFINKFREQLQLQRLNSLINYKEMLNRGT >LPERR01G24440.1 pep chromosome:Lperr_V1.4:1:21380513:21382000:-1 gene:LPERR01G24440 transcript:LPERR01G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKPRVVVVGAGISGLAAAHRLCVAGGDRFEVTVVEAGARVGGRILTSEFAGHRVEVGATWVQGIDGSPVYALARDAGALSVDGLPYERMDGFPDRVLTVAEGGEVVDADTVAAPIEELYRGMMEAARAGEAGGGGGVEDYLRRGLRAYQAARSGGGRELEEVEEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLATALPPGTVRLGLRLRRLEWGGTPVRLHFADGAPSLAADHVIITVSLGVLKASLGKDGSGAGAGGGGVVFDPPLPPFKREAIARLGFGVVNKLFMEVEAVAPSEPEDDGGGGGVQPDFPFLHMAFRGQVAKIPWWMRGTESICPVHAGSSVALAWFAGREAAHLETLPDDDVIRGVHATLDSFLPLTPQWRVKRVKRSGWATDPLFLGSYSYVAVGSSGEDLDLMAEPLPRATESTDERPRVLFAGEATHRTHYSTTHAAYLSGVREANRLLQQYRG >LPERR01G24450.1 pep chromosome:Lperr_V1.4:1:21389761:21391137:-1 gene:LPERR01G24450 transcript:LPERR01G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGVLLSSNIGASSPPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSSSAYCGSCRFSRPTLFDRTQFPAARDLSAAAYLYATSQSTPFPGSMVYSLSREAWSKESNWIGYVAVSNDAAAAASGRRVIYVAWRGTIRTLEWMDVLKPDLVDHDDILPEGHPARDTRSRVMKGWYLIYTSSDERSPFSRYSAREQVLAAVRELVDRYKNESLAIVCTGHSLGASLATLCAFDIVVNGVSKVGDAHIPVTAIVFGSPQIGNPEFKKQFEAQPNLRALHVRNMPDLIPLYPSGLLGYANVGQVLEIDSRKSPFVKRDTNPSDYHNLQGILHTVAGWNGKDREFKLQVKRSVALVNKSSDFLKDTNLVPDSWWIERNKGMVLGQNGEWQLEAPAEENLPVPPVVTGKIIDDSVAAVDTSGSTTKEEGKKKGKGNKLLYGLIDQLLCV >LPERR01G24460.1 pep chromosome:Lperr_V1.4:1:21392564:21398230:1 gene:LPERR01G24460 transcript:LPERR01G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGHVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRTFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKNDNESSEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYFAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRSDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSPEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRNQLNRIPEPTLDQYYSRDASH >LPERR01G24460.2 pep chromosome:Lperr_V1.4:1:21392911:21398230:1 gene:LPERR01G24460 transcript:LPERR01G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNFRSLLGRLTTDTSTVEQASSTISNMVVANGHVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRTFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKNDNESSEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYFAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRSDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSPEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRNQLNRIPEPTLDQYYSRDASH >LPERR01G24460.3 pep chromosome:Lperr_V1.4:1:21392564:21398322:1 gene:LPERR01G24460 transcript:LPERR01G24460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGHVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRTFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKNDNESSEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYFAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRSDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSPEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRNQLNRIPEPTLDQYYSRDASH >LPERR01G24470.1 pep chromosome:Lperr_V1.4:1:21399427:21407116:1 gene:LPERR01G24470 transcript:LPERR01G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTTMPLRVLFLMLSAAALPAKCLAAAAPISPDAAPLVAFKSACSDPAAALISWTEASDPCSDRWRGVTCQPPPSPSSPPRVRRVVLEGLRLGGDAGAVAALAGLHMLAFLSLKNNSFTGSLSGVDFSPLAPHLKLLYLSGNGFTGRFPESVLCLRHLRRLDLSGNRITGTIPPEIGHRLPSLLTLHLARNLLDGPLPASLEAMAKLAKLDVSGNHLQGRIPKRLAAVFPESSFAGNPELCGAPLRRRCNGQQRMVFGGGADKSNRSTEGRGKRNNDRWMVAMIMAAVGAAVASLIAAALCGVLWRLRNRKPARTCGGSSRTSSMAREETVRFDGCCVEFDVCTLMRGAAEMLGKGATATTYRVAMGGDDGVVVDDAGVVVEEGKGAGEVVVVKRMRRREGATREDERRKRELAREMGTWRHANVVSLRAFYASADELLLVFDYVPNGSLHSLLHENRGPARVPLEWQTRLKLAQDAAQGLAYLHTVCNGTLAHRHLTSSNILVDAGGNARVSDFALLQLLVPAPAGSGADEAATAQKQDVHAFGIVLLEILTGRSAAEDGNSVDLARWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDHGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSVSEDTTRSTAASSS >LPERR01G24470.2 pep chromosome:Lperr_V1.4:1:21399427:21403033:1 gene:LPERR01G24470 transcript:LPERR01G24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAITRSKRGLALPYLRRLLHYAPAAAASPTPNRFLRHASPVPRDPDHTPFLRLPAARVTTLPTGLRVVTQAYPAATRVASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPNAAALEVEIENMGARLNAYTSREQTTYFADVQGRDVPVALDVLSDILQYPCFPANALRRERGVILREMEEVEGMMDEVIFDHLHAAAFQGHPLGDTILGPEENIRSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVRDFFTGFSTDPTTVDQLVEANPAVFTGSEVRVEKPEMPLTHFAVAFKGSSWADPSSIPLMLICCIRLILSIGNARSALARGISNGNLAESMIAFNTNYRDIGLFGIYAIAQPESLYELSQLIMQEFRRLAFEVSETEVARARNQVAYSFSSC >LPERR01G24480.1 pep chromosome:Lperr_V1.4:1:21410578:21418641:1 gene:LPERR01G24480 transcript:LPERR01G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAMLRRLLAAASSTTSPAPSRGISSLAKAPGSGSGSRPRAPRPAPHQYTTGRPISASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPEMHFSGKFDAGFTESEMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRASGFDLNVIVADAKDFDYSGGDVCGVLIQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVSFTAESIAPEVSSSIPTSLVRKSPYLTHPIFNIVKDKTELMFWSSRYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAAIETGKADVNNNVLKSAPHPPQLLMSDTWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVSEEAAAATA >LPERR01G24490.1 pep chromosome:Lperr_V1.4:1:21420019:21424122:1 gene:LPERR01G24490 transcript:LPERR01G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGKSNPVTHLAELDPSTTTISRTPKSANSPPLDGGAPAAPRRERRRIASLVRRRELQGRGGRPPPFDGSPPAARPMDSSRSSNSLDSRSSLTLGELACTALIPLLALVDAVVFAAAQCFQKRPSGLLPATLTARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKVFDLFDEKKNSVIEFEEFIHAISVFHPNAPLEDKIDYFGLAVKQMVVATLLESAVELSDDLVETILDKTFEDADTDNDNRISKDEWKVFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >LPERR01G24490.2 pep chromosome:Lperr_V1.4:1:21420019:21424122:1 gene:LPERR01G24490 transcript:LPERR01G24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGKSNPVTHLAELDPSTTTISRTPKSANSPPLDGGAPAAPRRERRRIASLVRRRELQGRGGRPPPFDGSPPAARPMDSSRSSNSLDSRSSLTLGELACTALIPLLALVDAVVFAAAQCFQKRPSGLLPATLTARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKVFDLFDEKKNSVIEFEEFIHAISVKQMVVATLLESAVELSDDLVETILDKTFEDADTDNDNRISKDEWKVFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >LPERR01G24490.3 pep chromosome:Lperr_V1.4:1:21420050:21424122:1 gene:LPERR01G24490 transcript:LPERR01G24490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSNSLDSRSSLTLGELACTALIPLLALVDAVVFAAAQCFQKRPSGLLPATLTARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKVFDLFDEKKNSVIEFEEFIHAISVKQMVVATLLESAVELSDDLVETILDKTFEDADTDNDNRISKDEWKVFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >LPERR01G24500.1 pep chromosome:Lperr_V1.4:1:21426044:21433202:1 gene:LPERR01G24500 transcript:LPERR01G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGADLDDEDVGSSNALQELWPVGEIDPKRAKFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSIARYLQLDRNKCCFPVNLAAHVCERSYKHAEAGTSISWDDALQLGMRHFEHKFYNLFTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLHGQWVDKMSVVRSFFPFITVTCIGILMAGWTFLIGMAAFSSLLIGWFVFAVYCMKACYFLLRSSDPSPVFPHLEESVPQANPLAPATGQAEAERRDVVASRRASGSSGYRHIRAGKAVAHRWLRHPQIHSRACVYFGGSLCVAAVCPGRFNCSGAAIRYALPAVISKLKTCIPTTKRSSSTFTAAATKTACGGRKENCVREFATSR >LPERR01G24500.2 pep chromosome:Lperr_V1.4:1:21426044:21432673:1 gene:LPERR01G24500 transcript:LPERR01G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGADLDDEDVGSSNALQELWPVGEIDPKRAKFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSIARYLQLDRNKGVKCACSSNSMIYNTTSISSE >LPERR01G24500.3 pep chromosome:Lperr_V1.4:1:21426044:21433202:1 gene:LPERR01G24500 transcript:LPERR01G24500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGADLDDEDVGSSNALQELWPVGEIDPKRAKFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSIARYLQLDRNKFVKIEDLHTNYQEKLLHLHCSSNKNRVRGTEGELRAGVCYLQMTSDLYPMVV >LPERR01G24500.4 pep chromosome:Lperr_V1.4:1:21426044:21430030:1 gene:LPERR01G24500 transcript:LPERR01G24500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGADLDDEDVGSSNALQELWPVGEIDPKRAKFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSIARYLQLDRNKCCFPVNLAAHVCERSYKHAEAGTSISWDDALQLGMRHFEHKFYNLFTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLHGQWVDKMSVVRSFFPFITVTCIGILMAGWTFLIGMAAFSSLLIGWFVFAVYCMKGLVL >LPERR01G24510.1 pep chromosome:Lperr_V1.4:1:21435128:21438728:1 gene:LPERR01G24510 transcript:LPERR01G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIVPELTSEEKAELVETLKNKLQLLASQHVDVLGSLTPTVRKRVESLMEIQSQHDELEAKFFEERAALEAKFQKLYGPLYAKRSEIVSGVVEVEGETPAEQNEEKGVPDFWLNAMKNNEILYEEIHERDEEALKYLKDIKWCRIDEPKGFKIEFFFYTNPFFKNPVLTKTYHMIDEEDEPILEKVIGTDIEWHPGQCLMKEVLKTKGSNGTQPITKTEEWESFFNFFIPPQIPDDDAQIDVNTAEQLQNQMERDYDIGSTLRDKIIPHAISWFTGEAVQDEDYGASWVDDDDDEYSDEDV >LPERR01G24510.2 pep chromosome:Lperr_V1.4:1:21435128:21438290:1 gene:LPERR01G24510 transcript:LPERR01G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIVPELTSEEKAELVETLKNKLQLLASQHVDVLGSLTPTVRKRVESLMEIQSQHDELEAKFFEERAALEAKFQKLYGPLYAKRSEIVSGVVEVEGETPAEQNEEKGVPDFWLNAMKNNEILYEEIHERDEEALKYLKDIKWCRIDEPKGFKIEFFFYTNPFFKNPVLTKTYHMIDEEDEPILEKVIGTDIEWHPGQCLMKEVLKTKGSNGTQPITKTEEWESFFNFFIPPQIPDDDAQIDVNTLAHVARSTLRDKIIPHAISWFTGEAVQDEDYGASWVDDDDDEYSDEDV >LPERR01G24520.1 pep chromosome:Lperr_V1.4:1:21453949:21454323:-1 gene:LPERR01G24520 transcript:LPERR01G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPFNYFLVTFFLFLGFWTIYQGSQALGVVNIDKPITSSVELVGFSGLVPALTPGAASPAFNLLVCIDNGHNCDQYRDGGSVKVSYAGVPLAYGSIPSFELGAKEALTVAVNATSESGRAG >LPERR01G24530.1 pep chromosome:Lperr_V1.4:1:21455100:21457442:-1 gene:LPERR01G24530 transcript:LPERR01G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRPHALLSLRRPSGLRTPRARATAPRARRGAPVPPQSARPRRVFLGLGAAFVDQLARMASGSAPSRSFVAAARPRQGVSPVEQILKNVEWPDEFPFKAEDFSRFDESSDAAFYSVPRYVTHIDDPAIGALTKYYSEVLPPNNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAEGFEPPEAVDISPNPGRTDPIFKKSRRIVNKESTFASCKVRCGSHTDLSP >LPERR01G24540.1 pep chromosome:Lperr_V1.4:1:21462894:21463832:-1 gene:LPERR01G24540 transcript:LPERR01G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKVAPKPDAATAVELPGFVMSAEEAERAAAAAGVETVEELLPLLVPSVMRRARAPISRFPVGAVGLGSSGRVYAGVNLEFRGLPLSHSVHAEQFLVANAAAAGEPELRAVAVSHMPCGHCRQFLQEIRGAGGIRIVVTSDAEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGDPAAVANGFADGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVYAGGCLESAAYNPTLGPIQAAIIGMVAAGGGPAGDVVAAALVEKEAALVSQEATARIFLATVAPQASFHVYNYRPSDA >LPERR01G24560.1 pep chromosome:Lperr_V1.4:1:21479212:21481077:1 gene:LPERR01G24560 transcript:LPERR01G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGFAPMLSVAMLLIGTLAAFPAEVRSIGVCYGVIADNLPPASEVVQLYRSKGIDLMRIYFPRSDILQAVSGTNIGLIMDVGNENLEQFASNFSVAAGWVKDNIQANPGVSFRYIAVGNEVQDSHTANILPAMRNVNTALTAAGLNNIKVSTSVRFDVITDSFPPSNGKFRDAYMTAIAKFLATTGAPLLANVYPYFAYKDDQKNIPLNYATFQPGTTVTDNGNGLTYTSLFDAMVDSIYAALEKAGTPGVSVVVSESGWPSAGGAVGASPRNAQAYNQGLINHVRGGTPKKPRALETYIFAMFDENKKDGDEIEKHFGLFSPNKSPSYSISF >LPERR01G24570.1 pep chromosome:Lperr_V1.4:1:21484760:21489442:1 gene:LPERR01G24570 transcript:LPERR01G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKMPARASHPSRATSRPRGWPRLRALGIGLPTTGVVVSSSSCPPAPPASELARHLARRAPVSASPPVRPPIKENQAARPPTLAVSAAAASSPPHPLSLPPDASTTAHPTDMAGVASKRRSSSASTTTTTTTSSSGDGGAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSKEAEAYLPILTAKDGKRLHMHDLLNAQLWTFKYRYWPNNKSRMYVLENTGNYVRTHDLQLGDTIVIYKDDDNNRFVIGSKKAGDQQATIVPQVDEHISALFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFYGPFDDGMDMANTLNANQSVNPHVTDEKSGQSLFPNSKSGSHM >LPERR01G24580.1 pep chromosome:Lperr_V1.4:1:21492380:21495775:1 gene:LPERR01G24580 transcript:LPERR01G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVFAVDGGGAARRARAAAGCAGGAETVPDLGGGGGRGRDDAAGCGKRTVYLMECVPLWGCTATRGRGGEMEDACAAVPRFADVPVRMLAGRRELEGLELDFDASALRLPAHLFGVYDGHGGSEVSNYCQDRIHVLLREILSAEIGSRDLGEVDVKEQWEKAFGDCFQRVDDEVSGRASRPMLANGLGGFRFQPVAADNVGSTAVVAVVCSSHVITANCGDSRVVLCRGKEPIVLSVDHKPDRKDERARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFLTPRPEITVLPRAKDDDCLILASDGLWDVMSNEEACKVARRQILMWYKNNDAPHPGENDGPTMNPAAQAAADCLVRIALTKGSEDNITVIVVDLKPRKKLKGKS >LPERR01G24590.1 pep chromosome:Lperr_V1.4:1:21497112:21501242:1 gene:LPERR01G24590 transcript:LPERR01G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGEANASVAEEGRKPRHKGKHDKPKPWDDDPNIDHWRIEKFDPSWNEGGMLEVSSFSTLFPQYRGKSPQPFPLVPGFFRDRLVGGEKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKGNTVAAMGSWKGLKQVRRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDRFLPKFKKKNVKQKKPMTKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLEKQSEKAEEKKRKREAAFVPPKEDNATPYESAKSTNDNSEIADMAKSLKKKAKEFRKTEAQENVRLESYVASNEGSRQKKKH >LPERR01G24600.1 pep chromosome:Lperr_V1.4:1:21503456:21523661:-1 gene:LPERR01G24600 transcript:LPERR01G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVLNIVIGSHVWVEDKDSAWIDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDTKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLITRNAMDTVGITEQEQEAIFRVVAAVLHLGNISFAKGREVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALINRQINTPEGVITTTVGPNSATNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVMYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPASEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEILKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNGAARGVQSQFRTHVAREQFLILRNASVCLQSFVRARLACKLHESLRRQAAAIKIQKNIRCYFAWRAYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATIHIQTRWRSHRDNSKYLKLKRATLTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTTRLGLEKKLRTDLEKSKAAEVSKLQAALHEMEQRVEEITAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEREENELTKKAHGDAQERNEELNKKVEDSEGKIKQLSDIVQRLEETIQERDALLLSEEQKKEEASALIAESQARNEAFASKLEGAEKQIDLLQETIKRFEEGMKNLESSMTIEKQQHEETVAQLAEARAKIDELLREVGDTDEKSTQLETTVQRLEESLTEKDALLTTERQETEATKKLLGKAQDKNEEVLKKLEDAEKSIIHYHDTTQRLEENVTAVENSLKAERQHNAAITKQLADAQVEIGELQRNLVDAGRRNDQFQDSLQRLVEDATTSEALLVAERQENEVTKKTLTKALDQIEELVKEVECAKNSMYQLQDNIERLEQSASAREANLLTERQEKDITSKALAEAQAKIGGLEEGATTTDTLYLAERQDHDQTKKAFSEAQEINQQLHMKVEEAEKNIEQLRENVERLEKDATVKESLLLMTKQSHDDTIKELLEAQERNQELMSRVEDSNKKIAMLDDSVKRLEERIEYIDSLLAIERHENNETKKELVDARKEIEELLNEVQDNVASIAELEHTIMRLEENLGAKESLLLTEREQNASTLNLLAEAHLKIDELTRKLEDSDRKSDSLQNIIKRLEEDGIAKEALLLTEKQAHEATRMSLTEAFERNGEFQKKIHDDDKRILELQFTIQRLGENSTTKDALLLSERQEKDAIKKELVEVGEKNEELEEDIAAKDVSLEVAREENDTIRKSLAEAQERNKELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDATKRALTEAHERNEDLLKRNEDLLKRNDDLIKKIEESGKTINQLQETLKRLEGKSVNLEAENQVLRQQATATPPSTAKSSASRSRITRIHRSPENGHILNGDTRQAELKPSTGTSESIPSIGNAPDMNNEKYIEQGEKLQKALNKKYQSHQPQDEQQWLLTCITQYLGFSGSKPVAALLIYQCLLHWRSFEAMKTGVFDSILQAINSATEVQNNISALAYWLSNLSALTVLLQRSFKTTRTAISTPQRRRFSSEKIFHASQTSNAGLAYLSGQPVAGPAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSAAKGHVNGLGQQNQLGHWLGIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLVDVQLFNSNGEYVKVGLTELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESSSATTFSILLDDDSCIPFSLDDIAKTMPIIEVADDDLLPFVRENPSFAFLLQRGDS >LPERR01G24600.2 pep chromosome:Lperr_V1.4:1:21503456:21523661:-1 gene:LPERR01G24600 transcript:LPERR01G24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVLNIVIGSHVWVEDKDSAWIDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDTKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLITRNAMDTVGITEQEQEAIFRVVAAVLHLGNISFAKGREVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALINRQINTPEGVITTTVGPNSATNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVMYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPASEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEILKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNGAARGVQSQFRTHVAREQFLILRNASVCLQSFVRARLACKLHESLRRQAAAIKIQKNIRCYFAWRAYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATIHIQTRWRSHRDNSKYLKLKRATLTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTTRLGLEKKLRTDLEKSKAAEVSKLQAALHEMEQRVEEITAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEREENELTKKAHGDAQERNEELNKKVEDSEGKIKQLSDIVQRLEETIQERDALLLSEEQKKEEASALIAESQARNEAFASKLEGAEKQIDLLQETIKRFEEGMKNLESSMTIEKQQHEETVAQLAEARAKIDELLREVGDTDEKSTQLETTVQRLEESLTEKDALLTTERQETEATKKLLGKAQDKNEEVLKKLEDAEKSIIHYHDTTQRLEENVTAVENSLKAERQHNAAITKQLADAQVEIGELQRNLVDAGRRNDQFQDSLQRLVEDATTSEALLVAERQENEVTKKTLTKALDQIEELVKEVECAKNSMYQLQDNIERLEQSASAREANLLTERQEKDITSKALAEAQAKIGGLEEGATTTDTLYLAERQDHDQTKKAFSEAQEINQQLHMKVEEAEKNIEQLRENVERLEKDATVKESLLLMTKQSHDDTIKELLEAQERNQELMSRVEDSNKKIAMLDDSVKRLEERIEYIDSLLAIERHENNETKKELVDARKEIEELLNEVQDNVASIAELEHTIMRLEENLGAKESLLLTEREQNASTLNLLAEAHLKIDELTRKLEDSDRKSDSLQNIIKRLEEDGIAKEALLLTEKQAHEATRMSLTEAFERNGEFQKKIHDDDKRILELQFTIQRLGENSTTKDALLLSERQEKDAIKKELVEVGEKNEELEEDIAAKDVSLEVAREENDTIRKSLAEAQERNKELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDATKRALTEAHERNEDLLKRNEDLLKRNDDLIKKIEESGKTINQLQETLKRLEGKSVNLEAENQVLRQQATATPPSTAKSSASRSRITRIHRSPENGHILNGDTRQAELKPSTGTSESIPSIGNAPDMNNEKYIEQGEKLQKALNKKYQSHQPQDEQQWLLTCITQYLGFSGSKPVAALLIYQCLLHWRSFEAMKTGVFDSILQAINSATEVQNNISALAYWLSNLSALTVLLQRSFKTTRTAISTPQRRRFSSEKIFHASQTSNAGLAYLSGQPVAGPAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSAAKGHVNGLGQQNQLGHWLGIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLVDVQLFNSNGEYVKVGLTELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESSSATTFSILLDDDSCIPFSLDDIAKTMPIIEVADDDLLPFVRENPSFAFLLQRGDS >LPERR01G24600.3 pep chromosome:Lperr_V1.4:1:21503456:21523661:-1 gene:LPERR01G24600 transcript:LPERR01G24600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVLNIVIGSHVWVEDKDSAWIDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDTKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLITRNAMDTVGITEQEQEAIFRVVAAVLHLGNISFAKGREVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALINRQINTPEGVITTTVGPNSATNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVMYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPASEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEILKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNGAARGVQSQFRTHVAREQFLILRNASVCLQSFVRARLACKLHESLRRQAAAIKIQKNIRCYFAWRAYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATIHIQTRWRSHRDNSKYLKLKRATLTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTTRLGLEKKLRTDLEKSKAAEVSKLQAALHEMEQRVEEITAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEREENELTKKAHGDAQERNEELNKKVEDSEGKIKQLSDIVQRLEETIQERDALLLSEEQKKEEASALIAESQARNEAFASKLEGAEKQIDLLQETIKRFEEGMKNLESSMTIEKQQHEETVAQLAEARAKIDELLREVGDTDEKSTQLETTVQRLEESLTEKDALLTTERQETEATKKLLGKAQDKNEEVLKKLEDAEKSIIHYHDTTQRLEENVTAVENSLKAERQHNAAITKQLADAQVEIGELQRNLVDAGRRNDQFQDSLQRLVEDATTSEALLVAERQENEVTKKTLTKALDQIEELVKEVECAKNSMYQLQDNIERLEQSASAREANLLTERQEKDITSKALAEAQAKIGGLEKDATVKESLLLMTKQSHDDTIKELLEAQERNQELMSRVEDSNKKIAMLDDSVKRLEERIEYIDSLLAIERHENNETKKELVDARKEIEELLNEVQDNVASIAELEHTIMRLEENLGAKESLLLTEREQNASTLNLLAEAHLKIDELTRKLEDSDRKSDSLQNIIKRLEEDGIAKEALLLTEKQAHEATRMSLTEAFERNGEFQKKIHDDDKRILELQFTIQRLGENSTTKDALLLSERQEKDAIKKELVEVGEKNEELEEDIAAKDVSLEVAREENDTIRKSLAEAQERNKELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDATKRALTEAHERNEDLLKRNEDLLKRNDDLIKKIEESGKTINQLQETLKRLEGKSVNLEAENQVLRQQATATPPSTAKSSASRSRITRIHRSPENGHILNGDTRQAELKPSTGTSESIPSIGNAPDMNNEKYIEQGEKLQKALNKKYQSHQPQDEQQWLLTCITQYLGFSGSKPVAALLIYQCLLHWRSFEAMKTGVFDSILQAINSATEVQNNISALAYWLSNLSALTVLLQRSFKTTRTAISTPQRRRFSSEKIFHASQTSNAGLAYLSGQPVAGPAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSAAKGHVNGLGQQNQLGHWLGIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLVDVQLFNSNGEYVKVGLTELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESSSATTFSILLDDDSCIPFSLDDIAKTMPIIEVADDDLLPFVRENPSFAFLLQRGDS >LPERR01G24610.1 pep chromosome:Lperr_V1.4:1:21525376:21527236:1 gene:LPERR01G24610 transcript:LPERR01G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQSINLGALREAELFRSSAHRQRAVGSPPRHFQKGKERREEGGGRCAARGLKAMGRDGDGRGKGGGSSQQRIPEPGRIMIDGMRNRSWVSAGSWVPINGRERAGTYVRLAS >LPERR01G24620.1 pep chromosome:Lperr_V1.4:1:21531510:21532598:-1 gene:LPERR01G24620 transcript:LPERR01G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTSLWPSHSRRRSGCWRCRIAATSEASASRATAAVALTGADVAVCSHRFGGSDTAPPAGFVLTLLRSLMLIQTPSGTCDLNSGEGGGGAGDAKTTGTKIGGGVGALQRDARPSARLARLFPSSPPDSIRIPLLPPPSRLISSRYGSCERGRSASGIPSSLILQKRRPSLICRCGLFLLVTAAAARSVKANSRRRNYGFDVQSLCLGIRD >LPERR01G24630.1 pep chromosome:Lperr_V1.4:1:21543631:21543858:1 gene:LPERR01G24630 transcript:LPERR01G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVASLFPPISSLPASSFPVTSRNTQCLRRYRRSLTEVPAGCCHCHGLLRCAPWCKAVACPVRHQFKYDKYL >LPERR01G24640.1 pep chromosome:Lperr_V1.4:1:21544085:21544585:1 gene:LPERR01G24640 transcript:LPERR01G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPVSNQASLHEPLDRTCKGAVEPSCSSDPGKDSSCTSAFAFTILAGNCGAAIYHSRRDPWSVAFVLAAFLVLLLLFYALRVFESLPHGSPRRVYVKAAVWVLTTVLTTMFSYRVATLMPFPVAVIVWALAGCTIVAGFCLFFVCRDEVEPAAEENPVEVSDMA >LPERR01G24650.1 pep chromosome:Lperr_V1.4:1:21547094:21547881:-1 gene:LPERR01G24650 transcript:LPERR01G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGASTPFNFCPHAADVVLSSWMATRPPAPHGGGAFGVAASSGEMMLRQQHLDLFDYLSDDQGGAGPARPAAVPSASSLFMPPPAMAPAEPVVPDAAAGYPRRVNLLAAAGEGGTTRTTDKIAFRTRSDDEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDRNDPRYVVTMYDGIHNHVCPGTVYYATQDAASGRFFVAGMHHPDLN >LPERR01G24660.1 pep chromosome:Lperr_V1.4:1:21553755:21557081:-1 gene:LPERR01G24660 transcript:LPERR01G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGFTSVLGALVCLTLVRYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNANRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDM >LPERR01G24670.1 pep chromosome:Lperr_V1.4:1:21558484:21561259:-1 gene:LPERR01G24670 transcript:LPERR01G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPGLPPPSYDGPTRATDPIPARTESHKAHLTASAPPPPQPQPQAPQPPLWMLLRLPCARGPAPATARWSPPGGPRGPALPAPWLFRGHAAYSSADGNGAPSTPPIHYDPLADLLGPDVDPSSSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCAGECVIWQESIDEQPWEIVRSSSPLKVKEDDEVDKLDIKINTSKGSKRTYPSPSPEVAMKISRSLKSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKRTVAARKHASETQKAFFSNPENRLKRSIAMKANVGKKVIGASIAQQYDKFQEECISDASYVGERVIIAEHVESQSQKMNINDNLGTAANVVKRDTTVVTVPDPLLLSFCSAKGHNRRTCPKRKASIGQQKE >LPERR01G24670.2 pep chromosome:Lperr_V1.4:1:21558484:21561259:-1 gene:LPERR01G24670 transcript:LPERR01G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPGLPPPSYDGPTRATDPIPARTESHKAHLTASAPPPPQPQPQAPQPPLWMLLRLPCARGPAPATARWSPPGGPRGPALPAPWLFRGHAAYSSADGNGAPSTPPIHYDPLADLLGPDVDPSSSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCAGECVIWQESIDEQPWEIVRSSSPLKVKEDDEVDKLDIKINTSKGSKRTYPSPSPEVAMKISRSLKIIHQDPKLHAQRVAAIKKTKRTVAARKHASETQKAFFSNPENRLKRSIAMKANVGKKVIGASIAQQYDKFQEECISDASYVGERVIIAEHVESQSQKMNINDNLGTAANVVKRDTTVVTVPDPLLLSFCSAKGHNRRTCPKRKASIGQQKE >LPERR01G24670.3 pep chromosome:Lperr_V1.4:1:21558603:21561259:-1 gene:LPERR01G24670 transcript:LPERR01G24670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPGLPPPSYDGPTRATDPIPARTESHKAHLTASAPPPPQPQPQAPQPPLWMLLRLPCARGPAPATARWSPPGGPRGPALPAPWLFRGHAAYSSADGNGAPSTPPIHYDPLADLLGPDVDPSSSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCAGECVIWQESIDEQPWEIVRSSSPLKVKEDDEVDKLDIKINTSKGSKRTYPSPSPEVAMKISRSLKIIHQDPKLHAQRVAAIKKTKRTVAARKHASETQKAFFSNPENRLKRSIAMKANVGKKVIGASIAQQYDKFQEECISDASYVGERVIIAEHVESQSQKMNINDNLGTAANVVKRDTTVVTVPDPLLLRLVLLAI >LPERR01G24670.4 pep chromosome:Lperr_V1.4:1:21558603:21561259:-1 gene:LPERR01G24670 transcript:LPERR01G24670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPGLPPPSYDGPTRATDPIPARTESHKAHLTASAPPPPQPQPQAPQPPLWMLLRLPCARGPAPATARWSPPGGPRGPALPAPWLFRGHAAYSSADGNGAPSTPPIHYDPLADLLGPDVDPSSSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCAGECVIWQESIDEQPWEIVRSSSPLKVKEDDEVDKLDIKINTSKGSKRTYPSPSPEVAMKISRSLKSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKRTVAARKHASETQKAFFSNPENRLKRSIAMKANVGKKVIGASIAQQYDKFQEECISDASYVGERVIIAEHVESQSQKMNINDNLGTAANVVKRDTTVVTVPDPLLLRLVLLAI >LPERR01G24680.1 pep chromosome:Lperr_V1.4:1:21563131:21578027:1 gene:LPERR01G24680 transcript:LPERR01G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQEAPPAKKAKPSDPQPHDKASHSEEDWLSALGDDTLHEILARLPLRDAAVATALSTRWPRVFATLPRLCLGPATFNSRASLGIDYCDDNSRWVDALDRVLNGRLSPVAVFELDAGLDLLEGHDDWFHSILSTLCRSGALRHLALRNENVHECYPVPSPVYACTTLTSLELDGCHLRRVPAAELLLTGLRGVRSLVLRRVVAADADLRRVLSRCVAVERLVLEECHRVRSVVVRGPSLREVEVHSYRPLRVAVRSAPLLETARLSLGYGVAESSWSVYNDSDGETESKTGDLDQLEEELYEFETQERREQRIRKTDEAANMVAFLSGLGSAKELCLHLPYDYAEVLRKTSISLPMRLPKKCCLRGLQKLTLDLNHNDEAIATLVSCILNSSPNLNNLEITNDCFYDRCTNRVLPDIWEKNIGAAECVQYRLLTVTFYLNVERFHDRSYIDLSKFLLTRARALERLSIKYRHLQLQDQDQYTDKLENAQSELRLWPRASPAALVEIHPVDRLPSCGGLRELSITNTKYTECYSLPTPVYNCKTLVSLELYNWRIRVPGRVTGLRSLQSLSLLNVVATDAEIRRMILLCRDMARLEITRIHKARKIVIQAPNLEKLDIDSFRPLCVSVKKGPAVGIGVDTMDTDEDYSFSEIEERCDFQRMSEREYKKTDEVRNMVTFLGGLRCAKDLRLYLPPEYARVLSMAKVPMPERGERLPKKCYLLGLRKLALTLDHNDEALATIVSCLFNSCPNLKDLRIHGSQRAGYAVPLAAEFWEEQIDADCCVQNHLSTVTFYIDSFSQSNPCRDLCQFLVMNARVLQRLCGSGGLLELTITNTKYNECYALPIPVYNCKTLVSLELYNWRIRVPGRATGLRSLMSLSLRNVVATDDEIRRMISLCREVERLEICDLHKARDVVIQAPNLEKLEIQSFRPLCVSVKKAPRLESVRLSLSYCWPEFHWRGDDTMDSDEEYSLSEIEKRCDFPNMAKREYRNTDEVRNMVTFLRGLGCAKDLRLNLSTEYVEVMRMAKVPMPKRLPKKFYLLGLQTLSLSLDHNDEVIGTLVSCLFNSSPNLRNLKIKGSLRSSYTVPLATKFWENHIDADCCVQNHLSTVTFYVNLFSESNPCRGLCQFLVMNARILQRGLFAELCKELTRGGPGGLLELGIWNTKYSDGYDVPPAVFDCRTLTKLELFSCRIRVPARLAGLRAVRSLQLRSVVASDADIRRMITQCRAVEDLLIQDIHKARHIVIRAPNLERLDVASFRPLRVSVKNAPRLATAELGLCYDWAQYSWTSTDTMDSDRDYSFSEIDERCDFEKMEKREHEKTDEVGNMVIFLGGLGRVSKLRLYLSARHSKMLSKAKVPLPKRLPEKFYLLGLQILTLTLDHNLKTLATFVSCLLNSSPNLKDLRIVVVVIAIGAMLFPYLHSFGRRK >LPERR01G24690.1 pep chromosome:Lperr_V1.4:1:21579442:21588743:1 gene:LPERR01G24690 transcript:LPERR01G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASWSIPAISPRAGLPARGVLLGGAFLTAARPPLAWRCRATYPRRARLGDVVVARAGAAETPVAGSGEAGVLFSEKFPLRRSRTVEGKAWVRVDAEPDGEGKCEVVIGCDVEGKWVLHWGVSYDGEHGREWDQPPSEMRPPGSVPVKDYAIETPLDTSQNSEGKMIHEIKIRIDKGTPIAAINFVLKEEETGAWFQHKGRDFRIQLTESSGGDLLGIKQDIDVRPGALGHLSNVLQKPEGPSAEPQKTVPDDKVSRTKYISGFYEEYPILKTVYAQNFVTVTVRENNGTNKHNVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATKIFRQKALQTLLQQKDDGAGNSISFLLDAEYSGLIFVVKLDEYTWLRNMENGFDFYIPLTRADSDETHKAEKQKADDNSSQADGIIGDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEEPVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYIELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGSLDELKEVVKIFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPFGMEVQGYVYILTHPGTPAVFYDHIFSHLKPEIAKLISIRCRQNIHCRSKIKILKAEGNLYAAEIDERVTMKIGAGHFEPSGPINWTIAAEGQDYKVWEVSP >LPERR01G24690.2 pep chromosome:Lperr_V1.4:1:21579398:21588743:1 gene:LPERR01G24690 transcript:LPERR01G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASWSIPAISPRAGLPARGVLLGGAFLTAARPPLAWRCRATYPRRARLGDVVVARAGAAETPVAGSGEAGVLFSEKFPLRRSRTVEGKAWVRVDAEPDGEGKCEVVIGCDVEGKWVLHWGVSYDGEHGREWDQPPSEMRPPGSVPVKDYAIETPLDTSQNSEGKMIHEIKIRIDKGTPIAAINFVLKEEETGAWFQHKGRDFRIQLTESSGGDLLGIKQDIDVRPGALGHLSNVLQKPEGPSAEPQKTVPDDKVSRTKYISGFYEEYPILKTVYAQNFVTVTVRENNGTNKHNVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATKIFRQKALQTLLQQKDDGAGNSISFLLDAEYSGLIFVVKLDEYTWLRNMENGFDFYIPLTRADSDETHKAEKQKADDNSSQADGIIGDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEEPVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYIELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGSLDELKEVVKIFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPFGMEVQGYVYILTHPGTPAVFYDHIFSHLKPEIAKLISIRCRQNIHCRSKIKILKAEGNLYAAEIDERVTMKIGAGHFEPSGPINWTIAAEGQDYKVWEVSP >LPERR01G24700.1 pep chromosome:Lperr_V1.4:1:21587981:21591402:-1 gene:LPERR01G24700 transcript:LPERR01G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPIPGVYSETGIPREFTAPGLDSEISKKDTPAVPAAAAAARGPGLYFEIGKKARDLLYKDFHTDQKFTLATCTKNGVEITAATTRKDEAIISEIQTKLKYNNVTLDVKANSDSEVFTTITTEDLGVAGLKKIVSFPFPYQTAGKAEFQYLHDYAGISLGVGLNSKPLFNLSGVFGSKILAVGADAAYDTSTGSFTKYNAGLTLTNSDLVAALTLNNKGDSLTASYHHLVHEESGSVVGAELTHCLSSKENTLTIGSQRALDPLTTVKVRYNNHGMVGALIQHEWRPKSFCTLSTEVDVKAIDKASKVGLSLVLKP >LPERR01G24710.1 pep chromosome:Lperr_V1.4:1:21592951:21597639:1 gene:LPERR01G24710 transcript:LPERR01G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVPCECSLERKNEAKNWFIHMKLLAAAVLRYLHQGEPAICSSPVAITSPGHACKMFGRMPHRVLAAGGTADRKRARHIVRETKLFVEIWDDHCEVVSQSHRNCNHHANNYPAIRVISVRYCFTQVLLKDRIMVGDQLTQCSEYLHEHYTPLQTQMKLFPEVELPVMILAASLFPHDLSVRDIMQHACCHFLRKNFVQLPAMYQSYEADRSKARIKKLIVEEAMKRCTFTVHQIFHVSTNMAKDWNECLGETCFAAVEFSP >LPERR01G24720.1 pep chromosome:Lperr_V1.4:1:21593532:21595872:-1 gene:LPERR01G24720 transcript:LPERR01G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWTDIYLVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKYVAKFSIPLLSFQVISTTNPYDMNIKLIYSDILQKSLALLGFAAISKVCCAEKFDWLVTGFSLSTLPNTLIVGIPLLKGMYGEQAVKLLSQIVVLQSLIWYTLLLFLFELRSAKGRGTTTSSETTAESDTRGPTQQRYEEVQAKGVSARCSVAFRFLLLVGKKLVMNPNIYACMIGLIWALISFRWHVQLPLVVSNSIRLLSDGGLGMAMFSLGLFTALQTKIIACGAKKMLLSLAIRFFLGPILMGASSYVIGMRGVLLKIAIVQAALPQGIVSFVFAKEYNVHADILSTAIIIGMMIAVPVALAYYFAMIIPDFNKH >LPERR01G24730.1 pep chromosome:Lperr_V1.4:1:21601680:21601928:1 gene:LPERR01G24730 transcript:LPERR01G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRWTLHASCLAECHGGTVPCGAPYDRWVLGVCAHGETGRSRKFLRLIDEMHNDGATVREATLASVLTPCAQLGALERGTL >LPERR01G24740.1 pep chromosome:Lperr_V1.4:1:21601955:21602243:1 gene:LPERR01G24740 transcript:LPERR01G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVTLGTALVDIVFHVWRCCGSHEVFDSLAWAKGTFTLKPWPCNEWHGRMGRDRDCLELFKRIESTRVERNGVNFVAVLRGCFMAQWLGW >LPERR01G24750.1 pep chromosome:Lperr_V1.4:1:21602561:21602842:1 gene:LPERR01G24750 transcript:LPERR01G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLCLGVMPLRLVATGKMHGSFVGGKTHPTYKDIDEMLAKMSPRLRLQGYVANTKEVLFDIEKRRKMMPSPCIVRSWPLLWPGRVTGRPGD >LPERR01G24760.1 pep chromosome:Lperr_V1.4:1:21604828:21607709:-1 gene:LPERR01G24760 transcript:LPERR01G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVVLPHRHLPQHLTGLLKTRPLHDLLSDASTSRAARHLFDALPRPTPALCGTLISALSRLSSHRELLDAFSSLHRRGAVVPPGCVPLVLKSCAVSAASRQGREVHCHAIVRGLLWDTFVMTALVDFYAKNGDMDCAVKVFEEMPVKDPIPMNCLITGYSKSGDVDNARRLFDGMARRTSASWNSMIACYAHGGEYQEALRLFDRMLSEGARPNAITITTMFSICAKSGDLERGKWARSLIAEQDLQNMIVRTALMEMYVKCRAIDEARHEFDQMQQRDVVAWSTMIAGYAQNGRPHESLELFERMKATSCKPNEVTLVGVLSACAQLGSDELGGQIGNHVESRSLPLTSYLGSALIDMYTKCGHVDRAYNVFNRMEHKVVITWNSMMRGLALNGFPQDAITLYKQMVENGIQPNEITFVALLTACTHAGLVDQGMAFFEEMKRIHLVSPQVEHCSCMVDLLCKSGRLWEAYKFICDMEVEPNAVIWSTLLSACRVHADVELAKLSASKLLVLEPENSSIYVLLSNIYADAGLWAEVREIRYLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHTKSAEIYTVADGMGLHLDDVDSDPELFAWPNKPSSSDKASSPKARDGRGALRLPDPFAGSPLNPNSPSRRSNYPMESEADKVAATAPAVAASDDAIQEESPASAPSGKPGSEAAAAPEVEVQLFRRGRGPVAVFRSRLGGYTQDQLEVGDILEQHGLKSVFVFDPDSRTRGVAIRFHPRNGRSLLTYTSGSIIFLDGEPKDSMLKPVTKVMIGVAAMTVVVAVLLKEAKMPEWLKESKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >LPERR01G24770.1 pep chromosome:Lperr_V1.4:1:21610320:21613429:-1 gene:LPERR01G24770 transcript:LPERR01G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSTKSNSTKAKDLAKAANNKPALSENPSVFLEPVLVNSNNDGTVSTIGNAENGKLPNGGAVEVMGQGVENQNITGSKTPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKFQALVRGRNVRISSASMQIVLKSGEHKFLSDKPSDAWEEKVSSNAYVRKLLSSISLEALQLQYDERDPNSLYNWLERWTISRIWKSTSQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDNFQTNMTVEPEKLKRNSRKFSSSTADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMTDALKVSSSMDDASKVSDSKAQIPPNLVNGISEHQDNQCEEAQQNACVASFSPDTQELHGGNLLEDNSHMNLLEPDLISNPETPFASILTWEKFNDSTANAQAVEVLPRQNIDNEDNFSEKKEQPKSKEEPLSNGSLKTSKRRSSFSSKSDYPENGAQNTPIPRRKPSYMAATESAKAKLRGQNSPLDSDSPADMNGFTRRQSLPSATNSKLALHSYTRTIPK >LPERR01G24780.1 pep chromosome:Lperr_V1.4:1:21618175:21619942:-1 gene:LPERR01G24780 transcript:LPERR01G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLFLALQCVQCSTMQVRVLRQISSPKPPPVSSIGIAKIVFSPLFSLLRFDSQVKQQKSSNKWVCAVCNQRQSVMRIHARGYRAADVRRFVQDANLSRGRAAQAPVPVPEEDWVPALSGEQQDEFPRERKRRMDWSEYLDDPGECDGGGDDEEARDGGTGIQVTTELPEQRLKVTSSKRPSKAQLGLARKRPKTLANTSLPKMQLIGGAQISKWSNYLDTGFFEEKSRFQESGQHCTEVECSTTDVLVDDEWQSYVTMRV >LPERR01G24790.1 pep chromosome:Lperr_V1.4:1:21620250:21625095:1 gene:LPERR01G24790 transcript:LPERR01G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPASASAAAAAAGAILLFPPTRRHLHETASVPSPPRTITRRFCSSARSLGPPRAVAGERGGGAGETFGGKSSVRIVSIAGDGSISPLKDTPWEEVMRHTANRMKWVDEGFEMLVFTDKSIGHDDLGKELAQCDMLVNVSVTSQETVRWLLNNSENIPNIICFQSSPTLENKLGGRYVQYTGHQDMFGKLTNIGKQSGTKESDEVLKTVSSAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIGNCGPQILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLELNAGIPSKPAVTPLTMFRDQKLSHELAEDLFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGKLVWRRRKYRVRRASSPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVTADGSSPDLDNPRLTSALDKCGIKKWELYMVDNYSCTGAPLGTPEGARLHNQIAPGKESGILQRG >LPERR01G24790.2 pep chromosome:Lperr_V1.4:1:21620250:21625095:1 gene:LPERR01G24790 transcript:LPERR01G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPASASAAAAAAGAILLFPPTRRHLHETASVPSPPRTITRRFCSSARSLGPPRAVAGERGGGAGETFGGKSSVRIVSIAGDGSISPLKDTPWEEVMRHTANRMKWVDEGFEMLVFTDKSIGHDDLGKELAQCDMLVNVSVTSQETVRWLLNNSENIPNIICFQSSPTLENKLGGRYVQYTGHQDMFGKLTNIGKQSGTKESDEVLKTVSSAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIGNCGPQILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLELNAGIPSKPAVTPLTMFRDQKLSHELAEDLFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGKLVWRRRKYRVRRASSPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVTADGSSPDLDNPRLTSALDKCGIKKWELYMVDNYSCTGAPLGTPEGARLHNQIAPGKESGILQRG >LPERR01G24800.1 pep chromosome:Lperr_V1.4:1:21629980:21636166:1 gene:LPERR01G24800 transcript:LPERR01G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTTSASERNTTPPPPTTRPLPPLGASGSQLDQTPAASHPAAGAGASRMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDTTGDDSDSEFEYEEMCSWERQLYDEERRLRGVGAETIDSQMEDVPHRLNRRRKVCVGTWNVAGRHPPDDLDIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAVWENIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDQLVSESDSESGGEVHPWNEQDFSVDDDSINEDNLLCDLNTKSVLIKRKRPYFVRIISKQMVGVFISIWVRRSLQKHIQNLKVSTVGVGAMGYIGNKGSIAVSMSIYQTLFCFICCHLTSGEKGGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLRRELKKGHLFDGWTEGVISFPPTYKYKVNSGKYTSDEPKSGRRTPAWCDRILSFGKGMRLMSYRTADIRLSDHRPVTAVYTADVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIYNF >LPERR01G24800.2 pep chromosome:Lperr_V1.4:1:21629980:21635579:1 gene:LPERR01G24800 transcript:LPERR01G24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTTSASERNTTPPPPTTRPLPPLGASGSQLDQTPAASHPAAGAGASRMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDTTGDDSDSEFEYEEMCSWERQLYDEERRLRGVGAETIDSQMEDVPHRLNRRRKVCVGTWNVAGRHPPDDLDIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAVWENIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDQLVSESDSESGGEVHPWNEQDFSVDDDSINGNKYEHSTSAPAETTVNRNNFSRVPSIKFFDRSHNLSFKDYVSSLEEPVHQKMLTKTLSYSERLGMIWPEQPLDILAQCHPDNTKPFIPEKALRTCMSFKSVNDSSTFAEDNLLCDLNTKSVLIKRKRPYFVRIISKQMVGVFISIWVRRSLQKHIQNLKVSTVGVGAMGYIGNKGSIAVSMSIYQTLFCFICCHLTSGEKGGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLRRELKKGHLFDGWTEGVISFPPTYKYKVNSGKYTSDEPKSGRRTPAWCDRILSFGKGMRLMSYRTADIRLSDHRPVTAVYTADVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIYNF >LPERR01G24800.3 pep chromosome:Lperr_V1.4:1:21629980:21636166:1 gene:LPERR01G24800 transcript:LPERR01G24800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTTSASERNTTPPPPTTRPLPPLGASGSQLDQTPAASHPAAGAGASRMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDTTGDDSDSEFEYEEMCSWERQLYDEERRLRGVGAETIDSQMEDVPHRLNRRRKVCVGTWNVAGRHPPDDLDIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAVWENIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDQLVSESDSESGGEVHPWNEQDFSVDDDSINGNKYEHSTSAPAETTVNRNNFSRVPSIKFFDRSHNLSFKDYVSSLEEPVHQKMLTKTLSYSERLGMIWPEQPLDILAQCHPDNTKPFIPEKALRTCMSFKSVNDSSTFAEDNLLCDLNTKSVLIKRKRPYFVRIISKQMVGVFISIWVRRSLQKHIQNLKVSTVGVGAMGYIGNKGSIAVSMSIYQTLFCFICCHLTSGEKGGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLRRELKKGHLFDGWTEGVISFPPTYKYKVNSGKYTSDEPKSGRRTPAWCDRILSFGKGMRLMSYRTADIRLSDHRPVTAVYTADVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIYNF >LPERR01G24810.1 pep chromosome:Lperr_V1.4:1:21637334:21640726:-1 gene:LPERR01G24810 transcript:LPERR01G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQVQRAAEAAAPAQPRIPKEARRLLHEMAAAWEDVADCRALQVIPLKGAMTNEVYQVRWLNDAAAAAGADGEAEAAAREREVRKVLVRIYGDGVELFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSARDLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLKNWLKTARNLCSSDESKEFRLGSLENEITALEKEFSGDYHGIGFCHNDLQYGNIMIDEETNILTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYSKYPDTDEQKRFVKTYLSNSGEEPDAEEVENLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQSLLTS >LPERR01G24820.1 pep chromosome:Lperr_V1.4:1:21655367:21674310:1 gene:LPERR01G24820 transcript:LPERR01G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRHRSERSVVSAGLGLHVLLCSSLLLNALFFAYHFLGASPPPTTTPGLGDGLSWALQAAREAEAVAAIDCSGHGNVFLDGIADEDGRPGCECHSCFTGPNCSVRKPNCNADADSGDPVFMEPYWKRHAAAGAVVFSGWHRLSYITTDGLFHSIELERQIRRLHNAVGNAVVDDKYIVYGTGSIQLINALVYALSPEGNASSPPASVVATAPYYNTYKMQTDMFDGREYRWDGTTAAWANNGSRNSTGDVIEFVTSPNNPDTAFRRPVLDGSMAVVDHAYYWPHLTHIPAPADDDVMLFTTSKLSGHAGSRFGWALIRDKNVAKRAGDYVGQSTMGVSRDTQLRIMKIIKVILANLHSKDDIFAFGYDVMSSRWSRLNAVVSRSTRISLQKMQPEYCTYFSKIREPSPAYAWVKCEWEEDVDCQETLLAAGIISRSGTNYEGGSQYARLSLLKPQDDFDMLLERMADIVEPIEEHSRAPDGSSSIRDVPPTAEIMDTGRHRSERSVVSAGLGLHVLLCSLLLLNALFFAYHFLRASPPTTTTSGLGEGLSWALQAATEAEALAAIDCSGHGNVFLDGITDEDGRPGCECNSCFTGPDCSVRTPNCTADADSGDPVFMEPYWKRHATASAVVFSGWHRLSYITTDGLFHSNELDRQIRRLHKAVGNALVDDKYMVFGSGSSQLINALVYALSPEGNASSPPAIVIASAPYYSVRECFPVSTSLHNGDAYEMQTVMFDGREYRWDGNAAAWSNNNGPRNSTNGGFMEFVTVPNNPDAAFRKPVLAGSSAVYDHAYYWPHLTHIPAPADEDVVLFTTDENVAKRAEYYVKMSTMGASRDTQLRILKIIKVILANLHSKDDIFFFGHDVMRSKWRRLNAVVSRSNRISLQKMAPHYCTYFKRTREPSPAYAWVKCEWEEDVDCQETLQAAGIISRSGTLFGAGTRHTRLSILKTQDDFDVLLERITDFVDAEKRSRAPAGSSSM >LPERR01G24820.2 pep chromosome:Lperr_V1.4:1:21655367:21674310:1 gene:LPERR01G24820 transcript:LPERR01G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGRHRSERSVVSAGLGLHVLLCSSLLLNALFFAYHFLGASPPPTTTPGLGDGLSWALQAAREAEAVAAIDCSGHGNVFLDGIADEDGRPGCECHSCFTGPNCSVRKPNCNADADSGDPVFMEPYWKRHAAAGAVVFSGWHRLSYITTDGLFHSIELERQIRRLHNAVGNAVVDDKYIVYGTGSIQLINALVYALSPEGNASSPPASVVATAPYYNTYKMQTDMFDGREYRWDGTTAAWANNGSRNSTGDVIEFVTSPNNPDTAFRRPVLDGSMAVVDHAYYWPHLTHIPAPADDDVMLFTTSKLSGHAGSRFGWALIRDKNVAKRAGDYVGQSTMGVSRDTQLRIMKIIKVILANLHSKDDIFAFGYDVMSSRWSRLNAVVSRSTRISLQKMQPEYCTYFSKIREPSPAYAWVKCEWEEDVDCQETLLAAGIISRSGTNYEGGSQYARLSLLKPQDDFDMLLERMADIVEPIEEHSRAPDGSSSIRDVPPTAEIMDTGRHRSERSVVSAGLGLHVLLCSLLLLNALFFAYHFLRASPPTTTTSGLGEGLSWALQAATEAEALAAIDCSGHGNVFLDGITDEDGRPGCECNSCFTGPDCSVRTPNCTADADSGDPVFMEPYWKRHATASAVVFSGWHRLSYITTDGLFHSNELDRQIRRLHKAVGNALVDDKYMVFGSGSSQLINALVYALSPEGNASSPPAIVIASAPYYSAYEMQTVMFDGREYRWDGNAAAWSNNNGPRNSTNGGFMEFVTVPNNPDAAFRKPVLAGSSAVYDHAYYWPHLTHIPAPADEDVVLFTTDENVAKRAEYYVKMSTMGASRDTQLRILKIIKVILANLHSKDDIFFFGHDVMRSKWRRLNAVVSRSNRISLQKMAPHYCTYFKRTREPSPAYAWVKCEWEEDVDCQETLQAAGIISRSGTLFGAGTRHTRLSILKTQDDFDVLLERITDFVDAEKRSRAPAGSSSM >LPERR01G24830.1 pep chromosome:Lperr_V1.4:1:21676124:21676543:-1 gene:LPERR01G24830 transcript:LPERR01G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQDEQAPLPCANGCGFFGSADTHGLCSKCHRNSLHQAVAPASSSSAEHGAVAAAVELPVGDGAQLPPATRAKTKSRCAACGRGVGLMGFECRCGGVFCGAHRYSDRHDCGYDYRGAGRDAIARANPVVRPDKVDKL >LPERR01G24850.1 pep chromosome:Lperr_V1.4:1:21707904:21711266:-1 gene:LPERR01G24850 transcript:LPERR01G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWVAIAALFVAAVVAAADDAQLLEEFRAAVPNQAALKGWSGGDGVCRFPGAGCRNGRLTSLSLAGVPLNAEFRSVAATLLQLASVESLSLRGANVSGALSVAAGAGARCGGRLQSLDLSGNAALRGSVADVAALAGACGDLKTLNLSGDSVGAAKVGGGGGPGFAGLDSLDLSNNKITEDSDLRWMVDAGVGAVRWVDLATNRIPGSIPEFTNCSGLQHLDLSGNLIAGEVSGGVLSNCRGLKVLNLSFNHLAGEFPPDIAGLTSLNALNLSNNNFSGELPSDAFTKLEQLTALSLSFNHFNGSIPDSVAALPELEQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLAGSIPDAISNCTNLVSLDLSLNYINGSIPASLGELVNLQDLILWQNELEGEIPASLSGMRGLEHLILDYNGLTGSIPPELAKCTKLNWISLASNRLSGPIPSWLGKLSYLAILKLSNNSFTGRIPPELGDCQSLVWVDLNSNLLNGSIPKELANQSGKMNVGLVTGRPYVFLRNDELSSECRGKGSLLEFTSIRPDDLSRMPSKKLCNFTRMYMGSTEYTFNKNGSMIFLDLSYNQLDSKIPSELGNMYYLMIMNLGHNQLSGVIPPELAGAKKLAVLDLSYNQLEGPIPNSFSTLSLSEINLSNNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCDHNAPRSSDDHQSHRRQASVATSIAMGLLFSLFCIFGLVIIAIELKKRRQNNEEVSTSRDIYIDSRSHSGNVNSNWRQLLSGTNAVSINLAAFEKQLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGRVVAIKKLIHVSGQGDREFTAEMETIGKVKHRNLVPLLGYCKAGEERLLVYEYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDESMEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPAVELELLEHLKIACACLDDRPSRRPTMLKVMTMFKEIQAGSTVDSKTSSAAAGSIDEGGLGVLDMPLREAKEEKD >LPERR01G24860.1 pep chromosome:Lperr_V1.4:1:21719856:21720624:1 gene:LPERR01G24860 transcript:LPERR01G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQAVVLAYFLAAALLHPLLCQGATASPTTFAGKLAMDGMAVDQGIDHLLVLAAIFVMCLFR >LPERR01G24870.1 pep chromosome:Lperr_V1.4:1:21725065:21729470:1 gene:LPERR01G24870 transcript:LPERR01G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSSIGLAILPVTSSGLTARTELLRPVFGAEASPSLGPLVVNPHSCSYRWWQKWLILLVLYTAWSSPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDKTTGLLVTDRKKIATRHLKRPYLVLDVLSTLPLQIIYRLVSGRHAGMYGLLNILRLWRLHRVSKLFARLEKDIRFSYLWTRLIKLFCVTLFALHFAACIYLSIASHAKVKEHTWIGSQIHDFEDRSVWFSYTYAVYWSITTLATVGYGDLHATNTKEMLFSIVFMLFNMGLTSYIIGNITNLVVHAAANTFKMRDMVKRTAVFGKTNRLPEAMREQMMASVQLRFKTEEQLDTEVLSELPKAVRSGIAQHMFRGLVESCYMFQGVSDRLVVQLVAEMKAEFFPAKADIILENEAPTDCYIIVSGEVEVLTTLEDGTEKLVMKIGPQGMAGEIGVMFNIPQPFTIRSRRLTQVVRITFGHMVQTVRPNTADGVIVFSNFVQVSDFVEMHCRYVHAFSQLRNLLFFPQYIESLKVKAKETSFVRDHLRNGWSTVLGSATMFNVDESKGPAHKMLPWTEPKRVVIHENFSNKTGKLILLPDSLQELMKLSENKFGKAASGVLTVEGAEIEDIEVVRDGDHLFFSL >LPERR01G24880.1 pep chromosome:Lperr_V1.4:1:21734183:21734410:1 gene:LPERR01G24880 transcript:LPERR01G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKDNHAVMAVTYAIAGFNVGVLVLWAYLIGKYGRQECHLPVSNNSSPGGKIAPLLQRSVSYTTQLRINILSL >LPERR01G24890.1 pep chromosome:Lperr_V1.4:1:21734882:21737275:-1 gene:LPERR01G24890 transcript:LPERR01G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAAAAAESRSPSPSSSGASPSPRTKRRRTDRYAHGFEFAPRPASATAPAPAAPARTSPPEWTEDSTFALLDSWGDRFVRAGRRSLRADEWLEVSRVAAAAASRPAGYYSESQCRNRIDTLRKKFRKEKERLRLAARRAERRADRPSPSKWIYFDKMQSLMSPPSLPLQPPVVTRRRDTQPTPRHSWGLDAAEQMLLLSAGGKAVTRDSGSDAELVEVQINEAGAGKRKDIEMLAESIRKLGDVYERVESSKRQHIDEMDRMRRDLQRDLELRRREILEKAQAEIACLCEEDGVESSDLEDREGEGDDNKRIGDDGSDKEA >LPERR01G24900.1 pep chromosome:Lperr_V1.4:1:21744940:21747347:1 gene:LPERR01G24900 transcript:LPERR01G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQTTAQKSAAAPTGFFRVPGLFVRLTSKGLNAVDPDSVWSPTSPLDFKNLSSSSGSTNVKSSGLLGVEADLKFRTSPPRVGLGLVDALTADDSSSCFGVTNSFLESIKPFLELGLPKAASDAAMPKTSSVGVTLDEIADFAQSEEYTCVIEHGPNPRTTHILGDETLEVCKGVPSVSKKPIFTVEPIGDQPSSLTPAIGAVSRSCCYCRKRLQQDRDIYMYLGEMAFCSNECRRDYIEEEIEEVELMMLDAAGSDAH >LPERR01G24910.1 pep chromosome:Lperr_V1.4:1:21754239:21756917:-1 gene:LPERR01G24910 transcript:LPERR01G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDLQFESTAVQHGQAKLNVEEHALVSLLSDENYTAEKIEDVDSDDYERLEKGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIEVDAVKRHELPRHEVQQVICSLCGTEQEVREVCINCGVCMGKYFCEVCKLFDDDNRWQGEFLSLLQMWMLLFCSVEEQSCYLFESTNGVSVLPCGHTIHVKCLQEMEEHCQFACPLCSKSVCDMSKAWERLDEELATVSDSCDNKMVHILCNDCGATSEVQFHLIAHKCQKCKSYNTRKI >LPERR01G24910.2 pep chromosome:Lperr_V1.4:1:21754239:21756917:-1 gene:LPERR01G24910 transcript:LPERR01G24910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDLQFESTAVQHGQAKLNVEEHALVSLLSDENYTAEKIEDVDSDDYERLEKGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIEVDAVKRHELPRHEVQQVICSLCGTEQEVREVCINCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGNASYLFESTNGVSVLPCGHTIHVKCLQEMEEHCQFACPLCSKSVCDMSKAWERLDEELATVSDSCDNKMVHILCNDCGATSEVQFHLIAHKCQKCKSYNTRKI >LPERR01G24910.3 pep chromosome:Lperr_V1.4:1:21754239:21756917:-1 gene:LPERR01G24910 transcript:LPERR01G24910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDLQFESTAVQHGQAKLNVEEHALVSLLSDENYTAEKIEDVDSDDYERLEKGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIEVDAVKRHELPRHEVQQVICSLCGTEQEVREVCINCGVCMGKYFCEVCKLFDDDVKSVAGRISFTAPNVYLFESTNGVSVLPCGHTIHVKCLQEMEEHCQFACPLCSKSVCDMSKAWERLDEELATVSDSCDNKMVHILCNDCGATSEVQFHLIAHKCQKCKSYNTRKI >LPERR01G24920.1 pep chromosome:Lperr_V1.4:1:21772969:21777926:1 gene:LPERR01G24920 transcript:LPERR01G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGGGGGDDEYRRRMSSSHHQMQGVGVGGGATVEVNLSARRPFAEKVWSDLAETFFPDDPFRGFGALPPARRAWGALKYFVPALDWAPRYGLAKFKYDLLAGVTIASLAIPQGISYARLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIETEVAADEDPQLYLQLFYTAAFFTGLFQTVLGIFRLGLIVDFLSRSTITGFMGGTAMIIILQQLKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGVFAFLVKGDEHGIPIVGNLKKGLNPLSISQLTFQSRHIKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKAKEFCHLYKVDKFDFCICMVAFIGVVFFTMVTGLGASVGLSVVRALLYVARPTTCKLGNIAGSETFRDVKQYPHAKSIPGILVLQLGSPIYFINAGYLRERILRWVEDEENLCKGVGHDLQYLVLDLGGVTSVDNSGIGMLLEIHKSLERRGMMIALTNPRLEVTEKLVLSGYIRDVLGEEWVFLTVKDAITACRYALQTSRSKGENEV >LPERR01G24930.1 pep chromosome:Lperr_V1.4:1:21778477:21795149:1 gene:LPERR01G24930 transcript:LPERR01G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAEEMEEYESDLDDTPLPAVRRRAAASDDDDDEGGGATGSSAPSSVASSDLDFDSDGQGAAEMYDDDEVYDEEGSEVCDEFEVGGGGVAVGEALEDEGKYGDEVADDLVAALEGKVNYGDEETYGVVAALEDEGKHGGEAEGKADVGAAVGGVEVVKKGSDAQAVPTKGAFYMHDDRDNRNGSQRKKFGDQKLWYPKDDSVWAHDRFYEMNFRNSPIDDERRPRSSFRAWDRGSTHGFDHGYLERTLTPSYDHDDREAYKYLPKESTTLYGNANNYRRVPSKFHTYYDHDDTNLGNVQRGSHTYYGNANGFNSEQDGYGGGVSRPYQPHWESAPLIYSGQNIRCQNEEGSFNAEGGRRPSQTLGFGTEQTVPWKQTYPSNVNAALPTSYHSRSSHQELPMIQRGKARSVMFSKLFTSSVRMAHSSLKSQSRPVYRVETVAPSGRENALDSLCTVATEDIDNPAVNTSASAFDDYIQYSKSSDQGTVYQERVSTQIFCPKPSSTTEIQSQAPSSDEDADTSMSAGSVTSLVSSAENEKLKNEKVDGASFTYDGGHVHGDIRASGLTLGDKCFTRPPAQLPALQFNGQHPGGPDAPFIGMTLPRFVAQQQLSISSEMSQMTWLPISSSATTALGATHNPLNFCNYFPPPFGPSLASPRDHVTEAPVSQEIPDVLGRQLGQRQNRTRRYSEMNFASFTY >LPERR01G24930.2 pep chromosome:Lperr_V1.4:1:21788224:21795149:1 gene:LPERR01G24930 transcript:LPERR01G24930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHSHVDVALDSLLAESFDTRTGMPGGEEVRRRTDEQPASILAWKRCGITGFPPRARRRGDGSGNRRAFGASALALRDCRFLPPWAAHWRGRDNSCVVSSRLSQAAACGGCKELEMGRRVGHWWWWWSEALEILHFQGLRLWMGRCELLTGLRFGPSSTGAFAAAAVSQTHPWCPSPRRIGRLRWRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISDALEEVSFRLELTTPACPVKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPVYAGELPEGMQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMIYL >LPERR01G24930.3 pep chromosome:Lperr_V1.4:1:21778477:21784033:1 gene:LPERR01G24930 transcript:LPERR01G24930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAEEMEEYESDLDDTPLPAVRRRAAASDDDDDEGGGATGSSAPSSVASSDLDFDSDGQGAAEMYDDDEVYDEEGSEVCDEFEVGGGGVAVGEALEDEGKYGDEVADDLVAALEGKVNYGDEETYGVVAALEDEGKHGGEAEGKADVGAAVGGVEVVKKGSDAQAVPTKGAFYMHDDRDNRNGSQRKKFGDQKLWYPKDDSVWAHDRFYEMNFRNSPIDDERRPRSSFRAWDRGSTHGFDHGYLERTLTPSYDHDDREAYKYLPKESTTLYGNANNYRRVPSKFHTYYDHDDTNLGNVQRGSHTYYGNANGFNSEQDGYGGGVSRPYQPHWESAPLIYSGQNIRQVELHCLPAYSEHLPESNTMCQNEEGSFNAEGGRRPSQTLGFGTEQTVPWKQTYPSNVNAALPTSYHSRSSHQELPMIQRGKARSVMFSKLFTSSVRMAHSSLKSQSRPVYRVETVAPSGRENALDSLCTVATEDIDNPAVNTSASAFDDYIQYSKSSDQGTVYQERVSTQIFCPKPSSTTEIQSQAPSSDEDADTSMSAGSVTSLVSSAENEKLKNEKVDGASFTYDGGHVHGDIRASGLTLGDKCFTRPPAQLPALQFNGQHPGGPDAPFIGMTLPRFVAQQQLSISSEMSQMTWDHVTEAPVSQEIPDVLGRQLGQRQNRTRR >LPERR01G24940.1 pep chromosome:Lperr_V1.4:1:21795729:21797599:1 gene:LPERR01G24940 transcript:LPERR01G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPSQKDLFEASGPTHLTYVNWNCPHHRRSVMASLVQGVYVMERDRQWNRYGHDARAPAWWRSFHFEMRQALFDAADSSIFGAVYAFQPPYHLFDGAAAASAPHYVVAFRGTVTKKASASRDLALDLQLVRNGLDRTSRFHAAMETINGVVAAVAATGQHYHRVWLAGHSLGSAISTLAGKAMARAGVILPTFLFNAPFPSAPVEKIGDARVRNGIRIANSFVTAGVAAVLQSHGGGGGDAFAALARWVPEVFVNPGDHISCEYVGYFDHRRTMEEIGAGGVGRLATRNSVKDLLLGIGNGVGGSCEPPLHLFPSAVLTVNRGASPDFKTAHGIHQWWRPDLPLECRAYYY >LPERR01G24950.1 pep chromosome:Lperr_V1.4:1:21799649:21807307:1 gene:LPERR01G24950 transcript:LPERR01G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQMDVDGESSGAPGDMDDLEKYAFENESCGICRDIIIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSQFQHITCTPVYDTSGANNDDEYSLTSGDDDWFVQGENTTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLWYHAICVGFNPEIASEDSWLCPRCVSTEVKHKVDSILKPNFSGDFSSGSDRTTTDASFSGRVSVSVADEGETALVVSMVGVHPEIQDGLSGPSLGLKTEQEGFNSNSYQSYSKKNLLSEAVPEQGNMSDVQLEVPYHAPSCSFSQSTKKTENSGEENERCTVIKPPHLSSPARSNNIEMIDAGEVQQMSTPDRQLPTLGESMSDMEVGKYKESGDEIGRPSKRARSEVREQEMNLIENSGEDYKRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSSAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKSADEQAGRNNPSLIKTRRALLQKGKTRENLTKKLYGSSGRRRSAWHRDWEVEFWKHRCSPGVNPEKIETLQSVLQLLKKSSEMDKDIARDKKGVDTNSILSRVYLADASVVPRKDDVKPLSALAGSPPLEQNSQARANNSKAPNKPTPGTETIKISSPSSTGRVSSSSILSKEASSRRENKNIQAASNQQNQSTGDIKHDKRKWALEVLARKNASSITSKDKCEVADDLKGNYPLLAQLPVDMRPQLTTSRHNKVPISIRQAQLYHIAEHYLQKANLAVIRRCADTELAIADAVNVEKDISERSSSKLVYVNLCSQATRQSAKGKSENDAPNLIEKSELDNGLIPQQVSTEDTTICNSATEEALNRTGSSDLPASSGQTVKSEVGKDLVPEQTVGFSNVEEALKMAGLLDSPPNSPDRKNTTIVDLDSEHSKNLQSTSDSMVRDISSPKDADDPSLLIDLHGENGQNLHTVTSHQQSNHNTDEHLKSMLRGETTDATANKIVSVNLDKVGCGAQCGNSNESNKEILANMNKPDEGAGQVIKVPGSELGNQSCQVNSILTEEKMVSKNSDTTEERSFRDNAVLNSRLSDGDKLPIHAAQSGDDSKKPSRDPDNNKSDSSGSIYKKVEVFVKENIRPLCKSGVITVEQYRWAVAKTTDKVVKYHSDAKNANFLIKQGDKVKKLALQYVEAAQQKVT >LPERR01G24950.2 pep chromosome:Lperr_V1.4:1:21799649:21807307:1 gene:LPERR01G24950 transcript:LPERR01G24950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQMDVDGESSGAPGDMDDLEKYAFENESCGICRDIIIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSQFQHITCTPVYDTSGANNDDEYSLTSGDDDWFVQGENTTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLWYHAICVGFNPEIASEDSWLCPRCVSTEVKHKVDSILKPNFSGDFSSGSDRTTTDASFSGRVSVSVADEGETALVVSMVGVHPEIQDGLSGPSLGLKTEQEGFNSNSYQSYSKKNLLSEAVPGAKIIRNSELNLVCTNSSEPTERPLEFSPIRDSATTFSTSEQGNMSDVQLEVPYHAPSCSFSQSTKKTENSGEENERCTVIKPPHLSSPARSNNIEMIDAGEVQQMSTPDRQLPTLGESMSDMEVGKYKESGDEIGRPSKRARSEVREQEMNLIENSGVSPTDDHTTSSAAKAAIHDTSEFLIPSKSAPDIMSIVEGEDYKRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSSAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKSADEQAGRNNPSLIKTRRALLQKGKTRENLTKKLYGSSGRRRSAWHRDWEVEFWKHRCSPGVNPEKIETLQSVLQLLKKSSEMDKDIARDKKGVDTNSILSRVYLADASVVPRKDDVKPLSALAGSPPLEQNSQARANNSKAPNKPTPGTETIKISSPSSTGRVSSSSILSKEASSRRENKNIQAASNQQNQSTGDIKHDKRKWALEVLARKNASSITSKDKCEVADDLKGNYPLLAQLPVDMRPQLTTSRHNKVPISIRQAQLYHIAEHYLQKANLAVIRRCADTELAIADAVNVEKDISERSSSKLVYVNLCSQATRQSAKGKSENDAPNLIEKSELDNGLIPQQVSTEDTTICNSATEEALNRTGSSDLPASSGQTVKSEVGKDLVPEQTVGFSNVEEALKMAGLLDSPPNSPDRKNTTIVDLDSEHSKNLQSTSDSMVRDISSPKDADDPSLLIDLHGENGQNLHTVTSHQQSNHNTDEHLKSMLRGETTDATANKIVSVNLDKVGCGAQCGNSNESNKEILANMNKPDEGAGQVIKVPGSELGNQSCQVNSILTEEKMVSKNSDTTEERSFRDNAVLNSRLSDGDKLPIHAAQSGDDSKKPSRDPDNNKSDSSGSIYKKVEVFVKENIRPLCKSGVITVEQYRWAVAKTTDKVVKYHSDAKNANFLIKQGDKVKKLALQYVEAAQQKVT >LPERR01G24960.1 pep chromosome:Lperr_V1.4:1:21807277:21808659:-1 gene:LPERR01G24960 transcript:LPERR01G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKTSLHLVVVLLLLSVSVSNAGHHRPRTLHVPVFPRDAVFPPPPVSTKRNGLLRQRLAADAARYASLVDDTAAASGHDRRLHSPVYTGIPFETGEYFASVGVGTPSTEALLVIDTGSDLVWLQCSPCRRCYAQTGRVFDPRRSATYRRIPCSSTQCRALRYPGCDVGVTGGCEYVIAYGDGSSSTGDLATDRLAFANDTCVHNVTLGCGRDNEGLFDSAAGLLGVGRGRMSISTQVAPAYGRVFEYCLGDRTTAASRTSYLVFGRAADQLPHAAAFTALLTNPRRPSLYYVDMNGFSVGGERVTGFSNASLRLDAATGRGGVVVDSGTAISRFARDAYAALRDAFDARAAAAGMRKLEREYSVFDSCYDLRGRPAASAPPIVLHFAGGADMALPPENYFLPIDGGRQQRRRTAYHCLGFEAADNGLSVIGNVQQQGFRVVFDVEKERIGFAPNGCAV >LPERR01G24970.1 pep chromosome:Lperr_V1.4:1:21809891:21811269:-1 gene:LPERR01G24970 transcript:LPERR01G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSKLAMLQSTARAATRVAAQLGSAYHKALMENNRQLQSKACEAARNAAKQGRAYHEEVMERNKHFVVDPPTVETCQKLSKQLYYTRLASIPSRYESLWKEVDSAKLVWKNKRNLKPEEIGVATLFGLELLGWFAAGEFVGNGFTFSG >LPERR01G24980.1 pep chromosome:Lperr_V1.4:1:21812752:21814792:-1 gene:LPERR01G24980 transcript:LPERR01G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPRTARMALLSAPRAYSAAAGAGTAAPARYAGAPPPAPESKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >LPERR01G24990.1 pep chromosome:Lperr_V1.4:1:21816123:21816959:-1 gene:LPERR01G24990 transcript:LPERR01G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMCELHARGKTIHDVADVLRSAPLDRRVVSAIKACYGLGCDLRILSDANRFFIETILDHHGLRGYFSEINTNPSHVDADGRLRIAPYHDFHAGPHGCGLGICPPNMCKGQVLDRIRASAGVDKRVIYLGDGRGDYCPSLRLGRDDFVMPRRGFPVWELICEDPSLLKAEVHPWADGEEMEETLLRLVGRVLVEVSNKQMPQLDCKLESLPAVAAQDGALPMPMPLRIKN >LPERR01G25000.1 pep chromosome:Lperr_V1.4:1:21819891:21835575:-1 gene:LPERR01G25000 transcript:LPERR01G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSAAAAAEYSALLSLRWGPRTRGRFAVSCRARPGNVSAQQKKKRGKNIAPKQLSSNTKLLPTTGENEQLPNTSSRTSTQPPQQNTSHENDNDGAIGQRDVNNAAIGNEQQERSKDNHFESDVQLQDLGEMIQNMEKNILLLNQARLRAIEDVDRILTEKEALEKKVNILEMKLSKTLATKGNINVDTIGDHLEKFTKEMLIESALSGGSPAHLCESPLFTEMTVLKEENRLLKVDAQFLKTKITELAEAEEFLFKLEKERSLLDATVRELESRFLVAQTDIWKVTPLQYDVWMDKVENLQRMLECLINHVEKYVALLDQHDDLQDKIDELESLLREGETSEFSPYVVELLQKKLEAAKGRHQAGFQETNIHVQVYRQLIEEFQENLGKLIEESDRLEHSASGMSSEFWSHILLMIDGWFLEQKIPNTDANMLREMAWKRDDRICEAYFACRGAKESDVGGLADVVAGLGKALQTKGHLVEIILPKYDCMQLDQITNLKVLDVVIKSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGTAPAPDLSYCGLDVERLDRADRMQDNAHGRINVAKGGIVYSNIVTTGGHGLQDTLKMHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKTFLRKQLGLNTEDTSQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQLQKNNNIRIILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDEKIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFGWDSPASQYEDLYQSSITLHNLNERSKCSGARKEEKNIAQSSSHRSLPASSKGLKVKALHYTLRRRRRSPEIHSLTGDEVGGVGPGVVVDGISQVVGQVLEGALAGNNGLDEETEHGEHGEATVLNLLHLELGKRLGVISETKRVEAAAGVERVDDLAERTTGNAVPLNGTHEHDLASPDCKDALRVDQARVAEVIEAALAEDLLEPDGLAELNAVAGEELREDAPERAEHGPPAVDHLQLTVLGECLGVGREAGGVPTVVTGEFTCEVAWWLAGEWAEVEHAVWAVPRAAGCGGLGRWLAHGDAALAEQVGGLGQLAGESRGGECHGGSRH >LPERR01G25000.2 pep chromosome:Lperr_V1.4:1:21826483:21835575:-1 gene:LPERR01G25000 transcript:LPERR01G25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSAAAAAEYSALLSLRWGPRTRGRFAVSCRARPGNVSAQQKKKRGKNIAPKQLSSNTKLLPTTGENEQLPNTSSRTSTQPPQQNTSHENDNDGAIGQRDVNNAAIGNEQQERSKDNHFESDVQLQDLGEMIQNMEKNILLLNQARLRAIEDVDRILTEKEALEKKVNILEMKLSKTLATKGNINVDTIGDHLEKFTKEMLIESALSGGSPAHLCESPLFTEMTVLKEENRLLKVDAQFLKTKITELAEAEEFLFKLEKERSLLDATVRELESRFLVAQTDIWKVTPLQYDVWMDKVENLQRMLECLINHVEKYVALLDQHDDLQDKIDELESLLREGETSEFSPYVVELLQKKLEAAKGRHQAGFQETNIHVQVYRQLIEEFQENLGKLIEESDRLEHSASGMSSEFWSHILLMIDGWFLEQKIPNTDANMLREMAWKRDDRICEAYFACRGAKESDVMETFLKLTLSGNSSGLHVVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIILPKYDCMQLDQITNLKVLDVVIKSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGTAPAPDLSYCGLDVERLDRADRMQDNAHGRINVAKGGIVYSNIVTTGGHGLQDTLKMHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKTFLRKQLGLNTEDTSQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQLQKNNNIRIILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDEKIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFGWDSPASQYEDLYQSAVAQARGVT >LPERR01G25000.3 pep chromosome:Lperr_V1.4:1:21826956:21835575:-1 gene:LPERR01G25000 transcript:LPERR01G25000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSAAAAAEYSALLSLRWGPRTRGRFAVSCRARPGNVSAQQKKKRGKNIAPKQLSSNTKLLPTTGENEQLPNTSSRTSTQPPQQNTSHENDNDGAIGQRDVNNAAIGNEQQERSKDNHFESDVQLQDLGEMIQNMEKNILLLNQARLRAIEDVDRILTEKEALEKKVNILEMKLSKTLATKGNINVDTIGDHLEKFTKEMLIESALSGGSPAHLCESPLFTEMTVLKEENRLLKVDAQFLKTKITELAEAEEFLFKLEKERSLLDATVRELESRFLVAQTDIWKVTPLQYDVWMDKVENLQRMLECLINHVEKYVALLDQHDDLQDKIDELESLLREGETSEFSPYVVELLQKKLEAAKGRHQAGFQETNIHVQVYRQLIEEFQENLGKLIEESDRLEHSASGMSSEFWSHILLMIDGWFLEQKIPNTDANMLREMAWKRDDRICEAYFACRGAKESDVMETFLKLTLSGNSSGLHVVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIILPKYDCMQLDQITNLKVLDVVIKSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGTAPAPDLSYCGLDVERLDRADRMQDNAHGRINVAKGGIVYSNIVTTGGHGLQDTLKMHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKTFLRKQLGLNTEDTSQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQLQKNNNIRIILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDEKIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFGWDSPASQYEDLYQSAVAQARGVAQT >LPERR01G25000.4 pep chromosome:Lperr_V1.4:1:21826954:21835575:-1 gene:LPERR01G25000 transcript:LPERR01G25000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSAAAAAEYSALLSLRWGPRTRGRFAVSCRARPGNVSAQQKKKRGKNIAPKQLSSNTKLLPTTGENEQLPNTSSRTSTQPPQQNTSHENDNDGAIGQRDVNNAAIGNEQQERSKDNHFESDVQLQDLGEMIQNMEKNILLLNQARLRAIEDVDRILTEKEALEKKVNILEMKLSKTLATKGNINVDTIGDHLEKFTKEMLIESALSGGSPAHLCESPLFTEMTVLKEENRLLKVDAQFLKTKITELAEAEEFLFKLEKERSLLDATVRELESRFLVAQTDIWKVTPLQYDVWMDKVENLQRMLECLINHVEKYVALLDQHDDLQDKIDELESLLREGETSEFSPYVVELLQKKLEAAKGRHQAGFQETNIHVQVYRQLIEEFQENLGKLIEESDRLEHSASGMSSEFWSHILLMIDGWFLEQKIPNTDANMLREMAWKRDDRICEAYFACRGAKESDVMETFLKLTLSGNSSGLHVVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIILPKYDCMQLDQITNLKVLDVVIKSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGTAPAPDLSYCGLDVERLDRADRMQDNAHGRINVAKGGIVYSNIVTTGGHGLQDTLKMHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKTFLRKQLGLNTEDTSQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQLQKNNNIRIILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDEKIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFGWDSPASQYEDLYQSAVAQARGVAQT >LPERR01G25000.5 pep chromosome:Lperr_V1.4:1:21826956:21835575:-1 gene:LPERR01G25000 transcript:LPERR01G25000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSAAAAAEYSALLSLRWGPRTRGRFAVSCRARPGNVSAQQKKKRGKNIAPKQLSSNTKLLPTTGENEQLPNTSSRTSTQPPQQNTSHENDNDGAIGQRDVNNAAIGNEQQERSKDNHFESDVQLQDLGEMIQNMEKNILLLNQARLRAIEDVDRILTEKEALEKKVNILEMKLSKTLATKGNINVDTIGDHLEKFTKEMLIESALSGGSPAHLCESPLFTEMTVLKEENRLLKVDAQFLKTKITELAEAEEFLFKLEKERSLLDATVRELESRFLVAQTDIWKVTPLQYDVWMDKVENLQRMLECLINHVEKYVALLDQHDDLQDKIDELESLLREGETSEFSPYVVELLQKKLEAAKGRHQAGFQETNIHVQVYRQLIEEFQENLGKLIEESDRLEHSASGMSSEFWSHILLMIDGWFLEQKIPNTDANMLREMAWKRDDRICEAYFACRGAKESDVGGLADVVAGLGKALQTKGHLVEIILPKYDCMQLDQITNLKVLDVVIKSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGTAPAPDLSYCGLDVERLDRADRMQDNAHGRINVAKGGIVYSNIVTTGGHGLQDTLKMHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKTFLRKQLGLNTEDTSQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQLQKNNNIRIILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDEKIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFGWDSPASQYEDLYQSAVAQARGVAQT >LPERR01G25010.1 pep chromosome:Lperr_V1.4:1:21819894:21820937:1 gene:LPERR01G25010 transcript:LPERR01G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPALAGKLAKASNLFGEGRITMRKPAAKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >LPERR01G25020.1 pep chromosome:Lperr_V1.4:1:21821614:21822169:1 gene:LPERR01G25020 transcript:LPERR01G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAHHPSMAPPTAHHLDALVAFGRGSRLSASVLTDRLRPRILLSRDAFILRDQLVAALVRHPASGRNPLALPASHSADPSPRPHGVRFSARLLELILLLPDGATDADYLTALPNSHLTAELAAFASDADALRHAPSPSTAPQENTLVWELIRLTEEDRAAAERNIATRV >LPERR01G25030.1 pep chromosome:Lperr_V1.4:1:21822173:21823382:1 gene:LPERR01G25030 transcript:LPERR01G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLATLSLADAVELVCVLRQVEESVSSSPADWKWAGTDEAFVGEVRRLRERAEEVVLRRTEKERRLVGIDAAGSASVPAAAARPSGLAPHGGPKS >LPERR01G25040.1 pep chromosome:Lperr_V1.4:1:21825465:21826250:1 gene:LPERR01G25040 transcript:LPERR01G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAVAGKLAANVFGEGRVTMRKSAAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >LPERR01G25050.1 pep chromosome:Lperr_V1.4:1:21837829:21838740:1 gene:LPERR01G25050 transcript:LPERR01G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRDEPQPRRHSPPALHPAVVPSYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPTLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >LPERR01G25060.1 pep chromosome:Lperr_V1.4:1:21856821:21895325:1 gene:LPERR01G25060 transcript:LPERR01G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLSAVLGDIVSRSISFLIDKCYHHMMGVGVDLQCLHRLLLRIEAIVLDAEGRDITNQAMLRQLQMLREGMYKGYYLVDTIKHGRLQDETIDDVVGGHSSSSPKFRPTKRLCFSSRKFDMAFQEMERIVSFLLQEPLGTESLGVLPIIGPARVGKSTIVEYVCYDERVRSFFSCIVFCSGNDVENKNFADLQDSGVVKHRSCAARERSLLIIEFLEDGDLDEKKWRRLYSSRSCIPRGSKIIITSRSEKFKNAGTTQPLILNFLPPEAYWYFFKVLAFGSTNPDEHPFLASIAMEMVAGMYGCFVCANSTAALFRANSCTQFWRMFIRCHRDIIERHVILFGEHPHILIQNNHNIYIWGNLRDRKIILVDGYKTCARNDVPRVMIHEVQTGITEANGKFEVVLWRSRLPPYHEFVLSCEFQAQQHIMSSPKKKKKWEHESRSTPMEALLSAVLGDLVSRSISFFIDKYYRQKIGMGLTLQCLHRLLLRIESAVLDAEGRDITNQAMLRQLQMLREDVENWKKLCSSRSCISHGSKIIIISRSEGFRNVGTTQPLVLNFLPQEAIWYFFKVLAFGSTNPVEHPFLESSAMELAADLYGCFIGANVFASLLRANFCTQFWRLFLRCYKNIIERHVILFGEHPFTLLQKNHNIYLFENFRDPKLILASSYKTCPINDGPKIMLHEIQTGISKAHGKFEVLIWRSRIPPYHEFVMSCEAQAQQHIIVKRKRPWHKEPEISCVSTPPVRYRFLSHWERVRMEVLLSALLGDLVSRSMSFLIDKYYQQKMGVGVDLQCLQRLLLRIEATVLDAEGRDITNQAMLRQLQMLREGMYKGYYLVDTIKHQKVQHEIVNDEVGDHSTSFPKLRPTKRLRFSSRTSDMTFQEMERIVSFLLQEPLGMESLGVLPIIGPARVGKSTIVEHLCYDERVRSFFSSIVFCNGNDVESKSFAELQDSGLVKHRSCAARERSLIIIEFLDEGDLDEKNWRRLYSSRSSISRGSKVIITSRSKRFENVGTTQPLMLNFLPPEAYWYFFKVITFGSTNPEEHPFLASIAMEMAAQMFGCFVGANAFASLFRANFCTQFWRMFLRWHRDLVERHFILFGEHPHILIHKNHNVYVCGNLRDKKIILVNGYKTCARNDAPRIMLHEIQTGIAEAHGKFEVVLWRSRLPPYNEYVLSCELQSQEHIMAKRKRNCHWGDISSSEGWVTEMETFLPAILSDLLGRSISFLVQRYRQQSSVQDDLEKLRLALVRVHVTVEEAESRHITNQAMLRQLDVLREAMYNGYYMLDALTYRAHSEEEEASCSSSSSSFAPSRFNTAKRLRLLAVDDDTAQLRRMVDNLGRMISDMREFIVFLKGYPRIRSQPYSAHLLLDKLMFGREKEVEQVIGFLLQPDVSGSGAGVLHIVGVARVGKSTLVEHVCHDERVHGRFSSVVCLSREDLEDMGDHRDLIVKHGSRASKGSSLVVLDLADDEEPLDNGAWRRLRSSAMCRAIGSKIIVTSRSAETVRNINPACAIELKFLHGDAYWYFFKTLAFGSVNPNDHPRLASIAMDISAEQKGGFIGATIASSLMRTNLDAHFWSLILKNMREYTQKHRVLFGKHPHELMRKNHPVYLWRMAESDSEIFLCHGFYTACSAKQEIPRVTFQEVLSGRVSPHGRFEVLAWRSQIPPCRSYLMSCSLEKPPGPPPHVLDNKKRPRTQGLVGTQKTTFTLFSFFHSQKFIMETFISVVLGDLIRRSISFLTNRYYWKQEGLDYHPKSRDITMNAFFSAVLGDLLGRSISFMLDKCYWQHQGVEENLQRLHHLLLRIEAIVEEADNRHIANQAMRLQLRVVRDVVYRGYYFVDNFKYRNIQGHAKDEEFVVFVSSYPRMSRQPYCGYLLLENSMFGRQAEQERIINFLLEPHHPADAEDISVLPIIGPGRVGKSTLVEHVCRDERTGLIKHRNPVSVEQSLAVIELIDGMDDETWRRILNKLRGHHLGPVSKIILTSSSNKIATFGTTEALQLHFLPKEAFWYFFKTIAFGSTNPEEEPNLASICMEIATMVKGSFMATHIVGSILKSNRRAQFLHRFLECLKYYIDMHICVLGEHPCDAYRRKSGLTYIWTPRNLRVTAATYIRYQASPAQLADLPMILSSDVLSGNVEPPEKFDVLDWQSSIPPYYSYVTHYEPEPHRRAHRVDGTGANQIRCGNQPAFTMPRHHLDVSPPPNAVIRCRRALPSAAACRSLSSLHSAASRRSSTPAFALPPCPARSSNGELGSGQGGARTSGRPRAAFEAVPAVAPPPPSSRPAGFAGSFSGGSKAEGGVGGGGGTRVWSRRPSRLRRVMRGLSVTLAVGMG >LPERR01G25060.2 pep chromosome:Lperr_V1.4:1:21873845:21895325:1 gene:LPERR01G25060 transcript:LPERR01G25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSALLGDLVSRSMSFLIDKYYQQKMGVGVDLQCLQRLLLRIEATVLDAEGRDITNQAMLRQLQMLREGMYKGYYLVDTIKHQKVQHEIVNDEVGDHSTSFPKLRPTKRLRFSSRTSDMTFQGEEEVGKMLDSLHSLADDMKEFVVFMKGYPHICRQPYSQHLVLEKCMFGRQAEMERIVSFLLQEPLGMESLGVLPIIGPARVGKSTIVEHLCYDERVRSFFSSIVFCNGNDVESKSFAELQDSGLVKHRSCAARERSLIIIEFLDEGDLDEKNWRRLYSSRSSISRGSKVIITSRSKRFENVGTTQPLMLNFLPPEAYWYFFKVITFGSTNPEEHPFLASIAMEMAAQMFGCFVGANAFASLFRANFCTQFWRMFLRWHRDLVERHFILFGEHPHILIHKNHNVYVCGNLRDKKIILVNGYKTCARNDAPRIMLHEIQTGIAEAHGKFEVVLWRSRLPPYNEYVLSCELQSQEHIMAKRKRNCHWGDISSSEGWVTEMETFLPAILSDLLGRSISFLVQRYRQQSSVQDDLEKLRLALVRVHVTVEEAESRHITNQAMLRQLDVLREAMYNGYYMLDALTYRAHSEEEEASCSSSSSSFAPSRFNTAKRLRLLAVDDDTAQLRRMVDNLGRMISDMREFIVFLKGYPRIRSQPYSAHLLLDKLMFGREKEVEQVIGFLLQPDVSGSGAGVLHIVGVARVGKSTLVEHVCHDERVHGRFSSVVCLSREDLEDMGDHRDLIVKHGSRASKGSSLVVLDLADDEEPLDNGAWRRLRSSAMCRAIGSKIIVTSRSAETVRNINPACAIELKFLHGDAYWYFFKTLAFGSVNPNDHPRLASIAMDISAEQKGGFIGATIASSLMRTNLDAHFWSLILKNMREYTQKHRVLFGKHPHELMRKNHPVYLWRMAESDSEIFLCHGFYTACSAKQEIPRVTFQEVLSGRVSPHGRFEVLAWRSQIPPCRSYLMSCSLEKPPGPPPHVLDNKKRPRTQGLVGTQKTTFTLFSFFHSQKFIMETFISVVLGDLIRRSISFLTNRYYWKQEGLDYHPKSRDITMNAFFSAVLGDLLGRSISFMLDKCYWQHQGVEENLQRLHHLLLRIEAIVEEADNRHIANQAMRLQLRVVRDVVYRGYYFVDNFKYRNIQGHAKDEEFVVFVSSYPRMSRQPYCGYLLLENSMFGRQAEQERIINFLLEPHHPADAEDISVLPIIGPGRVGKSTLVEHVCRDERTGLIKHRNPVSVEQSLAVIELIDGMDDETWRRILNKLRGHHLGPVSKIILTSSSNKIATFGTTEALQLHFLPKEAFWYFFKTIAFGSTNPEEEPNLASICMEIATMVKGSFMATHIVGSILKSNRRAQFLHRFLECLKYYIDMHICVLGEHPCDAYRRKSGLTYIWTPRNLRVTAATYIRYQASPAQLADLPMILSSDVLSGNVEPPEKFDVLDWQSSIPPYYSYVTHYEPEPHRRAHRVDGTGANQIRCGNQPAFTMPRHHLDVSPPPNAVIRCRRALPSAAACRSLSSLHSAASRRSSTPAFALPPCPARSSNGELGSGQGGARTSGRPRAAFEAVPAVAPPPPSSRPAGFAGSFSGGSKAEGGVGGGGGTRVWSRRPSRLRRVMRGLSVTLAVGMG >LPERR01G25060.3 pep chromosome:Lperr_V1.4:1:21879867:21895325:1 gene:LPERR01G25060 transcript:LPERR01G25060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYITLRLACMIAFPLSITSYHPKSRDITMNAFFSAVLGDLLGRSISFMLDKCYWQHQGVEENLQRLHHLLLRIEAIVEEADNRHIANQAMRLQLRVVRDVVYRGYYFVDNFKYRNIQGHAKDEEFVVFVSSYPRMSRQPYCGYLLLENSMFGRQAEQERIINFLLEPHHPADAEDISVLPIIGPGRVGKSTLVEHVCRDERTGLIKHRNPVSVEQSLAVIELIDGMDDETWRRILNKLRGHHLGPVSKIILTSSSNKIATFGTTEALQLHFLPKEAFWYFFKTIAFGSTNPEEEPNLASICMEIATMVKGSFMATHIVGSILKSNRRAQFLHRFLECLKYYIDMHICVLGEHPCDAYRRKSGLTYIWTPRNLRVTAATYIRYQASPAQLADLPMILSSDVLSGNVEPPEKFDVLDWQSSIPPYYSYVTHYEPEPHRRAHRVDGTGANQIRCGNQPAFTMPRHHLDVSPPPNAVIRCRRALPSAAACRSLSSLHSAASRRSSTPAFALPPCPARSSNGELGSGQGGARTSGRPRAAFEAVPAVAPPPPSSRPAGFAGSFSGGSKAEGGVGGGGGTRVWSRRPSRLRRVMRGLSVTLAVGMG >LPERR01G25060.4 pep chromosome:Lperr_V1.4:1:21856821:21879938:1 gene:LPERR01G25060 transcript:LPERR01G25060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLSAVLGDIVSRSISFLIDKCYHHMMGVGVDLQCLHRLLLRIEAIVLDAEGRDITNQAMLRQLQMLREGMYKGYYLVDTIKHGRLQDETIDDVVGGHSSSSPKFRPTKRLCFSSRKFDMAFQEMERIVSFLLQEPLGTESLGVLPIIGPARVGKSTIVEYVCYDERVRSFFSCIVFCSGNDVENKNFADLQDSGVVKHRSCAARERSLLIIEFLEDGDLDEKKWRRLYSSRSCIPRGSKIIITSRSEKFKNAGTTQPLILNFLPPEAYWYFFKVLAFGSTNPDEHPFLASIAMEMVAGMYGCFVCANSTAALFRANSCTQFWRMFIRCHRDIIERHVILFGEHPHILIQNNHNIYIWGNLRDRKIILVDGYKTCARNDVPRVMIHEVQTGITEANGKFEVVLWRSRLPPYHEFVLSCEFQAQQHIMSSPKKKKKWEHESRSTPMEALLSAVLGDLVSRSISFFIDKYYRQKIGMGLTLQCLHRLLLRIESAVLDAEGRDITNQAMLRQLQMLREGEEEVGKMLDSLHSLADDMKEFVVFMKGYPHICRQPYSQHLVLEKCMFGRQAEMERIVSFLLQEPLGMESLGVLPIIGPARVGKSTIVEHLCYDERVRSFFSSIVFCNGNDVESKSFAELQDSGLVKHRSCAARERSLIIIEFLDEGDLDEKNWRRLYSSRSSISRGSKVIITSRSKRFENVGTTQPLMLNFLPPEAYWYFFKVITFGSTNPEEHPFLASIAMEMAAQMFGCFVGANAFASLFRANFCTQFWRMFLRWHRDLVERHFILFGEHPHILIHKNHNVYVCGNLRDKKIILVNGYKTCARNDAPRIMLHEIQTGIAEAHGKFEVVLWRSRLPPYNEYVLSCELQSQEHIMAKRKRNCHWGDISSSEGWVTEMETFLPAILSDLLGRSISFLVQRYRQQSSVQDDLEKLRLALVRVHVTVEEAESRHITNQAMLRQLDVLREAMYNGYYMLDALTYRAHSEEEEASCSSSSSSFAPSRFNTAKRLRLLAVDDDTAQLRRMVDNLGRMISDMREFIVFLKGYPRIRSQPYSAHLLLDKLMFGREKEVEQVIGFLLQPDVSGSGAGVLHIVGVARVGKSTLVEHVCHDERVHGRFSSVVCLSREDLEDMGDHRDLIVKHGSRASKGSSLVVLDLADDEEPLDNGAWRRLRSSAMCRAIGSKIIVTSRSAETVRNINPACAIELKFLHGDAYWYFFKTLAFGSVNPNDHPRLASIAMDISAEQKGGFIGATIASSLMRTNLDAHFWSLILKNMREYTQKHRVLFGKHPHELMRKNHPVYLWRMAESDSEIFLCHGFYTACSAKQEIPRVTFQEVLSGRVSPHGRFEVLAWRSQIPPCRSYLMSCSLEKPPGPPPHVLDNKKRPR >LPERR01G25070.1 pep chromosome:Lperr_V1.4:1:21897989:21898339:-1 gene:LPERR01G25070 transcript:LPERR01G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGPRARRKWVGGPSGSSSLTQIGRWAEAPWIPANGGARGATSNTGRASRQRGIESPARISRIVSGTMGHHRRWITGVGKRNDASGEPEIDSRRSEHGVDHGSTLRPMARAKEQ >LPERR01G25080.1 pep chromosome:Lperr_V1.4:1:21904619:21906127:1 gene:LPERR01G25080 transcript:LPERR01G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFIIDRHYRQHQDVEENLQRLHRLLLRIQTIIEEADSRHIKNQAMQLQLRMARDAMYRGYYFLDNFRYRVVQVQDKDEVSDPSLDLSPFSPLKRFCFSSRRRNMISQVLEKKELQKMLDRLEIIVSDMQEFVVFVSSYPRMSRQPYCSYLLLENCMFGRQAEHERIINFLLQPNHPGEKGINVLPIIGPVRVGKSTLVEYVCHDERVRKCFSTIVFYSPDSIGSGDLALLTDTGVIKHRDPTSTEQSLAIIELVDDMDDETWRKILHSLGEDHAAPVNKIILTSQSSKIGTFGTTDALQLDFLPDEAFWYFFKTIAFGSTNPKDEPKLTSICMEIATMAKGSFMSALIFGSILRSNLSAQYWSRFLECFRYYTDMHIRVLGEHPNDAYAKRTGVTYLWNPRDKRVVTATYNLHQASSAQLADLPMLVSTDILTGTVEPPEKFDVLDWRSSIPPYYKYMSHYEIPAQPPIMVHKRKRSRRRLEQLV >LPERR01G25090.1 pep chromosome:Lperr_V1.4:1:21907239:21910160:-1 gene:LPERR01G25090 transcript:LPERR01G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNMFDILGADDNDDPSQLLAAAAAAAQKAEAKKAAAAAAAPAGKAAQTAAAAKIPTKPAPPTQAARETRGGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGDNTNGYQGGYGGGGYGDGAVTAGGEGDRERAPRAPYHGGRRGGYRNGESGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEENAPIAEKQGEQDDAPTGDNKDNKETAANEEEEKEEDKEMTLEEFEKLREEKRKALLALKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKESADRDERAKKSLSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQYGSYSKSAPSIEDQAQFPSLGGK >LPERR01G25100.1 pep chromosome:Lperr_V1.4:1:21913878:21918266:1 gene:LPERR01G25100 transcript:LPERR01G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARAVRRLLVSSRRLHANIPGKTIIGENNVIGHHTVVGVKCQDLKYKFIGDNNLIMGSCHIAHDCRIGNNNIFANSTLFAGHVVVEDFTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDKEVRMLRKAYRKIFMPTIDSQSSFEDRLAESEWETELSETPVSSMLESIRMSFGQGRRGICKYRSWNH >LPERR01G25100.2 pep chromosome:Lperr_V1.4:1:21913878:21918266:1 gene:LPERR01G25100 transcript:LPERR01G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARAVRRLLVSSRRLHANIPGKTIIGENNVIGHHTVVGVKCQDLKYKFIGDNNLIMGSCHIAHDCRIGNNNIFANSTLFAGHVVVEDFTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDKEVRMLRKAYRKIFMPTIDSQSSFEDRLAESEWETELSETPVSSMLESIRMSFGQGRRGICKYRSWNH >LPERR01G25100.3 pep chromosome:Lperr_V1.4:1:21913878:21917332:1 gene:LPERR01G25100 transcript:LPERR01G25100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARAVRRLLVSSRRLHANIPGKTIIGENNVIGHHTVVGVKCQDLKYKFIGDNNLIMGSCHIAHDCRIGNNNIFANSTLFAGHVVVEDFTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDKEVRMLRKAYRKIFMPTIDSQSSFEDRLAESEWETELSETPVSSMLESIRMSFGQGRRGICKYRSWNH >LPERR01G25120.1 pep chromosome:Lperr_V1.4:1:21930753:21942899:-1 gene:LPERR01G25120 transcript:LPERR01G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLYFKNNNGSAMYPDDPYDRIWTPYPYEGAGWRELTTSGTIKRGGDQDKFRTPTIVLQTAVTPVNSTVLRFLRWLPEANALSPPSYFPIVNFVELVDLASNASRLFNPIINGVIRSDHPAKPDYLSDNYLYFDSPLWQMDHYEYEFVATSNATLPPIVNAANVYSIVELPNPMTDEVQARDLSYNNLTGTIPGFLSELQFLRVLNLSRNHFTGAIPDTLLKKANSGFLTFRFDCTDATLCVPPPVPQSKIERSKASIIIVEKYSYQFTRVIGRGGFGVVYLGHLEDGSEVAVKAKSLSQVHHRNLVFLVGYCNDGDNLALIYEYMAQGSLHNYLRGKNRIARHLNWELRLKISLDAAQGLDYLHTGCIMVHRDVKSSNILLDQNLHAKVADFGLVKIFGGDAHMSLTSTISGTPGYIDPEYQTTANLSDKSDVYSFSVVLLEMVTGKPPIMNNQVTPHISDFVSQMLAKGALENIVDPRLHGNIAMKYTAISYTARDSNERPAMSEVVTVLKGCLVLESAHAQGKRSTGIESAGVSQASMEPEPGSRSVSFGPSARDTTATSSLGGHQQEEHSSKNTKAYLMA >LPERR01G25130.1 pep chromosome:Lperr_V1.4:1:21946264:21947041:-1 gene:LPERR01G25130 transcript:LPERR01G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWGCVVYVLALLMAATDHVAHVHSQPSTQVFTDSACPCRTSAGFISIDCGLADGAGYNDVTNLWYILDGQYIDRGSNANVAASYQSSALPRQLATVRSFPEGARSCYALQPVVAGGKYLVRATFLYVNYDGLQSAQAGKPPLRFDLHLGAKPPTSGAA >LPERR01G25140.1 pep chromosome:Lperr_V1.4:1:21949621:21949983:1 gene:LPERR01G25140 transcript:LPERR01G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGFFALQPLLSRDPLFLARVPWRLPVRILMDTYLALIFVIRGYTHMYLPRAPVDVDENIVVYGICVVGGVALVTTTTILGGAVEDSRVVMACACFLAVLIAGLLAYWAWLVRKYGDN >LPERR01G25150.1 pep chromosome:Lperr_V1.4:1:21952053:21955475:1 gene:LPERR01G25150 transcript:LPERR01G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSFGNAHKLIASLEAGKDKPFAIAISAVLEMDDTEALEITFGVIGIAMVLVCQGFQLVTSVDYNCIAGDGTAGPDMVSENLNPPQAHNQHREAELLGEETVKDNGMEESLSEPFESTNDKESIQMQARHATEIEANGNHINEHQAVAPTQGLGRLIWATLQLQSIRGMKFRGDEEAGFRDNEAHNALPLHMLFSLYNRTRTMLSATFVGRKQWPNLGLSRSGVD >LPERR01G25160.1 pep chromosome:Lperr_V1.4:1:21956612:21957559:1 gene:LPERR01G25160 transcript:LPERR01G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPRRRPPPTTIGEAYPNVRRFEALKWIGFLLIVSFMFAVGLYTLRLIEIVADDPLYLARVPWRLPVRVLFDSYVSLIMVIREYTIMYLPGAPLTVEENLVLFGLCCVGGVALVMMATVLGIPVEDSRVVMACAGVLAILDVGLLVYWAWLVRKYGDKPVNTSARQ >LPERR01G25170.1 pep chromosome:Lperr_V1.4:1:21960464:21966943:1 gene:LPERR01G25170 transcript:LPERR01G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAALASSAMVAAVVVVSTVLHQRQRRASKRSERAEAVLRDLLDRCAAPVELLRQIADAMAADMRAGLAAEGGSDLQMLVTYVDSLPSGGEKGMFYALDLGGTNFRVLRIQLGGREQRIIRQESKGISIPQHLMSSSSHELFNFIAAALAKFVASEGEDCHLPEGIQRELGFTFSFPVKQTSLASGTLIKWTKSFAIDEMVGKDVVAELNMAIKLQGLDMKVTALVNDTVGTLAAGRYLDHDTIAAVILGTGSNAAYIEHAHAIPKWHAPLPKSGDMVINMEWGNFKSSHFPLTQFDQELDAESLNPGEQIYEKLISGMYMGEIVRRILLKMAQEAAIFGDNIPPKLERPYILRFTLHTRTIDMLMMHHDTSSDLRTVASKLKEILGIKCTSLRTRKLIVDVCEAIATRGARLAAAGIYSIIQKHSQHSVIPDTKRSVIAVDGGVYKYYTFFGQCMESTLSDMLGPELASCVVIKPVNDGSGVGAALLAASYSRFHQADE >LPERR01G25180.1 pep chromosome:Lperr_V1.4:1:21964888:21967865:-1 gene:LPERR01G25180 transcript:LPERR01G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRASMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIISQDVAGDVRMTDTRADEAERGITIKSTGISLHYEMTDESLKRFKGKREGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLGERIKPVLTVNKMDRCFLELQQDGEEAYQAFARVIENVNVTMSPYEDEKLGSCLVAPEKGTVAFSAGLHGWAFTLSSFAKMYVAKFKVDEAKMMERLWGENYYDAATRKWSNAKNTASPTCQRGFVQFCYEPIRRIISTCMNDDKEKLWQMLDKLKVTLKAEDKELTGKKLMKRVMQAWLPASDALLEMIVFHLPSPATAQQYRVENLYEGPLDDPYATAIRNCDPNGPLMVYVSKMIPASDSGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVADVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNAAELPKLVEGLKRLSKSDPLVVCTIEESGEHVIAGVGQLHLEICLKDLQDDFMGGTDIIVGPPIITYRETVTTNSSHTVMSKSPNKHNRLYMEARPLDSADLDPQQPTVAKTIDDERIGPKDDIKERGKILSEEFGWDKDLARKIWAFGPDTKGPNMFVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRGVCFELCDVMLHSDSIHRGGGQIIPTSRRAMYAAQLTASPRLMQPMYLVDIQVPQTVAGNVYTVAYNSGGELKEEANRVGTPLANLKFYLPVARSFDFTDKLRAATSGQAFPQCVFDHWKIMPCDPFQAGSDSGKVIADIRKRKGLKDIITPLSEYEDKL >LPERR01G25190.1 pep chromosome:Lperr_V1.4:1:21969567:21971519:1 gene:LPERR01G25190 transcript:LPERR01G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGGAMSSTPRPPRGIREETLLRVPGASVHLLPGGAEPPVELARGDLAVVRITKDGVAVATVARVGRELGWPITRDEPVVRLDRLHYLFTLPADVRDHDYGAGAFLNYGVSFAAAPDDALLASLDAVLKANACFSTPSAAAAAKAKGSAARPPTTADGYWNDYAPRMEGYNNVLAKAIGAGTGQLVRGIFMCSEAYASQVQHGADLIRPQAAGSASKRFSSAGGGAERTGQAGVKRGGVNTSLKRQVINKPIPCFPLLLCDCMQCMMLLVLVLLFFRVRKLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSNQGKAFLATVPGEVILASIDAINKVMDAVEAAERRSLAATSNVVAGAMSRRYGESAGEATEDAFATAGHAVGTAWNIFKIRKAVTPSSSLPGNMVKSAVRNRK >LPERR01G25190.2 pep chromosome:Lperr_V1.4:1:21969567:21971519:1 gene:LPERR01G25190 transcript:LPERR01G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGGAMSSTPRPPRGIREETLLRVPGASVHLLPGGAEPPVELARGDLAVVRITKDGVAVATVARVGRELGWPITRDEPVVRLDRLHYLFTLPADVRDHDYGAGAFLNYGVSFAAAPDDALLASLDAVLKANACFSTPSAAAAAKAKGSAARPPTTADGYWNDYAPRMEGYNNVLAKAIGAGTGQLVRGIFMCSEAYASQVQHGADLIRPQAAGSASKRFSSAGGGAERTGQAGVKRGGLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSNQGKAFLATVPGEVILASIDAINKVMDAVEAAERRSLAATSNVVAGAMSRRYGESAGEATEDAFATAGHAVGTAWNIFKIRKAVTPSSSLPGNMVKSAVRNRK >LPERR01G25200.1 pep chromosome:Lperr_V1.4:1:21971144:21973697:-1 gene:LPERR01G25200 transcript:LPERR01G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKTAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKEKLARIYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKNCKTRRHFLFLTALFTMFPGRDEDGVTAFRILKMFQAVPTAWPAVANASSVASPALSPYLPKQS >LPERR01G25210.1 pep chromosome:Lperr_V1.4:1:21978290:21983284:1 gene:LPERR01G25210 transcript:LPERR01G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQEYSDFLHEFMAAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVSNLFDAVNAIKPSVLIGTSGKGQTFTQEVVEAISSFNERPIILALSNPTSQSECTAEQAYTWSKANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPVYRNYR >LPERR01G25210.2 pep chromosome:Lperr_V1.4:1:21977798:21983284:1 gene:LPERR01G25210 transcript:LPERR01G25210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQEYSDFLHEFMAAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVSNLFDAVNAIKPSVLIGTSGKGQTFTQEVVEAISSFNERPIILALSNPTSQSECTAEQAYTWSKANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPVYRNYR >LPERR01G25220.1 pep chromosome:Lperr_V1.4:1:21984835:21988794:1 gene:LPERR01G25220 transcript:LPERR01G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKSLKESSMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRDLEFVVFRYDGNTHFTAMVFDRTACEREDLVGSGGGDRPRKKRGRPRNASRDAPRPKKDSVGKELVAYNHAPPTGQPLQIADSNGTPEQGSTAVKNEEGDDDDEIVLCALPAPSPSPSSTQHASPNPSHVPEGALGVDGVAAAKRGALKTRSLQDDLALASIPLSIRRYKGYVSRRRPVTTAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPTGKAWAVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAGEFRVHIFRVVEPPVPAVRLRRVTVSV >LPERR01G25230.1 pep chromosome:Lperr_V1.4:1:21990081:21995135:1 gene:LPERR01G25230 transcript:LPERR01G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAIGAIFHTVSVETGRARAVGRAEDVPRRVLFHCEEMRELAEQVVARNDDIELRSISWRKFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQQLPDSDNITIAFPDDGAWKRFYKQLQHFPMIVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPKNSWEKFQPDNGEGPEHGLSHFWITDSCPLTVDAVKDRRPFEILSLAGPIASALQI >LPERR01G25230.2 pep chromosome:Lperr_V1.4:1:21990081:21995135:1 gene:LPERR01G25230 transcript:LPERR01G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAIGAIFHTVSVETGRARAVGRAEDVPRRVLFHCEEMRELAEQVVARNDDIELRSISWRKFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQQLPDSDNITIAFPDDGAWKRFYKQLQHFPMIVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPKNSWEKFQPDNGEGPEHGLSHFWITDSCPLTVDAVKDRRPFEILSLAGPIASALQI >LPERR01G25230.3 pep chromosome:Lperr_V1.4:1:21990820:21995135:1 gene:LPERR01G25230 transcript:LPERR01G25230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASVSPAAFGAKTPSASPALSHASAFPRPSPRATSSALHASLHLGGASATSSSSSRGSVVGNGSGIHLAAPVLAPLAVPKISRGGSHKSVLLFHCEEMRELAEQVVARNDDIELRSISWRKFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQQLPDSDNITIAFPDDGAWKRFYKQLQHFPMIVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPKNSWEKFQPDNGEGPEHGLSHFWITDSCPLTVDAVKDRRPFEILSLAGPIASALQI >LPERR01G25230.4 pep chromosome:Lperr_V1.4:1:21990081:21991053:1 gene:LPERR01G25230 transcript:LPERR01G25230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAIGAIFHTVSVETGRARAVGRAEDVPRRVLFHCEEMRELAEQVVARNDDIELRSISWSIHTTTPPPRRHPPRARGTHPTTTGLTPVRRCAYAAACSRAAQAINHANSRQKNPGTIARATSVCNARAQQQSR >LPERR01G25240.1 pep chromosome:Lperr_V1.4:1:21995438:22003529:1 gene:LPERR01G25240 transcript:LPERR01G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRHHFMKVMVGEFTRRLEIPHDFLVHIPEVATNTSDTSLPSSAKGTLQNFEGKTWPVELEKLDGRVYLTTGWTKFVEDNFLRKFEFLLFRYDENMHFMVLPFSLNACEKVIRSSGSPEGKLPYDIFCSNERVQNGRLTEAVNSLTPSHSQIVTLQRSAQGHEHISAQSCPDQHETCLLKNGLDEHLSLKGYMEDDKANAIAEVMRTLDVDKVTVELFCAMLGFYKWNVDAVAEVFDICKGKPHIQNQFLKHKLLFQFDTVKRKLRNFFLPDDYYSSPIPKSRKSGLEDPKLSNQSLQRDLTAVSCRLVDECSFQDSEQVKTAHDEVDLEPRNSQGENEGNLDKVNNKEIGEEQTEINAVETFESLMRSIESSPTNCEVSAYSRLNGLCLTWKPTEHVDPLEKVLLDIQRDNFVKTISHVQGIMRNHPSDLLTADVITTVVQKEILKWDHCLEDRDAQRIVNALLEHAKKIKEMHNFNLELRKEEFSAKLQVQLKWQLKEVETAYTSLELDYKKATSDDNAAFSSLHEQKKKLHNLQNEITGLQQSLEMKKDEMQKLSHQIAEHESVFQKSLMERIRIKEVMKSYEQTLAEVKVRLTSTEVGSIDVEALVKVEMDNMTKEIELSKESLLNITFK >LPERR01G25240.2 pep chromosome:Lperr_V1.4:1:21995438:22005586:1 gene:LPERR01G25240 transcript:LPERR01G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRHHFMKVMVGEFTRRLEIPHDFLVHIPEVATNTSDTSLPSSAKGTLQNFEGKTWPVELEKLDGRVYLTTGWTKFVEDNFLRKFEFLLFRYDENMHFMVLPFSLNACEKVIRSSGSPEGKLPYDIFCSNERVQNGRLTEAVNSLTPSHSQIVTLQRSAQGHEHISAQSCPDQHETCLLKNGLDEHLSLKGYMEDDKANAIAEVMRTLDVDKVTVELFCAMLGFYKWNVDAVAEVFDICKGKPHIQNQFLKHKLLFQFDTVKRKLRNFFLPDDYYSSPIPKSRKSGLEDPKLSNQSLQRDLTAVSCRLVDECSFQDSEQVKTAHDEVDLEPRNSQGENEGNLDKVNNKEIGEEQTEINAVETFESLMRSIESSPTNCEVSAYSRLNGLCLTWKPTEHVDPLEKVLLDIQRDNFVKTISHVQGIMRNHPSDLLTADVITTVVQKEILKWDHCLEDRDAQRIVNALLEHAKKIKEMHNFNLELRKEEFSAKLQVQLKWQLKEVETAYTSLELDYKKATSDDNAAFSSLHEQKKKLHNLQNEITGLQQSLEMKKDEMQKLSHQIAEHESVFQKSLMERIRIKEVMKSYEQTLAEVKVRLTSTEVGSIDVEALVKVEMDNMTKEIELSKESLLNITFK >LPERR01G25250.1 pep chromosome:Lperr_V1.4:1:21999440:22005303:-1 gene:LPERR01G25250 transcript:LPERR01G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAITGGEAGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGQHGGGGAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSYPLVLLLIIPGLIYGKYLLYLSRQSRHEYANANSLVEQALGSIKTVYSFTAEKRITQKYTAILDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPQINADDPKGLILDEVRGELQFESVHFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADLIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSASRLSMSRASPMPLTPAISKETDSSVSPPAPSFSRLLAMNAPEWRQAVVGSLSALVYGSLQPIYAITIGGMIAAFFVQDRNEMNAIISRYALIFCSLSIISIAVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGQISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDIQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVRVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYNTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQLVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPQLMGKKGAFYNLATLQK >LPERR01G25260.1 pep chromosome:Lperr_V1.4:1:22018876:22027970:1 gene:LPERR01G25260 transcript:LPERR01G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPHVQEEATEAGVHAHAHAARHDGAIMEILSRSLQSMASPDVSAYFSGGSSRRPSAADEVDDEEALRWAALERLPSFDRLRTGLMRADADSSIGGGGRGRRWFAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVAESLLGFVGLNFAKRKALHILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLEVSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGELTVKETLDFSASCQGVGQRYELLKELAKKERQLGIYPDPEVDLFMKATSVQGSTLQTDYILRILGLDMCADVIVGDEMRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKHYHYVSVPEFVAKFKKFHMGKSLKKQLSVPFDKRKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGIIVALIASTVFLRTQLHTRNEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFVLPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSANEMHSPRWMDKSLPDGRRLGLAVLENSGVFTNKEWYWIATGALLGFTILFNVLFALSLMYLNPVGKPQSILPEETDSQENIQDEKKKAHIKQRTTVETSETVSLNSIITLDKVIQQLRGHSADTSDRLPSYMNASGRTAPGRGMVLPFEPLYMSFSEINYYVDMPAEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLDINFAEYYRSSAMHQRTEALVKELSKPPPGSEDLYFSSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTAIMLGTIFWRVGHKMKSSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRLKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQPDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTIFFAFTYAYSIRTLNFQQR >LPERR01G25270.1 pep chromosome:Lperr_V1.4:1:22029826:22030416:1 gene:LPERR01G25270 transcript:LPERR01G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAQAAAEEAHDSEHPTAAMAADAPDDGKESTITAAWQGSVRAAVEGPTPDQAWALLGEFCSLDRWVPSVQTCQLVDGAEGKPGCVRYCAGPVNKAVAAAGEGGFGWSKERLLEFDQAERRYSYEVVETNKGFGRYSATIQVEPDPAGCAVAWSFEADPVQGWTLEGFVGFLEELARGVARRLEKEIVAQPMGQD >LPERR01G25280.1 pep chromosome:Lperr_V1.4:1:22032713:22033731:1 gene:LPERR01G25280 transcript:LPERR01G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPIAKPLRSRSRPLPLAAPAFAGSYTLPPRSAKALDLDAAMISGFSQVGEEPREVLGLFLELQRCGLVPDDLTMHKCLLQCHRSGRLDVTLSIASVDMYAKCGRTDVARWLFECMTVKDVSSWTTMITANTCVLCLCAWRVGLYRDEFAKVGVRRWAGGRGHEACALVEQRMQMEANVVSVIWGTLLGACENTGIVGQWAAERLLKSEPRNDGVYVVVSNTYAAAGMWSDVERTRKMMSKRKVANYAGRSL >LPERR01G25290.1 pep chromosome:Lperr_V1.4:1:22034650:22037776:1 gene:LPERR01G25290 transcript:LPERR01G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNGRSGSEVGIQMPAMESKDVLEQPALPRWPRLGIVMVATRAVALVMALVSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAASAAYCLAQLMLIAHKAVKNTPVVQSRNYAWLMFAGDQVFAYAMMSAGSAAAAVANLNRTGIRHTALPNFCKPLPRFCDLSAVSIACAFLSCIFLATSAVIDVVWLLNL >LPERR01G25300.1 pep chromosome:Lperr_V1.4:1:22037838:22041579:1 gene:LPERR01G25300 transcript:LPERR01G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVSVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGLEADELVPTAVAPVVAAGGRPRPRFVAVASGAYHSLALDDLGSPETLQAEAQNSGTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAASSAPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEESSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGIGDNGQLGHGTTATICSPRPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRIQSPETNGFKFLGPVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTSDTDELNRLEIFYRSMLSGVKDKLFKRRVQEMVQECIISLSQGRQTPRGQ >LPERR01G25310.1 pep chromosome:Lperr_V1.4:1:22049132:22054310:1 gene:LPERR01G25310 transcript:LPERR01G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWYTSPEEAEDSGGATFASDVYRLGVLLFELFCAFETMEEKIRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPNTRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAIRQQPALGQCGNFSFELDKEVCSGTVEDQSDCGSRKRFRPELPAIDMEEQNRSVEECSGTVPSSVLIQESVLSKSSRLMKNFEKLETAYFLTRSKLARHVGNPISSCHQVLRRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVRAELKQCDLLNSSNLVCSVGFDRDKEFFAIAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAILFLHLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMNQARTIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVADPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >LPERR01G25320.1 pep chromosome:Lperr_V1.4:1:22057301:22059105:-1 gene:LPERR01G25320 transcript:LPERR01G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVHESTHTMARFTGYLQPVCLYGLLVILTMGCLPVRVSAMGLPRPPPNVNFTIGVEGFVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGETDARGHFLIQTGAQVAAFTSKDCRVYVPRSPAPRACGVALQPGRKKGSPLKFRRFVALPDGLQGLYSAGNFVFGPQDPKKC >LPERR01G25330.1 pep chromosome:Lperr_V1.4:1:22060778:22061530:-1 gene:LPERR01G25330 transcript:LPERR01G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHSAIVLSVLLILSAALLLPAHHALADDGSSNAAARAPTPSQYTDPAMAPAPAPYTDTAMAPTPSPSKDTAVAPVAPPPLPFVIVEGVVYCKSCRSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLQNFNARNCKVFLGSSPTPLCDKPVYPPNRWIGLSLVKESRTVPPVGLQAIYCPTSVLFYGPATAGQCPSG >LPERR01G25340.1 pep chromosome:Lperr_V1.4:1:22067404:22070003:-1 gene:LPERR01G25340 transcript:LPERR01G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPNVKEFVERYEATTHTKVWTDIRQERRAELDKVGSMCDLLEKQLRFMTVDDGEQYTVPSLEVLEHNLEAAMRKVRSEKDRKIGGEITFLQNIIRGRQEERYGLCDKIAHAQTLKDVECGSTSLSNGLDLKLGFN >LPERR01G25350.1 pep chromosome:Lperr_V1.4:1:22080502:22083176:1 gene:LPERR01G25350 transcript:LPERR01G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAKALALVSAFCLLAASATAARSLVDVNGGAFGGRKDYYTPTPTTDPHHSPSPSNPHTSPPCAPTPSTGDAPPSPSSDTSPSTPGGGYGYTPTPSGTPPSPSSDTTPSTPGGGYTPSPSDTPPSPSSDTSPSTPGGGYTPTPSETPPSPSSDTSPTTPGGGCSTPSPCGTPPSPSSGTSPSTPGGGYTPTPSDAPPSPSSDTSPTTPGGCSTPGTPCGTPPSPSSGTTPTTPGGGYTPTPGGTPPSPSSGTAPTTPGGGYYPPTPSIGDAPPAPSSDTSPTTPGGSGSPSTPCDTPPSPSTTTPGGSGGYYPPTPSVGDVPPSPSSDTSPTTPGGGEGYSPSPSTPCNAPPSPSSGTSPSTPGGGYSPSTPCNAPPSPSSDTSPTTPGGGGGYYPPSPSIGMSPTTPGGGGCSSSPTPCDSPPSPSSDTSPTTPGGGYYPPSPSIGTSPSTPGGGYTPTPDVPISTPSTPYSPLVPTPPSSTTPTPFDPNTPPFSGPCTYWLSHPSVIWGLFGFWCPMVRLFGPTAAAPFGHDLTVLEALANTRADGVGDLYREGTASLLNSMVNTKFPFTTQQVKDAFGAALSAGDVDRAAATQAQLFKKANEGHLKL >LPERR01G25360.1 pep chromosome:Lperr_V1.4:1:22087908:22091986:1 gene:LPERR01G25360 transcript:LPERR01G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYITSAPPAAGEATKLKALPPPHQQQQAARRGCRSAVVTGILAGLLLFRAALLAIEAGASLCPSATGCLDWRAGLGDWLYGGSGSDAMEEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRLRSLFNRHLASLGIPKSIHCLTLRLAEEFAVNSAARSPVPLPEHAPRLTDTSYLHVALVTDNVLAAAVAVASAVRSSGEPARLVFHVVTDKKSYLPMHSWFAMHPVSPAVVEVKGLHQFDWRDGGVIASVMRTIEEVQRSSMEYHQCDGSVVREYRRLEASKPSTFSLLNYLKIHLPELFPELGRVILLDDDVVVRKDLAGLWEQDLGENIIGAVGRDGAVCIEKTLGDHLNFTDPEVSTPSLGLKSSECAWSWGVNVVDLDAWRRTNVTDTYQLWLEKAISNCPELSASLNMENRESGFMLWKMGSLPPALIAFDGRVQPLEPRWHLAGLGWHMPTAELLQRATVLHFSGPRKPWLEVAFPVLRELWLGHLNGSDSFLRGCGVVE >LPERR01G25370.1 pep chromosome:Lperr_V1.4:1:22101262:22105747:1 gene:LPERR01G25370 transcript:LPERR01G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNAAEKTARCVATSPLLFACMCAQTRGRSRLSAVSSFNEPGHTQHGVAQVAEVAARRPSGPRPQRERRRLPFRSPPRHHQVGRHHRADVVGLQVDAAPPSHRRRGNVHRPRDCGGRMTDLAPPSRRRHSRRWRRDVAVARLVVVIRKQHLGLVQLLSGRHAHAQNPPAVRSIARRLPVIDAKLRSTR >LPERR01G25370.2 pep chromosome:Lperr_V1.4:1:22101131:22105747:1 gene:LPERR01G25370 transcript:LPERR01G25370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNAAEKTARCVATSPLLFACMCAQTRGRSRLSAVSSFNEPGHTQHGVAQVAEVAARRPSGPRPQRERRRLPFRSPPRHHQVGRHHRADVVGLQVDAAPPSHRRRGNVHRPRDCGGRMTDLAPPSRRRHSRRWRRDVAVARLVVVIRKQHLGLVQLLSGRHAHAQNPPAVRSIARRLPVIDAKLRSTR >LPERR01G25380.1 pep chromosome:Lperr_V1.4:1:22105177:22105554:-1 gene:LPERR01G25380 transcript:LPERR01G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEELYESEVLFPDHHDQACHRDVPSPPARMPPAARRGQISHPATAISRPVDIPTAAVRRWSGVDLQADDVGTMVPPHLMVSRRRSEGEAAAFSLRSGTGRPARRDLSHLRNSVLRMTGFIEG >LPERR01G25390.1 pep chromosome:Lperr_V1.4:1:22109338:22109775:-1 gene:LPERR01G25390 transcript:LPERR01G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSIQQQQEAEEEFQEADILWPDAAHDLEIAQMYYSFVDADDKDDDEYSANQHRGQVKLCGRQKASSPIDIPNRKGSKGARAPAEFSKFGQTLAGAGGGSVIFGSHVFVPPHVIVDHRRAKRDKAMMMLVVPKGKARTMVMRE >LPERR01G25400.1 pep chromosome:Lperr_V1.4:1:22110236:22110544:-1 gene:LPERR01G25400 transcript:LPERR01G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSVVVSSAKCAASWAEERRPFTDPIEIPAVASGAARRDDREDAEGDYGGEAVVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRVRDSVLRMTGFIES >LPERR01G25410.1 pep chromosome:Lperr_V1.4:1:22113103:22118186:-1 gene:LPERR01G25410 transcript:LPERR01G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPMAMSSLLFLVILSSLSTASAFTKSRQVYVVYLGEHAGAKGEEEILAAHHGLLLSVKGSEEETRASLLYSYKHSLNGFAALLSEEEATELSARTDVVSTFLSHGRWSPHTTRSWEFLGLEEGVRGPDSSGRLPPGAEAGGDDMIVGVLDSGIWPESRSFSDGGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYLKAYEAKYGAVNTTNSYRSPRDHDGHGTHTASTVAGRTVPGAAALGGFATGTASGGAPLARLAIYKVCWPIPGPNANIENTCFEADILAAIDDAVGDGVDVLSVSIGSAGKPPAFADDGIAVGALHAAMRGVVLVCSGGNSGPAPATVSNLAPWMLTVAASSIDRAFISPIRLGNGMFIMGQTVTTYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKLAGGAAIILGNPPAYGGEVPVDAHVLPGTAVSMVDVNAILRYINSSSNPTAVLSPSRTVVDVKPSPVMAQFSSRGPNVNEPNILKAHIPDVTAPGLNILAAWSEASPPTKLDGDKRVVKYNIMSGTSMSCPHVSATAVLLKSAHPDWSSAAIRSAIMTTATTINAEGSPIMDADGAVAGPMDYGSGHIRPRHALDPGLIYDASYQDYLLFACASGGAQLDHSVPCPATPPPPYQLNHPSLAVHGLNGSVTVQRKVTNVGQGNARYSVAVVEPMGVSVNVSPSSLSFARTGEKKSFTIKIEAKGRGGLRVDGRRFVAGSYTWSDGVHVVRSPIVVLVA >LPERR01G25410.2 pep chromosome:Lperr_V1.4:1:22113103:22118186:-1 gene:LPERR01G25410 transcript:LPERR01G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPMAMSSLLFLVILSSLSTASAFTKSRQVYVVYLGEHAGAKGEEEILAAHHGLLLSVKGSEEETRASLLYSYKHSLNGFAALLSEEEATELSARTDVVSTFLSHGRWSPHTTRSWEFLGLEEGVRGPDSSGRLPPGAEAGGDDMIVGVLDSGIWPESRSFSDGGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYLKAYEAKYGAVNTTNSYRSPRDHDGHGTHTASTVAGRTVPGAAALGGFATGTASGGAPLARLAIYKVCWPIPGPNANIENTCFEADILAAIDDAVGDGVDVLSVSIGSAGKPPAFADDGIAVGALHAAMRGVVLVCSGGNSGPAPATVSNLAPWMLTVAASSIDRAFISPIRLGNGMFIMGQTVTTYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKLAGGAAIILGNPPAYGGEVPVDAHVLPGTAVSMVDVNAILRYINSSSNPTAVLSPSRTVVDVKPSPVMAQFSSRGPNPDVTAPGLNILAAWSEASPPTKLDGDKRVVKYNIMSGTSMSCPHVSATAVLLKSAHPDWSSAAIRSAIMTTATTINAEGSPIMDADGAVAGPMDYGSGHIRPRHALDPGLIYDASYQDYLLFACASGGAQLDHSVPCPATPPPPYQLNHPSLAVHGLNGSVTVQRKVTNVGQGNARYSVAVVEPMGVSVNVSPSSLSFARTGEKKSFTIKIEAKGRGGLRVDGRRFVAGSYTWSDGVHVVRSPIVVLVA >LPERR01G25420.1 pep chromosome:Lperr_V1.4:1:22119825:22125521:1 gene:LPERR01G25420 transcript:LPERR01G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25420.2 pep chromosome:Lperr_V1.4:1:22119825:22125521:1 gene:LPERR01G25420 transcript:LPERR01G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25420.3 pep chromosome:Lperr_V1.4:1:22119825:22121145:1 gene:LPERR01G25420 transcript:LPERR01G25420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25420.4 pep chromosome:Lperr_V1.4:1:22119825:22122301:1 gene:LPERR01G25420 transcript:LPERR01G25420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25420.5 pep chromosome:Lperr_V1.4:1:22119825:22124185:1 gene:LPERR01G25420 transcript:LPERR01G25420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25420.6 pep chromosome:Lperr_V1.4:1:22119825:22121145:1 gene:LPERR01G25420 transcript:LPERR01G25420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGPRRRRSTGSLLPPPLFLIVLLLLVTPSIPRASAFRVPLREVAALLNLSRSLLTRVAAARAARGDDVAASRARRIASHLSLFSSRGAWALSWDYLRHYTFSSAAGCGLRCATAAARLLAAAAEVSRLHSATEAAQWLRSNYGDVRDAGAQLLNGLLVSFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSSRSRHNEL >LPERR01G25430.1 pep chromosome:Lperr_V1.4:1:22128973:22132943:1 gene:LPERR01G25430 transcript:LPERR01G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWRFVAVAVVSSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFATGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDHLSKCIFSVGMGSNDYLNNYFMPAFYNTGSRFTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGVTCVDRIDSAIQIFNQRLVGLVDEMNALPGAHFTFINAYNIFSDILANAASFGFTVTNAGCCGVGRNNGQVTCLPYEAPCTNRDQHIFWDAFHPSEAANIIVGRRSYRAESSNDAYPMDIATLASI >LPERR01G25440.1 pep chromosome:Lperr_V1.4:1:22131505:22138433:-1 gene:LPERR01G25440 transcript:LPERR01G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAASMRAADASKCWPFGSSGDGESPPPRLPPMEPPKRSRWWAHELAAERARLESSAAGIEAVGGGDSGKGTKRKGSLGRVRAERARKRRRSLQFGLLSKRKEKSSKPQSTSRLLRYVLHMQLLRKHQGSTMHTERKLSAWNKFQDIGQKNKFQDTQDCMSSIEDNLSKLYIRGMNPCIDKSSSLVRKKLANPYVNKPDIKDSEPTNYPLNLGCEFVKSVTYSPKDDIFGDLPLLECPKIMFQTGVDELPTVIEDSFVTDQSVPDAISENVSLKLVPSFDMPAQTSSTPEDLVKKEGTPGKKSICISHNDAKKSKPSAVFDGLNHSSINMVKTCLGDNQLKSPDVPSLSPYFNKGLKSGSTNIANTQQGCFSSMNTNYRQEITRPGNSSSTSSVTVRTRTETIESDRDSAVNGKKSTDVSCALVPIEYHISSEGSVLSSAISQGSASAANIVDGMSSCKRVPSQDSIPTSGIFGNFASNVCHENRNSVHTCMSLSKEDWGSLYSKVHPVCTPANIGSAFMKLPGLERIEMSSCHLKTDENRFTNGQPANIVRCKKQQVVTGVPNIVQCHIKTDFRESQVREKVLNGYLQQDVYCPCQPTVRLMGKTVSVCEGSKDHRVSTIGKVWTGNTIIENHPSSTSHHFGQKKLFLCQDSMTPSSCGNGSNILQVIPSATLPEARATVGNVPNQRLKAINNVASTVRDGIWNSGSQFVPQADAKKATPVSVNSRARYIDLHQPQPAISTSQNHYQLNTSSPILSGKDYNYLRPAVTQSSSFPQGVLNTGMQERYRKSTLFSYDDPSSAPIYQSCKVPGTKLSSTPIISVLDYGADNAELSKSLPQVFPSLATNLPVDFLSTVTPTCTVKPTNISGRKGAVFANQRNKRPAYVNNGSHEPAKKLMVNKHDLTAPMIADMKNHSLGWSLDDAVGPRILDFGKVAGHVTEMSTNGSNNILGSSGPVPVLETRSRTGRPGIMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKVLQMMSLDARKSVERFIESHGPDALDKIIRAAEDEAKRA >LPERR01G25440.2 pep chromosome:Lperr_V1.4:1:22131505:22132478:-1 gene:LPERR01G25440 transcript:LPERR01G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKVLQMMSLDARKSVERFIESHGPDALDKIIRAAEDEAKRA >LPERR01G25440.3 pep chromosome:Lperr_V1.4:1:22132546:22138433:-1 gene:LPERR01G25440 transcript:LPERR01G25440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAASMRAADASKCWPFGSSGDGESPPPRLPPMEPPKRSRWWAHELAAERARLESSAAGIEAVGGGDSGKGTKRKGSLGRVRAERARKRRRSLQFGLLSKRKEKSSKPQSTSRLLRYVLHMQLLRKHQGSTMHTERKLSAWNKFQDIGQKNKFQDTQDCMSSIEDNLSKLYIRGMNPCIDKSSSLVRKKLANPYVNKPDIKDSEPTNYPLNLGCEFVKSVTYSPKDDIFGDLPLLECPKIMFQTGVDELPTVIEDSFVTDQSVPDAISENVSLKLVPSFDMPAQTSSTPEDLVKKEGTPGKKSICISHNDAKKSKPSAVFDGLNHSSINMVKTCLGDNQLKSPDVPSLSPYFNKGLKSGSTNIANTQQGCFSSMNTNYRQEITRPGNSSSTSSVTVRTRTETIESDRDSAVNGKKSTDVSCALVPIEYHISSEGSVLSSAISQGSASAANIVDGMSSCKRVPSQDSIPTSGIFGNFASNVCHENRNSVHTCMSLSKEDWGSLYSKVHPVCTPANIGSAFMKLPGLERIEMSSCHLKTDENRFTNGQPANIVRCKKQQVVTGVPNIVQCHIKTDFRESQVREKVLNGYLQQDVYCPCQPTVRLMGKTVSVCEGSKDHRVSTIGKVWTGNTIIENHPSSTSHHFGQKKLFLCQDSMTPSSCGNGSNILQVIPSATLPEARATVGNVPNQRLKAINNVASTVRDGIWNSGSQFVPQADAKKATPVSVNSRARYIDLHQPQPAISTSQNHYQLNTSSPILSGKDYNYLRPAVTQSSSFPQGVLNTGMQERYRKSTLFSYDDPSSAPIYQSCKVPGTKLSSTPIISVLDYGADNAELSKSLPQVFPSLATNLPVDFLSTVTPTCTVKPTNISGRKGAVFANQRNKRPAYVNNGSHEPAKKLMVNKHDLTAPMIADMKNHSLGWSLDDAVGPRILDFGKVAGHVTEMSTNGSNNILGSSGPVPVLETRSRTGRSIKPPCLSLAIYTFS >LPERR01G25450.1 pep chromosome:Lperr_V1.4:1:22143897:22147189:-1 gene:LPERR01G25450 transcript:LPERR01G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEASTWSLPGAGAAAAAVAAVALVWLSAWTLQWAWWTPRRLERALRAQGLRGTSYRLFIGDVAAAARLNKEAASRPLPLGSHDICPRVIPFFCNVLKEHGKLSFAWTGPKPMVIVTDPDLARQVLSNKSGNFAKKMTENISKYVVGGVVTYEGEKWAKHRRILNPAFHQEKIKRTLPILSTCCTEMITRWENAMSSEGLSELDIRDEFQNLTGDVISRTAFGSSYQEGWRIFQLQEEQAKRVLQAFQRIFIPGYWYLPIENNRKIREIDQEIRTILRGIIVKRDESIRNGETSPDDLLGLFVESNMRESNEREDLGMSSETTSVLLTWTLILLSMHPEWQQQAREEVLHHFGITTPDYYDGLSHLKTVTMILHEVLRLYPPVVFLQRMLRKETELGGIKYPEGADFILPVLSIHHDPSIWGQDASEFNPERFANGVSKATKFQSAFFPFGWGARICIGQSFAMLEAKMALATILQSFSFELSPSYAHAPHTVLTLQPQQGAQIKLKKLR >LPERR01G25460.1 pep chromosome:Lperr_V1.4:1:22147665:22148509:-1 gene:LPERR01G25460 transcript:LPERR01G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQSPAPTPAFGTGTTVPPPGRPTTVSSQVLDMGAQAVQALNPVRQMKQHACSFALYAHDLSRQVEVHHFVSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDAIFETLPPEEQRLWHSHAYEVKAGLWTDVGVPETLQSSEMARMAKTYGKFWCTWQADRGDAVPLGAPALMVSPQAVEPGRVRAELVRRRDEKYGVDSSAQGLKGARVEMDEPEWINPNADYWRVHGKGFAVDVAATEMKRHAPFP >LPERR01G25470.1 pep chromosome:Lperr_V1.4:1:22148921:22152182:-1 gene:LPERR01G25470 transcript:LPERR01G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRVLRARLKLRAYTKSAPDLDRRYKPNGLSAPRRPPPPPDVLSKPQTLTALAVADTNRCRR >LPERR01G25480.1 pep chromosome:Lperr_V1.4:1:22152221:22156567:1 gene:LPERR01G25480 transcript:LPERR01G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLPLLAPVSPSPRLLSSSRSYFLLASQTLPLLPRCPRVAHRVLVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAVFVAASPLLLSGLSASGFAAAYLLGTLTWRAFGHKGLILVAAYFVAGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGGSALAKLWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAISIEGTLAGILASILLANVGYLLGQLNNDVVNVLNISAGGILAVLMQQLLVSWRS >LPERR01G25490.1 pep chromosome:Lperr_V1.4:1:22158565:22159935:1 gene:LPERR01G25490 transcript:LPERR01G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVAVLFMCLLSVTTAAPAAMDPAEREALFLVMEAVSSDRDWRSESPDPCGAPWPGLECKPAAGDASTLRVTRLDFGVEPNPSCKDTAAFPPLVFSLPHLQSLFFVGCFRNPAANTTLVLPPAANLSSSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGGLTSLVHLDLSYNSLTGPVPSKISELKSLVGLDLSYNSLSGAIPSRIGELRQLQKLDLSSNNLTGGIPASIANLSSLTFMALSNNGLSGRFPPGLSGLRNLQYLIMDNNPMNVPLPSEIGGLPRLQELRLAGSGYSGQIPAAFGQLASLTTLSLEDNNLTGEIPPGLSRLTRMYHLNLSNNGLGGAVPFDGSFLRRLGRNLDLSGNAGLCLDDRMVVRGVGIGVGACHAGGGYGDGSGGVTGGAAVLTRGSVDGFPFRLLGPAAACVVVSCLVALNY >LPERR01G25500.1 pep chromosome:Lperr_V1.4:1:22167260:22169875:-1 gene:LPERR01G25500 transcript:LPERR01G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVVQPVHISTGPIACGSTAPPAAVADDDGFLFDDLVLGDASRDKTDAQTDNAEGKLEWLRSQVIGAEAEFASPFGTRRVTYADHTASGRCLRFVEEFVQCNVLPYYGNTHTMDSYVGLHTSKLAAEAAKYVKRSLGAGPEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPPSDRWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEEALAAPERAGRPMLGSFSACSNVTGIRTDTRAVSRLLHRHGAYACFDFACSAPYVGIDMRSGDEDGYDAVYLSPHKFLGGPGSPGVLAMATRLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDMEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDQMLALALRRMQAPANPNLRLLLGGDRPSSERRLPVLSFVVHPPRDGYDQQQQRPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTREAEFVLDAIDFVASFGHRFLPLYAFDWETGDWEYNHSLGRVLLPNYAVNNAAAASSARVKAEDEYQRYMAFARSLADSLGACRDCAPATHVPKGIDPQLLYFPIRQAVNFFGSLPIEAPAVSRSKHSRLVPCARVASHAIYALSPLPLCLMSLAMVRSRSPSLLALLLLCAAAASVSAAAGKGKGGAGRTEVDCSDLARREDCVASGGGSRCRWCRSEALDDMCFGAAEAWRLPHQVFSCDPPSTGAAHARR >LPERR01G25510.1 pep chromosome:Lperr_V1.4:1:22178004:22182783:1 gene:LPERR01G25510 transcript:LPERR01G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLHAQPPQFPWPAAAVSHKRIPFEIDLPVVPFGASRGAGAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPKTEQAPPPAATAGEGAVGLSVERAVDRCPLEAAAAAATGSAAASSVSGAVGGDDLEEEDEGFGCEIGTFGNFKKAKGTVNISAAYNTRSNDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRKWLMMSMMCLNPVACSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKFPGETRVSFSCKNKRGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSVCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGKLRDQRCFTSGRWEKGNAMRPQYNLDTGENATLPSHFSLGKAD >LPERR01G25510.2 pep chromosome:Lperr_V1.4:1:22178004:22182903:1 gene:LPERR01G25510 transcript:LPERR01G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLHAQPPQFPWPAAAVSHKRIPFEIDLPVVPFGASRGAGAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPKTEQAPPPAATAGEGAVGLSVERAVDRCPLEAAAAAATGSAAASSVSGAVGGDDLEEEDEGFGCEIGTFGNFKKAKGTVNISAAYNTRSNDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRKWLMMSMMCLNPVACSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKFPGETRVSFSCKNKRGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSVCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >LPERR01G25510.3 pep chromosome:Lperr_V1.4:1:22182791:22184102:1 gene:LPERR01G25510 transcript:LPERR01G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPFILLSFLLLLHSTAAAPSPATAPVADEHASSDLAPSADQHGPDVYIVFISRDDYVDSVDYDVRLLASVLGSAAEAKTAMIYHYSGVGFAANLVPEQAERLSRKEGIAVFKDRMYHVEKEEDGRLPRFFEDNV >LPERR01G25520.1 pep chromosome:Lperr_V1.4:1:22183727:22185301:-1 gene:LPERR01G25520 transcript:LPERR01G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDIGDEPPIDYISLLPDNILVTVLSLLPLDDAARSTVLATRWRRLFPSTLLDFRAFAPGRDVVTAVTTILAAHPTARVRSFQTNWLYFPHEDDPSVERWLHDLAGRGVQELSLSFRERWQRIPSSIFSCASLKRLHADSCTFPDATEATAPLAALTEIDLFGVNISEESLGALLSRCTALEYLRMRSTGKCRSIQIRSASLKTFCGSGDFKEIFIEHAPNLERVHGNSMYMRKPHLKVVHAPKLEFLDYLAMNFSTIEIGESIFTEDGICVKTLMPSLKTLAVELSYTCEGYIDWFMQLLKLFPCLETLYIRSDTWSKVRKAAPGSWDVLRSVPCIDNHLDKVVFEVYRGHEWQREMAKFLHGRSRFLKVMEFHCMGDGGYTRNLGEEWVREQQELLCLESRASMDARFLFFNGQLVNNHHDVCHYEWYKRKYYRSLYKV >LPERR01G25530.1 pep chromosome:Lperr_V1.4:1:22186813:22188633:-1 gene:LPERR01G25530 transcript:LPERR01G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVNPSPSASATSSQRGQIVAPDSPLSLRSYSDDSPPSPRAPAVFPEVEFEARPAKRARVVPGGVGGDGDGGADAAAAGDGRDRISNLPDAILLSILSFLPFREAGRTAVLSWRWRHLFDQSLLDFNACQPFPPVEGRGCEWVIRSITDILAARPGVPIRSFRFVMYGQGFIDHLADVDRWFRALARRGVRHLDIDMLYTVPPPPLPGSLLEFTPLETLRVFNCNLHHLSWLAPSSVLRLPALRTLDLTNVTMSQDFLQVVMSNCPSLECTKLKNIAGIDKICVRSKSLVRLFGDFGYLNELVVEDAPNLEELVGISLPIGKAKVKIVFAPKLRVLGYLGKAVHPLVLHDTVFDGGIVQFRTLMSSADSRSICRTVDVEEWDKVTSVHCIEHSINRLVLENFGGEECQWRFLTFLLGMARALKVVVFHSLENRDWASKQIERLGSTNRASVDVRFLFYGFSWPVSNLYLCHCCPERCQKEERLALI >LPERR01G25540.1 pep chromosome:Lperr_V1.4:1:22190832:22191149:-1 gene:LPERR01G25540 transcript:LPERR01G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIARVDSNRERAVQLRSRRVLLALCCSLLLLLCCCSLCHARVSPGVRSRVVRVEAGADMVVYQAGKGEEARTVALPPPAGYCSVSGKRMERLMRSVPSPGVGH >LPERR01G25550.1 pep chromosome:Lperr_V1.4:1:22194002:22197480:1 gene:LPERR01G25550 transcript:LPERR01G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSPTPTPCSGSVRRWAIKRFAVAGRKDWHQRGRTSGRKGLKVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYTATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQTGNVNLIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >LPERR01G25550.2 pep chromosome:Lperr_V1.4:1:22194002:22196975:1 gene:LPERR01G25550 transcript:LPERR01G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSPTPTPCSGSVRRWAIKRFAVAGRKDWHQRGRTSGRKGLKVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYTATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQTGNVNLIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKETNGADLP >LPERR01G25550.3 pep chromosome:Lperr_V1.4:1:22194002:22197715:1 gene:LPERR01G25550 transcript:LPERR01G25550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSPTPTPCSGSVRRWAIKRFAVAGRKDWHQRGRTSGRKGLKVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYTATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQTGNVNLIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >LPERR01G25560.1 pep chromosome:Lperr_V1.4:1:22197012:22197440:-1 gene:LPERR01G25560 transcript:LPERR01G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEEILASIDSLWFYSSVFLPPSSKQQECFPPPGLGELQPGEQDSTEILKTDGDCGHQDAGCIRESTPVVAGRRSGAAAARIREVEEERMDIWQEQCRQVRVAAVRARCSPLPMPHTSDGPAMRAHLRSWAIAVACSVR >LPERR01G25570.1 pep chromosome:Lperr_V1.4:1:22203114:22204165:-1 gene:LPERR01G25570 transcript:LPERR01G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEWSDGAVSSPTMSGGGVEQKAGENGVVVAAANCPGSPLSPSPAAQRSAAAASPSGRPPRRSAQKRVVTVALADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRVDPDTVIVTYSFEHNHSATVPRAQNRQAAAQKPKSQSQKCPRPPEPAESEEKHHDAIAVGPVTVTGGAAAIEVRDEFRWLYDVVSVTSSTSPSDIDGADDMQLFDQPMFFGGAVVDTAALLPDEFGDAVGGGGEGLGDEEAMFEGLGELPECAMVFRRRAGEQPAEGTAMT >LPERR01G25580.1 pep chromosome:Lperr_V1.4:1:22206400:22209528:1 gene:LPERR01G25580 transcript:LPERR01G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGSGGEWWWNLPSLRRKPDPRRRGRRNPDPRGRRRGPPPEPLSSSSESIGQSRGWPIEFPFKQAVTAASLTLTGDTIAQVRRNIVERRLRGAEADSKGLVPDILMSHDWLRALRMASYGFLLYGPGSHAWYQFLDQCMPKQTFVNLSTKVILNQIALGPCVIAIIFAWNNLWLGKLAELPSKYQNDALPTLLFGFRFWIPVSIINFGMVPLSARVAFMSSCSIFWNFYLSTTMSK >LPERR01G25590.1 pep chromosome:Lperr_V1.4:1:22208784:22214666:-1 gene:LPERR01G25590 transcript:LPERR01G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDRAGVSRGGGGGHLRGHAHLTNCIHLRHHHAHGGGVGGGGGGAASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSSKVAADPDIDTDEDDGGGVDLPPKSRRSASTTGALKTLLDQLAENPQPKPGRRPRRRFKRAAGRRAGAASKAPDRSAGAALSVNSSSQEAVCGNKYLFRDDDDGDEELQQHVPQDSRNVCGIPWNWSRLHHRGKSILDLAGRSLACGLSDPKSAAGRKSEAGAAAAASRGHLSGSHSLFPVKSERLASSTSSDSDALPLLVEAGASGARTGGGIGGSYSGELGIFSNQTSEMDSDLLSEGRSGQKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSVISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVNLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPSLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSTSTSLNNGVLPGSFPDRDMARHSALGHNGNVASTSYGERRHVEHTANSNLLSTSAPRANEQSRNSKMENEVIWRAVLESIQSDTLRKMMAKEGRLMSVSLGTAPTVQIIFSSHVNKSKAENFRGVILQAFESVLQSAIILEIRYESKYDLRASHAPAILSHGENESPSTTLRRSFTKHSPLSSGGENLRRRLKKDRASASKTRWMQSDPHILTEGEIIEVGSSHMHWHGETNNDVHNVNGRRKENVWEEESLTSPNSMANQGGRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENLRLEPRSRSVLCWRTSRTRRKGQDAKIASCVKTYLVRKMHFHKISEIETLEGYFSF >LPERR01G25610.1 pep chromosome:Lperr_V1.4:1:22226735:22227785:-1 gene:LPERR01G25610 transcript:LPERR01G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAADSSGGDRYRSHLAGDGEKNTVWRHGAPPTYDAVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKARLEDFKSVSPGRFRLSVNGGKALTGEETLAVGSYNALLTSPILPDAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGEKVEFYGVAVLKVVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSTEEDATRQLAERLGEAATVSASGAAPQTQACPFLASGKRE >LPERR01G25620.1 pep chromosome:Lperr_V1.4:1:22236652:22239719:-1 gene:LPERR01G25620 transcript:LPERR01G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAASPPVAGMGEAVVIDVEGEPQAAPPGVACRICHLVPEGGVEPWSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRCCEICGSDAKNIIGLEVKKFMEEWHGRRVANTRTTSEREIFVVLS >LPERR01G25630.1 pep chromosome:Lperr_V1.4:1:22244437:22244649:-1 gene:LPERR01G25630 transcript:LPERR01G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAEKGKAPADTEETAPAIAARLAAEWTTWAMKNAKVVAHYGFIPLVILVGMNSEPKPRLAQLLSPI >LPERR01G25640.1 pep chromosome:Lperr_V1.4:1:22249744:22253524:1 gene:LPERR01G25640 transcript:LPERR01G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRLLPRLLLSPSTTITTSLLVHRTSLFPTRRILSPCSSALSQVAAPQTIDHSDDDVEDPPLGELQVQLPLDRLFVPPGASVSAGDTEAVTARVLKGSNIVLGPYARGDANVINADFVKSSVRPDDCPKDGLPEFALVGRSNVGKSSLLNSIVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLRRENLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKN >LPERR01G25650.1 pep chromosome:Lperr_V1.4:1:22254727:22255701:1 gene:LPERR01G25650 transcript:LPERR01G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGAGRKKLKHRLAAILSVFTRRTGGKKRRDEEGEAPPPPLAFPSYSRLGGSKKAPGAGQHERRHSMSAPRPPPLVSITIDCAGRRSVDAADPSLLAPLDLDAARKVERRLTETGLPYETGEWEGRKCPPSTPFAAGAPPPPLPPRWKEQRTSVSSSSRKLSTHSSRRLMMTTSSSSDDEYDEDSRNLFSSRSFSSDSSEFYNCPRKNARARASVSGPCRAPSSRRGASQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGDGDDDGELNAERLLETYLVLNSPRHYPAILTAFADVRQTLFP >LPERR01G25660.1 pep chromosome:Lperr_V1.4:1:22270441:22273404:1 gene:LPERR01G25660 transcript:LPERR01G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSEIEGKRAHDPIFQTYFSQNCRQPIDGFCKERITDASIAHSERCIWVPGPIIVGAGPSGLAVAACLKDKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPDDYPIYPSKQQFVAYLESYAARFRIFPTYNRTVVCAEYDEKLLLWRVRTRATITMGEEVEYVSRWLVVATGENAEAVLPEIDGLEEFKGIVMHTSSYKNGSVFTGKRVLVVGRGNSGMEVCLDLCNHNAKPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAHLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDAIVLATGYKSNVPFWLKDRELFSEMDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKPRGKNPDVLL >LPERR01G25670.1 pep chromosome:Lperr_V1.4:1:22301102:22302399:1 gene:LPERR01G25670 transcript:LPERR01G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECKVHQLQAAGDGGGPGAVAPFVAKTFHMVSDPSTNAVVRWGGAGNTFLVLDPAAFSGFLLPSYFKHRNFASFVRQLNTYGFRKVDPDMWEFAHESFLRGQAHLLPRIMRKKKKAGASSGRELCEEGEEVRGTIQTVQRLRDEQRGMEEELQAMDRRLRAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAAAGNNGSDPCKRRRIGSDAGRGGVATGGDAAELAQGMGAVPFPFSVLGQVFY >LPERR01G25680.1 pep chromosome:Lperr_V1.4:1:22313136:22317445:1 gene:LPERR01G25680 transcript:LPERR01G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFACLLGSLLIASVGSHAARAPEQYWKSALPDTPIPSSLSQLLNTPAGDTSVNVGWGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVDVGKGGVGVNVKPGYGKPTGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPGNGKPGGTTVGVGKGGVGVNVKPHGKPVKVNVAPFIYNYAATETQLHDDPNVTLFFLEKDLHPGKTMTVHFTPTTTGAKFLPRSEADAMPFSSEKIPEILSRFSVKPGSEEAAQMAQTLRECEAPAAKGEKKACATSLESMVDFATSSLGTSHVRAVSTVVGKDGSPEQEYTVTAVKRAVSAGGDQLVACHAEPYAYAVFACHRTQATRAYTVSMAGRDGTAVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >LPERR01G25680.2 pep chromosome:Lperr_V1.4:1:22312984:22317445:1 gene:LPERR01G25680 transcript:LPERR01G25680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFACLLGSLLIASVGSHAARAPEQYWKSALPDTPIPSSLSQLLNTPAGDTSVNVGWGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVDVGKGGVGVNVKPGYGKPTGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPGNGKPGGTTVGVGKGGVGVNVKPHGKPVKVNVAPFIYNYAATETQLHDDPNVTLFFLEKDLHPGKTMTVHFTPTTTGAKFLPRSEADAMPFSSEKIPEILSRFSVKPGSEEAAQMAQTLRECEAPAAKGEKKACATSLESMVDFATSSLGTSHVRAVSTVVGKDGSPEQEYTVTAVKRAVSAGGDQLVACHAEPYAYAVFACHRTQATRAYTVSMAGRDGTAVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >LPERR01G25690.1 pep chromosome:Lperr_V1.4:1:22316460:22321645:-1 gene:LPERR01G25690 transcript:LPERR01G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAVDGRLLVIYASQTGNAVDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSEKFVVFVVSTTGQGDPPDSMKGFWKYLLNKNLSARWLEGVRYAVFGLGDSGYQKYNFAGKKLDRRLLQLGAEPIIQVGLGDDQHPSGYEGTLDPWLLSLWETLNQITPSLLPRMSDIINPDLSILGDAKVEVIYHSSDEAQQEPSLSDSKNLIERARSMSPALQFYHDDKGPQYMLQMVSNQCLTKEKTDRDVRHLELENPSSGLTYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVRAKGSLLNGFIDCIKLKTFVALTMDVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTVLEVLEDFPSVQMPFEWLVQLTPPLQKRAFSISSSPLAKPNQIHLTVSIVSWRTPFNRTRHGLCSSWLAGLNPNEESLIPCWVHHGSLSPPHPSIPLILIGPGTGCAPFRAFVAERAAQSTSEPTAPILFFFGCRNQDKDFLYKDFWYAHSHDQGVLSSKKGGGFFVAFSRDQPQKVYVQHKIEEQSARVWKLLMSGAAIYIAGSSTKMPADVTAALEVVICQESGCSREDASVWLRKLERKGKFHIETWS >LPERR01G25690.2 pep chromosome:Lperr_V1.4:1:22316460:22321645:-1 gene:LPERR01G25690 transcript:LPERR01G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAVDGRLLVIYASQTGNAVDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSEKFVVFVVSTTGQGDPPDSMKGFWKYLLNKNLSARWLEGVRYAVFGLGDSGYQKYNFAGKKLDRRLLQLGAEPIIQVGLGDDQHPSGYEGTLDPWLLSLWETLNQITPSLLPRMSDIINPDLSILGDAKVEVIYHSSDEAQQEPSLSDSKNLIERARSMSPALQFYHDDKGPQYMLQMVSNQCLTKEKTDRDVRHLELENPSSGLTYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTVLEVLEDFPSVQMPFEWLVQLTPPLQKRAFSISSSPLAKPNQIHLTVSIVSWRTPFNRTRHGLCSSWLAGLNPNEESLIPCWVHHGSLSPPHPSIPLILIGPGTGCAPFRAFVAERAAQSTSEPTAPILFFFGCRNQDKDFLYKDFWYAHSHDQGVLSSKKGGGFFVAFSRDQPQKVYVQHKIEEQSARVWKLLMSGAAIYIAGSSTKMPADVTAALEVVICQESGCSREDASVWLRKLERKGKFHIETWS >LPERR01G25700.1 pep chromosome:Lperr_V1.4:1:22324488:22331080:1 gene:LPERR01G25700 transcript:LPERR01G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLQGDDHDEAQAAPTLPHFPYFAVPVDSTMSDGQHGPTLDALGPPPPCNHPGGLDGTPQLTTTTSAAVPMMLPAMTPLDWQSLLQTCLQVQPPVLEQQQAAADQCSGENDHGDQAGESSGAVNKEKQLAKGGAGRSGKKKATRPRFAFQTKSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >LPERR01G25700.2 pep chromosome:Lperr_V1.4:1:22324525:22331080:1 gene:LPERR01G25700 transcript:LPERR01G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLQGDDHDEAQAAPTLPHFPYFAVPVDSTMSDGQHGPTLDALGPPPPCNHPGGLDGTPQLTTTTSAAVPMMLPAMTPLDWQSLLQTCLQVQPPVLEQQQAAADQCSGENDHGDQAGESSGAVNKEKQLAKGGAGRSGKKKATRPRFAFQTKSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >LPERR01G25700.3 pep chromosome:Lperr_V1.4:1:22328870:22331080:1 gene:LPERR01G25700 transcript:LPERR01G25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEEKEIGFLKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIEQINAGTRLHGVNYGNFMHGLTKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRPAVKKEGLAAIL >LPERR01G25710.1 pep chromosome:Lperr_V1.4:1:22340438:22353029:1 gene:LPERR01G25710 transcript:LPERR01G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASADHHQRWGSASAGTTPRSLSTGSSPRGSDDRSCSDDGEELVEVTLDLQDDDTIVLRSSALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWIEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKETQLVFFLSTTAQIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNMAGLRKKSPIRKISTTLSYYFEDNWKRLWVLALWIGIMAGLFAWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIAFIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTSKFEFHKEHF >LPERR01G25710.2 pep chromosome:Lperr_V1.4:1:22340438:22353029:1 gene:LPERR01G25710 transcript:LPERR01G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASADHHQRWGSASAGTTPRSLSTGSSPRGSDDRSCSDDGEELVEVTLDLQDDDTIVLRSSALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWIEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNMAGLRKKSPIRKISTTLSYYFEDNWKRLWVLALWIGIMAGLFAWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIAFIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTSKFEFHKEHF >LPERR01G25710.3 pep chromosome:Lperr_V1.4:1:22340438:22353029:1 gene:LPERR01G25710 transcript:LPERR01G25710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASADHHQRWGSASAGTTPRSLSTGSSPRGSDDRSCSDDGEELVEVTLDLQDDDTIVLRSSALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWIEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKETQLVFFLSTTAQIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNMAGLRKKSPIRKISTTLSYYFEDNWKRLWVLALWIGIMAGLFAWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIAFIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERTLRHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTSKFEFHKEHF >LPERR01G25710.4 pep chromosome:Lperr_V1.4:1:22340438:22349164:1 gene:LPERR01G25710 transcript:LPERR01G25710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASADHHQRWGSASAGTTPRSLSTGSSPRGSDDRSCSDDGEELVEVTLDLQDDDTIVLRSSALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWIEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKETQLVFFLSTTAQIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNMAGLRKKSPIRKISTTLSYYFEDNWKRLWVLALWIGIMAGLFAWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIAFIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTSKFEFHKEHF >LPERR01G25710.5 pep chromosome:Lperr_V1.4:1:22340438:22349164:1 gene:LPERR01G25710 transcript:LPERR01G25710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASADHHQRWGSASAGTTPRSLSTGSSPRGSDDRSCSDDGEELVEVTLDLQDDDTIVLRSSALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWIEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNMAGLRKKSPIRKISTTLSYYFEDNWKRLWVLALWIGIMAGLFAWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIAFIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTSKFEFHKEHF >LPERR01G25710.6 pep chromosome:Lperr_V1.4:1:22350128:22353067:1 gene:LPERR01G25710 transcript:LPERR01G25710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVVLYPGPGIGHLVPMVELAKVFLQHGVAVTVVLVQPPLEAFDLSSSVARFAAANPSVNFHVLPPPPPAGSGSGGDDTSARPIVRMFGLLDAMNTPLRDFLRSLPSVHALVIDMFCTTALGVASELRLPAYVFFSSAASDLAVFLHLPSTRDSIKISLGEPGDSVVHFPGVPPFKASDIPSEILHDDEASRVILSTFQDLLDSTGVLVNTFESLETRALRALKDGLCVTGRATPPVYCVGPLVSGGGGDEKDHEYLRWLDAQPDKSVVFLSFGSLGAFSKKQVEEIAIGLQSSEQRFLWVVRNPRNDEKNLAEPLAEPDLDALLPAGFTESTKGRGLVVKLWAPQVEVLRHRATGAFMTHCGWNSSLEGITAGLPLLCWPLYAEQRLNKVFLVEEMKLGVEMRGYDGELVNAEEVEAKVRWVMESEDCQALRQRAIAMKDAAVEALREGGSSHAAFVKFLEDLQSYVDSVSRPTGRGRSTACNVINLNMDVNDLDIGLDAITQAFWMIYRRGTSIVCMRRPKEGRPTYKKGLDRKRY >LPERR01G25720.1 pep chromosome:Lperr_V1.4:1:22363084:22370519:1 gene:LPERR01G25720 transcript:LPERR01G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGGGVGHIVPFMELAKLFLKHGYDVTMVLLEPPFKSSDSGAAVVERLIASNPSISFHLLPPLPPPDFASFDKHPFLLVVKLLRQYNERLESFLRDIPRQRLHSLVVDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPKVLAGRETGLKELGDTPLDFLGVPPMPASHLIKELIEHPEDELCKIMVNRFKRNTETMGVLVNSFESLESRAAQSFRDPLCVPGKVLPPIYCVGPLVGGDSAKKEAAERHECLDWLDAQPEHSVVFLCFGSKGTFSVEQLKEIAVGLENSGQRFMWVVRTPPTAEDIKKYFEQRPEPDLDELLPEGFMERTKDRGFVVTSWAPQVEVLRHPSTGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTADMGVAVELEGYDSGFVKAEEVKAKLRLVMESEEGRELRARSAARKEEADAALEEGGSSHAAFVRFLSDAENLREKQHGVEKHQRKISRTARSHQTADSQNKKK >LPERR01G25730.1 pep chromosome:Lperr_V1.4:1:22384811:22386220:-1 gene:LPERR01G25730 transcript:LPERR01G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVVLYTWMVRGHLHPMTLLADHIASHGVPVTVAVADVPSSGESPKTVAPLSASYPSVSFQLLSSAPARSGDTADPDADPFITLLADLRAANAALIAFVRSLPSVEALVVDFFCAYALDAATELGVPAYLFFMSCASALAAYLHIPVMRSTASFGEMGRSLLHFPGVHPIPASDLPEVLLLDREKDQYKTTIALFEQIAKAKRVLVNTFEWLEPRAVKAIQDGTPRPGEPAPRLFCVGPLVGEERGGEGNKHECLSWLDAQPAQSVVFLCFGSASSLPAEQLREIAVGLERSKQSFLWAVRAPVAPDADSTKRLEGRGEAALESLLPDGFMERTRGRGLVVSSWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQTMNKVFIVDEMKLGVTMDGYDKGMVKAEEVEAKVRLVMESEQGKQIRKRVALAKEKSTCATEIGGSSTASFADFLGNLKA >LPERR01G25740.1 pep chromosome:Lperr_V1.4:1:22389068:22396165:-1 gene:LPERR01G25740 transcript:LPERR01G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDHKGDAEFFNKPLVNYGEMLTIFGSTMATRKYAMDSSLVLGTDDVAIEIYDVDDCPPTMDHDERSSATKPKKAKTSGHDDEGLIGAFERVSDKLAAAIAKAGTPDNHIHADLFQNLNSIPGFKRIHISHYYAYLVANPHIVGAFNDFPFENKLDLVAMYVSEKFPNSILREESLAMAKKTVLLYPCPELGHLNPMVELAKALVRRGVSVTLAIADPPDKGAVLAGALARVAAAASSSIGVRLLPIPSSDNKTYSNPVMWIVDAVRRANPALRELLRSSLPAFDALVVDMFCVEAFDIAAELAVPAYMFYPSAAGDLAVYLQVPSVARSAPSSFKDMADTVLNFSGVPPVRALDMPDTMVDRESDVGKTRVHHCSRMPDARGILVNSFDWLEARALKAIRSGLCLPAGRSAPEIYCVGPLVDGGKIGENGERHECLKWLDSQPKQSVVFLCFGSRGTFSVAQLSEMARGIENSGHRFLWAVRSKLNHEVDLEALLPEGFLERTKGRGLVLKNWAPQSEVLQHGAVGAFVTHCGWNSSLEAILFGVPMICWPLYAEQRLNKVHVVEEMKVGVVVEGYDRELVKADELETKVRLVMESEEGMKLRERSSMAKEMAADAIKDGGSSDTAFAEFLNNLGTDNVKSDTESGRYNRA >LPERR01G25750.1 pep chromosome:Lperr_V1.4:1:22396208:22397629:-1 gene:LPERR01G25750 transcript:LPERR01G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKPSRSVAVVLYTAMGAGHLLPMVELAKLFLRRGFVVTIAVPTTPGPATTGSPTIAGIAASNPSITFHHLPPPVCADPDPHPLMRMLQMLRLSVPSLVSLLRSIPSVAALFLDIFCAEAIDAAAALRLPAYIYFTSAAGAMAASLSLTRHYSTTTTSLRDMGKAPLRFPGLPPIPASDMPSTVQDREDRIYRTRARLNARAMEASGLLLNTYEWLEARAVGALREGACFTDYPMPPVYCVGPLVANGEEEEETERHACLAWLDVQPARSVVFLCFGSLGSFSAAQLKEIACGLESSGHRFLWVVRNPRQDPTNLLERLPEPDLAALLPEGFLERTSEIGMVVKSWAPQAKVLNHAATGAFVTHCGWNSTLEGVTAGVPLLCWPLYAEQRLNKVLIVEEMKVGVVVDGYDEEIVSAEEVEAKVRLVMESNEGEKLRERLAVARAKAVEALAEEGPSRVAYDEFLDRLVRSD >LPERR01G25760.1 pep chromosome:Lperr_V1.4:1:22397674:22401369:1 gene:LPERR01G25760 transcript:LPERR01G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDRSRGWRRETSCEPRVPSPSRHRQAPAPPETFAGPGPWDRAAVEIRLDHATLHQWLAKAFFLLQSQEEVDEKLILFSGNDYMGLSPHPALRKDAVKAAQEYSMGPRGSALICGYTTFHKLAEDVGVEKKGGPVSVLQDCVICPTEFSANMAVMTALGSVSSLLSVGRKPAERERIPVSLDALNHASIIDGIRLVERQEDVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPKLAWNTCLWREWWWCENGIDISIGTLSKDADCHAALHVSRKERWRRSVIWGHVQFFASLTKLDITSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITISASHSLDGIKRLVDALSPWLPDKHYERTYVMASKLGRRFSVLSTLS >LPERR01G25760.2 pep chromosome:Lperr_V1.4:1:22397939:22401369:1 gene:LPERR01G25760 transcript:LPERR01G25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDRSRGWRRETSCEPRVPSPSRHRQAPAPPETFAGPGPWDRAAVEIRLDHATLHQWLAKAFFLLQSQEEVDEKLILFSGNDYMGLSPHPALRKDAVKAAQEYSMGPRGSALICGYTTFHKLAEDVGVEKKGGPVSVLQDCVICPTEFSANMAVMTALGSVSSLLSVGRKPAERERIPVSLDALNHASIIDGIRLVERQEDVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPKLAWNTCLWREWWWCENGIDISIGTLSKDADCHAALHVSRKERWRRSVIWGHVQFFASLTKLDITSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITISASHSLDGIKRLVDALSPWLPDKHYERTYVMASKLGRRFSVLSTLS >LPERR01G25760.3 pep chromosome:Lperr_V1.4:1:22397939:22401369:1 gene:LPERR01G25760 transcript:LPERR01G25760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDRSRGWRRETSCEPRVPSPSRHRQAPAPPETFAGPGPWDRAAVEIRLDHATLHQWLAKAFFLLQSQEEVDEKLILFSGNDYMGLSPHPALRKDAVKAAQEYSMGPRGSALICGYTTFHKLAEDVGDCVICPTEFSANMAVMTALGSVSSLLSVGRKPAERERIPVSLDALNHASIIDGIRLVERQEDVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPKLAWNTCLWREWWWCENGIDISIGTLSKDADCHAALHVSRKERWRRSVIWGHVQFFASLTKLDITSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITISASHSLDGIKRLVDALSPWLPDKHYERTYVMASKLGRRFSVLSTLS >LPERR01G25770.1 pep chromosome:Lperr_V1.4:1:22409255:22409992:1 gene:LPERR01G25770 transcript:LPERR01G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGHHGEAEKNEPTKPLALPSPTVHPAANNDDVEAAAAAAKSGRSAQYLRRRRCVIWCGGCCVTSAVVIGIVILALALTVFKVKDPELTMNRVTLEDFGGDLRDTEQRQLSVNATLNADISLKNPNVASFRFDRSETEFYYAGETVGVAYAPDGEVGADRTVRMNVTLDVLADRISPNVNLTDLIFGQDYNLTSYTAISGRVNVLGIYKRNLDIKMNCSITLEVSALSSSVQSKSTNCVASVS >LPERR01G25780.1 pep chromosome:Lperr_V1.4:1:22412121:22415359:-1 gene:LPERR01G25780 transcript:LPERR01G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDGGAYSSGVAAASCPVGLDPTAIASYPKVPFYGGGAGAGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVACLDAWLRRNASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRSRVKPESYAAGMNCAGPASQSALGKATDERRRIVRGGHYHDGEH >LPERR01G25790.1 pep chromosome:Lperr_V1.4:1:22421465:22423562:1 gene:LPERR01G25790 transcript:LPERR01G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDEKKGSSSSSSAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRELKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVTLYTVPVLYEKYEDKVDAFGEKAEIEMKKYYALFEEKCLSKIPKGPLKDKKH >LPERR01G25790.2 pep chromosome:Lperr_V1.4:1:22421465:22424356:1 gene:LPERR01G25790 transcript:LPERR01G25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDEKKGSSSSSSAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRELKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVTLYTVPVLYEKYEDKVDAFGEKAEIEMKKYYALFEEKCLSKIPKGPLKDKKH >LPERR01G25800.1 pep chromosome:Lperr_V1.4:1:22425892:22426572:-1 gene:LPERR01G25800 transcript:LPERR01G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPTSPPLPDLGSFSYSWPTNKPLERADNDVDTYPARQCSFDFSTPPIDCSEQAAVIADADQMFRDGLLLPLRAVRHHGGNDDDDIGKAPKQDDIPVLLRSRSLDSSKGTATVNYASKRHRLARPASVNSSPSSLRRGATAHPVLRASIKLRLPSFRRCGCVLPRRLSCKYLRFLTPLYQKMVGGVGKRSTKKQASARAAADECSNGKVCEEAIRDAILHCKRSI >LPERR01G25810.1 pep chromosome:Lperr_V1.4:1:22428131:22439930:1 gene:LPERR01G25810 transcript:LPERR01G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTRTTKPAASAADDAKATVSVEYAKSGRSACKEEDREEIRELDKKRKSNQTAIGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCSEGIAKGELRLGATARDPRGYDSTKWYHITCFPTSSHPLGPIKDIVGFDSIKEADREEVRELDKKHKRDQTAMGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKGGHHQGCAPPGCHCPTKWYHAACFPTSSHPLDPVEEVKGFDSIKEEDREEVRALNKKHKRDQTGPTEESSTKKVKATLSSPAAGVAENASISVEYAKSGRSTCKGCSEIIASGALRLGATIRDPRGFDSTKWYHMTCFPASTYPAFTVENLMGFDSIKNQDCDKLREFEESHNRDGNVTGQLNEPSLKKEAVHSMEDSNGVENNLEGVKMLAGDKRAGPDATLPAHWKAFNTVIFREQDDGLQPSTKIAAFDFDGCLAKTSVRIVGADKWSLQYESIPEKLQILYNDGYKLVIFTNESNIERWKNKRQQAVDSKIGRLDKFIDRVKVFIACGLGKGKNIPDDPFRKPNTGMWWLMTEHFNSGLAVDMDKSFYVGDAAGRETDHSDADKEFAKAIGLKFHVPEDYFAEAANI >LPERR01G25810.2 pep chromosome:Lperr_V1.4:1:22428131:22439930:1 gene:LPERR01G25810 transcript:LPERR01G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTRTTKPAASAADDAKATVSVEYAKSGRSACKEEDREEIRELDKKRKSNQTAIGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCSEGIAKGELRLGATARDPRGYDSTKWYHITCFPTSSHPLGPIKDIVGFDSIKEADREEVRELDKKHKRDQTAMGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCREVITKGALRLGATARDPRGYDSTKWYHVACFPTSSHPLGPVEKVVGFDSIKEADRDEVRKLDKEEDREEVRALNKKHKRDQTGPTEESSTKKVKATLSSPAAGVAENASISVEYAKSGRSTCKGCSEIIASGALRLGATIRDPRGFDSTKWYHMTCFPASTYPAFTVENLMGFDSIKNQDCDKLREFEESHNRDGNVTGQLNEPSLKKEAVHSMEDSNGVENNLEGVKMLAGDKRAGPDATLPAHWKAFNTVIFREQDDGLQPSTKIAAFDFDGCLAKTSVRIVGADKWSLQYESIPEKLQILYNDGYKLVIFTNESNIERWKNKRQQAVDSKIGRLDKFIDRVKVFIACGLGKGKNIPDDPFRKPNTGMWWLMTEHFNSGLAVDMDKSFYVGDAAGRETDHSDADKEFAKAIGLKFHVPEDYFAEAANI >LPERR01G25810.3 pep chromosome:Lperr_V1.4:1:22428131:22439930:1 gene:LPERR01G25810 transcript:LPERR01G25810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTRTTKPAASAADDAKATVSVEYAKSGRSACKEEDREEIRELDKKRKSNQTAIGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCSEGIAKGELRLGATARDPRGYDSTKWYHITCFPTSSHPLGPIKDIVGFDSIKEADREEVRELDKKHKRDQTAMGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCSVSIAKGALRLGATARDPRGYDSTKWYHAACFPTSSHPLDPVEEVKGFDSIKEEDREEVRALNKKHKRDQTGPTEESSTKKVKATLSSPAAGVAENASISVEYAKSGRSTCKGCSEIIASGALRLGATIRDPRGFDSTKWYHMTCFPASTYPAFTVENLMGFDSIKNQDCDKLREFEESHNRDGNVTGQLNEPSLKKEAVHSMEDSNGVENNLEGVKMLAGDKRAGPDATLPAHWKAFNTVIFREQDDGLQPSTKIAAFDFDGCLAKTSVRIVGADKWSLQYESIPEKLQILYNDGYKLVIFTNESNIERWKNKRQQAVDSKIGRLDKFIDRVKVFIACGLGKGKNIPDDPFRKPNTGMWWLMTEHFNSGLAVDMDKSFYVGDAAGRETDHSDADKEFAKAIGLKFHVPEDYFAEAANI >LPERR01G25810.4 pep chromosome:Lperr_V1.4:1:22431657:22439930:1 gene:LPERR01G25810 transcript:LPERR01G25810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALAASSAAAAAKATVSIEYAKSGRSACKVCSVSIAKGALRLGATARDPRGYDSTKWYHAACFPTSSHPLDPVEEVKGFDSIKEEDREEVRALNKKHKRDQTGPTEESSTKKVKATLSSPAAGVAENASISVEYAKSGRSTCKGCSEIIASGALRLGATIRDPRGFDSTKWYHMTCFPASTYPAFTVENLMGFDSIKNQDCDKLREFEESHNRDGNVTGQLNEPSLKKEAVHSMEDSNGVENNLEGVKMLAGDKRAGPDATLPAHWKAFNTVIFREQDDGLQPSTKIAAFDFDGCLAKTSVRIVGADKWSLQYESIPEKLQILYNDGYKLVIFTNESNIERWKNKRQQAVDSKIGRLDKFIDRVKVFIACGLGKGKNIPDDPFRKPNTGMWWLMTEHFNSGLAVDMDKSFYVGDAAGRETDHSDADKEFAKAIGLKFHVPEDYFAEAANI >LPERR01G25810.5 pep chromosome:Lperr_V1.4:1:22428131:22432205:1 gene:LPERR01G25810 transcript:LPERR01G25810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTRTTKPAASAADDAKATVSVEYAKSGRSACKEEDREEIRELDKKRKSNQTAIGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCSEGIAKGELRLGATARDPRGYDSTKWYHITCFPTSSHPLGPIKDIVGFDSIKEADREEVRELDKKHKRDQTAMGPTEESSPKKVKANLPSSGEGVAENATISIEYAKSGRSTCKVCREVITKGALRLGATARDPRGYDSTKWYHVACFPTSSHPLGPVEKVVGFDSIKEADRDEVRKLDKHGPSSVGSFYLANKDNRLRRVPSGAYRAVRPFD >LPERR01G25820.1 pep chromosome:Lperr_V1.4:1:22440535:22442658:1 gene:LPERR01G25820 transcript:LPERR01G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEGDSNGCGVGSGGVAADVTLSPNAVLPGFAKRSGATTKTSCRHGFPRGARAAAAEAWAFASEDTGRLAFAFKAGLAMLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAISVIWISIRCGGVAEPYVIGLSIFLVGTVTSFVKQLPTLAPYEYGFRVILFTYCLIIVSAYRVGEPLPAALDRLYAIAIGAVLALLVNMLVFPAWAGEQLHRELVASFSTVADSLHECVKCYLKGDEAAVDGGGELRDGDGDGVPAIEKCRATLNASARIDSLASSARWEPPHGRFRSFSFPWSHYARVGAVLRHCAYEVVAMHGCLHSEIQAPDGVREAFRAEIEDAALQAAELVRVLGGDVDAMTRSAAERLSLLKSVHGSAYRLQLALELNSHLLVSTGSVAEEMTISGGGGGLERSCSRQVVHESTTRRQRQQMSWPSREADELEEMEAGSGYAAAMMMRMRALESTAALSLATFASLLLEFVARLDHLVDAVDELSKLAKFREDTDPI >LPERR01G25830.1 pep chromosome:Lperr_V1.4:1:22445974:22446453:1 gene:LPERR01G25830 transcript:LPERR01G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIVNDYGDGTYRVAFDEDYIHTTVTAYGDDVEDWLDEILRIHRRRLNYLVVGLDVEWHPGNYYSGPGPVALLQICVGRRCLVFQILHADYIPDGLFDFLADGRFTFVGVGIHDDVVKLRRSYNLEVEGAVDLRYLAAQTIGKPRCGAPGCRVWFGR >LPERR01G25840.1 pep chromosome:Lperr_V1.4:1:22450024:22453982:-1 gene:LPERR01G25840 transcript:LPERR01G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLSLRSPRPQRARRLKQARPPPSPPPPPTAAPMDSPSQPPPPAEGGSSADGEAVELPRLTVTQVEQMKVEAKVAEIYRVLFSNAPNANSLMLELWREQHTEYLTRGLKHLGPSFHVLDANRPWLCYWMIHALALLDEIPDEDVENDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNALVTIGSERALSSINRENLYKFMLQMKDTSGAFRMHDGGEIDVRACYTAISVASLVNILDCELAKGVGNFIRRCQTYEGGIAGEPYAEAHGGYTFCGLAAMILLHEADKLDLPSLIEWVAFRQGVECGFQGRTNKLVDGCYSFWQAAPLVLTQKLMTIVDEQLKSSYSSKRLPGDDAGASSSTEAVQYAKFGFEFIMKSNQMGPLFHSIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSSQ >LPERR01G25840.2 pep chromosome:Lperr_V1.4:1:22450024:22453982:-1 gene:LPERR01G25840 transcript:LPERR01G25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLSLRSPRPQRARRLKQARPPPSPPPPPTAAPMDSPSQPPPPAEGGSSADGEAVELPRLTVTQVEQMKVEAKVAEIYRVLFSNAPNANSLMLELWREQHTEYLTRGLKHLGPSFHVLDANRPWLCYWMIHALALLDEIPDEDVENDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNALVTIGSERALSSINRENLYKFMLQMKDTSGAFRMHDGGEIDVRACYTAISVASLVNILDCELAKGVGNFIRRYTFCGLAAMILLHEADKLDLPSLIEWVAFRQGVECGFQGRTNKLVDGCYSFWQAAPLVLTQKLMTIVDEQLKSSYSSKRLPGDDAGASSSTEAVQYAKFGFEFIMKSNQMGPLFHSIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSSQ >LPERR01G25850.1 pep chromosome:Lperr_V1.4:1:22454180:22457807:1 gene:LPERR01G25850 transcript:LPERR01G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLASRYSPRGVLSSPVRRVDSSPSLPADTGSLHSLVPHRGAGSFASEKIDGDYHHELGAHNTGNYREPYRTDSLSRQVQADIPSTDSSAGAGITNGANVDGSINAHYRHNPRQPEFPSRHEPYKSARENDGAPRYNDRQPYGGVNTQYRSNSAQSFQMDKPYGFANRHEPYTSARVNYEAPGYNDKQPYDGGSAYNQQTLPDANQQYSHTQGNNGLSGYSAGQTYHHNHGSGYNTQNNQQRYDDRQYGYGPSGLSYRQSTGNDQQVFQQQKVDQRSAGDCANRPGSTLQYPNPTRFNKDHVIRFQQGHNGDIGHNVSQPHQSPYVSRTIDAQSNFPGLSVNVNTAEQHSTHGIYREKSFDVQPSESAGNHMNNAPAPYQDGIYHNNLLSGSPQIDGSPSGISDVTCRESKVTVEEMDKLCEDGKVKEALELLALLQEQGTVVHAPQYFKLIQACGDATSLAEARKIHSQISQSALAVDTDVNNKILEMYAKCGSMEDAKRLFSTITQRNLTLWNTMISGFVHNGLGEEATDLFDQFKQTGDKPDSAMFTHVFLACGILGSVDEGMLHFESMQKDFGITPTMEHYVSIVNMLGQSGYIDEAREFVERIPVEPSVDVWESLMNMCRLNGLLDLGDRCAKIVECLDSSRLNEQSKIGLFPVNGSEIAKEKERKKVNAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGREIVARDAKRFHHFKDGLCSCKDYW >LPERR01G25860.1 pep chromosome:Lperr_V1.4:1:22459503:22462744:1 gene:LPERR01G25860 transcript:LPERR01G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVHRTQHQSVSKKSPRRAKGEGFRTRPHQAMATAAAGGARRALAGLRSASAPRTFSRPSAAATAQSPGPAASSLPRAPHRRLAISRVPVAALGGVQGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >LPERR01G25870.1 pep chromosome:Lperr_V1.4:1:22462003:22469862:-1 gene:LPERR01G25870 transcript:LPERR01G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSQPPELVELVGSSSPAVTPPPPTAARAWDYGDSDGDAEGAFQLAELSDQGLQESIRRWCGNLALTRRLRDGGEKMRRRIRRMEEELDRRRAAGPRKVCSVLFCIQLKDVMTWRPAVQPPSWDDSYAFKDGGKLSWVNVSSEYHPNVPTTATNNHGQVEEPAFSEELSYFGRQKNACLKKDRENASTPVSHQPTKHEVCPKSADDKQLNMDNSITYNKKKLGLKSCLRKRQKNNSFDDSNGVYAKLHRKDDTLTKRWEHSKSSITESGGLFNFKARNEQKDVILLDDEVTEPAESVNIEMTHKGDDPKIYYPSRTDMETVELTYSDIKCLEPEEYLKSPVINFYMQYLRKSRTRGDLYMFNTYFYSKLEEALSRVGDHDDSQFSKLRRWWKSVDIFQQPYIILPIHGKMHWSLIIICMPAKDTECGPMILHLDSLGLHSSQEVFDVIERYTITLDTLQEKKSYLKAEWQHLQNDSSYIIPFPGRIWSHLSENIYKAKVEVPSQPNEYDCGIFMLHYIERFIHEAPERLTKKNLEGLTRKNFRMFGHKWFDPRETSGLRDRIRTLVFDAFESARMDDELSQSEPHSDDHTGDEDKDGDTVLLVID >LPERR01G25880.1 pep chromosome:Lperr_V1.4:1:22485248:22489458:1 gene:LPERR01G25880 transcript:LPERR01G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAYTDPFLPPKMVSSAAFVAPMGAYGQPQAAPRPPACPYASSSSPPPVSASYHSWPPATSASPVSSPPPVSSPPESSPPPALPPPPPDAAPPSLPPSPPPPPMSTDQPRVQPRVYPSPPPPSLPPPPTSPTFSPPSPPPFHPPSSSPAPAPAPAVVYPPPPPPRIAPPPPPRNHIKPHYAPRSPARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYFASSGGSSLTSDAFFRSPGYPLRMFSAGSHGYPYSPADSGIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFRAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLMKAIEHREFGELPDPRMENRFDENEIYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >LPERR01G25900.1 pep chromosome:Lperr_V1.4:1:22491561:22496889:1 gene:LPERR01G25900 transcript:LPERR01G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIAQATKRYGECEMVMNVLWQRLGNTGANWRHVYKALAVIEYLIANGTERAVDGIVDNSSQIAKLIRFEYLEPNGKDVGLNVRKKAETVLAILDDREKLQEVREKAAATRDKYFGLSSTGITYKSGTASFGSGSYSSSSHYGSTGGSRELGSFKDSYSGTERRKNNKEVTPNYSSNKGGLKEITNNATSYKSRKSEGHGRRNQYFSTSHSKSSANRSTTSEAPSSKKVENEDEDDDFNPRGSSTSATARSNHLDLVGASLMDDLVYCNASTSTTMPNVSTAGVPEVDLFAGPTFQAANVTSEAPTVSHTRDNIDLFASRLSPADLFTSDKDFSAHSSTNKSSEQKLSSIAQSSTFDPFQQSFATSFPSDAEFSVHDPTSKSSHEKSPTQQHSSTEAFDPFAAKKSFDGSRTFSSYPGSNVTELPRDSYGGLKTSDHGPMEELNFGAFTSNSEPTTSATESMNNPIKKLGQDSMSASKSAVKKETFQVKSGIWADSLSRGLINLNITSPKKVNLSDVGVVGRLKDESDKGPGAPWYTGVTMDTASGLVRPSFTSSTETDSGSGHFKHHQQFGSFK >LPERR01G25910.1 pep chromosome:Lperr_V1.4:1:22496531:22497451:-1 gene:LPERR01G25910 transcript:LPERR01G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPSCPELLMLPRAWRDHGELLTGHRPIARSFCLVDPADRNRRPFSSSGPPFSTREASSSHDVVVYEPSSSDDVVVHEPFATAPTSPTAPAAVSTPAITLSSLSVYDVNAINNAGEKANEVVYADREEQPGRDQDDEGPEKEVKVKPSRTAVAPDDVVDTTKLDECATPPAHDSEGSDTMSADDHGSPQREKQKTTTAGGGAKRGVMGSCGSSRLLAFRSFSRDKKTKAPPPSSPAEHRPPANGRAGDEGDEHKEKGKERRKRFWK >LPERR01G25920.1 pep chromosome:Lperr_V1.4:1:22497962:22502870:-1 gene:LPERR01G25920 transcript:LPERR01G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDDDAAYAKLQEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIERRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKAKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLANKSYLPVNTKEHGENAKKLANGEK >LPERR01G25920.2 pep chromosome:Lperr_V1.4:1:22497962:22502639:-1 gene:LPERR01G25920 transcript:LPERR01G25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPKEEEEAEAGLGVAVEEDHDSPAAPGQPEPVTKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLQEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIERRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKAKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLANKSYLPVNTKEHGENAKKLANGEK >LPERR01G25930.1 pep chromosome:Lperr_V1.4:1:22507261:22512525:-1 gene:LPERR01G25930 transcript:LPERR01G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKRYGSSRFASTSIVKQSSGGLFGLLLGEKASQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPGSSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFDWEVKEQLQKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAANYTAPRMVLAASGVDHDELVSIAEPLLSDLPSISRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWYDEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQFESFSAFNSIYNHSGLFGIHATTSPDFASKAVDLAARELLEVATPGQVTQEQLDRAKQATKSAVLMNLESRIVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIMSSPLTLASWGDVIHVPSYESVSQKFLSK >LPERR01G25940.1 pep chromosome:Lperr_V1.4:1:22514625:22515167:-1 gene:LPERR01G25940 transcript:LPERR01G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWIDPTSTLAAVTPARP >LPERR01G25950.1 pep chromosome:Lperr_V1.4:1:22516326:22520308:1 gene:LPERR01G25950 transcript:LPERR01G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICELGECDGVRLEGEEQQAEEAGVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQCILLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPTSLYQAHGIDHLVIPTRDYLFAPALQDICQAIDFIHRNALEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFNTLTTGRLPIRSTGHFLEAGDDCITNKEIDDYYSMELDYEDSGLPLCQVMLPRPTSSTVCTDAILITEADLEGYDAYYAGARKDVVSLEVVVSRKPIMRRLSCLFGSLKLTNCEPTPSRFAEVRAC >LPERR01G25960.1 pep chromosome:Lperr_V1.4:1:22522214:22529450:1 gene:LPERR01G25960 transcript:LPERR01G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDETQDVAYLTEENQTNQHDENPPPPNLLPPSSQSHPPLSPAAAGGGGFSAMAARLASRALPFASRSPAPAAALLRSAPMRCPPPPLPAAAAPTASLLSWRGFTPTAEPSLSAPMPPFVGFFAGIRGFRRGRRGQSAARRAQPQDPMPTPPPPPKESEIELYARIGIDDDMPDDAEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSIGDVGKYDKVEVSVSLCNDNFIKNLNKEWRGEDSCTEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILVVRGLLRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVPDLGKRHTETLDGQVTSGLKRAGSLRFYRPKFNYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALSMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRSLDQEVCREAFSYSLEHKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSVDQLLGTAQIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGDGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSERTKAVANIIGAANDEDGVAQAIYDYAF >LPERR01G25960.2 pep chromosome:Lperr_V1.4:1:22522214:22529564:1 gene:LPERR01G25960 transcript:LPERR01G25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDETQDVAYLTEENQTNQHDENPPPPNLLPPSSQSHPPLSPAAAGGGGFSAMAARLASRALPFASRSPAPAAALLRSAPMRCPPPPLPAAAAPTASLLSWRGFTPTAEPSLSAPMPPFVGFFAGIRGFRRGRRGQSAARRAQPQDPMPTPPPPPKESEIELYARIGIDDDMPDDAEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSIGDVGKYDKVEVSVSLCNDNFIKNLNKEWRGEDSCTEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILVVRGLLRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVPDLGKRHTETLDGQVTSGLKRAGSLRFYRPKFNYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALSMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRSLDQEVCREAFSYSLEHKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSVDQLLGTAQIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGDGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSERTKAVANIIGAANDEDGVAQAIYDYAF >LPERR01G25970.1 pep chromosome:Lperr_V1.4:1:22528426:22529066:-1 gene:LPERR01G25970 transcript:LPERR01G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSGAAVLPVYHEEEDEDLFDTSSSISGDSDDEAQFSDSEEAEAQEHQFAQQAASPAMQPARRLNSDSLYDLSSMMAQLPAKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGSQQACHIPDANSQSIAKKSSASSCANLMARNNAKSMLYRAPPIPVNKSGYHQ >LPERR01G25980.1 pep chromosome:Lperr_V1.4:1:22536701:22540295:1 gene:LPERR01G25980 transcript:LPERR01G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARQAAGLGARTMAHVSFVSLLFLLLVGHCLGGKVGICYGRNADDLPGPDKVVPLIQQQSVKYVRIYDTNIDVIKAFANTSVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKVGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANATEVDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGKMSTTNSSTTPVLNGSSPSRLDNHFSELIQMLLIMIAACIL >LPERR01G25990.1 pep chromosome:Lperr_V1.4:1:22546498:22549882:1 gene:LPERR01G25990 transcript:LPERR01G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPSVPRPTTGAAGVHPSTSPCFCTLHLQDYHHPSSSGSLSRTTAQLPLASSESPAANGGEPVVISLDAAAVQRLSSSARHAELVVSVHAGQKGGGTTACGMGAGRALGRVRVPVDVVRAAAGETIVARDGWVDVGKPTASAAAARIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAAGGQQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTSRKLQAWLSTLHGGDRDAQARREQRKGWTVTIHDLSGSPVAMASMVTPFVPSPAGSGRVSRANPGSWLILQPTGAGPSSCWKPWARLEAWRERGPGVDALGYRLELVFDSGPTECAVPIAESSISTKRGGQFVIDPATFPAGGAGAAAWPFSGGFVMGSTVEGEGRASRPTVQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELCHDQED >LPERR01G26000.1 pep chromosome:Lperr_V1.4:1:22555894:22560615:-1 gene:LPERR01G26000 transcript:LPERR01G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLHTPSPPSPPSKPTTRRRLLRVISITLAVLVACLSLLLLVVRSSPTTPPNYGSLFISLASNDTAARHLRALTLHPHVAGTRANSLTAAYVRDAFSALSIPSHITPYSVLLSYPIHRSLSLAVPGRETTTSFTLSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGMIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPSSRWLPPTGVQVGSLFRGVGDPTTPMWGSSEGCERMSVEEAMATDDMPGIPALPVSARDAAEIHASLGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAERLSVLRKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVQDPDNSSQTVYDSWVKSNISPSIGRLGHGGSDFCAFVQHVGPGYPVYHSLYDDFVWMEKFGDPGFRRHAAAASIWGIMALRLADEEILPFNYKPYTSELEEYTKVVENEIRGTAVSCSPLHSAIRALKIAATKINGERKELQRQLLSNQLSKDSMKIRALNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASFPGVGNAIASARSDNTTKSWKFVQHEIHRVARAITQASVVLTGSLT >LPERR01G26000.2 pep chromosome:Lperr_V1.4:1:22555894:22560615:-1 gene:LPERR01G26000 transcript:LPERR01G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLHTPSPPSPPSKPTTRRRLLRVISITLAVLVACLSLLLLVVRSSPTTPPNYGSLFISLASNDTAARHLRALTLHPHVAGTRANSLTAAYVRDAFSALSIPSHITPYSVLLSYPIHRSLSLAVPGRETTTSFTLSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGMIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPSSRWLPPTGVQVGSLFRGVGDPTTPMWGSSEGCERMSVEEAMATDDMPGIPALPVSARDAAEIHASLGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAERLSVLRKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVQDPDNSSQTVYDSWVKSNISPSVRPGYPVYHSLYDDFVWMEKFGDPGFRRHAAAASIWGIMALRLADEEILPFNYKPYTSELEEYTKVVENEIRGTAVSCSPLHSAIRALKIAATKINGERKELQRQLLSNQLSKDSMKIRALNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASFPGVGNAIASARSDNTTKSWKFVQHEIHRVARAITQASVVLTGSLT >LPERR01G26010.1 pep chromosome:Lperr_V1.4:1:22563465:22564616:-1 gene:LPERR01G26010 transcript:LPERR01G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGLVRRDVHPRRSSKEEGIEDIGCGGDGSRPNPSISNGYYLELKLFVLRGSIRDWAEVF >LPERR01G26020.1 pep chromosome:Lperr_V1.4:1:22566319:22570635:-1 gene:LPERR01G26020 transcript:LPERR01G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRRRRFVCFLAISIALVASYHHLLAPTPSASRYHALFLSLGSNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAVLSSFSFPTRVTPYSVLLSYPVHRSLSLALPGRAAAFNFALVQETYRGDPYAEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYKYLASRGVDVAGKVALVRYGNLHCEDMVRNAQAAGAAAAIVYTDAKDYGGAGAKGEKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSKEDLEGSAAMPGIPALPVSARDGETILKAMGGDVAPPEWQGGEGAPVYRLGPGPAVLNLTYIGNETLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDISVFGPGGFSPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIARVAGAGTDFAAFLQYIGVPSIDMSYGIMASVWGLIALRLADDEILPFNYVSYASELEKCIKVVEGGCPGCPVSFTPLHKSINQLGKAANKIHEEKMMLQAENWSLKTREYTVKVRDINDRLMMAERAFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTAESWQHLQHEIYRAARAVYKASAVLDGRLT >LPERR01G26030.1 pep chromosome:Lperr_V1.4:1:22573115:22578068:-1 gene:LPERR01G26030 transcript:LPERR01G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRPPSLLALLFLALASHGECAGGDDTGPAPAPGNGTATASAPLACSELSRVCTAFIAFPAAGRDAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSASGGGGAAPNATAADVAAAAYARLAVPPPGGPALRAPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANSMAGPDPITTGKVYYIPLNSVPGQPYAVMSPAPAPAPAPAQNTLSDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKISQYNPPAPNSQEKSPEHSISHKFQLLKSGSFCYGSGRYLCCQFGNLKQSRTDGSDRHMNIPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYVYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRTDGMNEGSNSERRSLASVMLTALRNCRNSTYMGSLKDCIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >LPERR01G26040.1 pep chromosome:Lperr_V1.4:1:22592384:22595881:1 gene:LPERR01G26040 transcript:LPERR01G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSKKREGIPPQLLDLISDEKEWKLRETLGLGRSRNEGFDGEEDKKLDLKLGLPGLIEEDRAATSRDYRLQQESTSLSLGLYPKHSKNTTATTTTTGAKRGFIDTVETKTEGYNGQKQQARAGCGKELAVEEIIAAVSERKGCCPCPPPPSHGAPATPARNSGNRPQPQGRGAATPVVGWPPIRSFRRNLASSSSSKQSPEPQNGEANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYNELSSAVKELFHGFLQAQKDQSNAEGFKEFRAFPHPDWSYC >LPERR01G26040.2 pep chromosome:Lperr_V1.4:1:22592384:22595881:1 gene:LPERR01G26040 transcript:LPERR01G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSKKREGIPPQLLDLISDEKEWKLRETLGLGRSRNEGFDGEEDKKLDLKLGLPGLIEEDRAATSRDYRLQQESTSLSLGLYPKHSKNTTATTTTTGAKRGFIDTVETKTEGYNGQKQQARAGCGKELAVEEIIAAVSERKGCCPCPPPPSHGAPATPARNSGNRPQPQGRGAATPVVGWPPIRSFRRNLASSSSSKQSPEPQNGEANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYNELSSAVKELFHGFLQAQKDQSNIGATARV >LPERR01G26040.3 pep chromosome:Lperr_V1.4:1:22592424:22595878:1 gene:LPERR01G26040 transcript:LPERR01G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSKKREGIPPQLLDLISDEKEWKLRETLGLGRSRNEGFDGEEDKKLDLKLGLPGLIEEDRAATSRDYRLQQESTSLSLGLYPKHSKNTTATTTTTGAKRGFIDTVETKTEGYNGQKQQARAGCGKELAVEEIIAAVSERKGCCPCPPPPSHGAPATPARNSGNRPQPQGRGAATPVVGWPPIRSFRRNLASSSSSKQSPEPQNGEANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYNELSSAVKELFHGFLQAQKDQSNIGATARV >LPERR01G26050.1 pep chromosome:Lperr_V1.4:1:22602200:22603732:-1 gene:LPERR01G26050 transcript:LPERR01G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLTKSQPFPLLAAAASPRRTLLAGLLRAACFSSPAAMFHPVTTVTNRAVSPLGPSRLGLGARASFSTAPDGASSVDGGGSALPWLAAANRDGVPAPSVRVSAGRSSSWETSAEKFFSRGEQTARGEVLTDRGSSKELIREEEDNESIDNPKWGRIKDRYRRMAPRDRGSRGERFRRERFDKPEVRQWGRQEEWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAGRREFYALYMQEGMDLSGSNKKKKDKKGIEKVLQLAERIGLKIIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDGGKAPVWLALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVVGGIVAPKAIPLSEVAPGEPTILVLGSEGTGLRPLVERSCTDLVRIPGNVDGSAVGADINTDGGEEGDNYLANQDMKSFLAVESLNVSVAAGVLLYHLAGANASPVSDKPSIPLM >LPERR01G26060.1 pep chromosome:Lperr_V1.4:1:22609074:22613487:1 gene:LPERR01G26060 transcript:LPERR01G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRVDEAERGITIKSTGISLYYEMSDDSLKSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKYTGSDTCKRGFVQFCYEPIRQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYAASIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATITNEKEVDAYPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVILHSDAIHRGGGQVIPTARRVIYAAQLTAKPRLLEPVYLVEIQSPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLCVFDHWEMLSADPLEPGTQTANLVLDIRKRKGLKEQITPLSEYEDKL >LPERR01G26070.1 pep chromosome:Lperr_V1.4:1:22613007:22613957:-1 gene:LPERR01G26070 transcript:LPERR01G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATGANVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTPSKAEGLVSRGATLAESPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGVLVDMTTSDPTLAAEIASAASASGCAAVDAPVSGGDRGARNAALSIFAGGDAGVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICVSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILALERLNNTCLDKKPE >LPERR01G26080.1 pep chromosome:Lperr_V1.4:1:22620125:22620373:1 gene:LPERR01G26080 transcript:LPERR01G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGDGGIHPSRMRLGGGAAAAEGMHLGSHGDNKMHPGGGSGTEGMYPGDSDGNGTGGMHPNRDDGDSRMRPGGDTGRTEA >LPERR01G26090.1 pep chromosome:Lperr_V1.4:1:22625642:22630948:1 gene:LPERR01G26090 transcript:LPERR01G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAPIPHRNMAKTPKIPVYLLILLLAVTSCRLPPRAAAQAPAAGAGEAPLLLQIKRAWGDPPVLAAWNGSAAAAHCYWQYVTCDGAGRVTNLSLANTNVTGPVPDAVGELTSLVHLDLSNNNINGSFPTSVYRCASLLYLNLSKNYFEGELPADIGLRLGENLTTLGLNGNTFIGTIPKSLSKLQKLEWLTLDNNNLTGTIPAELGDLTNLRTLMVGYNKFEPAELPTSFKSLTKLTFLSVPGCKLVGNIPDYVADMPELEMLDLPFNNFAGSIPPGIWSMKKLKHLYLYSNNFTGEIVVDGAIGAVNLVQIDLSSNYKLTGPIPEGFGDLQKLELLNLFYNNFSGEITASIGRLPSLQTIKLFDNSFTGVLPPELGQKSQELFDVELDNNKFTGPIPEGLCENGKLIAFTAANNLLNGSIPERLAGCSTLDSIILSNNQLSGEVPESLWTATKLQYALLENNRFTGILPATMYSGLEYLTLENNEFSGSIPAAAAALYKFTAGNNNFSGEIPASLSNDMPLLQNLNLSGNHLSGGIPKSFAKFKSLNQLDLSRNELSGEIPAKLGDIPVLNALDLSSNNLSGEIPLTLARPSLNSLNLSSNQLGGQVPAALATAAYERSFLDNPNLCTSSLGSSSLAGVRSCDARSGSPAGASSGGVSPGLRAGLLAAGAALVLVIAGLAFFAVRDIKRRRSAAARGEDWKVTPFQPDLGFSTAAVLRGLTEENLVARGGSGSVYRVKYTNRYTGGDGAVAVKKIRASAAGKVDEKVEREFESEASILGNVRHVNIVRLLCFVSGAEAKLLVYDYMENGSLDGWLHGRRTGAINARARSARGGVAPALDWPTRLRVAVGAAQGLHYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTMSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKTANDGGEYGCLADWARHHYQSGGSVTDATDQCIRYACYSDEVEVVFRLGVMCTGATPSSRPTMKDVLQILVRCSEQTHLKCKAESGQEYEAAPLLLPQQGSRRKQLSNAKGADDDSDERSDFHSIV >LPERR01G26100.1 pep chromosome:Lperr_V1.4:1:22630092:22634683:-1 gene:LPERR01G26100 transcript:LPERR01G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAVVGTAVVVCAAAVGVAVVVSRRRRSKREAEAERRSRAGAVIEEVEQRFSTPTALLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEQGLFYALDLGGTNFRVIRVQLGGREKRVVTQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFCINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEDANAIPKWTGILPRSGNMVINMEWGNFKSERLPRSEYDSALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDIVAERGARLAAAGIYGILKKLGRDKVPSDGSKQRTVVALDGGLYEHYKKFRTCLEATLADLLGDEAASSVVVKLANDGSGIGAALLAASHSQYASVE >LPERR01G26120.1 pep chromosome:Lperr_V1.4:1:22649689:22653251:1 gene:LPERR01G26120 transcript:LPERR01G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMRWFKKLLTGGRKEAHRGLKENDLTDGADREQSRWSFAKHRRSGVDGGRRPSEAAAIAAVTAEPSEVRQPCHCGKVENAMARREKAATVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQASHRIPRITPPPRQRSWGFTDLSMLQQTQREFDRLAFGPFFCNVQERVSVQENRLKTPPPGHRRRLSDSADTNYEHSPRIVEMDTCHLRSRSSRIITRHSRHRSSDCLAPPPCSSPMSIKQPPRLSIQRERDARQYGGSKTAHNTPRLGVATAPPYGSSPAKSVDGMAARRLSHRDALVSPRYMAGTASSAARSTRCQSAPRQRLQGGEATPRASMTRAGSRRSCSRTTQDSSFCFECSEDSRPGYSEELSHDAARDYYLDRMW >LPERR01G26120.2 pep chromosome:Lperr_V1.4:1:22649689:22653251:1 gene:LPERR01G26120 transcript:LPERR01G26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMRWFKKLLTGGRKEAHRGLKENDLTDGADREQSRWSFAKHRRSGVDGGRRPSEAAAIAAVTAEPSEVRQPCHCGKVENAMARREKAATVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQASHRIPRITPPPRQRSWGFTDLSMLQQTQREFDRLAFGPFFCNVQERVSVQENRLKTPPPGHRRRLSDSADTNYEHSPRIVEMDTCHLRSRSSRIITRHSRHRSSDCLAPPPCSSPMSIKQPPRLSIQRERDARQYGGSKTAHNTPRLGVATAPPYGSSPAKSVDGMAARRLSHRDALVSPRYMAGTASSAARSTRCQSAPRQRLQGGEATPRASMTRAGSRRSCSRTTQDSSFCFECSEDSRPGYSEELSHDAARDYYLDRMW >LPERR01G26130.1 pep chromosome:Lperr_V1.4:1:22658867:22662407:-1 gene:LPERR01G26130 transcript:LPERR01G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRVVPCIAALAAVLLVAVAPGGVAGHTRGVRPGNQQPSFPENATRVEAIERQFMEWVRYMGGLQHSTLHHALARAFPSYTLVVDKNPAFGDFTTIQAAVDSIPVINLVRVVIKINSGTYTEKVNVSPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYNSASFAVNAQYFLARNITFKVTQSDADLPLFYLGCIAVVSSICGDDTEQNTSPVPKPGALGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAFTYMDDIIIPRGWHNWGDPNRELTVFYGQYKCSGPGASFSGRVSWSRELTDEEAKPFISLSFIDGTEWVRL >LPERR01G26140.1 pep chromosome:Lperr_V1.4:1:22665667:22671340:1 gene:LPERR01G26140 transcript:LPERR01G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMPPPPDDNGSSSARTPLIPHTPPFPPRRGVSRLHPLPLLVAAAFAASYHLFIPAPAPSYYQSLLLSLGSNDTAAAHLRALTLRPHLAGSEANAVAAAHVSSTLSSLSFPVRVTPYSVLLTYPIHRSLSLSAPGHAAAPFALVQDTYPGDPYAAASAEAVPTFLAYAASGSVAAEVVYANYGRPEDFAYLTARGVDVAGKVVLARYGEVYRGDIVMNARDAGAAAAVIYTDAKDYAKGKAFPDGPWMPPTGVQVGSTFKAAGDPTTPMWASSEGCERLSVAEAMATDDMPRIPALPVSGRDGEAILQLIGGDVAPDDWQGGDGAPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQSPDNETQSLYDLWMASASSSLIGRLGGGGSDYSAFVQHIGIPSADISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVSVASMWGLVALRLSDDEILPFNYSTYAVELENGATDIHERLLGVPITVSPLQKSIEEFRRAALQVDSELKALRTWKVWYPWRNNPLKVRELNDRLMMTERAFTDREGLPGRPWYKHLIYAPSRHNDYGAQVYPGVDDAIQMAEISNTSESWRSVQHEIYRIARVINQASLVLSGGLT >LPERR01G26150.1 pep chromosome:Lperr_V1.4:1:22671921:22677642:-1 gene:LPERR01G26150 transcript:LPERR01G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFLSHILHRPPLLLAYALTPCSDFGDLAAGRFCFACESLTFFFPHYWVWLCRSRGGGRGGAFPSRLRTLRLNCSVAEAAASGDEAPAPPVRKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDEVIGECQNMNWGSFKTTLTDALIDHLQPIQVRYEEIMADPDYLDDVLLKGAGKASEIADVTLNNVYQAMGFLRR >LPERR01G26160.1 pep chromosome:Lperr_V1.4:1:22677976:22683539:1 gene:LPERR01G26160 transcript:LPERR01G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGERDDAAGAAAMAGVATGGVEDAYGEDRATEDQPITPWAVCIASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLPQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAVKQNYGQKVLVQFEDFANHNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKSVGSTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEEARKNIWLLDSKGLIVSSRKDSIQPFKKRYAHEHEPVKDLLDAVKAIKPTALIGSAGVGQSFTKEVVEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDMLFVPAQANNAYIFPGFGLGVVISGAIRVTDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >LPERR01G26170.1 pep chromosome:Lperr_V1.4:1:22680637:22687423:-1 gene:LPERR01G26170 transcript:LPERR01G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQMEQILELDMEELQVEEVDDDGSTSSSSVDTFLRNIHGDGGSSTSEALTSNMSLVFLPNCDGEVHGAPGRFAFLDGGEVLSLPIFYLEGVVLFPEAILPLRVVQPRSLAAVDKAVNHVDTPCMIGVVHVYRHNDDAHHAISSVGTTAEIQQIKQLDDGSSNVVTRGQHRFRLRRCWIDADDVQWGEIQIIEEDTPQRTPRDAFGQLAANFNFNQCGSSLASSGMSYFRQNDHVDSDQVWDSLSSTSTSSEHSVTDARRYSSNEDEDRMHEQSWQKHDSVGQIAALGNPVKHSHIRDNDEPCFRLQKTLATRNICAEKRVHCVAYSSKLALQAPLSFWPRWAYEMYDSYSLARRAADLWRQIIVNPSVDDYVRKPDILSYHIGSKLPLPGSVRQELLEIDGISYRLQKEIQLLKAYNIIRCRNCLTFLTKRSDGPVGAYIKQFGRGQEMMTVHNATGLAVRGNPSKAHSLFSGYTWTIALCAACESNIGWLFQADKKNLLPKSFWGLRCPQISDDIESGHKRAERRFIVS >LPERR01G26170.2 pep chromosome:Lperr_V1.4:1:22680637:22687423:-1 gene:LPERR01G26170 transcript:LPERR01G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQMEQILELDMEELQVEEVDDDGSTSSSSVDTFLRNIHGDGGSSTSEALTSNMSLVFLPNCDGEVHGAPGRFAFLDGGEVLSLPIFYLEGVVLFPEAILPLRVVQPRSLAAVDKAVNHVDTPCMIGVVHVYRHNDDAHHAISSVGTTAEIQQIKQLDDGSSNVVTRGQHRFRLRRCWIDADDVQWGEIQIIEEDTPQRTPRDAFGQLAANFNFNQCGSSLASSGMSYFRQNDHVDSDQVWDSLSSTSTSSEHSVTDARRYSSNEDEDRMHEQSWQKHDSVGQIAALGNPVKHSHIRDNDEPCFRLQKTLATRNICAEKRVHCVAYSSKLALQAPLSFWPRWAYEMYDSYSLARRAADLWRQIIVNPSVDDYVRKPDILSYHIGSKLPLPGSVRQELLEIDGISYRLQKEIQLLKAYNIIRCRNCLTFLTKRSDGPVGAYIKQFGRGQEMMTVHNATGLAVRGNPSKAHSLFSGYTWTIALCAACESNIGWLFQADKKNLLPKSFWGLRCPQISDDIESGHNTVS >LPERR01G26170.3 pep chromosome:Lperr_V1.4:1:22683054:22687423:-1 gene:LPERR01G26170 transcript:LPERR01G26170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQMEQILELDMEELQVEEVDDDGSTSSSSVDTFLRNIHGDGGSSTSEALTSNMSLVFLPNCDGEVHGAPGRFAFLDGGEVLSLPIFYLEGVVLFPEAILPLRVVQPRSLAAVDKAVNHVHVYRHNDDAHHAISSVGTTAEIQQIKQLDDGSSNVVTRGQHRFRLRRCWIDADDVQWGEIQIIEEDTPQRTPRDAFGQLAANFNFNQCGSSLASSGMSYFRQNDHVDSDQVWDSLSSTSTSSEHSVTDARRYSSNEDEDRMHEQSWQKHDSVGQIAALGNPVKHSHIRDNDEPCFRLQKTLATRNICAEKRVHCVAYSSKLALQAPLSFWPRWAYEMYDSYSLARRAADLWRQIIVNPSVDDYVRKPDILSYHIGSKLPLPGSVRQELLEIDGISYRLQKEIQLLKAYNIIRCRNCLTFLTKRSDGPVGAYIKQFGRGQEMMTVHNATGLAVRGNPSKAHSLFSGYTWTIALCAACESNIGWLFQADKKNLLPKSFWGLRCPQISDDIESGHK >LPERR01G26170.4 pep chromosome:Lperr_V1.4:1:22683054:22687423:-1 gene:LPERR01G26170 transcript:LPERR01G26170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQMEQILELDMEELQVEEVDDDGSTSSSSVDTFLRNIHGDGGSSTSEALTSNMSLVFLPNCDGEVHGAPGRFAFLDGGEVLSLPIFYLEGVVLFPEAILPLRVVQPRSLAAVDKAVNHVDTPCMIGVVHVYRHNDDAHHAISSVGTTAEIQQIKQLDDGSSNVVTRGQHRFRLRRCWIDADDVQWGEIQIIEEDTPQRTPRDAFGQLAANFNFNQCGSSLASSGMSYFRQNDHVDSDQVWDSLSSTSTSSEHSVTDARRYSSNEDEDRMHEQSWQKHDSVGQIAALGNPVKHSHIRDNDEPCFRLQKTLATRNICAEKRVHCVAYSSKLALQAPLSFWPRWAYEMYDSYSLARRAADLWRQIIVNPSVDDYVRKPDILSYHIGSKLPLPGSVRQELLEIDGISYRLQKEIQLLKAYNIIRCRNCLTFLTKRSDGPVGAYIKQFGRGQEMMTVHNATGLAVRGNPSKAHSLFSGYTWTIALCAACESNIGWLFQADKKNLLPKSFWGLRCPQISDDIESGHK >LPERR01G26170.5 pep chromosome:Lperr_V1.4:1:22683054:22687423:-1 gene:LPERR01G26170 transcript:LPERR01G26170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQMEQILELDMEELQVEEVDDDGSTSSSSVDTFLRNIHGDGGSSTSEALTSNMSLVFLPNCDGAATFTSLFPHQRFMVPQAGVVLFPEAILPLRVVQPRSLAAVDKAVNHVDTPCMIGVVHVYRHNDDAHHAISSVGTTAEIQQIKQLDDGSSNVVTRGQHRFRLRRCWIDADDVQWGEIQIIEEDTPQRTPRDAFGQLAANFNFNQCGSSLASSGMSYFRQNDHVDSDQVWDSLSSTSTSSEHSVTDARRYSSNEDEDRMHEQSWQKHDSVGQIAALGNPVKHSHIRDNDEPCFRLQKTLATRNICAEKRVHCVAYSSKLALQAPLSFWPRWAYEMYDSYSLARRAADLWRQIIVNPSVDDYVRKPDILSYHIGSKLPLPGSVRQELLEIDGISYRLQKEIQLLKAYNIIRCRNCLTFLTKRSDGPVGAYIKQFGRGQEMMTVHNATGLAVRGNPSKAHSLFSGYTWTIALCAACESNIGWLFQADKKNLLPKSFWGLRCPQISDDIESGHK >LPERR01G26180.1 pep chromosome:Lperr_V1.4:1:22694886:22701885:1 gene:LPERR01G26180 transcript:LPERR01G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLSPLQWWTEELFLEEEYRDTAAVVSSAGHHRRLSLSPRVVKEKAASMKKNLFDQASILSSGVSFVPTVYAQDVFYFPFICSSLTKCVLIQQGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDAYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGAATRPVM >LPERR01G26180.2 pep chromosome:Lperr_V1.4:1:22694886:22701885:1 gene:LPERR01G26180 transcript:LPERR01G26180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLSPLQWWTEELFLEEEYRDTAAVVSSAGHHRRLSLSPRVVKEKAASMKKNLFDQASILSSGVSFVPTVYAQDVFYFPFICSSLTKCVLIQQGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGAATRPVM >LPERR01G26180.3 pep chromosome:Lperr_V1.4:1:22694886:22701885:1 gene:LPERR01G26180 transcript:LPERR01G26180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLSPLQWWTEELFLEEEYRDTAAVVSSAGHHRRLSLSPRVVKEKAASMKKNLFDQASILSSGVSFVPTGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGAATRPVM >LPERR01G26180.4 pep chromosome:Lperr_V1.4:1:22694886:22701885:1 gene:LPERR01G26180 transcript:LPERR01G26180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLSPLQWWTEELFLEEEYRDTAAVVSSAGHHRRLSLSPRVVKEKGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGAATRPVM >LPERR01G26180.5 pep chromosome:Lperr_V1.4:1:22694997:22701885:1 gene:LPERR01G26180 transcript:LPERR01G26180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKTWISFEPHFQISILEDKDCLAGVLSQPENSLNPETLKLSLKNRAFISVMIVTPPPSSPPPGTIVASPSPLAGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGAATRPVM >LPERR01G26190.1 pep chromosome:Lperr_V1.4:1:22703511:22711394:1 gene:LPERR01G26190 transcript:LPERR01G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGDYDDFDEANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLIDGAALCYIADKLMPGVLEGTWGGYASDQRSDVKKFLSVVAEMGLPGFSVKDLEEGSMSSVVECLLAIKDNVTTQLGGHISNSAAKTPIRRKLELRETDGHVLSFATPGKRSPGEDRRKGFWDSKSQQRSPLHSGQKVNEFVQFKHGTYTDLPAAKISEMLQSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVIQEIEHRMCIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLMREKENTENIIASLHQEMQVMSRMHEQFREQMETKARQMEEHLTLRAKEAEFYLMQSKKKVEEVEAASQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAVDYIGENGEILISNPSKQGKEGYRMFKFNKVFGTNASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHAVKSTSDVLDLMAIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSVTERNGSSLLRQSASSTGLSSLPVTSQQNQPLSGSVDAEDEDNASDDGCSVGETEYSTGGASETAAERTHKAPSRITRFFLTKNGQPSTSRPKPRETVLKTPAVQATGGSLAKPSKRR >LPERR01G26190.2 pep chromosome:Lperr_V1.4:1:22703511:22711146:1 gene:LPERR01G26190 transcript:LPERR01G26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGDYDDFDEANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLIDGAALCYIADKLMPGVLEGTWGGYASDQRSDVKKFLSVVAEMGLPGFSVKDLEEGSMSSVVECLLAIKDNVTTQLGGHISNSAAKTPIRRKLELRETDGHVLSFATPGKRSPGEDRRKGFWDSKSQQRSPLHSGQKVNEFVQFKHGTYTDLPAAKISEMLQSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVIQEIEHRMCIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLMREKENTENIIASLHQEMQVMSRMHEQFREQMETKARQMEEHLTLRAKEAEFYLMQSKKKVEEVEAASQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAVDYIGENGEILISNPSKQGKEGYRMFKFNKVFGTNASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHAVKSTSDVLDLMAIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSVTERNGSSLLRQSASSTGLSSLPVTSQQNQPLSGSVDAEDEDNASDDGCSVGETEYSTGGASETAAERTHKAPSRITRFFLTKNGQPSTSRPKPRETVLKTPGSMKSTAVQATGGSLAKPSKRR >LPERR01G26200.1 pep chromosome:Lperr_V1.4:1:22712835:22714628:1 gene:LPERR01G26200 transcript:LPERR01G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFVTYLQRPRELLPVPEFRAPPPSPVTGVLTSSSSGSSGYGEHPDSNEDDEIGRFLRHSARVPVLRLPERAVPRRKKAVWAPPVIDVRLLALPEAGGQVAEALRSAAVAFGCFQVVGHGVDRNLVSAASRHVATRPEEMEVDGGDEDGEEMWWSPGEGDQEMAGKWALKSGASHFSANSRYEPFNFAVSRNTADALFTQLEQTATKIMDALQRGSSVATESIAGADGNGSLLCIRKHRRKHDDHNSGGGGASGTISHDDILRMLVRSSRFSRALALHLCPGASAFHLFSRRGWSRFRPLDGAVVVTVGDQIQAWNGGLYKSVAGKPAYSNDDLRGDGVASVEFFHCFPSAGTAKVFGVNTGKIIPLNLQFMVAACLVLGFHFILSCLHSTW >LPERR01G26210.1 pep chromosome:Lperr_V1.4:1:22715556:22719840:-1 gene:LPERR01G26210 transcript:LPERR01G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQENPAAAAPSPPPARRRRAARRKEPEEAAPEAEERREEKIEVAEFEGEGGGVKRMEGPDSGARSADKQAVDDEGNTTPVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGAPEVALKFEHRTSKGCNHGPPYEWQVYNTLGGIHGVPQVHYKGRQGEYYVMVMDMLGPSLWDVWNSNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPVGTPEEKRLFLVDLGLATKWRDTSTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHQVGQKRGRLSMEEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIEKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >LPERR01G26220.1 pep chromosome:Lperr_V1.4:1:22724255:22730417:-1 gene:LPERR01G26220 transcript:LPERR01G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKVGSLKLTSGDAGSRTAAQKERKSRQPPRERIKIEKIRPSTPVDSSSIDASASKPDVASTDVKGPGDDGGAEKEEKAVVDLKNDVGAEVVDTEVDIQSTQRSSEDAAIVVDGAADSGNLEVAAEGSAPSVQDERCESSSSNKDGNIVSAVNLEEKGSAMEVIQERNIKEVQDTQVSGKSQDSKREGLSDSPESVENQQEHKLDSVSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHLKQLKQELSMSRVEGSRAESNMVDALTAKNAEIESLAKSLDSWKKKAAASEEKLATLQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNSTKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQVWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHIELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSIKQFHEVQIEAERSRVARRSASSWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMHLLHRLQDFASREMPSVMGDLANSNLP >LPERR01G26230.1 pep chromosome:Lperr_V1.4:1:22732143:22737380:-1 gene:LPERR01G26230 transcript:LPERR01G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIPDDLTTVNEGTLVGFVYCLGPSQGGDDDASFRVICVAWYNTKLVVFTFSSVTRRWGIATSSSWSSLGTEPPNRGSPRGFDYVDGCFYWTVHWADKILMLDALKMKFSIINYSHCAEDRLRACVAVDREGNPGMLTVAEYIGNKKFRFSALRNRVTYFILGAAEGFIFLRGDVNFSVFNDIDYFSLNVKTAEFEYICGMAPDKCYFHVCPYFRFPPASAKPYSRTDQLKIPSVHLTTMKGYTARLADEELDLEPQMESDTTEEALMLQGGADELHHQQQQHQEEEEAGSVRCECCGMAEDCTPGYVRRVRAWFEGRLVCGLCAEAVSERRRCDPALAVGEAVESHATLCDRFNNTVRLNPTLSLARSMRDIARTSCISRRRSGESPRPAAPCGASNKIGRVQSCPVRYPYV >LPERR01G26230.2 pep chromosome:Lperr_V1.4:1:22737390:22741340:-1 gene:LPERR01G26230 transcript:LPERR01G26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAADDALLPADPGAAAADSAAAEQQQDEAARSVLCECCGMAEDCTPTYIGRVRERFGGRWVCGICAEAVSEARRRDPALAVREAVASHEALCAEFNATLRANPALCLARSMRDIVRISCRARSGVSAPSAASGGGSGARIGRTRSCALPYRRRRARPAPLLRLACYDNFYPVWEPHPNAKRALDLVLARNRARRRKADFEYYFLPFVPKDEAWGWFPLHARDGRVLIQSKYFPDGKHFPDGELGDDGEYPPPRFMHYAVCDPLF >LPERR01G26240.1 pep chromosome:Lperr_V1.4:1:22755611:22757288:-1 gene:LPERR01G26240 transcript:LPERR01G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPEYGVHGGGGYYGGAGREKKAQGCGDHFAVDDLLVLPYDEEDEGREGDAGGGKEEAGFGNASADSSTVTALDSCSNSFGLADGDFSGELCEPYDQLAELEWLSNYMGEGVDTFATEDLQKLQLISGIPSGGFSTANVLSAQAASAAASAAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPIKRPSKPAKKKDAPAPQAQQLSSVVPMHSGGGSPAAAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMVSKHSNSHRKVLELRRQKEMHEPHQLHHHQHHVAAGAGGGVGSLMHSMQSAMLFDGAASSPVVSGEDFLIHHHLGTDFRQLI >LPERR01G26250.1 pep chromosome:Lperr_V1.4:1:22765746:22772883:1 gene:LPERR01G26250 transcript:LPERR01G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMLTDGGGGSGSGAIVPFSGEPGHAAAEAPPVRTIRHGVAPPISRVYVSFSSGNLLQVACLRPPNPESDGDGGAEVSGGRVVEVNLGGGGSGPGGADVEEEIDEAEMRRIEYGSVPAFALLQSRKNALAEAAAMSRVPAVSELADWWQYVLEYSKTIGSLLGNSDSLPAFMIDDPKTILKVRGKPTSLKAAWELLEIFFVDKQLQSWLPERLVDWLADYDSLLTKTENTVYRMLRNFQKKLINLQIVEDEPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDDRETENGLVEAVAVLVSTMPRMRPDLPSDKLGQCCKTRPDFIKALEKWRGQVSKLECSAFWIQCSHQKTRDGLKNLLHIMMGNIKNLTAATSHWLELFASHCLYIRPFTVGFEGMHQLAQKCIQIKPSADNNGLPGLLTGILSENIEVVLAECTKNYGPWMVTHAMELLTADNDYADVMLHEERPNFGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVILKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIAQQLFERIGKSVTDDNFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQAQEGRTGESARQTVEFLIQLMRNPSTPQRFWLPLLHDSVKLLNCKPCPLLNVAETTLLLNKLQELSMAKLRPDFSNTHLPSHALNSVRLALASNLAQAILEEP >LPERR01G26260.1 pep chromosome:Lperr_V1.4:1:22773501:22776382:-1 gene:LPERR01G26260 transcript:LPERR01G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVNDTEFWTLIPDLIRPGYTVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEEKDEEGRVTAIIADCCEHNANTSILDKLRLHNLRSSTGQDVLPDARVGRFRIPLDGSPFGELETALDPEQHGRGMDMCSINPAYVGKEYRYAYACGARRPCNFPNTLTKVDLVEGTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSAKDGSGYAVVLDGKTFEEIARAKFPYGLPYGLHCCWVPRNRNSK >LPERR01G26260.2 pep chromosome:Lperr_V1.4:1:22773501:22776382:-1 gene:LPERR01G26260 transcript:LPERR01G26260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVNDTEFWTLIPDLIRPGYTVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEEKDEEGRVTAIIADCCEHNANTSILDKLRLHNLRSSTGQDVLPDARYTHTNHDYSRHSNLLRCTCTGADDRGSRCRVGRFRIPLDGSPFGELETALDPEQHGRGMDMCSINPAYVGKEYRYAYACGARRPCNFPNTLTKVDLVEGTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSAKDGSGYAVVLDGKTFEEIARAKFPYGLPYGLHCCWVPRNRNSK >LPERR01G26260.3 pep chromosome:Lperr_V1.4:1:22773501:22776382:-1 gene:LPERR01G26260 transcript:LPERR01G26260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVNDTEFWTLIPDLIRPGYTVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVSAHHLNCAFPFRDLFGWSNDDVCRSYQLKVASVEVPPFVTFHFINAYEEKDEEGRVTAIIADCCEHNANTSILDKLRLHNLRSSTGQDVLPDARYTHTNHDYSRHSNLLRCTCTGADDRGSRCRVGRFRIPLDGSPFGELETALDPEQHGRGMDMCSINPAYVGKEYRYAYACGARRPCNFPNTLTKVDLVEGTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSAKDGSGYAVVLDGKTFEEIARAKFPYGLPYGLHCCWVPRNRNSK >LPERR01G26270.1 pep chromosome:Lperr_V1.4:1:22797892:22799736:-1 gene:LPERR01G26270 transcript:LPERR01G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGLMLYHVLGLATCIALVYFSLGEIDLRSALPSLPFSSSSARSAAASLSFVERRGARLFLDGRPFYVNGWNSYWLMDLAVEPDTRTRVPSIFRTAVSMGLTVCRTWAFNDGYYNALQLSPGHFDERVFKALDRVVAEAAQHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSPQEKLDINPGEWFPNNYGADFIRNFKIPDIDFASVHVYPDNWLQHASLDEKLKFMTRWITSHVEDGDRELDKPVLVTEFGLSHQVEGFDDAHRDVLYRAVYDIVHGSARRGGAAGGALVWQLAAEGMEDYHDGFSIVPSERPSMMRLMKEQSCRLAAVRYGEEKARKALKTVCA >LPERR01G26280.1 pep chromosome:Lperr_V1.4:1:22806145:22807480:-1 gene:LPERR01G26280 transcript:LPERR01G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGFPPPSRSKTPPRRRRSPYTVRSRSAVAVDPRERRRQAVPPFFLLATVRRFPRIPTCPNPPTSTSWSSKSRKQTKAIAPMTIKDRFAGNPCEDNRTNHKLAWATARLVV >LPERR01G26290.1 pep chromosome:Lperr_V1.4:1:22818125:22820398:1 gene:LPERR01G26290 transcript:LPERR01G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEDAEKEQRWPDNGDDDDEGSSGSGNAESKAFWQTQHSQLHDALAKTSQIETKIRDDTEEAIRKMRADGTVCSCARRAAAGDCRSCTLRHVAERLRDAGYNSAICKSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRALVAALPELFVGRADHLRGVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLCTPERAAAAAAAAPVTVGSPEKQTKFRASMLSFDFARTAVEVA >LPERR01G26300.1 pep chromosome:Lperr_V1.4:1:22821315:22824483:-1 gene:LPERR01G26300 transcript:LPERR01G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDASCTPLFFSSSFALSGLAFHDSSACLESGAGSWNLVEFVESKQSTREEMDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRTRLSSTSSTNSSIRKTTGSSNAQPSSETKPASTSLSSDAKPEQQEKRSDHHPTQETSSKKDDKAENEKMATTTTPGPLEFSFHPDEQTLRLQRASSSPVAFPSKKKMPDADAATRSSSLKVAGEGPKTKTKLKQRARSPPPPPRDVPEVFREAKSSSKRFSTPPPRRKPSSPPASSRSPPPSFAPARAHGKPKHKKDCFDSRKAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYQEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLIGACSSPPVFVVITEFLSGGSLRAFLHKQEHKSLPLEKIISIGLDIAHGIGYIHSQGVVHRDVKPENIIFDSEFRAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKSYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAALRLLIEQCWTSQPDKRPEFWQIVQILEKFKVVLDRDGTLDNMPCSNLQGNHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >LPERR01G26300.2 pep chromosome:Lperr_V1.4:1:22821315:22823988:-1 gene:LPERR01G26300 transcript:LPERR01G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRTRLSSTSSTNSSIRKTTGSSNAQPSSETKPASTSLSSDAKPEQQEKRSDHHPTQETSSKKDDKAENEKMATTTTPGPLEFSFHPDEQTLRLQRASSSPVAFPSKKKMPDADAATRSSSLKVAGEGPKTKTKLKQRARSPPPPPRDVPEVFREAKSSSKRFSTPPPRRKPSSPPASSRSPPPSFAPARAHGKPKHKKDCFDSRKAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYQEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLIGACSSPPVFVVITEFLSGGSLRAFLHKQEHKSLPLEKIISIGLDIAHGIGYIHSQGVVHRDVKPENIIFDSEFRAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKSYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAALRLLIEQCWTSQPDKRPEFWQIVQILEKFKVVLDRDGTLDNMPCSNLQGNHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >LPERR01G26310.1 pep chromosome:Lperr_V1.4:1:22827462:22837712:1 gene:LPERR01G26310 transcript:LPERR01G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAGTVSAKPPVKHFPRPFLQPSPPCRSRRVHLSSFRNKASISIAMAASTSPSPLQELTIMRPDDWHLHLREGNVLAAVLPHSARHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSSFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQKLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSRQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKNAWKVPDTYSYSSGEIVPMFTGSTLDWLPSDQLEE >LPERR01G26310.2 pep chromosome:Lperr_V1.4:1:22827462:22837712:1 gene:LPERR01G26310 transcript:LPERR01G26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAGTVSAKPPVKHFPRPFLQPSPPCRSRRVHLSSFRNKASISIAMAASTSPSPLQELTIMRPDDWHLHLREGNVLAAVLPHSARHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSSFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQKLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSRQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKNAWKVPDTYSYSSGEIVPMFTGSTLDWLPSDQLEE >LPERR01G26310.3 pep chromosome:Lperr_V1.4:1:22827462:22837712:1 gene:LPERR01G26310 transcript:LPERR01G26310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAGTVSAKPPVKHFPRPFLQPSPPCRSRRVHLSSFRNKASISIAMAASTSPSPLQELTIMRPDDWHLHLREGNVLAAVLPHSARHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSSFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQKLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSRQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKNAWKVPDTYSYSSGEIVPMFTGSTLDWLPSDQLEE >LPERR01G26320.1 pep chromosome:Lperr_V1.4:1:22830871:22832043:-1 gene:LPERR01G26320 transcript:LPERR01G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLLRRLLLLGANPADALLTGRLPFSTSSRRTPHRFRRSRRNPNPKPPSPEAVSAAIATLPSRLTPPLLTYSLSSVSDARLLLPVLTHSLRLPTFRPDPGPFLVSIKRLAAADLYADFDRACALAFSLLPSLPSPGPLLRSALYFYCQFRRLGKAFHVYTLMRASADPAARPSADTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVAPDTRALNVLVKGYAQSLHLNDALRVFHQMWPVYGCDPDALTYSYLVHGLSAQGRTRNAREVFDEMRGKGLVPTEPACNAFVSALAMAGEAGEAERVMWDMVRTGRVVDAVTRRAVVEELWRSGSRDDADRMVREMEEKGIVGSAERRALLRSLHDEFGDDDLDVDGRGRSTK >LPERR01G26330.1 pep chromosome:Lperr_V1.4:1:22843706:22844437:-1 gene:LPERR01G26330 transcript:LPERR01G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTKQLLPMPHQQDPNSPSSSTSSSSSSSTSPSHPHHRAPPPHPNLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPPKNAATATTAAGNSGGGGGIGGGQGANGGPCRPKKPAFKLYERRSSMKNLKMIAPLAMGALPSPSPRKLGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVSSPRMAASAAATSE >LPERR01G26340.1 pep chromosome:Lperr_V1.4:1:22850527:22854685:-1 gene:LPERR01G26340 transcript:LPERR01G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAFSSPATGRTPNPKAAPSPSSRRAADSSAAAAAAAAAASDSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQVRVPLLPLGFAGSPSSRLSQIKPSRAKGSSAVDCLGAFQEEDSEEYGSPMVQATAIADLIKQRTESHLGKIQAAVSSKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPEGTPQEILSMVKSLASPPHRLILFLQQSSVEWCSSLWLDAIKEIDPTFRRTMIVVSKFDNRLKEFTERWEVDTYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHMRDNIKGGFTEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSVDLSRLDSKLQATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPELWGKTTEEEQMHSGIGSWPGINMPVKPPNSSLKLYGGAAFERVMHEFRCATYSLECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLSPLINTACDRLAFVLQSLFDLAMERCRYQDSEYNQNAGDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKGHQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKRKDNGNLNDGGPRKRHARMAAYANRNHHNNVLGADDLASKSGSSYSSICSISAQYFAKMREVLIERNVPSALNAGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >LPERR01G26350.1 pep chromosome:Lperr_V1.4:1:22855832:22863644:-1 gene:LPERR01G26350 transcript:LPERR01G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPCAAWFMAVLGFAAVVSSSEAYVFYAGGRDGWVVDPSESFNHWAERNRFQVNDTIVFMHDDEVAGSVLLVTEQDFDACNTGNPVQRLDDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRQMPPSEAPEPAAAGPGSSESSAGPAFPPTGDAMPPSPFWASPPEYAQAPGRSSLDDFDGEMSRSSTLGAPPPMSGAAGLDEVVAGLHHFNRNPIRANSISGPNRSHVPVERGPSVAVIYMDKETTSDLVLDNGNFGRNAGSAQEPLTAKGKTSGVRNRYKQTDKGGRKGTQISPSKKYALRSSHSSVRVLRSASKKKNETPKAPVNDNTAVQQAAKKRKRNKPLKPVHSSLKVLPSASKRKTKARNELVNEDVGVQLAVKKRKVGRPPKGETPKDDYLTIRKRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKLRIREAFQNLDSLLSEGKLEESLFDSAGEIDSEDIFCAICGSKDVTLKNDIILCDGICDRGFHQFCINPPLFAEDIPPGDEGWLCPACDCKIDCIDVLNELQEVKLSIHDSWEKVFPEAASFANGSKQINASDLPSDDSADNDYNPALTQGHSVDEEKSSGQDEGEKLDSDDSSEDSESYENEKSKTSKNGRTVDDLGLPSEDSEDDDFDPEGPDSDKDQNDDPNSDQSDESDFTSDSDDFCGEIAKSCVQDEISGPSSSQIRTVNHANESAFDSEPNAENLNLAFMETELEQDMVLPVSSKRQVEAFGKASSDSSDEEEWSGNISEQQTEVLCSNSNGSTSRKRHFGPTINQKLKVYFKEDPYPSRAIKENLAQELGLTFNQVSKWFSSTRHYSRVAATKKENNLENHTAEHNNSNTVDTTELRGSNEMMMGKVSVHRNDTVERTGQNNLNESIPLRQDTSCGQGVVVTPTGVNQNCHIVSKEIGSPKCGTRENQGNDSTSNIGTPKVKSAEKTSPGVEHADEARRKAIQRELRKMKTGK >LPERR01G26360.1 pep chromosome:Lperr_V1.4:1:22865288:22866400:-1 gene:LPERR01G26360 transcript:LPERR01G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALARDASPSSLQRNGPYGRQMSRASSHPKRFGLATPVASTLALAFLLIFAAAVFLYSGTTTPSRTITSAGRANDAGGSGQALLSPTVGSIGGARAIWELPASPARAVLFVAHGCHCRPENFWPPSPRCPGCVGLPEDVAITERALRRRFAVLALASARDCWSMGQEVSAAKRGIQTWTSQNGLGDLPVAALGASSGGYFVSRLAAEMRFAAVVLMIAEGSFGAGGVPAGYPPAMFLHMPKDHRRAALVERNSKMLQRNGVEVKELKSMELPLTPTILSERILGLNRGLSEMIWRVLKEEGFVDERGFMRKDGRATPWKDAVVKRGFWDQVSPWAEHIQEELNLAYGYHEMTSLHTDEMFDWIEEHLS >LPERR01G26370.1 pep chromosome:Lperr_V1.4:1:22869399:22869797:-1 gene:LPERR01G26370 transcript:LPERR01G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPADHERGRHHAARQSAARPAVRPLPSPSTSAPVRIPAAKTFLDTRRGYEDEDGTRMDSAGGSRIVPPHVFAAAARRCSSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGADEY >LPERR01G26380.1 pep chromosome:Lperr_V1.4:1:22874680:22875129:-1 gene:LPERR01G26380 transcript:LPERR01G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAPSSQEGAAPSEMCYELAASCCCSATSSGASLFGRCCSEGFLSGSPSTAGASNRDDDDEEELMEADVLWPDTARPVDDQPCGGERGYHWWSRCDLGLAGRRAKPVVAIAVRRDGWRPDASSPIDIPVKVAARCR >LPERR01G26390.1 pep chromosome:Lperr_V1.4:1:22879077:22880812:1 gene:LPERR01G26390 transcript:LPERR01G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSRMPLLQQLLLLAAAGLASAEPQVPCYFVFGDSLVDNGNNNDIPSMARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFNDYIPPYATATDEKLLTGVNFASAAAGIRDETGQQLGERISFSEQLQNYQAAVQRLVSTLGDEGTAANRLSQCIFTVGMGSNDYLNNYFMPAFYSTSRQYTPEQYADVLINQFSQQLRTLYNYGARKVAVMGVGPVGCSPNELAQHSRNGATCIERINSAVRMFNRRLVLLINQFNDLPGAQFTYINIYGIFESILRAPRANGMAVTNTGCCGVGRNNGQVTCLPFQTPCANRDEYAFWDAFHPTEAANILVGERAYSAATLFDVQPVDLRTLAQL >LPERR01G26400.1 pep chromosome:Lperr_V1.4:1:22881379:22885238:-1 gene:LPERR01G26400 transcript:LPERR01G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPNTPTAAGTPRFKLGKQSSMAPDRGGGGAGESSEEGSNEAVGVMGFQLMCLAHGGDTEGIHELLDAGADPNFRDSDGRTALHIAACEGHADVVELLLQRGADAAAEDRWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMQVNNVREVPEYEIDPKELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVIRNEEYDNKVDVFSFALILQEMIEGCLPFHDKKNNEIEKAHNSKERPPFRAPPKHYAHGLRELIEQCWSENPADRPDFRVIIERLSAIQNEIAQKNRWKVRPLKCFLSFEGMWKKDRNEGSTTRSSRSSSRSYF >LPERR01G26410.1 pep chromosome:Lperr_V1.4:1:22891420:22894401:1 gene:LPERR01G26410 transcript:LPERR01G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPAVEPLVLAHVIQDVLDPFIPTMPLRITYNNRLIMSGAELKPSAIMHKPRVDVGGTDLRVFYTLVLVDPDAPSPSNPSLREYLHWMVTDIPGTTGASFGKELMLYERPEPRSGIHRMVFVLFRQLGRGTVFAPDIRHNFNCKSFAQQYHLDIVAATYFNCQREAGSGGRRFRPENS >LPERR01G26420.1 pep chromosome:Lperr_V1.4:1:22899480:22903346:1 gene:LPERR01G26420 transcript:LPERR01G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVTLNESRARDLTIDGAILRGVPPDVEVEVFPGAIERISLVPGPPTDEPIVCNFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAVDGYSVNLFRVTITSGEYSLNENVKRSIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPVNYSEKDMTVIFRRRGGCDLVQNFSDWIKTISSAPDVIGMTFCPIVSLVGDTPGKKHLARAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGTKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLMHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >LPERR01G26430.1 pep chromosome:Lperr_V1.4:1:22903770:22914750:1 gene:LPERR01G26430 transcript:LPERR01G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIVSSVIELVGLLMLTLQARSKKLTPPPCKFPFDPTCQTVSGDGKTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCTGGAVSVTVLVWIQDNKGWDIGFGVATGVMGLAVLVFIAGLPGYRISVVQGSTALLEIFQVYVAAIRNRNLKLPANPDELYEISKSKASPDTDFMAHRDKPFRFLDKAAIVQEPTDEAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGATMDTRVGKFKMPPATLPIIPLVVLIFAVPIYERGFVPFARRVTGHPNGIPHLQRVGVGLVLSIISMAIAAVVEVRRKRVAAAHGMLDANPMLGQFLPISCFWLAPQFTVFGIADMFTYIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATRGSTTSGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYFFWSSWYKYKPQQQSAVVPSEQNQV >LPERR01G26440.1 pep chromosome:Lperr_V1.4:1:22918893:22919740:1 gene:LPERR01G26440 transcript:LPERR01G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKKPVPTPTSGASGGSNAPPGRPTMLDSMILDKGAAMLQSLRPVKQIKQHVCTFALYAHDPRRQVETHHYVSRLNQDVLQCARLWHSHAHEIKAGLWVSPHLPEKLEKTELEQMAGTFGKFWCTWQVDRGDRLPIGPPALMVSPQDDPAADVRPDLVRNRDDKYRYSTAELRAARADVAVPAEARPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >LPERR01G26450.1 pep chromosome:Lperr_V1.4:1:22920038:22923361:1 gene:LPERR01G26450 transcript:LPERR01G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRLRASPGPPPPVSSSSLGRLDPTTRLVHGVREPCLKFLSPRSIENLVFPGGTKLDYLVKRITYKSDDNDYDTYHSVANSTYLLQHAESTRFNLFTGFQTLPDREESFKANETVNVHCGFYSDNGGFKISDIDMKYMRSCKVAVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTQSTQESEGKVVDGNGMIGRWRIIVVRNLPFVDQRLNGKIPKMLSHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDDKRLHGLKALAEASVIVRELAPVTNHFMCGWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFPVCTRRDLVNSLGHTRKVKPLTQTNSESSAT >LPERR01G26460.1 pep chromosome:Lperr_V1.4:1:22931774:22932463:1 gene:LPERR01G26460 transcript:LPERR01G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRELRGTRIDDWERIKRICLNTQLGEKKDRLVRKLTKHGNFTVNSFYNALKMQDTYSNKRKSLERRLQRKNASCQFCHKVETVQNLFLQYPMARFVWEITGKARKTTGGPQTARASNK >LPERR01G26470.1 pep chromosome:Lperr_V1.4:1:22939296:22941873:1 gene:LPERR01G26470 transcript:LPERR01G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIAASAFLSSAFSGGRPQPRQRRAARPAPRRAAAGGLSVRCEQSEKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEATYTPSVDMGAFVVVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKVETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIRDKRIQKTD >LPERR01G26480.1 pep chromosome:Lperr_V1.4:1:22942369:22944766:1 gene:LPERR01G26480 transcript:LPERR01G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSLPPFLSKTYEMVDDPATDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIRGQQYRLKNIHRRKPIFSHSSHTQGVGPLTDNERRDYEEEIERLKCDNAALSSELQSNTLKKLTMDKRMQALEEKLFVVEDQQRSLISYVREIVKSPGFLSSFVQQHDHHRKKRRLLVPISFHEDENPQENQIMPCDLANSPAQALYRESFDKMESSLNSLENLLREASEEFGNDISYDDGAPGPSSTVVLTELHSPGESDPRVPSPSTRMCTSSAGAGDSHSSRDVAEGSTSCAGSPVPQIHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPVVAPGANDGFWQQFLTEQPGSSDTHQEAQSERRDGGNKVDEIKSGDRQNFWWGKKNVEQITEKLGHLTSTEKT >LPERR01G26490.1 pep chromosome:Lperr_V1.4:1:22945950:22949619:-1 gene:LPERR01G26490 transcript:LPERR01G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPGGGGGGRRRSGSFGLKRMSRVMTVTGTLSELDGEEDSEPAATSSVASDVPSSVAGDRVIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGSLRADVPVAEQDEVSQVLLDRFRCAPVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSASPSPSFSSSPGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINSEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSISELNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLAEWFASCPGLGIAAEHGYFLRWTRDDEWQTYSQGLDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKRVIVEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVAPKTSLFACTVGQKPSKAKFYLDDTFEVVSMLSALADATEPEPWTDLADEFDELAVSVDDEETSSDRLIGGS >LPERR01G26500.1 pep chromosome:Lperr_V1.4:1:22960309:22961247:1 gene:LPERR01G26500 transcript:LPERR01G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLIRSTPRHHQGEKQQHTREEEHEQQGGKGKSKAATFLSFSPLAWLSKLTAKNNAASAKSKPDKNAAATGGFPSCLYKGSGTSTSMSSSAPSQSSSLADTSPADQAAPRRLSVGNDDATEAAAARQLYRRCHYSVGGDRDLQTLRINLIPFSRIASPLPTPATPIVPVLTRTMRPPLPSDTDEEKRPRISRRRRRHGVGGGRRSFSGARVAAAVRVRSPRRIASTAAAVSELERFAVVRRTSDPQREFRASMVEMIASKRIGRPEELESLLACYLSLNADEHHDCIVKVFRQVWFELNPARVAAAPPCS >LPERR01G26510.1 pep chromosome:Lperr_V1.4:1:22963856:22969289:1 gene:LPERR01G26510 transcript:LPERR01G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVIENGHPVPKEEEAAALAVELPEGARKPQEEGSRGKRKQGGGIRREPSFSRWCKDPSAMSNAPVGGASPASAASDDDSEEFELPLLPSDGGGGGGGSHLPMDIEAGAEARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGETSAMSWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIMLIVSFGVLLTVAKETEFDLWGFIFIMLAAVMSGFRWCMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILGLGLATIIFGVSLFNLYKYHRFKKDHHSKNCDTNSQNSNGASKYVILDDDDMENQGNPS >LPERR01G26510.2 pep chromosome:Lperr_V1.4:1:22963856:22969289:1 gene:LPERR01G26510 transcript:LPERR01G26510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVIENGHPVPKEEEAAALAVELPEGARKPQEEGSRGKRKQGGGIRREPSFSRWCKDPSAMSNAPVGGASPASAASDDDSEEFELPLLPSDGGGGGGGSHLPMDIEAGAEARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGETSAMSWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIMLIVSFGVLLTVAKETEFDLWGFIFIMLAAVMSGFRWCMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILGLGLATIIFGVSLFNLYKYHRFKKDHHSKNCDTNSQNSNESI >LPERR01G26510.3 pep chromosome:Lperr_V1.4:1:22963856:22969289:1 gene:LPERR01G26510 transcript:LPERR01G26510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVIENGHPVPKEEEAAALAVELPEGARKPQEEGSRGKRKQGGGIRREPSFSRWCKDPSAMSNAPVGGASPASAASDDDSEEFELPLLPSDGGGGGGGSHLPMDIEAGAEARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGETSAMSWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIMLIVSFGVLLTVAKETEFDLWGFIFIMLAAVMSGFRWCMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILGLGLATIIFGVSLFNLYKYHRFKKDHHSKNCDTNSQNSNESI >LPERR01G26520.1 pep chromosome:Lperr_V1.4:1:22971006:22975663:1 gene:LPERR01G26520 transcript:LPERR01G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRVTFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >LPERR01G26530.1 pep chromosome:Lperr_V1.4:1:22975827:22976015:-1 gene:LPERR01G26530 transcript:LPERR01G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQPGKRFRRGCWEEARTRRPHAGARSGCGFGCHGSHPRDACRASPPCRPRPAAVRFAWR >LPERR01G26540.1 pep chromosome:Lperr_V1.4:1:22976482:22977665:-1 gene:LPERR01G26540 transcript:LPERR01G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSGEDGSCSAGTDSPSPREESVDEQGAAEESSPAPGGLKRELPSPSSPLPPPAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRLTTAPKPEPAADDQEHPDPAENESSSVELDVPEKEPEPVVKQEQEMEQKVAVIEPAAAVTATVAPAAVEVEEENLDFGWIDQYHPTWHRSYAPLMPPEEWERELQGDDALFAGLGELPECAVVFGRRRELSMAATAPCS >LPERR01G26550.1 pep chromosome:Lperr_V1.4:1:22983976:22990055:1 gene:LPERR01G26550 transcript:LPERR01G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAVQPCAACGDDAHAACRACSYALCKACLEEDAAEGRTTCARCGGEYAAHDPANVHQEVAVEEEEEGSHAPVEAGGLRERVTMASHLTDQDEGGHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKSAAKAQAPTAPPMEEQIMDERDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEVWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEESGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALTKSSVCCCCPGKKVEKSEKELHRDSRREDLEAAIFNLWEIDNYDEYERSMLISQMSFEKSFGMSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGQFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGVLKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSEATTTNSCANFDC >LPERR01G26560.1 pep chromosome:Lperr_V1.4:1:22991610:22992822:-1 gene:LPERR01G26560 transcript:LPERR01G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVLATCALLLPLLASISLAGAAPLDPQQLVALRALGLRAHHRADPCDDDANGGAVTASCDAGVPFRRVTSLVLANCSGTTSVSAGALEALAPSLRALAFSDCPASPPRLLLRRIAPPPLRRNLTELTVADTPLATGSPSELAVVVSHMDHLTRLTLSNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPETLTLLSAITNLNLSSNDLNGDIPTSIGDLISLTTIDLSNNSISGGIPDTVPTLPELEVLNLGSNRLNGSIPSFLAEMRGLKELNLENNDFDGMVPFTAKFVARLRVFRVAGNPKLCYNRSGLSAEVAVGVAPCDKYGFPVTAPPATARSERSADYDDGAKDGDADDGADTRGGPSAVVLGVAIGLSCLAFAVILLVCLCKVCR >LPERR01G26570.1 pep chromosome:Lperr_V1.4:1:22994995:22995942:1 gene:LPERR01G26570 transcript:LPERR01G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRRRGSKAEAPRSAKVAAGDGDSRCCCWGGDASLDDAKPRRRGDQLVVAGVIQGTGAFFVAGAVLKSTRKLLAGGDGGCFCQKLPALFEVPRKN >LPERR01G26580.1 pep chromosome:Lperr_V1.4:1:22997332:23002091:-1 gene:LPERR01G26580 transcript:LPERR01G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNPRMVHVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >LPERR01G26590.1 pep chromosome:Lperr_V1.4:1:23005436:23009524:-1 gene:LPERR01G26590 transcript:LPERR01G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREALSLVPCTLATEVGEAASCWCRAVCLYLTRSTCRRRPVASKHMIKFMISSLEPLLILTQRSTIQPNSDFSTARHHVISGAGGSAAADTAYRAASSGDYSPTGVTASSDATAGESPARVTSSGESPTGDTSSGEPPTGDTSSGEPSAGYTSSGEPPAGDSSTSYPFTASTKADADAADPNTGDAIPTSAGEFYDPHRPPSQQQSHGLSPSQSSTPPLLPPHSFVSSGGASDHSALPGMMQHGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTIRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQAAEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMMAQGDQPDTLVSWARPLLARAMEEENFEELIDPKLENNYDAYDMARLIACAAAAVRQTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTGGGTTDQIKRLKKLAFGSGTGTTGTVSEYTSSEFSEPTSEYGLNPSSEYTTSAAGGDTGEVTVDMQRMSGASGEVATTQILQPCRLHLHKTMQTMQYDLKSTVVVETNV >LPERR01G26600.1 pep chromosome:Lperr_V1.4:1:23012230:23012627:-1 gene:LPERR01G26600 transcript:LPERR01G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSLEASKQAKLFSCPFDSCALHFARSHVRCGANAGAAYLPCTESCYSSSPAATGDSSSPTAGNSITSTGISPATSSNKAADANPNTSDPVPNSAGECAFSSSGCPKPATGAQGPNPSATTE >LPERR01G26610.1 pep chromosome:Lperr_V1.4:1:23039024:23039597:-1 gene:LPERR01G26610 transcript:LPERR01G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPVGRTGSFCESCLRWISVGMAGFDRENDSPFGLFFVLF >LPERR01G26620.1 pep chromosome:Lperr_V1.4:1:23045893:23053330:1 gene:LPERR01G26620 transcript:LPERR01G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDAAAGDGGGCLPMPIIAVEAALSVIDASISVAAFVQQEFTGTISNMDGLGKRVDLCHQTNDEDEEDTRSHHEALLDRTENKPGIRAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPINSSLLKRVYLDVFSVVVFVLGGALACYGAILFSKMSKVRSETGSSEKRKVASLAAVSLICFSSSAILALVTNVPVLVYWYSTDADIIINAVILFMYYFIGSSIPSGFVLWVMKDIPHRQIIERPTHSTRVVTLFRERPPTTQDPQWRTAVTSSNKFLLALTLASSTHFSSYKHN >LPERR01G26630.1 pep chromosome:Lperr_V1.4:1:23054747:23055172:1 gene:LPERR01G26630 transcript:LPERR01G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTELTATRHAGSGCLPSSWPPASGGGGRRRGSGVVRSLQLVVRDVSPAAGWCCHRAWRRLLRRLAQETRCICSSSASPSSSGAASSSSRPITFGYDAASYAKNFDDGRRPAAHYAARAAPAAAVVVVANAADEPAGR >LPERR01G26640.1 pep chromosome:Lperr_V1.4:1:23058219:23072076:-1 gene:LPERR01G26640 transcript:LPERR01G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVPGNPTHSLSDSTENSELSIILCLATGPENCTEMIPQDAKKIVDTYQLSPFFAKVARFPATSKEEWEEQCKLWPTSYHPHHDLDGISGLKESELPSIFECMRTAMQLSENDSSNSKKCVELNSDSPLSLEKTEKKDSKVSKCNILNMEASCLNPWGWMKQRPCEQKSLPSKGGFSWHPLRHAAVAAIENAAERDKVMFPSTNSSAKQNSNGNMEYYSVNESAKRLKVDSKDDEKSAHKACFDDLSERPYLCTGCAMALVHQRFKRIFYAFPNPVTGALGSVYRLHGEKSLNHHYSVFRIKVPEAYWNRWSDCSDKC >LPERR01G26640.2 pep chromosome:Lperr_V1.4:1:23058219:23072076:-1 gene:LPERR01G26640 transcript:LPERR01G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVPGNPTHSLSDSTENSELSIILCLATGPENCTEMIPQDAKKIVDTYQLSPFFAKVARFPATSKEEWEEQCKLWPTSYHPHHDLDGISGLKESELPSIFECMRTAMQLSEVGNAALIVDPSTMKIIAKATDQILQNDSSNSKKCVELNSDSPLSLEKTEKKDSKVSKCNILNMEASCLNPWGWMKQRPCEQKSLPSKGGFSWHPLRHAAVAAIENAAERDKVMFPSTNSSAKQNSNGNMEYYSVNESAKRLKVDSKDDEKSAHKACFDDLSERPYLCTGCAMALVHQRFKRIFYAFPNPVTGALGSVYRLHGEKSLNHHYSVFRIKVPEAYWNRWSDCSDKC >LPERR01G26640.3 pep chromosome:Lperr_V1.4:1:23058219:23072076:-1 gene:LPERR01G26640 transcript:LPERR01G26640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVPGNPTHSLSDSTENSELSIILCLATGPENCTEMIPQDAKKIVDTYQLSPFFAKVARFPATSKEEWEEQCKLWPTSYHPHHDCADGCSVDYSLDGISGLKESELPSIFECMRTAMQLSEVGNAALIVDPSTMKIIAKATDQILQNDSSNSKKCVELNSDSPLSLEKTEKKDSKVSKCNILNMEASCLNPWGWMKQRPCEQKSLPSKGGFSWHPLRHAAVAAIENAAERDKVMFPSTNSSAKQNSNGNMEYYSVNESAKRLKVDSKDDEKSAHKACFDDLSERPYLCTGCAMALVHQRFKRIFYAFPNPVTGALGSVYRLHGEKSLNHHYSVFRIKVPEAYWNRWSDCSDKC >LPERR01G26650.1 pep chromosome:Lperr_V1.4:1:23073363:23076058:-1 gene:LPERR01G26650 transcript:LPERR01G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLTPPPPPLDEEVAEAAVEVKKEEGEGGEVGGVLCGGEAVVVAAADAEVEGHPYDFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRFIGALEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDSTKEEGSAKKKLRADKKWVPHLYVNNSDYICCHYNAPSCSTTTATDGSSDEKLQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLAVYDSKLIHRQLKSLYTATAPSPPATS >LPERR01G26660.1 pep chromosome:Lperr_V1.4:1:23079149:23083148:1 gene:LPERR01G26660 transcript:LPERR01G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPQQRKRRRRRRADGGSAGGGEDRDYLSGLPDDVLLSIFSRLSTRHAVTLSAISRRFRCLPSQFGRIESAAVSDPSLPLPSVPARPGFLPNNVLSPRSLAVVSLDTCALPRWCPAACPQLRTLRLHHVAIPQRMIKVVLKAAPLLENLEMVYCTGFAGSCRVESSSVRNLLFKSALEQRGVALHMAGMRTFTLYTRPKVQALRLEPSSEIRKAYLHIARPRIKERFRIRPFLDAGKGLTCLTLRGYAIKVE >LPERR01G26670.1 pep chromosome:Lperr_V1.4:1:23081782:23085503:-1 gene:LPERR01G26670 transcript:LPERR01G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQTNPDEVVIGQEIGGARVATLNRPRQLNGISDRVVYLLAQFLEKWEKDENAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKRTTVALVNGLVMGGGAAMVAPLKFAAVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEESEGSIDGNQWIAPVLKGIRRSSPTALKMTLRSIREGRKQSLAECLKKEFRLTMNTLRSVVTDDVYEGIRALSIDKDNAPKWSPATLEKVKIEDIDRLFEPFSSEKELQVPSDDSNR >LPERR01G26680.1 pep chromosome:Lperr_V1.4:1:23087896:23089102:-1 gene:LPERR01G26680 transcript:LPERR01G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >LPERR01G26690.1 pep chromosome:Lperr_V1.4:1:23090596:23092376:-1 gene:LPERR01G26690 transcript:LPERR01G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPASRRRVSSAPATLFPFLLLLAGAAVAAPPLVGVSPQDEAYFAPEFIACRDGSGSFPKSRLNDGYCDCSDGTDEPGTSACPEGRFYCRNTGDTPRFLFSSVVNDGICDCCDGSDEYESGIRCPNTCRYVMDVTKDDDDVGRKHALDMEDLIEKLRGLRLVAFIELGLIICTIAVCSARWRNRTRRRHYIFKRKKASVLFSSTNFVNSIDYKLLYLLYST >LPERR01G26700.1 pep chromosome:Lperr_V1.4:1:23095034:23095779:1 gene:LPERR01G26700 transcript:LPERR01G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKRASAPHGHARCCLRCRRYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPPPLAADGAAAAAKQVSVCDGGVEKKIAIKDSVQGIN >LPERR01G26710.1 pep chromosome:Lperr_V1.4:1:23097280:23103231:-1 gene:LPERR01G26710 transcript:LPERR01G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRISAASRLRGLLAGGPATTGACPRAFSHGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGGLMCKEGLQSLFPMEEISIMGLWELLPHLYNIKRKIEDTADAAILFQPHAVVTVDSKGFSFRLLKQLKCRYNQVAHPLHVHYVAPSFWAWKDGERRLAKLHNLVDHLLCILPFEEEICRINGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEAFRQEHAMSPVAPHRDVRAYIENVVRSMQLPVVLIPGGTLKGRYDAFNASRAALCTSGTAVMELMLAKLPCVVAYKAHFITECLIHLRKNINFISLPNILLNSPILPEILFRACTAESLASKLSESSSSSGRYDCAAAVAARASDRDTSTSTRGRGAALPSLAGRRSAPAPAPTLRSKKRDMLREVICNDEVRKLQVESADQLLEMLYEPMKQRGCLFQKELHNSSLLSNIFSPSMIAALTVLYTDKHQHALHLN >LPERR01G26710.2 pep chromosome:Lperr_V1.4:1:23097280:23103231:-1 gene:LPERR01G26710 transcript:LPERR01G26710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRISAASRLRGLLAGGPATTGACPRAFSHGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGGLMCKEGLQSLFPMEEISIMGLWELLPHLYNIKRKIEDTADAAILFQPHAVVTVDSKGFSFRLLKQLKCRYNQVAHPLHVHYVAPSFWAWKDGERRLAKLHNLVDHLLCILPFEEEICRINGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEAFRQEHAMSPDSTIITILPGSRMQEVACMLPIFLQTMEHLIHTFNELQLVIPVAPHRDVRAYIENVVRSMQLPVVLIPGGTLKGRYDAFNASRAALCTSGTAVMELMLAKLPCVVAYKAHFITECLIHLRKNINFISLPNILLNSPILPEILFRACTAESLASKLSESSSSSGRYDCAAAVAARASDRDTSTSTRGRGAALPSLAGRRSAPAPAPTLRSKKRDMLREVICNDEVRKLQVESADQLLEMLYEPMKQRGCLFQKELHNSSLLSNIFSPSMIAALTVLYTDKHQHALHLN >LPERR01G26710.3 pep chromosome:Lperr_V1.4:1:23097280:23103231:-1 gene:LPERR01G26710 transcript:LPERR01G26710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRISAASRLRGLLAGGPATTGACPRAFSHGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGGLMCKEGLQSLFPMEEISIMGLWELLPHLYNIKRKIEDTADAAILFQPHAVVTVDSKGFSFRLLKQLKCRYNQVAHPLHVHYVAPSFWAWKDGERRLAKLHNLVDHLLCILPFEEEICRINGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEAFRQEHAMSPDSTIITILPGSRMQEVACMLPIFLQTMEHLIHTFNELQLVIPVAPHRDVRAYIENVVRSMQLPVVLIPGGTLKGRYDAFNASRAALCTSGTAVMELMLAKLPCVVAYKAHFITECLIHLRKNINFISLPNILLNSPILPEILFRACTAESLASKLSEVICNDEVRKLQVESADQLLEMLYEPMKQRGCLFQKELHNSSLLSNIFSPSMIAALTVLYTDKHQHALHLN >LPERR01G26710.4 pep chromosome:Lperr_V1.4:1:23097280:23103231:-1 gene:LPERR01G26710 transcript:LPERR01G26710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRISAASRLRGLLAGGPATTGACPRAFSHGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGGLMCKEGLQSLFPMEEISIMGLWELLPHLYNIKRKIEDTADAAILFQPHAVVTVDSKGFSFRLLKQLKCRYNQVAHPLHVHYVAPSFWAWKDGERRLAKLHNLVDHLLCILPFEEEICRINGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEAFRQEHAMSPGTAVMELMLAKLPCVVAYKAHFITECLIHLRKNINFISLPNILLNSPILPEILFRACTAESLASKLSEVICNDEVRKLQVESADQLLEMLYEPMKQRGCLFQKELHNSSLLSNIFSPSMIAALTVLYTDKHQHALHLN >LPERR01G26720.1 pep chromosome:Lperr_V1.4:1:23098583:23101762:1 gene:LPERR01G26720 transcript:LPERR01G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLERSVGAGAGADRLPARDGSAAPRPRVDVDVSLSLARAATAAAQSYLPLDEDDSLDMVLFDVLREASAAASTDVETKHTSPYHIQANSIVQ >LPERR01G26730.1 pep chromosome:Lperr_V1.4:1:23103491:23111868:1 gene:LPERR01G26730 transcript:LPERR01G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMLLRGLRSAASRTRPAFPPYASSPSPYVSPLFSRLYSSAAASAAASPSLGPGGALDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDDAKNMSALLDSILQNVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVTVEAAGAGDIISMAGLAAPAIGHTVSNSDVLTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLNAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSISPPRVMYKTERGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQSYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEVTPKVIRLRKKYLDATKRKMMKNKPMD >LPERR01G26740.1 pep chromosome:Lperr_V1.4:1:23113358:23116109:-1 gene:LPERR01G26740 transcript:LPERR01G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEEEIEESEEEESEDESDGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAEARK >LPERR01G26740.2 pep chromosome:Lperr_V1.4:1:23113358:23116109:-1 gene:LPERR01G26740 transcript:LPERR01G26740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEEEIEESEEEESEDESDGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAEARK >LPERR01G26750.1 pep chromosome:Lperr_V1.4:1:23119538:23123142:-1 gene:LPERR01G26750 transcript:LPERR01G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPAVARSSSGAARHQQFRARAKTRVDDLQEMFSGLQSARKESRSTDAAVLEAQLHQMLREWRAELSGNNRELSDPPSETLRMLQLAAAEEEDDATSKLVEQQHQQSANQAHHGHAQGGQEMKPEPPEEAVAAPADLAAAAAQQQQSPGYGVLLNGGGMLAPAAAAAAAAVFHDQMYYVNQELTVEDFLYDDNYKMYLSGSSPDVLNNLEGTGQLEYPQFNLTQELPPNAYLDMSNCGQSAGDAFLHMSDLLTTMSPAPTAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKIACNPLNEIQQQMVRLSADSPVEIKRSARSRTKANQNDINSNIYSVQNTTAQGSVPNTYQAVSQVDQMTYLNGNVVYGPHLPYGYSTERSDFYWNSNDGEA >LPERR01G26760.1 pep chromosome:Lperr_V1.4:1:23131994:23132872:-1 gene:LPERR01G26760 transcript:LPERR01G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDVAVLPPLVGKDRPRVYACTLLTGADLDDGPSEFFRVLIVYNRHRFTAFRSYSLDTSSWSVEAKKTSGPKLTNWDLRKLGQGVVLHGVAYWPLRRMALAVRLDTPEPTLVRMPPDGIVNSIQQLRLLGVTPDGKLCFIDAGYSTLWDCPAGCVSLGLVVFETTGDGGEWVRSKRRLGRFPQFKIECAAAIKLRWFCEKSHVLLFTISNGSNNPGTYALNLATKEIEKLATGTNRNSWRNFVGYEIGSAWYCNHIHQAKSDFLWMPIFCWVVCAVLSCPKKDLFRQPSPN >LPERR01G26770.1 pep chromosome:Lperr_V1.4:1:23132877:23133395:-1 gene:LPERR01G26770 transcript:LPERR01G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLDQSPDHGRRCWLSRRRRRESRTSLVNGDGDGGTSLTDDVLAAIFSRLPDAGDVVRCAATCRRWAGVVAKEAGFLSRSLRPPLPGRALGAFFHQAHGDVGRKRKRRCPIAESSTPTCFVPTASGARLLGFNDLFDLSRSRPVAPRNGRVVLELLHSSVDDGDGLELVRV >LPERR01G26780.1 pep chromosome:Lperr_V1.4:1:23135417:23140441:1 gene:LPERR01G26780 transcript:LPERR01G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVRARRRIAGARAASSAAAAAAEKKSCRAVVVPRFGGPKVLEVRQGVPVPDLKPGEVLVRARAVSINPLDLRMRAGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTSKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVVGGGGAVGLAAVQLAVAAGCTVSATCGTKSIEQVLAAGAEKAIDYTAEDTESAIQGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIQRLSGAGKMQIPVEKTFPISQVREAHEAKEKSLVPGKLVLEFD >LPERR01G26790.1 pep chromosome:Lperr_V1.4:1:23142841:23147050:-1 gene:LPERR01G26790 transcript:LPERR01G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAARAWLRPSATMRLQETGFFTDYPTNPILTRLCISRSGLQVASPNLGRCKIARVKGGDADGYPTTDDLLIDEETLQRNLQRAIQEEDYTRAAKIRDDLRILHEDTKASLLSANTRFYNAFMNGDLAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWYMCVHHASHANGNKLPPTRSKPPPRPTPQGHNDHEQSPAAASAHGGEGSRREGRVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQANHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVAGAAIIYEVQRSARSEARKEEIRRQELEARKKRIEELASEVEMMKQKIASCGASRARRRRRRPQLQRQHSLLRSIGSQRLLLLKILHW >LPERR01G26790.2 pep chromosome:Lperr_V1.4:1:23142841:23144922:-1 gene:LPERR01G26790 transcript:LPERR01G26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQANHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVAGAAIIYEVQRSARSEARKEEIRRQELEARKKRIEELASEVEMMKQKIASCGASRARRRRRRPQLQRQHSLLRSIGSQRLLLLKILHW >LPERR01G26790.3 pep chromosome:Lperr_V1.4:1:23145316:23147050:-1 gene:LPERR01G26790 transcript:LPERR01G26790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAARAWLRPSATMRLQETGFFTDYPTNPILTRLCISRSGLQVASPNLGRCKIARVKGGDADGYPTTDDLLIDEETLQRNLQRAIQEEDYTRAAKIRDDLRILHEDTKASLLSANTRSDIRSWEMVCNADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWVKN >LPERR01G26800.1 pep chromosome:Lperr_V1.4:1:23151407:23152620:1 gene:LPERR01G26800 transcript:LPERR01G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGHDSGLAADELLRAQAELWNHIFAYTKSMSLRCAVELGIPDAVHRRGGAGVTVQELVEELALPRSREPFLRRLMRLLAHAGVFVVEEDATVYGLTAVSRLLVSESPGGAGKGLSPFARAILHPIVVSPSMSLASWFRDGGARVPFGAVHGGRELWAVARDDRGFGAAFDDAMACDGRFVMDVLLHRGGHDAGAQLFRGITSLVDVSGGSGGAAKSIAAAFPHVRCTVLELPHVVASVQPGDEGGVEFVAGDMFEHVPKADAVLLKWILHGWGDEECVRILRRCREAVPAREDGGRVIVMDLVVGSSSGNEARDTETQLLWDVMMMGVVGSPERDEREWSKIFHDAGFSGYKIVPLLGIRSVIEVYP >LPERR01G26810.1 pep chromosome:Lperr_V1.4:1:23153137:23158161:-1 gene:LPERR01G26810 transcript:LPERR01G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSTSSRPPLMVLPSFYHRPPWPSERGGGEHRSDCWAGSPVGGGRARAAMGIDLNNTASGGGGEEDDAPPAPVVCRELWHACAGPVVSLPRRGCKVVYLPQGHLAAAGAGGGIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALVAEGEVFERNFHGGGIEKEDDMEDGDDERKSGMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATPLKNEAIFKAFNTESSKMRTLSAVADSLKHGSVFHICYNPRATASEFVVPYWKFVKSFNHPVCVGMRFKFQFESEDVNERRAGIIAGVSELDPIRWPGSKWRSLLVRWEDTTDCNSQSRVSPWEIEIVDGSVSVAHSLSTSNGNGRPDSVETEKFPRVLQGQELMGSRTHPVTCSPQSIDVTKSKCFDAWRFLTDTRSCMFSSSTSRLPVQYSGFSHQSVGFSESIGFPEVLQGQEISQTVPQFQGMVPDACSAKSRYELQNYMCTSATMTGLSAANQGYCLSLSTVPPSAPSSLMSYQTSVPQLELASKNNDKSVSQHSETSWEQFKIGKASVPGHSAKPVHGGRDVGRTSCRLFGFSLTEKVIPTTEKDGEKEGNYETDCQNPRMLDLFGYNCTTPGRDRYIWRHIHSAPHPQI >LPERR01G26820.1 pep chromosome:Lperr_V1.4:1:23170210:23171205:1 gene:LPERR01G26820 transcript:LPERR01G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPARHFLLFALAFLAAGAADAWPSFLGKATSTKAVKHDEVAATTTTTPAAASADAANSNSASAEFSRPSSGGSSNRGYGLYSRPEESYPSSYYRRGVHHDADKLTTTNAAAATAEQEKEEAGVAGDDDVNWFGYPEDGSGRGRPRPYARGQQQQQTTTTNADDDSAWFGYPEDGSGRGRPRPYARGQQQQQTTAANADDGAGVGYPEDGSGGRGRPMSYAHMRSGQQQQQQKQYDYGMSDTRVYQNGRYYYDVNTGKYGYGRESNPVRTTRPEEFNGGGNAGGGQDEYANGNDHQEEFGVGQRNGVQVGRRYGNAAAGYDANGQYIP >LPERR01G26830.1 pep chromosome:Lperr_V1.4:1:23172880:23176580:1 gene:LPERR01G26830 transcript:LPERR01G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSFLRSGAATSSSIRGAAVRAASRSGATPLPRRMPASAPRLFVLRSPVEMSSVCLETLMPMHSATASALMTSLLAAPACRSFGWLSEGL >LPERR01G26840.1 pep chromosome:Lperr_V1.4:1:23175795:23185457:-1 gene:LPERR01G26840 transcript:LPERR01G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAAGSGDQAPMMEAAYNIIPIQDVVMHGDHPALRFPEVRAAVEALAHASDFPPPPMAGAWDPYRADIFDWLGATFGFQAHNVRNQREHLVLLLANAQLRAAPGFPKDHPIDVLHHSVARGIRRKLLKNYTSWCAYLGQKRHFTVPSGGGGRDRRRTGAAPGVGPDIRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVLEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVDASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRNFFVEPGKPGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGRRNPWDSLIFRDIQVRVLSVFITWGGLRFVQAILDAGTQYSLVSSETKTLALRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAVVLFIIPWIRNFLEKTNWRILYLLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYYLQIKPMVGPTKVIFKLNDIRRNWFEFMPHTERLAVIILWVPVIIIYLMDIQIWYSVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPAEHLDTDHGSIRSKLYDAIHRLKLRYGFGRPYRKIEANEVEAKRFALIWNEIIQTFREEDIISDEEVELLELPPVVWRIRVVRWPCLLLKNELLLALSQAEELVADDRTHWIKICNNEYRRCAVIEAYDSIRHLLLEIIEERTNEHIIVNQLFLAFDSAIEYGKFAEEYKLILLPQIHKYVIFLVDLLLKENKDQIKIVRTLQDLYDLAVHDFPKNKKDFEQLRREGLAPSRPTEYQLLFQDAIKCPDNEDVSFYKQVRRLHTILTSRDSMDNVPKNPEARRRITFFSNSLFMNMPRAPTVQKMMAFSVLTPYYNEDVMYNKEQLRRENEDGISILFYLQKIYEDDWGNFLQRMRREGMVNDDEIWSGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDTASEVEITEGTKQLATFGSVRHENDVYPMNGGLQQRPQRRLDRGTSTVSQLFKGQEDGAALMKYTYVVACQIYGNQKKAKDPRADDILTLMKKNEALRVAYVDEVRPEMGDMQYYSVLIKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYNYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAIWDFFTMQMMFSSMFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVLSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGGIFSKAEHSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGTFVLMSYARDKYAAKQHLYYRVIQCAVIILAVLVLIIFLKFTPFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVISVARLYEILLGAFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTMAV >LPERR01G26850.1 pep chromosome:Lperr_V1.4:1:23185485:23193307:-1 gene:LPERR01G26850 transcript:LPERR01G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAPSGRGARSFDFGADDVLCSYDDFATSSEPKRPDPIDKQDFCDSRLGRPFGNAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAEAQKELAKFQLTHDTSKKKEDVPTPSFPEQKTLEENTDASGQQLAIVLPHQVNSSSLAPRASEPVQQYKDQTVQQPAPSSSVPQQDRYVLSQAIVYYPQRQTPGIHDSQGQQVQPEVQYLPARPSATQDVAVHASSQQTQAANQTQPQSFPPYQQQWPQQSSQSAPVPVAQPQQTFSQPFPPPVQQPQLSNTQQFPPQQMQQPQLSNTQQFAPQPVQQSQLSNAQQFPPPPVQPQQSNPQLPPQAMQPQHPPVQNQMRPQTPPNYPHYQTHQPLNPASETLPGSMAMQGPYNTAAPSGGSRSEVPFSYGGPGIPPPQHNMQRQQLPPPSQGSFGPPPSKGGYAGPPQYAPQGSSHGYNTAYGYPPSGPSAVQAPPGNVGMNHPGSHQMMRGHPYGEMIEKAITMGYPREQVMNVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRACFLQSSGLFISMRRKGKCESIIKREHEHISAPREKLASTRPVLARLKCARPSDRRDGGRWENDGNRKRREASHFQSPERFTQEEAILRRRSSLSRAERRRRSRVSTEWELNGRPVCNYLRRRRPTNPLAA >LPERR01G26860.1 pep chromosome:Lperr_V1.4:1:23197016:23197270:-1 gene:LPERR01G26860 transcript:LPERR01G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVCIVLCLVLVVVAGAGFAVSPAEARAVAGYAAPPTTVNDVAAGGFGIRDRRRQAGRWNFRSLQGGKREVPGGPDPQHHY >LPERR01G26870.1 pep chromosome:Lperr_V1.4:1:23199671:23201671:-1 gene:LPERR01G26870 transcript:LPERR01G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPNQSASPPSASLFNSLIASRARTGRAAEAFALLARMLAAGVAPTAFTFAPILSSSSPSVGARCAAQLHPHILKSGMLHRDPYSGTSLVGFFGRNGRFDDALRLFAEMPVRSVVTWNCLISLFVQHGRALDAAVWFRALVRSGDGMSDGSLVAVMPAFSTPEQVHGLIKKIAMDSFSTVTNSLLNSYCTRCSMSAAENLFNELMFRDVVSWNTMIIAFARRSLSQRALELFWMMERQSVLPNETTFSSVLYACTSINGHEHGKSVHAKAIKHNLNTRAHVSTSLFYFYSKCGCRKDAREVLEEVPKDSTTRWNGLISGHLDTNVSTSFFILRDMLQSGVMPNESTISSLLKDPPLFHLLQIHSLVTRLGFDGYDYVSSAIISSYISHGIVSDALAYGVKLNPKSCVVSMNVLAGAYNRVGMYDEAKKLLLHRGSDDTVSWSILITTCARNGDYAEAFKIFKRMRILGHRFDNYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEDCLKTFKEMEDRNIISWTAVISGLALNGFSRQALAWFKAMEDDGFKPDMVAITAILSACRHGGLVQEGMEIFRHMKSDYSIEPEMEHYNCVVDMLCNCGHLKEAEVVIRGMPFQPSAAMWRTFLQGCQTYGVIDTQVFS >LPERR01G26880.1 pep chromosome:Lperr_V1.4:1:23207043:23207306:-1 gene:LPERR01G26880 transcript:LPERR01G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTYLGLALCVILMLSAVVRSASARALRERQEEVEPVVVGRAGVAVAGMKVPAAGTGQRSGVGAVGTAAHESKRLSPGGPDPQHH >LPERR01G26890.1 pep chromosome:Lperr_V1.4:1:23212796:23220038:-1 gene:LPERR01G26890 transcript:LPERR01G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAENSSLDKDFGSDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFGKLSSFNMGMSDLDFTSPLKKKVKPTSSNGDDLSEGMKETEKDNFSFSFDFNDLGKFNLDTNLGIEENVANKFMEKVNPISSKGDKDQQRGHSGKGFDNLGDNISKEQKQTQDACTLRPTLTSFSPARMDQNNVDMLSIDTHEEKSNEAHPSRAAVNKPSQNTPCGSTPGEDPTLVATTAVPENCREPPLVELSKVHVSRANNDSEQSVSSQSMNTSAMCPSISRKLIGQSDPQNDQNEIVGETACLDEENHDNQSFRGTSVKLLKKTLSETKKAEKGTSGPKNLSSSTQRDIRNVRPASLNETGSFSLPPKSASTKASMPPQITSETTLNQLGGANHTTEKMNTHSTELKRGTTQANARPEKPKSALSKTFSKPALHAMLTTSKNVNDHRNSKHRLESPKTGDVSTLNAPSSPAHSNGLNTVATQSLLRSTNVSDAVKGTSKDDNRPISQLKGAKITKAGTISSKSDLLLEKKSMEVAGRKGSPVTSNNPNPHGEGKSVLPSPSMMQKKELMEVTRRKGSPVTTSNNPKPCGEGKSVLPNPSMTQKISKESVSDPKAPAMLKHITRSPAVRKSPGIVSNLGNQTIPGSGTPKARMDNAIASAIPCEMGEISELELPALLDNDVNVEKAEACRKELEDICISLKRKREEAKELAVRAVVNNNMMLMLNHPMFQEKISYCLLFQRINVEILGSAGASSRKNNTDSNDYFTAVFIPGVHCVPVQNATEDVLHWVLSQGKKV >LPERR01G26900.1 pep chromosome:Lperr_V1.4:1:23227040:23228501:1 gene:LPERR01G26900 transcript:LPERR01G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSCGGELKLEEDKNQLSKGLDPWAASNPTASASTLHYLLQEKEREQLQIYQQHGFGSFLQHHHRRQQQQQPAAARGPGADGGSSGESTPVAAVDALATAFGAGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLMRNAKAAIDDLPDRAEAAPPPAGTTTESEPQPDQATTEQATSTSYGFGGNNGAGAMTTFPHSLGDAAGADRVSDSVKSLFPSSSTAATAAGAGHDEYRGSPPDLLSRTTSSRQPQELCLTLQSNQHHHQIFGHVSANDHHGMISSAGNWSDHHHSQRMPSSWHAPENSTGDGRGGDSYMFAMPARQGLDQSQLFSHGEPLQSSGSGWAVSSSRTWLDPLAVAAIHQHQQSTMAAGQVGFGHLVGSGGGGGGFMGFLAPAAHRIQGEEENGSEVMRRD >LPERR01G26910.1 pep chromosome:Lperr_V1.4:1:23238124:23238873:1 gene:LPERR01G26910 transcript:LPERR01G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVNDPGSAWFGSGERSSSSTGPSRNVRLIATAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAAAAAALPTAASATTKVGLDPSAIAALPTAAYAKAAGDEEEEEEASGGGCSECAICLGAMQDGDTVRVLPACSHMVFHVACIDKWLASSSSCPVCRAGVEPPPPPPPTTTTTEVARCVLHEKQEGGEKEEAVAGSSAPVRVLGASLMKMLSRERPSGRRMQGVHAVEMEDLESQLPRPQQQQLQH >LPERR01G26920.1 pep chromosome:Lperr_V1.4:1:23240907:23244843:-1 gene:LPERR01G26920 transcript:LPERR01G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRGQGGGGDGVDGEAVSLLGALGLGGFAALDLHAAVPDDEPELLDAAYDRGTDRISVSLRGGAGVSASRADLAAALGLPLGPVALPPEVNASVFCSGEAIAAVRGFLRDRVLLRGYGDDGRELPGEVAVAMRLVEEGKAHAVDWCRLFWELLKMDLANGKPRSYAPHLLRLIEHQRPELFAGGDGGSPHGKRRKGVFLRQCQWADEKETSVIHRKIGDARGPAAEAEVEEGGKSIGDLEEMPVFGEDKKLNAIDPVHYKSSIVGVGGWNHGMEEGNAGFGSQESLPSGIGVLCCEMEGNAGKYAAGQIKDIMDNNFLDKIKAETEARAAIQGTRNMLMEKDDMIATLKRDILEELGARHIMMSRFEHDIETMHCTIQQYRKLFQNCSAAFLEYKRGISRGECGGSSLEVTGIADGLDRFVRMQQVQLYQRINEIQTVWLSQCSDLVGQMKGVASRMAYLSEGDEDWDKELPSNTRDGEWESEGDHCRSASQLDELPQPYQKNDTESMIVNGVAGSCHEVKAYQGGTIHAKY >LPERR01G26930.1 pep chromosome:Lperr_V1.4:1:23250490:23253256:1 gene:LPERR01G26930 transcript:LPERR01G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAPGQQHWGADAAWAEEFSEFAAARRGAHRRSLSDSVAFVEVAPAGCGAGEFDRLDDDQLMSMFPDEGGSAPVSDNGGSDSDGAARGEDGQPASDDAAAGEQPPEQAAASPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQSVKLSGGLAADHAHAHGGPPPVRAEKELMS >LPERR01G26940.1 pep chromosome:Lperr_V1.4:1:23254916:23255470:-1 gene:LPERR01G26940 transcript:LPERR01G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSIEEERRPQQQEVVLDAPEMDDELLVKLLDASLVTEEADDVEDAERRKHQQQQQQQKQLGFVTADVGDGGWEMMSSIHPHQEEGGCEDCGLDDILSDFDGCGGYAYVDVDDDPVEFWMEGITDHAIAGLGLFGVECTEEWYMDGMAMEWEDGRSYYSFHYPSYGADAACADQLYSSPLWE >LPERR01G26950.1 pep chromosome:Lperr_V1.4:1:23259141:23259632:1 gene:LPERR01G26950 transcript:LPERR01G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDHHRVAMHDVSPSVDALRQTLQRQIRPCRNHAGVLRRFLKATHKNHRAIMARKAEVRALAAAVEGTNAVALAAPAPVPPPPSPACPPRRRIADTVVRAMRSSPPVFVPVVLTSPTPPPPSPELRSRAAATEGSTMTALLPEADGVWIVTEDTSSEESSPA >LPERR01G26960.1 pep chromosome:Lperr_V1.4:1:23266373:23267594:1 gene:LPERR01G26960 transcript:LPERR01G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGNPMVILLIAILACLLSPSAALQTKTSSMQTAAHLPLPDGVTGAESLAFDAKNQGPYTEKTPKCATAGASEEMESTCGRPLGVAFYRKTGDLYIADAYEGLMRVGPRGGEAEVLATEADGVPFNFVNGVDIDQSQFQILVHRDATARLLKYDAQTKKSLGLGCRMYANGVAVSHDWNYIVVAHTGPAQVFRYWIKGSKADQCELLADLPGYPDNVRRDAYGGYLVALNREKIQVTVMDSQVKHVVGVRLNSDGVVVEELTTTEGTLSEVVELSNKLWLGSVNLDFVGQINER >LPERR01G26970.1 pep chromosome:Lperr_V1.4:1:23270531:23274869:-1 gene:LPERR01G26970 transcript:LPERR01G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAPPAGAAEAVAPQHPAVVEADQPPPLEAEGGVAAEEDPLPLLPEAEEVEDAAPVVSDAVDPASIEGSKAGAGGVVLTDELRDRIVKQVEYYFSDENLPTDEFLMKYVKKNKQGFVPIGVIASFRRMKKLVQDLSIIEAALRTSSKLVVSSDGKRIRRLHPLPHNELNDVKKRTVVVENLPQGFSTESILATFETIGKIVKITIHDQDQHSVGEAAPTKNFDIMLSNKVHALVEYETAEAAEKAAATLNDETNWRTGMKVRMAKRGVTGSAKHSQPSKENQTIQGQPLKGERQMVSEKNVGTGSIEGALDKENVNSDITDEDKRQHQKANAKGGRKDRYKGQGRGQIQQNTSGQGHGSFPAASGSDHVNKPIPGPRMPDGTRGFTMARGRSPTFQKGDKIEE >LPERR01G26980.1 pep chromosome:Lperr_V1.4:1:23280001:23284194:1 gene:LPERR01G26980 transcript:LPERR01G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMTRRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAIRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQARLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKSKDNVVTNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAVELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQTANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLSIVTIVGLLLF >LPERR01G26980.2 pep chromosome:Lperr_V1.4:1:23279731:23284194:1 gene:LPERR01G26980 transcript:LPERR01G26980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMTRRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAIRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQARLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKSKDNVVTNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAVELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQTANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLSIVTIVGLLLF >LPERR01G26990.1 pep chromosome:Lperr_V1.4:1:23289172:23292072:1 gene:LPERR01G26990 transcript:LPERR01G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPHCFPCYDDGDRGNRFCAASDFSNDLLPSLGATAQQPPKLRKYLVSPYDPRYKVWEKFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDIMLTFFVAFVDKKSHHLVNDRKKIAVRYLSSWFVFDVCSTVPFHSISLLFNKHEHDFGFKFLNVLRLWRLRRVSSLFARQLHIYTTPNLLLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPKRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVIQAASEFAARNQLPRQIEDQMLNHICLRYKTEGLKQQETLDMLPKAMRSSISHYLFFRVVQGAYLFKGVSARFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVDILAFLDEAEQVCGRAAEGELLGEIGIMCNKPQPFTFRTTKLSQILRISRSRLLGIIQENREDGEIIRSNLQLVNV >LPERR01G26990.2 pep chromosome:Lperr_V1.4:1:23289172:23292072:1 gene:LPERR01G26990 transcript:LPERR01G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPHCFPCYDDGDRGNRFCAASDFSNDLLPSLGATAQQPPKLRKYLVSPYDPRYKVWEKFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDIMLTFFVAFVDKKSHHLVNDRKKIAVRYLSSWFVFDVCSTVPFHSISLLFNKHEHDFGFKFLNVLRLWRLRRVSSLLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPKRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVIQAASEFAARNQLPRQIEDQMLNHICLRYKTEGLKQQETLDMLPKAMRSSISHYLFFRVVQGAYLFKGVSARFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVDILAFLDEAEQVCGRAAEGELLGEIGIMCNKPQPFTFRTTKLSQILRISRSRLLGIIQENREDGEIIRSNLQLVNV >LPERR01G27000.1 pep chromosome:Lperr_V1.4:1:23307825:23310565:1 gene:LPERR01G27000 transcript:LPERR01G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLYNAPPASTSPLLCFRSLSCPVSWRSEQASNRARPLLHVYRVFSVATMVVLTGAPAVDHIPLLRSPDSSDVFSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVSTDTMDRLESEAVRFFSRPQADKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLSLDDASLADACTVPSCAVFRGALNEYIGAVRKLAVRVMEAMTEGLGIGPADALSAMVTGEGSDQVFRVNHYPPCRAMQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWGEYKKAAYKSRLGDNRLAQFEK >LPERR01G27010.1 pep chromosome:Lperr_V1.4:1:23322075:23326998:1 gene:LPERR01G27010 transcript:LPERR01G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGFWESRFGGKKEPEQNGHAANGEANGSVRKRASDLAVYEQFEQQEFLVAVWSIPFDPRSVLLFQARQTDVRAAAIRDGNADVIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVETTEGYSGSDIRLVCKEAAMQPLRRLMSVLEAREDLVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILCQEQA >LPERR01G27010.2 pep chromosome:Lperr_V1.4:1:23322075:23327814:1 gene:LPERR01G27010 transcript:LPERR01G27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGFWESRFGGKKEPEQNGHAANGEANGSVRKRASDLAVYEQFEQQEFLVAVWSIPFDPRSVLLFQARQTDVRAAAIRDGNADVIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVETTEGYSGSDIRLVCKEAAMQPLRRLMSVLEAREDLVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILCQEQA >LPERR01G27020.1 pep chromosome:Lperr_V1.4:1:23325735:23329206:-1 gene:LPERR01G27020 transcript:LPERR01G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEELRLDLDELRRLEGLAKRPRVLSALANEIRTVHAKLAKATSPPAPQAVAAAPTPVAAAAAAPAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTCKPMSVDIKFHDVKDKNYRCAIPKLNKEIVPEKSKVLVKPTKIVVTLYKASKGNWLDLHFKEEKFKPSTDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKAADSRSVNIVGDVKQIEDRCADGLVFLKATSISSGLRGPTSAVTIFNRKLRRNLSPPTNKSKLTFLRHEILSGFKN >LPERR01G27030.1 pep chromosome:Lperr_V1.4:1:23342272:23343603:-1 gene:LPERR01G27030 transcript:LPERR01G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRVSEVPQRASPRAPLHLKTTACSEANGAHHRTVGDRSSPKLAADRPSPRSPLHEKKRAGTRVAELEAKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASAAGASPRSPTPVAVETPEKSKQPKVVAAPAAEEENSINSPATDVFEVVRTESGDKENQTEDGSEEVSCGGIKAALAEKELEEEETKKMIEEESLDTTAAIDNSEKESAEVTELKAKLMAKDMEVAILTADNAELKKQIAEAAEAAKKAEEAAAAKASLVEQDLKERAGREARMGEQLTASEAAREALEGEMRRLRVQTEQWRKAAEAAAAVIGGDAHLIGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >LPERR01G27040.1 pep chromosome:Lperr_V1.4:1:23351372:23355272:-1 gene:LPERR01G27040 transcript:LPERR01G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWAITRGSCGSGLATGIAAPSPPPAKRGTSLGPTLFVLKLSCQRLWRLPDSSPRGEEAASHAVPTGSTEDGGDGNFSSPLARLQDVCCEVRAACICLWIGKDCNYTSSKVCGARGPRPRYPRVWKTRKRIGTISKSKKLVECVKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKLLEDEKEWKRIIQVIKWMFNKGQGKTIGSHYTLLNALIEDGRVEEAEELYEKIFSRYLEGLPRTFFMRMISLYYRLEAYQKMFEIFADMEELGVKPDGSIIRMLGEVFHKLGMFDKYVQLKRKYPPPKWEYRYIKGKRIRIKVYPENKTHGPIRRNPGTDEVEEEEESMNLDSELEEEASAGLDRNVLDEAACGDLEFRQSGY >LPERR01G27040.2 pep chromosome:Lperr_V1.4:1:23351372:23354741:-1 gene:LPERR01G27040 transcript:LPERR01G27040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVRYGPPAYAFGLERTAITHPRKFTSLVVCGARGPRPRYPRVWKTRKRIGTISKSKKLVECVKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKLLEDEKEWKRIIQVIKWMFNKGQGKTIGSHYTLLNALIEDGRVEEAEELYEKIFSRYLEGLPRTFFMRMISLYYRLEAYQKMFEIFADMEELGVKPDGSIIRMLGEVFHKLGMFDKYVQLKRKYPPPKWEYRYIKGKRIRIKVYPENKTHGPIRRNPGTDEVEEEEESMNLDSELEEEASAGLDRNVLDEAACGDLEFRQSGY >LPERR01G27040.3 pep chromosome:Lperr_V1.4:1:23351875:23354741:-1 gene:LPERR01G27040 transcript:LPERR01G27040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVRYGPPAYAFGLERTAITHPRKFTSLVVCGARGPRPRYPRVWKTRKRIGTISKSKKLVECVKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKLLEDEKEWKRIIQVIKWMFNKGQGKTIGSHYTLLNALIEDGRVEEAEELYEKIFSRYLEGLPRTFFMRMISLYYRLEAYQKMFEIFADMEELGVKPDGSIIRMLGEVFHKLGMFDKYVQLKRKYPPPKWEYRYIKGKRIRIKVYPENKTHGPIRRNPGTDEVEEEEESMNLDSELEEEASAGLDRNVLDEAACGDLEFV >LPERR01G27040.4 pep chromosome:Lperr_V1.4:1:23354901:23355272:-1 gene:LPERR01G27040 transcript:LPERR01G27040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWAITRGSCGSGLATGIAAPSPPPAKRGTSLGPTLFVLKLSCQRLWRLPDSSPRGEEAASHAVPTGSTEDGGDGNFSSPLAR >LPERR01G27050.1 pep chromosome:Lperr_V1.4:1:23355101:23361259:1 gene:LPERR01G27050 transcript:LPERR01G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSELVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDIGNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETEFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVKTVGDLLSFAEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALRNNASVKSWLDRLCEELSERIQSDLNQNKRIAQTLTLHARASKGNECDSIKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPSGTSSILRYIKGPNSTVSPANLDCSLPQDPSLGGINQFSNSSLTVLTCKHASLGNKLYITPNHEEQCEPSSSEKEDRGKNSNLDKQHQVKEEKRMSKKLTDVKGTCSILKFLSQSSPVVNEKRKLDSLTCSHPGPESSSEPNKAEEHKSAQPFDRNKSNPSGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKHSSSKTRGSTISSYFQPAKRS >LPERR01G27050.2 pep chromosome:Lperr_V1.4:1:23355101:23361259:1 gene:LPERR01G27050 transcript:LPERR01G27050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSELVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDIGNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETEFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVKTVGDLLSFAEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALRNNASVKSWLDRLCEELSERIQSDLNQNKRIAQTLTLHARASKGNECDSIKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPSGTSSILRYIKGPNSTVSPANLDCSLPQDPSLGNKLYITPNHEEQCEPSSSEKEDRGKNSNLDKQHQVKEEKRMSKKLTDVKGTCSILKFLSQSSPVVNEKRKLDSLTCSHPGPESSSEPNKAEEHKSAQPFDRNKSNPSGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKHSSSKTRGSTISSYFQPAKRS >LPERR01G27050.3 pep chromosome:Lperr_V1.4:1:23355101:23361251:1 gene:LPERR01G27050 transcript:LPERR01G27050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSELVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDIGNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETEFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVKTVGDLLSFAEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALRNNASVKSWLDRLCEELSERIQSDLNQNKRIAQTLTLHARASKGNECDSIKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPSGTSSILRYIKGPNSTVSPANLDCSLPQDPSLGNKLYITPNHEEQCEPSSSEKEDRGKNSNLDKQHQVKEEKRMSKKLTDVKVLKVLQNRIKLKNISQRSLLIGTSLTLLGVTLPAVARGCSMSKISIQP >LPERR01G27060.1 pep chromosome:Lperr_V1.4:1:23361026:23364147:-1 gene:LPERR01G27060 transcript:LPERR01G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPHQVSAVIFDLDGTLLDTERATRDVLSEFLTAYGKVPDKEKEERRLGQMYKESTTGIIADYGLPLTLDEYAVAIYPLYMKRWQKAKILPGVERLVKHLHKNGVPLALASNSARRNVDHKLLKLEDWKDCFSVILGGDQVPHGKPSPDIFLETAKRLGVNPSSCLVIEDSLVGVQGAKAAGAKVVAVPSLQSQRQHYSIADAILYSLLDFHPELWGLPPFEDRIQGGLPIEPLFLNAHIGHAISKSLYMVIAGDCTYESVPDQISGIFFGWAKLEAGGVSKVVISIGWDLSLRTVERVMENMSQALTITVEDQAIARDALDLPVFSEHALDQTFFSSDMPS >LPERR01G27070.1 pep chromosome:Lperr_V1.4:1:23365408:23366088:-1 gene:LPERR01G27070 transcript:LPERR01G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTAGVGAGGAVNVLAQQQNYHQYHPGAAAHAHAAHAARPTSSYNYYKHGYDDSRLYGAYYHHGANSAVVGTRATDYFSDENPQSCSVM >LPERR01G27080.1 pep chromosome:Lperr_V1.4:1:23375379:23376017:-1 gene:LPERR01G27080 transcript:LPERR01G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAGGFKLFGKVITQCGAEGNHHEKKTQGFVVPREKTERDDDEEDEERAVPAAAAMSHRGAIKREAADRDEEQRQDQEAAAAVQPTTQRRTLQDSAEARAAAAAPLPCPRCRSRDTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRKNRPLGPVVAAHHHHHHHRAAGGFVLGFPNPSSPTSPSPVYTDRWPVTPDRPF >LPERR01G27090.1 pep chromosome:Lperr_V1.4:1:23384365:23390215:-1 gene:LPERR01G27090 transcript:LPERR01G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRLLAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPQEVFDALKSQTIDLVLTAHPTQSVRRSLLQKHGRIRNCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVRADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLACGFSEIPDEATFTDVDQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKTFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNKWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPDFQVSPRAHLSKDIMDSGKPAAELVKLNTTSEYAPGLEDTLILTMKGIAAVYSRPPANLAESVAVAV >LPERR01G27090.2 pep chromosome:Lperr_V1.4:1:23384365:23390215:-1 gene:LPERR01G27090 transcript:LPERR01G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRLLAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKQLDAIGNVLTRLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPQEVFDALKSQTIDLVLTAHPTQSVRRSLLQKHGRIRNCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVRADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLACGFSEIPDEATFTDVDQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKTFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNKWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPDFQVSPRAHLSKDIMDSGKPAAELVKLNTTSEYAPGLEDTLILTMKGIAAVYSRPPANLAESVAVAV >LPERR01G27090.3 pep chromosome:Lperr_V1.4:1:23384806:23390215:-1 gene:LPERR01G27090 transcript:LPERR01G27090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRLLAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKQLDAIGNVLTRLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPQEVFDALKSQTIDLVLTAHPTQSVRRSLLQKHGRIRNCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVRADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLACGFSEIPDEATFTDVDQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKTFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNKWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPDFQVSPRAHLSKDIMDSGKPAAELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >LPERR01G27100.1 pep chromosome:Lperr_V1.4:1:23393548:23398750:-1 gene:LPERR01G27100 transcript:LPERR01G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTSSSDVSASHVGRARQRRRPTEATADGNRTNGPALLVNDHNKYRSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVNSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKDLSMGWLRCDPGPMFKPEHYSMGEWVPQWFPWKEAVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNFSVEIILDQILRNLTFEEQKFLYQQLGEIFRDRQLMQS >LPERR01G27110.1 pep chromosome:Lperr_V1.4:1:23400663:23401064:1 gene:LPERR01G27110 transcript:LPERR01G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGHKSVEVAVDEARTEEGGSTVEVNGAHWEAACPWSCRHWESGRGLAAVVAPPVEVVDAVSGRRRGGGYLAADWATSSTADSCREEGECSPESMGRGGGWRRRRSPPGSRRRGTVWIRGERNLGEVQGEF >LPERR01G27120.1 pep chromosome:Lperr_V1.4:1:23406085:23406681:-1 gene:LPERR01G27120 transcript:LPERR01G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPSDGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPPEKDKEKDKEKAKAAAQAQAPAAAARRRRRRKETEGDQSGGRDGSNGPAASARVGSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >LPERR01G27130.1 pep chromosome:Lperr_V1.4:1:23420262:23424453:1 gene:LPERR01G27130 transcript:LPERR01G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRLMAEGGKRIDLGAPLRSVRHADALPRYKADLNSGPIRHPGAVPFVWEQRPGQPKSVRTRRTPPPPPPTTTASHPRPSENGLEDEIGGSPYHDALGERHGGESPYHDAPAFSRTGAAAAAKEVTEKVVSMAALLRKEDDDDGGGEEEEERFSDALDTLSRTESFTVNCSVSGLSGMPELTSRAAAGADPGARGIMMDRFLPAAQAVAIGSPQYTFRKASAAVSTGNSGREVAREVGGNGSAGNGDDVVRRTPVQLPYQHLSPNYLSCSYPRREEQEMEDDDDDYDVQSTRGFASKGCGLLPSLCVKSSLMLLNPVPAMKRGKAQRNVRIREFASKGSGQGAPSPLARSSQNKHLGCSSNGQSWEDVYKHKLEQKYLGHGEDGRSKLTSESNQLTFWSDSQTGNGSSPLHHSAGGGSGMSPYYRDVVLSPSSKANDSFGTCGKEDKMSISNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVHEDSMDHRGDIGSGTSHLALLLNSRASLDAGGCDSQLGEEHIVGRNPFVKCEENDPSTERVSEVTEPTLLVPSEKLRPVNLDEGRTCGHLEDSNAVKRDLSLQSLLPLPVPRSPSESWLSRTLPSVTNKPPVPSFLGIQLQSKKQTTWTSMQPKENNVKPSRPRQIRFADVVEGPNSSDSEI >LPERR01G27140.1 pep chromosome:Lperr_V1.4:1:23426004:23431038:-1 gene:LPERR01G27140 transcript:LPERR01G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRVDPYMALGRARVLGPTCRQHPPTSSPSGLPSSSLPVASSAWRRAAADGDRARRPRNLAAAGSAQRSHRRDTPNSLPRGQPRCRWNPPHPSWASTSKCKMPKIKNLSNACKVSFSPDGPISEEALERVRALLDEIRPIDVGLDNEAQIARNWNSSTRQANGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDIVDPPDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSADNGRDCSYFRKSSVREPPVVLPSEINSAEVVWLDELEDHQPPEGFVVARGLYKGPVIRR >LPERR01G27140.2 pep chromosome:Lperr_V1.4:1:23426006:23431038:-1 gene:LPERR01G27140 transcript:LPERR01G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRVDPYMALGRARVLGPTCRQHPPTSSPSGLPSSSLPVASSAWRRAAADGDRARRPRNLAAAGSAQRSHRRDTPNSLPRGQPRCRWNPPHPSWASTSKCKMPKIKNLSNACKVSFSPDGPISEEALERVRALLDEIRPIDVGLDNEAQIARNWNSSTRQANGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDIVDPPDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSADNGRDCSYFRKSSVREPPVVLPSEINSAEVVWLDELEDHQPPEGFVVARGLYKGPVIRR >LPERR01G27150.1 pep chromosome:Lperr_V1.4:1:23433800:23436554:1 gene:LPERR01G27150 transcript:LPERR01G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPHATSLVPHAPNPSRHEAPLRPARSTAFKREERRKRKERKRQERLALELEQWEPLGAPPRATAASSSPFRVLPDTPWPCDPSPDPEPSGTWSWGPPADPPLEPPPVLVPAVVSSEANAVAACRAFFGENVDHDDEEEEDEDEEGNVIRFFQELVEKDAGLRGFYEAEREKGRFLCLVCEGTGARAGKRFAGCAALVQHAGSVARAGRRMAHRAFADAVGRLLGWSAGRTTDLPVLGDSDNAGMGDEADHLEDVTRRAEMEMCPSHQ >LPERR01G27160.1 pep chromosome:Lperr_V1.4:1:23435380:23437666:-1 gene:LPERR01G27160 transcript:LPERR01G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRSSSSAPSSRRARPSEGRNAGVSPDISAELSPSAGEENRWSALVPDLLADILRRVEAGSESWPPRRDVVACATVCRRWRDVAVSVVKPPLESGRITFPSLDQGTHQCSVSSRGTAKNLYFFPISGINTREEFHTCMCMFYYGYHELTDEGKFLLAARRCRRGLHKEFIIAINSDDLFHGSHSYIGNLKSNFTGTKFTISDWQPTYKGAKAFSRKCSHGFGNKQISPLVSTSDVEVGKVYYKFGFLKSRGPRRMNCGVQCPLIEGTDPQDEKEHDTPSSLLLNSKVPTWHEHLQCWCLNFYGRVMVASVKNFQLITPVGPGEPSDETVVLQFGKIDDDVFTMDYRQPLSAFQAFAICLSSFGTKLTFG >LPERR01G27170.1 pep chromosome:Lperr_V1.4:1:23443952:23444851:1 gene:LPERR01G27170 transcript:LPERR01G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRHVACYQRWIAGQEAGLGELEAASANAAAGRATNAELRAAVERCMSAYAEYVTTRRALAREDGAALFAPPWCTSFENSVLWLGGCRPSLTIRLLYSLSGEGLEDHVEEFISGRVPVLGAAAAGGMGGLLGITARQLELVNELHRRTLREEDALSDRLATLQEDVADRPLLPIVRERATAAAAALAARACDGLGTRLVVTAGRAGAVDPEVDAAIGSYKAGLGRLLEEADELRLSTTRTLVTEILTPRQAVETLVAGKQLHLAVRDWSRRGGAGVAQNVRSPALTLAGISSSHANP >LPERR01G27180.1 pep chromosome:Lperr_V1.4:1:23446800:23448251:-1 gene:LPERR01G27180 transcript:LPERR01G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETTNQDSQVIMGRYRLGPLLGRGTFAKVYKAHKVATGEAVAIKVFDKESVHRSGTVEQVKREVDVMRRVHHRHVIRLHEVMATRSRIYFAMEYASGGELFTRLSRSTRFPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVSDFGLSAFADRLRGDGLLHTTCGTPAYVAPEVLLKRGYDGAKADIWSCGVILYVLLAGYLPFNETNLVILYRKITESNYRCPPWFSVDARKLLARLLDPNPKTRITIAKLMARPWFQKGTTTCPLSDIPLVASAPSVLLVGNEEARQQQNDDGNEEDVSAREKKRSKVTMSSPTIDIPSMNAFDIISRSSGLDLSKMFDAEHCRSEARFSTRETTTAIVSKLEEIAEARRFSFKLKEKGRVELEGSMDGGRKSALAIEAEIFEVAPSVHVVEVRRTGGDSPEFRDFYKQELKPSLGDIVWAWQGGDSPPPALLPVPAAARRASTKRP >LPERR01G27190.1 pep chromosome:Lperr_V1.4:1:23454341:23455870:-1 gene:LPERR01G27190 transcript:LPERR01G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPAPRDATAEAAPAAGEALARRGGGGSGTVVMGKYELGRVLGQGSFAKVYHARHLSTDECVAIKVLDKEKAEKGGLSHLVKREINVLRRVRHPNIVRLFEVMATKTKIYFVMEYVRGGELFSRVTKGRLKEDAARRYFQQLVAAVDFCHSRGVFHRDLKPENLLVDENGDLKVSDFGLAAGRDQFGADGMLHTFCGTPAYVAPEVLRRRGYYGARADIWSCGVILFALMAGYLPFYDRSLMLLYRKIYKGEFRCPRWFSKDLTCLMNRLLDTNPKTRITVPEIIENQWFKKGYKPVRFYIEDDRLYSLDDDDEMLDLVPADPLSSPLAPVPPPPQGDDAESSCSDESDSSVVSCPATMSTGGSQMARGPLPRPASLNAFDIISFAVGFNLSGLFEERGNEIRFVSAEPMSDIVTKLEEIAKVKSFSVRRKDWRVSIEGTREGIKGPLTIGVEIFELTPSLVVVEVKRKAGDDEEYEDFCNMELKPGMQHLVHQMLPTPNGPTEIK >LPERR01G27200.1 pep chromosome:Lperr_V1.4:1:23467027:23473309:1 gene:LPERR01G27200 transcript:LPERR01G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEGIAALFSMYNDDEEEDDGDEPRPPSPPPPAAANSSSPSPQTEGESSNPNPNATTSSAPPSPPLAEDPAGRKTLASPYPSLGLPPLPSRRSPSPFVISSPSPLRPAFAVPPADLPRPPRRGPLAIVDYAHDEMAMSPEQEDGEINGIDRSGLDAQAAEGNFEEKNLSGTVHILTPNIRSEMSQQNDAPEQNQVGTAASLSVTGVETEDALLEEAADNIQSDDPLSRFLPPPVITKCSAALQQKINRFLVYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKSDYYDEIEADMKREVERKELEKKKSGKVDFITGGVQAPLSAAIPKIAAAVSAAAAAGAPLVSVMGEGAQKEARPNKKSKWDKVDGDIKNPVAPSGLDNLSAAAVLTSANVGAGYAAFAQQKRKEAEEKKTNDHKSDRRS >LPERR01G27210.1 pep chromosome:Lperr_V1.4:1:23474389:23479374:1 gene:LPERR01G27210 transcript:LPERR01G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSRLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIKDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEITQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >LPERR01G27220.1 pep chromosome:Lperr_V1.4:1:23481877:23490740:1 gene:LPERR01G27220 transcript:LPERR01G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSRGSFIYFNLGALRFLVFKDRKKPRPLQVYTPGQNHGRIPVFSTCRVIIESIAAVVVVVRGAADHWGVDRLMAVNGVLERRSSVRRSQSMVREEEDTADDELMFRSQGSNDPSSGNGGGGALKIGAVLDRDSAAPKSRLAKDAGGDHGGGGPSDMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNYKDRNEFWYVKRDSCSESDKEGTRSDEKWWIPIVKVPAGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKDVGFAILESYSRVLESLAYNVMSRIEDVVSADDHAREKAKKEASPAPSPATANNGPEHHHAGEVDAASKMAGSPNSRTLLDFMDDWNGDAEAKPSPTALAAEPPAQEDGRLMKLPNIMTNLKQTYMDKLDLFGGHRSPSGRH >LPERR01G27220.2 pep chromosome:Lperr_V1.4:1:23481877:23487622:1 gene:LPERR01G27220 transcript:LPERR01G27220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSRGSFIYFNLGALRFLVFKDRKKPRPLQVYTPGQNHGRIPVFSTCRVIIESIAAVVVVVRGAADHWGVDRLMAVNGVLERRSSVRRSQSMVREEEDTADDELMFRSQGSNDPSSGNGGGGALKIGAVLDRDSAAPKSRLAKDAGGDHGGGGPSDMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNYKDRNEFWYVKRDSCSESDKEGTRSDEKWWIPIVKVPAGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKDVGFAILESYSRVLESLAYNVMSRIEDVVSADDHAREKAKKEASPAPSPATANNGPEHHHAGEVDAASKMAGSPNSRTLLDFMDDWNGDAEAKPSPTALAAEPPAQEDGRLMKLPNIMTNLKQTYMDKLDLFGGHRSPSGRH >LPERR01G27220.3 pep chromosome:Lperr_V1.4:1:23481877:23487204:1 gene:LPERR01G27220 transcript:LPERR01G27220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSRGSFIYFNLGALRFLVFKDRKKPRPLQVYTPGQNHGRIPVFSTCRVIIESIAAVVVVVRGAADHWGVDRLMAVNGVLERRSSVRRSQSMVREEEDTADDELMFRSQGSNDPSSGNGGGGALKIGAVLDRDSAAPKSRLAKDAGGDHGGGGPSDMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNYKDRNEFWYVKRDSCSESDKEGTRSDEKWWIPIVKVPAGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKDVGFAILESYSRVLESLAYNVMSRIEDVVSADDHAREKAKKEASPAPSPATANNGPEHHHAGEVDAASKMAGSPNSRTLLDFMDDWNGDAEAKPSPTALAAEPPAQEDGRLMKLPNIMTNLKQTYMDKLDLFGGHRSPSGRH >LPERR01G27220.4 pep chromosome:Lperr_V1.4:1:23481877:23487230:1 gene:LPERR01G27220 transcript:LPERR01G27220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSRGSFIYFNLGALRFLVFKDRKKPRPLQVYTPGQNHGRIPVFSTCRVIIESIAAVVVVVRGAADHWGVDRLMAVNGVLERRSSVRRSQSMVREEEDTADDELMFRSQGSNDPSSGNGGGGALKIGAVLDRDSAAPKSRLAKDAGGDHGGGGPSDMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNYKDRNEFWYVKRDSCSESDKEGTRSDEKWWIPIVKVPAGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKDVGFAILESYSRVLESLAYNVMSRIEDVVSADDHAREKAKKEASPAPSPATANNGPEHHHAGEVDAASKMAGSPNSRTLLDFMDDWNGDAEAKPSPTALAAEPPAQEDGRLMKLPNIMTNLKQTYMDKLDLFGGHRSPSGRH >LPERR01G27230.1 pep chromosome:Lperr_V1.4:1:23485872:23491161:-1 gene:LPERR01G27230 transcript:LPERR01G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAATLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNCSLNGTVLKISDILSSDTLIRREELPSTTVGLLPSDASPPPSICNSSNFDAPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRRWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGRKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESIDALSRLSDMQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGQPVNNYEQVNTDDSKGKEPVLWKETKENVEMQRLGSPPRHGRQLRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSHRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEEEAIAKIRQELLRDIPFLVIIDSLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDSSWSERDAIRLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWQTMTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRPAQAVVQSIYLRGSIKHSSEHLWAACFMLFGFGSDPLLVELRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWKETSFSCFKPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTNYSGAEVMADRIINMRLQLYAENQRFVMMLGSFISLPSSCAGGSAARAVGEGFASASPFQSSMKSSSVLLLGDPAILLAASTSPAWWCSGPLLAVAGDGAGDASFLAFSLA >LPERR01G27230.2 pep chromosome:Lperr_V1.4:1:23485872:23491161:-1 gene:LPERR01G27230 transcript:LPERR01G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAATLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNCSLNGTVLKISDILSSDTLIRREELPSTTVGLLPSDASPPPSICNSSNFDAPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRRWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGRKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESIDALSRLSDMQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGQPVNNYEQVNTDDSKGKEPVLWKETKENVEMQRLGSPPRHGRQLRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSHRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEEEAIAKIRQELLRDIPFLVIIDSLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDSSWSERDAIRLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWQTMTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRPAQAVVQSIYLRGSIKHSSEHLWAACFMLFGFGSDPLLVELRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWKETSFSCFKPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLSGLQPFMGQECLIYMASNGFVMMLGSFISLPSSCAGGSAARAVGEGFASASPFQSSMKSSSVLLLGDPAILLAASTSPAWWCSGPLLAVAGDGAGDASFLAFSLA >LPERR01G27230.3 pep chromosome:Lperr_V1.4:1:23486396:23491161:-1 gene:LPERR01G27230 transcript:LPERR01G27230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAATLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNCSLNGTVLKISDILSSDTLIRREELPSTTVGLLPSDASPPPSICNSSNFDAPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRRWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGRKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESIDALSRLSDMQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGQPVNNYEQVNTDDSKGKEPVLWKETKENVEMQRLGSPPRHGRQLRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSHRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEEEAIAKIRQELLRDIPFLVIIDSLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDSSWSERDAIRLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWQTMTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRPAQAVVQSIYLRGSIKHSSEHLWAACFMLFGFGSDPLLVELRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWKETSFSCFKPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLRTTGNWSHAIKLIGMFTFTELSSDQAAFSPSWGRNASSTWHLMDMGIVLAHLHE >LPERR01G27230.4 pep chromosome:Lperr_V1.4:1:23486419:23491161:-1 gene:LPERR01G27230 transcript:LPERR01G27230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAATLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNCSLNGTVLKISDILSSDTLIRREELPSTTVGLLPSDASPPPSICNSSNFDAPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRRWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGRKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESIDALSRLSDMQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGQPVNNYEQVNTDDSKGKEPVLWKETKENVEMQRLGSPPRHGRQLRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSHRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEEEAIAKIRQELLRDIPFLVIIDSLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDSSWSERDAIRLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWQTMTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRPAQAVVQSIYLRGSIKHSSEHLWAACFMLFGFGSDPLLVELRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWKETSFSCFKPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTIWG >LPERR01G27230.5 pep chromosome:Lperr_V1.4:1:23486539:23491161:-1 gene:LPERR01G27230 transcript:LPERR01G27230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAATLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNCSLNGTVLKISDILSSDTLIRREELPSTTVGLLPSDASPPPSICNSSNFDAPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRRWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGRKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESIDALSRLSDMQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGQPVNNYEQVNTDDSKGKEPVLWKETKENVEMQRLGSPPRHGRQLRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSHRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEEEAIAKIRQELLRDIPFLVIIDSLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDSSWSERDAIRLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWQTMTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRPAQAVVQSIYLRGSIKHSSEHLWAACFMLFGFGSDPLLVELRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWKETSFSCFKPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLSGLQPFMGQECLIYMASNGYVDLIEEFYIAIMKPWGVSSLQVHIAH >LPERR01G27240.1 pep chromosome:Lperr_V1.4:1:23501649:23505713:-1 gene:LPERR01G27240 transcript:LPERR01G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANVRGAQPSADRRLSTLVRHLLPSSARTATTTTTTSAADSLEAFPTMASPSSVFAGLAQAPEDPILGVTVAYNKDPSPMKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGAESPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLSSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFDEWTLELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSARTIPHLADAIHAAVTKLK >LPERR01G27250.1 pep chromosome:Lperr_V1.4:1:23506906:23514767:-1 gene:LPERR01G27250 transcript:LPERR01G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAVEAGRRHHGEEASGAAPFGRSSSLLATAGCAEGFDGALMELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGNVSSKLEHQLQEKIEITQTEKKLNFLKQLREEPKRKMVLTPGRERSVATANFESPTDATNSFSFRAEAGAFGRNEPAAAGGYDVEGGNEVQPASEAVEISSENAGPGCSQSQSGGGSGEDGDHNDGEGGDKQKRRKKYHRHTAEQIRTMEALFKESPHPDEMQRQQLSNQLGLSARQVKFWFQNRRTQIKAIQERHENSLLKSELEKLQEEHRAMRELVKKPSRCPNCGIAASTAATSSDAAAAATREQRLRLENAELKAEIGKIRGTPGKAVASSASPPCSAGAVETNSRRSPLLHGHDGGFLCRQEDKPRILELAGRALDEMVAMCSSGEPLWVRGVETGRDILNYDEYVRLFRFEDGGSGDRMDGWSVEASRECGVVYLDATQLVRAFMDVNQWKELFPSMISKAATLDVINKGEDDGRDGIVQLMYAELQMLTPMVPTREFYFARYCKKLAAERWAIVDVSFNKSETDIDATSPVMCWKNPSGCVIEEQTNGHCKLTWVEHTRCRRCTVPPVYRAVTASGVAFGARPWVAALQLHCERMVFAVATNVPTRDSNGVSTLAGRRSVLRLAHRMTSSLCRSIGGSPDLAWRRGPKRGGGGDGIWLASRVNAGDDPGEPQGLIAYAALSTWLPVNPTALLDLLRDESRRPEAARPDEEGEESGAKWILQDICTNPCESTIAYAAIDAAALQPVIAGHDSSGVPFLPCGFISVMPDGLESKPVVITASGRGGVAAGAGSLVTVAFQVMASSSTAAVLSPDSVEAVTGMVSSTLRNVRKALRCDDL >LPERR01G27260.1 pep chromosome:Lperr_V1.4:1:23515869:23519649:1 gene:LPERR01G27260 transcript:LPERR01G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIAVAGPAALLPRGGSKSISCSLPRLPAAPGNRFVSGRMRSRNVVAVKAAQDSAESSSGSIVKNIQSSFNTPEDLFAIAGIGFAGIAALWASVNLVEAIDKLPVLPLLFELIGIFVAWFFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >LPERR01G27270.1 pep chromosome:Lperr_V1.4:1:23518767:23523459:-1 gene:LPERR01G27270 transcript:LPERR01G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQGGGGGQPPPQPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAAVPMGVPHQLHPRGLPMMRSHHVVHVPHPRPAVAVAVPVPQVRPAQPQPAPRPPVAVPLKPESPKPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEATGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERRAVFHGDHKNSIHMQQAANAAVNGAIGAVAISSPSTSRKRKHIDPSVDHSAKENGAHRISHLPQNFYQKNGMPSDSPFPISQPVHPPTMGPFKVTYRPLLADIVQPEDVKDLCKLLVVVSGKAAKAHTGKKAQEDKVVEKEEGIGGKREDEMGVSLASTNHDREENSNSNHQNVSTDNPSTGGTNAGKSSLEESKHDCIDDQKSNRPMSPGTLALMCDEQDTMFTTSQNVVTQQAAPVNQNQSEVYAEQERCVLTEFRDCLRKLVTYGRMKEEKYSMAIKSEMPGHPMQANGVSRIPYSKEVDAPVVKTFLQSSSRHPVAGNSATGHLDKKPKHENT >LPERR01G27280.1 pep chromosome:Lperr_V1.4:1:23526902:23538354:1 gene:LPERR01G27280 transcript:LPERR01G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENAYDATSPPPPRQSRGFRVGTWCSSADNTRQSTSTLMEVVQEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKAMEPIATTMNRPRYMSAMTAPGMGAKFDTAPHAKRRLPALAWLRWYCKLRALKPPLALAHPCASASTTRESSMAQ >LPERR01G27280.2 pep chromosome:Lperr_V1.4:1:23526902:23535742:1 gene:LPERR01G27280 transcript:LPERR01G27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENAYDATSPPPPRQSRGFRVGTWCSSADNTRQSTSTLMEVVQEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKVNKKELKKLLGA >LPERR01G27280.3 pep chromosome:Lperr_V1.4:1:23526902:23535816:1 gene:LPERR01G27280 transcript:LPERR01G27280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENAYDATSPPPPRQSRGFRVGTWCSSADNTRQSTSTLMEVVQEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKKFANVYSDE >LPERR01G27280.4 pep chromosome:Lperr_V1.4:1:23526902:23536460:1 gene:LPERR01G27280 transcript:LPERR01G27280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENAYDATSPPPPRQSRGFRVGTWCSSADNTRQSTSTLMEVVQEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKVNKKELKKLLGA >LPERR01G27280.5 pep chromosome:Lperr_V1.4:1:23526902:23536460:1 gene:LPERR01G27280 transcript:LPERR01G27280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENADNTRQSTSTLMEVVQEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKVNKKELKKLLGA >LPERR01G27280.6 pep chromosome:Lperr_V1.4:1:23526902:23536460:1 gene:LPERR01G27280 transcript:LPERR01G27280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWRREPPNEQASTAPHRTNEQTPLVTGAKPANPQKDDEAKSTSGNRRQENEVIKHGSTHGIRAAIRSDQKSYNLVQLIASALDVYNILRNKNITQNGSKGSYVKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMENISTKCSAHCSLLPDVRSIPVNIDCQEPSSTEVTSCISSLMAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPDDGSKTDEAITVPTMYTRLLQGYDSMDPGQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGMETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDNEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICVIIVPKEDAKKRAELDSKPALTLEELTSWSKDKLAPYKVNKKELKKLLGA >LPERR01G27280.7 pep chromosome:Lperr_V1.4:1:23535715:23538354:1 gene:LPERR01G27280 transcript:LPERR01G27280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMLNTANVPLGTRNTPAPTRRSIASACGTAKYCVMACARKMVDDQIGITRIADLSCSTWSTVHSRRPLSLMPSATPLPGFSSFVLLIMHALFKNLNTPYDYMSFKLRILEQQLAPLRCPTDRSPTSACRSEQ >LPERR01G27290.1 pep chromosome:Lperr_V1.4:1:23536060:23543982:-1 gene:LPERR01G27290 transcript:LPERR01G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALPKMKKTNKGGLRTIPFIISNEIFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAILSDSFLGRFRVIALGSVVSLVGMCMLCLTAILPADRRTEACAARRSDCELVPWQLPLLFASFLLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVIASTVVVYIQQSKGWVIGFAVPVVLMLTALTLLLVGSPFYLKADGDRRVLVGLVQGLVASYRRRNDPLPPDTADASGFHNRVGYKPRTPSNRLRWLNRACALGNNKNISNPDEKEVINPWTMCTVQQVEDVKAAVRVLPIWSTGIMPGVIIRQQMFPVLQAKAMDRRVGSLEIPAASFGVFAILTLTVWVAVYDRALVRPLSRLTGHARGVTLPDVHRPCALRRGHGRGRARRDAALRGDTARMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKSMSSIGVSLLALGMSFGSVAGSAIVGAINAGTRRGGRDSWLSSDLNRGHYEYYYLVLAVLCVANLVYFVWCGWAYGEEGQNRVTAEEVVEDIKTKEEQGIRVLQRTGCNLELSFAACNLDSHRRLSSLVHKMEVQEGDVRRCEDSKAKRKGGFVALPFIIAFHFYCAMEDSLVVEADAQGCAKAKGGFKALPFIISNEILEKVAGFGLNINFITYLNLQYHLSHASAGSLLFAWGAVSNFAPIPGAVIADMYLGRFMVVAIGSIACLIGMVFLWLSAMIPGARPPPCDMRISPELCTPPGARNMAWLLAGFVFLSIGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYGSIGVAFMVAVTVLVYVQDNLGWKVGLAVPTGLMLLSVASFLLGSGLYIKDKGSKQMFAGIGAAVVAAVRNRGAWLPEKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEEKPGNGVAEGISDRGRRLCTVDQVEQLKSAIRVMPIWSSTIFLAQAMTQYFAVPQADAMDRRVGAGVFRVPSGTFAVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLLFGTAAMAAAAVVEAARRRQALAGGNAMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKVVHEASGRGGRTSWLAEDLNAGRYDYYYWLLAGFGAVNFVYFLWCGWAYGEEGQNAEWEDESEGETPMA >LPERR01G27290.2 pep chromosome:Lperr_V1.4:1:23536060:23543982:-1 gene:LPERR01G27290 transcript:LPERR01G27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALPKMKKTNKGGLRTIPFIISNEIFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAILSDSFLGRFRVIALGSVVSLVGMCMLCLTAILPADRRTEACAARRSDCELVPWQLPLLFASFLLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVIASTVVVYIQQSKGWVIGFAVPVVLMLTALTLLLVGSPFYLKADGDRRVLVGLVQGLVASYRRRNDPLPPDTADASGFHNRVGYKPRTPSNRLRWLNRACALGNNKNISNPDEKEVINPWTMCTVQQVEDVKAAVRVLPIWSTGIMPGVIIRQQMFPVLQAKAMDRRVGSLEIPAASFGVFAILTLTVWVAVYDRALVRPLSRLTGHARGVTLPDVHRPCALRRGHGRGRARRDAALRGDTARMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKSMSSIGVSLLALGMSFGSVAGSAIVGAINAGTRRGGRDSWLSSDLNRGHYEYYYLVLAVLCVANLVYFVWCGWAYGEEGQNRVTAEEVVEDIKTKEEQGIRDKKMEVQEGDVRRCEDSKAKRKGGFVALPFIIAFHFYCAMEDSLVVEADAQGCAKAKGGFKALPFIISNEILEKVAGFGLNINFITYLNLQYHLSHASAGSLLFAWGAVSNFAPIPGAVIADMYLGRFMVVAIGSIACLIGMVFLWLSAMIPGARPPPCDMRISPELCTPPGARNMAWLLAGFVFLSIGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYGSIGVAFMVAVTVLVYVQDNLGWKVGLAVPTGLMLLSVASFLLGSGLYIKDKGSKQMFAGIGAAVVAAVRNRGAWLPEKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEEKPGNGVAEGISDRGRRLCTVDQVEQLKSAIRVMPIWSSTIFLAQAMTQYFAVPQADAMDRRVGAGVFRVPSGTFAVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLLFGTAAMAAAAVVEAARRRQALAGGNAMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKVVHEASGRGGRTSWLAEDLNAGRYDYYYWLLAGFGAVNFVYFLWCGWAYGEEGQNAEWEDESEGETPMA >LPERR01G27300.1 pep chromosome:Lperr_V1.4:1:23552311:23561835:1 gene:LPERR01G27300 transcript:LPERR01G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKLPVVFSVICLFLLCHGSLAQFQSQSTSQWQSSRRGSPRECRFDQLQALEPVRTVRSQAGCFNVPEYLLCVELLNLEVLLPHYSNGATLVYIIQGRGITGPTFPGCPETYQQQFQQSEQVQSFEAQSQSHKFRDEHQKIHRFRQGDVVALPAGVAHWCYNDGEVPIVAIYVTDIHNSANQLDPRHRDFFLAGNNKIGQQFYRSETRESSKNIFGGFSVELLSEAIGISSGVARQLQCQNDQRGEIVRVERGLELLQPYASLQEQEQEQQQQQQVQLSEYGQTQYEQKQLRGGCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNAKKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFDGELRHGQLLIVPQHHVVLKKAQREGCSYIAFKTNPNSIVSHIAGKNSIFRALPNDVVANAYRISREEAQRIKHNRGDESGVFTPSHAYKSFQDIMTQEETQPMEQSAGHRSSVVGIDLELLDCTICSHPLKRPVFQCRVGHVLCSSCHGKLPDKKNCHTCSRDTGYDRCYAVEKILESIRVPCRNAAYGCDTKTACHERESHEDACPHAPCFCPEPRCGFAGATPALLAHLTGVHGCPPSRGITGPTFPGCPETYQQQFQQSEQVQSFEAQSQSHKFRDEHQKIHRFRQGDVVALPAGVAHWCYNDGEVPIVAIYVTDIHNSANQLDPRHRDFFLAGNNKIGQQFYRSETRESSKNIFGGFSVELLSEAFGISSGVARQLQCQNDQRGEIVRVERGLELLQPYASLQEQEQQQQQQVQPSEYGQTQYEQKQLRGGCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNAQKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFDGELRHGQLLIIPQHHVVLKKAQREGCSYIAFKTNPNSIVSHIAGKNSIFRALPNDVVANAYHISREEAKRIKHNRGDESGVFTPSHAYRSFQDIMTASSPAPAVETGALQRCIKVRRG >LPERR01G27300.2 pep chromosome:Lperr_V1.4:1:23552311:23561835:1 gene:LPERR01G27300 transcript:LPERR01G27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKLPVVFSVICLFLLCHGSLAQFQSQSTSQWQSSRRGSPRECRFDQLQALEPVRTVRSQAGCFNVPEYLLCVELLNLEVLLPHYSNGATLVYIIQGRGITGPTFPGCPETYQQQFQQSEQVQSFEAQSQSHKFRDEHQKIHRFRQGDVVALPAGVAHWCYNDGEVPIVAIYVTDIHNSANQLDPRHRDFFLAGNNKIGQQFYRSETRESSKNIFGGFSVELLSEAFGISSGVARQLQCQNDQRGEIVRVERGLELLQPYASLQEQEQQQQQQVQPSEYGQTQYEQKQLRGGCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNAQKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFDGELRHGQLLIIPQHHVVLKKAQREGCSYIAFKTNPNSIVSHIAGKNSIFRALPNDVVANAYHISREEAKRIKHNRGDESGVFTPSHAYRSFQDIMTASSPAPAVETGALQRCIKVRRG >LPERR01G27310.1 pep chromosome:Lperr_V1.4:1:23562984:23567142:-1 gene:LPERR01G27310 transcript:LPERR01G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISSEAPVGVFAIGPTTALGRALALRSAYRRKFWRNMMRAALTYEEWAHAARMLDRETTAAAPRRAGATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDELPLEEKLAFMHETRHAFGRSALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILIVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEERVAATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELVEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELSLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPTPTNHHADGGITGPFSKNHHVQQNVHDSSDSESESIELNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTMSPREDRTDVVTPNTNLLVSQAISRESVDSHAMPITPDRILGSSCYDPHDSNAPRSPFSCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTSTGGLELQGSSQEPDVETVQTECLDGASDDEDVGVTDEAITDPGAYTSSQNTQHQGSSVEEIINLACSVDCEAETNASKSEGSSLFDIGMEIRPSILSTESSLYDRSSAKIGLGTGTVHAELSTHRAGDKEALSSHPVSVSEVNRPGDFHLSEKLPTSSSGDAEVLVETA >LPERR01G27320.1 pep chromosome:Lperr_V1.4:1:23568288:23569241:-1 gene:LPERR01G27320 transcript:LPERR01G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRVAPAGRSSTALAVDGPSAAADVPPPEITWQIVVGAVAGVTPFVVAGVEFGKRIVAQKKCEICGGSGLVMKKDYYVVFFRGSHGEDSSQAEDGHVTP >LPERR01G27330.1 pep chromosome:Lperr_V1.4:1:23569829:23578501:-1 gene:LPERR01G27330 transcript:LPERR01G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARSRLLVPLLPRISARSFSTASPSPASEPVASSAAAVAASAAAAATDYSSSAGDPSSAPPPPTRKPLGLLGSSVLAVVAAAFGATGYVSYAYSLDEIDQKTQELRKNSKQPISDDLSGFEKFQAMAYSAAMKVPAAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLNMYVDPVIERLDPKGCIRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVPIKPWKLENDDTQLLDLIPFLEYVAMARPPDIRAVLASYQGRDIATEFIERSKEHQRRMQEQKQQGRLWRR >LPERR01G27340.1 pep chromosome:Lperr_V1.4:1:23572300:23574250:1 gene:LPERR01G27340 transcript:LPERR01G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNRPIVFFTVCLFLLCDGSLAQLLSQSTSQWHSSRRGSLRECRFDRLQAFEPVRSVRSQAGTTEFFDVSHELFQCAGVSVARRVIEPRGLLLPHYTNGPSLVYIIQGRGITGPTFPGCPESYQQQFQQSEQAQLTGTPSQSHKFKDEHQKIHRFRQGDVIALPAVPVVAIYVTDINNGANQLDPRHRDFLLAGNKRNPQAYRREVEERSQDIFSGFSAELLSEALGVSRQVARQLQSQSDQRGEIVHVERGLSLLQPYASLQEQEQEQVQSREQYQEGQYQQSQYGSGCSNGLDETFCTMKVRQNIDNPNLADTYNPRAGRVTNLNSQKFPILNLVQMSAVKNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHHVVVKKAQREGCSYIAFKTNPNSMVSHIAGKSSIFRALPNDVLANAYHISREEAQRLKHNRGDELGAFTPIQYKSYQDVYSAATSS >LPERR01G27350.1 pep chromosome:Lperr_V1.4:1:23582664:23582993:-1 gene:LPERR01G27350 transcript:LPERR01G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPASAIDESGKELIDFVAGCRPEHHPPEAAAVDVPPEPEI >LPERR01G27360.1 pep chromosome:Lperr_V1.4:1:23586093:23588482:-1 gene:LPERR01G27360 transcript:LPERR01G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQGPPKHQNKYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTHVKALVGRDASEEESERKALEEAIRGARERERRTLLRLMNKGNGGESGPSVPKIADRSREGDIFPAASLDEYAEQAREQDDSDEEAGDFVED >LPERR01G27370.1 pep chromosome:Lperr_V1.4:1:23589121:23597277:1 gene:LPERR01G27370 transcript:LPERR01G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGISWAHWMPSAENAVLILMGVNVGVFLLWRIADPSFMRRHFMISLDNFKSGRLHTLLTSAFSHSDTDHLITNMIGFYFFGSTISNLFGPAFLFKLYAAGALTGSAFFLLDKAFLAPRKQANVGWDNSRVSALGASAAVNAVILLQIFLYPRHLVYLYFFIPIPAAIMGAILIGADLWRVKMGHGQVSGSAHLGGAVVAALVWTRISKGWI >LPERR01G27370.2 pep chromosome:Lperr_V1.4:1:23588827:23597277:1 gene:LPERR01G27370 transcript:LPERR01G27370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLLQFRSLLAQQALCAAPWSPKPQPNPYRFLHAPSRPAAASPSPPSPSSPPSLPSSSSHVPLWRSTGPLLPMSAAAVVAAARAAAARWLVAARGGGAGSLDLFSLQRRGSTGWLPSSSMLLSGISWAHWMPSAENAVLILMGVNVGVFLLWRIADPSFMRRHFMISLDNFKSGRLHTLLTSAFSHSDTDHLITNMIGFYFFGSTISNLFGPAFLFKLYAAGALTGSAFFLLDKAFLAPRKQANVGWDNSRVSALGASAAVNAVILLQIFLYPRHLVYLYFFIPIPAAIMGAILIGADLWRVKMGHGQVSGSAHLGGAVVAALVWTRISKGWI >LPERR01G27380.1 pep chromosome:Lperr_V1.4:1:23602631:23603536:-1 gene:LPERR01G27380 transcript:LPERR01G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSSTNQQSAAEEEAELARKQTAAAAAAATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQGAADHLCMSHHHHHMAPSPAAMMMHHVDDKYHVAAMAAAADKAGGGGAGDDVDGGHMVGRFPAGGYHRFMGLNNPLGMVNTAAMPFHYAGGESWNNNNNNNNGSVQDGIGAGSPQVAAAAAAAAAHHSSAFPSLLSLAPGSHHHQLVFYSSEAEQFTVDNLGSQSLSLSSARAFHDHDQTGS >LPERR01G27390.1 pep chromosome:Lperr_V1.4:1:23621054:23621458:-1 gene:LPERR01G27390 transcript:LPERR01G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASGAGKSLFQTFRGFFKKPWEITGPCASPEYRSALPGALEYRQRCPATLTEDTRAVVPTSDPETVYDIKYYTRDGRRNRPPVRRTLLRKPDLERYMAAKQFDPTKDFPDPYLKFSAVEEDYDAVGGGYQK >LPERR01G27400.1 pep chromosome:Lperr_V1.4:1:23627522:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLCTIYFLLLLLHVVFARPLFPLPSKTNEIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWDDGKKFEDKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.2 pep chromosome:Lperr_V1.4:1:23627522:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLCTIYFLLLLLHVVFARPLFPLPSKTNEIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWDDGKKFEDKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.3 pep chromosome:Lperr_V1.4:1:23628291:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIWHITPGTIYFLLLLLHVVFARPLFPLPSKTNEIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWDDGKKFEDKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.4 pep chromosome:Lperr_V1.4:1:23628291:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIWHITPGTIYFLLLLLHVVFARPLFPLPSKTNEIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWDDGKKFEDKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.5 pep chromosome:Lperr_V1.4:1:23627519:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.6 pep chromosome:Lperr_V1.4:1:23627519:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.7 pep chromosome:Lperr_V1.4:1:23628291:23634856:1 gene:LPERR01G27400 transcript:LPERR01G27400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIWHITPGTIYFLLLLLHVVFARPLFPLPSKTNEIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWDDGKKFEDKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDISVRTQDTNQSYWEITSDDSLAYISNYVVGLGPWKDTVVPASKNYLIAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINTMGVDGLFTDFTGSLHRYQELSSPHPKDETANSILVKIAQMISQYEGL >LPERR01G27400.8 pep chromosome:Lperr_V1.4:1:23635398:23636625:1 gene:LPERR01G27400 transcript:LPERR01G27400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKIVAAAFADSRTMIQGSGSRQVGAAARRRRADIRSETYVLLEPGMEEEFVSKDELEERLRWWLERWPAGELPPDLAKFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE >LPERR01G27410.1 pep chromosome:Lperr_V1.4:1:23637294:23640948:1 gene:LPERR01G27410 transcript:LPERR01G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPFNGRPRLHGIRFSGPVRSIDRPHLHHHRLPLPRSPLPSPSPGSGKEVTYLDFTEEIEYKIHKMMAAELIKQFSNITLGEEQGICDIEHALKALRKRILTLDFENSMHVHDPQNSFEYLEVLYKIRQLTERLGSLHPGGDAKEHNELVIYAADLFDMAMARLEEEFVYLLTHYKQPIEQGLLSFRSTDDGSVDDFSSSSFSEEQSEVKTIQTDTSGGSKYFATDLIQHGALSAIKSIANFMFLSEYDKECSQAYINTRQSAIDEYLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVRVYLASEKRLSNHVFGELSESTADLCFYEISMSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDMILTEYHEVLLQLGESARKTFTEFKYAVQSYTSSNAIARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRHFPTDIQSSGNSCPHFTVTALHLQSVTATLEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKLFLGDDWIRVHNRKFQQQAISYERLSWSHVLSFLSDDGLCAAGDSASRKIIKEKFKNFNLSFEEVYRLQTGWFVPDDQLREDVRISISLKIIQAYRTFMGRFYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKSL >LPERR01G27420.1 pep chromosome:Lperr_V1.4:1:23641520:23642932:-1 gene:LPERR01G27420 transcript:LPERR01G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINTPGADKRRGAGGANMRAWLKNQAFDRATVGGPASANAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKIINGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRHIDGINIAHGGHTNVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPSDLKKDADEQHAG >LPERR01G27430.1 pep chromosome:Lperr_V1.4:1:23644726:23645668:-1 gene:LPERR01G27430 transcript:LPERR01G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLGMSLKGSEGAWCVCRTDVAEAALQKALDYACGHGADCGPVTPSGACYSPNSVAAHCSYAANSYFQRNAQAKGATCDFGGVATLSSTDPSSGTCKYPATASAAGTSTSTATGTAGTSTGTGTTTPTTTSTSSPGSATATTGTPIMGGTFATPIGGGASGPTTSAMNDSSEAPSAASLGSHLLLCICIASMLLFSSSVLAH >LPERR01G27440.1 pep chromosome:Lperr_V1.4:1:23647184:23650570:-1 gene:LPERR01G27440 transcript:LPERR01G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGATLSWNVTRCVAVLEEAGAEYEIVPLDFSTGEHKSPEHLARNPFGQVPALQDGDLYLWESRAICKYVCRKNKPELLKEGDLKESALVDVWLEVEANQYTSALTPILFQCLIRPMLGGATDQKVVEENLEKLKKVLEVYEARLTKSKYLAGDYISVADLSHVSVTLCLAATPYASVFDAYPHVKAWWTDLMARPSAQKVAALMKPPS >LPERR01G27450.1 pep chromosome:Lperr_V1.4:1:23652908:23653504:1 gene:LPERR01G27450 transcript:LPERR01G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVPPKTTRRTRQHQGGKERSTLDRFVGAIISDLEASTTRDDQDGYSASESDNSRAVYAVDRDDVSASASMTPAQRLATMQQILDEAPTEAATSAEIASWTNRLREAARNLDSALAEAEQPEQPSLSEAARRTIAADDDAAARAAAANGAPNINATGQPTPAMGAPNQDANTNNEVYDEEPADDERRHPRGRVNRE >LPERR01G27470.1 pep chromosome:Lperr_V1.4:1:23662879:23666834:-1 gene:LPERR01G27470 transcript:LPERR01G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSPLSWNVTRCVVVMEEADVEYEIVPLNFGTGELESPEHLARNPFGQVPALQDGDLCLWESRAICKYVCRKNKPELLKQGDLSESAMVDVWLEVESNQYSAALDPIILHCLIFPKLGMATDQKVVEENLEKLKKVLEVYEERLTKSKYLAGEYISAADLCHVAATLSFHATPHASVFNAYPHVKAWWTGLMARPSAQKVITLIKPSS >LPERR01G27480.1 pep chromosome:Lperr_V1.4:1:23682040:23682387:1 gene:LPERR01G27480 transcript:LPERR01G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPAPSSSSVAVRLLLLVASLQLLAVASTAVIVTPSETTTTAALPDEEFLARLCDQKQHGPTRRRLPWCQQLHARHHGRVYVPMPPPSRDGEEIDARFGVSKRLVPSGPNPLHN >LPERR01G27490.1 pep chromosome:Lperr_V1.4:1:23683909:23687684:1 gene:LPERR01G27490 transcript:LPERR01G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACSRLRRLLATRPPPPPPAAAPPPPTTSEPLRRLLDASLLPKAFSSTSAAVAVAVPHEARDSGLGSSAYWAWIRAAAESAPAPPPHREEEEDEGLARCIPVKAYFLSTSIDLKSLQAEHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEQYLDIVRKHASGCLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIGQVDDMAEEFTEINRVMEKTGDFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGNLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIIKDSSMMS >LPERR01G27500.1 pep chromosome:Lperr_V1.4:1:23688256:23690625:-1 gene:LPERR01G27500 transcript:LPERR01G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVVSSSRASAASPAPRGLPRGGGGGASRVEFGLAKAAASRRWGLLATNNSVIPVTKEEKQRIDQSEILTLDNIRTSLVRQEDTIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPQVLHPVADSININKDIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDSDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGSPPVYKIRPSLVAELYSYRIMPLTKKVQVAYLLRRLD >LPERR01G27510.1 pep chromosome:Lperr_V1.4:1:23693065:23697700:-1 gene:LPERR01G27510 transcript:LPERR01G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSVSCYNIPWTTATLEPVVVTGEETAKRGPAQLHCQQPLASPPCLPLADPYPASFHRSRRLRSKVICGAYSWRWCVKKLNMRTNRRKMGATVRTNARWLFGGDGRSSSNARLERSEAANEDILIFYFQLDLQTRIQIETEIVRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAELRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDTVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDNDDDNTDS >LPERR01G27510.2 pep chromosome:Lperr_V1.4:1:23693063:23697700:-1 gene:LPERR01G27510 transcript:LPERR01G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSVSCYNIPWTTATLEPVVVTGEETAKRGPAQLHCQQPLASPPCLPLADPYPASFHRSRRLRSKVICGAYSWRWCVKKLNMRTNRRKMGATVRTNARWLFGGDGRSSSNARLERSEAANEDILIFYFQLDLQTRIQIETEIVRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAELRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDTVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDNDDDNTDS >LPERR01G27520.1 pep chromosome:Lperr_V1.4:1:23697808:23701542:-1 gene:LPERR01G27520 transcript:LPERR01G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGQSPLNRTGFPSRLPPETAARGEEAEAPMAAAAVAQEQTNPTASRPVRCIVKLGGAAITNKGELESIDVESLRSACAQLRQAMSHNAGAAEKVVGMDWSRRPGDPTDPVVDAEGLSEMESLGIDTNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRKLASVDASQIIQSLHVGFVPVLHGDAILDELLDCTILSGDVIIRHLAQILTPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVDDSGSWSIVKPALEGNTKGVEISVASHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSK >LPERR01G27520.2 pep chromosome:Lperr_V1.4:1:23697808:23701542:-1 gene:LPERR01G27520 transcript:LPERR01G27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGQSPLNRTGFPSRLPPETAARGEEAEAPMAAAAVAQEQTNPTASRPVRCIVKLGGAAITNKGELESIDVESLRSACAQLRQAMSHNAGAAEKVVGMDWSRRPGDPTDPVVDAEGLSEMESLGIDTNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRKLASVDASQIIQSLHVGFVPISVASHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSK >LPERR01G27530.1 pep chromosome:Lperr_V1.4:1:23701775:23705133:1 gene:LPERR01G27530 transcript:LPERR01G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKADQLFRKVIEGGSKRQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNIGLMADDFITLALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQGYLDNMNRELISANSSEKTG >LPERR01G27530.2 pep chromosome:Lperr_V1.4:1:23702004:23705133:1 gene:LPERR01G27530 transcript:LPERR01G27530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKADQLFRKVIEGGSKRQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNIGLMADDFITLALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQGYLDNMNRELISANSSEKTG >LPERR01G27540.1 pep chromosome:Lperr_V1.4:1:23718149:23722060:1 gene:LPERR01G27540 transcript:LPERR01G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVTTAEVKAAAAGKAAAAAACERDAEKLELIEEMTKGFDAVQEKVLEEILARNNGAEYLRRHGMEGRTDRESFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYSQMLCGLVARTEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTMSAKVTEPSIRDAVAEVLGAASASARGELADFVEAECGKDEWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPNATPAPPRLVELADAEVGKEYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLRPYGASIVEYTSQADAATIPGHYVVYWELMVKGNGAGGGASTWPEDGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPSCPKYSPHKK >LPERR01G27550.1 pep chromosome:Lperr_V1.4:1:23723933:23729911:-1 gene:LPERR01G27550 transcript:LPERR01G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLPPPLRPSTAPLLATSATRTLHAINTSTSASALAPIRRSILGDPALLRNTTVVSAFFLACGRLRHLDPALSLFASHPRPHVFVFNALLRSLGPGPASASPLPLFRHLLGLGVRPNRYTFPLLLTSMSSLLELRAVHCQVVKSGFAPDLHVRNALLARYAVCDPDLARAEQLFDEMPHPDVVAWTTMITSYRNRGRTFHALATFRRMLAASVAPNRITMVTALGACADRCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVLDGLRLFSNMPNKNVYTWNSIIGALALAQDGKRALQLFSRMQDDDVRPDAVTLICVLCACAHAGFVDIGRKIFNLIIQGEYGFQPGIKHFGCMVDLLSRCGYLDDAFRVVETMPSQPNAVIWGLLLRGCKVRGDSQLSEHVTMRLVELEPWNASHYVLLSNLYAETGRWQEAQAILQWMKKKGLRKDAGWTLRMLEDRPKKYTADGYLLEYVEYITYLMRWSNMAPPTPRLVVPVDVGKKPWEQKVPLHNRWHPDIPPVADVIEGELFRVEMVDWTGGRVNDDNSADDIKFLDLTITHYLSGPLRVVDAEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFEKENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGLTHPGIVGTAPSAELLNIWNEREKVLTETGHESLKLCEVLHQRPLANLPTPENCLLGKIQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDEHLPVAQDPSDTRAP >LPERR01G27550.2 pep chromosome:Lperr_V1.4:1:23723933:23729911:-1 gene:LPERR01G27550 transcript:LPERR01G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLPPPLRPSTAPLLATSATRTLHAINTSTSASALAPIRRSILGDPALLRNTTVVSAFFLACGRLRHLDPALSLFASHPRPHVFVFNALLRSLGPGPASASPLPLFRHLLGLGVRPNRYTFPLLLTSMSSLLELRAVHCQVVKSGFAPDLHVRNALLARYAVCDPDLARAEQLFDEMPHPDVVAWTTMITSYRNRGRTFHALATFRRMLAASVAPNRITMVTALGACADRCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVLDGLRLFSNMPNKNVYTWNSIIGALALAQDGKRALQLFSRMQDDDVRPDAVTLICVLCACAHAGFVDIGRKIFNLIIQGEYGFQPGIKHFGCMVDLLSRCGYLDDAFRVVETMPSQPNAVIWGLLLRGCKVRGDSQLSEHVTMRLVELEPWNASHYVLLSNLYAETGRWQEAQAILQWMKKKGLRKDAGWTLRMLEDRPKKYTADGYLLEYVEYITYLMRWSNMAPPTPRLVVPVDVGKKPWEQKVPLHNRWHPDIPPVADVIEGELFRVEMVDWTGGRVNDDNSADDIKFLDLTITHYLSGPLRVVDAEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFEKENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGLTHPGIVGTAPSAELLNIWNEREKVLTETGHESLKLCEVLHQRPLANLPTPENCLLGKIQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDEHLPVAQDPSDTRAP >LPERR01G27550.3 pep chromosome:Lperr_V1.4:1:23723933:23729911:-1 gene:LPERR01G27550 transcript:LPERR01G27550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLPPPLRPSTAPLLATSATRTLHAINTSTSASALAPIRRSILGDPALLRNTTVVSAFFLACGRLRHLDPALSLFASHPRPHVFVFNALLRSLGPGPASASPLPLFRHLLGLGVRPNRYTFPLLLTSMSSLLELRAVHCQVVKSGFAPDLHVRNALLARYAVCDPDLARAEQLFDEMPHPDVVAWTTMITSYRNRGRTFHALATFRRMLAASVAPNRITMVTALGACADRCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVLDGLRLFSNMPNKNVYTWNSIIGALALAQDGKRALQLFSRMQDDDVRPDAVTLICVLCACAHAGFVDIGRKIFNLIIQGEYGFQPGIKHFGCMVDLLSRCGYLDDAFRVVETMPSQPNAVIWGLLLRGCKVRGDSQLSEHVTMRLVELEPWNASHYVLLSNLYAETGRWQEAQAILQWMKKKGLRKDAGWTLRMLEDRPKKYTADGYLLEYNMAPPTPRLVVPVDVGKKPWEQKVPLHNRWHPDIPPVADVIEGELFRVEMVDWTGGRVNDDNSADDIKFLDLTITHYLSGPLRVVDAEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFEKENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGLTHPGIVGTAPSAELLNIWNEREKVLTETGHESLKLCEVLHQRPLANLPTPENCLLGKIQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDEHLPVAQDPSDTRAP >LPERR01G27560.1 pep chromosome:Lperr_V1.4:1:23730438:23734760:-1 gene:LPERR01G27560 transcript:LPERR01G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDLAIASVSAAVGAVAAAAALRFLSSYGASRANAKHQSPPTPCGEQLAVNGCAAEQPRPAQSPFDPAKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDSKLPWAKKSARGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRINSDHVYVASHKLLSMAGVKVRKHQPQMSQIPIKFHEPRNCTSERTV >LPERR01G27570.1 pep chromosome:Lperr_V1.4:1:23737861:23748295:1 gene:LPERR01G27570 transcript:LPERR01G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEPPPKKRRLVDAKAGAPSPSSSSLEPPAPASPQSPVPPPPGVSPPPQTLAAAPAAPAATSPPPDEAVRKRRNREELRGLFECYRRIRLCVERKDALLLPELEQVYLSLIASSRGCTSVQRILADLIPQYASYCPTALEAATKVSMNMYKFNLAIVTRREDVDGVAYQTARACILGLTDICSTASFEAPKSSVLTGICSAVYMTVLTFFISTFDGKDIYHIGSRKLLNLQDPVGLLDILKQESEGDNRKADDCLIELRALSLLCIFLLFPENVLDACFALIASAEDVKGGLYFLKQLTCHLNSDIITDALDVTDRASQCTRMDVDQPDTNESVDSKLSSHNVGVLGSSTVQPNDCYMTMVSLCLKVLGSLSQLDDDTHMGSESSVPDKTDENSEGFVQPNKPMCSSEQKMLLKTDNIDSYGGNSVQNKNGQVVHNDDVKTEKLADVKPDVCKQGNVISDAGHQTARVDMCTPKSTHDSAGGATSLTSPGQNLGKTKQSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYRNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSRGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKKPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHSDVCSQDKNTSGHQLLVRNIDNIVPDMEFINAFSRFGEVIRWQFNKLDGICLIDYRSNNAAAAAKSHLHGARFGQKSISVESRTCNAGSVHDKTLSPAVPMLAQSVSDSSHHETRNPRVSGYNAGFTVPTERPIYGPSPPRGPQSILPCPPISAHRGSVIPPPPIQTSFVRPVYPGPGSPWENTTPNPPFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLSQFPGGSAQQLDKRPPPPPPNVGPPPFTRLDPPPPPPPSPPPLPITQPPSVPPPPNSPPMLQPSAEPSDSQKPYPHPPRWQGSLSKSGLHFCTIYASRIELDACRYEHAVSEPAGWPSKLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNSDHKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPSECLIALILPKETTAEAT >LPERR01G27580.1 pep chromosome:Lperr_V1.4:1:23746922:23748238:-1 gene:LPERR01G27580 transcript:LPERR01G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAIRDETAMSMLVLGRLLALADTPDANGGKNLAVSPLSLHAALALLGAGAQGETLHQIAAFLGPAGAPAHAALASHVALRSLAPGDDNGGPKVRFANGVWVDSALGLKPAYARVVADKYLAEARAVSFRDSVSQFQAIHPSKSQYQCITHQISQSADQPNDPIQPEEARRQINAWFETATGGWIKDFLPERAVDGATPAVLGNALYFKGAWENKFDANSTRDDVFYLPNGGGHVVAQFMSSGKRQHIACRAGYKVLRLPYARGGGRDDAGRVFSMYIYLPDERHGLPDLLRKLCSDPALVEDDSTLSEKVAVGAFMVPRFTVSYKTNAAETLRDLGLRLPFEYPGADLSGLVESPPEKIVVSAVYHESFVEVNEEGTEAAAATAVVMRFGCAAPMRTVEVVDFVADHPFVFLIKEDLTGVVVFAGQITNPSVSS >LPERR01G27590.1 pep chromosome:Lperr_V1.4:1:23749089:23751946:-1 gene:LPERR01G27590 transcript:LPERR01G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPQGSPRGSPVASRRGETEADENVRAWSPIPSQRRAMAEIATAMSSSPQMKKVLGERNGGGGPHGWDAASPPPSLFQAKPATSPPSLSDRGEGPYDPRTNYTTPRPEFLRYDPEKRLEILRRLEGETEYESSSATSGTAVSGSVSSESSLRGGESKRDVSKEVEVEVEEEEEEEEEEEEEEEVPAPRGGRARRLLLLLVAVACSWCYISCMNSSPFPASEMGINFAGQTGSVQYATNIHQVDSLGLQSPFEMMGSDQVFDEAAGQIEHQYSGNAVQLYSPGGSENSIAIAAMGLADVCPNVHFGEFTCQIGDRTIEDVQNSKEDYQPSDQAPELIAAPFENADQSHEVESLQSHEVADSIGSTYTADMEDAESGLVHQEEGEEESDYSQQLASMDKTLEQENEVLDDGEGLGSDKLDQAIELLEYEKNTAEAAKAIVDMVKSVWPSMKLHLMEILACLSVATFAAAMLKYFQRSSKRGPAQAQVLAPNQPAKLPVFPSSHSVLQPVQLTVPNVERPANLEVPLPKTSDPFVSLKETVQLPQAKPLSKQIDCGNHLQKIQQEDAGNARTSDSYTVGRREIDSSRPPVVALLGEFSLVNTSSSRGGSQKGSNDQAGDVSVQEPTVSLGKDLVKMQKESTTIKIHSARRTRKEENSVKVEKMDATPTPLRRSNRLLNRVTSP >LPERR01G27600.1 pep chromosome:Lperr_V1.4:1:23753719:23754363:-1 gene:LPERR01G27600 transcript:LPERR01G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSKPSKRLSPKGSFKLSLPNLLACGHCKATAVSPPDSPTGGAGATSFSSSASSSASRGRDRDRLAELREIFRHFDRDMDGRISGEELREFFASMGDAGAAAAAAEAMGLDGEIGGGGGGGLMLAFEDFVRIMERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDEASVAECEAMIRAYDDDGDGELDFHDFHRMMSQD >LPERR01G27610.1 pep chromosome:Lperr_V1.4:1:23768884:23771032:-1 gene:LPERR01G27610 transcript:LPERR01G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKADEAVVAGRRKVWRDECVKLWGIAGPVILTGVFQFLTGFVTTAFVGHIGKVELAAVSIVNGIIECLAFGLLLGMGSALETLCGQAVGAGQLGMLGVYMQRSWVICLATSLAILPLYLFASPLLRLLRQQREIADVAGTYARWSAPQLFAYAVSFPLQKFYQAQSRVWAVTCISAAVLAAHTLLNWVFVARLGHGVVGAAIVCDVSWWLLNAAQFAYLVAGPFPESWTGFSRKAFHSLSGFVKLSLASAVMLCLEMWYYTAVLILVGMLKDPEVQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKAAKFAVVVATATSFAVGLVFTIISLVARKQLPRLFTDDDVLLKETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQAPVAFVNIGCYYLVGLPIAAVFAFKLTRDATGNLVTFVYQSMQGIWVGMLTGTILQTIILIVIVFRTKWQKEAMLAEERIKTWGGVVELPTTQEAS >LPERR01G27620.1 pep chromosome:Lperr_V1.4:1:23778725:23779405:-1 gene:LPERR01G27620 transcript:LPERR01G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVGESSATVDGGGGGGSGSKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHIHAHSHECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVTGVQIPNRPAGQRPATAPQPDHHHNHLPHANPWFMGGAAPVADGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGYPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKVLLILVGVLVIASLIVF >LPERR01G27630.1 pep chromosome:Lperr_V1.4:1:23785624:23786190:-1 gene:LPERR01G27630 transcript:LPERR01G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAGGCLGAPFRALARACDSACDMYVRGMSGCARRVPAAMEAVAVGRGFGRSSSSAILHQRASHHDTRRDVEDLVRAASRQQRRVAAEPPPVEAGASAAAKKGHYDYREAPAADQKKAALFVVVPARKKGAAMGTIAEDAPCEFAGDAAALKKATAPMRRGAAADGLAAHAGGFGAIKVGTEAFSR >LPERR01G27640.1 pep chromosome:Lperr_V1.4:1:23793001:23794411:1 gene:LPERR01G27640 transcript:LPERR01G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSGRRPRQHSAVSDVLSTLAAANSTLADVQRRLEAEFRAAYPDHANPAKLVARLKRIQEEVAALKGLCRDLLVQKQELIDTMRTSLMVQRGATQRLLASSGLPLMSDEDEAAYANLNQIVDEWTAQVEPETSRNEKAEDTNQIFFSAVV >LPERR01G27650.1 pep chromosome:Lperr_V1.4:1:23797113:23801419:1 gene:LPERR01G27650 transcript:LPERR01G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSDHSESDDEDREAEASPGDDDKAELPDTPTGGGVKGDLSELTDSLTRRFWGVASFLAPPPALAEPAETSVAGEGEGEEGAQSPRIAGIRSDLAEIGGRVRSGISMLSNANAVAEISKMASSFLPFGPGEEEDDDDVEAVGVTEEVVVFVRHISTHPETWLDFPLFVNDRHEDDFELSDAQYRHALAIEHVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREELLQSSPRKKRVESEGPDGSSQNRNVPATRSDNDGMSEAPSFEESTPEPIRVVEADKHPILTSEVEIIDKSVIEEELVVKNEIKIPSDSEKTNLYTTTEDDDKEVEDWLKDVAPVSSKTGNVNPAGQEEDISFSDLEDDEDD >LPERR01G27650.2 pep chromosome:Lperr_V1.4:1:23797031:23801419:1 gene:LPERR01G27650 transcript:LPERR01G27650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSDHSESDDEDREAEASPGDDDKAELPDTPTGGGVKGDLSELTDSLTRRFWGVASFLAPPPALAEPAETSVAVAAAAAAAGAEGEGEGEEGAQSPRIAGIRSDLAEIGGRVRSGISMLSNANAVAEISKMASSFLPFGPGEEEDDDDVEAVGVTEEVVVFVRHISTHPETWLDFPLFVNDRHEDDFELSDAQYRHALAIEHVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREELLQSSPRKKRVESEGPDGSSQNRNVPATRSDNDGMSEAPSFEESTPEPIRVVEADKHPILTSEVEIIDKSVIEEELVVKNEIKIPSDSEKTNLYTTTEDDDKEVEDWLKDVAPVSSKTGNVNPAGQEEDISFSDLEDDEDD >LPERR01G27660.1 pep chromosome:Lperr_V1.4:1:23802567:23805864:1 gene:LPERR01G27660 transcript:LPERR01G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKQQQRARRAGGEAVERAVTKGKGAAAASRAENGKLFVGGVPLGASAAELRAHFSRFGRVACVFTHKDHDTGASRGFAFVQFVCPDDADAALAACHVFRGTTIDVKVAEPKPSAEGPQRRLSGDHKKIFIGGLPPSLREETLKEYFEKFGQVYRATVVTDVFTNVSRGFGFVEYESVDSATKVLKMGRHFLGGQWVDVRVAIPKPEQDQQQSDAAASGTSRLSAQARPFCPARSSSLLAANSTVNNAPVIAPAKYIFSDNINPYIGYVVPGVLLSQDVINSFANLMLNGFTPLPQGSGALMPQAAHYSAM >LPERR01G27670.1 pep chromosome:Lperr_V1.4:1:23809654:23813376:-1 gene:LPERR01G27670 transcript:LPERR01G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWGREATRGASGTPVVVKMESPEWAISEGTSPPAAGGKAGRGKNARQITFHGFLRAFLLVSVLLLAADAAAHAQGWHLVVPDLLAVEGLFAAAYAAWVRVRLDYLAPGLQFLANACVVLFLIQSADRLILCLGCFWIKLKGIKPVPKGGAGGKGSDDVEAGGEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGIWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWIVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPSLDSLAKEESRPKTNSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >LPERR01G27680.1 pep chromosome:Lperr_V1.4:1:23818660:23823758:-1 gene:LPERR01G27680 transcript:LPERR01G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGGGGGGGGVGGVAGDEAIWSKLREAGFDEESIKRRDKAALIAYISRLESEIYEYQHNLGLVLVERKELTSKYEQLRAAMESAEIMHKRERATQQSALAEARKREENFKKNLGIQKECVANLEKALHDMRAETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLVKSLEAESIRTRNAALRSLHDIDDREDQLRRHRISCELEIEAKEKEISLHSKSLNDREKILHEREEVLLKKQELFNQRDENILERLAYVTHSEKRLEEEKTFLEDERKILLEEKNKLDLKMEAIVSREEVLIQKESLLDKKESELLILQETIASKERAEIEKLNQEQEIALERRKHEFESEMANKQISFETAMEASRNTLDQRERALCEQESVVAQRFESVDLQRAELASKEKALAGRSDELREEEEKLLLHREAIHNELQKEREEIQKMKLDMEKDKALFEVEKQEAIQAQQDLAITQEDRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKNEELQKEAIRIAEERRTIAEYLKNESDIIKQEKDNLRVQFKYNSETLSHEHKEFMSKMQQEHASWLSKIQQERQDLKRDIDIQRMELLNSAKARQMEIDSYLREREEEFEQKKAKDMEQIKSQKEMIDTKLDYVRVELQKLEDERKEANLERERREQELSEIKSTIEALNNQREKLQEQRKLLHSDRAAITEQIQQLNVLEELKIDSENKQLSLIEHNKSKLGGNIKVTDNGFHSDQDEDRHDNCSQKQLSGRKLELSPSVSTPISWVRRYAQVIFKRSPEKSVDCDSFVQNGVSRDKSTRALAESINGAGELASDAIVAKVGQKRLNHLVSCDQTEALEPRRKHRRSAIQKVNGGEVTSICPSVLEEKCSKNEHDEAPFGLSNACKEHDYDDKEPEDLRTPGGPTSCDDAPYENGTVDDSDSVEDEEPSVETTVVVLSIVLTHPISKPATEPSNADGPEDNDDSDDEEEEEEKTSSAKKLWRFLIT >LPERR01G27690.1 pep chromosome:Lperr_V1.4:1:23827150:23830083:-1 gene:LPERR01G27690 transcript:LPERR01G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPLLLILVLLPAVASALAPRRFPGRGRRRGEGHRYYGESKPFGSKEKSYNNSKSLAHLTAEQAIADYAVLLTDLKRNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALDDQGNGQEGLLKLSKTFHLCQTIKTTGELSDWLSSAYSYLAMVDYPTASDFLMPLPGNPIKEVCRKIDNQPEGTSILERIYAGVNIYYNYTGTVDCFDLNDDPHGMGGWDWQACTEMVMPMSYSEDMSMFPAYKFDYTSYEIDCINNFGVRPRPQWITTEFGGHNISLVLERFCSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATKDDPDWLVRLRESELEIISGWLLDYYGARRGTLFQRATPMESAAT >LPERR01G27700.1 pep chromosome:Lperr_V1.4:1:23830525:23831470:1 gene:LPERR01G27700 transcript:LPERR01G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQALRQAAPFAPALQQGEALPPSPWTRRRRSARVRGTEGGGAELRTIEGLLADDLAASAASIQRMHDVVLIV >LPERR01G27710.1 pep chromosome:Lperr_V1.4:1:23835119:23847440:1 gene:LPERR01G27710 transcript:LPERR01G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSLLVAGAAYTRVRDARSHTRTWPASTRFDGLPSPTAMAHTSGVPVRPNLLLFLGRPPLARNARPPPSGPRFSSFRAAAQQRCGSDEATAKARGRRREEESRTGRAAPDVVAAGPTPPLRDEEEAEEGGRMGRKGRKGKEAVAAGGGAGSLQEATLVRVYRVLEDFRASDEQVYKFEPSISKQERAAIHEMCRKMGMISKSSGYAERRCLSVYKRKQKQGPETEERPSHLGFSVEARNVLQDLFTHYPPDDAELNGHTITNSSGKAAKIQWKPDGAFCRPALRKPDILKKVEMLASKVNKSEQLGKIVQDRSKLPISSYKDIISSTLESHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISVERGEVVGDTVGYKIRLESKGGKNSSIMFCTNGILLRILIGRVTNISKERNQKRSSDDAIMGITHIIVDEIHERDRFSDFMLAILRDLLPSYPHLRLVLMSATIDAERFSKYFSGCPVIQVPGFTYPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLEFISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDVCMLLSFGVDCSSRDHDGKSALDWAEQGNQQEVCEVIKKHMECSSAKLTEDNELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASSFFRDSSKFLVLSLHSMIPSSEQKKVFKRPPAGARKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSKFRAASLLDYQVPEIKRMPIEELCLQVKLLDPNCRIADFLNKTLDPPVPETVKNAITVLQDLGALTQDEQLTELGEKLGTLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPVAPDERKRASAAKVELASLYGGYSDQLAVVAALDCWRRAKDRGQEAQFCSKYFVSSNTMHMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGKLLPPRKNTKRTVVETASGAKVRLHPHSCNFNLSSRKTSQNPLVIYDEITRGDGGMYIKNSSVVGSYPLILLATEMVVAPPDDDDSDDEGDSSEDEAEKGTLEQHKEIMSSPDKPVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPTMIPSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPQFHKSFNHPDGASGHIRPSRASIGRFDQSRRPRRNNSGSGSSAPQTFKRQRNGAQ >LPERR01G27710.2 pep chromosome:Lperr_V1.4:1:23835119:23847440:1 gene:LPERR01G27710 transcript:LPERR01G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSLLVAGAAYTRVRDARSHTRTWPASTRFDGLPSPTAMAHTSGVPVRPNLLLFLGRPPLARNARPPPSGPRFSSFRAAAQQRCGSDEATAKARGRRREEESRTGRAAPDVVAAGPTPPLRDEEEAEEGGRMGRKGRKGKEAVAAGGGAGSLQEATLVRVYRVLEDFRASDEQVYKFEPSISKQERAAIHEMCRKMGMISKSSGYAERRCLSVYKRKQKQGPETEERPSHLGFSVEARNVLQDLFTHYPPDDAELNGHTITNSSGKAAKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDIISSTLESHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISVERGEVVGDTVGYKIRLESKGGKNSSIMFCTNGILLRILIGRVTNISKERNQKRSSDDAIMGITHIIVVLMSATIDAERFSKYFSGCPVIQVPGFTYPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLEFISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDVCMLLSFGVDCSSRDHDGKSALDWAEQGNQQEVCEVIKKHMECSSAKLTEDNELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASSFFRDSSKFLVLSLHSMIPSSEQKKVFKRPPAGARKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSKFRAASLLDYQVPEIKRMPIEELCLQVKLLDPNCRIADFLNKTLDPPVPETVKNAITVLQDLGALTQDEQLTELGEKLGTLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPVAPDERKRASAAKVELASLYGGYSDQLAVVAALDCWRRAKDRGQEAQFCSKYFVSSNTMHMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGKLLPPRKNTKRTVVETASGAKVRLHPHSCNFNLSSRKTSQNPLVIYDEITRGDGGMYIKNSSVVGSYPLILLATEMVVAPPDDDDSDDEGDSSEDEAEKGTLEQHKEIMSSPDKPVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPTMIPSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPQFHKSFNHPDGASGHIRPSRASIGRFDQSRRPRRNNSGSGSSAPQTFKRQRNGAQ >LPERR01G27720.1 pep chromosome:Lperr_V1.4:1:23862726:23873160:1 gene:LPERR01G27720 transcript:LPERR01G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSEVVVVEAMEPSSSITIASSSSYLSNGTSPCSVGLAPPGAGAAAAAQPAPVPAGGGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDIGDGGPPVPVHRCILAARSTFFYDLFAARGRGAGGGGGEGGKRSGGRPRYKMEELVAGGRVGRDAFLLLLGYLYTGKLRQAPDDVVTCADRVCPHDSCPPAIRSAVELMYGAWTFKITELISLLQRRLLNFVDKTLVEDVLPILQVAFHSELSQVLEKCVRRIARSDLDNISLDKELPPEVAEEIKKIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYASAYCDSKVVSELLDLGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGASVSLLTAGGQSAISICMRLTRLKDYNTKMEQGQESNKDRLCIDILEREMIRNPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGGIPAASTSGKFKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSADALLQIGTSDEQNVKRMRFCEVREDVRKAFSKDRADNGMFSILSSSSSSSPPLKVSKK >LPERR01G27720.2 pep chromosome:Lperr_V1.4:1:23862726:23869871:1 gene:LPERR01G27720 transcript:LPERR01G27720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSEVVVVEAMEPSSSITIASSSSYLSNGTSPCSVGLAPPGAGAAAAAQPAPVPAGGGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDIGDGGPPVPVHRCILAARSTFFYDLFAARGRGAGGGGGEGGKRSGGRPRYKMEELVAGGRVGRDAFLLLLGYLYTGKLRQAPDDVVTCADRVCPHDSCPPAIRSAVELMYGAWTFKITELISLLQRRLLNFVDKTLVEDVLPILQVAFHSELSQVLEKCVRRIARSDLDNISLDKELPPEVAEEIKKIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYASAYCDSKVVSELLDLGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGASVSLLTAGGQSAISICMRLTRLKDYNTKMEQGQESNKDRLCIDILEREMIRNPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGGIPAASTSGKFKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSADALLQIGTSDEQNVKRMRFCEVREDVRKAFSKDRADNGMFSILSSSSSSSPPLKVSKK >LPERR01G27720.3 pep chromosome:Lperr_V1.4:1:23872552:23873129:1 gene:LPERR01G27720 transcript:LPERR01G27720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTKTTILAMLVRANTGGVGSPRSMKQSWSDDSSSSSPTCYSENPVDVELEEPRFQQPCAFVSCRRLVERLDHVLGVAVVDHPLRDLLKLVLPEGAEARGRGDAGGVAGAVGRIGGRRPGGGGINDGINDPKPSGDGGDAPDRAAVGARPGIGGRVQVQVVGDDELGTF >LPERR01G27720.4 pep chromosome:Lperr_V1.4:1:23869737:23872514:1 gene:LPERR01G27720 transcript:LPERR01G27720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDRLQVHHEASVPGHLASPSCDLRRIIVGLDEYLGLLHGARYGVVKLGRFPEQSVLHVAEPALGFVEDDPLQIEERRRVHGVSVHVDQRM >LPERR01G27720.5 pep chromosome:Lperr_V1.4:1:23867144:23872514:1 gene:LPERR01G27720 transcript:LPERR01G27720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHEVGVVQQKNIPQALVRAQVGYHRDEIFQVPNRIIDQRHDAVHHEASVPGHLASPSCDLRRIIVGLDEYLGLLHGARYGVVKLGRFPEQSVLHVAEPALGFVEDDPLQIEERRRVHGVSVHVDQRM >LPERR01G27730.1 pep chromosome:Lperr_V1.4:1:23868044:23869576:-1 gene:LPERR01G27730 transcript:LPERR01G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLPLAAVSVSGFKALIADDLRDIARRMVSDGFAQRMVQAFDDAAAVGEGVSRSGIVVLPAGRRLGSPPKPRGTRVRCVRWIRALVVMVHCASELDLATDEPTPALTMFAGAGIAKMVVFVDADLKVHAPADRLHVKEAKTLAGEAAADSTWKTEIARGRGEVHRNIRLMVDCIVSLRIYTGYFCHLIDDAIGYLKDLITMISNLCPDKGLRYIFLLNNSHFVLQHLKPFSNKRWFLWEWERECGLTDDDGYYIDSYFKASWAPVLSCLSSKPSSLSPWSNKFSPLENFVSAFHQTYKSQKLWKVPSPELRGRLWKTVIERVVSGYNKYLEERPVLKELVSRGNSNIPADLEEMLGELFEG >LPERR01G27740.1 pep chromosome:Lperr_V1.4:1:23871452:23873153:-1 gene:LPERR01G27740 transcript:LPERR01G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLATVSESAELVVADDLDLNSTADPRACTYRGSIRSVATVSGRLRVIDPIVDSASTWSSSSYASNCTGHSSGVSAAAGFSALREHELEEIAQRMVNDGYAKHMVQAFDKAAAADEGARLLEAWFFQLDVDWILRICEGHGLQQVGEEEDESSLHDLVERWIRALVVMVHCFIDLGEPTPPVFARTSIAKMVVFVDAVIAAPKVHYSLAEKLHALVNMYGHAMDASSLLYLQWVIFHEAESRFGDMEDALFRKATELHDAISSTMEEAKILVEPDDDSTEIARGRGEVPWNTCLMVDCIVSLGMYKGYLYPLIDDAIGYLKDLITRISNLCPDTSLSKSSPLKKFESAFHETYKAQKLWKVTSPQLRGNVGVFHTSALYT >LPERR01G27750.1 pep chromosome:Lperr_V1.4:1:23880205:23885176:-1 gene:LPERR01G27750 transcript:LPERR01G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSTTSVIAAKAYKYKAESLVKDYLFSDHYVSYTAVLGGILMCKMVYNITHLISSLYCKSYSSLTKIQKLEWNNRGMSTIHAIFITLMSVYLVFFSNLFSDELDGPVTFRSSNLSNFTLGVSLGYFITDLAMIFWAYPSLGGMEYVLHHMLSIVSLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTIGMKRSKAYLVNGVAMFVAWLVARIILFIYLFYHIYFHYDQIKQMSTFSCILIFAVPTILLAMNTIWFAKILRGLKKTLAKRQ >LPERR01G27760.1 pep chromosome:Lperr_V1.4:1:23886164:23888027:-1 gene:LPERR01G27760 transcript:LPERR01G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPIPTSAPPAAPPAAEAGGLPDAIAAALPPDPYEQLEVARKITAVXXASRLELEAARLRQMLADKDRLAAELADRAAALDQALRDSDTRLRAALDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKANSWKDGVAQSRHHHRHHPVSSLADGSDETESVNQEVARPFDQKLTVTHITPRLTSDPAAKPRSSATSPRRYSTAMSPKLTASATSPRLEGHMAMQPWLPSSTMSSAANSPPRGHSISGRTTRVDGKEFFRQARSRLSYEQFAAFLTNIKELNAHRQSREETLQKADQIFGSGNKDLFMSFRSLLSRSLS >LPERR01G27770.1 pep chromosome:Lperr_V1.4:1:23897444:23909772:1 gene:LPERR01G27770 transcript:LPERR01G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSQLSASSDRESAQASENARPPDSGGNNSGGQARSRIALQLDQRSLHFSVTAWVLINALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNMHVAQLFHHVASSKDFIPFMTYMEKPCTWVETNTTAVNFLSSNAEILLGFVLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFSRTPFKLHCEHRQAIVDMAEKTAECPVSSETMVRSARRMRQQAEMEFGRARSLRIHAIRMRLREQVELGRARALSNFAVRMRQQAQLDTARARKVRLHALRMRLQEERANHVDDVRTNGCRNL >LPERR01G27770.2 pep chromosome:Lperr_V1.4:1:23901737:23909770:1 gene:LPERR01G27770 transcript:LPERR01G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREANSDLGINKDPDFTPEGMSSTSSSQLSASSDRESAQASENARPPDSGGNNSGGQARSRIALQLDQRSLHFSVTAWVLINALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRIPRAGNMHVAQLFHHVASSKDFIPFMTYMEKPCTWVETNTTAVNFLSSNAEILLGFVLILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFSRTPFKLHCEHRQAIVDMAEKTAECPVSSETMVRSARRMRQQAEMEFGRARSLRIHAIRMRLREQVELGRARALSNFAVRMRQQAQLDTARARKVRLHALRMRLQEERANHVDDVRTNGCRNL >LPERR01G27770.3 pep chromosome:Lperr_V1.4:1:23897389:23901427:1 gene:LPERR01G27770 transcript:LPERR01G27770.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLFGVNERSLIPFPFSPQPSRRVAFSDERGRAGSSAAATPVPSPPPRLFLLRGRAPAQAPASSQRGRQVLLEGDGDGDCPDIKHRQLDDIDRLAQKLREGRTHLDYFY >LPERR01G27780.1 pep chromosome:Lperr_V1.4:1:23925206:23929121:-1 gene:LPERR01G27780 transcript:LPERR01G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSTTSVIAAKAYKYKAESLVKDYLFSDYYVSYTAVLGGILMCKMVYNITHLISSLYCKSYSSLTKFQKLEWNNRGMSTIHEIFITLMSVYLVFFSNLFSDELDGPVTFRSSNLSNFTLGVSLGYFITDLAMIFWAYPSLGRMEYVLHHMLSIVSLVYAIYSEEGQLYTYMVARIILFVYLFYHIYFHYDQVKQMSTFSCILIFAVPTILLAMNTIWFAKILRGLKKTLAKRQ >LPERR01G27790.1 pep chromosome:Lperr_V1.4:1:23930443:23933877:-1 gene:LPERR01G27790 transcript:LPERR01G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPIPTSASPAAPPAAEAGGLPDAIATALPPDPYEQLEVARKITAVAVAARLELEAARLRQKLADKDRLAAELADRPPRSTRLSVTPTPASAPPSTTMLSLPRRGTRSRTLGNLQEASNAETVDIRTCEQSVAKANSWNDGVAHSRHHHHPVYSLTDGSTEIESKLTVTHITPRLTSDPAAKPRSSATSPRRYSTAMSPKLTASATSPRLEGHMVMPPWLPSSTMSSAANSPPRGHSISGRTTRVDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQILLSRSL >LPERR01G27800.1 pep chromosome:Lperr_V1.4:1:23934539:23934946:-1 gene:LPERR01G27800 transcript:LPERR01G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPIPTSALPAAPGPPAEAGGLPDAIAAALPPDPYEQLEVARKITAVAVAAHIVDQNSISQTVSWS >LPERR01G27810.1 pep chromosome:Lperr_V1.4:1:23937650:23937898:1 gene:LPERR01G27810 transcript:LPERR01G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGYVPVLVGKGGGDGAAAATRFLVRVGLLNDPCMEALLELAADEMGYGQEGVLSIPCDADFFRRVVTAIRSASKANLICV >LPERR01G27820.1 pep chromosome:Lperr_V1.4:1:23943479:23943869:-1 gene:LPERR01G27820 transcript:LPERR01G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLSMVVDTKSSDNCDNDIKKLATQCQQYVMYPTDPKIKPSDACCSVFQKVDIPCFSNITKEIEKTICMEKVVYVADYCKRPFQPGSKCGSYMIPPL >LPERR01G27830.1 pep chromosome:Lperr_V1.4:1:23944763:23945382:1 gene:LPERR01G27830 transcript:LPERR01G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFGVFLVMSLFLAMAVHTKSDDLDDLKDLIACVPYVLCPANPKTKPSDACCKAIQKLDSSSIWSMVITKETEKSVCMENVKYAINYCKKPFQLGSRLHNSFSTRIIRCHWREDTDFVFGICVICEAHH >LPERR01G27840.1 pep chromosome:Lperr_V1.4:1:23949874:23959944:1 gene:LPERR01G27840 transcript:LPERR01G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSEPEASKEAAPAAAVSPGAAVGGPNRCCAKLWKKFQKSEESRAALRKGVKILNNEVDLLRKQHSELSKVCNEERLRGDSAEAARISESDARDMLEKEIIELKAQNSALQQSQDVCKNDNELLRVSELEEEIRRLKQVLGEERKKINSEKKNAEEEKGKILELQKLLKMETHKSEEYKRLSDAERKATNSLRASCEKLRTEASEAREKLAAQVKKTEEANKRAEEEKQKAAREKKFGDSEKSLAEKNKNLIEAERKRLREEKIRAERLFAKLEEQKKLNEDLRASIEVEKKNVMDQKNHINDLSQKLEEERKRSENLQRKFDDLCAVRDTTSFGKHGQQHIDVATDGANVRLLKEKLKLKKEQLKHVKNVSKLDKAKNTLIRRELQRLKQDWMQLLSRFNMLDDHLAADAVEVHLYEETTLHISYPEKAVQDQSQGKDKQLVSASTDIRRKQSTMVPKLTTKDGNGARKHGDKALPAVSCDSFQHKVLKSSMPDATEVTDKLLKEDKKRKRTKSSLKSTDCLSSKHKQLHLEMKAHDATANGISCSDDRSRVQQGNSIMPVVNDDDTQIHRRKCHIADKNPSFSIPAIVPFPEAGNPYAVSKFPSLFSFEEMIKGDCLKLLNLDNDEDEERYRKAMERSLSPDLPILLPHTTKAPIDNKSHRLSDRTPNAFEYERDCPASRANSTDLERGSSLLGVEGPAIQKLIQNTSKLGHNRKDNHDNVKQPCVNDKSNSVVNISCNTKLDDVRTKCSLSSILHEDRAQNVVASPSDEARNTSSSHPNSTSHLQHSCKETSNENGTSQIYSSYILDSKQQNVVGGCKTKAAGSTDLNSNSICGPHHGDNKSPMYFVSLVSMKESNIIRIFRYWETLVAEAREISEEFFVDTPLFERVSAEPLLLLEEKVALIVSLLLWDICSLITADPIVDGNFASSVFPLTVKSYMETRWVFLKSNKLNVSVSLIEDLLMKREVVVCNKMGHAISDVNKHGPLDDDTSIQVSVKPATIDQFISACALLALICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHVIGSMCGDKFLFSKSCNFLMTTIQLIVLLLETNDNSLCLVSSYVQSNRPTVFPTCAHCLFDVDTISIDGFISSLLDELNLCSQQWNNHSNSNKIIARCNPQLETSGLEVNCGELCYISKQAKLSEDIYAAGRDLCYFAEIISLLELFGNYMSCEWTYNNVVVRLLKILESCICDEYSAALLILLSQLGRFFVDDVGYEQRTVSDLRNKLSELMRTKISSSRTMPVQLSAVGALLSLLPLTFDKIVSHTGELPDRYVLQAKQISEWFCQLSKEHQSLAFSFFS >LPERR01G27840.2 pep chromosome:Lperr_V1.4:1:23949874:23959944:1 gene:LPERR01G27840 transcript:LPERR01G27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSEPEASKEAAPAAAVSPGAAVGGPNRCCAKLWKKFQKSEESRAALRKGVKILNNEVDLLRKQHSELSKVCNEERLRGDSAEAARISESDARDMLEKEIIELKAQNSALQQSQDVCKNDNELLRVSELEEEIRRLKQVLGEERKKINSEKKNAEEEKGKILELQKLLKMETHKSEEYKRLSDAERKATNSLRASCEKLRTEASEAREKLAAQVKKTEEANKRAEEEKQKAAREKKFGDSEKSLAEKNKNLIEAERKRLREEKIRAERLFAKLEEQKKLNEDLRASIEVEKKNVMDQKNHINDLSQKLEEERKRSENLQRKFDDLCAVRDTTSFGKHGQQHIDVATDGANVRLLKEKLKLKKEQLKHVKNVSKLDKAKNTLIRRELQRLKQDWMQLLSRFNMLDDHLAADAVEGIQVLTELKRHPEMHNFEQNLLPHNPITAPYFGLQTGIVPFSSSVRRDYTSYQLPRESCTRPISGTSSELEPPVGSSLRTKSKSPHRSSCPTSISDKNFMGSQGKDKQLVSASTDIRRKQSTMVPKLTTKDGNGARKHGDKALPAVSCDSFQHKVLKSSMPDATEVTDKLLKEDKKRKRTKSSLKSTDCLSSKHKQLHLEMKAHDATANGISCSDDRSRVQQGNSIMPVVNDDDTQIHRRKCHIADKNPSFSIPAIVPFPEAGNPYAVSKFPSLFSFEEMIKGDCLKLLNLDNDEDEERYRKAMERSLSPDLPILLPHTTKAPIDNKSHRLSDRTPNAFEYERDCPASRANSTDLERGSSLLGVEGPAIQKLIQNTSKLGHNRKDNHDNVKQPCVNDKSNSVVNISCNTKLDDVRTKCSLSSILHEDRAQNVVASPSDEARNTSSSHPNSTSHLQHSCKETSNENGTSQIYSSYILDSKQQNVVGGCKTKAAGSTDLNSNSICGPHHGDNKSPMYFVSLVSMKESNIIRIFRYWETLVAEAREISEEFFVDTPLFERVSAEPLLLLEEKVALIVSLLLWDICSLITADPIVDGNFASSVFPLTVKSYMETRWVFLKSNKLNVSVSLIEDLLMKREVVVCNKMGHAISDVNKHGPLDDDTSIQVSVKPATIDQFISACALLALICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHVIGSMCGDKFLFSKSCNFLMTTIQLIVLLLETNDNSLCLVSSYVQSNRPTVFPTCAHCLFDVDTISIDGFISSLLDELNLCSQQWNNHSNSNKIIARCNPQLETSGLEVNCGELCYISKQAKLSEDIYAAGRDLCYFAEIISLLELFGNYMSCEWTYNNVVVRLLKILESCICDEYSAALLILLSQLGRFFVDDVGYEQRTVSDLRNKLSELMRTKISSSRTMPVQLSAVGALLSLLPLTFDKIVSHTGELPDRYVLQAKQISEWFCQLSKEHQSLAFSFFS >LPERR01G27850.1 pep chromosome:Lperr_V1.4:1:23963866:23970883:1 gene:LPERR01G27850 transcript:LPERR01G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRPFIACRSTAIASRQAGCIVCSRRWRRLPSFSSRLLPTWAAASAPPISREQSGGSVHLPAVFSASSPPASRAGALLTCCFLRTPTGNFDAGQYAFFGKEPLEELELSCLEDGGGDGNGGGFSGPEEGLYRLSSVGEEIDNLSNLSDVDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDTEFPNWVDQDILEDGEFQESKRWWSQTHSLGQQGDAKPLNRTSSYPQQPLQHRASEPIIAPKSPSYTSFPPPGARSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPGSPYHMAGLSHGLPYGGSMSFGSPSMPVNNPMQNDWPNQANPYVGDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAKVQVQQSHQNYLNLPPHLFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHSIRFSQPLSDAGSQNGDNGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGASSRQKANFSPTSMKDLPSKSRSSGDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFVMQSPGSVMGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNPTGANDGNSCISGDMHPRS >LPERR01G27850.2 pep chromosome:Lperr_V1.4:1:23963866:23970834:1 gene:LPERR01G27850 transcript:LPERR01G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGNFDAGQYAFFGKEPLEELELSCLEDGGGDGNGGGFSGPEEGLYRLSSVGEEIDNLSNLSDVDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDTEFPNWVDQDILEDGEFQESKRWWSQTHSLGQQGDAKPLNRTSSYPQQPLQHRASEPIIAPKSPSYTSFPPPGARSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPGSPYHMAGLSHGLPYGGSMSFGSPSMPVNNPMQNDWPNQANPYVGDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAKVQVQQSHQNYLNLPPHLFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHSIRFSQPLSDAGSQNGDNGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGASSRQKANFSPTSMKDLPSKSRSSGDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFVMQSPGSVMGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNPTGANGGHITSESVPG >LPERR01G27860.1 pep chromosome:Lperr_V1.4:1:23971503:23975766:1 gene:LPERR01G27860 transcript:LPERR01G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSLARPLQPPIRPGCGGPGCRSRPGSVSVARCRAEAAPPAPVVGKKAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLQAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCRDGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKVSPASS >LPERR01G27860.2 pep chromosome:Lperr_V1.4:1:23971503:23975766:1 gene:LPERR01G27860 transcript:LPERR01G27860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSLARPLQPPIRPGCGGPGCRSRPGSVSVARCRAEAAPPAPVVGKKAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTELKPGILVECLTSDFRGDLQAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCRDGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKVSPASS >LPERR01G27870.1 pep chromosome:Lperr_V1.4:1:23975364:23978539:-1 gene:LPERR01G27870 transcript:LPERR01G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHRPQEIGLRDHVMSGRSMNKLRTPGHLGPALLSSLQPVNQIKLGKTCGVVGPRNSWLKISRGGISAAAGEQMEILAVTGDWTGWVGVASEQAGVEPTRFGRSRLHAWNAGEAVGVDAWLPQAHKRMRHDPAATSHIATNNCSVPHFCCCGAGRGVLARYCPEAYNSRALTALCGMDLHIPRTELWRYIGLIDNGAKRKHAPLCLLARLSHAVQVSSDYYTLCSRTPEPKSFSAYSRAAGNAKCKEDFMYSTMKASQALGEHIMRCGLSASHESNSSYLWT >LPERR01G27880.1 pep chromosome:Lperr_V1.4:1:23980201:23985476:1 gene:LPERR01G27880 transcript:LPERR01G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRREQWAVACLCLAVLGVAAAGGGGGAFEDGMAVYIVTMKEAPVFHKRLELDKFGGSEVAAAAGGGGDTPSTSILMKPRHGPAQTMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAEKLSRRKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPNHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGDASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSAKSMSSYSPWIFTVGASAHDREYSNYVLLGNNLTITGVGLAPGTDGDSMYTLIAAPHALKNNAASPTELSLGECQDSSHLDEDLIKGKILVCSYSIRFVLGLSSVKQALETAKNVSAAGVIFYLDPFVIGFQLNPTPMSMPGLIIPSSDDSKIFLNYYNESLVRDEASNKIVSFGAVAKILGGLKPNYGFSAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMISGTSMAAPHVAGLAALVKQKFPSFSPAAIGSALSTTTSLSDRQGNPIMAQRTYGNPNSTQSPATPFDMGNGFVTTISYPFYVA >LPERR01G27880.2 pep chromosome:Lperr_V1.4:1:23980201:23985110:1 gene:LPERR01G27880 transcript:LPERR01G27880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRREQWAVACLCLAVLGVAAAGGGGGAFEDGMAVYIVTMKEAPVFHKRLELDKFGGSEVAAAAGGGGDTPSTSILMKPRHGPAQTMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAEKLSRRKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPNHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGDASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSAKSMSSYSPWIFTVGASAHDREYSNYVLLGNNLTITGVGLAPGTDGDSMYTLIAAPHALKNNAASPTELSLGECQDSSHLDEDLIKGKILVCSYSIRFVLGLSSVKQALETAKNVSAAGVIFYLDPFVIGFQLNPTPMSMPGLIIPSSDDSKIFLNYYNESLVRDEASNKIVSFGAVAKILGGLKPNYGFSAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAVAISASPAQFFIPRGQKQVVTFVVNATMNSTSASFGDVGFYGDKGHRVMIPFSVISKVVHSS >LPERR01G27890.1 pep chromosome:Lperr_V1.4:1:23989272:23991968:1 gene:LPERR01G27890 transcript:LPERR01G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRFVFVLLLLALAACAARGQGGGSAAAAPAPAATAAGTGPFVPRDDILLDCGANGQGNDTDGRVWSGDAGSKYAPASLASAAASGQDPSVPQVPYLTARVSASPFTYSFPLGAGRKFLRLHFYPANYSTRDAADARFSVSVPAANVTLLSNFSTYQTATALNFAYIVREFSVNVTTPTLELTFTPEKSHPNAYAFVNGIEVVSSPDLFDISTPNLVAGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNVPEYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQFPITKINQRVFNIYINNQTAVQGADVIAWTNNNGIGSPVYQDFVVVTVGSGAMDLWVALHPDVQGKPQYYDAILNGMEVFKLPLSNGSLAGLNPVPSVEPLLNGGAGKKSSVGPIVGGVIGGLVVLALGYCCFFVICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATKNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWAMHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEESGSIGCVSDEGTPLVMGMGGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >LPERR01G27900.1 pep chromosome:Lperr_V1.4:1:23995733:24002510:1 gene:LPERR01G27900 transcript:LPERR01G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSHTWFLPEGVRCFASPKKGDVFWYIEQNEKGQDQIEAPPYSTYFDSTSGQLEPASGARASIPGQEYWPEGTASRARAARAPAPIGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDDGEPLVAIEASSDETLEETKDLLDEYVVYEMPKEENLSEYEMDKKMGRPHPFIDPEKAMSLGEPKTSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEQSYNEDSDDEEEDADKVVAQPESLEDDEDDGNDAEEAEGKTSRNWSVQKTTGQAQKPKEKLKKDQMSLKEAIDDSENLTDFLMDFEEEE >LPERR01G27910.1 pep chromosome:Lperr_V1.4:1:24001370:24004878:-1 gene:LPERR01G27910 transcript:LPERR01G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAATTTLRQRQLTVRTKCADGGRWVVGDGKKATPSEPVSPSARLVEDFFVVVVIGIATPVNDPVARAGIAAQLARYPRFRSIQVTDKDGGNPRWVRTTVNLDDHIIYPKLDAEAVAANPDKVVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSAADPTRLPAMPPLPTRTGAIYAKARPPASAGLVALAAWLWSFVVLAWHTVVDVASFFATTMFLKDPHTLFKRVKHGEFQPKRIVHRALSLDDVKFVKNAMNCTVNDVLVGITYSALSRYYFRKSGDTNTDDDIRLRSILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLSAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVYIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALILGKRHTKA >LPERR01G27920.1 pep chromosome:Lperr_V1.4:1:24014224:24015804:-1 gene:LPERR01G27920 transcript:LPERR01G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHTINGVAPPPRNGAIAVETTTTSPAAQSARLLDADEFRRLGYQVVDFIADYYAGLGDYPVHPSVTPGFLRRQLPADAPTRPEPDAFAAALRDVRDLILPGLTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGRALHLPDRLLFSGGGGGTILGTSCEAILCALVAARDRKLAEIGARRIGDLVVYCSDQTHFAVRKAARIAGIPRENFREIPTHRHDTFALSPPALHAAMQRDADAGLVPLFLCATVGTTQTTAVDPIRDLCAVASRHGGVWVHVDAAYAGSALVCPEFRDTIAGAEDVDSVSMNAHKWLLANNDCCAMWVRSPSALVAALGTEQEYILKDAAAEGHDVVDYKDWGATLTRRFRALKVWLVLRCHGVEGLRAHVRSHVAMAAGFEAMVRADARFEVVAPRRFALVCFRLRPPLPDGEKAANELNRRLLEEVNAASAGPYMSSAMVGGVYMLRCAVGSTLTEERHVREAWNVVQERATSILLRKRG >LPERR01G27930.1 pep chromosome:Lperr_V1.4:1:24022984:24026890:-1 gene:LPERR01G27930 transcript:LPERR01G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGWPRGGVGEGEEEEEMEGDQFERLPDEVLLDVFDRIGDVKALGRCALVSRRFHALVPLVGSVFVRVDCVISDDPSPSSAGSTAAAAAAAEGGGGPPRRGALAHLARLVLGGIVRPIQALGQILSPAAAAMTVSRRSAAAATSTTPPPAAGDVSHHSPSEVLRSFKELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPATLPPAAADSSVASTDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLRDGGGVEAAGPSGANWILDAFEEPYRTAAQDMMGCYQDISRSDGGRQTSGRVAPVPPGSG >LPERR01G27930.2 pep chromosome:Lperr_V1.4:1:24023413:24026890:-1 gene:LPERR01G27930 transcript:LPERR01G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGWPRGGVGEGEEEEEMEGDQFERLPDEVLLDVFDRIGDVKALGRCALVSRRFHALVPLVGSVFVRVDCVISDDPSPSSAGSTAAAAAAAEGGGGPPRRGALAHLARLVLGGIVRPIQALGQILSPAAAAMTVSRRSAAAATSTTPPPAAGDVSHHSPSEVLRSFKELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPATLPPAAADSSVASTDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLRDGGGVEAAGPSGANWILDAFEEPYRTAAQDMMGCYQDISRSDGGRQTSVSIYTCLCLGCLARVGMECVICSKSTLKESHEIFCA >LPERR01G27940.1 pep chromosome:Lperr_V1.4:1:24031549:24034443:-1 gene:LPERR01G27940 transcript:LPERR01G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVAGGASAICRCPHDAGRATLLSRPGHRLRVLASSVPPPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRNSVAAGGEVAALWVTHRLEELKYADGAIYMEDGRTIIQGDVSAISRYIKKKQARYFGHFEL >LPERR01G27940.2 pep chromosome:Lperr_V1.4:1:24031549:24034443:-1 gene:LPERR01G27940 transcript:LPERR01G27940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVAGGASAICRCPHDAGRATLLSRPGHRLRVLASSVPPPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRFTNILHPKQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRNSVAAGGEVAALWVTHRLEELKYADGAIYMEDGRTIIQGDVSAISRYIKKKQARYFGHFEL >LPERR01G27950.1 pep chromosome:Lperr_V1.4:1:24035753:24036302:1 gene:LPERR01G27950 transcript:LPERR01G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGHDMGSMSPPAAGGSGGMSMKGMRYTHMTFFWGKNSEILFTMWPGTRGGMYALALIFVFALAVIFLGSRRADACLAALARRAPAAGAVARTAAHTVRVGVAYLLMLALMSFNGGVLLVAVAGHAAGFLAFRAGLCGGPAQVEEDRKNDPACC >LPERR01G27960.1 pep chromosome:Lperr_V1.4:1:24038204:24038659:1 gene:LPERR01G27960 transcript:LPERR01G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGGHGMGGGGSMGMPPAAAHGSRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALVAVFALAVLVEFLGASSLSSGRRRAAGAAAVHALRVGLAYLLMLALMSFNVGALLAAVAGHAVGFLAFRAGLCGGGQDRYKKEDLAPAASC >LPERR01G27970.1 pep chromosome:Lperr_V1.4:1:24040386:24040847:1 gene:LPERR01G27970 transcript:LPERR01G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGMSPPAAGGGGAMPMKRMRYTHMTFFWGKNSEVLFTMWPGTRGGMYALALVFVFALAVIAEFLGSRRADACLAALARRGPAAAAAARAAVHTVRIGVAYLLMLAIMSFNGGVFLVTVAGHAAGFLAFRSGLCGGPAKEEQDRKNDPVCC >LPERR01G27980.1 pep chromosome:Lperr_V1.4:1:24042864:24043283:1 gene:LPERR01G27980 transcript:LPERR01G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAMAPAAAAHGSRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALVAVFALAVFVEFLGSSSLARRRAAAAAAVHALRVGLAYLLMLALMSFNVGVLLAAVAGHAVGFLAFRAGLCGGRQDQYKKEDLAPAACC >LPERR01G27990.1 pep chromosome:Lperr_V1.4:1:24048305:24048970:-1 gene:LPERR01G27990 transcript:LPERR01G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGEEYCAAEERVLTVRKTSHFSPGDGFAAYDHRTGRLAFRADTYGRGHGGGAASSGELALLGPSGEALVTVRRRRPSLRHRWEGFLGARADGQKPLFSARRSSILGGAAAGAVVELLTPIPSSSSAAELRVDGSFPRRCCRVVAAKADSGREAVVAEIRRKVDEGARVVMGRDVFVLRVSAGFDAAFAMAIVLVLDQIAGDVADGGDGGDDTNSIGIW >LPERR01G28000.1 pep chromosome:Lperr_V1.4:1:24052548:24055911:-1 gene:LPERR01G28000 transcript:LPERR01G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPSAAAAASTFLRHALPTSRSSSSSAAATAVASSTAVNSILLRSLKEHYLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGEAGEEISISVARLANIMPAGADDYESDDDGASGVSESINQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRSKTAESGTAVMAGKSGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVDISNILLKITSRRQ >LPERR01G28000.2 pep chromosome:Lperr_V1.4:1:24052756:24055911:-1 gene:LPERR01G28000 transcript:LPERR01G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPSAAAAASTFLRHALPTSRSSSSSAAATAVASSTAVNSILLRSLKEHYLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGEAGEEISISVARLANIMPAGADDYESDDDGASGVSESINQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRSKTAESGTAVMAGKSGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTFISEPKS >LPERR01G28010.1 pep chromosome:Lperr_V1.4:1:24057232:24061500:1 gene:LPERR01G28010 transcript:LPERR01G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDDDDGGRGLGDIPDLASGGGGDGERCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSSSLGFPTNELFAFPGLRSDIEALEKGLFGNIGSLLDEAEKMTNDFFKSFGIPPVDERDSRPFRGQPAGRPIEEGVAKEGKQNDYAEFSSKITDV >LPERR01G28020.1 pep chromosome:Lperr_V1.4:1:24067585:24083194:-1 gene:LPERR01G28020 transcript:LPERR01G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSLFVRSKGKRKKKIIVPLPRRPRRRLPLRLLPDFARRLRRSPESRSAVVLLFPPHTHRLRVQLNPRPHVRSAPGCMSCLLQQDQDEEMLVPDQEVVEGPQPMEDSASTVENEQVPETSTSRFTWTIENFSKLNQKKLYSEVFAVGGYKWQVLVFPSGNGVESLSMYLNVADANMLPHGWSKFALFSLAVINQFDSKLSLRKEAAHHFSIRESDWGFTSFMHLGELNDPSKGYIVNDQCVIEAEVAVRRVVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDSVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQKLFEGHHMNYIECVNVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYHSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSILVHNGGGHGGHYYAFIRPALSDVWYKFDDERVTKEGMKRVLEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKVLCGLDENDIPEHLKTRLRKENEEREYKKKEKAEAHMFAALKVARDSDFAEQIGKHIYFDLVDYDNIRSFRAPKSLTLSQAKDELSKDFGIPVQSQRFWLWAKRLNKTYRPLRPLTLQEESFSIGQLIDPSNKSLNSELKLFLEVALGQENHPIAVPPKTKDNILIFFKLYDPEKEELRYVGRLFLEASGKPADILHKLQEMAGFQSDEDIELYEEVRFEPSVMCVHIDINDSFLSSQLEDGDIICYQKRCSPEKLDHYRHADVPSFFEYIQNRQVVHFRLLEKPKEDDFTLELSKRFTYDDVVEKVAHQLGLDDPSKLRLTQHQPYSQIPKTHYIKYRGLDHLTDMLRHANQMCDILYYEILDIPLPVLQDLITLRVAFYHAKNNEVSSHSIRLPKGSTIFELIEDIKSKVELSYSDAEFRIFEVYKNKISKVYQPTEQIYSVNEQIGLLCVEEVPEEEKNVGARDRLVPVYHFKKDKQCIDIHGEPRFILIRDGEALSNVKVRIQNKFQVSDEQFAKWKFVYIAHNRVNGEYFQDSDVVLSRFQKDVYGPWEQCLGVEHERLDFTPKRPCLPHQVLLLRLRY >LPERR01G28020.2 pep chromosome:Lperr_V1.4:1:24067585:24083194:-1 gene:LPERR01G28020 transcript:LPERR01G28020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSLFVRSKGKRKKKIIVPLPRRPRRRLPLRLLPDFARRLRRSPESRSAVVLLFPPHTHRLRVQLNPRPHVRSAPGCMSCLLQQDQDEEMLVPDQEVVEGPQPMEDSASTVENEQVPETSTSRFTWTIENFSKLNQKKLYSEVFAVGGYKWQVLVFPSGNGVESLSMYLNVADANMLPHGWSKFALFSLAVINQFDSKLSLRKEAAHHFSIRESDWGFTSFMHLGELNDPSKGYIVNDQCVIEAEVAVRRVVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDSVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQKLFEGHHMNYIECVNVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYHSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSILVHNGGGHGGHYYAFIRPALSDVWYKFDDERVTKEGMKRVLEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKVLCGLDENDIPEHLKTRLRKENEEREYKKKEKAEAHMFAALKVARDSDFAEQIGKHIYFDLVDYDNIRSFRAPKSLTLSQAKDELSKDFGIPVQSQRFWLWAKRLNKTYRPLRPLTLQEESFSIGQLIDPSNKSLNSELKLFLEVALGQENHPIAVPPKTKDNILIFFKLYDPEKEELRYVGRLFLEASGKPADILHKLQEMAGFQSDEDIELYEEVRFEPSVMCVHIDINDSFLSSQLEDGDIICYQKRCSPEKLDHYRHADVPSFFEYIQNRQVVHFRLLEKPKEDDFTLELSKRFTYDDVVEKVAHQLGLDDPSKLRLTQHQPYSQIPKTHYIKYRGLDHLTDMLRHANQMCDILYYEILDIPLPVLQDLITLRVAFYHAKNNEVSSHSIRLPKGSTIFELIEDIKSKVYKNKISKVYQPTEQIYSVNEQIGLLCVEEVPEEEKNVGARDRLVPVYHFKKDKQCIDIHGEPRFILIRDGEALSNVKVRIQNKFQVSDEQFAKWKFVYIAHNRVNGEYFQDSDVVLSRFQKDVYGPWEQCLGVEHERLDFTPKRPCLPHQVLLLRLRY >LPERR01G28020.3 pep chromosome:Lperr_V1.4:1:24067585:24083194:-1 gene:LPERR01G28020 transcript:LPERR01G28020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSLFVRSKGKRKKKIIVPLPRRPRRRLPLRLLPDFARRLRRSPESRSAVVLLFPPHTHRLRVQLNPRPHVRSAPGCMSCLLQQDQDEEMLVPDQEVVEGPQPMEDSASTVENEQVPETSTSRFTWTIENFSKLNQKKLYSEVFAVGGYKWQVLVFPSGNGVESLSMYLNVADANMLPHGWSKFALFSLAVINQFDSKLSLRKEAAHHFSIRESDWGFTSFMHLGELNDPSKGYIVNDQCVIEAEVAVRRVVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDSVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQKLFEGHHMNYIECVNVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYHSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSILVHNGGGHGGHYYAFIRPALSDVWYKFDDERVTKEGMKRVLEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKVLCGLDENDIPEHLKTRLRKENEEREYKKKEKAEAHMFAALKVARDSDFAEQIGKHIYFDLVDYDNIRSFRAPKSLTLSQAKDELSKDFGIPVQSQRFWLWAKRLNKTYRPLRPLTLQEESFSIGQLIDPSNKSLNSELKLFLEVALGQENHPIAVPPKTKDNILIFFKLYDPEKEELRYVGRLFLEASGKPADILHKLQEMAGFQSDEDIELYEEVRFEPSVMCVHIDINDSFLSSQLEDGDIICYQKRCSPEKLDHYRHADVPSFFEYIQNRQVVHFRLLEKPKEDDFTLELSKRFTYDDVVEKVAHQLGLDDPSKLRLTQHQPYSQIPKTHYIKYRGLDHLTDMLRHANQMCDILYYEILDIPLPVLQDLITLRVAFYHAKNNEVELSYSDAEFRIFEVYKNKISKVYQPTEQIYSVNEQIGLLCVEEVPEEEKNVGARDRLVPVYHFKKDKQCIDIHGEPRFILIRDGEALSNVKVRIQNKFQVSDEQFAKWKFVYIAHNRVNGEYFQDSDVVLSRFQKDVYGPWEQCLGVEHERLDFTPKRPCLPHQVLLLRLRY >LPERR01G28030.1 pep chromosome:Lperr_V1.4:1:24089580:24090209:1 gene:LPERR01G28030 transcript:LPERR01G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLEDDDSSRDCLDGHPRLQCAVTMILTIICLVPFGLALWDSIYGCRYRTPEFWVKVPRIEGLQRGPDAVAAPVFNVTLRVNNEAMRRPFYAGRASAVVAYAGVQLAHADLPSGFCVPGQVVSSVPIVATSDGLGIPSDLYECMESQRRRNERVSLEVQVRLDDCRGRLPVMLWCTSVLHGQPMGPFLCKIAPMLKDGEPRPPVNVY >LPERR01G28040.1 pep chromosome:Lperr_V1.4:1:24092144:24092845:-1 gene:LPERR01G28040 transcript:LPERR01G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEEDLDLEAAAAAPYHAVKGDPREHDESASGRRRCPQPCLLWTLGLLSLTMLLSTFAFFSNTAATPTLGLGRRYHDHDAFSVRIAGYESINPGRPGAAVSPSFTVALRKMNGACVDRAAVTVLYSGVALGWARVEPRDCVAPRQEPLRRELEVVARGQGVGLSERLRRRMASEWRSSGALELDVDVKMFDEVTSPGYAVRDIPDRLIFCKGRMTDGQGSDSSDCPWFELL >LPERR01G28050.1 pep chromosome:Lperr_V1.4:1:24094419:24104992:-1 gene:LPERR01G28050 transcript:LPERR01G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRLWAPPEYTHRRGGPDHAPLFGATVSVNGAEFENQPSSYKSQLQIYTQKRGKGLPLYQTIRQGPVHSSQFKSVVTVDGEAFESPEYYHTVKEAESAAAKLALMSLPQEASSTEQVPVQPLSYKNLLQELAQKQGFTLPAYNTTSDGSVHVHIFKSTVTFQGESYQGEPGNTKKQAEMNAAKVAFQHFEDGRNNVLSSTVLTRPHLEQGTAGLSAGQQQVKIAEPVNSVFQASTATNHSASGATDHDYHRLVATNPLPLADSAKSSDEDIESCELKDNKLASPEPSTVAEEVNSAPGPELKASDGHRPEIKPSDGHPVPLASTNAVHSTGCGCSLITNRVQVYPRRPDLVLPEGATLLPFSDDAWVAVSLPTLNHRDAELATGADAGRIAPRVWLLRGMICRKIYLVCLLLLLHFASMGDAAYMKYKDPKQPTNTRVKDLISRMTLAEKIGQMTQIEREVASADVMKNYFIGSVLSGGGSVPAPQATPAIWVNIVNEFQKGALSTRLGIPMIYGIDAVHGILTSLGGLVKQLLLKYGQQAFHIPLLHVCRDPRWGRCYESYSEDHRIVQQMTDIILGLQGEIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLGIHMAPYYDAIIKGVATVMVSYSSLNGVKMHANNDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPYHYTDYINNVTSLVKKGVISMSRIDDAVRRILRVKFTMGLFESPLADLSFSDQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHADNLGYQCGGWSIKWFAASGDITVGTTILDAIKSTVADSTHVVYSENPDESFMKENDFSFAIVVVGEPPYAETVGDSTELTILDPGTDTIRTVCSTVKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGIADLLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFDFGLTINSSQPGFSGAEQLRDRNGRTIYLVLSSVLSVILIHSSILA >LPERR01G28050.2 pep chromosome:Lperr_V1.4:1:24094419:24104992:-1 gene:LPERR01G28050 transcript:LPERR01G28050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRLWAPPEYTHRRGGPDHAPLFGATVSVNGAEFENQPSSYKSQLQIYTQKRGKGLPLYQTIRQGPVHSSQFKSVVTVDGEAFESPEYYHTVKEAESAAAKLALMSLPQEASSTEQVPVQPLSYKNLLQELAQKQGFTLPAYNTTSDGSVHVHIFKSTVTFQGESYQGEPGNTKKQAEMNAAKVAFQHFEDGRNNVLSSTVLTRPHLEQGTAGLSAGQQQVKIAEPVNSVFQASTATNHSASGATDHDYHRLVATNPLPLADSAKSSDEDIESCELKDNKLASPEPSTVAEEVNSAPGPELKASDGHRPEIKPSDGHPVPLASTNAVHSTGCGCSLITNRVQVYPRRPDLVLPEGATLLPFSDDAWVAVSLPTLNHRDAELATGADAGRIAPRVWLLRGMICRKIYLVCLLLLLHFASMGDAAYMKYKDPKQPTNTRVKDLISRMTLAEKIGQMTQIEREVASADVMKNYFIGSVLSGGGSVPAPQATPAIWVNIVNEFQKGALSTRLGIPMIYGIDAVHGILTSLGGLVKQLLLKYGQQAFHIPLLHVCRDPRWGRCYESYSEDHRIVQQMTDIILGLQGEIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLGIHMAPYYDAIIKGVATVMVSYSSLNGVKMHANNDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVMVPYHYTDYINNVTSLVKKGVISMSRIDDAVRRILRVKFTMGLFESPLADLSFSDQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHADNLGYQCGGWSIKWFAASGDITVGTTILDAIKSTVADSTHVVYSENPDESFMKENDFSFAIVVVGEPPYAETVGDSTELTILDPGTDTIRTVCSTVKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGIADLLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFDFGLTINSSQPGFSGAEQLRDRNGRTIYLVLSSVLSVILIHSSILA >LPERR01G28050.3 pep chromosome:Lperr_V1.4:1:24094419:24104992:-1 gene:LPERR01G28050 transcript:LPERR01G28050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRLWAPPEYTHRRGGPDHAPLFGATVSVNGAEFENQPSSYKSQLQIYTQKRGKGLPLYQTIRQGPVHSSQFKSVVTVDGEAFESPEYYHTVKEAESAAAKLALMSLPQEASSTEQVPVQPLSYKNLLQELAQKQGFTLPAYNTTSDGSVHVHIFKSTVTFQGESYQGEPGNTKKQAEMNAAKVAFQHFEDGRNNVLSSTVLTRPHLEQGTAGLSAGQQQVKIAEPVNSVFQASTATNHSASGATDHDYHRLVATNPLPLADSAKSSDEDIESCELKDNKLASPEPSTVAEEVNSAPGPELKASDGHRPEIKPSDGHPVPLASTNAVHSTGCGCSLITNRVQVYPRRPDLVLPEGATLLPFSDDAWVAVSLPTLNHRDAELATGADAGRIAPRVWLLRGMICRKIYLVCLLLLLHFASMGDAAYMKYKDPKQPTNTRVKDLISRMTLAEKIGQMTQIEREVASADVMKNYFIGSVLSGGGSVPAPQATPAIWVNIVNEFQKGALSTRLGIPMIYGIDAVHGILTSLGGLVKQLLLKYGQQAFHIPLLHVCRDPRWGRCYESYSEDHRIVQQMTDIILGLQGEIPINHTKGGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPYHYTDYINNVTSLVKKGVISMSRIDDAVRRILRVKFTMGLFESPLADLSFSDQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHADNLGYQCGGWSIKWFAASGDITVGTTILDAIKSTVADSTHVVYSENPDESFMKENDFSFAIVVVGEPPYAETVGDSTELTILDPGTDTIRTVCSTVKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGIADLLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFDFGLTINSSQPGFSGAEQLRDRNGRTIYLVLSSVLSVILIHSSILA >LPERR01G28050.4 pep chromosome:Lperr_V1.4:1:24094419:24104992:-1 gene:LPERR01G28050 transcript:LPERR01G28050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRLWAPPEYTHRRGGPDHAPLFGATVSVNGAEFENQPSSYKSQLQIYTQKRGKGLPLYQTIRQGPVHSSQFKSVVTVDGEAFESPEYYHTVKEAESAAAKLALMSLPQEASSTEQVPVQPLSYKNLLQELAQKQGFTLPAYNTTSDGSVHVHIFKSTVTFQGESYQGEPGNTKKQAEMNAAKVAFQHFEDGRNNVLSSTVLTRPHLEQGTAGLSAGQQQVKIAEPVNSVFQASTATNHSASGATDHDYHRLVATNPLPLADSAKSSDEDIESCELKDNKLASPEPSTVAEEVNSAPGPELKASDGHRPEIKPSDGHPVPLASTNAVHSTGCGCSLITNRVQVYPRRPDLVLPEGATLLPFSDDAWVAVSLPTLNHRDAELATGADAGRIAPRVWLLRGMICRKIYLVCLLLLLHFASMGDAAYMKYKDPKQPTNTRVKDLISRMTLAEKIGQMTQIEREVASADVCRDPRWGRCYESYSEDHRIVQQMTDIILGLQGEIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLGIHMAPYYDAIIKGVATVMVSYSSLNGVKMHANNDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPYHYTDYINNVTSLVKKGVISMSRIDDAVRRILRVKFTMGLFESPLADLSFSDQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHADNLGYQCGGWSIKWFAASGDITVGTTILDAIKSTVADSTHVVYSENPDESFMKENDFSFAIVVVGEPPYAETVGDSTELTILDPGTDTIRTVCSTVKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGIADLLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFDFGLTINSSQPGFSGAEQLRDRNGRTIYLVLSSVLSVILIHSSILA >LPERR01G28060.1 pep chromosome:Lperr_V1.4:1:24106120:24107002:-1 gene:LPERR01G28060 transcript:LPERR01G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAQPTGSSKRRVGAAPAVERPAAAEEEEASSSAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGAGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQVSVLQGQLSVLESQLFNLRLALASAHPDTPQHFVVLQPACSAASTPNQVAVNYDDLPQAVDFMDAEPALRGIETLQLSQPLRREEDESCQDMNLFAESVEQRQL >LPERR01G28070.1 pep chromosome:Lperr_V1.4:1:24107879:24113837:-1 gene:LPERR01G28070 transcript:LPERR01G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAASASSSDAAGGSNPNPNPVVAKFKMEMAQTGKGNTPKSYSLNMFKDFVPMCVFSESNQGKLACEGKVGHKFDMEPHSDNLVDYGKLCRERTEKSMIKNRKLMVLANDNGMSMRPLPGLQKEKKLLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEDLAAAASACRALRAAASAVTVGRAADAAHGLEPLPIPFDNLVDGKPYAYFLYTPFSLTPSTSSPRVQPWGCTWARPPCPTWPRSDLGGFPSAGCACPQGACGGAECPCADPEAEAVGSEVEAEAGMGSLRECGDGCACGPSCGNRRTQRGVTVRLRVVRHRKKGWGLHAAEVIRRGQFVCEYAGELLTTEEARRRQRLYDDLASVEISSKEKSLLSVMVMLDFDRRACHAFAGAYVVLVYFLRKKHE >LPERR01G28080.1 pep chromosome:Lperr_V1.4:1:24116210:24119519:1 gene:LPERR01G28080 transcript:LPERR01G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSLVVLRRRAGLALLRRGCVEGRGEAERVGAVWRAGTQTRSFLGCGDGEEGSVLSKVYEERRVIGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVELEKPKYIKTTAFESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPVPVLENTYGQGR >LPERR01G28090.1 pep chromosome:Lperr_V1.4:1:24119237:24120638:-1 gene:LPERR01G28090 transcript:LPERR01G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSWLLQTCSPSAALASLAVVTTSVLILGYASTSFLLSADGADVVEAAPASVVPRRGPGYPPVLAYYISGGHGHSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERVRLAGYARSERAFLEYGNVHVVGKGDPVDGRGASAVAAVLRGAAVLLRVGADWDWLITLGASDYPLLAPDDLLYAFSSVPRGLSFIDHRMDSDGADAVVVDQNLIQSTNAEISFSLGQRAKPDAFELFKGSPRPILSRDFVEYCVVAPDNLPRTLLLYFTNSLSPMEFYFQTVMANSARFRNSTVNHNLRHTVAQLDGAPASQGVDQQQASRYDATVGSGAAFAGVFGDDDDAVLQRIDEEVLGRSLDGVTPGEWCAGDGEECTVGGNIDVIRHGVKGRRLATLMADLVGGVGPCDGCSK >LPERR01G28100.1 pep chromosome:Lperr_V1.4:1:24125985:24132882:1 gene:LPERR01G28100 transcript:LPERR01G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENAAPTVAGRRGRGRGGRGGGRATGRGRGGGRGRGVPVIDLDPDQPCEVLPGAAVGGGTAGGRQHIEELADKVVKMDGGSAEKVGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRITGGTERTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYSSLNGCYGIPGVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMSPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSNEEKKLFLIDLGLASRWKETSSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMKFDEEPNYPKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSTNLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWEHNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTHALYTNSGLSIFATELMSGKPAI >LPERR01G28110.1 pep chromosome:Lperr_V1.4:1:24135928:24156574:1 gene:LPERR01G28110 transcript:LPERR01G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSSMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILATLRDHAEMTDEIVQLKTLQTILIVFQSHLQPESEENMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVLAESLPSSKASAARLSSRASSVADNVTRSFSQTLSLRSNSVEPTMREKLSDVGKLGLRLLEDLTALAAGGSVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDSSNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPKCELGSTECCGQLALLCAAMVNSSWLTILDSLSLILTRSIFTPAFPITVNYVPFLMLLLMENLIDRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGDKRSIILSPGSKKVEMFVDQRDSIILTPKNVQVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQELNQFGIKLLLIILRASVQHLIIFKRIKAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSVEKANGTNEEAESGGTKKEAIVSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSKDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAIMHLMIKSSMNPTDYDNELGSIPALQRGILEIIPMLRPTTMLSSMWSSLLLELLSYLNSHDAPLQKQGKEMHEQKSDSANVERSHLSNSSTKMDNAVSCGWGTLFVEKLVPIVVNLFLEAPQNERFSASPEVIQCLGRCMNTRRDNPKCTLWRVSAECFNRIVVDEVTHNSADFKSGMSSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMTVLTVFGDNVLKLQKDAPVEVLQRLVNCLDRCASRTGSLPLQTVGLLYFRDKLVCYSERPLPSVRIEETICVLQELARLIINMETSNALSMPLYIKEALQKNESYGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >LPERR01G28110.2 pep chromosome:Lperr_V1.4:1:24135928:24156574:1 gene:LPERR01G28110 transcript:LPERR01G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSSMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILATLRDHAEMTDEIVQLKTLQTILIVFQSHLQPESEENMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVLAESLPSSKASAARLSSRASSVADNVTRSFSQTLSLRSNSVEPTMREKLSDVGKLGLRLLEDLTALAAGGSVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDSSNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGEACGVLRAIEPLNSFLASLCKFTINNPNEGDKRSIILSPGSKKVEMFVDQRDSIILTPKNVQVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQELNQFGIKLLLIILRASVQHLIIFKRIKAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSVEKANGTNEEAESGGTKKEAIVSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSKDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAIMHLMIKSSMNPTDYDNELGSIPALQRGILEIIPMLRPTTMLSSMWSSLLLELLSYLNSHDAPLQKQGKEMHEQKSDSANVERSHLSNSSTKMDNAVSCGWGTLFVEKLVPIVVNLFLEAPQNERFSASPEVIQCLGRCMNTRRDNPKCTLWRVSAECFNRIVVDEVTHNSADFKSGMSSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMTVLTVFGDNVLKLQKDAPVEVLQRLVNCLDRCASRTGSLPLQTVGLLYFRDKLVCYSERPLPSVRIEETICVLQELARLIINMETSNALSMPLYIKEALQKNESYGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >LPERR01G28120.1 pep chromosome:Lperr_V1.4:1:24158604:24163091:1 gene:LPERR01G28120 transcript:LPERR01G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQANIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRIIRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVIPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGLGGDK >LPERR01G28130.1 pep chromosome:Lperr_V1.4:1:24162984:24164491:-1 gene:LPERR01G28130 transcript:LPERR01G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRDFGEYDDDAAGALRRFVREAGGCAVVDGGLATELEEHGADLQDELWSARCLVSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQARGLSREQSEALLRRSVHIAQEARAIFADGWSKGPHATRGRSSPRRRPVLVAASVGSYGAYLADGSEYTGDYGRSVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKMEAEAYAELLEENDIRIPAWFSFTSKDGRSAASGDPITECAAVADSCARVAAVGVNCTAPRLVHGLILAIRKVTSKPVAVYPNSGETYVAETKEWVVSEGGASETDFVSCVGKWRQAGAALVGGCCRTNPATVRAISRALHAEAAADDDDYADDVDGFPVMAVL >LPERR01G28140.1 pep chromosome:Lperr_V1.4:1:24167180:24173939:-1 gene:LPERR01G28140 transcript:LPERR01G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLNPLLSPFSHXQRRHVVEGERTAERHPLVLELHPEPQPRAAAPARRAPLSEPDVGISRFASAVPGFRGALKQLYSDFVVHEVALDGSLVRLTSFDLPDGERVDAKEGEVDEAQALESFRLLCGDTDSEALKGFLERVSKGGDSDVSPIILSADADKAHRSEVHDFFKRNFESLLTDTVEHSDGIQRCIRVRLKPGRRERRVVGGKGRNMKGTGSSGWRDDRPFDSRGSVIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNKKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVIAESEDVIKAAVDGLIKRGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLVLDPREGDILEHGDVGTALRNFPRYLITERAIRLMKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYKVESPFQQKAAKFTSEDDGQTDSSEMSTCETLPEEMIQSVKVVDSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAKKDGINLTESVHGVKDLVTYIDEKTPLVETDLDVMSKKNPLEVNELLSDGISSCTSHDSGLEDPLDASGSTIGANLVEASLDASESTNESSLVEVKSIGSADLLKKLAVKLAFTLPASSYATMAIRELMKTSTSQQELKKNSRIAQHSTFTTASGMTLHYTSIS >LPERR01G28140.2 pep chromosome:Lperr_V1.4:1:24167180:24173939:-1 gene:LPERR01G28140 transcript:LPERR01G28140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLNPLLSPFSHXQRRHVVEGERTAERHPLVLELHPEPQPRAAAPARRAPLSEPDVGISRFASAVPGFRGALKQLYSDFVVHEVALDGSLVRLTSFDLPDGERVDAKEGEVDEAQALESFRLLCGDTDSEALKGFLERVSKGGDSDVSPIILSADADKAHRSEVHDFFKRNFESLLTDTVEHSDGIQRCIRVRLKPGRRERRVVGGKGRNMKGTGSSGWRDDRPFDSRGSVIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNKKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVIAESEDVIKAAVDGLIKRGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLEHGDVGTALRNFPRYLITERAIRLMKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYKVESPFQQKAAKFTSEDDGQTDSSEMSTCETLPEEMIQSVKVVDSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAKKDGINLTESVHGVKDLVTYIDEKTPLVETDLDVMSKKNPLEVNELLSDGISSCTSHDSGLEDPLDASGSTIGANLVEASLDASESTNESSLVEVKSIGSADLLKKLAVKLAFTLPASSYATMAIRELMKTSTSQQELKKNSRIAQHSTFTTASGMTLHYTSIS >LPERR01G28140.3 pep chromosome:Lperr_V1.4:1:24167180:24173939:-1 gene:LPERR01G28140 transcript:LPERR01G28140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLNPLLSPFSHXQRRHVVEGERTAERHPLVLELHPEPQPRAAAPARRAPLSEPDVGISRFASAVPGFRGALKQLYSDFVVHEVALDGSLVRLTSFDLPDGERVDAKEGEVDEAQALESFRLLCGDTDSEALKGFLERVSKGGDSDVSPIILSADADKAHRSEVHDFFKRNFESLLTDTVEHSDGIQRCIRVRLKPGRRERRVVGGKGRNMKGTGSSGWRDDRPFDSRGSVIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNKKLIGIKVGDFSYVKEGLALGQLMGNRFTITLSGSVPNHQVGAALLRGEWRNAVQLVLDPREGDILEHGDVGTALRNFPRYLITERAIRLMKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYKVESPFQQKAAKFTSEDDGQTDSSEMSTCETLPEEMIQSVKVVDSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAKKDGINLTESVHGVKDLVTYIDEKTPLVETDLDVMSKKNPLEVNELLSDGISSCTSHDSGLEDPLDASGSTIGANLVEASLDASESTNESSLVEVKSIGSADLLKKLAVKLAFTLPASSYATMAIRELMKTSTSQQELKKNSRIAQHSTFTTASGMTLHYTSIS >LPERR01G28150.1 pep chromosome:Lperr_V1.4:1:24175022:24184857:1 gene:LPERR01G28150 transcript:LPERR01G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSTPRLGAGAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMADSSVSHAVYRKAINAAYISSIFLKFIIENAKSDNWQELSLDIDKDEKGLETFPSEQTVEYFLMRGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNGSHPAFSDDGGPGVLQRVGSAAANFVLLPYYTFNYLVSSSPESASSQLAENSLLVLLILIHYRKCIMMKESIPSSNVYTSDLNTNVKDAPTFHDNPYYKALNNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSHKYTKLAELKNDKVIKVVSDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGLKMFTQLRFTYEQESHPEEFFIPYAWRVVLSRGYTDGK >LPERR01G28160.1 pep chromosome:Lperr_V1.4:1:24177180:24186131:-1 gene:LPERR01G28160 transcript:LPERR01G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDDGEFVGARLDSGLRAARFASPPSAEEFADAIEPRNAPAVFRGVVKDWKASFRWDPRHGGLDYLLEKVGPDVDVEAMISSTGHVFYGDLRSHERVLVPFSKFIHSCRSYLRNPNASSDSSKAQEILNNPTASETGCSGSLDSCDQVYLAQVSILNNENKERCSLEVLKEDIKEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPYLYPMPVYGEASNHSSVSIEEPDYSSYTRAKHMKEYSERVILNCGDALFIPEGWYHQVDSDDFTIAINFWWKSRIMTEILVDKEMNKMVQESSVCHSKGSTVIQPMDEAKGGFEQLNLHSQGADSDTSDKKDSPLQTLEPSTLQALYELISLVHNSVELVSQNEVSEPTSQNESYNQSNERKKITADDSSLLEKDAIAKIMLPLEPLELQSMLLVMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYNVFDDQYAAMDSLLNGKEFFSFQTLLLGMDSFIMMHFR >LPERR01G28160.2 pep chromosome:Lperr_V1.4:1:24181477:24186131:-1 gene:LPERR01G28160 transcript:LPERR01G28160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDDGEFVGARLDSGLRAARFASPPSAEEFADAIEPRNAPAVFRGVVKDWKASFRWDPRHGGLDYLLEKVGPDVDVEAMISSTGHVFYGDLRSHERVLVPFSKFIHSCRSYLRNPNASSDSSKAQEILNNPTASETGCSGSLDSCDQVYLAQVSILNNENKERCSLEVLKEDIKEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPYLYPMPVYGEASNHSSVSIEEPDYSSYTRAKHMKEYSERVILNCGDALFIPEGWYHQVDSDDFTIAINFWWKSRIMTEMLEHMDAYYLRRILSRLVDKEMNKMVQESSVCHSKGSTVIQPMDEAKGGFEQLNLHSQGADSDTSDKKDSPLQTLEPSTLQALYELISLVHNSVELVSQNEVSEPTSQNESYNQSNERKKITADDSSLLEKDAIAKIMLPLEPLELQSMLLVMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYNVFDDQYAAMDSLLNGKEFFSFQVFQNVLEQYIGVHADRPN >LPERR01G28160.3 pep chromosome:Lperr_V1.4:1:24181477:24186131:-1 gene:LPERR01G28160 transcript:LPERR01G28160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDDGEFVGARLDSGLRAARFASPPSAEEFADAIEPRNAPAVFRGVVKDWKASFRWDPRHGGLDYLLEKVGPDVDVEAMISSTGHVFYGDLRSHERVLVPFSKFIHSCRSYLRNPNASSDSSKAQEILNNPTASETGCSGSLDSCDQVYLAQVSILNNENKERCSLEVLKEDIKEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPYLYPMPVYGEASNHSSVSIEEPDYSSYTRAKHMKEYSERVILNCGDALFIPEGWYHQVDSDDFTIAINFWWKSRIMTEILVDKEMNKMVQESSVCHSKGSTVIQPMDEAKGGFEQLNLHSQGADSDTSDKKDSPLQTLEPSTLQALYELISLVHNSVELVSQNEVSEPTSQNESYNQSNERKKITADDSSLLEKDAIAKIMLPLEPLELQSMLLVMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYNVFDDQYAAMDSLLNGKEFFSFQVFQNVLEQYIGVHADRPN >LPERR01G28160.4 pep chromosome:Lperr_V1.4:1:24177180:24186131:-1 gene:LPERR01G28160 transcript:LPERR01G28160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDDGEFVGARLDSGLRAARFASPPSAEEFADAIEPRNAPAVFRGVVKDWKASFRWDPRHGGLDYLLEKVGPDVDVEAMISSTGHVFYGDLRSHERVLVPFSKFIHSCRSYLRNPNASSDSSKAQEILNNPTASETGCSGSLDSCDQVYLAQVSILNNENKERCSLEVLKEDIKEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPYLYPMPVYGEASNHSSVSIEEPDYSSYTRAKHMKEYSERVILNCGDALFIPEGWYHQVDSDDFTIAINFWWKSRIMTEMLEHMDAYYLRRILSRLVDKEMNKMVQESSVCHSKGSTVIQPMDEAKGGFEQLNLHSQGADSDTSDKKDSPLQTLEPSTLQALYELISLVHNSVELVSQNEVSEPTSQNESYNQSNERKKITADDSSLLEKDAIAKIMLPLEPLELQSMLLVMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYNVFDDQYAAMDSLLNGKEFFSFQTLLLGMDSFIMMHFR >LPERR01G28180.1 pep chromosome:Lperr_V1.4:1:24198456:24201461:1 gene:LPERR01G28180 transcript:LPERR01G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETDQGFDRTIDHLDGSPCLNNTNDKGKNGIKALDKSKLVKDPVKPNGGNEQMVSIKRDSSIKSKDLDDKNKGSLKDYSLTQADYQSSCKPSTQDDGSLNIEETETATNSTETTAPVSTTIKDTTRNKDNPTFVEKIRHVHETTERCEEINIGNSQSLLKENIKGPLEDEKLEMGNDRIGEHTVEKLYQGQTGVLTVENPLMPIQGGSTSSTETITTDYLDADDSDIKEVVIEDEATSRGNSSHVRLEDDTNLKKSKNDVARISEEKEDIYEVSQRATVEDEMGSCEVIDEDKEIHGLKNQDEDTSGALDIGEAISAFQPSLTDTSATNVKELERHEVNKKGDDIAGEIPDSLTGTHTEQERGVKATGVKDPPDNSSEESDSTHDVVSLVEVNGKDYSGLNSFLPYHLPIVNEEKVQTEIREGLFRPSSPLQVIEDFHKRDKKVDNPYNNEETIISTYEVKPANIQDTQDASQFDKPQQMLLEEPELVKFENSGIGSSCMQLVENSSKTGIFFPHGSKQEKDSASTTVIDFISKPNPENVMVPSPRRDVSEETPLLQMVDNMSSFSFSNEQHSKVVECIPMTSISLMQVKDDANKEYEKSPLLSPREQQGGDFVEPNHSVRNKKPIQSLMTGESVGMRSPFKEQELVPNNSTMVSSPTSKGKQKPRSSLFASCMCCATATN >LPERR01G28180.2 pep chromosome:Lperr_V1.4:1:24198472:24201463:1 gene:LPERR01G28180 transcript:LPERR01G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETDQGFDRTIDHLDGSPCLNNTNDKGKNGIKALDKSKLVKDPVKPNGGNEQMVSIKRDSSIKSKDLDDKNKGSLKDYSLTQADYQSSCKPSTQDDGSLNIEETETATNSTETTAPVSTTIKDTTRNKDNPTFVEKIRHVHETTERCEEINIGNSQSLLKENIKGPLEDEKLEMGNDRIGEHTVEKLYQGQTGVLTVENPLMPIQGGSTSSTETITTDYLDADDSDIKEVVIEDEATSRGNSSHVRLEDDTNLKKSKNDVARISEEKEDIYEVSQRATVEDEMGSCEVIDEDKEIHGLKNQDEDTSGALDIGEAISAFQPSLTDTSATNVKELERHEVNKKGDDIAGEIPDSLTGTHTEQERGVKATGVKDPPDNSSEESDSTHDVVSLVEVNGKDYSGLNSFLPYHLPIVNEEKVQTEIREGLFRPSSPLQVIEDFHKRDKKVDNPYNNEETIISTYEVKPANIQDTQDASQFDKPQQMLLEEPELVKFENSGIGSSCMQLVENSSKTGIFFPHGSKQEKDSASTTVIDFISKPNPENVMVPSPRRDVSEETPLLQMVDNMSSFSFSNEQHSKVVECIPMTSISLMQVKDDANKEYEKSPLLSPREQQGGDFVEPNHSVRNKKPIQSLMTGESVGMRSPFKEQELVPNNSTMVSSPTSKGKQKPRSSLFASCMCCATATN >LPERR01G28190.1 pep chromosome:Lperr_V1.4:1:24203658:24213722:1 gene:LPERR01G28190 transcript:LPERR01G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGPDAMQPARRRRRRQGFLPFAALLLLLAAALLPGAAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMKIQSYGDQLLHLAVDLAQRLLPAFDTPTVYEQVTKNSVRGIWANRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMQYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDHRYLDIGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLEEHCLYAGAFCNGSAGHGYGTRDSSSSRQNANPVPFDDSRTPSGQYLSNVLSTTRGYIKGVCPGLTHAQKLGISYSAEEDNFTDQNSKSHENPDESIVMKSSVEAQSSSVILISHPASSQTEEPVTSRSHNANMVATADSVVTATADFVVTDTAGSSSSQGYPEDLVEETENVSEQTEINDEGVTSQDYQPKEDT >LPERR01G28190.2 pep chromosome:Lperr_V1.4:1:24203658:24213739:1 gene:LPERR01G28190 transcript:LPERR01G28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGPDAMQPARRRRRRQGFLPFAALLLLLAAALLPGAAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMKIQSYGDQLLHLAVDLAQRLLPAFDTPTVYEQVTKNSVRGIWANRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMQYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDHRYLDIGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLEEHCLYAGAFCNGSAGHGYGTRDSSSSRQNANPVPFDDSRTPSGQYLSNVLSTTRGYIKGVCPGLTHAQKLGISYSAEEDNFTDQNSKSHENPDESIVMKSSVEAQSSSVILISHPASSQTEEPVTSRSHNANMVATADSVVTATADFVVTDTAGSSSSQGYPEDLVEETENVSEQTEINDEGVTSQDYQPKEDT >LPERR01G28190.3 pep chromosome:Lperr_V1.4:1:24213160:24213668:1 gene:LPERR01G28190 transcript:LPERR01G28190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTPSRIHPSRLLLSPRLSPVPSVDILSSTSAIAGAAVAAMASSDAPAPFTAAGVTASLASLEAFGLERTARATTEVAAAALTVAMSVALPF >LPERR01G28200.1 pep chromosome:Lperr_V1.4:1:24213090:24213572:-1 gene:LPERR01G28200 transcript:LPERR01G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATSVVARAVLSRPNALGLPQMRARRSEVRCSYSKDAKEAVTPAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLDEDEESGGLSL >LPERR01G28210.1 pep chromosome:Lperr_V1.4:1:24219779:24221904:1 gene:LPERR01G28210 transcript:LPERR01G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDANHPFDFVHDDELLVSLGFLLPPPLPPDHRGSAFSAYQCAASSSESTLSQRYRSAPANVHRRMHGYLRSIDVDVATVEMQPAAGEHAFEQQAAPSGGGSARFRHIMRERLRRERLSQGYSDLQAILPTSASTKGGKNIIVSAAASYIRELEGRKGWLRERNEELKRAPAPPMKPAGAGMVVKVRAESEFGSMVDVFEVVLRRLKAMEELQVTAIQSCFCAGGMWMDVAVESKISSHEVDKAVTNALVGLQEIESSCLQGQKISSRSSFSCQVESGVLLMS >LPERR01G28210.2 pep chromosome:Lperr_V1.4:1:24219779:24221903:1 gene:LPERR01G28210 transcript:LPERR01G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDANHPFDFVHDDELLVSLGFLLPPPLPPDHRGSAFSAYQCAASSSESTLSQRYRSAPANVHRRMHGYLRSIDVDVATVEMQPAAGEHAFEQQAAPSGGGSARFRHIMRERLRRERLSQGYSDLQAILPTSASTKGGKNIIVSAAASYIRELEGRKGWLRERNEELKRAPAPPMKPAGAGMVVKVRAESEFGSMVDVFEVVLRRLKAMEELQVTAIQSCFCAGGMWMDVAVESKVQRTRVLRM >LPERR01G28220.1 pep chromosome:Lperr_V1.4:1:24225637:24231507:1 gene:LPERR01G28220 transcript:LPERR01G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPRPPPPWETLMDALVREIAIRLPCKFDRVRFVTVCKPWRESLSLPRLPAPDPPPALPLLILPLNGDEGPAATVSCVLSDHATHRVAVPGWARRGRYIGAYDGGWVMFHVPPPQDPHGHFLLNLDRHIALPNRYIALEAGEDGEILQGPPRPMSIVAATLSSQPDIGGCVFAGIVNIGPVRPMIAFWRAFDMLVPAVFEHHHGGVPWQVEDVVHHHGAFYFLTQGEHIIVGEPDFQGWDEEIPGVNWELRHFLPNGRAYEQYREARYLVESGEDLLMVVRCSPHPGQPTSSFKVFQMAQPDPDPKNPPADDIYIWKELHSLEGRMLFVGRGCSRSYRVDQYPGFFKEGIYFFDDQAIHNPVVPQQDGAPRYRCSDCGKWAKTPAPLGHVERVFPAQGPSNYSPQDSFDYLWLTGYLLNIHELVLLHFANGRMLRHYLFRGKGKLNIMIMDRTLQSNLLPANGFLGHHLFTLIVSSSWKGCVVAGFVHDNGPVPGHDRQKIAFWGIYDDAVIGYFFACSASYASLASTSNDFRSSFFD >LPERR01G28230.1 pep chromosome:Lperr_V1.4:1:24234606:24237299:-1 gene:LPERR01G28230 transcript:LPERR01G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQLGTIWFRVPDRDTLLLQQPIILAYAHCSLLFEYELDYTTDGRFNRRVDVSKCFSYLSGIHVQPSSPQPTDPPTPATAVEQRSDARRRRGIGGGRGSVARAWSGNFTFEDKSSAINQNTGVNERLTEMIMKWYSPGQKLAVAKPEYKTIIEERLKVPFWHDANVMELMWGIQYCMPILVPREKSQLTELDCFPVSQGLQKVLSRYGCNDIKPEMVNERIVATASALFECDSVEKRHSKALRFVGRLMKRVSGINTDDWSLLKIAIALKRIWWRPEPCDSCETISSLENAKLVINAEEYEIDLRKEACWE >LPERR01G28230.2 pep chromosome:Lperr_V1.4:1:24234219:24237518:-1 gene:LPERR01G28230 transcript:LPERR01G28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGRGLSPPSAMKRRPATAVEQRSDARRRRGIGGGRGSVARAWSGNFTFEDKSSAINQNTGVNERLTEMIMKWYSPGQKLAVAKPEYKTIIEERLKVPFWHDANVMELMWGIQYCMPILVPREKSQLTELDCFPVSQGLQKVLSRYGCNDIKPEMVNERIVATASALFECDSVEKRHSKALRFVGRLMKRVSGINTDDWSLLKIAIALKRIWWRPEPCDSCETISSLENAKLVINAEEYEIDLRKEASALFLCLVLETIRPKRRKRIAVAARHRRRH >LPERR01G28230.3 pep chromosome:Lperr_V1.4:1:24234219:24237518:-1 gene:LPERR01G28230 transcript:LPERR01G28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGRGLSPPSAMKRRLDENFTFEDKSSAINQNTGVNERLTEMIMKWYSPGQKLAVAKPEYKTIIEERLKVPFWHDANVMELMWGIQYCMPILVPREKSQLTELDCFPVSQGLQKVLSRYGCNDIKPEMVNERIVATASALFECDSVEKRHSKALRFVGRLMKRVSGINTDDWSLLKIAIALKRIWWRPEPCDSCETISSLENAKLVINAEEYEIDLRKEASALFLCLVLETIRPKRRKRIAVAARHRRRH >LPERR01G28230.4 pep chromosome:Lperr_V1.4:1:24234606:24237518:-1 gene:LPERR01G28230 transcript:LPERR01G28230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGRGLSPPSAMKRRPATAVEQRSDARRRRGIGGGRGSVARAWSGNFTFEDKSSAINQNTGVNERLTEMIMKWYSPGQKLAVAKPEYKTIIEERLKVPFWHDANVMELMWGIQYCMPILVPREKSQLTELDCFPVSQGLQKVLSRYGCNDIKPEMVNERIVATASALFECDSVEKRHSKALRFVGRLMKRVSGINTDDWSLLKIAIALKRIWWRPEPCDSCETISSLENAKLVINAEEYEIDLRKEACWE >LPERR01G28240.1 pep chromosome:Lperr_V1.4:1:24238333:24242086:-1 gene:LPERR01G28240 transcript:LPERR01G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGVTTVVPKLAVYGDGRVHEIERFSHYVAKQIGIEDVNECPHLCTLAYDYLKKTKGYEQNLLAFFHNKINSDALLVKLIEELDKCILGYFSFHWKCATQVITQVLTAEQPRRKLKSIVLEATRKMRFERVARELKVTRLFSTLMEELKVIGVNCNDGHPRNGGPEVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAKAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARCVHRQRYRMGVGYRVAADGTTTEQYWEPVDDDDGAAAEPSNRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCELVDSASLYSTNTIAGAKLIGWKDVGSRLLVDEEEIGILDRVSRINEEANGVHELYPDGHPADGAGSVWEELVASPGRAAIQQELREAILDSEACFPSP >LPERR01G28250.1 pep chromosome:Lperr_V1.4:1:24244285:24248123:-1 gene:LPERR01G28250 transcript:LPERR01G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSELVWSPDEGLSIKIAASSISTRKASLRWNADTLSIVISSPQQSGGGGESGDLVDATLEDAEKMPSQLLTRSDSSARVFMASPTRIRNLDAQQSTSIRSHGQDSKCCGGMDVMNEGKETSNNFWVDKLEREDEVGSCPTRYCTDTSQSLDSASRKEVMPIIAEKQAYCATTVHDERSWAANAWRARLVKAISQNDSVLPKNADNIHSSSAFGEIGTSVNLPGKLTGMLGNRNDSSQDHVMQDNHKDGPVARCDSASAVNPVARCELPSGVNHSARYESISGCNPRKLEKGKEKLMYDDSNHISNTNEGDDSNESIESCPSTKSPKRKHAQFSADEMLSRNKTYKREDNESSCSGLFDKHDSSFFNWMSTLTNGVKVFDETTDVPLIQKFSAATGEEFPANPVSLQNNSGVPLQSVGFNSLFQSLYSHNVTITSRNICQQSESSYTANKRTSGLESNKPISMGRETLDVAADTLAVDRIQMDSDGDKGTFQNQIGIFPLRAERNGFHGNSSNAAMGNKGDFSESLWVSRLLKKTPMKLVDSTHCNEESDFCAVSPKGLGDSSSPQNFNVEKELNNAQCFSNKGSENESTSSKCAAPQDDNKPSETMASIFAKRLDALRHATTSAVRVAITCDHEMSKGRNHRTRSFVVSYNSHDEQESGQKTHNSSGGEGRIVLWIGDKGKEQLSPLSDEELGKKVLPEREYKNCGGSSDGKAVAPKCNLANVYVEDTDLKRVQNKEGASNSMENQPDNKQLVPYGIVPNDVCDESSAVFGALQRLRLSRSDIIRWLRSPVMNTTLDGFYLRLRFGKWEEALGGTGYHVARINGVLDRNRLSVTIRNSTCQVDSRFVSNHDFHEDELKAWWSAAMKSGWKLPSKEELNTKLRERDLLRF >LPERR01G28260.1 pep chromosome:Lperr_V1.4:1:24256737:24265510:1 gene:LPERR01G28260 transcript:LPERR01G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSALLEWILMLLLFIDAIYCFLITKFARLCRLPVPCPFCSRLDHVLGNENPCFYRELICKTHKSEISSLAFCSLHQKLARTHNMCERCSDSLDESEKASNDNRSDEQMMDSNNGNDAVLLSHVTRICSCCAQNYTPHRSTALSQMITKLEPADDVCSPKLCRDYSIPCQVDKSLEPKDIYHQSDRSSHERFSVLQMISDSEDEIPCIDDGKYSHHYETNCMDKDLHEDVTAERLSIPPTEPVKPSEMNVPMEPNVSDSHESSPHVLADDHPDSLIGGSQTEAKGVSLGRQISQHDALVVIEESSLTDANVPQVPVASSAESPQNLGYNEASHRVSGGTIDPYSLEFTTLEQRTAVSEHNSTKDDLEGHRSEITVTSSSDRHQKSALIDNDPVNFRDGHVSHISSSSEVVDEDEYCAKIAEQTCDMVTHELTLEDPSNTASKDPIAKGFVEEAILSPHAIRPSGEVSQGLDVIEEHPQTNATIGERRPSLSTQISMNEAYKLAVGAKSSLSSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLESTWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSIVSEMEGETAIERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDEKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRIQFAGEQTEKQINQVTFKEDYTAEILLDESDLEVPVISTPSGINSLASFEDERAYIENCLRKLEQKLHSYSNNSTSITLSNPDVIEDYLSNKMPVVDDDSLQGQENSRDTQESISLGKDDQSSTVNRETDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRTGKEGVMFIQEIASNLRKLRSFAVDSK >LPERR01G28260.2 pep chromosome:Lperr_V1.4:1:24256737:24265510:1 gene:LPERR01G28260 transcript:LPERR01G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSALLEWILMLLLFIDAIYCFLITKFARLCRLPVPCPFCSRLDHVLGNENPCFYRELICKTHKSEISSLAFCSLHQKLARTHNMCERCSDSLDESEKASNDNRSDEQMMDSNNGNDAVLLSHVTRICSCCAQNYTPHRSTALSQMITKLEPADDVCSPKLCRDYSIPCQVDKSLEPKDIYHQSDRSSHERFSVLQMISDSEDEIPCIDDGKYSHHYETNCMDKDLHEDVTAERLSIPPTEPVKPSEMNVPMEPNVSDSHESSPHVLADDHPDSLIGGSQTEAKGVSLGRQISQHDALVVIEESSLTDANVPQVPVASSAESPQNLGYNEASHRVSGGTIDPYSLEFTTLEQRTAVSEHNSTKDDLEGHRSEITVTSSSDRHQKSALIDNDPVNFRDGHVSHISSSSEVVDEDEYCAKIAEQTCDMVTHELTLEDPSNTASKDPIAKGFVEEAILSPHAIRPSGEVSQGLDVIEEHPQTNATIGERRPSLSTQISMNEAYKLAVGAKSSLSSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLESTWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSIVSEMEGETAIERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDEKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRIQFAGEQTEKQINQVTFKEDYTAEILLDESDLEVPVISTPSGINSLASFEDERAYIENCLRKLEQKLHSYSNNSTSITLSNPDVIEDYLSNKMPVVDDDSLQGQENSRDTQESISLGKDDQSSTVNRETDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRTGKEGVMFIQEIASNLRKLRSFAVDSK >LPERR01G28260.3 pep chromosome:Lperr_V1.4:1:24257773:24265510:1 gene:LPERR01G28260 transcript:LPERR01G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSALLEWILMLLLFIDAIYCFLITKFARLCRLPVPCPFCSRLDHVLGNENPCFYRELICKTHKSEISSLAFCSLHQKLARTHNMCERCSDSLDESEKASNDNRSDEQMMDSNNGNDAVLLSHVTRICSCCAQNYTPHRSTALSQMITKLEPADDVCSPKLCRDYSIPCQVDKSLEPKDIYHQSDRSSHERFSVLQMISDSEDEIPCIDDGKYSHHYETNCMDKDLHEDVTAERLSIPPTEPVKPSEMNVPMEPNVSDSHESSPHVLADDHPDSLIGGSQTEAKGVSLGRQISQHDALVVIEESSLTDANVPQVPVASSAESPQNLGYNEASHRVSGGTIDPYSLEFTTLEQRTAVSEHNSTKDDLEGHRSEITVTSSSDRHQKSALIDNDPVNFRDGHVSHISSSSEVVDEDEYCAKIAEQTCDMVTHELTLEDPSNTASKDPIAKGFVEEAILSPHAIRPSGEVSQGLDVIEEHPQTNATIGERRPSLSTQISMNEAYKLAVGAKSSLSSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLESTWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSIVSEMEGETAIERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDEKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRIQFAGEQTEKQINQVTFKEDYTAEILLDESDLEVPVISTPSGINSLASFEDERAYIENCLRKLEQKLHSYSNNSTSITLSNPDVIEDYLSNKMPVVDDDSLQGQENSRDTQESISLGKDDQSSTVNRETDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRTGKEGVMFIQEIASNLRKLRSFAVDSK >LPERR01G28260.4 pep chromosome:Lperr_V1.4:1:24263296:24265323:1 gene:LPERR01G28260 transcript:LPERR01G28260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHALHTKLAQLYSEWEQHIVNSKIWRQSVLIIHTVRNRENGVRSGEQSPDQASELGYSQMYSVILLRFPFISFSRSQASSSSRISSSCLFKATAS >LPERR01G28270.1 pep chromosome:Lperr_V1.4:1:24261755:24270917:-1 gene:LPERR01G28270 transcript:LPERR01G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVRGRNTSRRKLVSPTRQNINSPFQIYQSPFSLLPFSSRRRRRRRSRVSGRRRSGLCSPPSPDTGCRRKTRIQVLCDYDYLMEHDMTVEDFIATTGSGASGLIETKDHSVSSSSLSECRSSEHIEDGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAVDQSTRHRMSREKSDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALEYQSRSKKGIVNSVEFEELPVPMVHVHVDMFVLAGDVITLLERAALEPLPCQPLSPKDDKCSQSRTKDGSTGEVNKVSIEREERRLTELGQKILETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRNSITEKEKRTKKKQAKQKKNNRKVKDKDREEKSDSNFPERIQGETTIHDREDSKQLGLLPIKVDTSEEGASDMSDNLEGPIEIQKKHSTVENKSLSGSSESATMSTAQGKRNNLLDSKDQISRNRGKAQRSRSISNMNITEDVDDLPSSTTSSDRNTSGCSPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRRLLQAHLEKKAAAEAATGSSSSHSSNSLEETPEILKNTDQSSVIISDADINASSPKSVAVATSDSSKGVTPATPTTILSTESLLPTVAPTPSKAEPVLCEEHVSCSTPQIDTPITSSPLEVDKTATVSSGLLPVDQAIPAPSKSPAPQVDDVSKAIAAPPKSPAQQVDKASIAVLVPPKSTATQGEKVTKATPAPSKSPVPQLEKAAKVIPTPKPPAPLVDKANPLNPVSWQMPSSSNSEPREAIVPKKTTILSVSQTLTAVSRPSSAPLFQAPRSTLPPAPAVQVPPMLSRSMTVAGRSRNEPSPCVPSYAAQTYRNAIIGKSNLDITSASLDQSTSFGQSVTLPQPLSSYASAASAMVRPVGRNGQLPDKQGIMFGQGKSEAIDNWHPWKGDSDANKHMWKDDSPYHQMINGDTHARASKVNCYQQVSSSGTEEQGKFGGLQHRQFQREIQTNLGTHQLPGPVGEEFPHLDIINDLLEEEQSIGSMAESTLHGYHTFGLPLSSRGNLVDSEVASASSSGRFNLTDHYYDEGYPVASDRLNALYRLREGQFSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMRDYTNLGSGRVNAEYLYRRANGQW >LPERR01G28280.1 pep chromosome:Lperr_V1.4:1:24277646:24280546:1 gene:LPERR01G28280 transcript:LPERR01G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTTRAYYSHFYHAPIDKFHQAIRAHPVLQECLFAKQIPRILRGQRRKPMDMALGIHN >LPERR01G28290.1 pep chromosome:Lperr_V1.4:1:24278810:24281446:-1 gene:LPERR01G28290 transcript:LPERR01G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVLVSLIQAIAPLLTSTATDQGRQLLATGRDVGWLRDELHNMQNFLHEMEVSRIEGKGSMATEAWIGQMRDIMLDSEDIIDIFDAGHVDGCCVLGHLRTRHDLGVQIRRIQTQLSDISRRRTEYAVQRPTEVSDRWIHGLLASSPLVHDKDIIGLDRDLAMLLRYILDDGMELSVVSLVGMGGVGKTTLAKKVYNHPDVKKHFDRCSWIYVSNTMELGNVLRELAKGLMRIPSGEVSSLSEKQLQELLLSGLDNKRFFVVFDDVWDTGLWDVIKLVLPRKDHGSKVLVTTRNAVVADSVVDTKSHVHRLPPLSSEDSWNLFCKKAFLQDGMCPDGLKETAKVIVKKCNGLPLAIVAAGSMMSRRERTDTAWRHVLDNIQTDLSNSKMEVQQTLLLSYVDLPRPLKPCFLLLSVIPYDSEISRKKLVRLWIAEGFVKENADETLEISAEKYLMELINRSMVEVAIVSCSGRVKACRVHDLLHDLAIFLSKNDRFSTICHEKDASVSDRRISLQTSGVSFSNRNKKRLRSVFMFSNSAQDVLECNIVARSFRLVRVLDLEDGNVLELPNEIGGLLHLRYLGLRGTKLKKLPRTLHKLHQLQTLDIRRTHIKKITFEISCLRNLRHLEMKQGDHSIHVPIGLDLLYNLQVLTGLQASATVIHEISNIPKLKKLSIEDLKNGDAEKLCSSLKNLKELLYLSIFLSDGTDSLDLATFKPSSCLQKLHLSGSLRALPDWFSQLHSLTKLRLSFSQLQDDPLPILVRLPNLLFLQLNRAYQGRVMKCYCPGFSKLKIFIITELEGLEEWDVEEGAMPSIQEVWIMLCSKLTTVPSGFQSLATLQRLRLVGMPSSFLCRLGEHGDDFIRVKHIPSIEIIPRLG >LPERR01G28300.1 pep chromosome:Lperr_V1.4:1:24284478:24285870:1 gene:LPERR01G28300 transcript:LPERR01G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPVDLGAPSPYKALRNEDASMVSARDFVGDCCWRQGSQLLPMSIPIYFDKSTCWARIARLPL >LPERR01G28300.2 pep chromosome:Lperr_V1.4:1:24284478:24285951:1 gene:LPERR01G28300 transcript:LPERR01G28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPWSQREILWATAAGGRALSSYPCLSRSDACLIIVLLASMLASTTDKGLFKKFMDKIVVDETTRS >LPERR01G28310.1 pep chromosome:Lperr_V1.4:1:24302350:24306739:-1 gene:LPERR01G28310 transcript:LPERR01G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEIDLVISEPLAGSVQYEMFRGQRNGWAMARCLVFMVFLVSCLISTVGLPVEPADEVAMQLGGVGGGRLSVEPSDLTEASRDFGRLTMSEPLAVFHPRGAEDVAALVKAAYASPGGGIRVSARGHGHSISGQAQAPGGVVVDMSHGWRAEAAERALPVYSPDLGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGSRRFEYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAGTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRDKGMWEVPHPWLNLFVPASRIADFDSGVFRGVLGSRTAGGPILIYPMNKHKWDPRSSVVTPEEDVFYLVAFLRSAVPGATDPAKSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGERWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >LPERR01G28310.2 pep chromosome:Lperr_V1.4:1:24302350:24306184:-1 gene:LPERR01G28310 transcript:LPERR01G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVFMVFLVSCLISTVGLPVEPADEVAMQLGGVGGGRLSVEPSDLTEASRDFGRLTMSEPLAVFHPRGAEDVAALVKAAYASPGGGIRVSARGHGHSISGQAQAPGGVVVDMSHGWRAEAAERALPVYSPDLGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGSRRFEYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAGTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRDKGMWEVPHPWLNLFVPASRIADFDSGVFRGVLGSRTAGGPILIYPMNKHKWDPRSSVVTPEEDVFYLVAFLRSAVPGATDPAKSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGERWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >LPERR01G28320.1 pep chromosome:Lperr_V1.4:1:24327234:24330497:-1 gene:LPERR01G28320 transcript:LPERR01G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGLNGKNPISVGPWGGLGGTPWDDGVHSTVRQIVISHSAVIDFIKIEYDLKGNSVWSEKHGGDGGSKTDQVKLDHPQEILTSVSGYYGSAGNTVVVRSLTFVSNLSKYGPFGTEQGTPFSLPVTASGKVVGFHGRSGQYLHSIGCHFKKEKNAAPSSNAAPSALRSITRPHDRNGNRYADSDAGYDTVLAVRDRGDSYSVLTSSHSKEHYPSPSQDATIWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGVSGGARAEKIVFDFPSEILTHITGYFGSTMIMGSTVIKSLTFHTTKKSHGPYGDENGTFFSSCLTEGRIVGLHGREGWYIDSIGVHVLEGKVLSQRADRALTDTSPSRHADMLAVAQRDIGDEVTYGVVKEPIPVGPGPWGGDGGKPWDDGVYTGVKQIYIMRGDFIGSVQIEYDRSGQSIWSTRHGNSGQITHRIKLDYPHEVLTCIYGYYNTCPEEGPRVLRSITVVSSRGKYGPFGEEIGTYFSSATTEGKVVGFHGRSSQYLDAIGVHMQHWLGDRNRAAPASNSKYYISKYLF >LPERR01G28330.1 pep chromosome:Lperr_V1.4:1:24338074:24341589:1 gene:LPERR01G28330 transcript:LPERR01G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPSLLLLLVATASFTTSQDDDPPATSSQSNSNTSTFRGNLVTRLAALPRAAAPTGFASLSSLGSATGSDNIAFVRGLCRGVAAPADCLANLQVAARNLSGSCAPSRRAAVWFDKAYVAYADTNATTAFEEEFRAVLYDVRKVADPSGFARAYDALMSRLVARASGGGKMFATGQAVYASGDPSGTMYGLMQCMRDRTAAECERCLRDSLLLGAAGMGGAGLRLLPTYYDLALDAPPPDSAASQPPPRTLAEGKHGKRRSATVVLAVALSTGTLLVLILIAVLVVRCVHNRRRTKENEIHPVTDNASTQEGSMYVKPAQFTLPLLRVATGNFAEENKVGEGGFGEVFKGKLQDGQAVAVKRLSQRSSQGFHELKNELELVSKLKHRNLVQLLGVCLGEQEKLIVYEYLPNRSLDTLLFDSGGRRWHSLDWKRRYTIISGITRALQYLHEESRLRIIHRDLKPSNILLDAELNPKISDFGGYMPPEYAYCGHVSTKSDMFSFGVIVLEIVTGRRNNSPYEDDANHAPNLLSYVWDKWKAGLVAEAVDASLGGQYPRAEMLNCVQVGLLCVQKKPALRPDASAVALMLQDSNFTSSWQAPSRPAYYTGATASGSVTISGRRQRRNSAGDYYNDGGFPRKRRPVSTNGVTISELEPR >LPERR01G28340.1 pep chromosome:Lperr_V1.4:1:24343311:24347199:1 gene:LPERR01G28340 transcript:LPERR01G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALNRVLLILVLLATAVGRHGASGDGSFYGAKCTHASTWSPANHTEFRANVMALLTRLPSAAAPTGFASLRGEGSRGMEYIEGKLQDGQVVAVQRLSQLSWQGFQELNNELEFVSKGSNPSSVAILCNDGIPVQYKSISEFGISSIELVWDKWKAGLVAEAVDASLRGQYPHAEMLNCVQVGLLCVQEKRALRPNASAVALMLQDSNFTSSRQAPSRPAYFTS >LPERR01G28350.1 pep chromosome:Lperr_V1.4:1:24360845:24362627:1 gene:LPERR01G28350 transcript:LPERR01G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAALERLLLTLVLLAAAVGRHAASGDGSFYGAKCTQASTWSPANNTKFRANVLALLGRLPSAAAPSGFASLRSGSAAFARGICFGDQPPTQSCLDCLSAVAKKLTDEDGCDASQRGAAWSDGCFVHFADTNATSPYEETSRYRIQVVQTGAAAASSKNSAAFDATLVALAERLAPRAANASRLLLATSTTEGVPLASGGGSGTTTVRAMAQCMRDRSPADCARCVQRSARELGKCCWDVTGGVTAYVLGYNGHLRLDMEVFQSRLIGLK >LPERR01G28360.1 pep chromosome:Lperr_V1.4:1:24366862:24367966:1 gene:LPERR01G28360 transcript:LPERR01G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLSTSFVLIQLLVVGAMSSAANGSFFPQIDCSPPPITATTTMPSTRNGTRFRANLLKLLADLPDAAAKTGFASTAAGVHDGDRAFARGLCIGDGDGDTTPDQCRDCLAAAAMDVVATCGAASRRAGAWLPGCYLAYADTNTTSPDERGFHRWLVSGNVLPFSDNARPTFLDLSSGVVAAAARSASPRMMATQAFDDGAGATGLAGRVLAQCAPDRGAAECAQCLRDAARVMPGCCSQAQGRGESVAVVLSYDCVLQFDMHLASSSAPWAWIIFGTLCALLALTMGAVLGIILCVLIRVRGLT >LPERR01G28370.1 pep chromosome:Lperr_V1.4:1:24368570:24372294:-1 gene:LPERR01G28370 transcript:LPERR01G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATSGESASAPACPPAPSRPTDPDFLSYVLQPPTPSSSRPDADYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAVSSSAHSTPSNSGRWAPSPAHAALSEADSWSSSRDLRSNSGPLLRNISISSKQSDPERHIRFAEPAYSFVGMHCVFDDCKASVTILKFGRASSGLLAYGASDGSLTVCQVSDPPSVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTIRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLVCSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWNHGENLLASSDSDGTVIVWKRA >LPERR01G28380.1 pep chromosome:Lperr_V1.4:1:24376633:24382838:1 gene:LPERR01G28380 transcript:LPERR01G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGEGGRIEVRYEGNAVIGCLDEYDLEHEIAFVKVMTDLDVHELVPNHVAEFLPRGKVVSVGCDISGKLMTTDGMLISDSNGREDTKEFMLSTCKISEDWEGGALFDSDENLVGMNLFFVMGRSFFLPISMILERLEYFRTSHRRRKFFALAAKLKAVRCCILMPWFDHISFGEVLMLMLFRRVGGRLAADMPKSLLEDIRCEDQFECLDSMGYPKPSIVPSTYKPDGMVITNTFEETFGNILKVLLPNKKHTEGTLQHCNLHYNVALVSVKNFRALCPANLHHKQGNAGIGGPLVDFDGKYIGINFYDAIVGTPYLSWTSILRVLAHFDEERTIDKVGNGDSPSGVLDWKMAGDRSVRPNSWPVPQPYWCHPDKLPVKDDDSGSPVRRVYGYFNGIKFRYMC >LPERR01G28390.1 pep chromosome:Lperr_V1.4:1:24385853:24389160:-1 gene:LPERR01G28390 transcript:LPERR01G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAAAAAWWCAAGLLLCACLAGQTSEYRRQLGSAADMPLDADVFRAPPGHNAPQQVHITQGDHDGTAMIISWVTPIEPGSSTVHYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTLKKLKFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNITLAHYESNPKAQAVLFAGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETEPFKPYSHRYPTPYKASGSTAPYWYSVKRASAYIIVLASYSSYGKYSPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEQWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGLCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPSYSAFRESSFGHAILDIKNRTHAYYAWHRNQDGNAVAADSMWFTNRYWQPTDESFDDSQ >LPERR01G28400.1 pep chromosome:Lperr_V1.4:1:24395355:24404443:1 gene:LPERR01G28400 transcript:LPERR01G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVSVGGAADAEELFRTRRIPEIRAAESATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAVSGNLSRISDSLASLTPPPEPASAASPPQSGGGRVRLYASAARAKYLRLADRRLPVAAHADALAAAASIDAPSLTPSQALLLFLSSRRAWISQALTALASDLSSYASVLCDVARIVRLTLGHVGQLFVLALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTEWLKGCCDEIFGVIAGGQRLVDAIENGEGLGSVQRLVREALDGREGLEGSLEQWLKNVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLNDSVNVKKSIDDIRANADPKDVGDFLVYLRKASTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPFLQEKCYRTISGILNGMETELGKLSASLKNKKGDNNTLAASVVVERSLFIGRLMFALRYHSSHVPLILGSPRRWVKEAGGAAFMRLSSPSPRHSRASFDTSMPFTPRRHTLDSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELNKTLQALCISAHGLWTTWLSTELSLLLSYDLNKDDSLTSSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWELLQKVIDIYEIFLASIESGKSQVSEKGVLQILLDLRFIGDVLSGGKSSSTETQRTHDSLPSTIAKTSFRRKQSQLLADSAVVEPVNKLINKFSQRLDPIDWATYESYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSYDSTSRSPWKSYSNGERSTAPEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSKWGSIISDGQVGKLSDILPGPAAGFFSSFTSGGRYDP >LPERR01G28410.1 pep chromosome:Lperr_V1.4:1:24396672:24398639:-1 gene:LPERR01G28410 transcript:LPERR01G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPDPIGEGLAMEALVEAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMANK >LPERR01G28420.1 pep chromosome:Lperr_V1.4:1:24410907:24416550:1 gene:LPERR01G28420 transcript:LPERR01G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFTAAVAAVASLALAAVLAAAAGAAPLPAALMLERALPHKGAEVEHLKERDRARHGRRGLLGGGGGVAGVVDFPVDGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPASSGLNIQLEFFNPDTSSTSSRIPCSDDRCTAALQTGEAVCQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGVLVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITSAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGGPPRLYGGGLIPTVAVAAVALIFGGFSRR >LPERR01G28430.1 pep chromosome:Lperr_V1.4:1:24417110:24423741:1 gene:LPERR01G28430 transcript:LPERR01G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRVNMLRHHGVKPILVFDGGHLPMKSDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMAFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDRFGQGVEFHITRLQRSRELDLNGFTKQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKSHEKVIKHLRYSAVSVPPEYEENFRKAIWAFQFQRVYDPVAEDISVAKGIALGNIDPITKEPFEAKKESSAPAFDKVHMIRESTVPSNGKKRLDLPVQRNFRAPKVTPKQQILNGSVSSPRIEDSCTPDSIEDTCLPSNNIQVSQCSSEHFSSGTPLDDSINTTSQCSSERVLTDFPQDDSASISPQCTSRDIDSDPSEDPGKEDTKVEINYCSRSTIPAGSLEGIWHGISDSVLDSHNTEPSRTAPHYAEKSVVSADRNITVKSSYFKTVNKRVYTNQGEDEFHDEDNCETANCTFPGDQLKSSGDILKRRKFSDPQKFEDEMFQPTSSHESPLVVDQDCDTDSVDGVNANAEGKFGCNVSHVNKYSGIAEKSMDQFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFSRTAKKTTRVSPLQSRFSSDATNNSTDVPDLSTFAYRPTTTASVYSDQGKITHKAADAADVPPDLRTFAYAPTRTATSHFDQSKNASKAMCTTESPPDLSTFAYKPMKSAVRRSDGSKFSGAKHKAAGRTSWS >LPERR01G28440.1 pep chromosome:Lperr_V1.4:1:24425178:24426951:1 gene:LPERR01G28440 transcript:LPERR01G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLPPGCGVMELKSRLGAYGPIARTRIDADSATGYVTFRSGAAAAAAIAASLDPDGGVTVGSKKVLVVQANEAPNNSSNIAQANLVGETPHDVTKRIGSKSSVFSRIKAAPEATNKAREIIAYDDLF >LPERR01G28450.1 pep chromosome:Lperr_V1.4:1:24428250:24445235:-1 gene:LPERR01G28450 transcript:LPERR01G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQNNGSDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEFIIFGDKVILEDPVESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAAVRREYPNQELNYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFRQAVCGFDLLRYYDDTACILRKMFLDDKAPHISSSIPANLPWKVSDPIQPFDAAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVHIPKSNGDGEEEYPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGAVEINEAKARLHDIILSSKVANGQSVEFPWMVDGAGVPPNAAKLLTNLAQLTKEITAQVKLLSDDEDEEAAANSDSPSHPYDQAKALGKTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKRRFDTTQIPDIYDSCKYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAAGESNACHDPTTVSSKRKNRGYYGDVKNEGFDRPSSNKKLVDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMNGEDSLVCKNALDRLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQFLKNVSTQSEHGKDSMLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPATPSQSLAVRFCKDNGLQGERLVLHSLNNHRMVLPVDKTYSACVLFILEKFAFLPPMF >LPERR01G28460.1 pep chromosome:Lperr_V1.4:1:24447631:24451788:-1 gene:LPERR01G28460 transcript:LPERR01G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTPAAAAEEEEEEAAWVWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGRVSFVAGGGAHAIALTSDGEVFTWGRGMHGQLGHGNMENIPHPKLVKFFEKYTITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGATDNHPRNFPFEVTYFTTKHVVRLAFGMRHSLVLLKDNSVYGFGSARRGQIGRCARNQKFYNIPRLIDGFPNCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPLFPSLSISQVALGWHHALVLSAGELYTIGVYRHQKLGPPVLPTAEPLQPLNPATTSHNHDESSSSSLLVKVPCVDGEQMVHIAAGTEHSALVTDKGTVFTWGWGEHGQLGLGDTCDQVNPQRVNIGHKRPCSSSVNVYCGSGFTVAVNSDLSG >LPERR01G28470.1 pep chromosome:Lperr_V1.4:1:24453377:24454408:1 gene:LPERR01G28470 transcript:LPERR01G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRYGYSYPPPPQGYYNGPPVMAPPQYAAPPPRREPSFLEGCLAALCCCCLIDECCCDPSVIFVT >LPERR01G28480.1 pep chromosome:Lperr_V1.4:1:24456013:24457145:1 gene:LPERR01G28480 transcript:LPERR01G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEPQPLHILFFPFLAPGHLIPIADMAAVFAARGVRCSILTTPANASLIRSAVDRANSFLGSSNGGTQAIDLAVVPFPDVGLPPGAESSTALSSSKQDRDRFHLAVRLLREPFDRFLSDHRVDAVVADSFFMWAADAADVHGVPRLAFLGTSVFARSCTDSMLRHNPVGSAPEDDDPDAVVSLPGLPHRVEFTRELARGLDFSGKNFVWGWAPQVLILNHPAVGVFVMHCGWNSMLEAVTAGVPMVTWPRYADQFYNEKLVTEVLKVGVGVGSVDFASKLESRRVIIGGEVVAGAIGRVMGDGEEGEAIRRKSMELGTKARQALEKGASSYDDVGRLMDELMARRSSVNV >LPERR01G28490.1 pep chromosome:Lperr_V1.4:1:24460458:24463180:1 gene:LPERR01G28490 transcript:LPERR01G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGGGGEAMRRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKSWLTELRGKDMANNFSWSYKRKYKAGYIWQDLIDDDHLITPIADNEYVIKGCDVRRSPLCTEAPYEKSSSLEVQKVRNSQEEEKLSCGQKQVEEAFQATTAPNSDEFSPKTTPPADGGVLLKNDDLSSREEKQQRRRRQRQEEVNAVSSKAVVVRRPVPAAAAEEKVRGVAAAPPAVGRARKMHLAQLLHSIMTCGGADADDTALRPVLRKSGASADGGDDWPRTPVCPGIDGCGLRVSKKVKVRRGGKDKGKQKRDGGKRDGGDAYKPASLPRCSQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVSRSSAAARPEHHRRSSSKGAVGNTSERPSGRLLIRDS >LPERR01G28500.1 pep chromosome:Lperr_V1.4:1:24465693:24466019:-1 gene:LPERR01G28500 transcript:LPERR01G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLKSRIVAAAVVVASSLVGTASAADGPAPAPASGATTAAPAFAAVSIAAAALGYLFCQAGLLRTCVCVGTFACCSIHVVSWTRLDVCDLSGFGHVFYRVFVSTET >LPERR01G28510.1 pep chromosome:Lperr_V1.4:1:24467167:24467625:-1 gene:LPERR01G28510 transcript:LPERR01G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRGCSHGRRPGSPRLGSCLGFAINVRWRRRARRFVGRRMGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPMTTPPALKPAR >LPERR01G28520.1 pep chromosome:Lperr_V1.4:1:24471625:24478457:1 gene:LPERR01G28520 transcript:LPERR01G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVSAAAGSGVISEIRDKHKLELENLTLTKHPFRTLHFFMLAMLQYLKRLATYILNKGALFVLLIILVLAPGIVLAVTDGLHKKHVQEFLNYAKFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKTAPYDTIQLKQGPSWLDKKCSDFGPPLYPASAHTVRIPVFELLPQVQLEAILWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASNEHGPLASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIAKLHSAKDKYLSPTSTSPSSQMEDNQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKIN >LPERR01G28530.1 pep chromosome:Lperr_V1.4:1:24480046:24492230:1 gene:LPERR01G28530 transcript:LPERR01G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAASNVEFIRARSDKREYRRVVLPNALECLLISDADTDKSAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAFTSSETTNFYFDVNAANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHQKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSWETLETRPKERGLDIRQELLKFYENYSANLMHLVVYGKGKYYWFVHCHTNLSVTEKIVYVESLDSIQSFVERLFSDIKNTDQRSFKCPSQPLSVEHLQLIIKAIPISEGWAMNLSAGEGSDSAEYSFFSVSMRLTDAGHEHMEDIVGLVFKYILLLKESGIHEWIFDELVAVNETEFHYQDKVHPISYVTDTVSTMRLFPPEEWLVGASLPSKYAPNRINMVLDELSSERVRILWESKKFKGSTDSVEPWYSTAYSVENVTPSMIQQWIQKAPTEKLHIPKPNIFIPKDLSLKEAHEKVKFPEILRKTALSRLWYKPDMLFSTPKVHIVVDFHCPLSNHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKQISNFEVKPNRFYALKETDVKDYQNFNFSQPHSQASYYLSLILEDKKWPLAEKLEALSKLEPDSLAMFIPHLLSKTFLECYIHGNIEPTEATSIVQEIEDTIFNTPNSVFKSMSPSQYLIRRVVMLENELKCYYQIEGLNPKNENSSVVQYIQVHLDDALSNIKLQLFSLIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDASHLDARIDEFFKMFQSKIHDLSDKDFKRNVNSLIESKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFEEYIRVGAPQRKTISVQVFGGKHLAEFKEAIAETDPPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >LPERR01G28540.1 pep chromosome:Lperr_V1.4:1:24491108:24491344:-1 gene:LPERR01G28540 transcript:LPERR01G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEAKRRRTPPVVAAPPVPRREQPHQAAPQQHQHHYCVCSPTAHRGSFRCRWHRGGYEWVGAAARHR >LPERR01G28550.1 pep chromosome:Lperr_V1.4:1:24493399:24495441:1 gene:LPERR01G28550 transcript:LPERR01G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISISAAAAGIPFILLLLLPSPAAAFSFTYNFTTGDTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLRDPVSRRRASFSTAFSFSITAPDDPSGSSAASGDGLAFFLSPFPSVLPNRSAGGLLGLFNSSANGFPLVAVEFDTYRNDWDPSDDHVGVDLGGIVSAATVDWKTSMKDGRRAHARIAYDGDARNLTVALSYGGGGDPTDAAPLLWYAVDLTEYLPDDVAVGFSAATGEAAELHQVLYWEFTSTIDPREETIILWVVLGLCGFLLILVGAGVLWFVSQWRKAGELADGDEMGYDELADEEFFVESGPRRFRYSDLAAATRNFSDERKLGQGGFGAVYRGFLKDLGLSVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGNNNNNAAAPPLSWPTRYNVAVGLASALLYLHEECPQCVVHRDVKPSNVMLDAAFSARLGDFGLAKLVDHGSQPRTTALAGTLGYLAPECVITGKTSRESDVYSFGVVALEVVCGRRPSEPEDENPRLVPWVWELYGKRAILEAADKRLDGRFDHEQMERVMVVGLWCAHPDYAHRPSIRQALNVLKFEAPLPLLPPKMPVPSYFPPPESVAPVSVGVTSSRDGPGGSECGSSRSNAGGGSEIDERFLDL >LPERR01G28560.1 pep chromosome:Lperr_V1.4:1:24495865:24496112:1 gene:LPERR01G28560 transcript:LPERR01G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVQLIITAIPISEGDYRKIKWQVTPSIPFYKDGWACPGLTVGPGKHDVGRWQQWQRHQ >LPERR01G28570.1 pep chromosome:Lperr_V1.4:1:24497962:24506696:-1 gene:LPERR01G28570 transcript:LPERR01G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVAADFDGGLGGGAGEDNLSMPLGDFMAFLDNEDWEEQQHEGNQGCLEMPLGSISSENAFQNHEEVFENKENWSNYSHTDPSHGQMDVMVEFNHGGECIDHSEDAPYSHRLLSNDFSENPRNNNPDSENQDFPTDALNHANTVEEEIVPPYEDYTNDLYYGHQSMHFDNSACDMFTEQSGMSEVKCEGTGPMLGSSEQQANHFTSIPVFDHGANIPDIPYTELNIDGVPGSMQNGNGSCLTVQGDYLQGEYGEYPQPDYGSFDMASERSLQDLPQNNQSYEMEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNQPEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDTPKHLQNSLFVPVSTQHQHTFMNSSDTMHPTFHKKYDIPRNGSSSILVNSSRNHFSLDGNRDSDLCILEGSRIPPTGHVLPHQGLQHNFQHSVCANPNISRFGGTRYKPHDERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPCSAVKKEPCEAVTLDDDDEDDSAEPYLKKPALAHVADICKPEVTSSTVKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKSSSSKKNKSGSTPEVKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRLRLNSTSLFSRGTLECALSRSTYESLSNDSCVDIMQEKQPRFDSSYASSKVRAALDILLSLPKLDFTHMSDDKNKIVHPDKINGNSSSEYSDTKITEKAIVFSQWTRMLDLVEVHLKSSHVTYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >LPERR01G28580.1 pep chromosome:Lperr_V1.4:1:24512492:24513319:-1 gene:LPERR01G28580 transcript:LPERR01G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLAGVAVFSRRCKPAEEYRDPPPPLPEEEKVKEVLSETPVRAAEVKLAANVAVLEEPEVEKPAPPKPKPSADADAPTVSVSDLGSCMSASLATDDRSEAASESSVATSSVAGPEWSSPGKPPARKRRPVSVSGELGHVHSRRDRAAAYGVRSRSARASASPPPRRDQRDRSVRRSPSPAAKRPSEQQRRSPSPAASSHQRKPPVPARPSPRRVQESPPPPQPEEDAMAADGELSIADAAGAGGNADGKESLDNPLVSLECFIFL >LPERR01G28590.1 pep chromosome:Lperr_V1.4:1:24517956:24525878:1 gene:LPERR01G28590 transcript:LPERR01G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHDSRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKPIETTAKSIPAIAVTEPKNFYKVKETGTAESGIRGSNLTPASTDKTRKDKSGSIPRRPDSSFKSSIQSSTPIRRTKAADSPSTNQKTVERNFAQRDASASRTRTANNSSTVRKGHLAESVSVKDIYTTPQTVSTPVVMPRDILEDKTVGSISRGIGGRAAVADDFHAPVHSRKLSVNGLAGDSVNTTRSMLTDPDVCSEDFSGLKFSFGLTPYYKKEEYDNKDKGDSMDKGDNVTQMAEKLDRTVSLENQLQSNDTSESLCSTTQTAKVKYVRGVAVPLGKTKSLVERWEKRDATNVECSVPTGSCGDRAMRSDGPSAFSAEPSQAYEKDLSTVDEAMIPINLMQSHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNSVQADVVSTLKGKLDLFNLDIFSSFLPVLAGLLSSKAERHAIVSLELLLDLIKIFGPVIHSTLSAHSAVGVDIQAEQRRGGQPGQLAEELNLSLQDLVVI >LPERR01G28600.1 pep chromosome:Lperr_V1.4:1:24526490:24534922:1 gene:LPERR01G28600 transcript:LPERR01G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRWHADHLEVDVHGAVDAVIDGAGIVGHDGSERLELGKLEVGIGRNLSEVPVHELGDHGDRRKRRRARAGRCCLRRTELARALLRHRRRRVPRPHPVRRRHEVVQYPLHAVDVVQRLDGVPKVGHEQVVEARVLQLRPDPSLQVLAAERAGVEEHRRAEHPEEESGGRHALLRRQQRHDLLQEIPLVPLRRRRHVRRPWRDASASSRPVALHRLDQPLEHRQAQERHVLVPPVHRPGARRRRRHRRAATRRADAVPERVVGGGGDAFGGGRRGGCGGVGGASLGGERGDASGDDVEVLGGGEHVLAGLERLDGVLPVGEHVGEERLRLRDEVALGVIVGHAQVLRRAPEAHHVVRVQLDLDVVAEPRRQLERLGAARDVVQLQRAHAAAATDAVLLRRRLVLADHPLQHAAPAQQRELHATHQLDCFSAIKNYKCLSRESR >LPERR01G28610.1 pep chromosome:Lperr_V1.4:1:24532600:24535023:-1 gene:LPERR01G28610 transcript:LPERR01G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRMISEYQAPPEEDGVRGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCENVLPTAEDLHIVSRCITALASKACSSDATASAAPASAKSIAAAAHDALWNGIGTPRGGAAMATATASSGTMDWWYEDVSFLSLPMFKRLIQAMEGDGSGGSRCITPRAANVSAPSEGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGMGTGYTSPAVAEEGASQLGAPQAAAAGASPSPLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSGNAAAGGDGAQHPHPGGSAIVPKGQSEADADAGDEGKGKEPPAEAITDVKARVSELEKECVSMKQEIRRLGRPRRSWSLLTRKCGFGTKVQQAQPAMSGK >LPERR01G28620.1 pep chromosome:Lperr_V1.4:1:24542073:24546171:-1 gene:LPERR01G28620 transcript:LPERR01G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSHKGRNGRLSHRDEFIHCTECGKERRLRLRSKEECRIYHDALAKPNWTCADLTSDRVTCGDEEERASRKVLRGCPRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >LPERR01G28630.1 pep chromosome:Lperr_V1.4:1:24553207:24553848:1 gene:LPERR01G28630 transcript:LPERR01G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKKERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSVNSSLAGFVVIHKFVEAYRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPEFEGKVKVSEWLAKLNKMGAGDELTEQQARQLNFDLDSAYSAFMASLPSTGL >LPERR01G28640.1 pep chromosome:Lperr_V1.4:1:24555058:24557809:1 gene:LPERR01G28640 transcript:LPERR01G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVQPVLATLGWVVSPIVTKLINRALTYLDKDEAREFQDLETALLLLPQSQLLIEAAEKSPHRCKLKGWLHKLKAVFYDAEDLLDKYEYNILKHEAQKGNLLCYNIKKKLIGKLKELKKFLAEAKDICDLLGIQPGNGSQHIVTTPIRPHTNITSFPTSKVIGREKDRNHIIDILCKPVDAEGSVAKCYSTLAIVGLGGMGKTTLAQYVYNNEEVEKNFDVRMWVCISRKLDVRRHTKEIIESAVNGECPLVGNLDTLQCKLRDMLTKSKKFLLMLDDVWFDESNSEMEWELEQLLAPLVSLQTGSKILVTSRRDCLPAVLDCKKYFYLKNLNDTDLSTIFKGYAFACAETSDPLLHKKLEEIADKISKNLRTPLVAKAVGSHLSRKKDITTWKAVSEKNSLRETTKALLWSYEKLDPRLQRCFLYCSLFPKGHLFDINELVHLWVAEGLVDCCNQNDRMEDIGRDYFNEMVSGSFFQPVSRIYVGTRYIMHDLFHDLAESLSKEDCFRLDDDDKVKKIPCTVRHLSVSVQSMILHKQSICNLGHLRTVICIDPLTDDGNDVFSEVVRKLKKLRVLYLSFYNSTNLPKSIAELKHLPYLNITKTLISEVPRSSCTLYHLHLLQLNNKVKSLPDGVCKLSKLRHFEAYVTIDSLIKEDLPQIPDIGKLTSLQHMDKFYVQKQKGYELRQLSDMNEFSGYLRVGNLENVTGKDGSLRGEAASENSS >LPERR01G28650.1 pep chromosome:Lperr_V1.4:1:24560355:24565244:-1 gene:LPERR01G28650 transcript:LPERR01G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATPTAKVRVNGAPAEATLFVCAEPAGARGPELRWRRDGGGAAECVLSLDEDVLGVEIWGKDQVVVKAFVAADAARSSVSCATGGGGRRRRRRDFVFEIAAGDGEDAAAAAAWVDTMRNCLDSLGRPKRLFILVNPFGGKKCARKIYEAEIRPLFEAAGVNVTMQETQYQGHAQEMASSLDLSQTSVQIHSTRVVNGILQRIDWEEAIKLPIGVVPAGTGNGMAKSLMHYAGHRQSLDVCTILQGAKKFFSVLHMTWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIRFVPAPGYESYGYPVKQVDNCTVECQEQNGKFVCSYQGPLVEFQGSNWRSLDGPFVSVWINNVPWAAESIMAAPGAKFSDGYMDAIIVRDCPKADLLALLMKMSDGSHVKSPYVTYLKVRCFRLSPGQLVENPKRGGIIDVDGEVISRGEGTYGKSQNQDVMGYGPSIQMTVHQSLATVYCPR >LPERR01G28660.1 pep chromosome:Lperr_V1.4:1:24568105:24570267:-1 gene:LPERR01G28660 transcript:LPERR01G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIGSKGYNANGGQKQVRHASQPILNNGPKHRPLTLMRRCRGVACVAIILITAFTLIVYIAPITTFLVRLCSVHYSRKATSVLFGMWLSLWPFLFEKINKTNLVFSGESVPPKKRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDETIIQNKLSKFKDPRDPLWLAVFPEGTDYTEKKCIKSQEYALEHGLPILENVLLPKTKGFICCLQELKSSLDAVYDVTIAYKHCLPDFLDNIYGTDPSEVHIHIRTVKLCEIPTSEDEVTDWMIERFKQKDQLLSDFFIQGYFPDEGTEGDISTLECLANFIPIVSLTVIFLYLSLFSSVWFKVYVLVSCAYLTFVTYFSIQPPQLICSQEGGIQAKKAL >LPERR01G28670.1 pep chromosome:Lperr_V1.4:1:24573818:24578375:-1 gene:LPERR01G28670 transcript:LPERR01G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTNGVVQRFWQLEQQERKQPQPPPKRVGGKRRRWAWAPFEPREWDRAYLLACAAGLVVDPLFLYAVSVSGPLMCVFLDGWFAATVTVLRCMVDSMHAWNLLLRLRAALRRRPEDADEEEAQAERGGDGNGGGAAPAKVVVARPESKKGIVLDLLVILPVMQVIIWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKICHAVGFLRRQQNASGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQCAQAGSGCAAGAVACAAPLYYGGAVGGVGADRLAWARNDTARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKNQAMQTRLRSVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIIRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPRGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCMLGPGNFSGDELLSWCMGRPLLERLPASSSTLVTMESTEAFGLEAADVKYVTHHFRYTFTNDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRETLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDLA >LPERR01G28680.1 pep chromosome:Lperr_V1.4:1:24580926:24581350:-1 gene:LPERR01G28680 transcript:LPERR01G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKATALLLAFLFIAVAIADGRSLSVADVETYGAHQPAPTAPLICTMVHGVEAGETCDSISRRFQAGLGRAPFLRFISLNPNINCRELFVGQWVCLRGLLPA >LPERR01G28690.1 pep chromosome:Lperr_V1.4:1:24583899:24584281:-1 gene:LPERR01G28690 transcript:LPERR01G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALVMIASLVAVAVADARLTAHNGFVAVADVQFPVALTCNKVHGVQKAETCFTVSQSAGLTQDQFLAFNPNINCEKVFVGQWVCLDATAA >LPERR01G28700.1 pep chromosome:Lperr_V1.4:1:24585087:24587114:1 gene:LPERR01G28700 transcript:LPERR01G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLVTSFPHGIHHHLHSAAHHTTHLAAATRPEASTASASSSSNARLRRLIARDDLAEAARLVDRSTSRGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGAPVDVFAYNTLVAGYCRYGQLDAARRLIASMPSGVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSSGFGQAMAVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMEKNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSEHGCAANTTLCNIVINTICKHGRVDDAFQFLNNMSSYRCSPDTISYTTVLKGLCRSERWEDARELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQAIMLIEQMSEHGCEVGIVTYNALVNGFCVQGRVDSAVELFNSMSCKPNTITYTTLLTGLCNAERLDDATELLAEMFQKDCAPNVVTFNVLLSFFCQKGFMDEAVEVLQQLIDHDCTPNMITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIITYASIIGVLSREERIEDAIQMFHIAQDLGMRPKAVIYNKILLALCKRFETDRAIDFFAYMVSNGCMPNELTYITLIEGLANEGFLKEARDVLSELCSRGVLNKNLLEEWRT >LPERR01G28710.1 pep chromosome:Lperr_V1.4:1:24589241:24594817:-1 gene:LPERR01G28710 transcript:LPERR01G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNLEKNNMLKEFYIPTYICVPESPAEKVSQIPICPVIVFINSKSGGQLGHDLIVTYRKLLNHSQVFDLLEEAPDKVLHRLYGNLERLKRDGDSLAAEIHKRLRLIVAGGDGTAGWLLGVVSDLKLVNPPPVATVPLGTGNNLPYSFGWGKRNPGTDQKSVLSFLKLVREAKEMKIDSWHIVMRMESPKGSTCDPIASLDLPHSLHAFHRVPNNPHDKEYSCTYRGGFWNYFSMGMDAQVSYDFHSERKSHPEKFKNQLSNQIWSSLCAYSEVQKPITFIIFSAENIFEAGMHTRNIAQLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLVEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFQFHKGATEHAFMRLDGEPWKQPLPTDDGKVLVEISHAGQVKMLATKNCIAKGIHEAPALSTVHPESSSSSDDTDDDDFAEERRNFGAALSFRYMDDLTKE >LPERR01G28720.1 pep chromosome:Lperr_V1.4:1:24596707:24599124:-1 gene:LPERR01G28720 transcript:LPERR01G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPAVDASLLRPISPGASASLSLSSPSTGRPLSVLVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWERRCERARAARKALLDALAGDNVDVLYLDNTYCHPSLNFPPRPIVAEQIVNIIQAHPDHEVIIGVDTLGKEDLLLHISRALKTKVIWVWPQRLQTIHLLGIDDNQEIFTTQTSLTRVRAVPRYSLTIENLDALNTVCPTIGIMPSGIPWLWKNSEGKAKSKSIRCKGLDEGTIEMDYNPLSPPKLFEKDSYALPYSEHACFAELKDFMQTLRPSTVIGIVSTSFCYVNPRHHFSDLCGDNVYSDKTPEKNKGEDNTVLTPKKRQNGSKTPKERKAKWISSPNLYRSRVTMKRKECCGAKIGEPEEPISVA >LPERR01G28730.1 pep chromosome:Lperr_V1.4:1:24600139:24603769:-1 gene:LPERR01G28730 transcript:LPERR01G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPSSPSAASGGGGGDVSASSPTLPPVRLAGAAAASAAAAIHPNSPRYFFSSLAGTNASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVVAPPAASAEELQKKREEDFDAFTSTKAQDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAADADGEAAGPTDELHTVPEDEPVYHDAPDVQKEN >LPERR01G28740.1 pep chromosome:Lperr_V1.4:1:24606591:24610046:1 gene:LPERR01G28740 transcript:LPERR01G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVLGLTAARRLRSRAPILVSSSPLLESSTSTSHAAPAATRYSGVGPWGLLLSSRAISSTRQVARAAGDAPGPSAVDYRSILPEDEFHKVADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGEMGTYVVNKQTPNRQVWLSSPMSGPSRFDWDASTNGWVYRRTGANLVQLLEKEISELCGTPVELS >LPERR01G28750.1 pep chromosome:Lperr_V1.4:1:24609043:24609363:-1 gene:LPERR01G28750 transcript:LPERR01G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPRVGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >LPERR01G28760.1 pep chromosome:Lperr_V1.4:1:24612579:24615029:1 gene:LPERR01G28760 transcript:LPERR01G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSKATASVLANAASASAAAALPRAVAGAGAATPLDSRMVKTGFDILTYRLNFGIRSLLSSGHLHRARALFDQMPHKNIFSLNHILSAYSRSGDLSAAEGLFLASPHRNATTWTIMMSAHAAAGRAPDALSLFRDMLGDGVTPDRVTISTVLNLPGCGVPSLHPFVFKLGLDSNAFVCNTLLDAYCKHGLLAEAWRVFFEMPDKDSVTYNAMMMGCSKEGLHTEALQLFAAMRRAGLHVTNFTFSSILTVATGMGHLLLGRQVHALVVRSTSVLNVFVNNSLLDFYSKCDCLGDMRRLFDEMPEHDNVSYNVIMAAYAWNQNAAIVLRLFREMQKLGFHRQVLPYSTMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCNMLETAKSCFLNKSEKSAISWTAMITGYVQNGQHEEALQLFSDMRKAGLRPDRATFSSIVKASSSLTMIGLGRQLHSYIEKSGHKSSVFSGSALVDMYAKCGCLDEALQTFDEMPERNSISWNAIISAYAHYGQAKNAIKMFEAMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSIAPWKEHYACVIDTLGRVGCFSEVQKMLVEMPFKADPIIWTSILHSCRIHGNQDLARVAADKLFSMEPTDATAYVILSNIYAKAGHWEDAARVKKVMRDRGVRKESGHSWVEIKQKIYSFSSNDLTSPRIDEIKDELERLYKEMDKQGYKPDTSCALHLVDDELKLESLKYHSERLAIAFALINTPPGTPIRIMKNLTACLDCHAVIKMISKIVNREIIVRDLRRFHHFKDGVCSCGDYW >LPERR01G28770.1 pep chromosome:Lperr_V1.4:1:24623983:24633492:1 gene:LPERR01G28770 transcript:LPERR01G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSRHATTLLQTEPGGLWGLPAVMMVKRVGIDGKEDGLYKRMELGEEIHSSQIILFGELIFQGIKKDGQTIVSVNETFILGFFSPGASTYRYVGIWYNHVPNRTVVWVANRNNLILDTSGVLMFDNSGKLIILDGRGSLFTVAYGSGAKDTEAAILDSGNLVLRSVSNWSRVRWQSFDHPTDTWLPGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGLDPNDKTNFFIWERGNVFYKSGPWNGDWYDFTESQSLSMRFVTNDNLTTLNYYTIPVGGIASGFCLGAGHREAAKHIVHVELLASVLNIQASKTVANAQKDLIQEMELDGLVAIPGEDDECNLWYGTIMHLREQQSGDAVANFYLRLAASELESTGAPVVLIAATVSSVAFLIFASLLFLLWIWRKKRKAKEVDTDSAIKLLESELTGTHFTSFCFSEIADATCNFLPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGILEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRINIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGLARIFGSKETEANTKRVVGTYGYMAPEYAMEGIFSAWKLWKEGRWLELVDPSIYDACPEHKVLRCIHIGLMCVQESPINRPTMTEVISALDNESTNLPDPKQPAFVSPGAWSAADVQGGARSINGMTITDTQGR >LPERR01G28770.2 pep chromosome:Lperr_V1.4:1:24623983:24633492:1 gene:LPERR01G28770 transcript:LPERR01G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSSASLALELLPTDTSGVLMFDNSGKLIILDGRGSLFTVAYGSGAKDTEAAILDSGNLVLRSVSNWSRVRWQSFDHPTDTWLPGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGLDPNDKTNFFIWERGNVFYKSGPWNGDWYDFTESQSLSMRFVTNDNLTTLNYYTIPVGGIARYVLDHSGQLKLLERMDFVLHQWLLLGSWPQGSCKAYSPCGAFGICAEYPGKQNRCKCPKGFNPGDGVGWSSGDTRRGCIRQTNLHCVGDKFLRMTNMGLPGNATPISSITGQKQCESICLMKCSCTAYAVLQDECNLWYGTIMHLREQQSGDAVANFYLRLAASELESTGAPVVLIAATVSSVAFLIFASLLFLLWIWRKKRKAKEVDTDSAIKLLESELTGTHFTSFCFSEIADATCNFLPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGILEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKSLDFFLFEQSRREMLDWATRINIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGLARIFGSKETEANTKRVVGTYGYMAPEYAMEGIFSVKSSCF >LPERR01G28770.3 pep chromosome:Lperr_V1.4:1:24623983:24633492:1 gene:LPERR01G28770 transcript:LPERR01G28770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSRHATTLLQTEPGGLWGLPAVMMVKRVGIDGKEDGLYKRMELGEEIHSSQIILFGELIFQGIKKDGQTIVSVNETFILGFFSPGASTYRGKLIILDGRGSLFTVAYGSGAKDTEAAILDSGNLVLRSVSNWSRVRWQSFDHPTDTWLPGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGLDPNDKTNFFIWERGNVFYKSGPWNGDWYDFTESQSLSMRFVTNDNLTTLNYYTIPVGGIARYVLDHSGQLKLLERMDFVLHQWLLLGSWPQGSCKAYSPCGAFGICAEYPGKQNRCKCPKGFNPGDGVGWSSGDTRRGCIRQTNLHCVGDKFLRMTNMGLPGNATPISSITGQKQCESICLMKCSCTAYAVLQDECNLWYGTIMHLREQQSGDAVANFYLRLAASELESTGAPVVLIAATVSSVAFLIFASLLFLLWIWRKKRKAKEVDTDSAIKLLESELTGTHFTSFCFSEIADATCNFLPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGILEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRINIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGLARIFGSKETEANTKRVVGTYGYMAPEYAMEGIFSAWKLWKEGRWLELVDPSIYDACPEHKVLRCIHIGLMCVQESPINRPTMTEVISALDNESTNLPDPKQPAFVSPGAWSAADVQGGARSINGMTITDTQGR >LPERR01G28770.4 pep chromosome:Lperr_V1.4:1:24626454:24633492:1 gene:LPERR01G28770 transcript:LPERR01G28770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTRSYFVSGDCVSGDFLILLVLSTCCMSSTITTDSLLPNQKISDGQTIVSVNETFILGFFSPGASTYRYVGIWYNHVPNRTVVWVANRNNLILDTSGVLMFDNSGKLIILDGRGSLFTVAYGSGAKDTEAAILDSGNLVLRSVSNWSRVRWQSFDHPTDTWLPGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGLDPNDKTNFFIWERGNVFYKSGPWNGDWYDFTESQSLSMRFVTNDNLTTLNYYTIPVGGIASGFCLGAGHREAAKHIVHVELLASVLNIQASKTVANAQKDLIQEMELDGLVAIPGEDDECNLWYGTIMHLREQQSGDAVANFYLRLAASELESTGAPVVLIAATVSSVAFLIFASLLFLLWIWRKKRKAKEVDTDSAIKLLESELTGTHFTSFCFSEIADATCNFLPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGILEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRINIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGLARIFGSKETEANTKRVVGTYGYMAPEYAMEGIFSAWKLWKEGRWLELVDPSIYDACPEHKVLRCIHIGLMCVQESPINRPTMTEVISALDNESTNLPDPKQPAFVSPGAWSAADVQGGARSINGMTITDTQGR >LPERR01G28770.5 pep chromosome:Lperr_V1.4:1:24626454:24633492:1 gene:LPERR01G28770 transcript:LPERR01G28770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTRSYFVSGDCVSGDFLILLVLSTCCMSSTITTDSLLPNQKISDGQTIVSVNETFILGFFSPGASTYRYVGIWYNHVPNRTVVWVANRNNLILDTSGVLMFDNSGKLIILDGRGSLFTVAYGSGAKDTEAAILDSGNLVLRSVSNWSRVRWQSFDHPTDTWLPGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGLDPNDKTNFFIWERGNVFYKSGPWNGDWYDFTESQSLSMRFVTNDNLTTLNYYTIPVGGIASGFCLGAGHREAAKHIVHVELLASVLNIQASKTVANAQKDLIQEMELDGLVAIPGEDDECNLWYGTIMHLREQQSGDAVANFYLRLAASELESTGAPVVLIAATVSSVAFLIFASLLFLLWIWRKKRKAKEVDTDSAIKLLESELTGTHFTSFCFSEIADATCNFLPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGILEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRINIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGLARIFGSKETEANTKRVVGTYGYMAPEYAMEGIFSAWKLWKEGRWLELVDPSIYDACPEHKVLRCIHIGLMCVQESPINRPTMTEVISALDNESTNLPDPKQPAFVSPGAWSAADVQGGARSINGMTITDTQGR >LPERR01G28780.1 pep chromosome:Lperr_V1.4:1:24642760:24646778:1 gene:LPERR01G28780 transcript:LPERR01G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPRSLLSVLLVAALSRSASAADFIDQTGFITGNQTIVSDGNVFELGFFIPNGATDGRVYLGIWYKRIPGPTVVWVANRQDPVITIPAVLRLSSDNRLVIVDGNNATVWSSPAPTARTNVTAGGAGATTAQLRDDGNFVVSTGDGIVAWQSFDYPTDTLLPGMKLGVDAKNGIVRNLTSWRTSTDPSPGLYTFKLVLGGMPEFFLFRGPTTRIYGSGPWNGAELTGVPDLRSQDFTFKVVSSHDETYYSYSIRDPSLLSRFAVDAVAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTATSAQCSCLPGFQPRSPQQWGLRDNSGGCVARTNLTCGSGAGAGAGGDGFWSVNRMKLPVATNATVYAGMTLEQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYSGVVQDVYIRLAQSEIDALNAAANSRRQSNTVVIAVAAAISGVLLLLGAIGCCWFWRKKTRRRNETAAPGNSGDDVLPFRVRTQHLALSGARDQRLDEKRECDEKDLDLPLLDLKTIFAATDDFAAGNKIGEGGFGPVYRAKLEDRQEVAVKRLSKRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEEKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIVTGRRNRGFYETDLDLNLLRYVSVNNIYFLQAWLLWKEGRTVELLDQLLDGSFDYSEVLRCIQVALLCVEVQPKNRPLMSAVVMMLGSENATLPEPNEPGVNIGRHTSDTDSSQTLTANGLTITAIECR >LPERR01G28790.1 pep chromosome:Lperr_V1.4:1:24647978:24664590:1 gene:LPERR01G28790 transcript:LPERR01G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYLPALHLLLVATTFLFRIAIAADTIYQTASITGNQTLVSAGGVFRLGFFSPTGAADGPYLGIWYASIPEQTVVWVANSDKPLVNTPGTVILSTDGRLVILDHHNTSVWSSAAPTRNMTPGSTARLLDSGNLVVSSDGSNSPESVAWQSFDYPTDTLLPGMKLGVDIKSGITRNITSWNNPTDPSPGHYTFALVLGGLPEFFLFQGLTKLYASGPWNGEVLTGVSDLKQHGDQGFLNFTVVSSPEETYYSYSILDRSLLSRFIVNGTTGKLQRFWSNNSSWNKYWDYPNDRCDTYAACGPFGYCVDGAVDQSKQCNCLPGFEPRSTRQWSLMDWFEGCVRTTNLTCGDGDGFWKVNKMKLPDATNATVHAGMTLDQCRQECLSNCRCVAYAAANVDGGVSRGCVIWAVDLVDMREYPSIVQDVFLRLAQSDVDALNATAKGRSLNKTVLIALVAAICGVGLLGAVGCCCFLRRKVRRKGLTEMDDVIPLRVRRSHAFGPGRAQPMDENTETEDDLDLPMFDLEGVGEFKNEVKLIAKLQHRNLVRLLGCCIEDDERLLVYEYMHNSSLDTFIFDESKRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNILLDTNMVPKVSDFGIARMFEGDQTTAYTIKVMGTFGYMSPEYAMDGVFSMKSDVFSFGVLVLEIISGRRNRGFCESELNLNLLRYAWMLWKEGRSVNLLDELTGGIFDYIEVLRCIHVALLCVEVQPKNRPLMSSVVMMLCSENATLPEPNEPGVYIVKNTSDTESSQSLTGNNLTITTLEAR >LPERR01G28790.2 pep chromosome:Lperr_V1.4:1:24658598:24664382:1 gene:LPERR01G28790 transcript:LPERR01G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMACYIGSSAPDAKILPQRGARAVTSYFSFLDPSPPLFPEGGVPALIGWTSRDTVNISTKPTRRYPLLSWTTVVALIALVGVYIFSVSFKQNGMLLGLKETNMIEKEREKLCQDPSINVTEIPYVHYPTPDTYSRKQCACTPVRFFAILSMQRSGSGWVETLLNSHENISSNGEIFSVKERRSNITSITKTLDKLYSLDWLSSAAKNECTAAVGLKWMLNQGLMQHHKEIVEYFNRRGVSAIFLLRRNLLQRYVSILANNHDSEAKQLNGTHKSHVHSKVEAQILAQFKPKIDTKKLIAELKRSDKLAADALVYFKSTRHTILYYEDVVSNTTKLMDVLDFLRLPKRNLSSRHTRKPTSAERNTTDEMLMTTAIIH >LPERR01G28790.3 pep chromosome:Lperr_V1.4:1:24647978:24658449:1 gene:LPERR01G28790 transcript:LPERR01G28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYLPALHLLLVATTFLFRIAIAADTIYQTASITGNQTLVSAGGVFRLGFFSPTGAADGPYLGIWYASIPEQTVVWVANSDKPLVNTPGTVILSTDGRLVILDHHNTSVWSSAAPTRNMTPGSTARLLDSGNLVVSSDGSNSPESVAWQSFDYPTDTLLPGMKLGVDIKSGITRNITSWNNPTDPSPGHYTFALVLGGLPEFFLFQGLTKLYASGPWNGEVLTGVSDLKQHGDQGFLNFTVVSSPEETYYSYSILDRSLLSRFIVNGTTGKLQRFWSNNSSWNKYWDYPNDRCDTYAACGPFGYCVDGAVDQSKQCNCLPGFEPRSTRQWSLMDWFEGCVRTTNLTCGDGDGFWKVNKMKLPDATNATVHAGMTLDQCRQECLSNCRCVAYAAANVDGGVSRGCVIWAVDLVDMREYPSIVQDVFLRLAQSDVDALNATAKGRSLNKTVLIALVAAICGVGLLGAVGCCCFLRRKVRRKGLTEMDDVIPLRVRRSHAFGPGRAQPMDENTETEDDLDLPMFDLEGVGEFKNEVKLIAKLQHRNLVRLLGCCIEDDERLLVYEYMHNSSLDTFIFDESKRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNILLDTNMVPKVSDFGIARMFEGDQTTAYTIKVMGTFGYMSPEYAMDGVFSMKSDVFSFGVLVLEIISGRRNRGFCESELNLNLLRYAWMLWKEGRSVNLLDELTGGIFDYIEVLRCIHVALLCVEVQPKNRPLMSSVVMMLCSENATLPEPNEPGVYIVKNTSDTESSQSLTGNNLTITTLEARGARAADWRNPRLQASYKGRPCRMHQPTALRRRLATRGAPQVCLPPPPPTTATPLGLDNWTREESSWATGPYTITRGPISNN >LPERR01G28800.1 pep chromosome:Lperr_V1.4:1:24662431:24668417:-1 gene:LPERR01G28800 transcript:LPERR01G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLCCYLMLSLLLVLTGSSRARDTVAPGYPLAANETLVSGGDANFVLGFFTPPGANNTYVGVWYNKVWVRTVVWIANREHPLPGDVAENAGATLSVSSTGTLAIAAGNSTVVWSVTPEAKLKNPVARILDNGNLVVEDGAGAGGAVAWEGFDYPTDTLLPEMKLGVDYVKGRNRTLTAWKSPSDPSPGPIVMAMDTLGDPQVFIWNGADKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTGNYGLLQRSTWVEAAGTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLHGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVRHAKVPDTERSVVDMGLSLEQCQQACLRNCSCTAFASANVSSSGRGHGVGTGCVMWTSGLTDLRVYPEFGQDLFVRLAAADLGSSKWSGGSRSNARRYEGSTHHDDDLELPIFDLGTIAVATDGFSINNKLGEGGFGPVYKGRLEDGQEIAVKTLSKTSVQGLDEFKNEDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHINLLGHAWSLWNEGKSLELADEAMNGSFNPDEVFKCISVGLLCVQENPDDRPLMSQVLLMLGTTDATTLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >LPERR01G28810.1 pep chromosome:Lperr_V1.4:1:24669848:24671157:1 gene:LPERR01G28810 transcript:LPERR01G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSGGAARSERSGRRRRQRRSSTRSPAASTGRVGCDVAGSGEGSSLDHKGSRRSEVNAFKPQISGDTTRVCGAAPTVLPILIGEAEMAHGVVDRHSVSVPGSAPLSGRPSFTHMFLPPDGTILLDAEVERLAVRFMDMLKETKAAVCRLENVVLAAVAAGRAASDATATSEACKAVAAASGGCSPNGAAPSMPCKETDTTHEEVATGEACWPEVVVPSPPACRCGNWWCVDCQQLVQGGIDILPGTEQHQSCNLSLFRTMQVGSEEVKRNDDKVNGEELNASDDEVGVDIGGYAQDPNDDSDLQEIMQDPDGFEKSVKNLLDILQPDYPECLKRRKFI >LPERR01G28820.1 pep chromosome:Lperr_V1.4:1:24687826:24691658:1 gene:LPERR01G28820 transcript:LPERR01G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDAPTITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDQVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >LPERR01G28820.2 pep chromosome:Lperr_V1.4:1:24688403:24691658:1 gene:LPERR01G28820 transcript:LPERR01G28820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYSMYGHVAKLAEEIKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDAPTITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDQVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >LPERR01G28830.1 pep chromosome:Lperr_V1.4:1:24694536:24696510:-1 gene:LPERR01G28830 transcript:LPERR01G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSASQRGHGTSACSAAPPLTDRASSCGWTSPAAAAAAESTSSITFQDPSRCSTTTHHHQQPLYDAASSLGDPHMDWTQAFLSGRTDASFQAVLQDDMAASTRPFRAQTTTAVIDESAMNNPFRDMGMSQGLLLDQVSSLASSAPYGTVQLQGMSFDGAGDQPVAAAHHSITTTFGDYQHSASYDAAAAMQFAQTPSPSLPAAAQMQFSSSGSYQLPFGGAPLPSQLLLQAMQPKPSCSSNANTLMAKSNSGSAQQACSSAGRKSVPDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQQFQQKGSESTKDTGEAKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >LPERR01G28840.1 pep chromosome:Lperr_V1.4:1:24732265:24735052:1 gene:LPERR01G28840 transcript:LPERR01G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKAAPACGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRYGIPGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSMLYSLLVPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTAYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWPRLCRDIRTGELDAEITDRSVRDAVGRVLRGADPALADAIEDECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYYEFLPVHCSNANAEISHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHAAMSGAVQHLAPFGASLVEYTSYADATTIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDARVQGRYFSPKCPKWSPGNKQWNKSNDLVSNGNGA >LPERR01G28850.1 pep chromosome:Lperr_V1.4:1:24743226:24743939:-1 gene:LPERR01G28850 transcript:LPERR01G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHVRRLLNRVSIALAAVATAALLQLFHHSSSCLVGSHPYSSSLSLAPFPRTSCDAASRRVVDPDLRLAKLRASPRWRRRNAALSAAVFPRLRRLVLLRRSSRVLCVAAGAGQAVDALHVAGVGDATGVDLVDFPPLVRRADPHNLPFFDGAFDVVLCDDPQALTGALFPSRFAAEAERTVRLGGAIALAVERHVDLSTVASLFKKSRVVDAWNATLDGSAVSMAILINNGKSAKQ >LPERR01G28860.1 pep chromosome:Lperr_V1.4:1:24744171:24745436:1 gene:LPERR01G28860 transcript:LPERR01G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRAHRLSRVFSSSTPSTRTTKRRTTKTPNSSRDSIDIIIKGLLRERDPDKLVSGFIAASSAHPRFRDRHRVYDVAVYRLVSFGRLDGVEAIINAHKPFLETSSAGFAARLIRLYGHASMASHAAATFHGLPPRIKSTMTFNALLRAYIEAEELETLAAAFKEIPASNSLVVPNVYSYNILLLALCKKPDLSAALDIVALMEKSGITPDLITFNTLLNGFYNHGQMDGAEKVWEMMKERNMVPDAKSYNAKLRGLVAEGRIEDAVAVVERMEKDGPKPDTISYNELIRGYMKDGRLEEAKKLFIGMGKNGYATNRGTYHALLPCLLNAGDLDYALKICHEVLDIKCRVDCHVLQEVVTALVAASRVEDATKIVELGRKNSYPRWILKMPHTIEDNEVLTETNREESISEEEEEPENA >LPERR01G28870.1 pep chromosome:Lperr_V1.4:1:24749839:24752572:-1 gene:LPERR01G28870 transcript:LPERR01G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGRGEEEKASAPAVAPAPNVWFALKRSLHCRSEPSEVHVPRPKAVAATGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGTAGCGGGLVAAAAAAQHEADGDGGVVSSFVGTLRPGTPGPGWSHGALQYSGSCRGSMRCTPPRSPNLLLDKDGAAATGAVTAHRASCEDAVKSGGGKGSGGLSCHRCGEQFGKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGGGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAFESIEASTGGGGNDDAAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVYNNAGRDH >LPERR01G28870.2 pep chromosome:Lperr_V1.4:1:24750483:24752572:-1 gene:LPERR01G28870 transcript:LPERR01G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGRGEEEKASAPAVAPAPNVWFALKRSLHCRSEPSEVHVPRPKAVAATGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGTAGCGGGLVAAAAAAQHEADGDGGVVSSFVGTLRPGTPGPGWSHGALQYSGSCRGSMRCTPPRSPNLLLDKDGAAATGAVTAHRASCEDAVKSGGGKGSGGLSCHRCGEQFGKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGGGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAFESIEASTGGGGNDDAAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >LPERR01G28880.1 pep chromosome:Lperr_V1.4:1:24759011:24759313:1 gene:LPERR01G28880 transcript:LPERR01G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRMHGWVYAHEQLVVDPADPGAGGGKRRVVHEITEQTANGGFVRVSRKPTNHSKYTGRDPYEAYSKRKTCKGRNKFKHDEAKMYYLDIEGFEDDYD >LPERR01G28890.1 pep chromosome:Lperr_V1.4:1:24760264:24761162:-1 gene:LPERR01G28890 transcript:LPERR01G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKAAICIAVVAVSLIHVVIAADYTIGNPTGGWGGEFKAWVASQSFAPGDTLTFKYNSYHNVVEVTKDAYEACSALDPVSFDSSGSTTIVLTAPGKRYFICGAPGHCLNGMKLEVDVGDRPAPATPSPPPLLPPSPRHAKRRHAPAPMPLPPAPEPWSPAPAPAPTAMQRRHSGHKKHRSRHSPKPGPTMAPTVQSVEADFPAAAFAPMYSSPTPPPPPMSSDASAVMHQKLCDVIVGIVTTLGLVVLAV >LPERR01G28900.1 pep chromosome:Lperr_V1.4:1:24762595:24764190:-1 gene:LPERR01G28900 transcript:LPERR01G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLMSNLGTVLVCILSFLAAAFSSAGGVGGGSLYVPILNIVSGLSLKTATSFSIFMVTGGTLSNVLYTLILRSRREPGGQPLIDYDIAMVSQPCLLLGVSVGIICNVMFPEWLITVLFAVFLASATFKTYGTGMKRWRAETASVRRILEGGSAVDDGADQALLGQKGEDGRRRQWVDLVVLVTIWLCFFVIHLFIGGDGAKGVFDIKPCGVTYWFVTVAQIPIAVAFTACIVHQKRKTHIQSSQESDQAISVKNKVESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVIPLVYAFTCFVASIVGLVVIERAIRKSGRVSLIVFVVAAILALSVVVIACSGAVRVWVQYTSGQYMGFKMPC >LPERR01G28910.1 pep chromosome:Lperr_V1.4:1:24766804:24767436:1 gene:LPERR01G28910 transcript:LPERR01G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGKTTPAGVTIAGPVGRAYARKLPTLPCVGSPVKLRSHRHPKPTTRSTYAGASSLVDHQEETPRSSTRSKSIRTVGLEGKRVIEKDGSPLPLTSPSDVAILPQENKSLDLSLPKGHEHPPYGATAVSASDRDHVTTSPWIPVRIAVALPSSAPPRRPIRTGPSLGWGGLLVGRFLQAGCKTLAVAVNITMPTNIGEADPKASTSNPP >LPERR01G28920.1 pep chromosome:Lperr_V1.4:1:24768263:24770847:-1 gene:LPERR01G28920 transcript:LPERR01G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLSLLLLVSSSTFLSVASASNSTTSSSHSSHIQNLLNKLPQWREHHLLVDPSSHGSGPGVNTVAAWVLSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKNATAYSSFMVTGGAASNVLYNLLCTGGRRAAALIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITALFALFLAFCTSKTCRAGFRIWRSETRSATATANAHERMEPLLLPASAADGNGGEAGGDDTGFPWKDVAVLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVVHNQEDGKANLEGTKMETLPSLVFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSLFMVLFCASMSMVQFILLGMKGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMALSTVIVTFFGALDVWEQYTGGAYMGFKLPC >LPERR01G28930.1 pep chromosome:Lperr_V1.4:1:24772959:24777366:-1 gene:LPERR01G28930 transcript:LPERR01G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGAQAPRGGGGGGGFFSARSLSNYMRIVSSGASTAASSLRSAGASLVNSIANHDEDGSRDQLLAIVQQKGKDVQWAGFDKLECGGDMRRQVLLLAYRSGFQVWDVEHADDVRQLESRHDGTVSFMQLLKKPVASKNGEDRFADERPLLALACDGSSTGSLTSHDANGPVLNGANGTSRNIGYENLPTVLLFYSLRVHDYVHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLEREYTILTSPIVSPISSYGSLALGPRWIAYSGNPVPVPDTGRVSPQLLNLSPLVPTPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNAINQGNSGFKANGATTNGHADSEYAGMVIIRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSTLEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNEDNLAENSYVVDSSVKHTARWSQNSTPSLSLNQKMLSVSGPPVTLSVVSRIRNGSNLLKGAVHGAAAFATGVSSPLSGAIASTFHNCKGVVKNSDGSSPYMKHHLLVFSPSGSIIQYVLHRSVEQNPGIDFPSSTISYGSQRETDTRFIIEALQKWDVCHKRNRRDTAESSVYNDFDNGESNKLFQKVAKKGTSIFPSNGTAVEKLRHIGDDNHNFYISESELQTHVVPTPLWSRSGMHFQVMGVGTLEADITGIISGELEIEKLQTHNIESRSKNLIPVFESLHTSRFQQTRVSTLDSNRYGLLQGQKSGFSEDGRLSCRSSCSSLDCMSEGPVSTDDIGFGQCLVEDSGGAVNKNPNVNPCSELVNNTQSLNTEAQLGFVNDKEHCEDKEQLPDL >LPERR01G28940.1 pep chromosome:Lperr_V1.4:1:24779741:24781167:-1 gene:LPERR01G28940 transcript:LPERR01G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVLVRALIVAAATATVASSGGLPVPGYDGLAIGFYQKTCPQAEDLVRAEMREIVAEDKTLAPALLRFMLHDCFVRGCDASIMLISRNKTGERDSVPSYSLRGYEQIERIKAKLEEACPMTVSCADTIVMAARDAVYLSNGPWYQVETGRRDGKVSCDVDANNDLPPPNSNIVDLKIYFSVKNLNWKDLVVLSGSHTIGRAQCASFAEDRLYNYSGQGRQDPSLDKTYAPELRKACVPGDPKDKTFVEMDPGSPYDFDLSYYRDVYNNRGLFVSDQALLNDKWTKDYVERMASADSMDEYFRDYEVAMTNMGRIEVLTGENGEIRKVCGAYVD >LPERR01G28950.1 pep chromosome:Lperr_V1.4:1:24787717:24791044:1 gene:LPERR01G28950 transcript:LPERR01G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLVRWEADRSAAPEFVALDIGGEGELPGAEAELKTESSFDGKGERGGDANPSTTGVSGMYEKQVVPVHVDGSPKEQFHLSTPTASGAKRRRTGRRVPGWRDPRKILFAFAAL >LPERR01G28960.1 pep chromosome:Lperr_V1.4:1:24792812:24795343:1 gene:LPERR01G28960 transcript:LPERR01G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGCNKHLVLVHGACIGGWSYFKVAARLRSAGYRVTAPDMAASGVDPRPMRDVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGVNVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKFIEGDWLDWMDTEMKPQDAEGKLPTSMMFGPQITREKLLQLCSPEDLTLVRSLMRVSSMFVEDLQVQQPFSKGGYGSVRKVYIVCKQDLAIPEGFQRWMIESNPVEEVNEIDADHCVMLSRPDELARCLADIADSYA >LPERR01G28970.1 pep chromosome:Lperr_V1.4:1:24795430:24797822:1 gene:LPERR01G28970 transcript:LPERR01G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGKEGGAGAGAGGNDQCDVVARAFVEYYYQTFDTNRAALAALYGNTSMLSFEGHMVAGAEEIGRKLLGLPFEQCRHAVCTVDCQPTPSFPGGILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >LPERR01G28980.1 pep chromosome:Lperr_V1.4:1:24800262:24807466:1 gene:LPERR01G28980 transcript:LPERR01G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGEPLLSSSSHTNSYQCNRVLSITLLSLATVLGVAAAFLLLVPTGPNLCAKSPDPSSCQAIVADAILSSPHAHTSHRTAQQHVLRAILATSLERHEAAAEAVAGMRLRSTDPRQRAALEDCVQLMDLARDRLADAAAAAGGARDDARTWISAVLTDHVTCLDGLDDGTSSSPLRDSVGAHLEPLMSLASASLAVLSAGARDVLAEVVDGLPSWVPARDRTLLEVSGAGAVQANVVVAKDGSGRYKTIKEAVDAAPDGGKSRYVIYVKKGVYKENLEVGKTKRELMIVGDGMDQTVITGSRNVVDGSTTFNSATLALSGDGIILQDLKVENTAGPQKQQAVALRVSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPGASQKNAVTAQGRTDPNQNTGTSIHRCRVVPAPDLAPVARQFPTFLGRPWKEYSRTVYMLSYLDAHVDPRGWLEWNGDFALKTLFYGEYQNTGPGAGTAGRVNWPGYRVITDQNVAMQFTVGQFIQGGNWLKDTGVTYNEGL >LPERR01G28990.1 pep chromosome:Lperr_V1.4:1:24804188:24807286:-1 gene:LPERR01G28990 transcript:LPERR01G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRIERISPGLESPSPSTPPIAGAESPDARPTVVSKLLVAGEMEFVASIVDAVFRPLKDYFARTFGYKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMLGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKIRMECLPWEPAWELFREKVGEHLMYSSMEIQEQAKTLAKKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMGVLMPLKNSYDCLPSDKLRLCLLYCSLFPEESSISKDWVIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIASLLQTGDDEDHISMHPMVRAMALWIASDYGTKETKWLVRAGVGLKEAPGAEKWCDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSINELPSGISALVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLELIPSGVISSLTMLQVLYMDLSYGDWKVDSSGNGVEFQELESLRRLKILDITIQSLEALERLSLSYRLVGSTRNLLIKACASITKIELPSSRLWKNMIGLKRVWIASCINLEEVIIDGSTKTDPMYTLPDISLKSRGDLSNNNQPVLPNLQNIILQTLHKVRIIYKSGCVQNITSLYIWYCHGLEELITLCDEEQVTVGNSSEQAAGTFRDITPFPNLKELYLHGLANFRALCSSTCLLRFPLLESLKIVECPKLKKLKLSVGNLNAVQCTREWWDALEWDDAEVKASYETLFRPLH >LPERR01G28990.2 pep chromosome:Lperr_V1.4:1:24804188:24807286:-1 gene:LPERR01G28990 transcript:LPERR01G28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRIERISPGLESPSPSTPPIAGAGISPQSKLLVAGEMEFVASIVDAVFRPLKDYFARTFGYKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMLGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKIRMECLPWEPAWELFREKVGEHLMYSSMEIQEQAKTLAKKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMGVLMPLKNSYDCLPSDKLRLCLLYCSLFPEESSISKDWVIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIASLLQTGDDEDHISMHPMVRAMALWIASDYGTKETKWLVRAGVGLKEAPGAEKWCDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSINELPSGISALVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLELIPSGVISSLTMLQVLYMDLSYGDWKVDSSGNGVEFQELESLRRLKILDITIQSLEALERLSLSYRLVGSTRNLLIKACASITKIELPSSRLWKNMIGLKRVWIASCINLEEVIIDGSTKTDPMYTLPDISLKSRGDLSNNNQPVLPNLQNIILQTLHKVRIIYKSGCVQNITSLYIWYCHGLEELITLCDEEQVTVGNSSEQAAGTFRDITPFPNLKELYLHGLANFRALCSSTCLLRFPLLESLKIVECPKLKKLKLSVGNLNAVQCTREWWDALEWDDAEVKASYETLFRPLH >LPERR01G29000.1 pep chromosome:Lperr_V1.4:1:24823690:24826548:1 gene:LPERR01G29000 transcript:LPERR01G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQMQWWVVVACVVASVSSASALVFKAGGGTGEWHVPQQASGNGNVSAYNQWAEHNRFRVGDGIAFNYQPGNDSVLLVDKNAYDACDVSSPVDRFADGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMGERAANGTAPALAPSAGTTTPNSPPSPPPPSGIEISPTPEQSTNAAAHPRAAGIAGAVGLAIATLFYALV >LPERR01G29010.1 pep chromosome:Lperr_V1.4:1:24829489:24833276:1 gene:LPERR01G29010 transcript:LPERR01G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTQELLATFEADCGLSPENSNTNQKRKRLQRLTKQQSEILEGFFSVCGHPDDSQKRHLSGRTGLGLDQVKFWFQNKRTQVKTSCCKEDNYKLTVENEILRDENRYFKIAICYAVCVNCGNKSQQNHLSVVMGRLKGHTDWLQQEITRSHGTLLNANIPSQLDPSAQTVLPGQQDAEMMAELAKNAMHALIIVSQTHVGLWFPVPGCSYDILNMMAYQQAYAGDNGANAMGFKTEATRGDAMVMMDSKSIVDFLMEPYNYRSFFPGAISGAITNRIYTWPTNDGYNGVVQLMTVEMMFPSPLVPVRRCTFLRHCNVVAEGAVVVVDLSLDDGTGFAKCRKLPSGFLIRSLRPNTCKVIAVEHVRVDDSGIHELYQPCLSGLMFGSRRWVVTMARQAARLRDVHLTKSTLKVSTKGRKNLMKLADDLLVSFAGSVAPVGAAGGMWTVLTGAGMEDDIRVAYRCITEGTSTNTTNAVLSACASLRVPLPMSQVFELLRNLTLRSKWDVLVHGSTVKEEVTIAKGAGSDDAVTILHAKRGKGQNKERIMILQNNAYDASGSFMVYSPVDSQLMNTMILAPSDQPSGASNLSLYPTGFSLLPDGEAAKDTTGMDIGEVGGTLMTVGFQIPVKLAGGAGTVVNPRSVASAVRLMDDMITIVKKTLTEDHSAIRGIGPFN >LPERR01G29020.1 pep chromosome:Lperr_V1.4:1:24837943:24839958:-1 gene:LPERR01G29020 transcript:LPERR01G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRLRLPLPITTRAFSPSSPSSGPHEIPTVYSFLQPSVFAPRPKPHPPPPPPPTPPAPKPLPAGDAVALEDELLAAVSEDRSDDAWLAFRSLAAASLSPSPSTAGALVTHLAAAAAAHHRLGLKRAFTAAVFLLEKSPHADPVPEAALQAVFTSLAAAGSAAPALALVRALLRCGRRLPAFSAWGSPLIELTRADMAAFAAFLKVFDEACKQVVAEKSPSAAAVMRPDLASCNAVLGGCCRLLGSVTDAERVLEIMSAIGVSPDMHSFGCLAFLYAWRGVPSRVDELDKLLDALGFSKKSFFKNLISGYLKSCSFELVSSVILRVVGERRIGDGNTFDLESYTEVAQCFVDNGRIRELAQLIIKAQEIESLQQSLAVEDSVGFGIVNACVELGLLNKAHNILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDSVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLCALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >LPERR01G29030.1 pep chromosome:Lperr_V1.4:1:24841175:24842678:-1 gene:LPERR01G29030 transcript:LPERR01G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >LPERR01G29040.1 pep chromosome:Lperr_V1.4:1:24843834:24845910:-1 gene:LPERR01G29040 transcript:LPERR01G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDEHAMESETDLISVLPGKVLQHILSFSRIRAIVRMRRLSRRWKRVCECLQFICIDYRDFEHWKFEKFSRFVDNLLLIRSKVDPHTFQFHWSHYVALNCNDVRKWIGYVVKHNVKVLDVNLDNYDKSILPCCIFTCRSVQELNLQMGESPHEDYEHKGLVLPDIIKLPSLKKLTLCDVEVYQISLNQFIGQSPDLEELHLINSVTYVDRIASKVLKRLTLDGFMYGPNRFTISTPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGHTYDGDSEFIGVLLSAKKLALFGSGIKAMLEKELPTCSVFERLVTLEMGKWCLTEDFYAVLRFLQLSPRLEKLTLMQTELPQAAKKEAETNAMPIDGMAFQCPHLETIIIQCSKGDDGMDKLVNVLVANGISPEKISVTFYEDIKKMALTESIRIRDERRKELSNFEKMVKENPEWIDESRYADSNPNTDSDEYDEEDF >LPERR01G29050.1 pep chromosome:Lperr_V1.4:1:24847411:24850409:-1 gene:LPERR01G29050 transcript:LPERR01G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKTLNPLLRRSRSPIPNPQSLLSLDTFLTSSPAAASTPAPFAAAAHHHAPIRSGGPLFLSSPPWMLSQSATPLTAAAAALRARLRRARALAGGGAQAVADAVVWEPRRISRGESEVAGAVPGGAGCEKFLNLPNLVSIGRMVSGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASNLGWKWNSWSEFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITMLSWLVASTTIASTLFVEHLEQDIGGKARVQ >LPERR01G29060.1 pep chromosome:Lperr_V1.4:1:24852206:24854669:1 gene:LPERR01G29060 transcript:LPERR01G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTSAVKRCCNGTTLLRGVCTCRCPSRACGPCGVRGKEEASTSASSPDKKKRWRKKRFWRKKKKVRKECYNDAADDGERASRGTENDAVADLVNDISSNSDVYKHVYAAEGILRITHQNIPSRVLTYRELSNATDSFSPNNLLGEGGFGRVYRGHLKETNEVVAVKQLDKNGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDCDQRILVYECMRNGSLEDHLLDVPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDEDFNAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTSRPTHEQVLVQWAAPLVKDKKRFVRMADPLLDQKFPLKGLYQALAIASMCLQEDASSRPMISDVVAALSFLAEQKYYPQEGKDQAAQKSRDRDSSNPPRTDMVSEIKADDEIKQR >LPERR01G29070.1 pep chromosome:Lperr_V1.4:1:24855255:24856427:1 gene:LPERR01G29070 transcript:LPERR01G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRRLLCRRLSTATAPPPTPGSILNPSSPSTPLTSQQKSRLAISLLKSKPPPPPDQILSICRAAALTPDYHLDRVALSLAATKLSSAPDTLRDLASSLLTPGHAPHAIALFGQAGLLPDAISTFKSSPSTRSLNALLFACLVSGNHSEAARIFQTFPDAHNVKPNTETYNTVIKSFAESGTTRSFYSVLDEMCRKGLKPNATTFTAAIAGFYKEERFDEVGKVIELMKKHGCGETLQVFNVRIQGLCKLGRSGEAKALLNEMVKKGTKPSWVTYNHLVLGFCKEGDLEEAKRLYKEMGKKGLVGDSKTYFSLIFYLCKGGDFDTAVGVYNEAAARNWVPNFSTMKMLVNGLAGSERIDEAKGIIEKMKEKFPNKAEEWKEVEEALPQ >LPERR01G29080.1 pep chromosome:Lperr_V1.4:1:24860252:24862906:-1 gene:LPERR01G29080 transcript:LPERR01G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRYSLVAILVLVACAFTYTAIYTTLPVSVNPCAHTLPRTLLAVTGLDPYVVSCATADDDTSNSLLSDGGHDDKKSGRAGGPIVTDLLQCRKPDGPYLPENLQCCPPMPASEPVDFTLPDPSEPLRTRRPAHVAGAEYMAKYERAIALMKALPRSDPHSFYQQASIHCAYCTGAYRQVGHPELAVQIHYSWLFFPFHRAYIYFFERIAAKLLGDPGFALPFWSWDVPEGMRMPAAFANASSPLYDPVRNPRHAPPKLVDLDFDFDGPEKNYTDEQQIQHNLWTMYKQMIRSAPLPSLFHGQPFRAGEPDMPGAGTVELQPHNVMHIWALTMPLVVTRFSTHTMLTLIGYGMHGATLVVAIRGDTLISRTLTGSTPLFSSTTKRLGLCVSLFVTCSIPRSFVTPIIMSPPTTPNMKYGWNDELEESIRFPMSLDKMVTTKVLVVEHIETDDISRFDVFVDARKHKNIEPSGREMVGSFVCLRHHNMHNNNTRKGVKTTMRIALSKVLEDLGAERDESVTVTLMPRHGKVRIGGLRIEYKGE >LPERR01G29090.1 pep chromosome:Lperr_V1.4:1:24868025:24870111:-1 gene:LPERR01G29090 transcript:LPERR01G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEPINFTFPDQNEPIRTRRPAHETGAEHMVKYERAITLMKALPRSDPRSFYQQANIHCAYCTGAYRQDGNPELNVQIHFSCWDVPEGMRLPLAFANASSPLYDSLRNPGHAPPRVVDLDYDRGVEKNYTDEEQIQHNLRVMYKQMISNAPLASLFHGQPYRAGEADKPSAGTVEIYPQNTMHTWTGDLSHLNVENMGVYYSAGRDPVFYPHHANIDRLWEVWRNTATGDRSHTIFTDPDWLDSSFLFYDEEARLVRITVRDVLNIEKLRYAYDDKVGIPWLNARPPLTPNVNNKVKGGLLEYVRFPLSLNVTVTTVLRRPSILEMPIEIENLEEVLVVEGIETDGTSIAKFDVFINAREHEKVEPSGRERAGTFVCLKHLVDNSARGEGVDTSKRVALNEILEDMGAKEDDIVTVTLVLRHENIRIRGLRIVHMVE >LPERR01G29100.1 pep chromosome:Lperr_V1.4:1:24877621:24883257:-1 gene:LPERR01G29100 transcript:LPERR01G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSTLIAAALLLVAAASLTAALLLYSGDPGDVDGLGGVLPWTTKTTLPFSPTDVLPLLPRTVAMAALRALRGVADIFPVFVGAALGSARPDANRAWMEFHNGTGKGGLGGGTLHLETSKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLNFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNADDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGTTFDKLLTIPEQDKWVYADGQSASCVAYVLMMYKEAGLFDPISSSIEVTEFTIKDAYSLNFFEDDMARLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYSHMNERCPSLPPNYNRPRNC >LPERR01G29100.2 pep chromosome:Lperr_V1.4:1:24877621:24883257:-1 gene:LPERR01G29100 transcript:LPERR01G29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSTLIAAALLLVAAASLTAALLLYSGDPGDVDGLGGVLPWTTKTTLPFSPTDVLPLLPRTVAMAALRALRGVADIFPVFVGAALGSARPDAVSARVEWKGACFYENEAWLVFHNNSGSKYGGGTIHLKVRILAHTSNSLLFSRSRIGARKDRVWHTLTGAMGANNLKLIALSGAALLLLLFAASRPSLRGLLEAAPLRAPFLPRDLLPLLPWPVAQPLLRRLRGPADLLPAFVGVARVPGDGGGRAAEWKGACFYENRAWMEFHNGTGKGGLGGGTLHLETSKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLNFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNADDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGTTFDKLLTIPEQDKWVYADGQSASCVAYVLMMYKEAGLFDPISSSIEVTEFTIKDAYSLNFFEDDMARLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYSHMNERCPSLPPNYNRPRNC >LPERR01G29100.3 pep chromosome:Lperr_V1.4:1:24877621:24883257:-1 gene:LPERR01G29100 transcript:LPERR01G29100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSTLIAAALLLVAAASLTAALLLYSGDPGDVDGLGGVLPWTTKTTLPFSPTDVLPLLPRTVAMAALRALRGVADIFPVFVGAALGSARPDAVSARVEWKGACFYENEAWLVFHNNSGSKYGGGTIHLKTSKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLNFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNADDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGTTFDKLLTIPEQDKWVYADGQSASCVAYVLMMYKEAGLFDPISSSIEVTEFTIKDAYSLNFFEDDMARLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYSHMNERCPSLPPNYNRPRNC >LPERR01G29110.1 pep chromosome:Lperr_V1.4:1:24885927:24893695:-1 gene:LPERR01G29110 transcript:LPERR01G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRAAKSWADDDEWKDEEKQHQQLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGDSKGRPVEKVLAEMISSGKVCYEQEATGHWRPSLQSIPEADELLKLMGNCGASRHAVASWADGDEWEEEEAYSSSSEDDHRERREHVEEVTIRITKRQLHELMEAKAAGGKSRRSTQQLLADIMNSGEVHHHHDHHRQAHWKPALQSIPEAVES >LPERR01G29120.1 pep chromosome:Lperr_V1.4:1:24893830:24894171:-1 gene:LPERR01G29120 transcript:LPERR01G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQHAVSWADDGEWELPEAEEKSTSAPRSGAHMTEVTIRITKRQLQELVDKKATSAAGHGLHIYGKSRRSAAELLADIMNAGEVYHQHCRVAHWKPALQSIPEAAMES >LPERR01G29130.1 pep chromosome:Lperr_V1.4:1:24910017:24915209:1 gene:LPERR01G29130 transcript:LPERR01G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREPEMEEARENWGVGSVLPMASLISPSGNEVQLSELEGKIIGLYFAANWYPKCEAFTPALTAAYHRLKEQGAGFEVVFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGDVVQPDAVELVHRYGDLAFPFTPARVAELEADEQRKFASQTLENIFSVNGKDYVNGSHEQVPISSLVGKTVGLYFSAHRCGPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSEMPWLALPYDDDGGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDLAFPFTDEQIRLLQEMEDEEAKGYPPSLRHTGHRHQLSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCARDMEGGNTGAG >LPERR01G29140.1 pep chromosome:Lperr_V1.4:1:24913260:24915244:-1 gene:LPERR01G29140 transcript:LPERR01G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >LPERR01G29140.2 pep chromosome:Lperr_V1.4:1:24913262:24914074:-1 gene:LPERR01G29140 transcript:LPERR01G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >LPERR01G29140.3 pep chromosome:Lperr_V1.4:1:24913262:24914814:-1 gene:LPERR01G29140 transcript:LPERR01G29140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIYPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >LPERR01G29150.1 pep chromosome:Lperr_V1.4:1:24916413:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIQTSINCLLSLQSYTKKEKWNSTLVSAARLHCYFVSLCCSSERTDRARTHAHPDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLCTKDYLNGTDVKGKIVLCISLEISPLVLFQVALKTVLSAGASGLIFAQYTTDLLGITTACNGTACVLVDVDTANLISSYIRDASSPVAKIEPTRTITGERVLAPKVAAFSSRGPSIDYPDIIKPDIAAPGSNILAAMKDQYQLGTGTSMATPHVAGIVALLKALHPDWSPAAVKSAIITTASVTDKRGIPILAEGMPRKIADPFDYGGGNIDPNSAADPGLIYDIDPIYHAETQSPPGVKMVVEPSVLVFDVANKIHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >LPERR01G29150.2 pep chromosome:Lperr_V1.4:1:24916413:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIQTSINCLLSLQSYTKKEKWNSTLVSAARLHCYFVSLCCSSERTDRARTHAHPDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLYDREP >LPERR01G29150.3 pep chromosome:Lperr_V1.4:1:24916413:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIQTSINCLLSLQSYTKKEKWNSTLVSAARLHCYFVSLCCSSERTDRARTHAHPDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLCTKDYLNGTDVKGKIVLCISLEISPLVLFQVALKTVLSAGASGLIFAQYTTDLLGITTACNGTACVLVDVDTANLISSYIRDASSPVAKIEPTRTITGERVLAPKVAAFSSRGPSIDYPDIIKPDIAAPGSNILAAMKDQYQLGTGTSMATPHVAGIVALLKALHPDWSPAAVKSAIITTASVTDKRGIPILAEGMPRKIADPFDYGGGNIDPNSAADPGLIYDIDPSDYTKFFGCTIKTSVRCNATILRGYHLNLPSISVPDLRHPTTVSRTVTNVGEVNAVYHAETQSPPGVKMVVEPSVLVFDVANKIHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >LPERR01G29150.4 pep chromosome:Lperr_V1.4:1:24916477:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHSCQRRKASLLLCFFMLFIGAHGSRKLYITYLGDRTHAHPDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLYDREP >LPERR01G29150.5 pep chromosome:Lperr_V1.4:1:24916477:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEACMIESLDQYFSCLISINISGKMTLICCYRFLVDRCTKDYLNGTDVKGKIVLCISLEISPLVLFQVALKTVLSAGASGLIFAQYTTDLLGITTACNGTACVLVDVDTANLISSYIRDASSPVAKIEPTRTITGERVLAPKVAAFSSRGPSIDYPDIIKPDIAAPGSNILAAMKDQYQLGTGTSMATPHVAGIVALLKALHPDWSPAAVKSAIITTASVTDKRGIPILAEGMPRKIADPFDYGGGNIDPNSAADPGLIYDIDPSDYTKFFGCTIKTSVRCNATILRGYHLNLPSISVPDLRHPTTVSRTVTNVGEVNAVYHAETQSPPGVKMVVEPSVLVFDVANKIHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >LPERR01G29150.6 pep chromosome:Lperr_V1.4:1:24916461:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEACMIESLDQYFSCLISINISGKMTLICCYRFLVDRCTKDYLNGTDVKGKIVLCISLEISPLVLFQVALKTVLSAGASGLIFAQYTTDLLGITTACNGTACVLVDVDTANLISSYIRDASSSPVAKIEPTRTITGERVLAPKVAAFSSRGPSIDYPDIIKPDIAAPGSNILAAMKDQYQLGTGTSMATPHVAGIVALLKALHPDWSPAAVKSAIITTASVTDKRGIPILAEGMPRKIADPFDYGGGNIDPNSAADPGLIYDIDPSDYTKFFGCTIKTSVRCNATILRGYHLNLPSISVPDLRHPTTVSRTVTNVGEVNAVYHAETQSPPGVKMVVEPSVLVFDVANKIHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >LPERR01G29150.7 pep chromosome:Lperr_V1.4:1:24916413:24919996:1 gene:LPERR01G29150 transcript:LPERR01G29150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIQTSINCLLSLQSYTKKEKWNSTLVSAARLHCYFVSLCCSSERTDRASKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLCTKDYLNGTDVKGKIVLCISLEISPLVLFQVALKTVLSAGASGLIFAQYTTDLLGITTACNGTACVLVDVDTANLISSYIRDASSPVAKIEPTRTITGERVLAPKVAAFSSRGPSIDYPDIIKPDIAAPGSNILAAMKDQYQLGTGTSMATPHVAGIVALLKALHPDWSPAAVKSAIITTASVTDKRGIPILAEGMPRKIADPFDYGGGNIDPNSAADPGLIYDIDPSDYTKFFGCTIKTSVRCNATILRGYHLNLPSISVPDLRHPTTVSRTVTNVGEVNAVYHAETQSPPGVKMVVEPSVLVFDVANKIHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >LPERR01G29150.8 pep chromosome:Lperr_V1.4:1:24916413:24919999:1 gene:LPERR01G29150 transcript:LPERR01G29150.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIQTSINCLLSLQSYTKKEKWNSTLVSAARLHCYFVSLCCSSERTDRARTHAHPDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLDYQNPSELLRRSKYGEDIIIGIVDTGIWPESRSFSDQDYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEEDLRIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRARAGAGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVLYAAANFGPAPQLVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYEGNNSSGSSFRLLVDGGLYDREP >LPERR01G29160.1 pep chromosome:Lperr_V1.4:1:24932003:24935096:1 gene:LPERR01G29160 transcript:LPERR01G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTEAAATAVTEAAARRQRGDEGVPTACSWRLPPGVIPLRLDLPPLDGSGRWLLVDGQQRQHHGRPVFGLSRTVARFWHNGSGPLSRETSDRPKPPNHIISGIQSAPLVDDPFGTMMQNYGNEITNYRFNPFTYRHYDVSGNHLNAFSRVITDSTLSHLNAFTISNESNTAHGSRKLYIAYLGDVKHGHPDDVIASHHDMLTTVLGSKEDSLASIVHNYKHGFSGFAAMLTEDQAKQLAEFPEVISVKPSRACRKATTRSWDMLGLNNRMPTELLHRTNYGEDIIIGIVDSG >LPERR01G29170.1 pep chromosome:Lperr_V1.4:1:24936996:24937655:1 gene:LPERR01G29170 transcript:LPERR01G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLLQGSGRSREASATKGTARYRHGGIGEGWDINNCSRKIIGARFYYAGVDEKALKLDYLSPRDVDGHGTHTASTAGGSVVEAVSFHGLAAGTARGGAPRARIAVYKSLWGRGGVEDGNAATVLAAIDDAIHDGVDVLSLSLYIDEENLFGALHAVQKGITVLYSAGNSGSAPQVLENTAPWVITVAASKIDRSFPTVITLGDKRQIVVRATNAKPY >LPERR01G29180.1 pep chromosome:Lperr_V1.4:1:24939369:24953679:1 gene:LPERR01G29180 transcript:LPERR01G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYIAYLGEKKHDDPTLVTASHHDMLSSIIGSKDEASASFAYSYKHGFSGFAAMLTEDQAEHLAELPEVISITPNQKHELTTTRSWDFLGLNLEPPSELLQRSNYGEDIIIGIIDSGIWPESKSFSDHGYDAIPSRWKGVCQLGQAWGPSNCSRKIIGARYYVAGLDKANFKNNYMSARDNNGHGTHTASTAAGAVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGVQKGITVVYAGGNYGPRPQVLINTAPWVITVAASKIDRSFPTTITLGNKRTLVGQSLYDKLKNETKSKFESLINGGNCSREVLNGASINGKVVLCIEKTFGPTTKFYKDVIVRVIQGGASGLIFALYTTDVLLSTEGSQGIPCVIVDNEIGFQVATYIGTERFPTVKIEPANSITGIQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKGDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASRKDEYDMPMLAEALPRKVADPFDYGGGNINPNWAADPGLIYDINPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVQRAVTNVGEVDAVYQSSIESPLGVKMTIEPPILVFNVIKKVHAFNVRITPLWKVQGDYTFGSLTWYNELHSARIPIVVRITIQDFYADLYIAYLGEKKYDDPSLVIASHHDMLTKVFQSKEEALASIVYSYKHGFSGFAAMLTEDQAEILAELPEVISLTPNKLHELMTTRSWDFLGLNYEPPSKLLRRSNYGEDVIIGMIDTGIWPESRSFSDHEYKPIPARWKGVCQLGEAWGPTNCSRKIIGARYYAAGMEKIDLKKNYMSARDMAGHGTHTASIAAGAMVEGVNLHGLGAGVARGGAPRARLAVYKVSWDTRDNLQIASAGVLAAIDDAIHDGVDILSLSLNVDENSFGALHAVQKRITVVYAGGNSGPRPQVIYNTAPWVITAAASKIDRSFPTTITLGNKQTIVGQSLYYKLKNESKSGFQPLLHGGSCSGEALNGTTIKGKIVLCVEASYGPIENFIDNIFQNVLSNGASGLIFALYTTDILLSTEDCLGIACVLVDIDIGFQVATYIGSQSVPVAKIEPAVSITGKEVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAEEDGYVFKSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTSASTKDEYGMPILAEGLPRKVADPFDYGGGHINPNGAADPGLVYEIDPMDYSKFFACKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYKSSIQSPLGVKISVEPPTLVFNAKNKVKTFKVSMTPLWKVQGEYTFGSLTWYNEHHTVRIPIAARITIRDFYADVA >LPERR01G29180.2 pep chromosome:Lperr_V1.4:1:24939051:24953679:1 gene:LPERR01G29180 transcript:LPERR01G29180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEPSVLVFDAANKVHTFKVTLSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADLYIAYLGEKKHDDPTLVTASHHDMLSSIIGSKDEASASFAYSYKHGFSGFAAMLTEDQAEHLAELPEVISITPNQKHELTTTRSWDFLGLNLEPPSELLQRSNYGEDIIIGIIDSGIWPESKSFSDHGYDAIPSRWKGVCQLGQAWGPSNCSRKIIGARYYVAGLDKANFKNNYMSARDNNGHGTHTASTAAGAVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGGEIYLATASVLAALDDAIHDGVDILSLSITADEDSFGALHAVQKGITVVYAGGNYGPRPQVLINTAPWVITVAASKIDRSFPTTITLGNKRTLVGQSLYDKLKNETKSKFESLINGGNCSREVLNGASINGKVVLCIEKTFGPTTKFYKDVIVRVIQGGASGLIFALYTTDVLLSTEGSQGIPCVIVDNEIGFQVATYIGTERFPTVKIEPANSITGIQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKGDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASRKDEYDMPMLAEALPRKVADPFDYGGGNINPNWAADPGLIYDINPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVQRAVTNVGEVDAVYQSSIESPLGVKMTIEPPILVFNVIKKVHAFNVRITPLWKVQGDYTFGSLTWYNELHSARIPIVVRITIQDFYADLYIAYLGEKKYDDPSLVIASHHDMLTKVFQSKEEALASIVYSYKHGFSGFAAMLTEDQAEILAELPEVISLTPNKLHELMTTRSWDFLGLNYEPPSKLLRRSNYGEDVIIGMIDTGIWPESRSFSDHEYKPIPARWKGVCQLGEAWGPTNCSRKIIGARYYAAGMEKIDLKKNYMSARDMAGHGTHTASIAAGAMVEGVNLHGLGAGVARGGAPRARLAVYKVSWDTRDNLQIASAGVLAAIDDAIHDGVDILSLSLNVDENSFGALHAVQKRITVVYAGGNSGPRPQVIYNTAPWVITAAASKIDRSFPTTITLGNKQTIVGQSLYYKLKNESKSGFQPLLHGGSCSGEALNGTTIKGKIVLCVEASYGPIENFIDNIFQNVLSNGASGLIFALYTTDILLSTEDCLGIACVLVDIDIGFQVATYIGSQSVPVAKIEPAVSITGKEVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAEEDGYVFKSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTSASTKDEYGMPILAEGLPRKVADPFDYGGGHINPNGAADPGLVYEIDPMDYSKFFACKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYKSSIQSPLGVKISVEPPTLVFNAKNKVKTFKVSMTPLWKVQGEYTFGSLTWYNEHHTVRIPIAARITIRDFYADVA >LPERR01G29180.3 pep chromosome:Lperr_V1.4:1:24939369:24953679:1 gene:LPERR01G29180 transcript:LPERR01G29180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYIAYLGEKKHDDPTLVTASHHDMLSSIIGSKDEASASFAYSYKHGFSGFAAMLTEDQAEHLAELPEVISITPNQKHELTTTRSWDFLGLNLEPPSELLQRSNYGEDIIIGIIDSGIWPESKSFSDHGYDAIPSRWKGVCQLGQAWGPSNCSRKIIGARYYVAGLDKANFKNNYMSARDNNGHGTHTASTAAGAVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGGEIYLATASVLAALDDAIHDGVDILSLSITADEDSFGALHAVQKGITVVYAGGNYGPRPQVLINTAPWVITVAASKIDRSFPTTITLGNKRTLVGQSLYDKLKNETKSKFESLINGGNCSREVLNGASINGKVVLCIEKTFGPTTKFYKDVIVRVIQGGASGLIFALYTTDVLLSTEGSQGIPCVIVDNEIGFQVATYIGTERFPTVKIEPANSITGIQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKGDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASRKDEYDMPMLAEALPRKVADPFDYGGGNINPNWAADPGLIYDINPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVQRAVTNVGEVDAVYQSSIESPLGVKMTIEPPILVFNVIKKVHAFNVRITPLWKVQGDYTFGSLTWYNELHSARIPIVVRITIQDFYADLYIAYLGEKKYDDPSLVIASHHDMLTKVFQSKEEALASIVYSYKHGFSGFAAMLTEDQAEILAELPEVISLTPNKLHELMTTRSWDFLGLNYEPPSKLLRRSNYGEDVIIGMIDTGIWPESRSFSDHEYKPIPARWKGVCQLGEAWGPTNCSRKIIGARYYAAGMEKIDLKKNYMSARDMAGHGTHTASIAAGAMVEGVNLHGLGAGVARGGAPRARLAVYKVSWDTRDNLQIASAGVLAAIDDAIHDGVDILSLSLNVDENSFGALHAVQKRITVVYAGGNSGPRPQVIYNTAPWVITAAASKIDRSFPTTITLGNKQTIVGQSLYYKLKNESKSGFQPLLHGGSCSGEALNGTTIKGKIVLCVEASYGPIENFIDNIFQNVLSNGASGLIFALYTTDILLSTEDCLGIACVLVDIDIGFQVATYIGSQSVPVAKIEPAVSITGKEVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAEEDGYVFKSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTSASTKDEYGMPILAEGLPRKVADPFDYGGGHINPNGAADPGLVYEIDPMDYSKFFACKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYKSSIQSPLGVKISVEPPTLVFNAKNKVKTFKVSMTPLWKVQGEYTFGSLTWYNEHHTVRIPIAARITIRDFYADVA >LPERR01G29180.4 pep chromosome:Lperr_V1.4:1:24939369:24953679:1 gene:LPERR01G29180 transcript:LPERR01G29180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYIAYLGEKKHDDPTLVTASHHDMLSSIIGSKDEASASFAYSYKHGFSGFAAMLTEDQAEHLAELPEVISITPNQKHELTTTRSWDFLGLNLEPPSELLQRSNYGEDIIIGIIDSGIWPESKSFSDHGYDAIPSRWKGVCQLGQAWGPSNCSRKIIGARYYVAGLDKANFKNNYMSARDNNGHGTHTASTAAGAVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGGEIYLATASVLAALDDAIHDGVDILSLSITADEDSFGALHAVQKGITVVYAGGNYGPRPQVLINTAPWVITVAASKIDRSFPTTITLGNKRTLVGQSLYDKLKNETKSKFESLINGGNCSREVLNGASINGKVVLCIEKTFGPTTKFYKDVIVRVIQGGASGLIFALYTTDVLLSTEGSQGIPCVIVDNEIGFQVATYIGTERFPTVKIEPANSITGIQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKGDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASRKDEYDMPMLAEALPRKVADPFDYGGGNINPNWAADPGLIYDINPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVQRAVTNVGEVDAVYQSSIESPLGVKMTIEPPILVFNVIKKVHAFNVRITPLWKVQGDYTFGSLTWYNELHSARIPIVVRITIQDFYADLYIAYLGEKKYDDPSLVIASHHDMLTKVFQSKEEALASIVYSYKHGFSGFAAMLTEDQAEILAELPEVISLTPNKLHELMTTRSWDFLGLNYEPPSKLLRRSNYGEDVIIGMIDTGIWPESRSFSDHEYKPIPARWKGVCQLGEAWGPTNCSRKIIGARYYAAGMEKIDLKKNYMSARDMAGHGTHTASIAAGAMVEGVNLHGLGAGVARGGAPRARLAVYKVSWDTRDNLQIASAGVLAAIDDAIHDGVDILSLSLNVDENSFGALHAVQKRITVVYAGGNSGPRPQVIYNTAPWVITAAASKIDRSFPTTITLGNKQTIVGQSLYYKLKNESKSGFQPLLHGGSTEDCLGIACVLVDIDIGFQVATYIGSQSVPVAKIEPAVSITGKEVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAEEDGYVFKSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTSASTKDEYGMPILAEGLPRKVADPFDYGGGHINPNGAADPGLVYEIDPMDYSKFFACKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYKSSIQSPLGVKISVEPPTLVFNAKNKVKTFKVSMTPLWKVQGEYTFGSLTWYNEHHTVRIPIAARITIRDFYADVA >LPERR01G29190.1 pep chromosome:Lperr_V1.4:1:24954618:24954860:1 gene:LPERR01G29190 transcript:LPERR01G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSLLPVCALVLVVLCVASLVNVTEGRRGGGGRAFIGAGSGGVRGSSSRTSGSPRGLSGGTWAACAGSSLLVAAAMLL >LPERR01G29200.1 pep chromosome:Lperr_V1.4:1:24956260:24956493:1 gene:LPERR01G29200 transcript:LPERR01G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSLLPVCALVLVMLCVASLMDVTEARRGRAYIGGAGGARGSGNRTTSGSPRGLSGGNWAACAGSSVLAAAAMLL >LPERR01G29210.1 pep chromosome:Lperr_V1.4:1:24958977:24959195:1 gene:LPERR01G29210 transcript:LPERR01G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSFLPVCALLVVMLCVASLMNVAEGRGSHRGGPRGIRGMRSSGSSRGLGGGTWAACAGSSLLAVAAMLF >LPERR01G29220.1 pep chromosome:Lperr_V1.4:1:24961500:24964153:1 gene:LPERR01G29220 transcript:LPERR01G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAAREIAAVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDPAALSRAVASISSSLRRLVAKGQLSQVACEHSIERIKCVSSVQELRDVDLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISVTRLASATNRPCQVIGMHFFNPPPIMKLVEIIRGADTSEEVFAKVKSFSEGLGKTVICSQDFPGFIVNRILMPMINEAFWTLYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYETRSSSVKPRSSL >LPERR01G29230.1 pep chromosome:Lperr_V1.4:1:24965366:24967544:-1 gene:LPERR01G29230 transcript:LPERR01G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPVTLLPPTSRVPLRPSPPRASNPNSTDRSTATSAASSTSSSAGAGMGAAAWWRRSLGQRFNPAGVAAVAAVAVSEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLTSAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLVATEIEAAIQGVHVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRKVRKFEAYIISYWYRKGHRPIKHPLLPDARRIVKFDPYKDPMAPGT >LPERR01G29240.1 pep chromosome:Lperr_V1.4:1:24970557:24975641:-1 gene:LPERR01G29240 transcript:LPERR01G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLSSSPSPRPRDDVETNGMAAASKAAGGKSGGGGGGSGGGVPLAPRGGAAAKRAADLQPRRDEDDSDAEFGGSVDDGGSMDDGDSEMLENDGDMDEFIVVKLAQIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIASLYPNIDKYEEEELAFSEEERSRNKKIQATIEETIRKQSEAIGKKRSTAKATATAFARKYRRNMRTRGRGKTVAPDIALIGSDDEDREEENGNDATKESSSADDRSPDLRPKRGRKRPASRGSPARTVGSSDHGLEENDELIGGKESFTTSTSPLQGEMLAWGKNGTRSQTRHGSIGGSNGRMAKGGRVAKLVDHLRTTDNMDKEFNLFLVLLPLDEKSMPNLDRPYISCRPTMSIRQLAQFIALQLSRQVAELEIFMRMDHHNGSVATKDCSTGDAKMHLSDGLERIREDKLLSELHPSFTSHHGDLRFIWPFGLSLVKGCM >LPERR01G29250.1 pep chromosome:Lperr_V1.4:1:24977950:24978352:-1 gene:LPERR01G29250 transcript:LPERR01G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGDHVPVRRAAASRGGGGRGVSRESDGEAAAYRRAREPPRNGDPDEEDGGGGDGNGSGSDSDSESSLSGAGDKDE >LPERR01G29260.1 pep chromosome:Lperr_V1.4:1:24994131:24994832:1 gene:LPERR01G29260 transcript:LPERR01G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAVTMEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMGDCSGSPSSNSTVDTVGGVQTPMQAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFKGYPVARPAVHPYFFYEQAAAAAAAGYRMMKLASPVTVAAVAQSDSDSSSVVDLAPSPSAVTANKAVAFDLDLNRPPPVEN >LPERR01G29270.1 pep chromosome:Lperr_V1.4:1:25000560:25004866:1 gene:LPERR01G29270 transcript:LPERR01G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSPPPPLATLRRRFASPALLAVATRPCSLPSLPHRHLGLVILPSTADAWPFCRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPVSTDGPADDDVTASAEQVEASPEDLENISQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKITLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPVTAAKRLNIDWDSAADLDDEEEGEDEVEVPSAVGYSALYLLTAFPVIIGISVVLILFFNSLQ >LPERR01G29270.2 pep chromosome:Lperr_V1.4:1:25001124:25004866:1 gene:LPERR01G29270 transcript:LPERR01G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSPPPPLATLRRRFASPALLAVATRPCSLPSLPHRHLGLVILPSTADAWPFCRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPVSTDGPADDDVTASAEQVEASPEDLENISQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKITLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPVTAAKRLNIDWDSAADLDDEEEGEDEVEVPSAVGYSALYLLTAFPVIIGISVVLILFFNSLQ >LPERR01G29280.1 pep chromosome:Lperr_V1.4:1:25010402:25010893:1 gene:LPERR01G29280 transcript:LPERR01G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDGAPMSSLLLLPENPTGEPSSPPRRKAGNGVKCTSLCMCLPRLWKKKKRPVQPRTLGATSAYKAAEESPSARPVSSWWPSSLPRAASAGSAGRASPSQLRDALGSFSHWSRSSQVSRVTPHGAASVPFSFPSSPASGSSGTSTPKLAQPTTGADARSP >LPERR01G29290.1 pep chromosome:Lperr_V1.4:1:25013049:25013594:-1 gene:LPERR01G29290 transcript:LPERR01G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPNADSGRDTFTCGTMFMCLNLPGLSKKKRESKEESRPPPPLATEQDQPAAAVAEQEPVPGRAASTEKLESSSLYSGSNMGFDLPVEPDLGEDRGGRPVLAYCPSPCFDLPVELMRAGERGDSPVTAAFVFDGYPRGALKKVASCLPPGVVGGDGESRQPHLVRFLSNSTAPANGGLP >LPERR01G29300.1 pep chromosome:Lperr_V1.4:1:25014019:25016180:-1 gene:LPERR01G29300 transcript:LPERR01G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMAGLVALWNEWEIRVLVLTSLALQVFLLFSAGIRKRNVSAVLSLLLWLAYLLADSIAIYALGYLSQTRAPRGLEAASFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVTLAMYVFAKSQPGADILAPAVFMFLSGVLKYGERTWALKCASMDNLRSSMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATYLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSAACLLFNALDKNRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCHKLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLRDRATLLTKFITMVGLKGHWDSWLYIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDDGSDGDAKLNEYVGISRAVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKIFFEREMARAPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKKLLEMQPGKRWRVIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVEKN >LPERR01G29310.1 pep chromosome:Lperr_V1.4:1:25016893:25018095:1 gene:LPERR01G29310 transcript:LPERR01G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKFVDSLAEEEEAAAVELPFCAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRRNTPRPGCFSPSEAWRSSKAKKRVIAVKRRVLQCVQSDYSSGNAAALQEEDSAAAGFSRWTTSWLEDGTIHGFDQQLAELESVVFGADSSVARRLAGVGIVGMGGVGKTALARLVFNSPRARGRFFPRIWVCLSRTAACAGADVRKEVLQSMLMALGLEEEVILSMDNMGEMAFAVHEQLKGKKYLIVFDDVWNVDGWYADVVGRRNASPTGDEWAERLAFGLPKERGGVVVVTSRLEQAAEKMVGKSSLHRLQPLADGESCWAIFMDAFSKERRQADLTIVNSMKDEILDTCGGLPSAAKTLGDIFARSLSSPASTSSQELSKST >LPERR01G29320.1 pep chromosome:Lperr_V1.4:1:25018352:25020232:-1 gene:LPERR01G29320 transcript:LPERR01G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARLLFLKLDEDNSFFEIKHLFQNIEKEAHEVENILKRVSRWEYEIINDFGGIARYLDDIVEEESHLISIRSKLQIVNAEMSNLKDRMKLPLHVPEIKPSVPALLPASSPTKFLPPSISRIITLPASIGELSNLMILDLHACHNLERLTERISSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYSCRVAELVRLENLNKLSIYIGSKVAMTGDELNELENIKGLHSLTITWAVSLLKKGEEQQTSGATAMLTSLSLPLNLQKLDLRCFPGEKMPSWLSPSKLWRLKKLYFTGGMLNTLGDGSMSEVWKIEALRLKFLNDLVVEWTQVHETFPYLTFLEVYMCRKLESLPCDKDGVWMNGDKQEV >LPERR01G29330.1 pep chromosome:Lperr_V1.4:1:25024573:25027320:1 gene:LPERR01G29330 transcript:LPERR01G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVASCFRKLERKSKFSNYPYFFTHQPCGLGRARFISFQDMVMTLLVRPEFRVIVINGIGGSGKTWAAKAAFKHARSICHFDEFTWVSLSKGFSLERCIEKIAICLSIDTGEDISIESIRVKIKEKLIGRKFLLVLDNACFIEENVLEKLGIPHPQQYNLGSKVIVTTRTTRTDRVMKPDISITPIPLTHEEAHDLLCNKIGKDINSSRTLDLVRHCYGLPLSVILLAGTLCDLPRQQTYDDVINNASVSLGVFQISEFHTMRRLVKFGYSQLPNDATKDCLLYCLLFREDQEIPIKYLIPFWIMDGLLSEANEFQEANRVGKEILNVLVKHGMLHLEDNDCVRMHDIVRETISIFGSGYTEHPDYYYVYCNTSDVDMFCNRISLISRKRELFGSPKLFGISTLLLRENCHIDVISEDFFLYMGMLRVLDLSFTRIVALPSSISCLTSIRMLLMVECKHLEEIQHIDALSMLEVLDASGCGSLKWVEPGSFNHMVSLKILNFSGTSIYYLPSLAANMDLREVLLQDCPHLISLLSTKPSAGISDIAFIRYPYCVSKTGVVRNLQLGVTKGVIDWMAMMWVPCGLTFVLSDGFSGGKVSMDFNEDNRTYIYASDTRFFQSLDKGSPLWLNCFNKFYIVIFPLKDDQFMNNDPRVMRTQLFQDSYLKKKHFADGIDTDRFLEIDCVSNFRCIEGILCHAELVSLKRVAGTDLVVKSMAAARELWIENCEQLENLFLVEEVQVLCATSKLQNLWISNMQSLTSFCKAVKDTTSLNCLMHLLLDSCPKLTLLFPSSLRLPNLQTLHIRFCDSLKRVFDKLMLGEDVLPSLRSLQLWELPELTYVCGGILPSLKDLKVRGCKGLTKIPVGVNEDSPFFTTIIGDTPWWSNLIWDDETIKRWILFRNWGPLLPHLATEG >LPERR01G29340.1 pep chromosome:Lperr_V1.4:1:25036495:25039642:1 gene:LPERR01G29340 transcript:LPERR01G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQTAQPPPPPVTCPYYLPYHLPHHPASVPTTFLSSPPFPRPPNRLSPLIYTASRPAPQPNSSQHLSLQRLRYIHTVSEQFFLPDKMCNSNVKSAGGVAQIDGRPVLQPAGNRVAAPDGARPLKKSLQKSLSMPASLDNPAATTTCTVAPDNTRATDFARALLPPPTPASINAKATRVAGAKMAGAKAAAAAAAAVGSLDRSRKPAKTKAGAAVLPVVAFAGLEAYEPAGSIAAAQREHAAMAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELVTAQDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKRRHIYREAFSGFSVDAVAKYTEKQMASLSAEYGLDLGTIRGAVNNACRITEVRKDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSETISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSSA >LPERR01G29350.1 pep chromosome:Lperr_V1.4:1:25041891:25044736:1 gene:LPERR01G29350 transcript:LPERR01G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFEEDDIRVLVDRDSSGTQPTGANIRRALAQLVGEARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTRQNQTQSREREEQSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYGGEDQDETYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGTKTWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGDVSNKDLVTKARELLAKQGYTQQPGLYCSDEHVHVSFIC >LPERR01G29360.1 pep chromosome:Lperr_V1.4:1:25046481:25048503:-1 gene:LPERR01G29360 transcript:LPERR01G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNLRVFMVFLVVQVCLLAMLVAPWTVRGRIATVLPMVTCCNRVPECCFAAGSGLSACDVGSAMDSAWKACNCDVSTWLLPPLPRMLPCYRHHCHGHQARSMTGQQAMFSSGFL >LPERR01G29370.1 pep chromosome:Lperr_V1.4:1:25050149:25050448:-1 gene:LPERR01G29370 transcript:LPERR01G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGNLRVFMVFLVVQVCLFAMLGAPWTVHGRIATVMPLVICCNRVPECCLAAGAGAGAGTTAMATNPDPKHSFLVAPYISANSVSMLGQIKQYLIKQC >LPERR01G29380.1 pep chromosome:Lperr_V1.4:1:25058268:25058477:-1 gene:LPERR01G29380 transcript:LPERR01G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGYLRVFAVLFVVQVYVLAMMAAPWTVQAGPVSSLFSSICCVNHIAGCCPTTAGAGGGSGSGDATKA >LPERR01G29390.1 pep chromosome:Lperr_V1.4:1:25059878:25061301:1 gene:LPERR01G29390 transcript:LPERR01G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRADFFFLFFSSLSLSFGCFHLPSAASPLPSRSGDGKSSAAQLSCVSGGGTDRVEAAAAAQIGGRRRLEFTGAEKQAARRWICDSSSLRPPPAPANRPRPRRSGGGSAEDAAAAAQIGWGAAAAAAAAAMQIDWGRRLWRXGGRRRRRRRRRCRSIGGGGCGADRVGGGGGAGSAPGSDPRRRRPRRRWPSRREEEEEAARALLSLLLTCTTTSPHR >LPERR01G29400.1 pep chromosome:Lperr_V1.4:1:25063588:25064565:-1 gene:LPERR01G29400 transcript:LPERR01G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATHDLAALGAADLVRVSATIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILLRLQNGNPSSAQWLRLFAYQFLYLLFLFTFSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLLLVFTPSPSSPPTLPFVLILLVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMAKSKQLLQGRSRTATALVVSYFAACGVTAVLFRAAVVKGRGEEGSLGLSLPGRMLIGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >LPERR01G29410.1 pep chromosome:Lperr_V1.4:1:25065333:25069888:-1 gene:LPERR01G29410 transcript:LPERR01G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSMAAEKDTKPFIFPITAIPQGTHGLRTCQAPPSSSVERGSAWLQPPCVLNRAATELPPEPKECSATSRSDERFEGTSSVGPSCEAARISRKFWSAGEYEPTGRSSAQPPRNVLNRMCIHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATKIIVDKIVNSRNRSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGRYGNGFKTSTMRLGADVVVFTRCMKNREPTQSVGLLSYTFLVETAQKDVVVPVVDYKYNLLTGEAKPYQRLGSDQFSSNLSVLLKWSPFATEEQLMQNFVDIGPHGTKIIVFNLWSNDDGDLELDFDTNKEDILISGAPKLVEITDATKRMTESHLANQLRFSLRVYASVLYLQLPKYFKIILRGQEVKHHLITSDLKYCQCISYKPQVVGINEDQVLTTIGFLDGAPNISVNGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEVNFIKPTHDKQDFEKSQLYQKLMNRLKGMTNEYWDLYSHLVGYHKVRCASSGSPASRALVPTATDTIGTPSERNPFDPLPSVVPSVPNSWDNPSNPIPLAFAPPPVSAPAGIYTIGTQAPKVCAESGMQIIQADHTRSPTEAMGTDLADTRKRKIETLVQMDGPSKRQSTHDLAGNSSMDSSNQVCQYMGEREWNEYSYLKQEQRMLLEECLEIESAEKDLLLKEHKLRLELEQADAQYMSLLNEYMSVTAVKTEK >LPERR01G29420.1 pep chromosome:Lperr_V1.4:1:25077930:25083188:1 gene:LPERR01G29420 transcript:LPERR01G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSMVRLLLLVLVVGGACAAAAAVTGLPALPVEETMRVSFAGKSEFRTVNRKPLGSCLNPSPYLKISVSSGGAPLPDEAFLNVTVSGVIRPDRSHWLAMITPSNSSVSGCPLNGLNYIQTGDLASLPLLCHYPVKAQYLTSDPGYLSCKKSACQKRRASGTCKVRTCAATLTFHVINFRTDVEFVLFSGGIAVLPSPAKDFGWHDPGYIHSAVMTGLLPSQSYTYRYGSDSVGWSDTIKFRTPPAAGSGELNSVIFGDMGKAPLDPSVEHYIQPGSTSVAKAVATEMQTGMVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVAYESYFPMPASGKDKPWYSIEQGRVHFVVMSTEHEFSEKSEQYNWMVDDLSSVDRSRTPWVIFIGHRPMYSSNSGILPSVDPNFVASVEPVLLNNKVDLVFFGHVHNYERTCAVYQGKCRGMPKKDAKGIDTYDNSNYAAPIHVIVGAGGFSLDGFPKNNLASWSLSRISEFGYAKVHATKTDMLIQFVNSNTTAVRDQFKIVKGAR >LPERR01G29420.2 pep chromosome:Lperr_V1.4:1:25074686:25083188:1 gene:LPERR01G29420 transcript:LPERR01G29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSMVRLLLLVLVVGGACAAAAAVTGLPALPVEETMRVSFAGKSEFRTVNRKPLGSCLNPSPYLKISVSSGGAPLPDEAFLNVTVSGVIRPDRSHWLAMITPSNSSVSGCPLNGLNYIQTGDLASLPLLCHYPVKAQYLTSDPGYLSCKKSACQKRRASGTCKVRTCAATLTFHVINFRTDVEFVLFSGGIAVLPSPAKDFGWHDPGYIHSAVMTGLLPSQSYTYRYGSDSVGWSDTIKFRTPPAAGSGELNSVIFGDMGKAPLDPSVEHYIQPGSTSVAKAVATEMQTGMVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVAYESYFPMPASGKDKPWYSIEQGRVHFVVMSTEHEFSEKSEQYNWMVDDLSSVDRSRTPWVIFIGHRPMYSSNSGILPSVDPNFVASVEPVLLNNKVDLVFFGHVHNYERTCAVYQGKCRGMPKKDAKGIDTYDNSNYAAPIHVIVGAGGFSLDGFPKNNLASWSLSRISEFGYAKVHATKTDMLIQFVNSNTTAVRDQFKIVKGAR >LPERR01G29430.1 pep chromosome:Lperr_V1.4:1:25085858:25089368:1 gene:LPERR01G29430 transcript:LPERR01G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLAGKSEFRTVNRKPLGLCLNPSPYLKINVSSGGAPLPDEAFLNVTVSGVIRPDRSHWLAMITPSNSSVSGCPLNGLNFIQTGDLASLPLLCHYPIKLARRVCINSSTLLIGFSCHLPHTNITLIIPVILSEISMMRLTWVSGDGKQQQIQYGSGKNATSVATTFTHKDMCSISVLPSPAKDFGWHDPGYIHSAVMAGLMPSQSYTYRYGSDSVGWSNTIKFRTPPAAGSGELNFVIFGDMGKAPLDPSVEHYIQPGSTSVAKAVATEMQTGNVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPNLDSGGECGVAYESYFPMPSSSKDKPWYSIEQGSVHFVVMSTEHEFSEKSEQYNWMVEDLPSVDRSRTPWLIFIGHRPMYSSNSGILPSVDPNFVASVEPVLLNNKVDLVFFGHVHNYERTCAVYQCKCKGMPKKDAKGIDTYDNSNYTAPVHVIVGAGRFSLDGFPKNKLASWSLSRISEFGYARVHATKTDMLIQFVNSNTMAVRDQFRIVKGGR >LPERR01G29440.1 pep chromosome:Lperr_V1.4:1:25090709:25095142:1 gene:LPERR01G29440 transcript:LPERR01G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCLVLLSFAMAAAAARPATSSSTTSGDCGSDVQDLMANCQDYVKFPADPKINPSQACCDAVQRANMPCVCNKVTPEVEQLICMDKVVYVVAFCMKPFQPGSHCGSYHSSDNCANDLKELIAECRQYVMNPADPKVDPSDTCCSVVQKVDIPCLCSKVTKEIEKIVSMEKVIYVASYCKRPFQPGSKCGSYTIPPV >LPERR01G29450.1 pep chromosome:Lperr_V1.4:1:25098377:25100898:1 gene:LPERR01G29450 transcript:LPERR01G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTREEDEMKMPMGITYVMLPAPAPTTGLWTMMEIKMTGEQRNVQEVNFGLHSSETNKLASVQDGLRYLQHFLISMLAYAPVDMRADGSSSRARKRPRTGVSARPAPAPSLEPPWEVLRLVGPFLDAESLAAASCVSTACRDAFTAEHLWSKLCRSQYPSALGLLPTPDTTSPPYRRLFALFRSANVRRRALPPPRLALDDVTFAMDIFAGSGKNTLSFVVAARDAIAKSGRFQFEVDVAARNAAVGKGEFWTVRLTAVRTAGLGVAFSPAAPAAVVMMEAAEVPASRSRPLFGGVRGETWAMEPLPAPGCGGGAKVETEVVFDVSGEERLLEKVRFGVMAQCRYVSIDDGLRYLQHFLL >LPERR01G29460.1 pep chromosome:Lperr_V1.4:1:25103040:25112836:1 gene:LPERR01G29460 transcript:LPERR01G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLLRLFWCGCGRPSANFSCRKLAVTRLNLVMAETRATYSHRAASKNTEIKKNEELVIEKEDVSESKLEIEQIRNDPDRLQSMTVKELREITRRMGISVRGNKKDLVSAVMNSLGEEGNGKEGTSSAEKVGLSEVPSKRKGGASVVVEQHIESSEVISETPSKRSRTKNKSVKGTIREENSGTNVKQSKTSVRKEKVVGAVAKAGLGVGVDHEEPWTVLVHKKPQPAWIPYNPRVMRPPPLSKDIKALKILSWNVNGLKALLKSRGFSVHQLAQREDFDILCLQETKMQARYDFSLYFLMQYGHEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFDGFYLLTAYVPNSGDGLRRLTYRVTEWDTSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTNEERESFETNFLLKGFVDTFRKQHPDVVAYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYILPDISASDHSPLASVTQHSFDAAVFLFGNRAVEARFWRQKALEEQTKDVGKFKNLIADYDNSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIHEHDHEGRVITLEFDGFYLVNTYVPNSGRFLRRLTYRVNSWDPCFSNYVKTLEKSKPVIIAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSRGLVDTFRKKHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >LPERR01G29470.1 pep chromosome:Lperr_V1.4:1:25119383:25122826:-1 gene:LPERR01G29470 transcript:LPERR01G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLNAGEMVALWVTAFGLLLCSSLSGVEGIGVNYGMIGNNLPSPDKVIALYKAKNITDVRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLASDASFAASWVQSYVKPFAGDVRFRYINAGNEVIPGDEAASSHSHSIVLLVTSGGNFSLPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALEAFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNPDEYVDPELVADLEKSRRSFPKRDNAAPDDWDSFIDRGCPITSKAMKPKYHSEKNNGANNGWRHCRVRNNMNYSYENPGYNQSLAXVSPIVSFLASTGTPLLVNVYPYFAYAADPSSVPLGYALLSPSSPAAVTDGGVAYTNMFDAILDAVYAALDKVPGGGGVEVVVSETGWPSGGGGAGARVENAAAYSNNLVRHVGRGTPRRAGKAVETYIFAMFNENQKPEGVEQHFGLFQPDMSEVYHVDFSATSA >LPERR01G29480.1 pep chromosome:Lperr_V1.4:1:25123927:25130773:-1 gene:LPERR01G29480 transcript:LPERR01G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPAAAIASRIPSLLRRLSSSAGDGQGGDDWGSSWSTGITRDHFDGSTEAVGHQVPSQSKPISRELAAVRAMDEEDDILRALDRDNRESKAYVDSWDERMRETCELLKQVREPGSRGAYLKDSEKQEMYRLHKKDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQTLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGSQTKRGVGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPYKPPHAGRAREYAIEPSGAAAREATTSLAKPFLIPDQLIPIRHTAVTVASPQSAVSLLYCPSPPVPFHQPTRHLGSHRALYRRNTNSQDEDHHHLAFRRSLFLFASLPPRSRRDLRWRPATRNRRQAGSRSRAVPASDRLGLSGRHEEEAEEAAMGGAEDGVPRGAGANGHGNGAASVEEKLDELRRLLGKADGDTLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRAGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRVLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRDVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEGNRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPRVDAKV >LPERR01G29480.2 pep chromosome:Lperr_V1.4:1:25123927:25126856:-1 gene:LPERR01G29480 transcript:LPERR01G29480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEDGVPRGAGANGHGNGAASVEEKLDELRRLLGKADGDTLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRAGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRVLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRDVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEGNRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPRVDAKV >LPERR01G29480.3 pep chromosome:Lperr_V1.4:1:25128013:25130773:-1 gene:LPERR01G29480 transcript:LPERR01G29480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPAAAIASRIPSLLRRLSSSAGDGQGGDDWGSSWSTGITRDHFDGSTEAVGHQVPSQSKPISRELAAVRAMDEEDDILRALDRDNRESKAYVDSWDERMRETCELLKQVREPGSRGAYLKDSEKQEMYRLHKKDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQTLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGSQTKRGVGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPYNYARSKQAVHN >LPERR01G29480.4 pep chromosome:Lperr_V1.4:1:25128351:25130773:-1 gene:LPERR01G29480 transcript:LPERR01G29480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPAAAIASRIPSLLRRLSSSAGDGQGGDDWGSSWSTGITRDHFDGSTEAVGHQVPSQSKPISRELAAVRAMDEEDDILRALDRDNRESKAYVDSWDERMRETCELLKQVREPGSRGAYLKDSEKQEMYRLHKKDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQTLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGSQTKRGVGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPYK >LPERR01G29490.1 pep chromosome:Lperr_V1.4:1:25132897:25133574:1 gene:LPERR01G29490 transcript:LPERR01G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELHLPIPSHLLFSHPEISHGFDELLKNTTTCTHSHTCNAPGPSVAMHTHTCLHTHIQVIASGENNVEEDLRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTNAEVAVREDSSRPTIVNGVIGETGIISHELDIPEMANSVDVIPSFVNSASLLTE >LPERR01G29500.1 pep chromosome:Lperr_V1.4:1:25135591:25146068:1 gene:LPERR01G29500 transcript:LPERR01G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAARLYDDDARAAPERAQPAVLTLGFTTIDHSPSGSSRRLSLRLVVFTVPQSHYPNRPRPASEQQDKMPNRATHWCYACRRPIRVSGQEMTCPNCNDGFIQEISEIGGMLNTYGIFEPSFDERQDRRFGMVEAMSAVMRQRMSEMGRNRVLDYHDMRGTRQPTRSMLIFGSNAPAHASNSSEETDILLRRGRRIGADRPNFSRFLVGHSLEALFEQMLLHNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEARQMPCKHLYHADCIIPWLVQHNSCPVCRHPLPSSSPLPPLPSSSHRSGNTHSSSTHSDEAVSHGVAGSDVHPVATTDDSINHERNSSFSFLWPFDSPTPDSSSYIYEGGVGEPTVHDDAGQMTYSEWHYD >LPERR01G29500.2 pep chromosome:Lperr_V1.4:1:25135591:25146068:1 gene:LPERR01G29500 transcript:LPERR01G29500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAARLYDDDARAAPERAQPAVLTLGFTTIDHSPSGSSRRLSLRLVVFTVPQSHYPNRPRPASEQQDKMPNRATHWCYACRRPIRVSGQEMTCPNCNDGFIQEISEIGGMLNTYGIFEPSFDERQDRRFGMVEAMSAVMRQRMSEMGRNRVLDYHDMRGTRQPTRSMLIFGSNAPAHASNSSEETDILLRRGRRIGADRPNFSRFLVGHSLEALFEQMLLHNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEARQMPCKHLYHADCIIPWLVQHNSCPVCRHPLPSSSPLPPLPSSSHRSGNTHSSSTHSDEAVSHGVAGSDVHPVATTDDSINHERNSSFSFLWPFDSPTPDSSSYIYEGGVGEPTVHDDAGQMTYSEWHYD >LPERR01G29510.1 pep chromosome:Lperr_V1.4:1:25145329:25145775:-1 gene:LPERR01G29510 transcript:LPERR01G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARTESPAAPPAPALPVAPAAAASPEKRVLTGDAGKEEERPEPKRRRACVAALDSVPCAAPPLADGGDGSSFSFPRARGGFVVLETTPRFGSFNPPAAVVGAKAAPPADGQGSPEEEDGVAAREEGDGEDGNSQLVGPDGQGHKT >LPERR01G29520.1 pep chromosome:Lperr_V1.4:1:25149514:25150425:1 gene:LPERR01G29520 transcript:LPERR01G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARNTRQFYGSSSGGGGGGGTGISEGRDGVAGGGIGAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTASCVSRRLRAVAERVLWRELCISRAPRMVSSLAASVGGGGNSAAPPTPGRIVGGWPALAKMLFFCCGAAGHGVAGHFTRVSRFSKTSGRSFLSRRCRGDLLYVSDPCEHAVAGAADDLGAYRGVFRGFMRSRTRACLVGRQAALDPRVRCPYCGARVWSMVAAGMVPRTAWRRLGCLEGRLEYYVCVSGHLHGNCWLARLTSSEGEHDDSSDSDSGASTQGGSDEDGHVAL >LPERR01G29530.1 pep chromosome:Lperr_V1.4:1:25154453:25156685:-1 gene:LPERR01G29530 transcript:LPERR01G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSEVYQVVEAMAPLYTAAALGYVSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNNVYKMNGRLIAADTLQKAVLLLGLMAWALWERARRSRAGAKAAKARASPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKELMKQIVVMQFCIWYNVIIFLYEFMAARRKAATTAPPPMPPAVDGSAKISPSSPVKSVAVEKGGGNVAAERPQEVAVNIEITEMAASTARDGDAKEVSDGADAATVVEEEEASTPAPSMKHVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMIIKFLIGPVVMLFASLIIGMHGTLLHISVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >LPERR01G29540.1 pep chromosome:Lperr_V1.4:1:25161617:25162693:-1 gene:LPERR01G29540 transcript:LPERR01G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKEGSGGEGGMSESVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLFRVVDLPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFKSSAMLNMLSISFGVAIAAYGEARFDVRGVTLQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVVPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGMLLQERDSHGDRKSDNQA >LPERR01G29550.1 pep chromosome:Lperr_V1.4:1:25167812:25171664:1 gene:LPERR01G29550 transcript:LPERR01G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVVAALLVLHALATPSAQAESHPTGGEEKMADTGSDGGPMVGGVEPVGTENDLHLVNLARFAVTEHNKKANALLEYEKLVKVKRQVVAGTMYYFTIEVKEGGANKLYEAKVWEKPWMDFKELQEFKPVEEASSNA >LPERR01G29560.1 pep chromosome:Lperr_V1.4:1:25172191:25175067:1 gene:LPERR01G29560 transcript:LPERR01G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVLAALLVLHALATPSSQAEAHRAGGEKMADTAIDDEDLITGGIMPVGSVNDLNLIDLARFAVTEHNKKANALLEYEKLVKVKQQVVQGTMYYFTIEVKEGGANKLYEAKVWEMLWLDFKELQEFKPVEEASSNA >LPERR01G29560.2 pep chromosome:Lperr_V1.4:1:25172191:25174589:1 gene:LPERR01G29560 transcript:LPERR01G29560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVLAALLVLHALATPSSQAEAHRAGGEKMADTAIDDEDLITGGIMPVGSVNDLNLIDLARFAVTEHNKKANALLEYEKLVKVKQQVVQGTMYYFTIEVKEGGANKLYEAKVWEMLWLDFKELQEFKPVEEASSNA >LPERR01G29570.1 pep chromosome:Lperr_V1.4:1:25183143:25184957:-1 gene:LPERR01G29570 transcript:LPERR01G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMEMAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSGHAQAHAGGAEAPVAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKQYSAPLSLTTLICFVGTLQAIVVTFAMEQRRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVIGLYSVLWGKHKETKEQEAAMMDLPVATKGNDADFTTATVDGDDDVDDGDDAADCKKVNGVKSSSSNEQGASAV >LPERR01G29580.1 pep chromosome:Lperr_V1.4:1:25190709:25199851:1 gene:LPERR01G29580 transcript:LPERR01G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSTPIFLLFSILPLLYFLYLRQDPKKQPRAHGLKSYPVVGTLPHFIKNKDRFLEWSTVVMKQSPTHTMSFKSLGLTGGVITASPANIEHILKSNFTNYPKGELAISMLVDFLGHGIFNSDGEQWHWQRKAASYEFNKRSLRNFVVDTVRFEIVERLLPLLERAERDGQTLDVQDVLERFAFDNICHVAFDEDPACLAEESMASPESAEFMRAFNDAQNALMDRFMSPVKSMWRVKRLFNMEPERRMREVLATIHGYAERIVKDRRERGESGLARRDDFLSRFAAGSEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSARTDVEDKIVREIRAVRAASGGTGARTFSFDELRGMHYLHAAITESMRLYPPVAMDTHSCKEDDFLPDGTFVGKGWLVSYCAYAMARVEDIWGTDCEEFRPERWLDENGVFRPESPFKYPIFHAGPRMCLGKEMAYIQMKSIVASVLDRFRLRYAGGDEHPGLVLWVTLRMKGGLPMRVATRDPLRYNKKQTRSNGVLKSYPVVGTLPHFAKKRDRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANAANVEHILKTNFDNYPKGELPVSLLKDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRNFVVDTVRFEIVERLLPLLERARRDDRTLDMQDVLERFGFDNICHVVFDEDPACLAEDSMVPSHSTEFMRACSDAQIVVTDRFMSPVKSLWRVKRLFNLEPERRMREALVTIHGYTDRIIRERRARGEAGLARSDDFLSRFAAGSEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSGRPDVEDKIVQEIRAVRSVSTGGGGATFSFDELRGMHYLHAAITESMRLYPPVHMDTHSCKEEEFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEVFRPERWLDEAGAFRSESPFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLERFCLRYAGGKEHPGFVLWSTLRMEGGLPMQVTTRSKYRPTIS >LPERR01G29590.1 pep chromosome:Lperr_V1.4:1:25200148:25203005:1 gene:LPERR01G29590 transcript:LPERR01G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSSSASLFLLILPFLPLLLYFLYLQQDPKKQPRIHGLKSYPVVGMLPHFIKNKDRILEWMTGIMKRSPTHTMSFKDLGLTGGVITANSANVEHILKTNFGNYPKGELAISMLEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEQAGRDGRTVDMQDMLERFAFDNICRVAFEEDPACLTMEGMAAHESAEFMRAFDDAQNVVKARFDSPAKSLWRIKKFFNMEPEKRMREALTTINGYVKRIVRERRERRKAGLERSDDFLSRFAAGSEHSEESLCDVVTNFILAGRDTTSSALTWFFWLLSSRPDVEDKIVREIRALRQLSGGAGGTGSATFSFDELRDMQYLHAAITESMRLYPPVPFDAHSCKEEEFLPDGTFVGKGWLVTYCAYAMGRVEDIWGEDCEEFRPERWLDDAGMFRPENTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEKFRLHYSSDAKGHPVEVEETTSAAARMLSETPEHSWLGATAWRGTSGMGLSSALDWLSEDPLGLHNGRGDWRR >LPERR01G29600.1 pep chromosome:Lperr_V1.4:1:25214391:25215317:1 gene:LPERR01G29600 transcript:LPERR01G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSAEFMRAFDDAQNTVLARFNSPAKSLWRIKKFFNLEPERRMRDALATIHGYADRIVRERRERDAAGLARSDDFLSRFAAGGEHSEESLCDVVTNFLLAGRDTTSSALTWFFWLLSSRPDVEDKIVREIRAVRQLSGCAGGKGCATFSFDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDESGMFRPENTFKYPVFHAGPRMCLGKEMACIQMKSIVACVLEKFRLRYSSDAEGHPGLVVSLTLRMEGGLPMKVHQLVP >LPERR01G29610.1 pep chromosome:Lperr_V1.4:1:25222306:25223862:1 gene:LPERR01G29610 transcript:LPERR01G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELSLTSSVPLFLLLLIPVLCFLCLRHGATKQPGADGLKAYPIVGTLPHFVKNQHRLIEWSAGVVARCPTHTMSFNFKSFGLMAGAITANPANVEYIVKTNFQNYPKGEYVVSVIEDFLGHGIFNSDGDQWLWQRKAASYEFSKRSLRNFVVSAVRFEVVERLLPLLQRAERDGRTLDVQDVLERFAFDNVCCVAFDEDPACLTEEGMGTTNARSDFLRDFNDAQNIVMARFMSPVKWAWRAKRMLGLEPERRMREALATIHGYAERIVRERRERGAAGLARSDDFLSRFAAGGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWLVSGRPDVESKIVREIRAVRAANGSTDTVAFSFDELRKMHYLHATITESMRLYPPVAMDSHCCQEDDVLPDGTFVGKGWQVTYSAYAMARLEDIWGKDCEEYRPERWLDEDGVFRPESPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVFERFSFRFVGDEGRRPGLVFSVTLRMEGGLPMQVKKRMNSSS >LPERR01G29620.1 pep chromosome:Lperr_V1.4:1:25224655:25226418:-1 gene:LPERR01G29620 transcript:LPERR01G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVSPMATLSVRSGRISAVAIGGGLRPRKAAPMGASTNPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASTFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRSRSRKSLARVHGFRRRMRTTAGRRVLKRRRDKGRKVLCTKTNSPTGKKKMF >LPERR01G29630.1 pep chromosome:Lperr_V1.4:1:25240468:25241832:1 gene:LPERR01G29630 transcript:LPERR01G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGALITSSAHAAAALLLVAFIFLTLRGIPISLSYPPTTALTPTSHLEQDQEPSCDTTSTLDCNDPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSTCDMAWRARSAASANYKDYRRFFVARDPVTCAYSVTSIGEYHSGPLARKPRRGATNATAPPPPPPALSRSQFAAGRFLAYVGGGDRCKPMPHYLRSLLCSLAEARYLNRTLVLDLSVCLAACYAGGMPAEGKRLGFYIDIEHLQSVVGIVEHEQFWEDWDRWGSQGQLGVRIIEDTRVPPTKFSKARDALIVRKFGDVEPGNYWYNVCEGEAERVLHPPQGAIRWAPSLMHIVDGIISKMQVDFDSVHVGGNSENLRRKIEANVNGGRQVYVAGKGIDAVLVDALKAKYSNVHYLDAFEELWVPDSKWFLEMKRLNGGVHVEFDGYMRELVDREVFVKGKKKVEVLD >LPERR01G29640.1 pep chromosome:Lperr_V1.4:1:25245481:25246520:-1 gene:LPERR01G29640 transcript:LPERR01G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAAPIASISPVSGGRATATALAAGGLRSARMSGSLLAQPGGATAVLRPSQRRRLVPKSSSDEPKSTTEEKTPFGYTRKDVLLIGVGVTLFGYGLKYGLEVTCVPALFSITAPVRCCSLVGVDPLQAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAHQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRQTEDQTKAS >LPERR01G29650.1 pep chromosome:Lperr_V1.4:1:25246760:25248345:1 gene:LPERR01G29650 transcript:LPERR01G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFSPLLRALRPSSTSCSGRAASGDSSGAAVVAVGGAAPRPAPLAAAVSHRRELLLGAALGAAALLKAPLPAEAREVEVGAVLPPAPSNPGFVFFRATPKDTPALRAGNVQPYEFILPPSWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLITSLGPFVTGNTFDSDELINTTVEKIDGQTYYSYVLDTPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKV >LPERR01G29660.1 pep chromosome:Lperr_V1.4:1:25249174:25253972:1 gene:LPERR01G29660 transcript:LPERR01G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAASRLHVVAVPYPGRGHINPMLAACRHLAAAAADGELTFTVVVTEEWHGLLAGGGAATPPAGGRVRLATIPNVIPSEHGRGADPVGFFEAVDAKMGVAVGQLLDRMVETGRRPDAIVADTYLTWGVEAGAARGIPVCSLWTMAATFFWMLYNLDLWPPVDDTEGEQELRCKSLEQYVPGCSSLRLSDIKVFRSWERAMKLATKSFVNVRKAQCVLFTSFYELEPCAIDRIRNAVPFPVYPIGPSISPSTPLNGHGEIHDEQHRAWLDAQPASSVLYVSFGSVVSVRSSQMEEIAAALRDGAVRFFWVARDGGAAGAAASGEKGLVVPWCDQVSVLRHRAVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVAGEWGIGVDLSDSEQRREDDGVVGRDAIRAAAARLMDPDDGESREMRRRAARLREACRGAVQDGGSSRRSLNSFVRDLADGRLNFQYAMAAAAADAEPRRRCHVVAVPYPGRGHVNAMMNLSRLLAARGAAVTFVVTEEWLGLLTSSSPAAAAAPPGVRMRSIPNVIPSEHGRGGDHEGFLEAVRTRMEAPFENLLDRLRLEEEEKGTAAPVSALVADTYLAWVVGVGNRRGVPVFSLFPMTAVFFSAYYHFDSLSPWLAEDNPDQRVEHYIPNMASSSIMLSDLKPLIHHERTVKYVLECISSIRKVQGLLFTTIYELEASVIDSIGSLVPCPVYPIGPCIPYMTLENEHIKSNGEATGPIDYSAWLDSQPDNSVLYVSLGSFLSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNRGMILPWCDQLKVMCHPSVGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPIDGRLIEEEWKIGVKLRDWTDKDQLIRREEIARSVKRLMASDDAEIEAIRQCALEWKEISRKAVVKGGSSHRNLTSLMEMICSSR >LPERR01G29670.1 pep chromosome:Lperr_V1.4:1:25254359:25264049:-1 gene:LPERR01G29670 transcript:LPERR01G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIYDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEETHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDSLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARAAIAKVEKGLQEHDAASSSRDKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLFELEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVTSGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMAPINPAAGLERYVDSLMKRTDVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAELAGDEVAAELAGGEEGSRAARLHSFFASVFSGLFGRVDEEEEEQQKENREMATRNHNVAAAPQPMNRGNVAALGKQKAVVAGRPDVKNRRALGDIGNVVNVRLPERYGINRAICNRLITLLFSVLSLTASVVLENSKPLQQAPAGRPVAANFGAQLLKNAQANAAANKQNAIAPAGVERRQARKALVKPAPPPPEHVIEISSDSDESMRQQSESSASSVKMISRKKVINTLTSVLTARSKVACGITDKPREEIEDIDKLDGDNQLAVVDYIEDIYKFYKVAETECRPCDYIDSQVEINSKMRGILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKAILNKLQWNLTVPTPYVFIVRYLKAAASSSDSKSDKEMEHMAFFFAELALMQYGLVTSLPSKVAASAVYAARLTLKKSPLWTDTLKHHTCFTESQLLDSAKLLVTSHSTAPENKLRVVYKKYSGEQLGGVALRPPAVELCK >LPERR01G29680.1 pep chromosome:Lperr_V1.4:1:25268228:25269317:1 gene:LPERR01G29680 transcript:LPERR01G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRLAFKPLVELLWLARPCGGRALSPLWPSGAIALRRTGSIDAALRPKQTATARADDDVADAMGKRHNADGHVQQILFYLFEFDSSVVVDLSLTGKRNEERDGRALDLELGGSTSPHILQPTWSWHRR >LPERR01G29690.1 pep chromosome:Lperr_V1.4:1:25271037:25276742:-1 gene:LPERR01G29690 transcript:LPERR01G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTDEVMVGRLVRIRKTVMQMLRDRGYLVVEHELAMGRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >LPERR01G29700.1 pep chromosome:Lperr_V1.4:1:25278575:25282544:1 gene:LPERR01G29700 transcript:LPERR01G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >LPERR01G29710.1 pep chromosome:Lperr_V1.4:1:25283426:25292657:-1 gene:LPERR01G29710 transcript:LPERR01G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLISTLPAFTLMLVAPAAATRYKLPDCKLARELALLAALLVRELIHHSASAACAPWAGYKPRIMPSPKEKPALATEEMEHDDCAAVASLFPLLDLPELALERVLEELDPPSLAAMACVCAALRERCSADGLWARHVERKWGRVLGAAARKEWEAELAARGISGAGAGAVTRPAARRRSLADSLACAWPFSWITCRWLKGDATAAAAASPSPAAAAAAAADTVAAWYRAVECGEFWFPAQVYNREDGHVGFVLSCYDAHLRYDRRADTFTARYPPHGRKPAKEENGVQWSRIRAPPLSTPAHDLHASGCLADLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYALGSRWRQTSVSRKDHREKGDETDGFYGGIRKLQTNDEISTWRKFWPRDEVKIGGGFVLRKIRLKEQPSKYRLLATIVVPNSAVTTASGGMERGNSATVGMDFEPSRPRNRCHQPPGGSGQSVKNNRHQVPKFQLNVYGKLRIWKLITSLFAFPSTPELIFGAALLYYFRVFERQIGSNKYAVFIIFSTTVSVLLQILSLGYLKDPSFNPLTSGPYGLIFASYVPFFFDIPISTKFRISGLRLSDKSFVYLAGVQLLFSSGWRSVIPGVSGILAGLLYRLNIFGIRRLKGVHTTTEDPMESSISTLVAMGFDRGSAIQALALTNYDVNLASNILLEAQSM >LPERR01G29720.1 pep chromosome:Lperr_V1.4:1:25292748:25293664:1 gene:LPERR01G29720 transcript:LPERR01G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWCVDCAGGERIRGRRGGIGADPRGPGKRGQWRRGGFSAVIKKFLGKMLAVFSFFKFGGDGGWLVKTTTCC >LPERR01G29730.1 pep chromosome:Lperr_V1.4:1:25303743:25304165:1 gene:LPERR01G29730 transcript:LPERR01G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRFMQEMGAEHLRVALECEKKPPGAAGAGIGRTRLLDEPLWTAYVNGRKIGYAVRREPTEGDLTVMQLLRTVSVGAGVLPADVMGGGNGGEVQDAGDLAYMRARFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >LPERR01G29740.1 pep chromosome:Lperr_V1.4:1:25343540:25347215:-1 gene:LPERR01G29740 transcript:LPERR01G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRDSGYSPPGVWTGRRGNNAGVEGGSSGAQPTPPDFLRPSPSRLFSDEAAGEACSRLPGDGSVRGRTGLSDQGLPPPPEPMRWPRESSGGGGGGAVAASCDGNGFRRQECLLDSAGDDGSGGGFGRMLGSHRNGGGGVGLSTSRNLGSVGELCPIPALRRIAAWRSRGILPVAAFVEIRLRNVYFRNVMAVDEAVESEEMLASGDAVVNPMLACQLK >LPERR01G29750.1 pep chromosome:Lperr_V1.4:1:25346958:25358557:1 gene:LPERR01G29750 transcript:LPERR01G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPCRLRRGVLLLLLLVAGASAASHPANEFCAAAAAGGGGDGCGGGGGGDGRRLLIRGGTVVNAHRVEEADVYIEDGVIVAVRPNIPVGDDNVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMESMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMINLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSVDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFTVASKYVMSPPIREAGHNKALRAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGQISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAQTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNIVPGSGRYVRTPPFSYLFDGIEKSDAAYRASLRAPVQRSKAAA >LPERR01G29750.2 pep chromosome:Lperr_V1.4:1:25352612:25358557:1 gene:LPERR01G29750 transcript:LPERR01G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPCRLRRGVLLLLLLVAGASAASHPANEFCAAAAAGGGGDGCGGGGGGDGRRLLIRGGTVVNAHRVEEADVYIEDGVIVAVRPNIPVGDDNVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMESMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMINLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSVDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFTVASKYVMSPPIREAGHNKALRAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGQISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAQTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNIVPGSGRYVRTPPFSYLFDGIEKSDAAYRASLRAPVQRSKAAA >LPERR01G29760.1 pep chromosome:Lperr_V1.4:1:25347682:25351012:-1 gene:LPERR01G29760 transcript:LPERR01G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFKSWRGMHGKLESLSHTLSQLQAFLDDAEAKQLTDASVRRWLAKLKDITYDLDDLLDSYSARSMYMKQRQITFSTKASFHSSSFLSRSLYQHRIKHKINIILERLDKIAKERDTIGLRMICDMRRYDTSERPQSSSLVDNSAVFGRDEDREEMVRLVLSDNGHNSGNLCVIPVVGMGGLGKTTLMQMVYHDDRVGEHFELRIWVYVSESFDERKLTQETLEAAAYDQSIASTNMNMLQETLSRVLQGKRYLLVLDDVWNEDHDKWLSYRAALKSGGFGSKIVVTSRNENVGRIMGGIEPYKLQKLSDDDSWSVFKSHAFRNGDCSVHPELEVIGKEIVKKLKGLPLASKALGSLLFNKADEEEWKDILRNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYVFRKEKLVKIWLALGFIRQCRKTKLEDTGNAYFNELLSRKLRTLTIIHGYKSRMSQLPHGLFMKLESLRVLDMHGRGLKELPESIGNLKQLRFLDLSSTEIKTLPASIVKLYNMQILKLADCNSLREVPQGITRLINLRHLEASTKLLSRIHGIGNLVCLQELEEFVVRKHMGHNITELKSMDELQGQLSIRGINNVPNGEDAVCAKLKSKERLRSLHLIWDEDGKSNPSEQQEVLEGLQPHLDLKELMIKGFPGIQFPSWLASSSLAKLQTIYICDCRSTALPALGQLPFLKYLDIAGATEVTQLSRGFSGFAQPKGFPALEVLLLVDMPKLREWIFDVADQLFPQLTELVLIQCPLLKKLPPVPSTLQTLRICESGLDSLPELQNGTCPSSLTSLYINDCPNLSSLRVGLLANKPTALKSLTIAHCEGLVSLPKECFRPFIALRSLHIYECPYLVPWTALEGGLIPSSIEDIRLNSCSPLASVLLNGLRYLPHLRHFEIADCPDINNFPIEGLPHTLQFLEISCCDDLQCLPPGLHNISSLETLLICNCPGVESLPEEGLPMGLKELYIKQCPLIKQQCEEGGEYRARIRHIRDIEIDGDIIVPEQI >LPERR01G29770.1 pep chromosome:Lperr_V1.4:1:25358349:25363080:-1 gene:LPERR01G29770 transcript:LPERR01G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLGVPVSSAAAAENARGGMSGFAAPQHATHNNMQPSQVTDFGALAQSAGFRIEDLTNLSTNTLFNLKSNAHTIINDSLQFGNYGKSISPSNITTTAAVPVVDPEALVPQKGAQLNLVTIRTGNVENWGESTMADTSPRTDTSTDPDTDERNQMFEQGQLADPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKVYIQNLESSRLKLTQLEQELHRARQQGIFISTSGNQSHSTSGNGALAFDMEYARWLEEHNKYINELRAAVNAHASDTDLRSIVDNIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >LPERR01G29770.2 pep chromosome:Lperr_V1.4:1:25358349:25363080:-1 gene:LPERR01G29770 transcript:LPERR01G29770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLGVPVSSAAAAENARGGMSGFAAPQHATHNNMQPSQVTDFGALAQSAGFRIEDLTNLSTNTLFNLKSNAHTIINDSLQFGNYGKSISPSNITTTAAVPVVDPEALVPQKGAQLNLVTIRTGNVENWGESTMADTSPRTDTSTDPDTDERNQMFEQGQLADPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKIETYSVRARASSGSSTGALAFDMEYARWLEEHNKYINELRAAVNAHASDTDLRSIVDNIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >LPERR01G29780.1 pep chromosome:Lperr_V1.4:1:25372793:25376161:1 gene:LPERR01G29780 transcript:LPERR01G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPAPSAAFDPSTRRTGASIKAGVGPSPSSAPAQHKAPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTCLCTHKATGQRFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCGVPPFWAGNEHINESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLNSDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKQGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFAAMMRKGNPEPNPKKRRDVVL >LPERR01G29790.1 pep chromosome:Lperr_V1.4:1:25408848:25412972:1 gene:LPERR01G29790 transcript:LPERR01G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWLAMAAAMMVVVALPMQQVGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHNIVILLFSEIHSPSNRDLGNLNLSGHLVPELGKLDHLQYLELYKNNIQGAIPSELGNLKSLISLDLYKNNISGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >LPERR01G29790.2 pep chromosome:Lperr_V1.4:1:25408848:25412972:1 gene:LPERR01G29790 transcript:LPERR01G29790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWLAMAAAMMVVVALPMQQVGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWELYKNNIQGAIPSELGNLKSLISLDLYKNNISGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >LPERR01G29800.1 pep chromosome:Lperr_V1.4:1:25415918:25420075:1 gene:LPERR01G29800 transcript:LPERR01G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLAAGACRPRGLAGIASGATREAADAVVVGAGVVGLAIARALAMAGREVVVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKACLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAETAKLDMLLKNAKENGVDDLQMMEGSQAMEMEPELRCLKALLSPRTGIVDSHSLMLSLLADAENLGTTISYNTTVMSGYVGDDGLELHISESKELENHSVGFPVSPQLILLPKLLINSAGLSAVPLAKRFHGLNQVFVPPAYYARGCYFTLSQTRNPFSHLIYPLPEDGGIGVHVTLDMNGLARFGPDVEWIDGVKDVISCFLNKFDYSVNPTRCSKFYPVIRKYFPNLKDGSLEPGYSGIRPKLSGPGQTPSDFVIQGEDTHGIPGLVNLFGIESPGLTSSLAIAEYIVSKYLR >LPERR01G29810.1 pep chromosome:Lperr_V1.4:1:25419385:25421733:-1 gene:LPERR01G29810 transcript:LPERR01G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAMPRRAHKERAQPDARKKFGLLEKHKDYIVRAKAFHKKEETIRKLKEKASFRNPDEFYFKMINSRTVNGIHKPKPEANKYTEEELLLLKTKDMGYILQGIQSEKKKIERLSSTLHELDNERPNKHVYFAEDREEAKEIQSRIEQKSSSLGCDNIPSRIKRKTASSYRELEERKQRVEKLGKLYADMALKKELQKPGRKRKLREDEVEKETSQPVYKWRAQRKR >LPERR01G29820.1 pep chromosome:Lperr_V1.4:1:25422582:25426493:-1 gene:LPERR01G29820 transcript:LPERR01G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTLAPLPLXLRVRVLAVAADHTPPSPPPPPPPSPPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKALPLLSSCLPSALLSAADRTWMDEYILEAKQALGYPLAPSETLGDGDDCPARHFDVLLYLAFQHLDPSSERTRTRHVRNGHSRLWFLGQFVLELAFCEFFLQRYPRESPGPMRERVFALIGKRVIPKWIKAASLHNLVFPYDDLDKLIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRSPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMETLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAVV >LPERR01G29830.1 pep chromosome:Lperr_V1.4:1:25426828:25433554:1 gene:LPERR01G29830 transcript:LPERR01G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAIICNSPFLYIKDSFSTSSSLRHSERTAHRLVSTRSSELSASVAMADQLPRDQIAEFKEAFSLFDKDGDGSINTKELGTVMRSLGQNPTEAELQDMISEVDADGSGNVDFNEFLGLMARKLRDNESEEELREAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMIREADVDGDGQINYEEFVKCMMAKKRKKRIDERKEHGGRSSVGPSEASGGKRGGQKCVIL >LPERR01G29830.2 pep chromosome:Lperr_V1.4:1:25426828:25433554:1 gene:LPERR01G29830 transcript:LPERR01G29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAIICNSPFLYIKDSFSTSSSLRHSERTAHRLVSTRSSELSASVAMADQLPRDQIAEFKEAFSLFDKDGDGSINTKELGTVMRSLGQNPTEAELQDMISEVDADGSGNVDFNEFLGLMARKLRDNESEEELREAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMIREADVDGDGQINYEEFVKCMMAKKRKKRIDERKEHGGRSSVGPSEASGGKRGGQKCVIL >LPERR01G29830.3 pep chromosome:Lperr_V1.4:1:25426828:25433554:1 gene:LPERR01G29830 transcript:LPERR01G29830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAIICNSPFLYIKDSFSTSSSLRHSERTAHRLVSTRSSELSASVAMADQLPRDQIAEFKEAFSLFDKDGDGSINTKELGTVMRSLGQNPTEAELQDMISEVDADGSGNVDFNEFLGLMARKLRDNESEEELREAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMIREADVDGDGQINYEEFVKCMMAKKRKKRIDERKEHGGRSSVGPSEASGGKRGGQKCVIL >LPERR01G29830.4 pep chromosome:Lperr_V1.4:1:25426828:25433554:1 gene:LPERR01G29830 transcript:LPERR01G29830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRGIALQIHTQTPPLAGAGAVAPSSSSLPSSLAHFLKRPASFPFLLSLFVLLTWISLRFHHPSPPPASELRPPVVREPQANLVRYPAALYPTPIAADGRGWLLDPIAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADAKDKGYGEATISADEVAIVASTRSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDL >LPERR01G29830.5 pep chromosome:Lperr_V1.4:1:25426828:25432790:1 gene:LPERR01G29830 transcript:LPERR01G29830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRGIALQIHTQTPPLAGAGAVAPSSSSLPSSLAHFLKRPASFPFLLSLFVLLTWISLRFHHPSPPPASELRPPVVREPQANLVRYPAALYPTPIAADGRGWLLDPIAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADAKDKGYGEATISADEVAIVASTRSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDL >LPERR01G29830.6 pep chromosome:Lperr_V1.4:1:25426828:25431357:1 gene:LPERR01G29830 transcript:LPERR01G29830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRGIALQIHTQTPPLAGAGAVAPSSSSLPSSLAHFLKRPASFPFLLSLFVLLTWISLRFHHPSPPPASELRPPVVREPQANLVRYPAALYPTPIAADGRGWLLDPIAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADAKDKGYGEATISADEVAIVASTRSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDL >LPERR01G29840.1 pep chromosome:Lperr_V1.4:1:25439821:25445970:1 gene:LPERR01G29840 transcript:LPERR01G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLRGWGGGAAAGGRDAEHGWLGDRRRLPTCGGDDPMGRARHEIIDEGKAFLVLAVPHKSDKILVPYKFIVTVKPTYVPSPEAVVKFSIFTREYTS >LPERR01G29840.2 pep chromosome:Lperr_V1.4:1:25439821:25445970:1 gene:LPERR01G29840 transcript:LPERR01G29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLRGWGGGAAAGGRDAEHGWLGDRRRLPTCGGDDPMGRARIQTAVVCHLYLPVPYKFIVTVKPTYVPSPEAVVKFSIFTREYTS >LPERR01G29850.1 pep chromosome:Lperr_V1.4:1:25441593:25442989:-1 gene:LPERR01G29850 transcript:LPERR01G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHGILENGEEVAVKVLRETSIALSKDFLPEVQTLSKVHHKNLVAFVGYCQNKKCLALVYDFMPRGNLQEVLRGGLEYLHESCTPSIVHRDVKTANILLDENLVAIISDFGLSRTFTPTHTHISTVAAGTVGYLDPEYHATFQLTVKADVYSFGNVLLEIITRQLQVLVDPEPVHLPNWVRQKIAKGSIHDVVDSRLMDQYDATCVQSIIDLAMNCVENTSIDRPSMTDIVIKLKECLPADTGDKQLLSGSYKQKETIDTRHCKAVPAANFWSFN >LPERR01G29860.1 pep chromosome:Lperr_V1.4:1:25443014:25454887:-1 gene:LPERR01G29860 transcript:LPERR01G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYSCSACTKMTWILSLLLILGATIQVHGVSPPGFLSIDCGWANGTSYDDNKNTTLTYVSDNEFVESGKSYTIMAEYIEGATNEQEKTLRSFPDGRRNCYTLPTISGKRYLIRATFTYGNYDGLNSSESGSLFLFGLHIGVNFWSPVNLTNWGSADTVWKEVITVSPDTNISVCLINLGSGTPFISTLDLRKLDDAMFPFLNLSVSISYFARKRFGSVDNFITRYPTDPVDRFWEAAGRYKFPWLNMTTNQNVRKLPGNDYLQVPTSILQKASTINSNYSELNISVYAGSNLDFRSLELLPIFHFAEIDGNSPNRTFDIYSDGNMLFDNYRPPAFIVDSMYHGGQFLSKKGVNFTLRKTPSSELPPLINAFEVYSLVRTDNFATSSDDVNYMKEVKKNYSLSRNWNGDPCSPRDYSWQGLTCNYANGDKNPSIIRVNLSASGLIGGLHISFMRMPSLENFNLSNNQLDGPIPDSILQRFKAGQLDLRLEGNPICSKVKARYCSNKNSTPTVLIAVIVPVVFLLLLVLVYILWRLCWKGKSGEDEDYSIYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVTESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDRSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIAKGSVHDVADTKLLNQYDATHLQTVIDLAMNCLENAAIDRPSMTEVVSVLKVCLPASSERQSATSTPRKKNIMDPEIPRQFQLMISGASTTSYEGSSFQSGYSGAMSEISHSFDCGWTDGTSYDDKDTKLTYVSDKEYVEGGKSYNIMAQYMNGAANEQEKTLKSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSENGSLFIFGLHIGVNFWTTVNLTNWLSSNTVWKEVITVAPDNFTSVCLIYLELGTPFISTLDLRPLQDTMYPFVNASTSVNYFSRLRFGSVNVLITRFPTDTYDRFWESWAFYNNSYPWVNMHSDVNVTALPNIDIFGLPPAILGNGSTIDGKYSSLNISVRAREYLATELELLPVFHFVELGNNSRRTFNICNVDEQEPLFSNFTPPAFLSSMFHNWFLPKGRRAHFELRKTPNSQLPPLINAYEVYSRVKMENLTTASGDVKNKYNVTRNWKGDPCSPREYIWEGLNCTYPNGQNPRIFKINLSNSGLQGELVISFMKMSSLENLDLLHNNLTGTIPDYQANSLISYFFSDLSNNNLNGSIPDSILRRLKAGLLQLRLEGNPICSRVRASYCGNKNTHILLISVIVPVVSLLVVLFILWKFCWKGKSKEHDDSDMNEEETPLNIDTRRFTYTELKIITNNFQ >LPERR01G29860.2 pep chromosome:Lperr_V1.4:1:25443014:25454887:-1 gene:LPERR01G29860 transcript:LPERR01G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYSCSACTKMTWILSLLLILGATIQVHGVSPPGFLSIDCGWANGTSYDDNKNTTLTYVSDNEFVESGKSYTIMAEYIEGATNEQEKTLRSFPDGRRNCYTLPTISGKRYLIRATFTYGNYDGLNSSESGSLFLFGLHIGVNFWSPVNLTNWGSADTVWKEVITVSPDTNISVCLINLGSGTPFISTLDLRKLDDAMFPFLNLSVSISYFARKRFGSVDNFITRYPTDPVDRFWEAAGRYKFPWLNMTTNQNVRKLPGNDYLQVPTSILQKASTINSNYSELNISVYAGSNLDFRSLELLPIFHFAEIDGNSPNRTFDIYSDGNMLFDNYRPPAFIVDSMYHGGQFLSKKGVNFTLRKTPSSELPPLINAFEVYSLVRTDNFATSSDDVNYMKEVKKNYSLSRNWNGDPCSPRDYSWQGLTCNYANGDKNPSIIRVNLSASGLIGGLHISFMRMPSLENLSQMNESFKLHVFSNLSNNQLDGPIPDSILQRFKAGQLDLRLEGNPICSKVKARYCSNKNSTPTVLIAVIVPVVFLLLLVLVYILWRLCWKGKSGEDEDYSIYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVTESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDRSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIAKGSVHDVADTKLLNQYDATHLQTVIDLAMNCLENAAIDRPSMTEVVSVLKVCLPASSERQSATSTPRKKNIMDPEIPRQFQLMISGASTTSYEGSSFQSGYSGAMSEISHSFDCGWTDGTSYDDKDTKLTYVSDKEYVEGGKSYNIMAQYMNGAANEQEKTLKSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSENGSLFIFGLHIGVNFWTTVNLTNWLSSNTVWKEVITVAPDNFTSVCLIYLELGTPFISTLDLRPLQDTMYPFVNASTSVNYFSRLRFGSVNVLITRFPTDTYDRFWESWAFYNNSYPWVNMHSDVNVTALPNIDIFGLPPAILGNGSTIDGKYSSLNISVRAREYLATELELLPVFHFVELGNNSRRTFNICNVDEQEPLFSNFTPPAFLSSMFHNWFLPKGRRAHFELRKTPNSQLPPLINAYEVYSRVKMENLTTASGDVKNKYNVTRNWKGDPCSPREYIWEGLNCTYPNGQNPRIFKINLSNSGLQGELVISFMKMSSLENLDLLHNNLTGTIPDYQANSLISYFFSDLSNNNLNGSIPDSILRRLKAGLLQLRLEGNPICSRVRASYCGNKNTHILLISVIVPVVSLLVVLFILWKFCWKGKSKEHDDSDMNEEETPLNIDTRRFTYTELKIITNNFQ >LPERR01G29860.3 pep chromosome:Lperr_V1.4:1:25443014:25454887:-1 gene:LPERR01G29860 transcript:LPERR01G29860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYSCSACTKMTWILSLLLILGATIQVHGVSPPGFLSIDCGWANGTSYDDNKNTTLTYVSDNEFVESGKSYTIMAEYIEGATNEQEKTLRSFPDGRRNCYTLPTISGKRYLIRATFTYGNYDGLNSSESGSLFLFGLHIGVNFWSPVNLTNWGSADTVWKEVITVSPDTNISVCLINLGSGTPFISTLDLRKLDDAMFPFLNLSVSISYFARKRFGSVDNFITRYPTDPVDRFWEAAGRYKFPWLNMTTNQNVRKLPGNDYLQVPTSILQKASTINSNYSELNISVYAGSNLDFRSLELLPIFHFAEIDGNSPNRTFDIYSDGNMLFDNYRPPAFIVDSMYHGGQFLSKKGVNFTLRKTPSSELPPLINAFEVYSLVRTDNFATSSDDGGLHISFMRMPSLENLSQMNESFKLHVFSNLSNNQLDGPIPDSILQRFKAGQLDLRLEGNPICSKVKARYCSNKNSTPTVLIAVIVPVVFLLLLVLVYILWRLCWKGKSGEDEDYSIYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVTESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDRSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIAKGSVHDVADTKLLNQYDATHLQTVIDLAMNCLENAAIDRPSMTEVVSVLKVCLPASSERQSATSTPRKKNIMDPEIPRQFQLMISGASTTSYEGSSFQSGYSGAMSEISHSFDCGWTDGTSYDDKDTKLTYVSDKEYVEGGKSYNIMAQYMNGAANEQEKTLKSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSENGSLFIFGLHIGVNFWTTVNLTNWLSSNTVWKEVITVAPDNFTSVCLIYLELGTPFISTLDLRPLQDTMYPFVNASTSVNYFSRLRFGSVNVLITRFPTDTYDRFWESWAFYNNSYPWVNMHSDVNVTALPNIDIFGLPPAILGNGSTIDGKYSSLNISVRAREYLATELELLPVFHFVELGNNSRRTFNICNVDEQEPLFSNFTPPAFLSSMFHNWFLPKGRRAHFELRKTPNSQLPPLINAYEVYSRVKMENLTTASGDVKNKYNVTRNWKGDPCSPREYIWEGLNCTYPNGQNPRIFKINLSNSGLQGELVISFMKMSSLENLDLLHNNLTGTIPDYQANSLISYFFSDLSNNNLNGSIPDSILRRLKAGLLQLRLEGNPICSRVRASYCGNKNTHILLISVIVPVVSLLVVLFILWKFCWKGKSKEHDDSDMNEEETPLNIDTRRFTYTELKIITNNFQ >LPERR01G29870.1 pep chromosome:Lperr_V1.4:1:25448063:25453439:1 gene:LPERR01G29870 transcript:LPERR01G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGASLRDGLEVAKLSVRTREYTSNALMSGGSSELGVLRRVKLTPFLLKNWPP >LPERR01G29870.2 pep chromosome:Lperr_V1.4:1:25448063:25454808:1 gene:LPERR01G29870 transcript:LPERR01G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGIGRRRWRRRRRVAEAGTGACGGGEGERGWAAVAPPCATA >LPERR01G29880.1 pep chromosome:Lperr_V1.4:1:25457641:25461869:1 gene:LPERR01G29880 transcript:LPERR01G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGPRAQLHRPVLRLSLGLARKKEKEKEKEKGKEKPKEISSSLDLVSSRQSATLHPIASPPLSSGRSPSPSPELLLLPLLGWRGVDRGSARRGRFLLFVRHTSVKMSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLITSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLAELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEQAKAAAQAALEEMDAD >LPERR01G29890.1 pep chromosome:Lperr_V1.4:1:25463455:25463859:1 gene:LPERR01G29890 transcript:LPERR01G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSYARTARLRLLVLFLASLSLLFAQTLASASETGQLSESDPCAASAAVSDGGSDSTPSSCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLFGFL >LPERR01G29900.1 pep chromosome:Lperr_V1.4:1:25470085:25472268:1 gene:LPERR01G29900 transcript:LPERR01G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESSNFMPTPEQDVLEVKPLRTLAPMFPAPLGLDVFNQSNTTPPMVFVAPAGQFPGDFGALNNPAVRSFACFGAQDTTGGKTTTVGGDQGAGEGKTAAYDSQEITRGQSAANGTPNVGSSATEPIDATPISAHKSTQPSVISLDDDDNDDEPYTGNQTSASGWKIKRPSHLSGYNMSEGLDIDGSNGTKKRPKSSHRKASADNELSMMPPSGNPNEIVEAVLMTFEALRRRHLQMDEVQETSKRADLKAGAIMMAKNLRANIGKRIGAVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMNAKFGDEDDSVAICIVAAGVYDNDDDDTDTLVYSGSGGISRNNEERHDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKINESWKEKTKNGISCFKYKLQREPGQPDGVAIWKMSQRWVENPADRGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFQGCSCSSVCLPGDTSCLCGKHNGGDLPYSSSGLLVCRKHMVYECGESCHCSFNCRNRVAQKGVRIHFEVFRTTNRGWGLRSWDPIRAGSFICQYVGEVIDDNKVNLDGEDDYLFQAVCPGEKTLKWNYGPELLGEQSINISAETFEPLPIKISAKKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDIGGESSDEGSTRAKNCLCGSSNCRGYFS >LPERR01G29910.1 pep chromosome:Lperr_V1.4:1:25474136:25480823:-1 gene:LPERR01G29910 transcript:LPERR01G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSAWQLDGYHQQHDQTLPEEQHREDLERLFLTLPPQHHQQQEQHQEDWQIQPCVHNHEQLDVTSSYVDQQHMLPQEQEHYQLTRGGLAQFAPGNQFILSPSDQQHILPQEQEHNQLTRGELAQFAPGNQFIPSPSNNKYLRVPGVRFVPSDIELILDYLQPQLYGERLPTNYVNIDNVYSKHPKELTSKLGHSFEGNWYIFSPRNRKYPRGKRPSRNTGDIGFWKSTSRNEPIYDIVGENGEKKLNDWVLCKITNKETCNVATKKFQPHSKKQKVQHVQQPPNQSIVIKEPSESGTANSSHAEELQQEMPGFSLAGGDDAMAAAAAAYPTPLRIVPSSHVPDSNCYSMGVTGDMNYFSTGVTSGGFAADEILYLTETQPQNAKRIRVSSFPLFFQCASAMAGKQFLSMARSRPASPRGLLAGGGLLILLLFAASYFLLLYPSSPGLVASPSSGSGSGSAADTAFLASLDRFLASPPRPSAAAAAPGDLDAAIRAVEEARLYGGVSPPPLRVYVYEMPSKFTYDLLRLFRDSYRETTNLTSNGSPVHRLVEQHSVDYWLWADLIAPESQRLLKNVIRVQQQEEADIFYIPFFTTISYFLLEKQECKALYREALKWITDQPAWQRSEGRDHVIPVHHPWSFKSVRKFVKKAIWLLPDMDSTGNWYVVLHTHLPPFSQGGKIRSKLVTELKDAEGVVIEEGTAGAEGKAAAQNGMRRSLFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLKGIDARRIREMQSNLLKIAGNLVNIKLHIRRSQRVVRESRSVCTCECRVGNNTRMF >LPERR01G29920.1 pep chromosome:Lperr_V1.4:1:25500068:25504248:1 gene:LPERR01G29920 transcript:LPERR01G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKSESDCEMIHQDQMDSPAGDDGGGGSGSPQRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTAEEERLIIQLHSKMGNKWARMAAHTWSYGTIGLSVLHDSKPHKIHKLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTTVCNQSSNEDQQGSSDFDCSENLSNGLLNANGLYLPDFTCDNIIANSEALSYPPHLSAESISNLLGQSFASKNCSFMDQVNQTGMLKQSDDVLPGLSHTINGMLSSVDQFSNDSEKLKQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPTATPSVKSECASPRNSGLLEELIHEAQALRSGKNQQTSVRSSSSSVGTPCNTTVVSSEFDICQEYWEEQHPGPFLNDSAPFSGNSFTESTPPVSATSPDIFQLSKVSPGWSLKFFALPSM >LPERR01G29930.1 pep chromosome:Lperr_V1.4:1:25506053:25512124:1 gene:LPERR01G29930 transcript:LPERR01G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKQVAPCLKKVLKSSIKNGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGDTNLPEIDEDNKVTSEISSRNPSSDFHFEASQRLPVPELKENTAGFKERETKQTFFITERSNEHVELDDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNSHQGAAVGNDFSSNRDVNSKDLLSTKDKADGHINLFEGLLSGLDEKDTPFGIFSTSKNINGCGELEENFNQETVFTDSTASRMGDISQVKPTEGEAGTSTATHAISVQRKTLDELRINTSKGFEDNLLDSSLGSPWARVESEDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSDRPDTIPKDDSDTDSHVSSQDNHTDDDSNDETDNNDAKDNGEEKKKEEGKETAFTWTADDEKNLMDLGYSELERNRRLELLMARRRSRKNIRFEIDNNLVDIDHNDGGRSVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSILHARKNPFDLPVDQSNSGDVSADNNVNPGEPVKASHRDMFFRRHDNFNIGRTDATQERFSRFKPYFVPETVEGSTSNFQRQFSDISESKLRSVTESDMASSVADQDHKDLDDKDLPKEHESPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYIASTKGKDPEDDYLLESVGNSKALHPVGDLLSWEDGDGESSLGANSSHNTSVEFSDWISPPRPIADDSGSENLQEFLDTEVASSSNTIVLGQRNPAENADLISYSNNQMPLDNLVRESMELPSEFCHEMLPVISRDLHPIPEERVVENFNVQEKHDAVIFTNSDAALTGIHVIEEHFEVGCEVSPRCEVVPSCPQASDCIQSPLVENTEISNPFFSMTSGPNKVDMVDLKEETNSGYPLDSDDDADKIYPEPMEEDNVIDESFLSELDAVGDFRVEATRSDQRVPDLDSHTDANGVAESSLISPQTSSDIFSPMKYASMLDDGDNSPLVDDLNGTGPEFGWSLGASHDDPEQTVYNPRRRILEASPLEETNTEMKLLFDETKASLVNAPIEVNLVVGPSKVDAARNESELSKTDTDMVVLDAKSLEDIQMAFKQASDSVVESTMDTETPQASCVGIDTEPVESSEKLDVIDAKSVDDIYAALKEHSSGAVNSSFEEIEGRPGCDDTVKFTMHDELPDGTHIEGNTVGDSKEPEPMGTTSSMDAIEAKTIDDIGTVLKKLSDSNAKSTAQAVKSENT >LPERR01G29940.1 pep chromosome:Lperr_V1.4:1:25512543:25514868:1 gene:LPERR01G29940 transcript:LPERR01G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHGRVHPSSSSASAVAPATGSSDFSGEMNHSAASSSDPSSSPLYSFHFEKPPPPAGTYVVQIPKDKVFRVPPPENARLFDHYTRRARRRRHCSCLRICSYLLITLLFLAAALAASATAVYLAFKPRRPDYTLQSLAVSGLGNASAAAAFSPEFAAVVRCDNSRNGKIGVHYGGEGSSVAVYYGGVRLASGEWPAFYQGPRNVTTVAAAARGAGIRFSDRMASEIAAAARIRSVPFGVDVEVPVRLQVGGVRTWAVPVRVRCAVAVDKLAADAKVVSKSCGVKVKFLFWKD >LPERR01G29950.1 pep chromosome:Lperr_V1.4:1:25520279:25520978:1 gene:LPERR01G29950 transcript:LPERR01G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVEPKLDVAGGRPLPPRQQHKIHKFPRGNSCSLRTLPSSRGFASRVSHIGGLVCSGWLVVCPGALAVARRSWIRRKIRIFCEGALKLLNGRARGSLEAAGLVGDSFQELALY >LPERR01G29960.1 pep chromosome:Lperr_V1.4:1:25521067:25522587:1 gene:LPERR01G29960 transcript:LPERR01G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPATEFDQFDCLPDPVVLLILNKLEDVRSLGRCSAVSKRFRGLVPLVSDVYVKIDRVVATDGDADDSLNLSAAKPQNILSHFFKLMLFTIVKPFHGMRNLNSTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTLVDRKPIGAEHEPSVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGVEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGLGLQGATLVVIKPSNDGSYGGHSRRKETEAFVCSAFDEPFRFAVKALMKRRTYLLEMNGF >LPERR01G29970.1 pep chromosome:Lperr_V1.4:1:25528444:25529348:1 gene:LPERR01G29970 transcript:LPERR01G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRRRAIRRRGYEAITRRGEEDGEEKASAYEAVTLEEEGLGTEELEARGDRFMARGQRRASRRWFVSFKHETAFAVAEDFRLAGLSYVLAKNWRKAAAAFGNEAIQRLKSGSPHADLAAAVALLASARCYRKILHKDEVEVGAIKFALKKAAAMFVEGKNLQSAATCCRELAEFHEERGELHHSLRFFLQARDYYRCNPNRNEQGVRYCHATGNLVRCRILLLSKGRPSSSSN >LPERR01G29980.1 pep chromosome:Lperr_V1.4:1:25530127:25530649:1 gene:LPERR01G29980 transcript:LPERR01G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSWASSIIRRRPWEVSVTPNSWSSVFLQCATNFCRWFYKCNYYIDHKEPSANEQEVCGKTQDGGIQAALRMVENGRHTIKNMVAEAEALTRRD >LPERR01G29990.1 pep chromosome:Lperr_V1.4:1:25533642:25534595:-1 gene:LPERR01G29990 transcript:LPERR01G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAGRKRCGSWCRPGRRRATGFWRGLGSFLGYGPTDLEASLYYEVATEVFERAKCYDEEMVDTMESSLKKSIRMFVLENDMVFAAMACQELSDLYEAQQQWDKVRECLGKAAEYYGKSNRGPFYNQHGKQLIEKSLAHMQELQERAHHVQVLLANCSE >LPERR01G30000.1 pep chromosome:Lperr_V1.4:1:25539175:25544570:-1 gene:LPERR01G30000 transcript:LPERR01G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASGSRPPAAESGGGGRLAVLASHFSQSSRVAREKEAALVAVPSDGPTIFDKIVRRDIPSQVVYEDEKTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHIEVMGRLLYAAKIIAKQENLADGFRIVINDGPNGCEHQPDSIETYLIGISWTDFVLLFRSVCISPPYPSSRGLSDEMASWYKIATHPHQTTNALHTIPTTKTLLPHIESPSHSPFLLLAPAAAAELCAAPPLPIAMASMAFTAAKFLPATAPTHLDSSSRFSPPPRAGTLSFSPLSSSSSSSSALLRLRSPSPSGPGGRLPPPPPPRSYGGGGSGDAADSGGGDEGRRGGILGIFLAGWAARVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGASAAVSSGLPSHMFEAGAYSLGSRVATLVSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLAKAAPPSVFKVSVVALRCMNNVLGGMSFVLLARLTGSQKSDKPAASAIVEEKESLIAEGNAVAGHVVGAGRDGDGK >LPERR01G30010.1 pep chromosome:Lperr_V1.4:1:25545953:25548501:-1 gene:LPERR01G30010 transcript:LPERR01G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGGAAAQRGRMPSLGRQGSLYSLTLDEVQSHLGEPLHSMNLDELLRSVFPDGVAAAGGGGIQRREQSSGLSRQGSIAMPPELSKKTVDEVWKGIQAAPKRRNGAETGGRRRRQRQPTLGEVTLEDFLVKAGVVTQGSLKEMGDGDASGNVDPVGRGVAVAGTADLASGAQWIERYKQQIAATADVHQHGQQSVQGVYMTNRLVPQPVNVGPGAVLEPSYSDGQASSGMIGGISDSQTPGRKRGMFSGDVADKLIERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKNAANCPSPRTKSWMKYFVLSLYQNPSINSGEQAQRISDLTRLQGAFYFVDFKVFFLLSFASWV >LPERR01G30010.2 pep chromosome:Lperr_V1.4:1:25546034:25548501:-1 gene:LPERR01G30010 transcript:LPERR01G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGGAAAQRGRMPSLGRQGSLYSLTLDEVQSHLGEPLHSMNLDELLRSVFPDGVAAAGGGGIQRREQSSGLSRQGSIAMPPELSKKTVDEVWKGIQAAPKRRNGAETGGRRRRQRQPTLGEVTLEDFLVKAGVVTQGSLKEMGDGDASGNVDPVGRGVAVAGTADLASGAQWIERYKQQIAATADVHQHGQQSVQGVYMTNRLVPQPVNVGPGAVLEPSYSDGQASSGMIGGISDSQTPGRKRGMFSGDVADKLIERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKELDEILCAVPVPEPKYQLRRTSSADF >LPERR01G30020.1 pep chromosome:Lperr_V1.4:1:25556061:25558610:1 gene:LPERR01G30020 transcript:LPERR01G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKGLTAATTPHSPSWSSSTASSCIVSTCKEGGKKRSKHKKRPKNGAGDAAVPRGSSSVYKGVTRHRGTGKFEAHLWDKHGWNPDQTKKGRQGAYDTEEAAARTYDLAALKIWGSGHALNFPLDTYRQERERMQRMTREEYLATLRRRSSGFSRGISKYRGVANSTFDCNIMPIFVTARHHHNGRWEARIGKSEGKKYLYLGTFDTQEEAARAYDLAAIQFRGRSAVTNFDARCYTYPDHPLPPPPPPPPAACKAEPEPEPTVLEATTTPGPEPLLRPKPEPVEWEMPPVCPALRDVDDVDHAIAEILPALCMDQADFEARYPARRARADGSGWSSSDDVAAADVRGLPDDVGFVDDIEALFVDAPGLPAAAVAAPAASRRASAVAAS >LPERR01G30030.1 pep chromosome:Lperr_V1.4:1:25563083:25566962:1 gene:LPERR01G30030 transcript:LPERR01G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >LPERR01G30030.2 pep chromosome:Lperr_V1.4:1:25563110:25566962:1 gene:LPERR01G30030 transcript:LPERR01G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >LPERR01G30030.3 pep chromosome:Lperr_V1.4:1:25563719:25566962:1 gene:LPERR01G30030 transcript:LPERR01G30030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >LPERR01G30030.4 pep chromosome:Lperr_V1.4:1:25563081:25563694:1 gene:LPERR01G30030 transcript:LPERR01G30030.4 gene_biotype:protein_coding transcript_biotype:protein_coding PPPRAAPHRTARAAKPSLVFVVRPPHLASAAHADRARAAPRRQRALRASAAISISRISYLGLHPFVLNSVCSVSNRVSTDAWRAVLICAAD >LPERR01G30040.1 pep chromosome:Lperr_V1.4:1:25566791:25569646:-1 gene:LPERR01G30040 transcript:LPERR01G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASAAAGDWLPAAEVTESGRPVLTAGEVERHLVAQADLEPEESPRLSPLRGRLLVLTSHRLILLHDATRSARAIPLAAVVHAYPPHRRHGNNPLRSIFSSSSSSSSSSSHHPRVRLQISLPPARSEVVAVVVTGKGDAADVFYGRLLEAVRARAWEMVAVSAAAATTAPGGGGSLGAQGAAPVVEDLAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALHKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDRQNIYLAKTHGIYHTWISVTPASSQ >LPERR01G30050.1 pep chromosome:Lperr_V1.4:1:25571155:25576695:-1 gene:LPERR01G30050 transcript:LPERR01G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALFCVLLMFLSVLQHGVLGYTRSDFPRDFVFGSATSAYQYEGAAAEDGRMPSIWDTFAHAGKMKDKTAGDVAADGYHKYEGDVELMAETGLEAYRFSISWSRLIPNGRGAVNQEGLKYYNNVIDELVKQGIRAHVMLYHMDLPQALEDEYDGWLSPRIVEDFTAYADVCFREFGDRVLHWTTLAEPNVVALASYDTGELAPGRCSDPFGRTKCTVGNSSVEPYVAAHNMLLTHAAVVRLYREKYQALQKGIVGINVLCLWSNPLTNSTADLQAAQRYRDFVYGWILNPLVFGDYPQIMKKNVGSRLPSFNKVQTELVKGAIDFIGINHYYSLYVNDVPLAEGARDFIADRSVCYRAYKTDPPTGQNDPTQYPSDPQGLQLLLEYLKDTYGYFPIYVQENGKYSFLYRKGSTNDSLDDTDRVDYIKDYIEGVLNAIRNGVNVRGYFVWSFMDLFELLSGPSGDQTRYGLYRVDFDDDARPRRARRSARWYSDFLMNKQEPVLIARQ >LPERR01G30060.1 pep chromosome:Lperr_V1.4:1:25577367:25583716:1 gene:LPERR01G30060 transcript:LPERR01G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRTVYVDKKYAGGKSSEKPVNNTESVKSSGSDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRPPNPSSQTDFNGARRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVHSAVNRQTVASPVAHSAQLYTSQSNINSSVSQTAPARESVHHGRAHNVAVTQLPVLTQPTTSTNQALFDQSMQRPVTSAAPIDLFAGFNQQTPSVSHKPVDLHSQSIPKETRDDIVAQKAVASSPPVQAEAHKTSPMHRDLFNLFAGFDQQLPPTSNVQQSQPAAPSVVDEGWAFFLDRPQHVSPTSISNVQAQESAAMAAFPTSDSLAKGIDQSKLPSAPPNALLPQSYPPVMNQWSLNAEEVKTPVSKETAQSWNAFGETSGNTPNDSFTFNTVSQVAPHHFNVPSVLHAEARSPQDLASGGPERQTLGDITPGFNVSPGDMAGPSFHGPLQPQLDGMASQAAKSTNPFDMAFESDVEASDMFMDLASLQATLPNPHVNTDYSNLTESWISHNSDMPYISAGPQGGLSYMATQVQDPLMLSSTQGSFPPRNPFE >LPERR01G30060.2 pep chromosome:Lperr_V1.4:1:25577367:25583867:1 gene:LPERR01G30060 transcript:LPERR01G30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRTVYVDKKYAGGKSSEKPVNNTESVKSSGSDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRPPNPSSQTDFNGARRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVHSAVNRQTVASPVAHSAQLYTSQSNINSSVSQTAPARESVHHGRAHNVAVTQLPVLTQPTTSTNQALFDQSMQRPVTSAAPIDLFAGFNQQTPSVSHKPVDLHSQSIPKETRDDIVAQKAVASSPPVQAEAHKTSPMHRDLFNLFAGFEQQLPPTTSVQQSQPAEPSITSTHPPIDLFAGFDQQLPPTSNVQQSQPAAPSVVDEGWAFFLDRPQHVSPTSISNVQAQESAAMAAFPTSDSLAKGIDQSKLPSAPPNALLPQSYPPVMNQWSLNAEEVKTPVSKETAQSWNAFGETSGNTPNDSFTFNTVSQVAPHHFNVPSVLHAEARSPQDLASGGPERQTLGDITPGFNVSPGDMAGPSFHGPLQPQLDGMASQAAKSTNPFDMAFESDVEASDMFMDLASLQATLPNPHVNTDYSNLTESWISHNSDMPYISAGPQGGLSYMATQVQDPLML >LPERR01G30060.3 pep chromosome:Lperr_V1.4:1:25577367:25583716:1 gene:LPERR01G30060 transcript:LPERR01G30060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRTVYVDKKYAGGKSSEKPVNNTESVKSSGSDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRPPNPSSQTDFNGARRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVHSAVNRQTVASPVAHSAQLYTSQSNINSSVSQTAPARESVHHGRAHNVAVTQLPVLTQPTTSTNQALFDQSMQRPVTSAAPIDLFAGFNQQTPSVSHKPVDLHSQSIPKETRDDIVAQKAVASSPPVQAEAHKTSPMHRDLFSLSTLQEPSISSTPPSIDLFAGFDQQPPPMTSVQQSQLAKPSISSTPRSIDLFAGFEQQLPPTTSVQQSQPAEPSITSTHPPIDLFAGFDQQLPPTSNVQQSQPAAPSVVDEGWAFFLDRPQHVSPTSISNVQAQESAAMAAFPTSDSLAKGIDQSKLPSAPPNALLPQSYPPVMNQWSLNAEEVKTPVSKETAQSWNAFGETSGNTPNDSFTFNTVSQVAPHHFNVPSVLHAEARSPQDLASGGPERQTLGDITPGFNVSPGDMAGPSFHGPLQPQLDGMASQAAKSTNPFDMAFESDVEASDMFMDLASLQATLPNPHVNTDYSNLTESWISHNSDMPYISAGPQGGLSYMATQVQDPLMLSSTQGSFPPRNPFE >LPERR01G30060.4 pep chromosome:Lperr_V1.4:1:25577367:25583867:1 gene:LPERR01G30060 transcript:LPERR01G30060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRTVYVDKKYAGGKSSEKPVNNTESVKSSGSDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRPPNPSSQTDFNGARRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVHSAVNRQTVASPVAHSAQLYTSQSNINSSVSQTAPARESVHHGRAHNVAVTQLPVLTQPTTSTNQALFDQSMQRPVTSAAPIDLFAGFNQQTPSVSHKPVDLHSQSIPKETRDDIVAQKAVASSPPVQAEAHKTSPMHRDLFNLFAGFDQQLPPTSNVQQSQPAAPSVVDEGWAFFLDRPQHVSPTSISNVQAQESAAMAAFPTSDSLAKGIDQSKLPSAPPNALLPQSYPPVMNQWSLNAEEVKTPVSKETAQSWNAFGETSGNTPNDSFTFNTVSQVAPHHFNVPSVLHAEARSPQDLASGGPERQTLGDITPGFNVSPGDMAGPSFHGPLQPQLDGMASQAAKSTNPFDMAFESDVEASDMFMDLASLQATLPNPHVNTDYSNLTESWISHNSDMPYISAGPQGGLSYMATQVQDPLML >LPERR01G30060.5 pep chromosome:Lperr_V1.4:1:25577367:25583867:1 gene:LPERR01G30060 transcript:LPERR01G30060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRTVYVDKKYAGGKSSEKPVNNTESVKSSGSDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRPPNPSSQTDFNGARRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVHSAVNRQTVASPVAHSAQLYTSQSNINSSVSQTAPARESVHHGRAHNVAVTQLPVLTQPTTSTNQALFDQSMQRPVTSAAPIDLFAGFNQQTPSVSHKPVDLHSQSIPKETRDDIVAQKAVASSPPVQAEAHKTSPMHRDLFSLSTLQEPSISSTPPSIDLFAGFDQQPPPMTSVQQSQLAKPSISSTPRSIDLFAGFEQQLPPTTSVQQSQPAEPSITSTHPPIDLFAGFDQQLPPTSNVQQSQPAAPSVVDEGWAFFLDRPQHVSPTSISNVQAQESAAMAAFPTSDSLAKGIDQSKLPSAPPNALLPQSYPPVMNQWSLNAEEVKTPVSKETAQSWNAFGETSGNTPNDSFTFNTVSQVAPHHFNVPSVLHAEARSPQDLASGGPERQTLGDITPGFNVSPGDMAGPSFHGPLQPQLDGMASQAAKSTNPFDMAFESDVEASDMFMDLASLQATLPNPHVNTDYSNLTESWISHNSDMPYISAGPQGGLSYMATQVQDPLML >LPERR01G30070.1 pep chromosome:Lperr_V1.4:1:25584682:25588687:1 gene:LPERR01G30070 transcript:LPERR01G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFHPNAGQPHRPRPGDPGPHAPHPAAFPPAAFPPPPVPNLAAAAANPMAAAAAANPFLAMQLFGQAQQLQNLGFLAAAAFQQQQQQPQAPFFPGGGGFPPPNPNQFGGFHGQHAGFNGGGAFRPGGVGVAGPRPPPRPMMGAASGNNYNSGGGGRGMGAGYNSSGSSGGHGMGAGAPRPVLNGGGNDRNSGGGGKGGEVNHTKIKPDGISHFASENGERKNTTDQKTRFNPGRDCRDGRQFGPSGGRGRGRSFNQGRGRGNNNWRDAKSNFRSSDSPSPASGQRHNDNPASGGNRKRPPIIYDAKEVKQWLEARKKNYPTSANINKKLSVSQPDGEKKDEDAQTRRQELKEVLAKQKELGFELPELPPGYLSEHEDQGNGRRSNWKTQRRDCRFGNRADNKRSRYDRKDFQSKRPKVQNRTCDDGAMVKSREPTLLQKLLSSDIKRDRHRLLHTFKFMVLNNFFSDYPDKPLEFPSVKVNQIELESNVTEEDLDDLLNNEMTKESNFDLKENGDQKDSSSVDGESILDDNNGGDDDGEEDDDYGNASAESSDKDE >LPERR01G30080.1 pep chromosome:Lperr_V1.4:1:25589676:25592292:1 gene:LPERR01G30080 transcript:LPERR01G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVRLHILVAAVAAAVAVAVGMAAAQTTMSPALAPAPDAGGGITPACMDAVRNMSDCLTYVMNGSTAKKPDDPCCPELAGLLESKPVCLCQLLAGGASSYDISVDYKRALALPGICGLAAPPVSACAILGVPVPMAPSESPMTGFAPSTEPQMPQKSPSSSPSKSSNNAPSRFSALTALLIAVAAARMF >LPERR01G30090.1 pep chromosome:Lperr_V1.4:1:25594601:25599682:-1 gene:LPERR01G30090 transcript:LPERR01G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGEEKMKGCGPKLFGAKENKVSKKTDNPSRNTGKFFPSSSNGASSSPLRALSVKGMRLGHFLAQTPNNTTTDSLRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVTEDSEPAARWLVLINQALNRPAETDANVFQHEPSPSVDSTASRASSSLDASFSDLAKTSSSSTIFQKSYLKAISKSFMPIQRKRLKSCNCPVEITKSSYKDACFGCPKAYAYNIDSSDEDEQEEKTEKSRDSNGSVRSGLISAPTARDQLKYNLIACKQMVGLFVMVWVKKELVQHIGHLRTSCIGRGIMGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGARKIPEKILEHDKVIWFGDLNYRIALSYADTKKFLTENNWDALFERDQLKIERDAGRVFKGWNEGVIEYCGAGTVLCNYLTTVGSLDFLIIDLFAGLSLLNMRKARMRPSNANMKIGAEELLPQDKNKAKGSSGSVNSPKLS >LPERR01G30090.2 pep chromosome:Lperr_V1.4:1:25594601:25599682:-1 gene:LPERR01G30090 transcript:LPERR01G30090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGEEKMKGCGPKLFGAKENKVSKKTDNPSRNTGKFFPSSSNGASSSPLRALSVKGMRLGHFLAQTPNNTTTDSLRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVTEDSEPAARWLVLINQALNRPAETDANVFQHEPSPSVDSTASRASSSLDASFSDLAKTSSSSTIFQKSYLKAISKSFMPIQRKRLKSCNCPVEITKSSYKDACFGCPKAYAYNIDSSDEDEQEEKTEKSRDSNGSVRSGLISAPTARDQLKYNLIACKQMVGLFVMVWVKKELVQHIGHLRTSCIGRGIMGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGARKIPEKILEHDKVIWFGDLNYRIALSYADTKKFLTENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSNNSDAYASETATSKKKRRTPAWCDRILWRGDGIVQLSYYRGESRFSDHRPVCGTFIVEYEVLNRKARMRPSNANMKIGAEELLPQDKNKAKGSSGSVNSPKLS >LPERR01G30100.1 pep chromosome:Lperr_V1.4:1:25611040:25614209:1 gene:LPERR01G30100 transcript:LPERR01G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLKPRDAATLPRHLLESHVVDLIRRCPNHLAVRAAHARLLRLRLPRLTAAFALSKLLAASCDPTAAAASYARNLFDQIPEPTAFCYNSLIRALSSSSPAPAADTVLVYRRMLRARTPHPNSFTLAFALKACSVAPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARAVFDAMVGDRNLAAWSAMIGGYSRVGMVNEALGLFRQMQAAGVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKWVFDAMVERDTKAWSAMIVGLAIHGLVEDALKFFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRHWSTMQALGIRPSMENYGCMVDLFCRSGLLDEAHSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVESASKRLLELEPCNPENYVLLSNLYASNSQWDRVNYMRIKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKDIRLLLREIADHVIRSGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHIIRVVKNLRFCPDCHEVAKIISKSYNREIIVRDRVRFHRFVQGSCSCKDFW >LPERR01G30110.1 pep chromosome:Lperr_V1.4:1:25614617:25617090:-1 gene:LPERR01G30110 transcript:LPERR01G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLAAFASLRAAAAIASGDSSFPCSHRLKPSSSQQNPLLNFEFSLWMGGSLVDSSAEGVSSSLCLCHSTCENAGFKHSSCACSGDGNFEAGIDFGQDDLAVDEIGMAIAEVMHVCSGDDDDDEGTDSGEDSDENEDPLSLESDSTNDVVDIDTELVTSPTFPNCNAAESSINKSDYANSSADVTLLLVSAMKGSRAKRGITTRLSVSWAPDVYDPPVTSGGTGSSDIAVPQCSKLSPLVPSETTAYRDVYSSSSGSRTDIATPQHSKLSVLAPSESASLAGTVPVLKTLEPIKRSSSCCKEPLSILNHPRQFVAAKYKGMFSLWNHNQLAS >LPERR01G30120.1 pep chromosome:Lperr_V1.4:1:25618657:25620892:-1 gene:LPERR01G30120 transcript:LPERR01G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAASSSALGFRDLCSLAIASRRLRRLSLLPPLWSALISRDFPSQSSQPSSSSSKQQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLAQLEESLQAEGEKMKATAQEMENLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSCLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTIVNEPQAKRKKLKYLLGARI >LPERR01G30130.1 pep chromosome:Lperr_V1.4:1:25622621:25625462:-1 gene:LPERR01G30130 transcript:LPERR01G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPACSFLLPPAAAGAGAYGFVSIARAPRLLATPRAVPPRRPLAAVSSAKAATPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCKSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERCVLLRAFGAEIVLTDPAKGLKGALDKAEEIVSRTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTAEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >LPERR01G30130.2 pep chromosome:Lperr_V1.4:1:25622621:25625462:-1 gene:LPERR01G30130 transcript:LPERR01G30130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPACSFLLPPAAAGAGAYGFVSIARAPRLLATPRAVPPRRPLAAVSSAKAATPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCKSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERCVLLRAFGAEIVLTDPAKGLKGALDKAEEIVSRTPNAYMFQQFNNSANSPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTAEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >LPERR01G30140.1 pep chromosome:Lperr_V1.4:1:25626544:25630153:-1 gene:LPERR01G30140 transcript:LPERR01G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQGQSVQTTVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFRKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILEDPNDSTKVHLIYANVTHDDILLKEELDSMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHCPAPAEDIQILRCGPPPMNKAIAAHLDELGYTKEMQFQF >LPERR01G30140.2 pep chromosome:Lperr_V1.4:1:25626542:25630217:-1 gene:LPERR01G30140 transcript:LPERR01G30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQGQSVQTTVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFRKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILEDPNDSTKVHLIYANVTHDDILLKEELDSMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHCPAPAEDIQILRCGPPPMNKAIAAHLDELGYTKEMQFQF >LPERR01G30150.1 pep chromosome:Lperr_V1.4:1:25634847:25635518:-1 gene:LPERR01G30150 transcript:LPERR01G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEHHRRVADNAAAAAACSRSRRPSSPSTSLTAFCEHSPMAAVDAVALLAVVGALGFLVVPYAKMALVEMGALLHPAAPCLSAAGAAFAGAAVAGATAVLAWEMLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHHPAAAPAARSALLAAAGARPVEIGGAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >LPERR01G30160.1 pep chromosome:Lperr_V1.4:1:25642993:25644464:-1 gene:LPERR01G30160 transcript:LPERR01G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKQASQRRKASILALLVLSCLLLPLVSSVPLSRSMPLRNNQASVSGLEAPVDKVVAAVAEERNLGEVAARMVIEVNDYPGSGANNRHDPKSPGRA >LPERR01G30170.1 pep chromosome:Lperr_V1.4:1:25646320:25653859:1 gene:LPERR01G30170 transcript:LPERR01G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLHLRPNAAAFSLFLSPPASRRHLLLSSPNPLRTLSSSSSAAATSDSYSSSTSSASPLQSPFPEWSRLVDRLAAAGYGAREAPSTAGELALAPGCDLSSDAEVAVSSFLAFARDRPDLLRSLKRKDVEVLVDNVAPVLFKDGEASELRLRQYLAGEASNVIQSERAETIDIVRYLVSYAYSSPDTYSKDKELTDSAVRNILAELVSSSGSPQTPSYLESTIGQNTGQHERFSRPSGQNIEMKRGDWICTRCSFMNFARNVRCLECNEHRPKKMLTGGEWECPQCEFYNYGRNMSCLRCDCKRPGTIPPDPASAGSGLGGVAQFLNTTIGKSEMERKLAENDEKAERWLSKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSSNNSPQAASSDSKISKTLDRILGRSTTSAPNNQSGDGDVHTGVSNKTTGNLGGIDPVPFVPLSADQFAKPQNNFSYGQPDTQRNTEADSMANSRMDSKTERRDVKKSLDTAEQWSKKVAELDNVKDFPSAISDEDFPETMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKDKPVENSSDTGKVAEGLPSSEKLPETNSSSGNPGNIQKSSHVVGSQAANNMNNENMNGNYPHINLGTGGYGYGESIVHQHQPQSHGVVGQSGGASETGTWDANNNQGNFNECRGGSSFNGGNYSAQPPYMSGYGNNSNAWGSTRNYDNGAQSDNSPYHNNSTWSGNSSYNNNRAWSSNSNYNSNNAWSNNSNNSWSGSYSDNSNVGNGNSATRPNQTTGYSSYGESSNRGYTGKSLEGSAVRDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRTELCRFSGQKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGGGAQRGAKGPKIGGGGGKR >LPERR01G30180.1 pep chromosome:Lperr_V1.4:1:25654289:25657874:-1 gene:LPERR01G30180 transcript:LPERR01G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRTSPPVDATAVPHARRLVALLLEHQDRRRTLLQIHSQLIAHQVFDRRPTPWHALLKAYSNGPFPQDALQLFRNARWHVADDTYSFTFALKACAGLGWPRAGAQLHGLIVRKGFEFHTYVHTVLANVYVVCGCLVDARMAFAEMPVKNAVSWNVVITGFAGRGEVEHARLLFERMPCKNVVSWSGMIDGYARACLPIEAVTLFRRMMVEGIRPSEITVLAVIPALFNIGKILMGEVLHGYCEKEGLVWDVRVGNSLIDLYSKIGSIQNSLRVFDEMLDRRNLVSWTSIISAFAMHGLSVKAVELFADMRRAGINPNRVTFLSVLNACSHGGLVEQGLAFFKSMVYEYNINPEDKHFGCMIDMLGRAGRLCEAEQIIRGLHVEVNVTVWRILLGCCSKYGEVEMGERTIKKILALERGLGSDFVVLSNMLTELGRFSDAEIVRKLVDQRNSVKIPGLALEADVLTDVQSLFIVNWLTAHGTILFILNIARMEAIKKLHAHYIVSGLYSCHYAMSKVLRSYAILQPDLVLAHKVFDQMEAPTTYLWNIIIRGLAQSDTPADAIALYKKAQGEGMIPDNLTFPFILKACARVSALSEGEQMHNHITKLGLLSDVFISNSLIHLYAACGNICHARSIFDEMLVKDVVSWNSLICGYSQSNRFKDVLALFRLMQNEGVKADKVTMVKVISACTRLGDHSMADYMVRYIEDYCVEVDIYLGNTLIDYYGRRGQLQSAEKVFFNMKDRNIVTMNAMLTTYAKGQDLVSARRIFDQIPKKDLISWSSMISGYSQDNRFSDALEIFRQMQRAKVKPDAIVIASVLSSCSHLGALDLGKWLHDYVKRNGIKTDIIMENSLIDMYMKCGSTKEALQVYKEMKEKDTLSWNSIIVGLANNGFEEDALNLFHAMLAEGFRPNEVTFLGVLIACANAKLVEEGLDHFESMKQVYNLEAEMKHYGCVVDLLGRAGQLEKALRFITEMPIAPDPVVWRILLGACNTHGNVAIAEVVTKKLNELEPSNSGNYTLLSNAYASAHRWSDAMNVRQWMADTDVRKSPGCSVVNPA >LPERR01G30180.2 pep chromosome:Lperr_V1.4:1:25654289:25658236:-1 gene:LPERR01G30180 transcript:LPERR01G30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRVANLRESLCVAFRSVALLPPSRLVVTPQEADVLTDVQSLFIVNWLTAHGTILFILNIARMEAIKKLHAHYIVSGLYSCHYAMSKVLRSYAILQPDLVLAHKVFDQMEAPTTYLWNIIIRGLAQSDTPADAIALYKKAQGEGMIPDNLTFPFILKACARVSALSEGEQMHNHITKLGLLSDVFISNSLIHLYAACGNICHARSIFDEMLVKDVVSWNSLICGYSQSNRFKDVLALFRLMQNEGVKADKVTMVKVISACTRLGDHSMADYMVRYIEDYCVEVDIYLGNTLIDYYGRRGQLQSAEKVFFNMKDRNIVTMNAMLTTYAKGQDLVSARRIFDQIPKKDLISWSSMISGYSQDNRFSDALEIFRQMQRAKVKPDAIVIASVLSSCSHLGALDLGKWLHDYVKRNGIKTDIIMENSLIDMYMKCGSTKEALQVYKEMKEKDTLSWNSIIVGLANNGFEEDALNLFHAMLAEGFRPNEVTFLGVLIACANAKLVEEGLDHFESMKQVYNLEAEMKHYGCVVDLLGRAGQLEKALRFITEMPIAPDPVVWRILLGACNTHGNVAIAEVVTKKLNELEPSNSGNYTLLSNAYASAHRWSDAMNVRQWMADTDVRKSPGCSVVNPA >LPERR01G30190.1 pep chromosome:Lperr_V1.4:1:25658235:25660735:1 gene:LPERR01G30190 transcript:LPERR01G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALAIARAIPLSSSSSSSAAAAAGGFPNPRRPPLLFRGGSRIYTSQHGISSGGGGGDGEEDDPFSFPDLQGLPLEVVREVDAVVGAAEGAHADAARARGLLERCGAAASEPVVVAALARLRNSCDAAHATFRWASRQPGYAHGRRACHSMLAILAKHRRFDDARALLGEMRRSSLASLAAVMLLIRRYCAARDVAGAVGAFRALPSFGFRPGVAEFHGLLSALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNMIGSVREAKRFWNVMEIKGIERDVVSYGSMVSCFSKAGSLDTVMKLFNRMKEAGIIPDRRVYNAVVYALAKGRYVDEAKALVQSMEEKGVAPDTATFNSLIKPLCKARQVQEAREMLDDMLGRGLSPSVRTFHALFDVARTPIEVFDLLDKMKELQCEPEMDTYIMLIRKFCRWRQHDSVEKLWSAMPTNGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKAKGFSPEKKTEEMIQAWLSGRDLAKASASVGSRALNRAFSDGYFSALTYEESSNDSTLDLKLGWIATGPA >LPERR01G30200.1 pep chromosome:Lperr_V1.4:1:25661448:25662547:-1 gene:LPERR01G30200 transcript:LPERR01G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAATVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADTDRAPTAGGKKGSQKLDEWVLCRLYNKKNNWEKVKVEAAQANAAARGGKVMDAVAADTMSDSFQTHDSDIDNASAGALQQQQQQQNGGFVTVKEDYDWFTGLNFDELQPTYMMNHHLQQMQMVNPAVAGHDVGGYLQSMSSPQMKMWQTILPPF >LPERR01G30210.1 pep chromosome:Lperr_V1.4:1:25674325:25689309:-1 gene:LPERR01G30210 transcript:LPERR01G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFHALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGTAKPTRKIRSEQEKELEEEAVPEATGATNSEEAAPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLVTDVNLAVAVEATQAIGHLAKGLRTHFSGNSRVLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCITLLDVVEDVRVAVKNKVPLVRSLTLNWVTFCIETSNKATVLKLHKDYVPICMECLNDGTPEVRDASFSALTSIAKMVGMKPLERSLEKLDEVRQKKLSDMIGSASDTALSSGTDYVYLLFCTDPYTNHTISVPASNSGVVTSAREVMDSSSMRRSAASMLSGKKPIHAVATTKKSGPAKSATAKKTDSGPQSKASAAPVIEDVEPAEMSLEEIEEKLGSLVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGILHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAASAPKRTVRAVDAVSSASSGTSDGLPREDISAKITPTLMKNLGSPDWKLRLESIDAVNKIVEEAHKRIQPTGTVDLFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKASKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLMKPSASSLMVSKNLKDLPSPTLAIVNERLKLPTVHEGFSDSVKMVTTSMSLPSKAGSKNSKHGPNDRTSNVSKAVSQRGMPARSSVTMISAQDSTQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIEELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDLLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEVVSRSMAGSMVSRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPDQFLQSVEGMKVICHELTQAVDPESPVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTLQIRRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSELVVKCLIKLTKVLQSTISDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAASNNPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSLNTKTDSNEDDAGGDTQPFRGHGETDTRLQTTDPQTDRYQSSGTLDALRERMKSIQAAAVGANFDAVQARPLPNMNGNTPHGGVRFDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >LPERR01G30220.1 pep chromosome:Lperr_V1.4:1:25701113:25706694:1 gene:LPERR01G30220 transcript:LPERR01G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLLLLFMLLVSLRPSSSQTNPQDVSALRALMENWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMWVLNFDSSLIVNFETSATMKPGICRRLTSINLQGTLSNTIGQLSSLTYLDLSNNPSLGGPLPPSIGNLKQLTTLFTGNIPEQIGTLRQLTFLALNSNKFTGGVPPTLGLLSNLFWLDLSDNQLSGKLPVSSGSGPGLDQLFKAEHLIFDHNNFTGPIPGSLGTVPSIQIIRLDHNQFSGPVPGSIANLSLLMELSLASNQLNGTMPDLTSATALNYVDLSNNNFMNSPAPRWFSTLTSLTTLFMDNDHLTGTIPSALFSSPQMEQISLAKNAFSGILNMSSNVSPPLRVVNLTNNQIIDAKVDPSYTGSLILSGNPVCFGNITLCTLKQKQQVPYSTNPGLCGAISCPAEQSATLVTSQNCACVSPFQGLMIFRAPAFSDVTNPKSFQTLELTLMQNLSLAPGSVAISNVEFSPGEPLTFTLNIFPDSGTSFNHSEVIRISSALVNQTYKAPQTFGPYSFIASPYFASPSGKKSSMGKGAIIGIAAVGSLLVVGLILVAIYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKKCTNNFSETHEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGVHLDWKDRLRIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDENLIAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGMYIVREIRTAMDQYDQEYYGLKSLIDPTIRDSAKMVGLRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGEQLLTSASLLAEQLGNAKDRDPYGDHLPMNDDSSSGAFDYNSVLSYSVVEPK >LPERR01G30220.2 pep chromosome:Lperr_V1.4:1:25701172:25706694:1 gene:LPERR01G30220 transcript:LPERR01G30220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMADKKSLESVSALRALMENWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMDLSNNPSLGGPLPPSIGNLKQLTTLFTGNIPEQIGTLRQLTFLALNSNKFTGGVPPTLGLLSNLFWLDLSDNQLSGKLPVSSGSGPGLDQLFKAEHLIFDHNNFTGPIPGSLGTVPSIQIIRLDHNQFSGPVPGSIANLSLLMELSLASNQLNGTMPDLTSATALNYVDLSNNNFMNSPAPRWFSTLTSLTTLFMDNDHLTGTIPSALFSSPQMEQISLAKNAFSGILNMSSNVSPPLRVVNLTNNQIIDAKVDPSYTGSLILSGNPVCFGNITLCTLKQKQQVPYSTNPGLCGAISCPAEQSATLVTSQNCACVSPFQGLMIFRAPAFSDVTNPKSFQTLELTLMQNLSLAPGSVAISNVEFSPGEPLTFTLNIFPDSGTSFNHSEVIRISSALVNQTYKAPQTFGPYSFIASPYFASPSGKKSSMGKGAIIGIAAVGSLLVVGLILVAIYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKKCTNNFSETHEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGVHLDWKDRLRIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDENLIAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGMYIVREIRTAMDQYDQEYYGLKSLIDPTIRDSAKMVGLRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGEQLLTSASLLAEQLGNAKDRDPYGDHLPMNDDSSSGAFDYNSVLSYSVVEPK >LPERR01G30230.1 pep chromosome:Lperr_V1.4:1:25702887:25704476:-1 gene:LPERR01G30230 transcript:LPERR01G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPGARLRFCIRVNSKVWNDLGFVTSEKAGARKIIKPWKGLTQAQFCEATHKVVRDVNVENHRGAVPSEETAGTLFENLQMFCLKKLIQPWA >LPERR01G30240.1 pep chromosome:Lperr_V1.4:1:25709725:25712535:1 gene:LPERR01G30240 transcript:LPERR01G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTMRASAAVLLVAAALLAAVHAEDPYHFFEWKVTYGTRTILDVPQKVILINDAFPGPTINCSSNNNIVINVFNELDQPLLFTWHGIQQRKNSWMDGMPGTMCPIAPGTNFTYKWQPKDQIGSFFYFPSIGMQRAAGGYGIITVHSRLLIPVPFDEPAGDFPVLVGDWYTKDHTVLAKNLDAGKSIGRPAGMVINGKSEKDASNPPMYTMESGKVYRYRVCNVGIKTSLNVRIQSHILKLVEMEGSHTVQNDYDSLDVHVGQCLSFLVAADQKPGDYLLVASTRFMKESSSITAIVRYNGSNTPASTKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSSGRGKVDGKERFGINGVSHVDTETPLKLAEYFNASSGVFEYNLIGDVPPADTAALKLAPNVLSTEFRTFIEVVFENPEKSINSFHINGYAFFAAGMGPGTWTPESRRTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNVRSNMWERYYLGEQMYISVISPARSLRDEYNMPEVSLRCGKVVGLPLPPSYLPA >LPERR01G30250.1 pep chromosome:Lperr_V1.4:1:25716189:25718885:-1 gene:LPERR01G30250 transcript:LPERR01G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAAASTSASGSSPAAAAGQSVVRRWAVTPRELQRCRWYASLPAAAEAPPTATGKAEGEAEVTAEEARRLMRLANVEALKRKLGDGEVIPYEELLRACEEAGAARTRAEATALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQIQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQRKLIQRQNFNLDRYLELQRCCKDPLDKICGTNHLPNPDMAHLHELSSNK >LPERR01G30260.1 pep chromosome:Lperr_V1.4:1:25720456:25724641:-1 gene:LPERR01G30260 transcript:LPERR01G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQHAREAINAVAPSVVVDLDANATGVDRRGMDWNISTEERILWPASVLAGIFMSAAVYEITRKVSSHCFKGYDSLSSMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFQSDTIIDRKSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHLYILMVLFTEATTPFVNLRWYLEVAGQKTQKLYLYNGLALFVGWLAARVILFIYFFTHMYFHFDQVKSIFTLGFVSLLTVPPALAVMNLFWFWKILKGMLKTLSKRRQHTENGKSD >LPERR01G30270.1 pep chromosome:Lperr_V1.4:1:25729030:25732598:1 gene:LPERR01G30270 transcript:LPERR01G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPALIADDLPTNVTAQINNATRTKGTSTVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRFLVILLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVAFVLFCFYVVRPGMWWLIRRIPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGPLGVALIEKLEDFVTGLLLPLFFAISGLRTNVSRIRDPITVGLLVLVFIMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVLGVHRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQSRSSSSSTLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINQSIRGFNESLLSTSPCSVGILVDRGLSAAAARMAAEHHVALFFFGGPDDREALAYAWRMVEHPGVTLTVVRFIPPEYRVRSFSNSNYRSSASVDSADSRSIAIESEGKTELQMDEDYLGEFRARNHGNEAITYSDKVVANSEETVAAIRGMDNSIHELYIVGRRPGEAGSPMTAALEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAATEPAAAAAAAPAGNTDPVRQYVSNANQRPSAAYRTTASSTATNNRWSGGTVGF >LPERR01G30280.1 pep chromosome:Lperr_V1.4:1:25738586:25739215:1 gene:LPERR01G30280 transcript:LPERR01G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAAPAPGVSAGGERAGEEEAATTLTVWRKSLLFNCKGFTVFDAKGDLAYRVDSYDAESGDEVVLMDAAGRPAFTVRRKRQISLQGEQWLVFAGEAEPRQQPAAYAVVRRSGRGGNGKSLARVTPCGGAAAAYEVEGSYARRCCVVYDGERRAVAEVRPKEAVGSDVFRLVVQPGVGVSLAMAVVVALDQMFGRPSLLRSWSS >LPERR01G30290.1 pep chromosome:Lperr_V1.4:1:25741003:25747392:1 gene:LPERR01G30290 transcript:LPERR01G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVAVVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYDGEGFNYIKESFDKNTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKNAVEAVKTLRAETKANDQYLPPFVIVDESDKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRETVKFGHVTFFWNGNRSGYFDETKEEYIEIQSDVGITFNVKPNMKALEIAEKARTAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGQIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGYEAPADYEPTLIEVVDN >LPERR01G30290.2 pep chromosome:Lperr_V1.4:1:25740948:25747392:1 gene:LPERR01G30290 transcript:LPERR01G30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVAVVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYDGEGFNYIKESFDKNTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKNAVEAVKTLRAETKANDQYLPPFVIVDESDKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRETVKFGHVTFFWNGNRSGYFDETKEEYIEIQSDVGITFNVKPNMKALEIAEKARTAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGQIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGYEAPADYEPTLIEVVDN >LPERR01G30300.1 pep chromosome:Lperr_V1.4:1:25744776:25749134:-1 gene:LPERR01G30300 transcript:LPERR01G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSSYSLLYDLRQYEARQPKLLVEASTSNPNPHSSAKLRRARCLSPSESVRKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLTKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISKILTGKCLAKIKAENLSKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRQGFVHLNLLKETQQDRHCFVGAKR >LPERR01G30300.2 pep chromosome:Lperr_V1.4:1:25745285:25749134:-1 gene:LPERR01G30300 transcript:LPERR01G30300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSSYSLLYDLRQYEARQPKLLVEASTSNPNPHSSAKLRRARCLSPSESVRKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLTKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISKILTGKCLAKIKAENLSKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRQGFVHSVKLPSDGISPPRRGISPVP >LPERR01G30310.1 pep chromosome:Lperr_V1.4:1:25750266:25750704:-1 gene:LPERR01G30310 transcript:LPERR01G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRMRGWVYAHKLQDSTLDPADGGGGSGNRRVVHEIKEQTANGGFVRVSRKPTNHSKYTGRDPYEAYSKRKSCKGRNKFKQDEAKMYYLDAEILDDDYYSSSSSTTPTYEEEEEKHYDTTS >LPERR01G30320.1 pep chromosome:Lperr_V1.4:1:25755946:25756267:1 gene:LPERR01G30320 transcript:LPERR01G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYLPAVVVEAIDKRRRTFLWTVYSPKGKGGLGVKSIQLQNEALLQKFLIKLHKQCDAPWVKLVQATIWLVILSRSWVHTHHSVDNLA >LPERR01G30330.1 pep chromosome:Lperr_V1.4:1:25757576:25762813:1 gene:LPERR01G30330 transcript:LPERR01G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSIEEEKLPIKASGDNAVPEIGKYPASTRTSTVPENEPLLSAEGDKTGATSLGSKIMGYVRCVVKFLKDKQLLQPPIIASVFAIVLGIVPFLKNFILTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVSIIFARLVLVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHTMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >LPERR01G30330.2 pep chromosome:Lperr_V1.4:1:25757576:25763381:1 gene:LPERR01G30330 transcript:LPERR01G30330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSIEEEKLPIKASGDNAVPEIGKYPASTRTSTVPENEPLLSAEGDKTGATSLGSKIMGYVRCVVKFLKDKQLLQPPIIASVFAIVLGIVPFLKNFILTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVSIIFARLVLVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHTMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >LPERR01G30340.1 pep chromosome:Lperr_V1.4:1:25763757:25766577:1 gene:LPERR01G30340 transcript:LPERR01G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSVEFCIISARGLGRRSTLLKPQWFSVAWIDPNRKYCTKIDASGNSDASWGTKFSLSVDEHDMGLQRMELTVEVYRREPIFLREHLQGTAVIQLKEYFDKFTQGEEPSGVTEETSSFQLRRKKSDKPQGFVDLSIRICKEENSCATLSGSQEGLKYPDQVGIALAIEDGPVYNYPPMPSSQLKDTQDADHYSNSMPVTTATHPKQSPSGSNYSYQPPMVPQLQPHPTSNPSFFTPQYPTMGQVPQTYINLPPRMGGQNSTPNFGIGLGAGALAAGTMIFGENLLSGQSLNTGLDGASISISNDTLF >LPERR01G30350.1 pep chromosome:Lperr_V1.4:1:25765929:25767691:-1 gene:LPERR01G30350 transcript:LPERR01G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPLREIVSKPRAPGPRLLLPLHAHLLVSGRLASSPAALTSLVSLYARAAAPALHRHVLPLLATAPSPSPSHSHSPPPLPCFNAALSLPHALALEVFAALRVAHCPDAYSFPPLISSAPSPPHLLALHALSLRRGLAHDDLFCASALLRGCLRFGLADHARRLFDELPSRDVVVWNAMVNGFAKLGCFGHAVECFRMMRAEGEVEISSFTVTGILSVCTAMADLGRGAAIHGLVVKSAFDQEVSVCNALVDLYGKCHRVHDAAMVFEGMADADKDLFSWNSMLSALHYSADHSGTMKLFSRMRRAAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARCVFDRMQQRDVASWNIMIDGYASHGLGKEALELFCQMTEVEHLLPDEITLLGALSACSHSGLVEEGKDFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLDEARKVVEDAGDVGIGAWRTYLAACRMHGDKERAEEAARMLMSTEESGSGGWVLLANTYGWEGNFEELEEWGQGTS >LPERR01G30360.1 pep chromosome:Lperr_V1.4:1:25771461:25774965:1 gene:LPERR01G30360 transcript:LPERR01G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTNTKKCFSPARVMSPLPLMRPPPSPGAASQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAMLSHLGVREHERLPIGTPNQMHPLPQVPNFCGNGFNPWSGMQPEPEKNGFPRGTMGWQGAALDPSYIVKKIVRLEVPTDVYPHFNFIGRLLGPRGNSLKRVEAQTGCRVFIRGKGSIKDPIKEEQLKGRPGYEHLSDPTHILIEAELPADVIDARLTQAQEILEDLLKPVEESQDILKRQQLRELAMLNSTFREDSPLQNGSASPFSNGSTKLGKQ >LPERR01G30370.1 pep chromosome:Lperr_V1.4:1:25777711:25781310:1 gene:LPERR01G30370 transcript:LPERR01G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDKAKASAAAGETAAVDDRGGGEALGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKEITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQSIAMRDHGTERVSETHHFDVEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >LPERR01G30380.1 pep chromosome:Lperr_V1.4:1:25784340:25793564:-1 gene:LPERR01G30380 transcript:LPERR01G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGGFWSAMIVVVLCICHVNDVRGQRTDPAEVNALSAIKDRLIDPMNNLKSWNSGDPCTSRWTGVSCDKIPINNILHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGSIPKEIGNIPTLRLITLNGNQLSGSLPDEIGNLQNLNRLQIDQNEISGPIPKSFANLTSMRHFHMNNNSLSGQIPSELSRLPELLHLLVDNNNLSGPLPPGLAETRTLKILQADNNNFSGSSIPASYKNISTLLKLSLRNCSLQGDIPDLSGIPEFGYFDLSHNFLNGTIPSSLSGLPNLQFLDFQNNSLDTIPAAFEPPQDVTILLFGNPVCTNSTPARAARLCQPTSVTEAPSGLGPQVSINCSPCPTDKTYEYNPSSPLPCFCGVPLGVGFRLKSPGISDFRPYKEDFEKNIAHLLVLADYQIYIERYIWEAGPRLNMHLKLFPNNTSLFNTSEVLRLRHLLAAWEITLSDVFGPYELLNFTLGSYADDFPNLVSSGLKKSALAGILTGAIVAAIAGSVFCTIFIMRRRSKRRTISRRSLLSRYSVKVDGVRCFTFEEMAAATNDFDDSAQVGQGGYGKVYKGNLADGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGDITRVIDERISASPPECVARFASLAVKCCRDETDSRPSMADVVRELEAMRSALPEGEDLLPEYGADQSAATSASLTAEPVSSSTTTGALFISSAGGGSGKSGIPSGTVAPR >LPERR01G30390.1 pep chromosome:Lperr_V1.4:1:25805714:25810144:1 gene:LPERR01G30390 transcript:LPERR01G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPALEVVEKAPSPNVPKHTTTRSSSTTRASRLSTSETENGHSIVPIRPARSNSVSRPSIQSTLISSNNRSSVPNASISSVCSRPTTPSKRTSTIPSSKPSILASRQVPTRPSTPTKTRPSTPVKTTRPSTPVKTTRPSTPVKTTRPSTPVKTRPSVPNSTSTLTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTTSAASRPSSSSGRSTVISRTSSSTSTVPSVSRSSSRSSTPTRQPITRPSAPSAGYSPSAGRIFGSNNITSTGRPVTSNGRNSAPSSAPSSRPSSPSRPVRPPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPRSTLNVEQVHSAPVKKMAVPAITRSKFSDAPSKVSSLTNEYQSRQSEKSAVEGQPGRPLRSITGADNGFGRTISRKSLDMAIKHMDIRQNLGGIRSASLFPPSIRSAGGKVRPARMSDPAHLSLNGDRHFTDNCSINGHFSGDSHGTLSNNGGSSTGSPDRESIGTKETLSELDLYTAARYEAMLLSEDTINTSWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >LPERR01G30400.1 pep chromosome:Lperr_V1.4:1:25815351:25821264:1 gene:LPERR01G30400 transcript:LPERR01G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHTLIAFVLISLLFACTPYAKSADLNSDKQALLAFAASLPHGRKLNWSSTAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPASSFLGNAFLCGLPLEPCPGTAPSPSPASPSPTSPSPSNSKKGLWRRIRTGVIIALAAAGGVLLLILIVILLICIFKRKKHTEPTTASSSKGKTIAGGRGENPKEDYSSGVQEAERNKLVFFGGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRIGQHQNVVPLRAYYYSKDEKLLVYDYVPSGSLAAVLHGNKAAGRAPLDWDTRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQTLDGCVSEFGLAQLMTIPPAPARLIGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQIAMACVAIPPDQRPKMDEVIKRIVEMRNASGSRTPPEEMQKDEPAAP >LPERR01G30400.2 pep chromosome:Lperr_V1.4:1:25817506:25821264:1 gene:LPERR01G30400 transcript:LPERR01G30400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHTLIAFVLISLLFACTPYAKSADLNSDKQALLAFAASLPHGRKLNWSSTAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPASSFLGNAFLCGLPLEPCPGTAPSPSPASPSPTSPSPSNSKKGLWRRIRTGVIIALAAAGGVLLLILIVILLICIFKRKKHTEPTTASSSKGKTIAGGRGENPKEDYSSGVQEAERNKLVFFGGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRIGQHQNVVPLRAYYYSKDEKLLVYDYVPSGSLAAVLHGNKAAGRAPLDWDTRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQTLDGCVSEFGLAQLMTIPPAPARLIGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQIAMACVAIPPDQRPKMDEVIKRIVEMRNASGSRTPPEEMQKDEPAAP >LPERR01G30410.1 pep chromosome:Lperr_V1.4:1:25820623:25825800:-1 gene:LPERR01G30410 transcript:LPERR01G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSLLLDFSGFCFGFLAGIVIGYFLFIYFQPTDVKDIKVFPLVEYDSKSLDGLLHEIPLWVKNPDCDRVDWVNKFLEIMWPYLNKVICRTAQDIANPIIAENKEKYKIDSIEFERLTLGSLPPTFQGMKTYVTEEHELIMEPSLKWAANPDVTVVVKAFRLKATVQVVDLQVFASPRITLKPLVTTIPCFAKILVSLMEKPHVDFGLKILGADVMAIPILYRFVQETIKKLVASMYLWPKTLEVPIMDPSKASKRPVAILLVKVLRAQNLQKKDLFGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGQHDKMGMNKIPLKELSPEETKVRTYDLLKTMDPNDIQNEMSRGQITLEMTYKPFKEGVDAGGGLLYVIVHEAQDLEGKHHTNPYARIIFKGDEKKTKVIKKNRDPRWGDEFEFVCEEPPSNDKLHVEVLSKPPKIGLIHGKENLGYIDVTLADVINNRRINEMYHLIDSKNGHALHRSLNYACWCKCLPAEMF >LPERR01G30410.2 pep chromosome:Lperr_V1.4:1:25821107:25825800:-1 gene:LPERR01G30410 transcript:LPERR01G30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSLLLDFSGFCFGFLAGIVIGYFLFIYFQPTDVKDIKVFPLVEYDSKSLDGLLHEIPLWVKNPDCDRVDWVNKFLEIMWPYLNKVICRTAQDIANPIIAENKEKYKIDSIEFERLTLGSLPPTFQGMKTYVTEEHELIMEPSLKWAANPDVTVVVKAFRLKATVQVVDLQVFASPRITLKPLVTTIPCFAKILVSLMEKPHVDFGLKILGADVMAIPILYRFVQETIKKLVASMYLWPKTLEVPIMDPSKASKRPVAILLVKVLRAQNLQKKDLFGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGQHDKMGMNKIPLKELSPEETKVRTYDLLKTMDPNDIQNEMSRGQITLEMTYKPFKEGVDAGGGLLYVIVHEAQDLEGKHHTNPYARIIFKGDEKKTKVIKKNRDPRWGDEFEFVCEEPPSNDKLHVEVLSKPPKIGLIHGKENLGYIDVTLADVINNRRINEMYHLIDSKNGQILLELQWRSS >LPERR01G30420.1 pep chromosome:Lperr_V1.4:1:25829290:25832764:1 gene:LPERR01G30420 transcript:LPERR01G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVHSTRYHPHATHKTSRGPEAENERARALQSPSPPERDLSCPPRRKKVEHTPPPRSPPLSPLLLFGFALPPPPRSNPPLDPIRSALELAMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >LPERR01G30420.2 pep chromosome:Lperr_V1.4:1:25830475:25832764:1 gene:LPERR01G30420 transcript:LPERR01G30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >LPERR01G30430.1 pep chromosome:Lperr_V1.4:1:25834127:25836431:1 gene:LPERR01G30430 transcript:LPERR01G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPKAVIYYCSKCRTPIRGKSPEPTDEAEFALSQLEILSADTASVFSDEPETLSRSSSVAHGGGGGGDAPYRSRSQEMGLFNSVRTGPRSGGAGEQSGDERGGGSPMHGRAGDLRPTSRRTRRPISGDMGDVSSYGSDNDMPSSAASFHRRYRASPLSSQELDAPSSTTSSSMGMSSGYQPSGVSSSSIGSSSVYQPSSVGRSPLNDPAFQKDLLLALDNLRRVIAAVEQPYVGVIETDHTPLAGMPQKSASCNDAAAAGEYKAVTRRNSRLMRRLESQLVQALPREDGGVLLRRDASTSSSASSSSSGRRRKKKHHCRAVMGGTPFVVCGKCTEILQLPAAVAVQRTARLQCGACGEALSIRLPAAAAATASGATERPKKIFSAPQPAVRGREEYDEEEGEEEEYAVARSNLSGEQRWPAEGPLHRMLGYSTVSSVFRSRRHDN >LPERR01G30430.2 pep chromosome:Lperr_V1.4:1:25834557:25836431:1 gene:LPERR01G30430 transcript:LPERR01G30430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPKAVIYYCSKCRTPIRGKSPEPTDEAEFALSQLEILSADTASVFSDEPETLSRSSSVAHGGGGGGDAPYRSRSQEMGLFNSVRTGPRSGGAGEQSGDERGGGSPMHGRAGDLRPTSRRTRRPISGDMGDVSSYGSDNDMPSSAASFHRRYRASPLSSQELDAPSSTTSSSMGMSSGYQPSGVSSSSIGSSSVYQPSSVGRSPLNDPAFQKDLLLALDNLRRVIAAVEQPYVGVIETDHTPLAGMPQKSASCNDAAAAGEYKAVTRRNSRLMRRLESQLVQALPREDGGVLLRRDASTSSSASSSSSGRRRKKKHHCRAVMGGTPFVVCGKCTEILQLPAAVAVQRTARLQCGACGEALSIRLPAAAAATASGATERPKKIFSAPQPAVRGREEYDEEEGEEEEYAVARSNLSGEQRWPAEGPLHRMLGYSTVSSVFRSRRHDN >LPERR01G30440.1 pep chromosome:Lperr_V1.4:1:25837254:25839170:1 gene:LPERR01G30440 transcript:LPERR01G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETSTSAVAFDVDYTEPWSARVRTLTRLGRHREALSLFRHGDPSPPPDALALPAAVISCAKLHLASGVEQLHALAAKRGLLPSSDAYLLSALLSTYSRLRLLPRAHQLLDELPLASTAPTTARTAFNSLISGCALHALPAACFSLFRRMRAAGVPFDAVTLMALVPAAPLGVMPQLHALAVRSGLAASNSVANCLISVYARGGAALARKVFDGMPAASRDLVSWNAVLSAHAQNGLAVEALELYRRMRGPEGAGVEPDAVTLVGVLSSCAHLGARCVGLDVERYVWERLPGFRANVQLCNALINFHARCGGLPRAQQLFDEMPERSIVSWTALITAYGMHGHGHFAINLFEAMVSSGIRPDNVAMVGLLSACSHAGMHKEGRNYFSAMETTYHLRPTLEHYTCMVDLLGRAGLLDEAQDLIASMPMAADGAVWGALLGACKIHKNVEMGEEAFERIVEIEPNNVGYYVLMANIYTDAGNLDGVAKVRAMMRHRRLKKEPGCSYVEHNGKVHLFMADDHSHPQAKRIYDLVVKLERTVKEKTGGGVVEGITEKSAKAKAAAFPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTVSALSNRAFLVRDASRFHRFEDGACSCRDYW >LPERR01G30450.1 pep chromosome:Lperr_V1.4:1:25839900:25849425:-1 gene:LPERR01G30450 transcript:LPERR01G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGIKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGNNPQDRHLVAGRNGVKRLRTANEAEAYRINYRVRHPNILSFLHSTEAEVPDGPSVKHTIYIVTEPVTPLSEKLKELNLGGTQRNEYFAWGLHQISKAVSFLNNDCKLIHGNVCLTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKADWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTAPIPKSLLPDYQRLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVANGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNMGDNSTSEATSIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQVSASPNVTSDNQSSTVAFAPSTSSSLDQTAPASASSSVDGWGEIENDNTQEEDGSDKEGWDDVDPFDDKPPPSLLSNIQAAQKRPVVQPKQPVSNSSRLNQPKAPKPEDDPLWGPIAAPAPKNASKSADIKPSTSHNDEDGLWGSIAAPPPKSAGKPLKPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >LPERR01G30450.2 pep chromosome:Lperr_V1.4:1:25839900:25849425:-1 gene:LPERR01G30450 transcript:LPERR01G30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGIKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGNNPQDRHLVAGRNGVRHPNILSFLHSTEAEVPDGPSVKHTIYIVTEPVTPLSEKLKELNLGGTQRNEYFAWGLHQISKAVSFLNNDCKLIHGNVCLTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKADWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTAPIPKSLLPDYQRLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVANGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNMGDNSTSEATSIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQVSASPNVTSDNQSSTVAFAPSTSSSLDQTAPASASSSVDGWGEIENDNTQEEDGSDKEGWDDVDPFDDKPPPSLLSNIQAAQKRPVVQPKQPVSNSSRLNQPKAPKPEDDPLWGPIAAPAPKNASKSADIKPSTSHNDEDGLWGSIAAPPPKSAGKPLKPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >LPERR01G30460.1 pep chromosome:Lperr_V1.4:1:25851532:25853764:-1 gene:LPERR01G30460 transcript:LPERR01G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNVRGGGLLASPRTRGAPRTGRRVRLTHAQRGVRRTSSRAKGRTWCSQRTATTGWRRMRRIVTASFFTGKVVRQHRSGWEDEAAAVVDGLRGDPAARADGVVLRRRLQLMMYNDVYRVMFGRRFEGMDDPLFVRLKELNGERSRLAQSFEYNYGDFIPILRRFLRGYLRICKERSSPSYRGASEPHRDPEQAIVSWTRCSARTTRSRSRTRTTSPACKPDQGEGDAAAAHADPAACAAHEPPRRQARLAATTSPRRARCSSTRGTSPTTPASGGARPEEFRPERFLEEESPEEFRPERFHQM >LPERR01G30470.1 pep chromosome:Lperr_V1.4:1:25854472:25861666:1 gene:LPERR01G30470 transcript:LPERR01G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKAFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIHTNEHTCGTSTFASDFMASSVQIQQIADASLRKQAEVERPPMMQVQYRCSGGYSMAMKEEKDAIVSSLLTVITGCHIAESDDNAVALPVAGCNHQSGSSAVSPALLPALDDLKLDFMLESVLEPHWVEPLDLAWFKEPTHTG >LPERR01G30470.2 pep chromosome:Lperr_V1.4:1:25854468:25861666:1 gene:LPERR01G30470 transcript:LPERR01G30470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKAFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIHTNEHTCGTSTFASDFMASSVQIQQIADASLRKQAEVERPPMMQVQYRCSGGYSMAMKEEKDAIVSSLLTVITGCHIAESDDNAVALPVAGCNHQSGSSAVSPALLPALDDLKLDFMLESVLEPHWVEPLDLAWFKEPTHTG >LPERR01G30470.3 pep chromosome:Lperr_V1.4:1:25857176:25861666:1 gene:LPERR01G30470 transcript:LPERR01G30470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKAFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIHTNEHTCGTSTFASDFMASSVQIQQIADASLRKQAEVERPPMMQVQYRCSGGYSMAMKEEKDAIVSSLLTVITGCHIAESDDNAVALPVAGCNHQSGSSAVSPALLPALDDLKLDFMLESVLEPHWVEPLDLAWFKEPTHTG >LPERR01G30470.4 pep chromosome:Lperr_V1.4:1:25854376:25857396:1 gene:LPERR01G30470 transcript:LPERR01G30470.4 gene_biotype:protein_coding transcript_biotype:protein_coding RNESPPTKSFPHTQAGNAGEPGRRRRDAGGRWRARRGCRRGSIRRRRRAGSVGARQPPARPPVGFPRAFLITALDKENKLIISTISGRRGAQLLLPKSALSTIGNFKVFCKQQLAYHIDLFQEVTRDYHG >LPERR01G30480.1 pep chromosome:Lperr_V1.4:1:25867012:25878948:1 gene:LPERR01G30480 transcript:LPERR01G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKREQSFDFEEASAQEAMGSAAVSAPYSPPGGVFGISPPESSPRDGRKRRKDRPSWVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDRQCLASKLVQQENDDDPPLYKVTYTYEHTCNTTPVPTPDVVAEQPAPVAAGDTYLLRFGSSSNDGGVALQNERERHQHTAASRKPFMMLSFDSSSHHQLHEQKQNGFSPDQLPPVAASPSPSFTAEALPPPATTMAMNDGGDLFSTWDSFRYGLDDDHGHIGNHGRRRIHLGCVTSLSSKMAFGQDTIEQLYRELTGGRHLSAKLQALLEGPLDSRSQKEAEMVSRELGRVFMVSLYMLKPCSSSSSRPEEVTRTSPETTRTDDSICPHTPARVKRIRTEEVSVRNGRDEVVTRREITPSPYKDGYQWKKYGQKNIQDNDYLRLYYKCTFSRERRCAAKKQVQQQDAGEPPMFLVTYLNEHTCHLHHQTTTTTAAGSSPTTTAPPSRRNSSSPEALDSAANGSGVRLLLPHAAAAAAEEDAAIVKCLANVIRGGAGASDPPVSATTTTQMDYFFLYDPSTFSPPVVAAADEADRRVLLMGDCVDATRVARNMETDTAWPRYTRDTSAWR >LPERR01G30490.1 pep chromosome:Lperr_V1.4:1:25886382:25888437:-1 gene:LPERR01G30490 transcript:LPERR01G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLGVSGIGLGAGSGEEEHEAVVRELTRGHELTARLRAEALLALRGKGQAEATATFILGEVSRTFTVCLSIMATAGAGTSSPRRPETPPDSAVSVGAPPLRAREDNVPRKRTLTSSPYDDGFQWRKYGQKRINNTKFPRSYYRCSYHRERRCPAQKHVQRHSAAAAAGDGDDVPPLYAVVYTHEHTCQDSSPNTDLPETTPDYFLESPSSLRRRGAQAGAATQQQQQQVVNARAAMEERERQALVSSLACVLQGKQCYDDTAAAAVGAAAAATPVVVDGGEGLDVTDYDVTEPMVFWGAPFGDEGNNSYGATDVDTVF >LPERR01G30500.1 pep chromosome:Lperr_V1.4:1:25897147:25899919:1 gene:LPERR01G30500 transcript:LPERR01G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPAAVVVELMAMGQQSAAHLGELLRATSSSSPSQLCEQQELAAEILRCCGRVIAQLSRVPTTANNKRKAVDSTTTSSLPATPPSKKRARGGEAAREVRNETTADGFIWRKYGQKEINGCKHPRLYYRCAFRAHGCAATRRVQQSQDNPAAFLIAYYGDHTCGGEAAIAAATCQEGDGMPPAVINSGASSNAAAAAATADRNMGLTTLLAAAEQRSCDSETSQGWSPSFSSEMEMEMEAGFDFAGADEPWADSSPVWDFLNGSFDWEFVINSL >LPERR01G30510.1 pep chromosome:Lperr_V1.4:1:25897780:25902451:-1 gene:LPERR01G30510 transcript:LPERR01G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASSPMQGSAAAPARAGGFHPLASSPFLRLACGAASSTSSRRRCLLDAPLRALPAGAPRLAAGWGAPRTRRVVATFAGEESVDSELVDDKGKGKDELKPEEAQEVWKEMLKQFKDEAQRMHGLTLKAYDVYSQRAKEVLLEASEKLKIQADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGKVSAIRFGIVLGFALLALGISSLRSQRAGGRRPRLLLKGQAVRVVSKYFRGPSQWSSVSLLHSTYCDGWPQRSKLREYSRELMLPFKKSHTGDESAHGSSAPAKSNPASISISISDEKDGDHPCDVSLSQLLCSAAASNVVNPMFRSAAAGLSCDCCTRRVAAQPCALKAQR >LPERR01G30510.2 pep chromosome:Lperr_V1.4:1:25897780:25902451:-1 gene:LPERR01G30510 transcript:LPERR01G30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASSPMQGSAAAPARAGGFHPLASSPFLRLACGAASSTSSRRRCLLDAPLRALPAGAPRLAAGWGAPRTRRVVATFAGEESVDSELVDDKGKGKDELKPEEAQEVWKEMLKQFKDEAQRMHGLTLKAYDVYSQRAKEVLLEASEKLKIQADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGKVSAIRFGIVLGFALLALGISSLRSQRAGGRRPRLLLKGQAVRVVSKYFRGPSQWSSVSLLHSTYCDGWPQRSKLREYSRELMLPFKKSHTGDESAHGSSAPAKSNPASISISISDEKDGDHPCDVSLSQLLCSAAASNVVNPMFRSAVAAAAAAKAAGLSCDCCTRRVAAQPCALKAQR >LPERR01G30510.3 pep chromosome:Lperr_V1.4:1:25897780:25902451:-1 gene:LPERR01G30510 transcript:LPERR01G30510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASSPMQGSAAAPARAGGFHPLASSPFLRLACGAASSTSSRRRCLLDAPLRALPAGAPRLAAGWGAPRTRRVVATFAGEESVDSELVDDKGKGKDELKPEEAQEVWKEMLKQFKDEAQRMHGLTLKAYDVYSQRAKEVLLEASEKLKIQADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFVRVVSKYFRGPSQWSSVSLLHSTYCDGWPQRSKLREYSRELMLPFKKSHTGDESAHGSSAPAKSNPASISISISDEKDGDHPCDVSLSQLLCSAAASNVVNPMFRSAVAAAAAAKAAGLSCDCCTRRVAAQPCALKAQR >LPERR01G30510.4 pep chromosome:Lperr_V1.4:1:25899654:25902451:-1 gene:LPERR01G30510 transcript:LPERR01G30510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASSPMQGSAAAPARAGGFHPLASSPFLRLACGAASSTSSRRRCLLDAPLRALPAGAPRLAAGWGAPRTRRVVATFAGEESVDSELVDDKGKGKDELKPEEAQEVWKEMLKQFKDEAQRMHGLTLKAYDVYSQRAKEVLLEASEKLKIQADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGKVSAIRFGIVLGFALLALGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVSAFYIQRIATGGPKGPSSESTPEN >LPERR01G30510.5 pep chromosome:Lperr_V1.4:1:25897780:25898211:-1 gene:LPERR01G30510 transcript:LPERR01G30510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSQSKLPFKKSHTGDESAHGSSAPAKSNPASISISISDEKDGDHPCDVSLSQLLCSAAASNVVNPMFRSAVAAAAAAKAAGLSCDCCTRRVAAQPCALKAQR >LPERR01G30520.1 pep chromosome:Lperr_V1.4:1:25904127:25908814:-1 gene:LPERR01G30520 transcript:LPERR01G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLAGSKRAMVYALCKHLSLDPSTISSANIEEGDIATLFSHIVKSVEDEVLKWVEFSSNFVGKCGEQHALLNNLNEDLSQKSVLLGNGFKPSVADIAVFATVQAIVSHLGENELQKYPHVLRWMDYIQNIVDFGTAIQKINVTKSVFDPPSLPKKADKGDADPSSKKVVPGQNSVGKSDATIDSKKAAVENKANPTPAKNDKPSGDKKKGQDKSAGKPNEGVADKAPQKTAEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKLGERISFAGFEGKPEDVLNPKKKQLDKITPDLRTDENGVATFRGIPFTTSAGPCRSSVPNASIK >LPERR01G30530.1 pep chromosome:Lperr_V1.4:1:25912193:25915485:-1 gene:LPERR01G30530 transcript:LPERR01G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALPLVKLLLAALLAALVAAPPRAAALTDDVLALVVFKTGVADPLGRLAAWTEDDDRPCSWPGVGCDARTGRVTSLSLPSASLSGRLPRALLRLDALSTLALPRNNLSGPVLPSLLASLPRLRSLDLSANRLDAAVPAELFAQCRSIRALSLARNDLSGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNFLAGEIPADIGEAALLKSLDFGHNLFTGGLPESLRRLSGLRFLGAGGNALAGDVPAWIGEMWALERLDLSGNRFSGAIPDSIAKCKKLVEADLSRNAFTGELPWWVFGLPLQRVSVAGNKLYGWVKIPADAVVALRVLDLSSNGFSSGIPPQITAFAGLQYLNLSSNSFTGQLPAGIGGMRLLEVLDVSANRLDGSLPPEIGGAVALRELRMGRNSFTGHIPSQIGNCSSLVALDLSHNNFTGSIPSTIGNLTSLQVVDLSKNKLNGTLPVELSNLPSLHIFDVSHNLLSGDLPNSRFFDNIPETFLSDNLGLCSSRKNNSCIAVMPKPIVLNPNSSTNPLSKSTPTAPSNMHHKKIILSVSTLIAIAGGGTIIVGVIIISVLNRRARVSTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFCAGGHALLNKDCELGRGGFGAVYKTVLRDGQPMAIKKLTVSSLVKSRDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKQLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGELPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSAEDELV >LPERR01G30540.1 pep chromosome:Lperr_V1.4:1:25922329:25922811:1 gene:LPERR01G30540 transcript:LPERR01G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTLLTDFTSNAMERAEADAVATTTMVRDLSSGGGGAFRPSTLLDEYERLAIEAQLDRAVLRRSYSEPSPSRLATAPQYAQPRDFAAAAAAATGRREPARRSWLVEALKRLFCWLGFGGAWAGRAGRREERAVPCPPASPAPPPRVQLLDYLSASTAR >LPERR01G30550.1 pep chromosome:Lperr_V1.4:1:25924430:25928676:-1 gene:LPERR01G30550 transcript:LPERR01G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFTVLRSKKKKSPLVPTKKSIDARESTSSRLPEPGAHVPSLQSAPPSFRNKAKVHQSAKRASYSRARVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGRSLRNFGSFKAINASGPLDASGPLPLPPKKCNGLKNFSYDEVLSACQWFSGDQCVSETLTSTSYKASFRDDFTVPKTIEAIVARLLPSTQSLKEFKIQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNEFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNAPVVAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLVSVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIIPQHPVITFSPSPPSRNQHLLSPRSSTSALLPPRTCALDDPRVSSIKKSPSPTLRRSGVEGF >LPERR01G30560.1 pep chromosome:Lperr_V1.4:1:25938557:25939159:-1 gene:LPERR01G30560 transcript:LPERR01G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTKSMSWYTGTPSSPSPGSTEGEAQHALSSGGSGGSDASFDTNMVIILAALLFALLFALGLNSLARFIIRWARRASSSGEGVDEEGAFAAAAAGGLKKRALRSIPVEVYDGGAAAAEVCAICLGEFADGEKVRVLPRCGHGFHVRCVDTWLVSHDSCPTCRGSVLHGAAATKHKPSAAASDGSRRPAESDAVTVVIG >LPERR01G30570.1 pep chromosome:Lperr_V1.4:1:25948927:25949378:-1 gene:LPERR01G30570 transcript:LPERR01G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGATLAVVVVVVAAVVTPPAAVRGAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >LPERR01G30580.1 pep chromosome:Lperr_V1.4:1:25956705:25958739:1 gene:LPERR01G30580 transcript:LPERR01G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALLLLFSAFCFLARRAAADYGGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGTVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDAQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVTVSDGRTVTSNNVVPSGWQFGQTFEGGQF >LPERR01G30590.1 pep chromosome:Lperr_V1.4:1:25961664:25964906:1 gene:LPERR01G30590 transcript:LPERR01G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRLRVSRARAAPPPTPIRTARGARSAAADELVLAEFLEASLRVPDLALPSKTKHRSSFRYPALPPAPDVLARALLSGEAEAVGTVAAAAAESGAFRVVGAVEAGEVRAAVEAAEAVFRAPEEVKRELGRWFRRRDRVAGEEFYWFRPASSHADKVLDAVLPGKTYQVFREKMVIVALKMEDLAQCVMRVLSDNVKNPKHSALPTEAASILCLTQYNCNKLKTHLNEFGNTDPPNSYALGIHLSGRDQEICLRNQSGSTFFSLPACSVLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLHLPDIGRHARCIDPPKTVSFRPPKTVSFRDQILVALVLLVFFYLFWR >LPERR01G30600.1 pep chromosome:Lperr_V1.4:1:25972185:25973369:-1 gene:LPERR01G30600 transcript:LPERR01G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDIRAAARGGAGAGEVFTQASAASPVSSRAGRACRPPANTPRHGGGASLPHRASYYYTPRAGDDLLLVGSPLHHHHHHKGSDTQFPPLQLSPPRRSSSSRRRRRRRSVKLAPSVLSSPVSSGCRCGRKPEHAVVEAPDTPPPCRRDRFVGYNDDDESEDGEAELFKKPMAACDELDGKVITSATDIIIDLRTEKKPDDKTLPPIVTKLATRRRVLDNGGCDEEKHVDVVVRRATAAKRTTPLLNLHEQSKPPRRSVSSSARRLKTRSNTPRVVTTKKPTKPQPPPSPSPAPAPKTKTKPPLAESFAVVKTSRDPRRDFRESMEEMIAENGIRDAGDLEDLLACYLSLNAAEYHDLIVDVFENIWANLADDIKMY >LPERR01G30610.1 pep chromosome:Lperr_V1.4:1:25978026:25981154:1 gene:LPERR01G30610 transcript:LPERR01G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAITLSHSQNASLGHNQSVPSFRPSSVSPRSVKVYAKADEEKGNKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKSTNIFAKLFAR >LPERR01G30610.2 pep chromosome:Lperr_V1.4:1:25978026:25981723:1 gene:LPERR01G30610 transcript:LPERR01G30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAITLSHSQNASLGHNQSVPSFRPSSVSPRSVKVYAKADEEKGNKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKSTNIFAKLFAR >LPERR01G30610.3 pep chromosome:Lperr_V1.4:1:25978026:25981154:1 gene:LPERR01G30610 transcript:LPERR01G30610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAITLSHSQNASLGHNQSVPSFRPSSVSPRSVKVYAKADEEKGNKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKSTNIFAKLFAR >LPERR01G30610.4 pep chromosome:Lperr_V1.4:1:25978026:25981723:1 gene:LPERR01G30610 transcript:LPERR01G30610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAITLSHSQNASLGHNQSVPSFRPSSVSPRSVKVYAKADEEKGNKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKSTNIFAKLFAR >LPERR01G30620.1 pep chromosome:Lperr_V1.4:1:25979473:25980780:-1 gene:LPERR01G30620 transcript:LPERR01G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEKVKRTPSCLLLRISDICKVRSVGVAPTVREKLKADGSAAGESSEDGAHLKVHPHQVSDNESLSECSSVRCEEAFIERLLDSISGLKLNYVKFQQALVPYDPEEITIADDRFMSELQETAGLKDLYVNMNKWKNPMYQCYVSSRIQEQQMLAVELQAGMCKRDSEIACLRAELDELERKNMELTEKIGQNASHKERSFAIGMGVSTGMFMEVFELSSKSIHDFAKLVTRWMKLSRWNLGNLTLPIGSSVIYEKRSHKKYAVEAYFACKMLMGDKEDYLSLDAFDYIMSFKDPFDALMKAPTSCFGRFCRAKYLATVPPGMEDSFFGNLDHRSFIQNGGHPKTPFYQAFVTMSRYVWASLAIARSLNPKAEMFHVKNGTEFRSKHMECVPAKITTGEDKACVGFTVMPGFKIGCTVIRCRVYLSMVNARNF >LPERR01G30630.1 pep chromosome:Lperr_V1.4:1:25984300:25984722:1 gene:LPERR01G30630 transcript:LPERR01G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLADLSSVDVLLAVVALVAVICAIIWAVRWAIGRRPVAKPKEATDEADGKSQGLLNKEVVVIDVDAAVCPICKWRMDDGGEKHRQLRPCGHVYHAECIGLWLQRGTTCPVCRATVAVGLTARWREAVSRALGVDTGVV >LPERR01G30640.1 pep chromosome:Lperr_V1.4:1:25989535:25991577:-1 gene:LPERR01G30640 transcript:LPERR01G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPTELSSSSSSSVFLPPPSPSDGELLRSLHRIARDLSAVETPAPFLRAAFAAISRRSKLLAAAFDDLLLCGGAGEELPRSASLCLREVLLVLQRFKAVVSDCAARSRMRLLLQSDEMEAELRELHHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAPETEQPLRGSVLSLIQEIEREIVPERERLEEILEEVGINDPASCSEEIESLEQEIGDRVSEKWTPAMIALVGLLRYAKCVLFSATPRPSDSNSKGDVVEAEDGEPPSPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFGSGKSTCPKTGQVLANLELVPNKALKNLISKWCREKGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVRKLSVSFSPDAANRVVHEIRLLSKSGAENRAFVGEAGAVPLLVPLLYSEVAELQLNAVTALLNLSILEANKKRIMYADGAIEAVAHIMSSGATWRAKENAAAAVLSLAAVHSYRRRLGRNQSVVEKLVHLVRTGPTSTKKDALAALLTLAGERENVGRLVDAGVAEVALSAISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRWAAASAADGERGAGCPVATVVPPAMMAS >LPERR01G30650.1 pep chromosome:Lperr_V1.4:1:26005361:26007861:1 gene:LPERR01G30650 transcript:LPERR01G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERWRRSGRSMLKLLVALCFFAVAISLCCVCFSWDCSGSGCRGRTVLLRLDFGTQTAAYFGANQQEQGSVGARRWRRLLGEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >LPERR01G30660.1 pep chromosome:Lperr_V1.4:1:26005591:26005842:-1 gene:LPERR01G30660 transcript:LPERR01G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKKHKATSSFSMDLPLRLHLSRELAIGASPKTSPFQAASRSSDCRAEADQAARFRKLEGRVDAGRCRDAAKGCCGFTAVL >LPERR01G30670.1 pep chromosome:Lperr_V1.4:1:26011610:26013103:1 gene:LPERR01G30670 transcript:LPERR01G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRTILMGRYEIGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIVKEGKLSEDSARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENQNLKVSDFGLSALAESRRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKISRADFRCPRHFSAELKDLIYKILDPEPSTRISISRIKKNIWYRKPVEVNAKKDEAATTDRTSSVVATTSGSAECSTSEENQGSSSLPNLNAFDIISLSTGFNLSGFFEDAHGHREERFTTRQPVTTILGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVAPSFLLVELKKTNGDTMEYQKLVKEDIRPALKDIVWVWQGDEHSQPLLQGEQQQLSPEPPQDQLQASLPQQEKQGTPELPSSPQVPQEEVRLTPPEQPRN >LPERR01G30680.1 pep chromosome:Lperr_V1.4:1:26019621:26022381:1 gene:LPERR01G30680 transcript:LPERR01G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAETSGSLQYDYEELQMQMTKKTLKRVISLAETFIAIATGILAAAFSAGKDVNLHRHVLAAGGCFLVVTYLSALLLIYLKLFLSEHTQLRRWHVRALQLLCVTSGASLVATNSLLLVLIGGESNGLLSLNLLPVQAIVGVLAYHATPTEDSARDAAFEAEIKSGRRVALFAAATAFAVQTMLVFGSFSNATLRQAAMGGGGRRRTTRLDLSVSFLASALSVFLVVATCMPLGFRSQAARDKVVSIVRYLKDSVVAVLAVAAVTLGQEFLGGSAALALFPEITVAAIYYTVSMPGVGDETAALSSERRDKMEVLPTVVVATFGFGMLGAAYAALLGTPEYDVYTKALAFTLLAAVVSSLGRVAGPLCNARRDKGSAEWVTFLGDILPIVEMLVAVPLAAKVMVDFLAVPANG >LPERR01G30680.2 pep chromosome:Lperr_V1.4:1:26019621:26022381:1 gene:LPERR01G30680 transcript:LPERR01G30680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAETSGSLQMTKKTLKRVISLAETFIAIATGILAAAFSAGKDVNLHRHVLAAGGCFLVVTYLSALLLIYLKLFLSEHTQLRRWHVRALQLLCVTSGASLVATNSLLLVLIGGESNGLLSLNLLPVQAIVGVLAYHATPTEDSARDAAFEAEIKSGRRVALFAAATAFAVQTMLVFGSFSNATLRQAAMGGGGRRRTTRLDLSVSFLASALSVFLVVATCMPLGFRSQAARDKVVSIVRYLKDSVVAVLAVAAVTLGQEFLGGSAALALFPEITVAAIYYTVSMPGVGDETAALSSERRDKMEVLPTVVVATFGFGMLGAAYAALLGTPEYDVYTKALAFTLLAAVVSSLGRVAGPLCNARRDKGSAEWVTFLGDILPIVEMLVAVPLAAKVMVDFLAVPANG >LPERR01G30690.1 pep chromosome:Lperr_V1.4:1:26023722:26024375:1 gene:LPERR01G30690 transcript:LPERR01G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEVARPRSPLRGMMPQSPLRIKQDGKFYERLLAKERSAAASRSFRHYWAGEPGSVPFVWESHPGTPKVDASRRMAAGGGAVPAITPPPSYLLRQQGGAGAASRRPGQGKARTGKTRYRFKRIKIGFLAGIFRRLALGHAWRRSSAPPASSSSRWLFSSVAAAPEKSTDEHLHHELPATAPRQNTALASRARPSPWMRRFRGFRSWSRDDGWA >LPERR01G30700.1 pep chromosome:Lperr_V1.4:1:26034168:26036098:1 gene:LPERR01G30700 transcript:LPERR01G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTRRNTRGGLQAQEGQANKSSQPFNTRQHFFPSCPFTCYQLYKLPIPLITPLPFKHSFLPCNSKLYTSIPSSPLSSGRSYLNLLLSFCSCCASPSHHISQAQEYASMSTESSWLKIQILHCGLHLDHLPIPCPQKTLNSNLAFSTSARERHDEIGKKIKREPDSAGVLIAAATTTAAPIEHRIVPHRVVLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKHRYRQAGVAGAAGLMVDDVHGTAAGFVAPAHPPPMHSSDVVSVVEAAGGQELAGAVAPVISSASPPVYADGQPSSSGTDYSSLNTSEHTAYFG >LPERR01G30710.1 pep chromosome:Lperr_V1.4:1:26052548:26054538:-1 gene:LPERR01G30710 transcript:LPERR01G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFVLTALAGNGICWINTVCYLLCFNNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLADSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLVKTAAAASTNTAFLVMFVITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPAALKIRETLTKIRETQRENRIHDESESVESVVVIDVPAANANAEVAAKEEDAAAAAAAAVVKKPQEEVGGLRLLKSIDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLAQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMATLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATSELFGAKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTATCSGAGCYRETFAIWGATCAVGTLLCAVLYARSRNFAGRLRLRWPRMPSCLARLANLV >LPERR01G30720.1 pep chromosome:Lperr_V1.4:1:26057948:26060971:-1 gene:LPERR01G30720 transcript:LPERR01G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPWWRTTWSVPLLTVAFAFLLHGAAALVASSDFPLGGNSTVVLYYQHGIPAKTEIVLNATRVGLQRPRVAAAIRFVNGTGGLEKFSTCSLVVLIGDATVWASDRYGGKFVAQGFCRLELTDDGDLRLTDGRGTVGWSSATAGRGVKVLRLFRTGNLCLLATNKKCVWQSFDNPTDKLLRGQRIPLPYSFTTTVTKMPTAFFSLVLEGHKLTANLQAGRSSYTYWEFTPPSNRSMEFVEMDVLGLNFLDQQRSLVAVITSQIKAVNDFFGLGGDGNLNMYFYDAHDNMYGTSYEALGFCELPLACGVTGVCYAGGNCEEFSTYGVRPASSPALHTHHHYEVCNETAVAEQHEMAFLEGVTTVLRPVTSASPPGTTTNNVTARQCADSCLRSCSCVAALHVASGLDGGGGEAGECSHYALTAGVREPLEKDQRHSYWVKVARTIPKRRDCTKHEEDDDDAVNRALSKIVLIFGTLDAIALCIFTWMGAYYCIYLRDILVLDDKTDDEGDQAEAGRRRAAVTPVTTVPQNSPANNSEPAVLTPVVELN >LPERR01G30730.1 pep chromosome:Lperr_V1.4:1:26064336:26068314:-1 gene:LPERR01G30730 transcript:LPERR01G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVAAPAAVARAAARVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALMLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMLVRDKVLLLLDSWQEAFGGPGSKYPQYHFAYLEVKRTGAVFPKRPIDAPPIFTPPVTHNSQSYGSPRYEAGSLNERMSSDVETLSLGDLNNIRNVTELLCDMVYALNPSDLTAVQDEIITDLVSQCRSNQQKLMQFVSTTGNEQLLKQGLEINDRLQSVLSKYDAMASGTHLAVEAPPADDVEAPKEDPAEKPSALPEEHSETLEEEDEFTRLAQRKNKSVMTSDTLSSTGDLALVPVDMASSESSSSVASNALVPVDPALVSSSPQTKGQDMIDLLSLTLCSPTDETSTDSSTQGHNGPQQPAVTDGQQNPSGVPQYTSNHQPHPTIQQYIPQNRSYIAPWAQTEEYYPAPPWDAPPSVDSNPFQSAAYQQPPPPASVPMQRNGSPTSNGMTATQVQMNGNQQLSGSSAAASKPYYIPDNLFSDLIDLKGHGGGNKMSGPTSMGSANGGQPMIGGRK >LPERR01G30730.2 pep chromosome:Lperr_V1.4:1:26064336:26068314:-1 gene:LPERR01G30730 transcript:LPERR01G30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVAAPAAVARAAARVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALMLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMLVRDKVLLLLDSWQEAFGGPGSKYPQYHFAYLEVKRTGAVFPKRPIDAPPIFTPPVTHNSQSYGSPRYEAGSLNERMSSDVETLSLGDLNNIRNVTELLCDMVYALNPSDLTAVQDEIITDLVSQCRSNQQKLMQFVSTTGNEQLLKQGLEINDRLQSVLSKYDAMASGTHLAVEAPPADDVEAPKEDPAEKPSALPEEHSETLEEEDEFTRLAQRKNKSVMTSDTLSSTGDLALVPVDMASSESSSSVASNALVPVDPALVSSSPQTKGQDMIDLLSLTLCSPTDETSTDSSTQGHNGPQQPAVTDGQQNPSGVPQYTSNHQPHPTIQQYIPQNRSYIAPWAQTEEYYPAPPWDAPPSVDSNPFQSAAYQQPPPPGSVFSTATYPAPSASYTSPSMAYVPPSASPSMAYAMPSASVPMQRNGSPTSNGMTATQVQMNGNQQLSGSSAAASKPYYIPDNLFSDLIDLKGHGGGNKMSGPTSMGSANGGQPMIGGRK >LPERR01G30740.1 pep chromosome:Lperr_V1.4:1:26070028:26071962:-1 gene:LPERR01G30740 transcript:LPERR01G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKISLAAAAAGDSGAAEAPLLPEQYGAGADAPAPGASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNIFVAFNAIGTLAVYLIIIGDVMSGTTSGGKAHDGVLREWFGRHWWTGREAVMVAVAVLILPFVLRKRVDSLKFTSAVSILLAVVFMLISLGIAVYAFFSGTAKMPRMLPDFSRLSSPFELFTTVPVIVVAFTFHFNVHPIRAELSKTSDMKAAVRVSLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRQLATDTRRFVTLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISLIFPGAIVLRHAITTPHTHFSSDAHGISKRKDKALAATMITLAVITSSIAIASNVMSSISGKVEGGNAAAR >LPERR01G30750.1 pep chromosome:Lperr_V1.4:1:26072904:26073587:-1 gene:LPERR01G30750 transcript:LPERR01G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASSGKSSGAGGADEPLLPEFSGGGHGASVCGAVFNVSTSIIGAGIMSIPAAMRVLGVVPALLLIAAVAALSDASVEFMLRYTSWGGGGGPPSYAGIMGDAFGRAGAKVLNVCIAFTTTGTLVVYLIIIGKPKLSRMLCSGYIRIEGKFELTASSILVAGDVMSGSVGGGDEHAGVLRELFGAQWWTAREIVILVTAVVVLLPLVLRRRVGELLLEYNQMNLGL >LPERR01G30770.1 pep chromosome:Lperr_V1.4:1:26085053:26088200:1 gene:LPERR01G30770 transcript:LPERR01G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVEASANSRPGSFSFASTSFTDLLGGGAAGGGGSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFSSQHFDWRPEVAAQSADQVGKQEEQRNSYSDFSFQTAPASEEATRSTTFQPPVPPAPLVSDRNHNGDEAYRGQQQQQQQQTWAYQQQAAGMDASANTASFGAAPFQATSSEMAPQVQGSGGYSQQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTYPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRSSGSAAAQVLQSAGGDVSEHSFGGMSGAAATPENSSASFGDDEIGVGSPRGGNAGGDEFDDDEPDSKRWRKDGDGEGINMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPAATTSHHYLPNQPPMSYQSGAGAAPYALRPEGFGGQQGSFGLGGGGAAPLQAGGSSFGGGYSGFDDARGSYMSQHQQQQRQNDAMHASRAKEEPGEDMFFQNSLY >LPERR01G30780.1 pep chromosome:Lperr_V1.4:1:26093670:26094173:-1 gene:LPERR01G30780 transcript:LPERR01G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRIVHLSGHVGEFSCPVTAGAVLAAHPNHTLTTAWSSAGVGCPTRKLVIVSPDTELKRGRIYFLIPSATIPTDRRKKSSRPSSSKKSKRPSQHHKRNAASTAEQDNYLRELLSEKTAASGGHRRRRSGSRVGVWRPQLESIVEEASD >LPERR01G30790.1 pep chromosome:Lperr_V1.4:1:26101121:26110276:-1 gene:LPERR01G30790 transcript:LPERR01G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDSSSAVAAGEDDGTLALDSSSSTFTDPLLHTSPPPTSTASSTTETADRDASIEEEEDGEDDDSEPAPAPVPAPRVPAASDDAAPQFAQITVSNPMKHAEPATGAAGVIPGSGSYFSYLITTRAADGELIRVRRRFRDVVALADRLAATHRGFFVPARPDKSVLEGQVMQPRDFVSQRCAALQRYLGRLAAHPTIGRSADLRAFLTELSGISTYEGESPRSDPGLSAAMSAAVTPPKPPAKPGSFLGMLKDLKQTVANGWVAARPPPVEEETDGKFLAHKAKLEYFEQHLTTTSQQGTVYEYMEAMTSIHNAFTDRANALLHLQSMSADLRPLHEEVAKLESVSSRGFGQERLRYQNIEELKEQIRAKEGAKSDARREYELIKVNNMNEIIRFNKQKRHDLIEMLKGFVRNQAERATFLLKVSHSFQQAADWVMGMLVTCDEGLAPPPAPVPAESPAGGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDMVLELEIVRKLAVRCAEAGRRISLALEAFPCNLQEQLNQFMDGRIDGNNLRLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKMYAPPAGSGFISGFTSISGRSLIDKISSTNGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVILLDPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHQFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDDGSGESLELVKGLLGSLPDNAFQKGIMGQSWNTNQRIASVLMGGIKLAGVGFISSIGAGVASDVLYGARRVLRPSASVETVRKRSPIWKSATVYSCFLGTSANLRYQVIAGLVEHRLGEYLMVYYNQPLLASLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPEISNSPDMPLLECGTTEVQNVEDSNSQ >LPERR01G30800.1 pep chromosome:Lperr_V1.4:1:26114489:26117111:1 gene:LPERR01G30800 transcript:LPERR01G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRLLFVLACSVLALLAGAEVHHHEFIVQETPVKKLCNTHNVITVNGQLPGPTLEVREGDTLVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPSREVPLILGEWWDADPIQVIRDAQRTGAAPNISDAFTINGQPGDLYNCSKQETTAVPVKPGETALLRFINAALNQELFVSIAHHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQPPTRYYIAARAYDSAQGVAFDNTTTTAIVEYDCGCATDFGPTIPPAFPALPAFNDTNTATAFAAGIRSPHQVKIPEPVDENLFLTVGLGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQKTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRGLWQPVPATKLYKLRYGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAEKFNYVDPPQRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFVVEDGYGKLESLEAPPVDLPMC >LPERR01G30810.1 pep chromosome:Lperr_V1.4:1:26118966:26119631:-1 gene:LPERR01G30810 transcript:LPERR01G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPTPQPLLPVTNPAAGGGPAPSSGSSLSDAAISTPAFRLFMSRLSDTARRSLADRRPWTELVDRSAISKPDSLSEATSRLRRNVAYFRVNYAAVVALSLAASLLAHPFSLLVLLGILGGWCFLYVFRAADQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVAVHGAFRVPEDLFLDEPSVGGNGNTTSRLLSFLASPGSGV >LPERR01G30820.1 pep chromosome:Lperr_V1.4:1:26120494:26122449:1 gene:LPERR01G30820 transcript:LPERR01G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGGGGEHSVPASPPMPPPPVVHPPAAAIPAVTSLERTVRTLDRQISQFVTMERLIWANSAEADAFLEAVDDLIGTVQELDAAGTNRPLLDRADELLSRCMARLEDEFRALIERPDDVAPAAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRSRTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFEGLAPYGDLAFVAAVRTQALQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGCLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAISDSVKPAYMSLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSK >LPERR01G30830.1 pep chromosome:Lperr_V1.4:1:26124514:26126133:1 gene:LPERR01G30830 transcript:LPERR01G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEWHGGLQGMEDTLQTLNDLISQYLCLNRPLWSSSDDADAFLEAVDELTSTIHSLERTSADHVLEKFDHLLECCSLRLGDELHHLINASSFDSNYSNPNTTKNHDDDDSHTLVAQPVSNFDIIVDTFPESVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVRADMAEMFKSASWEELETQILCWIPAIRVMFRILIPSERHLCDRIFEDSTSYRDLAFATACQPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAIRDILDDAFIPEVAALRECLGLSIKGILMTLENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLESGCSDPSGSCPIEPDRPTSSLAVHFAWIVDVLMGNLVSKSKFYRHAPLSCIFLVNNGIYIIKKVNGCELKVLLGEDWTKVMHSKVNQWNLEYRRATWGKAVMILEMDKSSNVNVMTEKLSRFNNFVEAICQVQSRWVLVDKQQAVEFSILVEELVIPAYKGIVEMLKATRSAAELYMRPEDVRSCIQQLFKAMVR >LPERR01G30840.1 pep chromosome:Lperr_V1.4:1:26126853:26128358:-1 gene:LPERR01G30840 transcript:LPERR01G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIILLLVLLLSSTTVIISTSKRTQPKFSAIFYFGDSILDTGNNNYIPTLAVGNHVPYGRDFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEEELLNNDIMTGVNFASAGSGFENQTSRLSNTMPMSTQVDLFKEYLLRLRNIVGDKEASRIIENSLIFISSGTNDFTRYYRTLKKKKNMDIDEYQDSVLRIAHASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQVYNSKLEKLLSTWQGSLHGSKIVYLNAYEAFMQILDSPAKYALTRFAMIIGFTETTRGCCGTGLREVGLFCNTLTPICRNDSSFVFYDAVHPTEKVYRIATDYILKNAITQFN >LPERR01G30850.1 pep chromosome:Lperr_V1.4:1:26130621:26131238:1 gene:LPERR01G30850 transcript:LPERR01G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAVRPSPPPLGSGAGGKACPAAPLAAVPDGEVARYHDHEVGTGQCCSTVVQAIGAPADAVWSVVRRFDRPQAYKNFIKSCRLVDGDGGAVAVGSVREVRVVSGLPATSSRERLEVLDDDRRVLSFRIVGGEHRLANYRSVTTVHEAAAAPAMSVVVESYVVDVPEGNTKEETRMFVDTIVRCNLQSLARTVERLAPEAPRAN >LPERR01G30860.1 pep chromosome:Lperr_V1.4:1:26142597:26150293:1 gene:LPERR01G30860 transcript:LPERR01G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGDSSAGGGGGTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDESETKHLQALDGADTRLRLFQMDLLDPASVTLAVDGAHGVIHVASPVILNPTQDPEATTRFLHNLIRFNQSCGHDRLMLLLLLHACRPAVSGALNVLRAAKDCGIARVVMVSSQTAMVPIPGEWPAGKVIDDDCWADVELLKKKQFWYNVSKILAEKAAWDFAAKEGLQLVVLHPGLVLGPMLTPSPTASLGLLLQILGGQSLDSVPASQSCSSVSLIASLASKIAYYAGQKFDIEDFFVGCVDVRDVARSLIVLYENTTAQGRHLCIESIERLADFHDKLAELYPEFPVYRIQEDKQDWVVRSKTASKKLIDLGVQFIPLDKTIRDTVDCLRSKVPCPEREGPSRAFRAKCGKRSSKKMAAIGDSSAGGGAGTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLEALDGANTRLRLFQMDLLDPASVTPAVDGAHGGELLQPAVSGALNVLRVAKDCGVARVVMVSSQTAMVPIPEWPAGKVIDDDCWADVELLKKKQFWYNVSKTLAEKAAWDFASKEGLQLVVLNPGLVLGPMLTPSPTASLGLLLQILGGQKFDIEDFYVGCVDVRDVAQSLIVLYENTSAQGRHLCIESIERLIDFHDKLAELNPEFPIFRIQEDKQGWVVRSKAASKKLIDLGVQFIPFDKTIRDTVDCLRSKGYI >LPERR01G30860.2 pep chromosome:Lperr_V1.4:1:26142597:26150293:1 gene:LPERR01G30860 transcript:LPERR01G30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGDSSAGGGGGTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDESETKHLQALDGADTRLRLFQMDLLDPASVTLAVDGAHGVIHVASPVILNPTQDPEATTRFLHNLIRFNQSCGHDRLMLLLLLHACRPAVSGALNVLRAAKDCGIARVVMVSSQTAMVPIPGEWPAGKVIDDDCWADVELLKKKQFWYNVSKILAEKAAWDFAAKEGLQLVVLHPGLVLGPMLTPSPTASLGLLLQILGGQKFDIEDFFVGCVDVRDVARSLIVLYENTTAQGRHLCIESIERLADFHDKLAELYPEFPVYRIQEDKQDWVVRSKTASKKLIDLGVQFIPLDKTIRDTVDCLRSKVPCPEREGPSRAFRAKCGKRSSKKMAAIGDSSAGGGAGTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLEALDGANTRLRLFQMDLLDPASVTPAVDGAHGGELLQPAVSGALNVLRVAKDCGVARVVMVSSQTAMVPIPEWPAGKVIDDDCWADVELLKKKQFWYNVSKTLAEKAAWDFASKEGLQLVVLNPGLVLGPMLTPSPTASLGLLLQILGGQKFDIEDFYVGCVDVRDVAQSLIVLYENTSAQGRHLCIESIERLIDFHDKLAELNPEFPIFRIQEDKQGWVVRSKAASKKLIDLGVQFIPFDKTIRDTVDCLRSKGYI >LPERR01G30860.3 pep chromosome:Lperr_V1.4:1:26142597:26150293:1 gene:LPERR01G30860 transcript:LPERR01G30860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGDSSAGGGGGTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLEALDGANTRLRLFQMDLLDPASVTPAVDGAHGGELLQPAVSGALNVLRVAKDCGVARVVMVSSQTAMVPIPEWPAGKVIDDDCWADVELLKKKQFWYNVSKTLAEKAAWDFASKEGLQLVVLNPGLVLGPMLTPSPTASLGLLLQILGGQKFDIEDFYVGCVDVRDVAQSLIVLYENTSAQGRHLCIESIERLIDFHDKLAELNPEFPIFRIQEDKQGWVVRSKAASKKLIDLGVQFIPFDKTIRDTVDCLRSKGYI >LPERR01G30870.1 pep chromosome:Lperr_V1.4:1:26151496:26155193:1 gene:LPERR01G30870 transcript:LPERR01G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSSSRTLLPQILLLYLVLLSFATAPCAARRVSVSVYYETLCPFCSGFVVNDLARIFPDGLSSIVDLRLVPFGNGRVSTDGSIACQHGEEECQLNAIEACVIRLWPNAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVLDCYNSGYGRQLQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYMNFEAYICRAYDGELPVACKGKHLTIAQQTRASRGGKRNPHELAILIAFCIAVWF >LPERR01G30880.1 pep chromosome:Lperr_V1.4:1:26157422:26162378:1 gene:LPERR01G30880 transcript:LPERR01G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAFSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPTALPFFSSPTSHVSSSSSPLAASPPPQTPISAPADPSPPETPADTSDGSNAGATPDRGTPASAVDVGGSGNTNETPGTGVSGGGAARVAVPSWGVCKVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPKSPRCLVPLPPGYRSPLLWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSSNYFVFPGGGTQFKAGVTRYIQFIEQIMPNIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDDSFDVIHCARCGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPTSNSCYLERKKNDPPLCSGREGSNSPWCTPLDSCLLLPAVSSSGEANSWPISWPERLNIKYSTTSDKSSSQLSQEKIDSDTKQWKDLVSEVYFSEFAVNWSTVRNVMDMNAGFGGFAASLIHQPLWVMNVVPFDHPETLPVIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDTVLRSMHYKTAIVKQQFLFATKGFWRPDNAGSESR >LPERR01G30880.2 pep chromosome:Lperr_V1.4:1:26157302:26162378:1 gene:LPERR01G30880 transcript:LPERR01G30880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAFSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPTALPFFSSPTSHVSSSSSPLAASPPPQTPISAPADPSPPETPADTSDGSNAGATVGPPQPEVNAPSREFTSHAPQPDRGTPASAVDVGGSGNTNETPGTGVSGGGAARVAVPSWGVCKVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPKSPRCLVPLPPGYRSPLLWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSSNYFVFPGGGTQFKAGVTRYIQFIEQIMPNIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDDSFDVIHCARCGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPTSNSCYLERKKNDPPLCSGREGSNSPWCTPLDSCLLLPAVSSSGEANSWPISWPERLNIKYSTTSDKSSSQLSQEKIDSDTKQWKDLVSEVYFSEFAVNWSTVRNVMDMNAGFGGFAASLIHQPLWVMNVVPFDHPETLPVIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDTVLRSMHYKTAIVKQQFLFATKGFWRPDNAGSESR >LPERR01G30890.1 pep chromosome:Lperr_V1.4:1:26176298:26176933:-1 gene:LPERR01G30890 transcript:LPERR01G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAAAGGAPAAAPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHATGCAFYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQPAGDEQSGPSSAAAAAVPAAAAGGGDGAGRGGAAAASSAQHGGSGTAAPGAS >LPERR01G30900.1 pep chromosome:Lperr_V1.4:1:26181968:26184493:1 gene:LPERR01G30900 transcript:LPERR01G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSPPAATSINPIRGRHPRRVLASSVPPPPRPPNPTPTREARKPEPRPRRENLGDDVDSVDFPATKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERNPEVLEGKGEILKQKEEETLPDEEGKEGSGEEGGEQKAVVEEEDPSWPLDADIGWGVRASEYFDKHSIKNVTVDGVEIDWEGEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAAENWKFLQELEKAAKVYWNTKDRLPPRTVKVDMNIERDLAYALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPSF >LPERR01G30910.1 pep chromosome:Lperr_V1.4:1:26186350:26188270:-1 gene:LPERR01G30910 transcript:LPERR01G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPASPSPPSTAVLTATANTTVAANKPVTEQLLEVVEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSTASLRVVLRPQQQAPPSFPRSRGDRFLVLSSLSAAHLDSSSSTPNNGGATAIRLRVFFGGPYLLHLAADAGDAAAVRLILRRQPHLLPVLEPEAAAVPDGVAEPWAALHAAAARGDAGEVRRLGTDAMGERDRDGRTALHVAAAAGEAETAAALVEMGADAAAADARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLEALLSKRTGVRGRDQYGLTALHLAAIKGHCDAIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVEMLLDMGADVHAATRRGATPLQMAVTMGYDAIAQLLRGRGADEAAAPALCIASSSSSSISCA >LPERR01G30920.1 pep chromosome:Lperr_V1.4:1:26197002:26197781:1 gene:LPERR01G30920 transcript:LPERR01G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSPTSAAASPSPSTSTCTALAAASPRLSFSSPSLKDLQALLLSDHSSPSPTPPQLAPHTAPCSPSVRVFHRVRVAASALRAVRTLQSPPPAAAEAGRRVVLYFTSLHVVRPTYEDCRAVRAILRGLRASVDERDLAMDPRYLEELAALLPRARRVTLPQVFVGGRHLGGAEEVRRLHESGELRRVVAGAGAAALASCSRCGGERYVLCSSCNGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPPAV >LPERR01G30930.1 pep chromosome:Lperr_V1.4:1:26197074:26199460:-1 gene:LPERR01G30930 transcript:LPERR01G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGDHRVPPPRAVGDLRPRVSAPQAQVALDAKGNRLTAHGSNAQPAGRPATGVRVATAWRVADGAVSRAAAIKYVARLAAVSTVPDCQCACGHAIAMWQVSTTPGWPIWCLIVYDALVSSTDRDSVKMKRTALSQHLHLEPLIQGSEHVLHEIVTFRSGSDGRRRGAIRAPDEAVLVAAGAGAEAAAALEAVPLVAAVAAAAEHVSLAAAPAAGGEGGCAGSGYDAPELAGLVEAADLLGATEVAAADEDLREGDAARAREERGELLEVARVHGEVPLVDGGAEAPQDGAHGAAVLIGGADDVERGEVEHHPAAGLGRGGRRRLEGADRAEGGGSDADAVEDADGGGAGRGVGRQLRRRRRGRRVVGEEESLEVLEGRGGEGQARRGGGESGAG >LPERR01G30940.1 pep chromosome:Lperr_V1.4:1:26203827:26204981:-1 gene:LPERR01G30940 transcript:LPERR01G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDIVTKRDKERRCNGGADGEQLPQLLDSPLPTPRRSCASADAASVRCRREASPLRTHVPFSWESSPGVPKRSSACAHREIMPPPPKPPPGRWPPCPARNWCGGYGNSSDASSDDDDTSFSDALERISSPDQRVGSFDRITSKRFEDIFLGRTTSFVNDRSSSRLAPAEASLATSSSSRGLKHWRRRSTRHDHDGQRPTPLKSNDHPVQVQLNLPRINIDGRVEQMSPGACGLMVFFPWSAKPAVVGFRSPRAQYAPSPLADAGNPSPSSSRRFATLRDAMQEENKTGRSGGRELPQPRGEKRSREEWQAGRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSPRVGSRERKSSKQDNSATMPAMAAKLTQLRTSRN >LPERR01G30950.1 pep chromosome:Lperr_V1.4:1:26205757:26210287:1 gene:LPERR01G30950 transcript:LPERR01G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAMNSVGAQAGLVSKPRNRNFTSCNGLKATSSISFESRSSFLGHNASLRSSAIPRIVPKAKAGSYISPEASYKVAVLGAAGGIGQPLSLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSKVLDFTGPSELADCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKPELKASIEKGIEFVHKQQASATSA >LPERR01G30960.1 pep chromosome:Lperr_V1.4:1:26208884:26211398:-1 gene:LPERR01G30960 transcript:LPERR01G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDPREGPSTERAFEGQPVPPWTEQVTLRAVVASVALGVALSGVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLDSPRRPFTRQENAVVQTCVVACASMTYSGGFGSYLLAMDRKTAEKTSTGDSSGANVAEPVFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPQGARQAKKQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGITAFNRGFYVNLNGTYVGVGMISPHLINISMLVGSIISWGIMWPYIKSKRGIWYDADLQETNLKSFTGYKVFCAIAMILGDGIFQLVVISLRTIHTVRHHQIAAETIRSFSDVDAMPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVALATVSTVAIPHIYSQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGIKNGGIVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRATLVSQVIGTALGCVVNPAVFTVFHNFYEMNPAKTYQAPMAKIYRGIAVLGAGGLDLPKHCLAISAGFFALALAICALREVATHGKWRAAPYIPSVTGMAVSFLLVPAVSIDMCVGSLIVFLWNRKDKLGSQVFAPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLAREQNDKLDAFLASKAAAG >LPERR01G30960.2 pep chromosome:Lperr_V1.4:1:26208884:26210952:-1 gene:LPERR01G30960 transcript:LPERR01G30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTAEKTSTGDSSGANVAEPVFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPQGARQAKKQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGITAFNRGFYVNLNGTYVGVGMISPHLINISMLVGSIISWGIMWPYIKSKRGIWYDADLQETNLKSFTGYKVFCAIAMILGDGIFQLVVISLRTIHTVRHHQIAAETIRSFSDVDAMPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVALATVSTVAIPHIYSQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGIKNGGIVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRATLVSQVIGTALGCVVNPAVFTVFHNFYEMNPAKTYQAPMAKIYRGIAVLGAGGLDLPKHCLAISAGFFALALAICALREVATHGKWRAAPYIPSVTGMAVSFLLVPAVSIDMCVGSLIVFLWNRKDKLGSQVFAPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLAREQNDKLDAFLASKAAAG >LPERR01G30960.3 pep chromosome:Lperr_V1.4:1:26210979:26215126:-1 gene:LPERR01G30960 transcript:LPERR01G30960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASTPLFSPPCAATAKLGAAAFPSHGSRRRAGARRGRLSVVAVQTGPQKPSPSSSSSTAAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPSWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRASKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVAIPRIAKHVTFLPLAFTRSVPFLPPFTSPLALALLAIDHHSHACTSTTKRRRCIVCRLDLHRRRSSGKSSAGVRRRCRPETMESVGDPREGPSTERAFEGQPVPPWTEQVTLRAVVASVALGVALSGVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLDSPRRPFTRQENAVVQTCVVACASMTYSGTRQDAPLSHVCLRFYLAVVMPMLPTCC >LPERR01G30960.4 pep chromosome:Lperr_V1.4:1:26212431:26215126:-1 gene:LPERR01G30960 transcript:LPERR01G30960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASTPLFSPPCAATAKLGAAAFPSHGSRRRAGARRGRLSVVAVQTGPQKPSPSSSSSTAAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPSWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRASKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >LPERR01G30970.1 pep chromosome:Lperr_V1.4:1:26215662:26220121:1 gene:LPERR01G30970 transcript:LPERR01G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCGGAGASPFCHQRLPYSPFSAAAADAAAVEERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTSGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDSHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIKGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGITEEEKRKLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSPGGRIGVDEWLRVPSAKDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNQVMKAGGGHANCEIDIDLGPAFVYKHLGSMATVGRYKALVDLRQSKESRGISLAGFVSWFIWRSAYLTRVISWRNRLYVAINWLTTLLFGRDISRI >LPERR01G30980.1 pep chromosome:Lperr_V1.4:1:26222392:26224077:-1 gene:LPERR01G30980 transcript:LPERR01G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHHPPYSPSHADLSPPPPEVYSLYNPEDPPAPEGHLPYVPPPAPVISELPDDLEFGLQPPPPLVRASELLGWEDRPSSSTASSSFLPDAAARYWRLLEQTGNRWLRARRFRSDLPPLSSEAYPAGRDAAVPVPQHEVPNDAVQHNKVGGDGTELGASDESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKSVEDGKQTGPAIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPSESAVQEIVDTAHAQHTSRLSRLASRMRARRLQREADSPNPPDGGGSIAPDSGLIRNNASDPSRNSPSSLLPDGIDWLRGLTLLGYEDTERFANAMSDFRRITGPSQYGASTSSSNPPNPASTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESGGEPSNAGSSRSLRRRGRSSGLGSLDTDGGGLQRNKRRRIN >LPERR01G30990.1 pep chromosome:Lperr_V1.4:1:26225139:26228981:-1 gene:LPERR01G30990 transcript:LPERR01G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCEVCKEAPSKYKCPACRTPYWRARSSRSLEEGTNCPNDKDQDPPLLPGTTCSAQSPNTPCPTKALEVEDPSWLVDKNRLRSLVESDEIRDALKDSKLQEMLVKIDGSTEPEKILDIVSPQQ >LPERR01G31000.1 pep chromosome:Lperr_V1.4:1:26229895:26231619:-1 gene:LPERR01G31000 transcript:LPERR01G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTAAASSISGANGGSGSVFPGPPPPTPSNHHALSSSASGATATGTGTDAALSTILHRLLLSTPAPTLRSPIPSRFRSPPSLPPLVLLDSSDPRRDLLAAADVGCFHLKGHGVPSHLPSSALAELSLVDAPARRASNLLTLGFTEDEELEEADGAAEDDDPAMVFDVDGEGEIGAALPAAAEEFARRLRDVGMRVVAMMSGCPEFVFGEEPFPEGRRKARCLMWASKVAAGEAAAAAPPAARKAKAYPYVVGLHCQWEASRQETAPASWVMNDGGEWTTVGARDGAILVTIGDIAQVWSNGKLKKVRGMAHPVSTPGAGHGAEAERLSVTVLITLPLDTVISPLVPVTDAGEECREHDDEVDGAGDDGEDGWRFHSFLLEDLAWRVYHERLQFKDPLIRYRI >LPERR01G31010.1 pep chromosome:Lperr_V1.4:1:26238889:26244825:1 gene:LPERR01G31010 transcript:LPERR01G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGVFDPFGTKQAVTSLRKGGRLPVYVAGVFFVICVIIMYGEDIRSLTLDPIARAAPRITASPAEPVTVTSKERHVARVNPPRREVSSAEKAAAALPLDVTERPKQESQTPTLTTPATTEAAKEAPKVVAKTSKPKKPKPSKKNKPKRPRPAKKTVATEAAAGGFLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAENWLGVDYLVFNTYIWWMNTLNMKIMRPGGQNWEDHDEVVRIEAYRRVLTTWANWVNNNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWNGPIWLGTDWDMFHAADNVSRAASPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLL >LPERR01G31020.1 pep chromosome:Lperr_V1.4:1:26247744:26248301:-1 gene:LPERR01G31020 transcript:LPERR01G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASSQAMTSRRWRYGDVDDDNFTVRGRGVPLLVALLFVLVCLVAVCFYLRWACHRYGRRDTMLMPTTSSSSLLAAAPALGSASSVSGLDDATIASLPVELYRPVASAGDGEDGAAECSICLGEFEEGEKVKPLPRCGHGIHPECVDAWLRSRPSCPLCRRWLLPADSTTTKPEMVGSEVV >LPERR01G31030.1 pep chromosome:Lperr_V1.4:1:26263648:26264334:1 gene:LPERR01G31030 transcript:LPERR01G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYENMHDPRGFPMHPQPYHLHPAAVLGVGEGRTMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVEHEESCHADLASAAEAAAFAAADAEAAVEFEPNHRRSAGDDDDAVMTMEMPPVPVQESVVGYGSDVIAAHRQLLMSGAAAHDLPPLPCCVFVQETDPSCYSVCNVHGEEATGVRGSY >LPERR01G31040.1 pep chromosome:Lperr_V1.4:1:26270213:26277557:1 gene:LPERR01G31040 transcript:LPERR01G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGGRSGGGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAQATFGELKRVLAQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQKVEPVIIDESMMKACEAVGRVRTEVDELSGKVCDLEKSVFAGRKVDDKQFVYLTEMLMMQLLKLDGIEAEGEARAQRKAEVRRVQSLVDTLDKLKARNANPFNDQNKSLSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >LPERR01G31050.1 pep chromosome:Lperr_V1.4:1:26276993:26286699:-1 gene:LPERR01G31050 transcript:LPERR01G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSAGTAMPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVRRAVFPATAHYGSDGSLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGLLGGVLTGLMATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARVLAPVMSGCGEELRHRGHGGMVLAQQPQREDTSDDLEPEERSKVKRKKRLMRFVEYSKRAMACEFQLAIPLLMQHSKSKSKASFTGSAWAKVGIALLLAAVYVDMMGSLFLVLVTMNRKVISESSCKRHGVRIYASGVFLMCMPYCLLMSLNVWYGFLLMALLPLLSIVCLLFAKEHRCRGTGSGSGSYVLDELLYEEHDSKLKHQFDASAAVNTITTGAGLIAAFFGYSTDYSPDHDVTVSESLLFLTILGGQFIMLVTAARPVFTRESSPARFAGFLGLLLGSLPVLLSLSAFAGAPSSSDPMLPISSATAPAAGEMEGLQLLWLGIMYICFTALVALFEEQAPRKTDLEWLDMGRILVYFWAFCCCSLDGGKSKLPLLLPPPQPPADEHQQHWWWGLARCVIIGLATLDVLCRSTGLMKNEDDPLATKWCRGSLLKCLRCS >LPERR01G31050.2 pep chromosome:Lperr_V1.4:1:26274234:26276436:-1 gene:LPERR01G31050 transcript:LPERR01G31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGPALTEGFLVARASVPATAHYGSDGTLVSPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVSAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKTDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFTMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGLLTGLLATPGLTAVHTHIPGARGAFYGGGIGQVGKQIAGALFVIVWNVVATTVILLGVGLVIPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEAARGGVGGGGAWGASVVDEAMDHRLAGMGARGVTIQL >LPERR01G31050.3 pep chromosome:Lperr_V1.4:1:26274232:26286699:-1 gene:LPERR01G31050 transcript:LPERR01G31050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSAGTAMPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVRRAVFPATAHYGSDGSLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKTDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFTMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGLLTGLLATPGLTAVHTHIPGARGAFYGGGIGQVGKQIAGALFVIVWNVVATTVILLGVGLVIPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEAARGGVGGGGAWGASVVDEAMDHRLAGMGARGVTIQL >LPERR01G31060.1 pep chromosome:Lperr_V1.4:1:26288655:26291805:-1 gene:LPERR01G31060 transcript:LPERR01G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVHLLSLPSSPSLRSRLQSNPLSSPAAASCSAPSFLLPRPRRLVVADRSRTKRSKMPAAIPPEVADGLAHDLVSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRYGDYRELLKGPLYYATTITFATSVFWRTSPIAIALICNLCAGDGLADIVGRRLGKEKLPYNPNKSYAGSIAMALAGFLASIGYMHYFQTFGFIEESWSLAFGFLVVSVTAALVESHPISSHLDDNLTVPLTSFLVGSLVF >LPERR01G31060.2 pep chromosome:Lperr_V1.4:1:26288655:26291805:-1 gene:LPERR01G31060 transcript:LPERR01G31060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVHLLSLPSSPSLRSRLQSNPLSSPAAASCSAPSFLLPRPRRLVVADRSRTKRSKMPAAIPPEVADGLAHDLVSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMDGTNALYSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRYGDYRELLKGPLYYATTITFATSVFWRTSPIAIALICNLCAGDGLADIVGRRLGKEKLPYNPNKSYAGSIAMALAGFLASIGYMHYFQTFGFIEESWSLAFGFLVVSVTAALVESHPISSHLDDNLTVPLTSFLVGSLVF >LPERR01G31060.3 pep chromosome:Lperr_V1.4:1:26288655:26291805:-1 gene:LPERR01G31060 transcript:LPERR01G31060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVHLLSLPSSPSLRSRLQSNPLSSPAAASCSAPSFLLPRPRRLVVADRSRTKRSKMPAAIPPEVADGLAHDLVSAAVTAGVALALLRFFEELAKRGVFEQDGTNALYSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRYGDYRELLKGPLYYATTITFATSVFWRTSPIAIALICNLCAGDGLADIVGRRLGKEKLPYNPNKSYAGSIAMALAGFLASIGYMHYFQTFGFIEESWSLAFGFLVVSVTAALVESHPISSHLDDNLTVPLTSFLVGSLVF >LPERR01G31070.1 pep chromosome:Lperr_V1.4:1:26294477:26296876:1 gene:LPERR01G31070 transcript:LPERR01G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTLAALLIFSLAAIHDVAVAAAAAAASDIPAVFAFGDSTLDAGNNNRLATAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKALLPAYHSGDDDADAATGVSFASGGSGLDDATATNAGVATLASQIADLSELVGRMGPGKAGEVVNKSVFLVSAGTNDMIMNYYLMPSKYTLDQYHALLIGKLRSYLQGLYNLGARRILVAGLPPVGCLPLQMTLTALRQPPRSQGCIDEQNTAAERYNAKLRQMLTKFKSTSPGAKAVYADIYSPLKDMVDHPHKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPSQFMFWDSVHPTQATYKAVADHFLRTNILQFDD >LPERR01G31080.1 pep chromosome:Lperr_V1.4:1:26297552:26300603:-1 gene:LPERR01G31080 transcript:LPERR01G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTAKPEQPHPHHQPDPTSDGALQPDADGAADAGDPAQIAEPQVDGPASGTTVELKFCASCSYRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKVWS >LPERR01G31080.2 pep chromosome:Lperr_V1.4:1:26297552:26300603:-1 gene:LPERR01G31080 transcript:LPERR01G31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTAKPEQPHPHHQPDPTSDGALQPDADGAADAGDPAQIAEPQVDGPASGTTVELKFCASCSYRGNAMTVKQMLETSFPGIHVVLENYPPPFPKNTLSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKVWS >LPERR01G31090.1 pep chromosome:Lperr_V1.4:1:26303174:26309662:1 gene:LPERR01G31090 transcript:LPERR01G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSHFPASAAGKKKAHQPRNGAAAGGGGGGGEGKKRLPVLGEEGCELIGGIDGKYVLDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHHWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAEAEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGNE >LPERR01G31100.1 pep chromosome:Lperr_V1.4:1:26316419:26321715:1 gene:LPERR01G31100 transcript:LPERR01G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQSLAESGLAAIPRCYVKPPCDRPAAHVDAVHDDDDDISIPVVDFGAGDDDDVGLAEAVAAACRDWGFFQVVNHGVKPELMRAAREAWRGFFRQPLPAKQRYANSPRTYEGYGSRLGVEKGAILDWGDYYFLHLSPAAAKSPDKYWPENPGVCKEVSEEYGEEVIRLCERLMRALSASLGLDETRFQEAFGGAECGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIQILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDIPVAPAPELVTPERPSLYPPMTFDEYRVYVRKNGPKGKAQLEALKGQSITENNE >LPERR01G31110.1 pep chromosome:Lperr_V1.4:1:26330593:26336214:1 gene:LPERR01G31110 transcript:LPERR01G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGLNEVMAFLTDHGFASAASALRDDVLARTSAAGDADSDSDAALDPQLPPLRLPASTSGGSGAASAVAAAAPAASPGSGSDSASSSDFVSMGSSPSGMRNPYGLWSPPHSDASSSEMEFGTARQYDSTDFFFQEGWLYDDHFFHSKPELDDNNGEDREEDKFVLGGSGRIEMGVLSAGDNHRHEHVGDDDCEGCAEVYTCSSPLCGCCGDRLKSEEGLEVVKESSSTVYGRYQIIDDQTEILDECGMDGFQFKQPGDVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTGAAADAFTNNAKLDDSIGKSMKDSSDKQHIKEGYSIEPFPESVVDDAFEFGMSKTLLMLKLRKKIQRQVLMLLLLISIENMRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGMEPYFTLPRIQAIARQCLEALVYLHHLRIIHSDLKPENILIKSYSRCEVKVIDLGSSCFLTDNLCFYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGDVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLAC >LPERR01G31110.2 pep chromosome:Lperr_V1.4:1:26330593:26336214:1 gene:LPERR01G31110 transcript:LPERR01G31110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGLNEVMAFLTDHGFASAASALRDDVLARTSAAGDADSDSDAALDPQLPPLRLPASTSGGSGAASAVAAAAPAASPGSGSDSASSSDFVSMGSSPSGMRNPYGLWSPPHSDASSSEMEFGTARQYDSTDFFFQEGWLYDDHFFHSKPELDDNNGEDREEDKFVLGGSGRIEMGVLSAGDNHRHEHVGDDDCEGCAEVYTCSSPLCGCCGDRLKSEEGLEVVKESSSTVYGRYQIIDDQTEILDECGMDGFQFKQPGDVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTGAAADAFTIYPKSLGPGLVHDIADNAKLDDSIGKSMKDSSDKQHIKEGYSIEPFPESVVDDAFEFGVIGKLNTDVQNSADVKAEEEDPETSVDVALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGMEPYFTLPRIQAIARQCLEALVYLHHLRIIHSDLKPENILIKSYSRCEVKVIDLGSSCFLTDNLCFYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGDVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLAC >LPERR01G31110.3 pep chromosome:Lperr_V1.4:1:26330593:26336214:1 gene:LPERR01G31110 transcript:LPERR01G31110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGLNEVMAFLTDHGFASAASALRDDVLARTSAAGDADSDSDAALDPQLPPLRLPASTSGGSGAASAVAAAAPAASPGSGSDSASSSDFVSMGSSPSGMRNPYGLWSPPHSDASSSEMEFGTARQYDSTDFFFQEGWLYDDHFFHSKPELDDNNGEDREEDKFVLGGSGRIEMGVLSAGDNHRHEHVGDDDCEGCAEVYTCSSPLCGCCGDRLKSEEGLEVVKESSSTVYGRYQIIDDQTEILDECGMDGFQFKQPGDVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTGAAADAFTSPGLVHDIADNAKLDDSIGKSMKDSSDKQHIKEGYSIEPFPESVVDDAFEFGVIGKLNTDVQNSADVKAEEEDPETSVDVALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGMEPYFTLPRIQAIARQCLEALVYLHHLRIIHSDLKPENILIKSYSRCEVKVIDLGSSCFLTDNLCFYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGDVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLAC >LPERR01G31110.4 pep chromosome:Lperr_V1.4:1:26330593:26336214:1 gene:LPERR01G31110 transcript:LPERR01G31110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGLNEVMAFLTDHGFASAASALRDDVLARTSAAGDADSDSDAALDPQLPPLRLPASTSGGSGAASAVAAAAPAASPGSGSDSASSSDFVSMGSSPSGMRNPYGLWSPPHSDASSSEMEFGTARQYDSTDFFFQEGWLYDDHFFHSKPELDDNNGEDREEDKFVLGGSGRIEMGVLSAGDNHRHEHVGDDDCEGCAEVYTCSSPLCGCCGDRLKSEEGLEVVKESSSTVYGRYQIIDDQTEILDECGMDGFQFKQPGDVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTGAAADAFTNNAKLDDSIGKSMKDSSDKQHIKEGYSIEPFPESVVDDAFEFGVIGKLNTDVQNSADVKAEEEDPETSVDVALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGMEPYFTLPRIQAIARQCLEALVYLHHLRIIHSDLKPENILIKSYSRCEVKVIDLGSSCFLTDNLCFYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGDVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLAC >LPERR01G31120.1 pep chromosome:Lperr_V1.4:1:26336420:26338402:1 gene:LPERR01G31120 transcript:LPERR01G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASATMLPSPSSSSHRRVPAAAKSVWINPTLPSSHRRKLAAEPPPQPQRRRHEDHVPDLPALLSALSAARTAADMATALAPHRPVSPRLLGTLLSRLPDARRGVALLDLVAPDIPSSSSLLIPYNLLLRSACRAGMLRLASGLLLEMRDAGVTPDAFSYSTLLAAFTRAGHLDHALTFLPLMDHDAVSPDLVLFSNLIHLALRSGDAPKALALFSRLRAAGIKPDLKAYNAAIAAYCKSGLLRDAKRILLHDMPSGDGGGGGIAPDAESYSPILAALARRGQHLAAVSLFTHMRAVASVRPDLSVFNIVLSAYGQLDLAREADRLFWSMRRGGVSPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAAASDGVRPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQAKRLLHELKDTEDVPKETAIKILASAGRVEEAAWLFRRAADAGEVRDTSVYRTMIDLFAKNRRHRNVVEVFDEMRRAGSLPDSETIAITMNAHGKLKDFDKAAALYREMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRNPNASVVQKFR >LPERR01G31130.1 pep chromosome:Lperr_V1.4:1:26338979:26341248:-1 gene:LPERR01G31130 transcript:LPERR01G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTVAAAAQQQQQRGKAAAQAWQAVVGWIGFLLRVLLQILRGTPSCAQLLSFVGFRYPLLPGPAGSEQPSPSVAFMPLRSEIPAADAAPAPAPPPESLQRLTVVLDLDETLVSAYESSSLPPIVRTQAVEAGLHCFDMECISTEKDVEGRQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKIRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHTDDQLMEVIFPLLKHLSLQRDVRPALYERFHMPEWFQKHGIPQTDQAV >LPERR01G31140.1 pep chromosome:Lperr_V1.4:1:26346342:26349949:1 gene:LPERR01G31140 transcript:LPERR01G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIHPGAPCCRLGRFYRRGAVAASASPPCLPPLVRGSSSKGGGAVRCGKAAAAAARSVQAAMEAVKEAAPAAKMVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLSRGIPRFYIMRLEKRPLKFHTITHHASVTQCLGSIGGEDWYLGVARPSIVEDGAQEHGGDGRKPVQSRAGHYYLPPDPAEVRVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >LPERR01G31150.1 pep chromosome:Lperr_V1.4:1:26354796:26356924:1 gene:LPERR01G31150 transcript:LPERR01G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYSSVKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFALDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYDEDDDPAAAAALSSACDGSDDLRTSSSGSSGGGSSASSQKNYVIKINALQPSSDDSDAVALTL >LPERR01G31160.1 pep chromosome:Lperr_V1.4:1:26357098:26360228:-1 gene:LPERR01G31160 transcript:LPERR01G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFAALVLLVTVSAAAAGGSEQESDRIREMPGQPENVRFSQYSGYVTVNQAHGRALFYWLVEAAAAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDTKTGHYVPQLAQLIYEHNKGIQNPTINLKGFLVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSVHPSPECVKNLNLASSEEGNIDPYSLYTKPCSNTTSLKLGLGGRYPWLSRAYDPCTERYSNIYYNRPEVQIAMHANTTGIQYPWQTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSINALKIPTLVNWHPWYDHGKVGGWSQIYKGLTLVTIAGAGHELIGKSESDAAAAKRAPLYMILILIL >LPERR01G31170.1 pep chromosome:Lperr_V1.4:1:26361488:26363041:-1 gene:LPERR01G31170 transcript:LPERR01G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSRAAACGALIFPTATAAAPFSRNAPVSVDQQRSGHQRRKAVALAAVPHASSGGALLERPAFDQSQLETLPVTEEGGDTGRTRDRRGSGSGDSYKVLLIDDARHNENLVEKALPRVVPSVTAEATRQLFHESRQKGAALVIVAVKEHAEFYAQTMIQQQNWNGHFVWNDAIIT >LPERR01G31180.1 pep chromosome:Lperr_V1.4:1:26363410:26369842:-1 gene:LPERR01G31180 transcript:LPERR01G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLVPEYAPSLDEEGVCKAAFELLFAFIEAISLGNKENVTVAQVKQYCEMESNEEKLHKLVMQSKINETRDAMRRKVTEIEKSKTDRGKPDKGGFGPLRTPNSFSDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPAPASASAKVPGGMKLSKGQKTSQFLESLKAEGEVILEDTQSSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQVLNDTDGFIQLQIENQDLPGLNFKTHPNTNKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQDLNESSLPLAVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPTLRDAPGVTQIDGEWKYDSRNSLLEWSIILIDQSNRSGSLEFTVPAADPSIFFPISIGFSASNTFSDLKVTAIRPLREGGPPKFSQRNRLVTANFQVVLRLARLFPHLIKALCQECLARLRCEYTCSAIPESPRGKGKNEVEC >LPERR01G31190.1 pep chromosome:Lperr_V1.4:1:26375094:26378822:-1 gene:LPERR01G31190 transcript:LPERR01G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTIVGGRWLERQAGRLELGSWRRQLGEEGNTEKMGKKGNWFSAVKKVFSSSDPDGKEAKIEKADKSRSRRKWPFGKSKKSDPSTSTVSGSASIAPPPPPQPQPPPPPPTLPTQPQPEARRALRALRGLVRLKSLVDGNAVKRQTAHTLNCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKVDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRMASDKDPKDVYSTKNHSTSAAHTYVPRAISLQRPGTPNKSSRPPSRQSPSTPPSRAPSVSGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVAEKPNIDPSDKLKERGRRHSDPPKVDPASLKDVPIALSKSKVDLRQF >LPERR01G31190.2 pep chromosome:Lperr_V1.4:1:26375094:26378574:-1 gene:LPERR01G31190 transcript:LPERR01G31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVIVGGRWLERQAGRLELGSWRRQLGEEGNTEKMGKKGNWFSAVKKVFSSSDPDGKEAKIEKADKSRSRRKWPFGKSKKSDPSTSTVSGSASIAPPPPPQPQPPPPPPTLPTQPQPEARRALRALRGLVRLKSLVDGNAVKRQTAHTLNCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKVDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRMASDKDPKDVYSTKNHSTSAAHTYVPRAISLQRPGTPNKSSRPPSRQSPSTPPSRAPSVSGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVAEKPNIDPSDKLKERGRRHSDPPKVDPASLKDVPIALSKSKVDLRQF >LPERR01G31200.1 pep chromosome:Lperr_V1.4:1:26385550:26389036:-1 gene:LPERR01G31200 transcript:LPERR01G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKIRNGSLEHPMRTSSQGASKTSRTARDSAADRPSTKSPTGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRAVQDADEARAQLAELSSAEETRLHELRRLSQERDRSWQSELEAMQKQHAADSSALVSAMGEVHRLRVQLAAAARVDRNQEMVEAAATVDELRAKLKASEEAEAEARALHEECKQQLEASRFTIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAGDRCSCSASVSEVAELRSELEATEERFQEERILSTVETQCAYELMDQIKMESDLRHGKLVAALESAKSEVIFLKASLFDKDSELRQAMDANRKLQSDESKNELKEKLQGALQENGQLRLELQQYTSNESKKTTTDAAADAAAAAEAAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGGGGGGGNGKVVDRSESLEGGGKYAGMWDELDDDAAARKNGNVLRRISGMWKK >LPERR01G31200.2 pep chromosome:Lperr_V1.4:1:26385548:26387900:-1 gene:LPERR01G31200 transcript:LPERR01G31200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSQGASKTSRTARDSAADRPSTKSPTGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRAVQDADEARAQLAELSSAEETRLHELRRLSQERDRSWQSELEAMQKQHAADSSALVSAMGEVHRLRVQLAAAARVDRNQEMVEAAATVDELRAKLKASEEAEAEARALHEECKQQLEASRFTIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAGDRCSCSASVSEVAELRSELEATEERFQEERILSTVETQCAYELMDQIKMESDLRHGKLVAALESAKSEVIFLKASLFDKDSELRQAMDANRKLQSDESKNELKEKLQGALQENGQLRLELQQYTSNESKKTTTDAAADAAAAAEAAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGGGGGGGNGKVVDRSESLEGGGKYAGMWDELDDDAAARKNGNVLRRISGMWKK >LPERR01G31210.1 pep chromosome:Lperr_V1.4:1:26392717:26403729:1 gene:LPERR01G31210 transcript:LPERR01G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNDDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKAGVKSSVRPAMQPDIDLEELEAKLGEHEHDLLEMNTNSEKLLQTYNELLEFKLVLSKAGGILASSHNHAAPAERELDENIFDKEMDDGNAYLLEQGIHLGVSENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTGPISGEEVEKTVFVVFFSGDQAKAKILKICDSFGASCYPVPEEMVKQRQIFREVSARLADLEATLDAGIQHRNKALESVGSQLWRWIIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFRTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDAYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFTEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSFVVKLVGLLVFAFATAFILLMMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >LPERR01G31220.1 pep chromosome:Lperr_V1.4:1:26405363:26408267:1 gene:LPERR01G31220 transcript:LPERR01G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTNLDKVVSESPGNEASDTYSQDNFLKDDGLALLHVDPSSSTVVNRSIKTQQSNEGAYSIFYAGYQINSQSYYGIEVTTDVYDLHLDGEQHSGIMVSFDSRGDDKTQSNVNELIVGWHVYPRYYGDSHVHFFVRWTRDGYKKTGCYNLDCPGYVPEDGVSIVPGLAIDPVSVPGGIKHIIIFKIFKDNTGDNWLLYCGLGSEPYLIGRFPSSLFTTMRNKADYMKIGGFAVARTTRLAPMGSGYLPTNPKAASFSNVQLIDQDGLTSKIPRDIHQPLRLSQSSILHPLSILRVNSPMVGRQNNK >LPERR01G31230.1 pep chromosome:Lperr_V1.4:1:26408455:26413604:-1 gene:LPERR01G31230 transcript:LPERR01G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPSECPGSTGQRLTQVSSRVPTSRLVRRTARIPRLPASHRPNPPLLLQRRGEAMADVGSHDESGSPPRGGMVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLYAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKEGIGPHGGAGSSSAQGMVGAYAQGMGYMQPQLGYVTKTGKANIG >LPERR01G31230.2 pep chromosome:Lperr_V1.4:1:26408457:26410912:-1 gene:LPERR01G31230 transcript:LPERR01G31230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSHDESGSPPRGGMVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLYAMGTLGFEEYVDPLKIYLHKYREVSFLGDSKLSSKAGDGSVKKEGIGPHGGAGSSSAQGMVGAYAQGMGYMQPQLGYVTKTGKANIG >LPERR01G31230.3 pep chromosome:Lperr_V1.4:1:26408457:26410912:-1 gene:LPERR01G31230 transcript:LPERR01G31230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSHDESGSPPRGGMVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLYAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKEGIGPHGGAGSSSAQGMVGAYAQGMGYMQPQLGYVTKTGKANIG >LPERR01G31230.4 pep chromosome:Lperr_V1.4:1:26412283:26413604:-1 gene:LPERR01G31230 transcript:LPERR01G31230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >LPERR01G31240.1 pep chromosome:Lperr_V1.4:1:26414620:26418143:1 gene:LPERR01G31240 transcript:LPERR01G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAKKKEEEKAREKELNDLFKVAVSQPKVPLGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDADTMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKVAIEDEIEDQRKQVKTTTPMTTELFMEWKRKKAEEREAGLSALRAERAKNDRMSGRELFMADSSVFVDDAEAYEVYERREESDANEEPSKKSQDEGPSSSTSNGQDAEEPDDEDIDIDDDLDMDELNELEASLSRTSIQIREPGEGTSS >LPERR01G31250.1 pep chromosome:Lperr_V1.4:1:26421697:26421930:-1 gene:LPERR01G31250 transcript:LPERR01G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNAPVNRSPSKTPPANPLPRRGQVKENMGKQIVAVAAAAVATATALSCNNTGGGGGKKGGGGKPVPVVGAKKK >LPERR01G31260.1 pep chromosome:Lperr_V1.4:1:26433650:26441558:-1 gene:LPERR01G31260 transcript:LPERR01G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIALEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSDRWKNFLDRQAEYDESSEEDAKVSPSVEDEEACKNAEDGRSKLPDEQKVKQPRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSVQKDNVATEHSANDEESKPSEDSDDEFYDVEKVDPNQEGPVPDSADADSGMNGDASQEGHYPWKEELECLVHDGLPMALRGELWQAFVGIGARRVKGYYESLLAANDKRENNSKGSDSPTMDGKPKESSFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSAEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDKVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAQVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPSQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTTGMAETPKKDDEKQGDSPQESDQRVDTPSRDSEHRLETHGITTNSMNGQEEQLEEIKLD >LPERR01G31270.1 pep chromosome:Lperr_V1.4:1:26452333:26459965:1 gene:LPERR01G31270 transcript:LPERR01G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYSDHHSPPMEGGGRPPRPPPAAASGGGGFARGLMKQPSRLATGVRQFASRVSMKVPEGVVGGMRPGGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKDQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQSASAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWVVALWLAVNVALFSYKFEQYRRRAAFQVMGYCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVLAATIAVATAVHTLAHVTCDFPRLISCPSDRFMATLGPNFGYRQPTYGDLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTKEWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHTNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGEPFFSDYHRKQLYCVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >LPERR01G31270.2 pep chromosome:Lperr_V1.4:1:26452333:26458370:1 gene:LPERR01G31270 transcript:LPERR01G31270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYSDHHSPPMEGGGRPPRPPPAAASGGGGFARGLMKQPSRLATGVRQFASRVSMKVPEGVVGGMRPGGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKDQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQSASAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWVVALWLAVNVALFSYKFEQYRRRAAFQVMGYCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVLAATIAVATAVHTLAHVTCDFPRLISCPSDRFMATLGPNFGYRQPTYGDLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTKEWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHTNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGEPFFSDYHRKQLYCVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >LPERR01G31280.1 pep chromosome:Lperr_V1.4:1:26457019:26457870:-1 gene:LPERR01G31280 transcript:LPERR01G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHHRQTSETASVVKVAREPAIIINGVPDLPPDFKSESLSEPSNAAEPQVDHRFGEWLEGRKVRKIFGDKYYVGKVVKYDSESNWYNVVYQDGDQEDLEWQEVEEVLLPLDITIPLKTLILDKFGYQNAVPDFRSNVGRSANEQKSNNLLQLVPASTSNDALGGQLVTVTAGVNAQASVSQPRKRGRPRKDASMSTNSQPSQPKRRGRPPKNRNMFGNAQSAECTENSLAIVPVDDAGVSADKQEASRRQNSVLMRNAQTARAEKLAKAERLKRGNSGTQF >LPERR01G31290.1 pep chromosome:Lperr_V1.4:1:26474991:26476066:-1 gene:LPERR01G31290 transcript:LPERR01G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSAAALPAVPTQIPRVAGYLDGSNVSGGPGAFPLFGGATAAAASSPPSYSSSLPSSYYNNFQRSISSHSLPHHLHLTDHLAAGAFFSPSSSSSHQLHLPPPLSSSPSSSSGDLFEFTSPPCPVRRVYSTGDLQGMNGSSPPRPLPSGESCGQDGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNRKITYACRKTLADSRPRVKGRFARNGEAEGDGDDASYDYGDYRSSSSSSNTFYHNQNHNHGGAATTTTTTAASFVGSDNGGEWWWRAPGANANANAERQAGFVDXL >LPERR01G31300.1 pep chromosome:Lperr_V1.4:1:26477447:26480319:-1 gene:LPERR01G31300 transcript:LPERR01G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMETRVKAMIKLIEIDADTFAKKAELYFKSRPELIKYVEETYRSYQALADRYDRVSGELHKANHTIATAFPEQVQLSLQDDNGDGFPKGITGINIGRGISPAPKRTQTHRRISSQMSKEKAQEEIERLQKKILVLQTEKEFFKSSYESSLTKYLNIERQATEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLRCEQKRSSEEATMEIRRVIDAKKKITIFKSECGYPHDEKDLSDHQDLEIISIPSSINDSNLILKENRLELQEISQKVKQKFESCTEASVVHLAGQVDEFVDKVISLEITSSSQNAQINRMKIEADELHKRLDSLADEKAALVEDSSKLSERLRQVEEVLQTIQRIEKSLHNDNENIHKQLTEVHGNLNDFVKKLDVCFTDETVNSSQESTGVACDENAKNPDTHADSSQDDSGISCKSTDEGLLDSFDDSSEAHAEEPDETLGWQQLFLGGLEGKDRILLKDYASILRNYKDTKKQLSEIEKKNREYHLEAMSEMKELKSASAAKDDEIYSLRRMLSSLQTKLNSSLSQCFEKSEESFEANTTASLEDKESAEIEEYMKIHQHEEPQDSSLEDKFRAEISRVLEESLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSGSGQSAALEKKFRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKVTTLDQTDEAHFTPFQAAKFQGEVSSMKQENNKVTKELQAGMDHVRGLQVEVGRALLKLRENIELSIVRGNRTQHSFRSLSVKAGVPLRTFLFGNKPKKASLFSCMGPVMPKPVADMRSGLFR >LPERR01G31310.1 pep chromosome:Lperr_V1.4:1:26482359:26482670:1 gene:LPERR01G31310 transcript:LPERR01G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR01G31320.1 pep chromosome:Lperr_V1.4:1:26483848:26493721:1 gene:LPERR01G31320 transcript:LPERR01G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGGEAASGSDARPTVGTGMEQPGPSPYQPTTSDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVSGSTENVASGATHVVQSATGTTNANDSYAPYSNSVQPGYNTAQYPNYYYSYPQTANESSVQQGVDQSSGAAYQPLTSFQNSGSYAGPTNNTYYNSGAHQTAPGYATSNDYYQSNSWTGGVSGDNHAQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSGVSDANTASVNSGYVYPSTQPPPPGTTPWKSDASAPVVLPQQAAGPPGYQHINQSSGPPGYQNQYVNQAQGTPGFQNQYVNQAPAAPGFQNQYANQAPTYQPGNAYYSQLPLSNQADQQKASRWHGPISNVSSINHVSEGSQPTFQGSATSDGRRVNKIQIPTNPRIAPILPVAMTKAEKRNSEADSSQKPAYVSVTIQKNDVKAAQDGHEAVTQKSIPVSLRTYVGRNVSRCKDDAQRSAVQSILKELAVEKHVVLIHFIRNLRSPKFFFLRIIQIITKATADGTLHTKNWDIEPLVPLPENVTSTNLTSSASPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELAANELAKTNNYNSSEATKRAGNSWDVGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLNENGNVSSDSDKEKDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSAPDKEGTSNIYARRSISMLLNRSNGDGVSFAVEDLDWDAMTVKGTCQEIEKRYLRLTSAPDPSTVRPEDILEKALHMVETTQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYTEGIKGCHLEFSAYNLLCVMLHSNNKRDLLSSMASLPKDAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAVKCISKSYRPTLPVKYAAQVLGFMGTDEVGEAKRADGLEECEEWLKAHGAVLFLDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >LPERR01G31320.2 pep chromosome:Lperr_V1.4:1:26483848:26493721:1 gene:LPERR01G31320 transcript:LPERR01G31320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGGEAASGSDARPTVGTGMEQPGPSPYQPTTSDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVSGSTENVASGATHVVQSATGTTNANDSYAPYSNSVQPGYNTAQYPNYYYSYPQTANESSVQQGVDQSSGAAYQPLTSFQNSGSYAGPTNNTYYNSGAHQTAPGYATSNDYYQSNSWTGGVSGDNHAQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSGVSDANTASVNSGYVYPSTQPPPPGTTPWKSDASAPVVLPQQAAGPPGYQHINQSSGPPGYQNQYVNQAQGTPGFQNQYVNQAPAAPGFQNQYANQAPTYQPGNAYYSQLPLSNQADQQKASRWHGPISNVSSINHVSEGSQPTFQGSATSDGRRVNKIQIPTNPRIAPILPVAMTKAEKRNSEADSSQKPAYVSVTIQKNDVKAAQDGHEAVTQKSIPVSLRTYVGRNVSRCKDDAQRSAVQSILKELAVEKHVVLIHFIRNLRSPKFFFLRIIQIITKATADGTLHTKNWDIEPLVPLPENVTSTNLTSSASPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELAANELAKTNNYNSSEATKRAGNSWDVGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLNENGNVSSDSDKEKDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSAPDKEGTSNIYARRSISMLLNRSNGDGVSFAVEDLDWDAMTVKGTCQEIEKRYLRLTSAPDPSTVRPEDILEKALHMVETTQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYTEGIKGCHLEFSAYNLLCVMLHSNNKRDLLSSMASLPKDAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAVKCISKSYRPTLPVKYAAQVLGFMGTDEVGEAKRADGLEECEEWLKAHGAVLFLDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >LPERR01G31330.1 pep chromosome:Lperr_V1.4:1:26496551:26498824:1 gene:LPERR01G31330 transcript:LPERR01G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLATPPTAAPAPPAPARGRNPPLAEMLRLVGAATLDSNANADDHDDALSLPLSRAAAAGGGGNARGTMGRTIQFRLAFTNLTYSVRAVRRARPGGGAGFRLPLQSRCDRVATAAAPDAAHAARTRVLLDGITGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEALTGNVIKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRSLPPTKKRARVQALIDQLGLRAAENTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAYMVVQVLRRIAAGGSIVITSIHQPSQRILGLLDHLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESSPTGTKPLVDFNRTWQMMHAPRDDLSWAPSMSLKEAISASVSRGKLVSGSDMGGGGGGEAASMHTYANPFWVEMKVLTRRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFVFYTVAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDAVKTRVLASISSALGVKIGTNTCVMTGHNVLREAAVTQLGKWECVLVTAAWGFFFRLLFYFSLVLGSKNKRR >LPERR01G31340.1 pep chromosome:Lperr_V1.4:1:26509814:26511459:1 gene:LPERR01G31340 transcript:LPERR01G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHNPTTRIALEAAVEAHAEAVTDHHAGAKIPSTITGDKAPLPLVDIGETDLLQGDSVYDTTDVSSDSSTPEIPSRGCSAKITPPSRSDEGSILIGVHMILISFLFLLCSPTLLRRHYGEPLALLRTIALLISAYLFFWISEFSRTMRPSTILFGVLLSVAVDMFAGPNAVFAAMYLAIGWMAGLLGYAYAEHLQHIGRETAAKHIAPPSFLTEEERDSYMTHRNSVAGFFGLLTLLTAMFGVVLAKEATPPVMPVFSAFFSVLEGANIFCWTFFVAKFVLFDALITYNQMVLASMA >LPERR01G31350.1 pep chromosome:Lperr_V1.4:1:26514335:26515681:-1 gene:LPERR01G31350 transcript:LPERR01G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAARLLLLILAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRHGFVSIAISGGKAVSKRTKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNTGCVLSSPYVKPLFSFADLDANGNYNKTFPVTHPDEYSLFFANCAPETTVSMEVRTDMYNVNPDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMTGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLLQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDDDEEEAAELALREEEFEL >LPERR01G31360.1 pep chromosome:Lperr_V1.4:1:26522219:26523301:1 gene:LPERR01G31360 transcript:LPERR01G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPHQEEDYIDMDLSSPAAAEAVTARASLLCYSTAMAASQQHPREFEFHMSAPVDKWEPMASPADELFYKGKLLPLHLPPRIEMVEKLLESAAEKGLLSASTAPATPYQSCNVSPANSCYVSGELSAEHYFHECISAGIGDPEEEATCEKKPWSKKLKFIRHLNLGLKLKASKAYLKTIFATKAGNSDHKTSTPSANDLSNVQFKSWRKNPFGQIRSRRYIASPISNSVTLGGKLKQDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSTLSSNDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDSDDIVDICQ >LPERR01G31370.1 pep chromosome:Lperr_V1.4:1:26526762:26529962:-1 gene:LPERR01G31370 transcript:LPERR01G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEGEAECLDVLDLGLCGVRAPGADLVSFVCLGAEDGGGGGGAGTPAVKVMTMSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAAATGEEGRDALEEARRAVAAEPNEAGETPLVVAAEKGHLEAVVELLRHLDSEGIAAKNRSGYDALHVAAREGHHAVMQEMLLHNRLLAKTFGPANTTPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNALHFAARQGHIEIVKALLEKDTQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAIVVQTASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >LPERR01G31380.1 pep chromosome:Lperr_V1.4:1:26531343:26532707:1 gene:LPERR01G31380 transcript:LPERR01G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQLDARRDGEPPATVAEAAPLMPYATADSSLRAMAGLAEGFGRHAIGGLHGDVYHVTTLDDDGDGSLREGCGRREPVWIVFDVSGTIHLSTPIRVSSYTTIDGRGQRVTLSGKGLQLRECEHVIVCNVEVEGGRGHDADAVQIKPGSRHVWIDRCGLRDCADGLLDVTRGSTDVTVSRCRFSAHDKAVLIGSSSGHVEDRRIRVTIHHCLFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQCNIYEAGEKRRVFMYMEEQAADRDQSSTGLIRSEGDLFLNGAEECTAQDSEGAADELWDFNVQDCYKSCSVQPASMALKELLECFTGWQAIPLPEDICPEQKTDSVPAATSA >LPERR01G31390.1 pep chromosome:Lperr_V1.4:1:26533169:26536465:1 gene:LPERR01G31390 transcript:LPERR01G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQQSESMNYEEEFILNSRGNKLFTCRWAPKEHAPKALIFICHGIAGECSISMRDTAARLVRAGYAVYGIDHEGHGRSSGRRCYVPNFSDIVTDCANYFTKKPENTEKKRFLYGVSMGGGVALLLHRKAPTYWDGAVLLAPMCKISDDMRPHPIVVSALKMLCSVAPSWSIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLVVSLDIEKNLDQEASSSDKTFKLYPGMWHALTAELPDDVERVYSDIICWLDERADCTGSASETSGTPSV >LPERR01G31390.2 pep chromosome:Lperr_V1.4:1:26533169:26536465:1 gene:LPERR01G31390 transcript:LPERR01G31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQQSESMNYEEEFILNSRGNKLFTCRWAPKEHAPKALIFICHGECSISMRGINTRPRLFLPDRNTDSRTNIQIPPLDWCEPDMPFTGLIMKATEDHLAGDATYRTSVTSSQTARTISRVFAKPENTEKKRFLYGVSMGGGVALLLHRKAPTYWDGAVLLAPMCKISDDMRPHPIVVSALKMLCSVAPSWSIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLVVSLDIEKNLDQEASSSDKTFKLYPGMWHALTAELPDDVERVYSDIICWLDERADCTGSASETSGTPSV >LPERR01G31400.1 pep chromosome:Lperr_V1.4:1:26540221:26544161:1 gene:LPERR01G31400 transcript:LPERR01G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKPPGTRHGPRSWIGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHLPERARPLFSFATSRSSASGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYNTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFSMLELAQVVKETIDPMASIEFKPNTADDPHMRKPDITKAKQLLRWEPKVSLREGLPLMVKDFRQRILDE >LPERR01G31400.2 pep chromosome:Lperr_V1.4:1:26540221:26544161:1 gene:LPERR01G31400 transcript:LPERR01G31400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKPPGTRHGPRSWIGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHLPERARPLFSFATSRSSASGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIISFLSRICSYFCDLRVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFSMLELAQVVKETIDPMASIEFKPNTADDPHMRKPDITKAKQLLRWEPKVSLREGLPLMVKDFRQRILDE >LPERR01G31410.1 pep chromosome:Lperr_V1.4:1:26543892:26544536:-1 gene:LPERR01G31410 transcript:LPERR01G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTRRDRIFAKACIALTLLLLTIANTATSARPASHKPPLSSHGSSDQTITLYTTGATPAEAGVPSSHHPVFTGHGPIGRHSGSWLRVLTQPGALQPGAASVVDEQFHGKRDFGLPLTGKLQGVVVTSLEDDGNGSSSIVAVTASFAVDGEDDSLRFFGVHRDDREESHIAVVGGTGRYDGATGFAVVRVAGAHETGRNVSSSRALSFRVHLK >LPERR01G31420.1 pep chromosome:Lperr_V1.4:1:26544938:26550629:1 gene:LPERR01G31420 transcript:LPERR01G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGLASGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALLKEKVAVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >LPERR01G31430.1 pep chromosome:Lperr_V1.4:1:26553317:26554795:1 gene:LPERR01G31430 transcript:LPERR01G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGSSSPASYIRLVQHLIEKCICYNMNKEECMQTLEKHANIKPVITSTVWKELEKENSEFFATYKKGGQGEETAQNKSSSSQEAATAGSKSSGGDDD >LPERR01G31440.1 pep chromosome:Lperr_V1.4:1:26557320:26561259:-1 gene:LPERR01G31440 transcript:LPERR01G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGESAGEGEELLLLSAVEAGSGNGGDAAATAERSWRLNFDGFRPPEVQQERPPRGFHDHCLGVLAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSDGDEFSLTKEQEKWVVDIMLAVTMVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >LPERR01G31450.1 pep chromosome:Lperr_V1.4:1:26566837:26567103:-1 gene:LPERR01G31450 transcript:LPERR01G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADGGGAEQRKPAAADASTEQQPARMQAGRHRRAMSMAVVSRDLEMITEDIASYKYGA >LPERR01G31460.1 pep chromosome:Lperr_V1.4:1:26579023:26579667:-1 gene:LPERR01G31460 transcript:LPERR01G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWEMQEIIGSVDTARVLMLLASQQSHHHGMVAHPVAVRAAAAPHDARVFECKTCNRQFPTFQALGGHRASHKRPRQQQQHRHGALVAGDDAGLCLGRHPAQPQQPAKPRVHECPVCGLEFPIGQALGGHMRRHRAEAEATATTGNADVVKAAPAVNVKACDGGGVCLDLNLTPSENCAKCRNVVGQLGGAAGQGGVHKALAMLDCFL >LPERR01G31470.1 pep chromosome:Lperr_V1.4:1:26590524:26596594:1 gene:LPERR01G31470 transcript:LPERR01G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPLVKNILVLDSEGKRVAVKYYCHDWPSLSSKLAFEKSVFRKTRKTSARTEAEIVMFDSYIVVYKFIQDLRFFVTGGDDENELILASFLQGFSKAVDHLLRNKMHRRAALENLDLIFLCLDEVADGGIVLETDAKAILDKVSGDGLEGSGSLTEQKLSSALATAREHFARSIFN >LPERR01G31470.2 pep chromosome:Lperr_V1.4:1:26590524:26594732:1 gene:LPERR01G31470 transcript:LPERR01G31470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPLVKNILVLDSEGKRVAVKYYCHDWPSLSSKLAFEKSVFRKTRKTSARTEAEIVMFDSYIVVYKFIQDLRFFVTGGDDENELILASFLQGFSKAVDHLLRNKMHRRAALENLDLIFLCLDEVADGGIVLETDAKAILDKVSGDGLEGSGSLTEQKLSSALATAREHFARSIFN >LPERR01G31480.1 pep chromosome:Lperr_V1.4:1:26596408:26596676:-1 gene:LPERR01G31480 transcript:LPERR01G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKRQPEKALPAAAAQAMPAPAGGDWWEGFARRLAAALCSSSLTNHGRLVASLQTSRLSAPETLVAGE >LPERR01G31490.1 pep chromosome:Lperr_V1.4:1:26598920:26600684:-1 gene:LPERR01G31490 transcript:LPERR01G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQVHTLRDPVVRTTGLSPQQVSRYSRLDSSRLPPPPTPISRAQGRRRPAVSAASSLIETGHQDVVHDITMDYYGKRMATASSDNTIKIIGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWETTRIDQAHPVGVTSVSWAPAMAPGALINSGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALHMHKDWVRDVSWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGKVLYDFQTPVWRLSWSLTGNVLAVADGNNNVTLWKEAADGEWQQVTTVEP >LPERR01G31490.2 pep chromosome:Lperr_V1.4:1:26598920:26600684:-1 gene:LPERR01G31490 transcript:LPERR01G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQVHTLRDPVVRTTGLSPQQVSRYSRLDSSRLPPPPTPISRAQGRRRPAVSAASSLIETGHQDVVHDITMDYYGKRMATASSDNTIKIIGVSSNSHQQLATLSGHQGPVWQVAWANPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWETTRIDQAHPVGVTSVSWAPAMAPGALINSGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALHMHKDWVRDVSWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGKVLYDFQTPVWRLSWSLTGNVLAVADGNNNVTLWKEAADGEWQQVTTVEP >LPERR01G31500.1 pep chromosome:Lperr_V1.4:1:26603249:26603773:1 gene:LPERR01G31500 transcript:LPERR01G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRMHANALLSLSSPGSSSTTLPATSTAAGKRALAAEGVFECKTCSKRFPSFQALGGHRTSHTRLQARMLHDPNAAAAAAAERDRARVHECAVCGLEFSMGQALGGHMRRHRGEAGAAATVVLADADDGGPPQPVETMPDLNYPPLEDPGGDGQESPTPGRSSEPELLNLLV >LPERR01G31510.1 pep chromosome:Lperr_V1.4:1:26604466:26606960:-1 gene:LPERR01G31510 transcript:LPERR01G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGRGEQQRLPWREAQQQEARSPDMAPPRPPPRPRAGPVRAAVVYYLSSNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLTDDDFIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWDNGSGGTVSARHKKSAGAGNGNGNLTTTELGEYRVYKAEDPVAAAADAATQTEDGYRRRHAHRASPAAAQEELSREETSPPTASTSPETLEALIKADGRVVATVPGGGGRMRTSSVLMQLISCGSVSVKSGVASPVMSRSAAAAHYRPRPPRPPTTTPASATEIPTTYRQKIVEDKEYFSGSLVETKRSSSPANLSQDLAVLRRSSSYNAADRGQKVEPATEAVDMHDRCIPRRPKGKKDGGYLVISGNAQYGSKKLGIEWIVVFIVF >LPERR01G31520.1 pep chromosome:Lperr_V1.4:1:26609688:26612033:1 gene:LPERR01G31520 transcript:LPERR01G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPAAPQPPQRVPLDPWAKSRASQQWAGPKISGSTKAEGL >LPERR01G31530.1 pep chromosome:Lperr_V1.4:1:26612890:26614260:1 gene:LPERR01G31530 transcript:LPERR01G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRDVVSATAAIGALTHSGRHRDAVALFSRILGDGVVPNEFTFGTTLQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGAVREAQGAFQDTRERNVVSYTALIAGFLKNGMFGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEALNLFLEMRREGVRPSESTFPCVLTSVANAGALGVGRSIHASAIKFLGKLDVFVGNSLVSFYARCGSLEDSVLAFKKIKKKNVVSWNALICGYAQNGRGQEALDAFSRMKATGLKPDSVTLLGLLFGCNHAGLVDEGYSLFKTAEMEQPGILRPEHYACMVDLFSRAKRFVDAKQFLENLPFEPGIGFWKSLIGGCQIHWNRELAESVAKHIHVLDPKDTSSYILLSNVYSAAGSWQDMSIVRREIKEKGLKRITGCSWIEVQNKVHVFFNGDCRHPQSDEIYMILQSCLGSEEDEHYLV >LPERR01G31540.1 pep chromosome:Lperr_V1.4:1:26615290:26615706:-1 gene:LPERR01G31540 transcript:LPERR01G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >LPERR01G31550.1 pep chromosome:Lperr_V1.4:1:26626074:26629749:-1 gene:LPERR01G31550 transcript:LPERR01G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANISQASLLLQKQLKDLSKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPTVRFTSEMWHPNVYMDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKRDDFKKRVRRLVRKSQEML >LPERR01G31560.1 pep chromosome:Lperr_V1.4:1:26635871:26636617:-1 gene:LPERR01G31560 transcript:LPERR01G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLLLLVAASLLSCGVTLADYAPMTLTVVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPPQPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPATLAQISLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQLRAPAGGSVIACKSGCEAFRTDELCCRNMYNSPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >LPERR01G31570.1 pep chromosome:Lperr_V1.4:1:26638726:26642009:1 gene:LPERR01G31570 transcript:LPERR01G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEELKKKVDAKNALENYAYNMRNTIKDDKIATKLSADDKKKIEDSIDGAINWLDSNQLAEADEFEDKVKELEGICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >LPERR01G31580.1 pep chromosome:Lperr_V1.4:1:26643028:26644575:-1 gene:LPERR01G31580 transcript:LPERR01G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGGHHSLCTLLSMDPAGHLDDRAVGVMVQPRIGERGAVSLSGAPPPDINQPWQTDLCDMLEVSLGPQVYDGEALAVLSSAPKAGSRKAAKRGDSIWGAWFFFTFYFKPLLAEKCKGKVTRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPSLAGITEVDRRRWVELTGRELNFAIPQEACDFGTWRTMPNTEIELERPHPVMKNNVHQHPKKMLNGSGLNLSSPSNHSGEDGMDLSPVNSKRRKEVFPHPMDEECFLPLNSCSERTQQEVEMHSVMQPSWLQEFSGAMKKARGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLVDPTPEHCPQGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >LPERR01G31590.1 pep chromosome:Lperr_V1.4:1:26656298:26658208:-1 gene:LPERR01G31590 transcript:LPERR01G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHEAGLFVAEPPTSPLSPAAALANARWTPTKEQIAVLDGLYRQGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPLAARSFPLAVPPAPATACSAAGGVMYRPPSFIPAAANAGYYPQHQTPVIYPGMEVSPHDKATVHAMYLTAPSTTRLAERDGGRGALETLQLFPLQPTFVLPADQKPPRAGSACAAAVSLPTPSASSSYSGGSESSESPNSEALPFYDFFGVHSGGR >LPERR01G31600.1 pep chromosome:Lperr_V1.4:1:26662104:26663763:-1 gene:LPERR01G31600 transcript:LPERR01G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKNTKRVNFVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGPTDKKK >LPERR01G31610.1 pep chromosome:Lperr_V1.4:1:26664970:26665612:-1 gene:LPERR01G31610 transcript:LPERR01G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEDGWPLGLGAVNGRAGVTRSVDLSGSASFSTAFTSSHCASSSFASTDFDTESAWSLSRRGGGMTLASLIGLVDAMESRRRRRSARASRSGKVRALLLSLCLRSHLESGRAAPSLGQFLEMERRANSSAS >LPERR01G31620.1 pep chromosome:Lperr_V1.4:1:26667466:26669530:1 gene:LPERR01G31620 transcript:LPERR01G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRIADILHKRFARFDRRQWREGYKALLLLEHLLTHGPRSVASEFQRDKDVIEQMATFQHIDEKGFNWGMTVKGKSERVLKLLERGPFLEEERERARKIAREIKGFGSFNLSSAQPSAVRASMDQGYYGRSNSRFEDRWRREASVDDGDKENLMVSRPEPEAVEAAEELHHRHPFHGFGQQRTEAMLLLSQ >LPERR01G31630.1 pep chromosome:Lperr_V1.4:1:26672073:26674494:-1 gene:LPERR01G31630 transcript:LPERR01G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGALPAPRLALGVVLLLAVLPSRAAGVNVTAALAAFPSFGDFARLLASSPVAGELATRSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLARLPSSGKLVTTLYQTTGRAPSDLGSVNLTIGGNSTVVVRSPAHFPASSATVLGAVTSVPYNLSVLAVGSLVIPSGFDIAASDSHSTGVNITRVLADARGFNVAASMLEASGVAAEFGADERGAGITVFVPIDDAFAGLPATDRLQSLPADSKAVVLRYHVLHSYYPLGSLESIVNPVQPTLATEGFEAGQYTLNITRVNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPKELFGGHSAAMAPMPDAMGPDVAENMRTPPTRLSSPPALRGGADSKSSSASAMSRAVNWWCIWLVLLELHLLLLHLPYAHANSVQMLCNVGFPYRVPCTHHLTCVMLFLTFRGVSCSQWAGGFFGRDH >LPERR01G31640.1 pep chromosome:Lperr_V1.4:1:26676632:26681703:-1 gene:LPERR01G31640 transcript:LPERR01G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPSPSPPGLDAEPRVQSAGERGRRRGRAVAAVFAAAGKEVAGAGRAGGVVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYHSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNNTYDLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEDHFVQRLKNDVRIVDKVPEFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERIIRISPFANRVSFDAPSSVQRLRCLANFDALKFSKPIRNLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEEEKEELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHTQSIPAR >LPERR01G31640.2 pep chromosome:Lperr_V1.4:1:26676632:26681703:-1 gene:LPERR01G31640 transcript:LPERR01G31640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPSPSPPGLDAEPRVQSAGERGRRRGRAVAAVFAAAGKEVAGAGRAGGVVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYHSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNNTYDLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEDHFVQRLKNDVRIVDKVPEFIMERFGHNLSNDMVAFSCCVFDGGEEEKEELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHTQSIPAR >LPERR01G31650.1 pep chromosome:Lperr_V1.4:1:26704106:26716812:1 gene:LPERR01G31650 transcript:LPERR01G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSEGSSYGGGIAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRSWKKIAECFPYRTEVQCLHRWQKVLNPELIKGPWTQEEDDQIIELVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTAEEELALINAHQVYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDIYSSTMSVQKSLVHDKFKDKPKAVATEGHLDLNKAPIISSKDQPGTAHRSNSSGLRSVSTLPTAQPLTSHEASIVDDSAVTLVAQALGSSSVHDKGLQINSTPDPMGNSGIIQLEGTPAKGEPELSLKNEARSTLGPLCYQIPNMEDVAPVNSSLFSERPSANHPPEHCEDGISSPIGCITPPPTKEKISSQLSVDSILRSAANSFRDTPSILRRRKRDKSTPVSASELKTSGANTDSFYTPTGMGLATPTPESFKTSSFLSLGSLDGLPTSVRSFDVSPQYQARSKRMAVTKTVEKQLDFSSDGPDTCGSEILNSPCQNSQSTLSISEAPKLKENELGEHVVQLENLTKNFAHTTNLDHTLNKAVRITRKGGEAYDVSPSLFVIGSSRIDERPKPNPVWCGSESIGGFSGLRLPIGARGIRKPQPKTSFFRPKKVCHVFPSSPSTPPRFNRPISNPTQHTHARSIAFASAPRRRLHRRRLLISSHRSMAPRKFFIGGNWKCNGTSDDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLGESNDFVADKVAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLESNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVEIIKSATVKSSA >LPERR01G31650.2 pep chromosome:Lperr_V1.4:1:26704106:26716812:1 gene:LPERR01G31650 transcript:LPERR01G31650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSEGSSYGGGIAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRSWKKIAECFPYRTEVQCLHRWQKVLNPELIKGPWTQEEDDQIIELVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTAEEELALINAHQVYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDIYSSTMSVQKSLVHDKFKDKPKAVATEGHLDLNKAPIISSKDQPGTAHRSNSSGLRSVSTLPTAQPLTSHEASIVDDSAVTLVAQALGSSSVHDKGLQINSTPDPMGNSGIIQLEGTPAKGEPELSLKNEARSTLGPLCYQIPNMEDVAPVNSSLFSERPSANHPPEHCEDGISSPIGCITPPPTKEKISSQLSVDSILRSAANSFRDTPSILRRRKRDKSTPVSASELKTSGANTDSFYTPTGMGLATPTPESFKTSSFLSLGSLDGLPTSVRSFDVSPQYQARSKRMAVTKTVEKQLDFSSDGPDTCGSEILNSPCQNSQSTLSISEAPKLKENELGEHVVQLENLTKNFAHTTNLDHTLNKAVRITRKGGEAYDVSPSLFVIGSSRIDERPKPNPVWCGSESIGGFSGLRLPIGARGIRKPQPKTSFFRPKKVCHVFPSSPSTPPRFNRPISNPTQHTHARSIAFASAPRRRLHRRRLLISSHRSMAPRKFFIGGNWKCNGTSDDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLGESNDFVADKVAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLESNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVEIIKSATVKSSA >LPERR01G31650.3 pep chromosome:Lperr_V1.4:1:26713640:26716812:1 gene:LPERR01G31650 transcript:LPERR01G31650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSATTDRGAAANRCRADQNLRRLSPSFAASFVAAASQLRRQRPLHFVVGERGRQAGVTRSGGKEGDDGALEAARRDRRRRRRGIPIPGNVQGNIFGGGVDLRWWLPAPDVEAKLLPEFPLTDSSSPYILNRNGEGVGAREVVGGGGILGRLTSIPHPAVAPEWPLPLSKRGQGSGRNSARQDIVAVASFSSPPNPPHTASAVVQQRLVALQERSGQGEHIRCYAVESERKSKNLVAKKMHGCAISALWWWRARLPLAPASELVSRLLGSAVPAMSVQQAAQQPLRPYYSAPKAMPLTRKLHNDKVVLIFRLNASCPAVRTAYRPYQLQSLFMVPVQISTQKIQNIVRRAITSRNS >LPERR01G31660.1 pep chromosome:Lperr_V1.4:1:26721433:26723067:1 gene:LPERR01G31660 transcript:LPERR01G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGILEVHLVDAKGLTGNDFLGEIGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAGGQHKLFLRVMDHDTFSRDDYLGEATINVTDVISLGMENGTWQMSESKHRVVLADKTYHGEIRVGLTFTASAKGQDHAERVGGWAHSFRQ >LPERR01G31660.2 pep chromosome:Lperr_V1.4:1:26721433:26723352:1 gene:LPERR01G31660 transcript:LPERR01G31660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGILEVHLVDAKGLTGNDFLGEIGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAGGQHKLFLRVMDHDTFSRDDYLGEATINVTDVISLGMENGTWQMSESKHRVVLADKTYHGEIRVGLTFTASAKGQDHAERVGGWAHSFRQ >LPERR01G31670.1 pep chromosome:Lperr_V1.4:1:26728167:26735219:-1 gene:LPERR01G31670 transcript:LPERR01G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGSTAALAFLRLRPLGRAARPDAARAGGHFCLYGGCRCRQGHSLVVSVLEELRSRRRVRVSAKIGLQGTKELSDDKIDKRTLQKGLLLEFQKDSERFLLAVVESPDGKKNWKVIDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFINKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLLKSAKALPLDAKPSKDSWLMEEKIKQKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINVGYFPVHVNLDLYKYDVHTKYTEEVLSAAEELLVDSPDSDMLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATYPMFPERLAMTAMSLQQGNEYSIENSLIKPTYMLTYESATELLYMNLEEEEELRILQEAAFIRAQWRRSQGSIDTAMIEPRIKVANPDDPEPSINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIPLPYRGHPQSNTAVSAFAHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESLPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKRRKYKALILKFIKDRLATLLVIEVGIQATTLVSTGKVGDEVSVIVEAAHPRDDILSVREITDVSDE >LPERR01G31670.2 pep chromosome:Lperr_V1.4:1:26728167:26735439:-1 gene:LPERR01G31670 transcript:LPERR01G31670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPMAMRGGSTAALAFLRLRPLGRAARPDAARAGGHFCLYGGCRCRQGHSLVVSVLEELRSRRRVRVSAKIGLQGTKELSDDKIDKRTLQKGLLLEFQKDSERFLLAVVESPDGKKNWKVIDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFINKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLLKSAKALPLDAKPSKDSWLMEEKIKQKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINVGYFPVHVNLDLYKYDVHTKYTEEVLSAAEELLVDSPDSDMLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATYPMFPERLAMTAMSLQQGNEYSIENSLIKPTYMLTYESATELLYMNLEEEEELRILQEAAFIRAQWRRSQGSIDTAMIEPRIKVANPDDPEPSINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIPLPYRGHPQSNTAVSAFAHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESLPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKRRKYKALILKFIKDRLATLLVIEVGIQATTLVSTGKVGDEVSVIVEAAHPRDDILSVREITDVSDE >LPERR01G31680.1 pep chromosome:Lperr_V1.4:1:26743627:26744187:-1 gene:LPERR01G31680 transcript:LPERR01G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKTEQEEVKNSPQKRQIRPRRNHTGVLRRCLKAAHKNHRVNMARKAEVRALAAAVEGTNAVALTAQALVPPPPSPPCPPRHRIADTMVRAMRSSPPVFVLASPASPPSSSKLRPPVHVSGERFTLTARHGARPFYGSWVEFTTATAAGPAIEAETTSMTAHFPKADDVWIITEDTSSEESSPS >LPERR01G31690.1 pep chromosome:Lperr_V1.4:1:26751957:26755418:1 gene:LPERR01G31690 transcript:LPERR01G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTLPPGSKRRDARIPIYPQIAAANGFAAEEFDPLMFLSADAGGGFGGGGDYLNVVSAPPISTNGASPPRDVSVSAAAAQAPAPQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNNADLLAAEKPFLEILADQTPLSGVSSVESPDGSSVANSCNSLSPGYCSSVSDGLAAVPQTPVLEFPTAAFLQAPQLYGNLIPEGGMVESGGAWPYDPTEFCQLQTNPVSENLRSSSFASSNGSNVTFSEGFESLLSPVGVLPDVGLNDFVVQSQQAMHFRRGFQEGSRFLPDESKLVIDVDKLYSKDEGNSFLGEVRQEKELVKVKTETSDVEAAVHRGKKHFYGDDLDAEEGRCSKHSAPGIIDTDHLVREMMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGNGKGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSHIYKTLTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTIMDAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERIEETGRYLSDYAQTFNVPFQFQAIASRFDAVQMEDLHIEEDEVLIVNCMFRFKNLMDESVVAESPRNMALKTIRKMNPHLFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPRDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRAGFKQLPLNQDIMKRAREKVRCYHKDFVIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >LPERR01G31690.2 pep chromosome:Lperr_V1.4:1:26752345:26755418:1 gene:LPERR01G31690 transcript:LPERR01G31690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTLPPGSKRRDARIPIYPQIAAANGFAAEEFDPLMFLSADAGGGFGGGGDYLNVVSAPPISTNGASPPRDVSVSAAAAQAPAPQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNNADLLAAEKPFLEILADQTPLSGVSSVESPDGSSVANSCNSLSPGYCSSVSDGLAAVPQTPVLEFPTAAFLQAPQLYGNLIPEGGMVESGGAWPYDPTEFCQLQTNPVSENLRSSSFASSNGSNVTFSEGFESLLSPVGVLPDVGLNDFVVQSQQAMHFRRGFQEGSRFLPDESKLVIDVDKLYSKDEGNSFLGEVRQEKELVKVKTETSDVEAAVHRGKKHFYGDDLDAEEGRCSKHSAPGIIDTDHLVREMMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGNGKGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSHIYKTLTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTIMDAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERIEETGRYLSDYAQTFNVPFQFQAIASRFDAVQMEDLHIEEDEVLIVNCMFRFKNLMDESVVAESPRNMALKTIRKMNPHLFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPRDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRAGFKQLPLNQDIMKRAREKVRCYHKDFVIDEDNRWLLQGWKGRILFALSTWKPDNHDEPICS >LPERR01G31700.1 pep chromosome:Lperr_V1.4:1:26761219:26763896:-1 gene:LPERR01G31700 transcript:LPERR01G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISPALPSPLLIAATLMMLLVQAQGITRHYDFNVEMANATRLCTTKSMVTVNGQCPGPELVAREGDRAVIRVTNNVAHNITLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWWHAHISWLRSTVYGALVVLPKLGVPYPFPAPHEEVPIIFGEWWNADTEVVVSQAIQTGAGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTIVEVDAVYVKPFTVDTLIISPGQTTNVLLTTKPYYPGANFYMTAAPYSTARPGTFDNTTVAGILEYENPFSPSAASFIKSLPLFKPTLPRINDTDFVTNFTNKLRSLATAQYPAAVPQSVDRRFFFTVGLGTLPCPANMTCQGPNGTQMAASMNNVSFVLPSKALLHSHFTGLSSGVYASDFPVTPLSPFNYTGTPPNNTHVKTGTKLLVLAYNTTVELVMQDTSILGIESHPLHLHGFNFFVVGQGIGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSQPDQKLLPPPSDLPKC >LPERR01G31710.1 pep chromosome:Lperr_V1.4:1:26770982:26773295:-1 gene:LPERR01G31710 transcript:LPERR01G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYLLRSSFLVVAVLMLFSVNLVKGDTREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVVRVVNHAAYNMSVHWHGIRQLRSGWADGPAYITQCPIQPGSSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKLGVPYPFPAPDKEVPVIFGEWWKADTEAVISQAMQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEPGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVETLLITPGQTSNVLLTTKPSYPGDTFYMLAAPYSTAMSGTFDNTTVAGILEYQNPSSPSAAAFNKNLPLLKPILPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDKRFFFTVGLGTHPCAVNGTCQGPNGSRFAASLNNVSFVLPSTALLQAHFTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSILGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >LPERR01G31720.1 pep chromosome:Lperr_V1.4:1:26775424:26779768:-1 gene:LPERR01G31720 transcript:LPERR01G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSSRQRQGSHLGGNCGLRASLPPSSPPPPLPRGGLGFSRSYVTSVLVNRATAAAGGGKGGDWRFLLATRQFRRLLSDESKKNHKKHSAKEKEKGKGDESGKSDSKQQSGSGDQWNFLESIKQFKDMLTPLFSVVLLLLCVLPFPSSEQEISFQEFKNKLLEPGMVDHIVVSNKSLAKVYVRSSPSIDRIQDSDVHVTTSHLPGRESPSSYKYYFNIGSVDSFEEKLQEAQEKLGIDPHYYVPVTYADEAHWFQVAVKFAPIALIIGLYLAGKRMKNGFTIEGGSGKGGRSIFSIGKAQVTKMDKNSTNKVFFKDVAGCDEAKQEIMEFVNFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLCMAGSDFMEMFVGVGPSRVRNLFQEARKCSPSIVFIDEIDAIGRARGSGGLSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMTKPYSNQTASIIDTEVREWVAKAYEKTVELIEKHKDQVAQIAELLLEKEVLHQDDLVQVLGERPFKSLEPTNYDRFKKGFQDDESNKNADLSNADGAS >LPERR01G31730.1 pep chromosome:Lperr_V1.4:1:26780635:26783226:-1 gene:LPERR01G31730 transcript:LPERR01G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARRPQANATTDEMGVVWPGGLDDQLISELLGDDGLVVPTAGPSAPAASPCNRGGSSTAATAWPSPAPAVIRALCSVYSGPTIRDIEKALSSTSSSLSSRPFPSSRRYSSLVVGVENKHTSNVRSCGGKMPADGYKWRKYGQKSIKNNPHPRSYYKCTSSRCSAKKHVEKSTDDPDMFIVTYEGSHHHGPQPLLIPRIAHPPPMNDLVGAGAEPSSSPAAARKRKNEDMALSFSPTSEYDEGGLRRDDDAEAQRRGAAENAAPRFVGATEHSCDDGSTSVSSVARADAATTVLSSDSPPTIWSCLDWPWSQEALFL >LPERR01G31740.1 pep chromosome:Lperr_V1.4:1:26788274:26797225:1 gene:LPERR01G31740 transcript:LPERR01G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANLAALGGKRSLRVVVIGDAGTGKSSLVVSAATDGFPEDVPRVMPPTRLPDDYFANRVPITIVDTSSSPEQNPNLITKCQSADAVVLTYACDRPETLNHLGSFWLPELRRLESKAPVIVVGCKLDLRDEEQDSLEAMMAPIMEKFQEIETCIECSALRQFQVREVLYFAQMAVIYPTAPLLDQNAQILTTRCRRALKRIFILCDHDRDEALSDVELNDFQVKCFNAPLQPAEIASVTMAIKEKLAEGVNDNGLTLSGFLFFHNLLIRNGQLETPWTVLRKFGYDNELKLRDDLLPAIKRAPDQTLELTSKAIDFLRGIFNMFDTDNVLARCHPATARCRCGCELSWWRRSYLVAVWSRAVVVWSSVGFEAEGGFVSFVVDRVFPIFVDLLPFLVGSSISLVKAAGKIHRRLRFLKQRRPIPCLLGRIRRGGRRDGALLPAEIEDLFSTAPENPWSSHLYMNCAETNVLGGLSFEGFISKWSLMTLLHPSNSFANLIYVGYSGNFGSAFTTMRKRRVDRKKQQTQRNVFHCYVIGSEGAGKTAFLQSFVKRQPYDALPVNGERFAANIVKLADGTRKTLVMQEITEGDIESLLSDRESLAPCDVALFVYDSCDEFSWQRTKDLLVKVVAHGKTTGFEVPCLIVAAKDDLDRSSLALQDSTRVSHDMGIETPIPISARVNDLNNIFCRIVHAAQQPHLSIPETEAGRTRRQYRQFLNRSLKVVSVGAAVTVVGIAAYRVYAARKNASS >LPERR01G31740.2 pep chromosome:Lperr_V1.4:1:26788274:26796784:1 gene:LPERR01G31740 transcript:LPERR01G31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASANLAALGGKRSLRVVVIGDAGTGKSSLVVSAATDGFPEDVPRVMPPTRLPDDYFANRVPITIVDTSSSPEQNPNLITKCQSADAVVLTYACDRPETLNHLGSFWLPELRRLESKAPVIVVGCKLDLRDEEQDSLEAMMAPIMEKFQEIETCIECSALRQFQVREVLYFAQMAVIYPTAPLLDQNAQILTTRCRRALKRIFILCDHDRDEALSDVELNDFQVKCFNAPLQPAEIASVTMAIKEKLAEGVNDNGLTLSGFLFFHNLLIRNGQLETPWTVLRKFGYDNELKLRDDLLPAIKRAPDQTLELTSKAIDFLRGIFNMFDTDNVLARCHPATARCRCGCELSWWRRSYLVAVWSRAVVVWSSVGFEAEGGFVSFVVDRVFPIFVDLLPFLVGSSISLVKAAGKIHRRLRFLKQRRPIPCLLGRIRRGGRRDGALLPAEIEDLFSTAPENPWSSHLYMNCAETNVLGGLSFEGFISKWSLMTLLHPSNSFANLIYVGYSGNFGSAFTTMRKRRVDRKKQQTQRNVFHCYVIGSEGAGKTAFLQSFVKRQPYDALPVNGERFAANIVKLADGTRKTLVMQEITEGDIESLLSDRESLAPCDVALFVYDSCDEFSWQRTKDLLVKVVAHGKTTGFEVPCLIVAAKDDLDRSSLALQDSTRVSHDMGIETPIPISARVNDLNNIFCRIVHAAQQPHLSIPETEAGRTRRQYRQFLNRSLKVVSVGAAVTVVGIAAYRVYAARKNASS >LPERR01G31740.3 pep chromosome:Lperr_V1.4:1:26788274:26797225:1 gene:LPERR01G31740 transcript:LPERR01G31740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASANLAALGGKRSLRVVVIGDAGTGKSSLVVSAATDGFPEDVPRVMPPTRLPDDYFANRVPITIVDTSSSPEQNPNLITKCQSADAVVLTYACDRPETLNHLGSFWLPELRRLESKAPVIVVGCKLDLRDEEQDSLEAMMAPIMEKFQEIETCIECSALRQFQVREVLYFAQMAVIYPTAPLLDQNAQILTTRCRRALKRIFILCDHDRDEALSDVELNDFQVKCFNAPLQPAEIASVTMAIKEKLAEGVNDNGLTLSGFLFFHNLLIRNGQLETPWTVLRKFGYDNELKLRDDLLPAIKRAPDQTLELTSKAIDFLRGIFNMFDTDNDGALLPAEIEDLFSTAPENPWSSHLYMNCAETNVLGGLSFEGFISKWSLMTLLHPSNSFANLIYVGYSGNFGSAFTTMRKRRVDRKKQQTQRNVFHCYVIGSEGAGKTAFLQSFVKRQPYDALPVNGERFAANIVKLADGTRKTLVMQEITEGDIESLLSDRESLAPCDVALFVYDSCDEFSWQRTKDLLVKVVAHGKTTGFEVPCLIVAAKDDLDRSSLALQDSTRVSHDMGIETPIPISARVNDLNNIFCRIVHAAQQPHLSIPETEAGRTRRQYRQFLNRSLKVVSVGAAVTVVGIAAYRVYAARKNASS >LPERR01G31740.4 pep chromosome:Lperr_V1.4:1:26788274:26796784:1 gene:LPERR01G31740 transcript:LPERR01G31740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASANLAALGGKRSLRVVVIGDAGTGKSSLVVSAATDGFPEDVPRVMPPTRLPDDYFANRVPITIVDTSSSPEQNPNLITKCQSADAVVLTYACDRPETLNHLGSFWLPELRRLESKAPVIVVGCKLDLRDEEQDSLEAMMAPIMEKFQEIETCIECSALRQFQVREVLYFAQMAVIYPTAPLLDQNAQILTTRCRRALKRIFILCDHDRDEALSDVELNDFQVKCFNAPLQPAEIASVTMAIKEKLAEGVNDNGLTLSGFLFFHNLLIRNGQLETPWTVLRKFGYDNELKLRDDLLPAIKRAPDQTLELTSKAIDFLRGIFNMFDTDNDGALLPAEIEDLFSTAPENPWSSHLYMNCAETNVLGGLSFEGFISKWSLMTLLHPSNSFANLIYVGYSGNFGSAFTTMRKRRVDRKKQQTQRNVFHCYVIGSEGAGKTAFLQSFVKRQPYDALPVNGERFAANIVKLADGTRKTLVMQEITEGDIESLLSDRESLAPCDVALFVYDSCDEFSWQRTKDLLVKVVAHGKTTGFEVPCLIVAAKDDLDRSSLALQDSTRVSHDMGIETPIPISARVNDLNNIFCRIVHAAQQPHLSIPETEAGRTRRQYRQFLNRSLKVVSVGAAVTVVGIAAYRVYAARKNASS >LPERR01G31750.1 pep chromosome:Lperr_V1.4:1:26798464:26798739:1 gene:LPERR01G31750 transcript:LPERR01G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVEALALAAAAATAAAATGGGGYACGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >LPERR01G31760.1 pep chromosome:Lperr_V1.4:1:26802081:26804730:1 gene:LPERR01G31760 transcript:LPERR01G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLHRGLRNAGSPACRFLAAFAVLLALPRFTAGITRHYTFNVQMTNVTRLCVTRSIPTVNGQFPGPKLVAREGDRLVIKVHNHMNYNVSFHWHGIQQLRNGWADGPSYITQCPIQGGGSYTYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKELPPIMFGEWFNADTEAVINQALQTGGGPNVSDAYTFNGLPGPTYNCSSKEPMNELITDLIDALVADTYKLKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTINVLLTTPPNPASQAYAMAIAPYTNTIGTFDNTTAAAVLEYAPTRATSNNRLPLPALPRYNDTNAVANFTSKFRSLATARYPARVPLAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASMNNNSFVRPRVALLEAHYQRRYAGVLMANFPTTPPHPFNYTGTPPNNTFVTHGTRIVPLKFNTSVELVLQGTSIQGAESHPLHMHGYNFFVVGQGFGNYDPVNDPAKYNLVDPVERNTVSVPTAGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLINDGPLPNQKMLPPPSDLPKC >LPERR01G31770.1 pep chromosome:Lperr_V1.4:1:26813959:26814174:1 gene:LPERR01G31770 transcript:LPERR01G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAVVKPCRLYKKRSSKVAVCARGGGDGNAGKSRPSFSCRCARLVKEQRARFYIMRRCVTMLVCWREYQ >LPERR01G31780.1 pep chromosome:Lperr_V1.4:1:26816367:26819648:1 gene:LPERR01G31780 transcript:LPERR01G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDGGVLKTVIRRAKDDATAPSDSLPVVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELVTCRPRKGSSVVCASEEKTRLEEVKKQREIAAAGKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >LPERR01G31790.1 pep chromosome:Lperr_V1.4:1:26818252:26822247:-1 gene:LPERR01G31790 transcript:LPERR01G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYVTVPILAVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFVPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVIASLYSLVLIIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNVFCWLCPISRNTGNGYG >LPERR01G31800.1 pep chromosome:Lperr_V1.4:1:26827044:26828363:-1 gene:LPERR01G31800 transcript:LPERR01G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALLVCFLLLLLAVPWPADAAEATAATRPLLFALRARQVPAGALPRPASKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCPPGGGGGGKGAPSFRPRASRTFASVPCSSAQCRSRDLPSPPACDGASHQCRVSLSYADGSSSDGALATEVFTVGQGAPPLRAAFGCMSTAFDTSPDAVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPPFLPLNYTPLYQPSLPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYNALKAEYLRQTKPFLPVLNDPNFAFQEAFDTCFRVPQGHAPPARLPAVTLLFNGAEMTVAGDRLLYKVPGEHRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >LPERR01G31810.1 pep chromosome:Lperr_V1.4:1:26831897:26832448:-1 gene:LPERR01G31810 transcript:LPERR01G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATFLRCCHPLAAPRLRTPDAAAADSTRLMLPAITVTDAGSTCPVCLDDLEPGASAVVTPCEHVFHAGCITPWLEANDTCPICRAKSGLLPVLVQGGGDAAGAAAPDGLVLSELLHDGRYRLGRRAAGRVFHVMIVDRDGKLVRGGVRGRLGASCRRFGAAAGNLLRSRDRVIDFDLLVIS >LPERR01G31820.1 pep chromosome:Lperr_V1.4:1:26834720:26841795:1 gene:LPERR01G31820 transcript:LPERR01G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGAVDEEELEDELDALLGAGAGGGGARRRGEDASERERELNMFRSGSAPPTIEGSLNAISGLLRGGGGEAAVAVAAIPDADALNGHGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKAPQETGHEPTVGRPVFSQNPGFEEEDTRKNVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPTSEHPSRAASRNSFLDNQELLNSAESQYSMHNDILEAQRPVGNAQNVSGLTSINSSASQTFASVLGSSISRNATPDSHYAPRVPSPGLPPVGVRINSNEKKLNCSSSFNTVSSKAVEPDDILAALSNMNMSKDGTLSDSNSISQSKFQREISDRQNISLDPKAVQNKNQHSLMLEADADYLGVPPISQPSNPSFADINKNVTGLANTRNSTNTRIDGHTEMQRSSHSARSYQKSPSSSNVSPGGSPAQHQNIDSMNSALLNYGLSEYPLSPGLPSMMMNWGSGNMPPLFESAAAASALASLGADSRSLAQYTAQGTANYSDPSLERGFMGSQYGDLTTVQKAYIEALLQQQKQYGTPLGKSTTSNHGYYGNMAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLSGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSSTQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDVDQKTQMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDTKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLTALKKYTYGKHIVARVEKLVATGERRIGLQSQYPS >LPERR01G31820.2 pep chromosome:Lperr_V1.4:1:26834720:26841795:1 gene:LPERR01G31820 transcript:LPERR01G31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGAVDEEELEDELDALLGAGAGGGGARRRGEDASERERELNMFRSGSAPPTIEGSLNAISGLLRGGGGEAAVAVAAIPDADALNGHGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKAPQETGHEPTVGRPVFSQNPGFEEEDTRKNVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPTSEHPSRAASRNSFLDNQELLNSAESQYSMHNDILEAQRPVGNAQNVSGLTSINSSASQTFASVLGSSISRNATPDSHYAPRVPSPGLPPVGVRINSNEKKLNCSSSFNTVSSKAVEPDDILAALSNMNMSKDGTLSDSNSISQSKFQREISDRQNISLDPKAVQNKNQHSLMLEADADYLGVPPISQPSNPSFADINKNVTGLANTRNSTNTRIDGHTEMQRSSHSARSYQKSPSSSNVSPGGSPAQHQNIDSMNSALLNYGLSEYPLSPGLPSMMMNWGSGNMPPLFESAAAASALASLGADSRSLGNNILSSPTLSLSDVNNLGRTSNQTAAGLLSPLSDPFYVQYLKAAQYTAQGTANYSDPSLERGFMGSQYGDLTTVQKAYIEALLQQQKQYGTPLGKSTTSNHGYYGNMAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLSGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSSTQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDVDQKTQMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDTKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLTALKKYTYGKHIVARVEKLVATGERRIGLQSQYPS >LPERR01G31820.3 pep chromosome:Lperr_V1.4:1:26834715:26841795:1 gene:LPERR01G31820 transcript:LPERR01G31820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGAVDEEELEDELDALLGAGAGGGGARRRGEDASERERELNMFRSGSAPPTIEGSLNAISGLLRGGGGEAAVAVAAIPDADALNGHGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKAPQETGHEPTVGRPVFSQNPGFEEEDTRKNVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPTSEHPSRAASRNSFLDNQELLNSAESQYSMHNDILEAQRPVGNAQNVSGLTSINSSASQTFASVLGSSISRNATPDSHYAPRVPSPGLPPVGVRINSNEKKLNCSSSFNTVSSKAVEPDDILAALSNMNMSKDGTLSDSNSISQSKFQREISDRQNISLDPKAVQNKNQHSLMLEADADYLGVPPISQPSNPSFADINKNVTGLANTRNSTNTRIDGHTEMQRSSHSARSYQKSPSSSNVSPGGSPAQHQNIDSMNSALLNYGLSEYPLSPGLPSMMMNWGSGNMPPLFESAAAASALASLGADSRSLGNNILSSPTLSLSDVNNLGRTSNQTAAGLLSPLSDPFYVQYLKAAQYTAQGTANYSDPSLERGFMGSQYGDLTTVQKAYIEALLQQQKQYGTPLGKSTTSNHGYYGNMAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLSGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSSTQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDVDQKTQMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDTKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLTALKKYTYGKHIVARVEKLVATGERRIGLQSQYPS >LPERR01G31830.1 pep chromosome:Lperr_V1.4:1:26843604:26846323:1 gene:LPERR01G31830 transcript:LPERR01G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPPSSSSSSSSPRPRCMVDAAAAGDSSDRVMEWETGLPSPCEMTPVSHQLVPPALAAAFGIGALEAGVLLPSFARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRAATPPPPPAMYLPCFAAKPPPDGANCGESPPSRAPDAKTTTQIG >LPERR01G31840.1 pep chromosome:Lperr_V1.4:1:26852081:26853514:-1 gene:LPERR01G31840 transcript:LPERR01G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPVDLQLPSGPQASLGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAYLLKLACAELVVSLDAAAAAVARLGLRCGIDFGGVYASLKAGNPDARLDPLVAKGLRVKAKKMERLVASTARLCSEMEALDELEAAERKLAFRGWSRLSGPIPAQPMPAPPSTAGDSPGSAGDSMQQELKTQRLKVKRLKDESLWNQSYEKAVGLMARAACAVFSRICALFGAFVPGLPPPLPPSATDTVQTRISKLLNPRAAMAKAKASSGPITRRDGPSRVHPPVMSSSCPIIGLRPYCAQKTTVDWRKLLDAPANTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDARLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGTRVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRRGSVRL >LPERR01G31850.1 pep chromosome:Lperr_V1.4:1:26864705:26868525:1 gene:LPERR01G31850 transcript:LPERR01G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEICCEEAKSPPATATAAIAAAVSASAAAAVSSAIDRRRRRLEMRRFRIASDLELPAGAEDARAGKRQRLARTASGGVPFPDEDSVPEKPTCGLTEEFPRYGFTAVCGRRREMEDAVSIRPDLLPAPGKHNFYGVFDGHGCSHVATACQDRMHELVVEEHNNNSSSCEVIPWKDVMERSFARMDAEVANRASTRRDDEPACQCDQQMPSRRDHAGSTAVVAVVSPTEIVVGNAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPGAARRKGGVATSSAEAAAEDENGAAAVKGVSKAESDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGS >LPERR01G31860.1 pep chromosome:Lperr_V1.4:1:26870880:26876569:1 gene:LPERR01G31860 transcript:LPERR01G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSNYDVAEQCIKSILSLIKPVEDDKRKRLSAIQELSNSIPQVAALRGDLDISLQLPDPISTITKKKKQNVLRQLMRTLQNRGVAGYVQFIAHARVPVLQYVSNTFGIPCDISVNNYSGRIKSKIFYWISTLDARFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLYHFQTCEPAILPPLKEIYEGNIEDIAETTMYDEEHLDEVCSANLAKFKCKNNEQRNESSLCHLLATFFHKVEDPIERPDNAARAVGLKGLERIARAFSAANRKFASLQRANRNDLLEMLCTPAVGSKLGIRVSQEVMANSYTNTPPPRRNRQHARPMRHLSARPSDNQNHRGTRGYTVDRQVDNPPRVYDTVRQSTGVYHNHNHQHAITSVSQPAGIYQTVAPYQNNSHPPPYQSNGHPPPYQSNSHPQVYTRNLQTVGYQNYSHPTAHTTRLQTVEPYQSYSQPQVRATSIQAPRQYQNHSQQRPARNYNHQSLHATATETEGSYRNQQQQRQHTSGRQTNRNAVTARYVPVVARPQNGPADSRSRAQDSSGSAPWQQWEPPTGSAYQNR >LPERR01G31860.2 pep chromosome:Lperr_V1.4:1:26872058:26876569:1 gene:LPERR01G31860 transcript:LPERR01G31860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSNYDVAEQCIKSILSLIKPVEDDKRKRLSAIQELSNSIPQVAALRGDLDISLQLPDPISTITKKKKQNVLRQLMRTLQNRGVAGYVQFIAHARVPVLQYVSNTFGIPCDISVNNYSGRIKSKIFYWISTLDARFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLYHFQTCEPAILPPLKEIYEGNIEDIAETTMYDEEHLDEVCSANLAKFKCKNNEQRNESSLCHLLATFFHKVEDPIERPDNAARAVGLKGLERIARAFSAANRKFASLQRANRNDLLEMLCTPAVGSKLGIRVSQEVMANSYTNTPPPRRNRQHARPMRHLSARPSDNQNHRGTRGYTVDRQVDNPPRVYDTVRQSTGVYHNHNHQHAITSVSQPAGIYQTVAPYQNNSHPPPYQSNGHPPPYQSNSHPQVYTRNLQTVGYQNYSHPTAHTTRLQTVEPYQSYSQPQVRATSIQAPRQYQNHSQQRPARNYNHQSLHATATETEGSYRNQQQQRQHTSGRQTNRNAVTARYVPVVARPQNGPADSRSRAQDSSGSAPWQQWEPPTGSAYQNR >LPERR01G31870.1 pep chromosome:Lperr_V1.4:1:26880392:26880905:-1 gene:LPERR01G31870 transcript:LPERR01G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASRSQRWSLADKTAIVTGGTKGIGRAIVKELAGFGVRNAGAADGDVEITGPVCDVSARGDREVLVAAARKVIDGRLDILVNNVGQMLFAAAADTSPTDYTRIMATNLESSFHLSQLLAPK >LPERR01G31880.1 pep chromosome:Lperr_V1.4:1:26883023:26886693:1 gene:LPERR01G31880 transcript:LPERR01G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRHVSNYDVLEKCAEDILSVIKPVEGASVKPFGSFVSQLYAKSGDLDVSVELSNPLNLPTTKKKKQDTLREVRRALQRRGIARNMEFIPNARVPVLQYVSNQYGISFDISINNYLAQNLVSWQVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIVEDMAEMMRYNEKHLDEVCVANIARFRRKIMGQRNQSSLSHLLASFFHKFFRIDALTNKVISTYTGRLERIQDNPRWMAKSYSLFVEDPFERPDNAARAVGSFEFQYIVSAFSRASNKFASDGLNDRNELLSLLCTPDIGSKLGGRVRASRYTSTAVSPLDIFLGHAEVVSLNLYDDQHNHQPARGGSRSGQSPHGSTNQQTAVHYQNRNHPQAYNTQRQTTGHHQNQNLQHAYTTGLQTPGRQYQNLNHPQPYPAGQQTGSPYQQNTQRKKENTPYRQSNGRAVTTWQEPVRGRFQWRMELAP >LPERR01G31890.1 pep chromosome:Lperr_V1.4:1:26890135:26895618:-1 gene:LPERR01G31890 transcript:LPERR01G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGADLPCGPCVLRAAVLAFACAAAFLVGYNWSDSTPRLIFFSSSATSSPSPLLSAGSSPSVAVSLNADLSFDPSLIPTPAANASPPPSLPPPPPMPMSTPPPPPLLSPPPPARIGIVGEDGAMRDDFDIDIGGANDTDLATDERVEEPTEAPPAGGSRVPIGRFPVCPESMREYIPCLDNEEEIRRLPSTEHGERFERHCPAKDKALSCLVPAPMGYKARIPWPQSRDEVWFSNVPHTRLVDDKGGQNWITKVKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRVGGYFAWAAQPVYKHEEAQQEAWKEMEDLTTRLCWELVKKEGYIAIWRKPLNNSCYMDREPGVKPALCDTDDNPDDVWYVNLKACISRLPENGDGSTPFPWPARLMEPPRRLQGVEMDAHSSKKELFKAETKFWDDILEGYIRVFKWRKFKLRNVLDMRAGFGGFASALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLVHASGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKGVVQDVKEITTAMGWRSIIRDTTEGAYASRKVLMCDKPIVRKL >LPERR01G31900.1 pep chromosome:Lperr_V1.4:1:26900525:26908826:1 gene:LPERR01G31900 transcript:LPERR01G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGGGGGEGGGAEEQMEVEEDGGGGAGLAQAAAAKERVVFMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYMTAGKHEETPEAFPLPSDVAITPYFRTARADNICVNSNFINAMLDQMEGMFIHGVGKNVFQQGGYNKGNSITVSPRSQVSRTSSGAASGPSESRDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKTAEAQISKVMGNFVKSIACGGRHSAVITGKSFFQALSGNPLSCSFQVMFDIHNLKSACVNSSVGRHILFWMTVDALKLAVLVCLDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDASSLENKNARTVSCGARHSAIMTDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >LPERR01G31910.1 pep chromosome:Lperr_V1.4:1:26906365:26911814:-1 gene:LPERR01G31910 transcript:LPERR01G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSAAAAPSPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAMSSPQLDPAGGGGGPMDYPPRPPQLQAPSPGQAVAGAGGYGQIHRSGSGSRLAAAGQLPQYARMYGNQMSFSGSGAQVGQQQQQQQLAARAALLSQGQLGMLQGQGNVASAAHYGLQSQMMPQPRQKGIVQGAQFNTANAAQALQGMQSMGVMRPTGTIPYNTPQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNSQIPGSSVNGPMAQMSLPQKQQQAAWLKQMQSLGTPVSPQQLQQQRMLLLHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEGTNQLLGKRKIQDLVAQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVSLHLEKNWHLSVPGFLREDKNLQRHPVKASMDPQQPECDAAGIRSTGNKLIINNSVANNQTRPPVAEPSPMSTMGHLSKVLGKFKMRLGISI >LPERR01G31920.1 pep chromosome:Lperr_V1.4:1:26918595:26919333:1 gene:LPERR01G31920 transcript:LPERR01G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKAIAQYKEEGHVSLSDMISDEPSPASYSLLPGDADGRHAEEKTQPLCQASAGCEEA >LPERR01G31930.1 pep chromosome:Lperr_V1.4:1:26919364:26924164:1 gene:LPERR01G31930 transcript:LPERR01G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDGGDARPRRPRPGMAAAPAAARWRRGCGKRSSLCTLHFPPHPHHLRWPKKTARDEEENKSASTRFVIFYLRLSEMKKVDLDRALASPPPSTEAAPTSKAGLVVEEGREDLLLIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEIFPRIAADAKLFAMVLPGFWMDVGQPRDYITGLHLYLDSLRKRSADRLATGTHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENITILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPQIVM >LPERR01G31940.1 pep chromosome:Lperr_V1.4:1:26927282:26929672:-1 gene:LPERR01G31940 transcript:LPERR01G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMAGSPGTWSGLSLRVGQLLFAAASVCATASAIGFAAYTAFCYLIASMGLQALWSLGLACLDCYALRFKKDLHSAVLLSLFVVGDWVIAILSFAASCSAAGVVVLFDRDIRDPLLPCGRFELATACAFLSWAFSATSALVMFWLLASL >LPERR01G31950.1 pep chromosome:Lperr_V1.4:1:26931220:26944157:1 gene:LPERR01G31950 transcript:LPERR01G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWPAWRWRRQRQLRLLPPAREKMTSVWHLGSWEGEEMAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAIYAAVKAGYRHIDCAQAYNNEKEVGLALKKLFDEGVVKREDLFITSKLWCDNHAPEDVPEALNGTLNDLQLEYLDLYLIHWPFRIKKGSSIGNPESFLPPDIPSTWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGNFIVNPQSIYAYVIREEKSIRRTTPEDMATQFTLNTGARIPSVGLGTYKAGPGVVADAISTAVKAGYRHIDCAPLYKNEQEIGGALKSLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCIARVPPAVDQVECHPGWQQAKLRSFCQSNGVHFSAYAPLGRMKGIAFGSVVPLVAEMLGRTPAQVALRWGLQQGQSVLPKSVSEARLKENIDLFGWSIPEELCAKFSEIEQASAYHTTFELSEVKQIKGDGFVHPESVYKTYEELFDGEI >LPERR01G31950.2 pep chromosome:Lperr_V1.4:1:26931185:26940461:1 gene:LPERR01G31950 transcript:LPERR01G31950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPIASPPPLSAVSLVPSRDALCLTACESVWHLGSWEGEEMAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAIYAAVKAGYRHIDCAQAYNNEKEVGLALKKLFDEGVVKREDLFITSKLWCDNHAPEDVPEALNGTLNDLQLEYLDLYLIHWPFRIKKGSSIGNPESFLPPDIPSTWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >LPERR01G31950.3 pep chromosome:Lperr_V1.4:1:26931185:26940649:1 gene:LPERR01G31950 transcript:LPERR01G31950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPIASPPPLSAVSLVPSRDALCLTACESVWHLGSWEGEEMAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAIYAAVKAGYRHIDCAQAYNNEKEVGLALKKLFDEGVVKREDLFITSKLWCDNHAPEDVPEALNGTLNDLQLEYLDLYLIHWPFRIKKGSSIGNPESFLPPDIPSTWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >LPERR01G31950.4 pep chromosome:Lperr_V1.4:1:26931220:26934655:1 gene:LPERR01G31950 transcript:LPERR01G31950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWPAWRWRRQRQLRLLPPAREKMTVVGGAEMAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKVGMALKKLFEEGVVKREDLFITSKLWCDYHAPEDVPESLEETLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSAWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHFSAYSPLGSPGSTWMNSNVLKEPIIISIAEKLSKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLAKLSEIKQVRLLRGDVIVNPQSVYKTHEELWDGEI >LPERR01G31950.5 pep chromosome:Lperr_V1.4:1:26931220:26934764:1 gene:LPERR01G31950 transcript:LPERR01G31950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWPAWRWRRQRQLRLLPPAREKMTVVGGAEMAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKVGMALKKLFEEGVVKREDLFITSKLWCDYHAPEDVPESLEETLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSAWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHFSAYSPLGSPGSTWMNSNVLKEPIIISIAEKLSKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLAKLSEIKQVRLLRGDVIVNPQSVYKTHEELWDGEI >LPERR01G31960.1 pep chromosome:Lperr_V1.4:1:26943199:26947527:-1 gene:LPERR01G31960 transcript:LPERR01G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWNSRLKCNLYYYRTNYFILIMFILGMGFLRRPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVLLFSVVSCILWMTSCSLLTVLWALLIGLLATVLHASFRTPNLKARLNTFREEFRARTWRTALQE >LPERR01G31970.1 pep chromosome:Lperr_V1.4:1:26954808:26963781:1 gene:LPERR01G31970 transcript:LPERR01G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGGGAVAAAMAAADVENTDSTRSFVNDVKRIIIKVGTAVVTGQNGRLAMGRLGALCEQVKQLNSEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDLDGKACAAVGQSGLMAIYDTIFGQLDVTPSQLLVTDRDFLDPSFGNQLRETVVSLLDLKVIPVFNENDAISSRRQPYEDSSGIFWDNDSLAGLLAKELDAHLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGRLISFGEKSRVGRGGMQAKVAAAFTAASKGIPVVIASGFAIDSIIKVMRGEKIGTLFHKEANQWGCSKEVTAREMAVAARDCSRRLQKLPSEERRKILLDIADALEANENLIRSENEADLAVAQDAGYEKSLVARMTIKPGKITSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKIISGAIPDAVGKKLIGLVKNKDEIADLLKLDDVIDLVIPRGSNRLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKVPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGKAAEAFLQQVDSAAVFHNASTRFCDGARFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVPKELVKELLQSKFLQTLARLLLESSLLFMTCNGAI >LPERR01G31980.1 pep chromosome:Lperr_V1.4:1:26962280:26964262:-1 gene:LPERR01G31980 transcript:LPERR01G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPATASPHASLLHPEVGSRAAARPRLTRSSRCLASLAPVPPPPPHENANHLVQTLCANGRLARAAALLQGIPAPTQRTYESVLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYAALGELPAARQVFDEAPVRSIFVWNALLKALALADHGEEALARLADMGRLRVPVDSYSYAHGLKACIAASASHAPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGIVSYAERVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMMASDEDLVPNSITIVSILHACAGVNALGQGKLLHAYILRRGFDSLVSVMNALMAMYMKCGCLESGKHIFNSIGHRKDVVSWNTLISGYGMHGLGHEAVQVFEDMTHMGVSPSIITFISVLGACSHAGLVDEGKRLFESMVDYNVRPRTEHYACMVDLLGRAGHLDEAVELIQGMHITPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSQVDVLRDLLEVHELEKVPGRSWIEVKKKLHMFVSVDNKNPQVEELQALIGEFVTQMKNDGYVPDTGVVMYDIEEEEKEKILLGHSEKLAVAFGLINTGRGEVLRISKNLRLCEDCHSVTKFISKFTEREIIVRDVNRFHHFRDGVCSCGDYW >LPERR01G31990.1 pep chromosome:Lperr_V1.4:1:26967963:26972239:-1 gene:LPERR01G31990 transcript:LPERR01G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGGGYGGGGQGGGAEHHHHHQHLLLHHPQHVAGAAVAAAAAAGAGQMYHVPQHSRREKLRFPPEDLSPPPQQQQHGSSWPPPAAFYSYASSSSSYSPHSPTLAQAHQLVAHGLAPPPPPTLPQIPTQNFSLSLSSASSNPPPPQQQQQPRRQHQLSGGLAQAAITGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGASAHVDRTISDEGLLDADPMEGIDHDHDRAGEDSGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKGMILNQLRNTSSKVAVKDGLSKDIAVFGLGGGRGAVAGLQPGNSGSAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHSAVDKGQHSLHHQAQHSSQCSGKPSDPSDSHPGQSSSITRNHNTTASQGFPDELSQMSQSIQGQMNFAYNGLSSQHNIASPHQHQQVGVGGGNSGVSLTLGLHQNNRVCIADPLPASLPSNLAHRFGLEEVSEAYVMSSFGGQDRHFGKEIGGHLLHDFVG >LPERR01G32000.1 pep chromosome:Lperr_V1.4:1:26997409:26999424:-1 gene:LPERR01G32000 transcript:LPERR01G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQKPTFDNVQRWLRELRDHADANIVVMMIGNKSDLNHLRSVAEEDGQAFSEKEGLSFLETSALEAVNVEKAFHTVLSEIHQIVSKKALAAQESASTTGRSMQGTTINVAEAPTNTKGSCCSS >LPERR01G32010.1 pep chromosome:Lperr_V1.4:1:27008230:27013351:1 gene:LPERR01G32010 transcript:LPERR01G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNLFPQPEEPHVEGKKSSAPTSMDDVTNTKDYDSPLRDLLYPPYLEEAKSKDDIYSIFYKGQNGPAQAGTADGKPQWTPPKSRIVYTKDGKQSIQYDSVDTSCFGSSVNYGGRDYYGTPGPKQSTESNDYKVDKKDPSTDSHGDWFILLLSKLGGLSLVDKELEGEELELELELVCFAVAMLARLGMVMATAGLTPALRAVLDSMTAHRHLGLRPMLRTCAQQVAGTAAFGFCVAAAHGPSLSATWSTGTRPHSPAPRAVSTPAASTTTITRRNQIALLSISRSSFSASYRTSIVCDSAPWRCDDTSRSLNGGEYLCSAGARSVARSHHWLLENSSGVKASKWRTH >LPERR01G32010.2 pep chromosome:Lperr_V1.4:1:27008230:27013409:1 gene:LPERR01G32010 transcript:LPERR01G32010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNLFPQPEEPHVEGKKSSAPTSMDDVTNTKDYDSPLRDLLYPPYLEEAKSKDDIYSIFYKGQNGPAQAGTADGKPQWTPPKSRIVYTKDGKQSIQYDSVDTSCFGSSVNYGGRDYYGTPGPKQSTESNDYKVDKKDPSTDSHGDWFILLLSKLGGLSLVDKELEGEELELELELVCFAVAMLAVSVT >LPERR01G32020.1 pep chromosome:Lperr_V1.4:1:27012686:27013152:-1 gene:LPERR01G32020 transcript:LPERR01G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAIWFLLVIVVVLAAGVETARGAGECGRVPVDQVALKLGPCAAATQNPKAAVPATCCAQVRSIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIASRPIGYKCGPYTLP >LPERR01G32030.1 pep chromosome:Lperr_V1.4:1:27013948:27017295:-1 gene:LPERR01G32030 transcript:LPERR01G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEGREARSEGALFTDSADGSSSSSDAASADEWPVTTTLAPPPRKSAPCCRGPGAEVADSNKQHAQKRRASSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHVVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFQRRDEKWWLPVPRVPPGGLRDKARKQLQHKRDCANQILKAALAINSNALAEMEVPESYLDSLPKNGRATLGDMIYRYIASDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVAAKPANVLSRATSGRSSWGMVKDMMMDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNIDELNRHAEQFPQMDADCRIACNKAVVPPYQVPVSGTPFVTAYATPSFSPAQLASPSKKDRSPLGAGRRSHSNKGFGAKKALPIDLVNPEMKGVIISGGTMIDVSTTTEF >LPERR01G32040.1 pep chromosome:Lperr_V1.4:1:27021406:27021870:-1 gene:LPERR01G32040 transcript:LPERR01G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDDDEQHEQQAVDDSMDNDGRTARLHVHEVHRAVPAGHLQDASRAQQREQRRRDDRRRPILHLLPSNCSFSYKSLLDKCERRTKQEAQPWMLLLRLWRDRRITRKICSLDKKMAEKKGIGHRVRFHVSTGVEGITESVDWLIGGGRRSTPS >LPERR01G32050.1 pep chromosome:Lperr_V1.4:1:27021676:27021882:1 gene:LPERR01G32050 transcript:LPERR01G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWAPAIIASALFALLCPGGILQMPGRHRPVDLMNMKTSCASIVVHAVIYGLLLMLFVVILETHLYI >LPERR01G32060.1 pep chromosome:Lperr_V1.4:1:27022546:27023506:-1 gene:LPERR01G32060 transcript:LPERR01G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGERWIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIQHHGREEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDGEVFDMLNAASREKELSVVLKMYWGDPREKVCEAVGELKLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAK >LPERR01G32070.1 pep chromosome:Lperr_V1.4:1:27024713:27025243:1 gene:LPERR01G32070 transcript:LPERR01G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRCRMSQGGRVFGCPLPVVIGLPVDEARRRIRQCRPDVYIEVLSENQMRTMAYCSNRVRLIVNRFNKVVKNAHIG >LPERR01G32080.1 pep chromosome:Lperr_V1.4:1:27041670:27043950:1 gene:LPERR01G32080 transcript:LPERR01G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELCTPGGGAEFWLPDEFLDDDFFTVEEKAAVAARSESDEEDGLDGLARRMAGLLAGDGGKGTAAKVEVMAGSPQSTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWEVLSEVAGQVARLRMNTIPVPQNPHAHTGQGRFVPPPRKPSLPLQNQKAAGNGAFQFAANNMLTQRQVQVAHFHLLKQRQLLKQQQEQQIAAAAAAAWGTHRASVGATHGLNSSFWSPLQKPHQHAPSAAGMRAVFLTPPGGKPERTGTGVFIPRQAGAPAEPKKKPGCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRSNAMLGSQKRVQHHLQPTLAAAREVNLPQEWTY >LPERR01G32090.1 pep chromosome:Lperr_V1.4:1:27053266:27058999:1 gene:LPERR01G32090 transcript:LPERR01G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIAREASKLWRKVCAEISVELQLLFEKWRLLLAGLVFQYIHGLAARGIHYLHRPGPLLQDLGFMALPELGQDKGYLSESVFTFIFVSFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPHNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKLLAWMMAIIQSLLIIASRKHYKMPDRTNGLSLPVTVKDKDGRAKEELHKLEKDSKMKEEFPKLLNGNTVDSTDRRQRVQMNGKHGEDVNHTLSDATPNGT >LPERR01G32090.2 pep chromosome:Lperr_V1.4:1:27053266:27058999:1 gene:LPERR01G32090 transcript:LPERR01G32090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIAREASKLWRKVCAEISVELQLLFEKWRLLLAGLVFQWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPHNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKLLAWMMAIIQSLLIIASRKHYKMPDRTNGLSLPVTVKDKDGRAKEELHKLEKDSKMKEEFPKLLNGNTVDSTDRRQRVQMNGKHGEDVNHTLSDATPNGT >LPERR01G32100.1 pep chromosome:Lperr_V1.4:1:27060403:27062659:-1 gene:LPERR01G32100 transcript:LPERR01G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGEQTVMIATAAADSTAEPSAGGGGERGIAEESSDEDKGSQRFSDAEDQSWRSHSRQGSAALEDFISTCASVRSGAGAGASAAGDADGGGGGRKSCVSECSLDDVDLEAGMAEITKASPDTAERNCRICHLGLESTAAESGSGMLLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGIGDAEFIEQWNESSNTAPLQAPASEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >LPERR01G32110.1 pep chromosome:Lperr_V1.4:1:27066784:27068524:-1 gene:LPERR01G32110 transcript:LPERR01G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPPNPPAAGSSHSSPASMTDDTHGGGAHPEPQSHRSSSSSSSPPPAAAGGETQVQTQPHHPSPPPSGEEDDEVFITGQIAVGEAAGAAAAATEERVKGPWSFDEDALLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHEVHGNKWAVIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCAQGGVVARAIPEKPRSVSEEPWPLGSHSSLYVREAMEAPAQAVSESYVGAWQVRDQNCKTEVAYPPYLARPVAKVGAFKPYNIGHAQSSQKEMLSFAAKFGSNLQPFKPENEVCKFVDPTSFAAEVPNKCGHGCCSSHGQLHKNSLLGPEFNDFEDHPPISNSSFASLVSEISSIAWMKSGLLSSDTSAQYSVLTEVKHGEFYLAHRTSLPLNRIAENLQGNLIIELHF >LPERR01G32120.1 pep chromosome:Lperr_V1.4:1:27077372:27088599:1 gene:LPERR01G32120 transcript:LPERR01G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEDDNRAMAQAAMLVLLMLALCTAAASAAIVEHTFKVGGTNINQLCMNSVIYTANQELPGPTIEISEGDTLVIHAVNESPYPLSLHWHGVYQLRSGWNDGANKITQCPIQPYGGKFTYQFNVTGQEGTLWWHAHSSLLRATIYGALIIKPRKGPSGYPFPAPYEEIPILLGEWWNRNVDDVEHDGYLTGLGPQVSDAITINGMTGDQNSCKGSSMYEVEVEYGKTYLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYDTDVIVIAPGQTVDALMNTTAPAGRYYMAAHIFDSKTVSIPFDRSTATGVVKYKGVPDYAPAAMPALPRHDDVVTAGKFYWSLTGLARPTDPVVPTTVDHNMVVSFGLDQAPCAPDQTKCRGFALVAAMNRNTFQFPDKVSLLEALYKGVPGVYSEDFPDFPPPVPGFRKATAVKKVNYNDVVEVVLQSEEYSRALGTENHPIHLHGFDFFLLAQGLGRFNPAMKSRYNLVDPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPRVDYPKCRR >LPERR01G32120.2 pep chromosome:Lperr_V1.4:1:27073057:27082380:1 gene:LPERR01G32120 transcript:LPERR01G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSWMILVSAVLLSVASVAQTAIVEHTFNVATLSLPSICQPGNTSVTTVNGRVPGPEIEVHEGDTVVIHVINDSPYNVTVHWHGVLQRGTPWADGPAMVTQCPIRPGHRYTYRFSVAGQDGTLWWHAHSSYMRATVYGALVIRPRRDYPFPTPDEEKTVLLGEWWNGDAVALEKRSFSTGKPMPNADVYTINGMPGDSYNCSDTAINQIARFEVRRGKTYLFRIINAALNTAFFFKVAGHTFTVVAADASYTEQYETDVIVIAPGQTVDALMASDASPGCYHMAISSYQSAIPFPPPTGFNANTTTAIVEYVDAAPTTDARSPVLPIMPIPNDTDTAHTFYSSLTALIRPGRRTVPLAVDTHMFVTVGLGLSFCQPTQTQCNRTAPVVSANMNNVSFALPSTMSMLEARYRNAPSGVYTRDFPDRPPLAFDYTNGLLGSAPQLVFTGSPSTKVKTLRYNATVEMVLQNTALVGRESHPMHLHGYNFFVVAQGFGNYGGDAAAAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGARLHSWHFIASSNSPRGMWAMHCHIDSHFGIGLAMVFEVEMTPETTLAPPPPDLPQSVADAAIANYTFTVESMRVSQLCNSTDIIAVNGQLPGPTIEVNEGDAVAVKVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPKNGTAYPFPAPDQEVPIALVDTGEWWSRNVVDVESDAVSSGQLPRVSDAFTINGLTGQLYQCANETYTVDVKPNTRVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMFTNASAGSYYMAVQAYDSLSPTTMSVSDETTATAIVRYNTTKSTKTTVTPVMPSMPTSSDSATANAFYFGLRGPAPSPGAPPAVPSKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQYNQTPGVYTADFPDAAPPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFIANNPGMWFFHCHLDAHVPIGLGMVFAVDNGTTPGSFLPPPPADLPQC >LPERR01G32130.1 pep chromosome:Lperr_V1.4:1:27089096:27089764:1 gene:LPERR01G32130 transcript:LPERR01G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLGKITVETPKHEVVHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTTLANYIGALGRPQNTKPEKIDMTAPVITTSGAEPESIAMTAPVITSSGEPEPVAMTAPVITSDGGGEAAAGAGKMTMQFLLPSKYSKAEEAPRPTDERVVVREIGERKYGVVRFSGLTGDKVVKEKGEGLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEVMIPVE >LPERR01G32140.1 pep chromosome:Lperr_V1.4:1:27090225:27097989:1 gene:LPERR01G32140 transcript:LPERR01G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPFLLLPSLSPKAAILAARIDPTIFRGRHIRCSSNGAAVPESPEPAPRRGRRKSPSPSPPKAKATRRRTTKKDTQESDSEGEEEEAPKRRGRRTRKSKQEEADKEDDEVRAAILGTEDSKRAVEEEDVDAEATGSDSEDGEDLPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDEFGRELVYRMNCERVQTRAIKFDESAATATARMKVRFKDREDGSGGTRLVAETVKSAAEDSLSKADINVDVLKEARVFHFNSEVLLTPSMQSTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKEFIKKAWNEADIIEVSRDELEFLLDHEYYQYKRDNPPQYYLDGFHLTRNWPEYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDKLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >LPERR01G32140.2 pep chromosome:Lperr_V1.4:1:27090225:27097989:1 gene:LPERR01G32140 transcript:LPERR01G32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPFLLLPSLSPKAAILAARIDPTIFRGRHIRCSSNGAAVPESPEPAPRRGRRKSPSPSPPKAKATRRRTTKKDTQESDSEGEEEEAPKRRGRRTRKSKQEEADKEDDEVRAAILGTEDSKRAVEEEDVDAEATGSDSEDGEDLPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDEFGRELVYRMNCERVQTRAIKFDESAATATARMKVRFKDREDGSGGTRLVAETVKSAAEDSLSKADINVDVLKEARVFHFNSEVLLTPSMQSTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKEFIKKAWNEADIIEVSRDELEFLLDHEYYQYKRDNPPQYYLDGFHLTRNWPEYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDKLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >LPERR01G32140.3 pep chromosome:Lperr_V1.4:1:27090225:27093437:1 gene:LPERR01G32140 transcript:LPERR01G32140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPFLLLPSLSPKAAILAARIDPTIFRGRHIRCSSNGAAVPESPEPAPRRGRRKSPSPSPPKAKATRRRTTKKDTQESDSEGEEEEAPKRRGRRTRKSKQEEADKEDDEVRAAILGTEDSKRAVEEEDVDAEATGSDSEDGEDLPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDEFGRELVYRMNCERVQTRAIKFDESAATATARMKVRFKDREDGSGGTRLVAETVKSAAEDSLSKADINVDVLKEARVFHFNSEVLLTPSMQSTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKEFIKKAWNEADIIEVSRDELEFLLDHEYYQYKRDNPPQYYLDGFHLTRNWPEYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDKLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >LPERR01G32150.1 pep chromosome:Lperr_V1.4:1:27094126:27097678:-1 gene:LPERR01G32150 transcript:LPERR01G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSVASTSSSSPVLSAAHRRRLNDVERDTFDVDDDDDNCRGRRGVSGVRALFSTRRRQRASVVDQAWLRNVVAFLLGLTVVAGLVLSSHRVSGDGGGRFVRRVDFGDGEVMGWTAENLTSVARLSPDIPTPQIWMTPDSEGYSKCIERPKKHARTNSATAGYIIVDANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLDGDISIVDSMPPAYKGLKPYMRAPTSWAKASYYRAFTRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALKFNKEIEELSTTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHNEADKLREMRLKVRHWKVKEINSRERRLKGGCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELESLMLYQNRLAAVDYNVALQGDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRQKLVGLIDNLDEGTLTWNEFQSEVKKHHENRLGGPYQRLSGRSPRQEEYFYANPLPECLCKRMPRIK >LPERR01G32160.1 pep chromosome:Lperr_V1.4:1:27100595:27102621:-1 gene:LPERR01G32160 transcript:LPERR01G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSFNISASASAIPASRLCSCLALFAPFRQLNSYRRKVIHQSARAMGASDQGCRLLGAQRSLHGLLGGGAVADVLLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILNKALQGFENIAQGKDSSLFYKMASVLLVISIVGRVTDLITLVYACLVLALTIPALVDKYEESIAEFLKQVSANVRACTRAWKS >LPERR01G32170.1 pep chromosome:Lperr_V1.4:1:27104655:27108725:-1 gene:LPERR01G32170 transcript:LPERR01G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLAALAADLDQRERQVMSEGAPGAATIAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITASCNQVQTPQQADFSLAADQPDEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFASYSAAHEESRPQDAFASESASDKMSKDSNLEQQGANKSEPSEPHSDNVQDASGNYQEQNTPSLEGKESIKED >LPERR01G32180.1 pep chromosome:Lperr_V1.4:1:27110408:27110995:1 gene:LPERR01G32180 transcript:LPERR01G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPFALRKQLEAAEKCFADRNIKVGKMHADMAAALFSSAPEAQCAQAAFKVHSAASNKDKLGKSDPYAVLGIKLNATGKPDATTPDAVRKQHKALCAQLATAKDPSAAVAAACKLVDEAFSALTDIKKIEMGAPQSSSQQQQQQQVARRKAKQRQEEEECQARAAAYQEEEEDAYYGSGRGKVGRSGMHSGF >LPERR01G32190.1 pep chromosome:Lperr_V1.4:1:27113127:27113339:-1 gene:LPERR01G32190 transcript:LPERR01G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGQGRLRRGLAGGGTVDRVVDTGYSRGRGKRRRCSGKGGQIRRWVHQWPGMGVSTDYMGSSKSQKKK >LPERR01G32200.1 pep chromosome:Lperr_V1.4:1:27113382:27114143:1 gene:LPERR01G32200 transcript:LPERR01G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDDALYARCLVTLYLLSPVTVLTLRFVSAPYGKLSRPGWGPSLPAPLAWFLMESPTLWLTPLILLSSPPRAAALLPAAMYLIHYVHRTLVHPLRLLRLRNSSPAPVPILVASCGFGFSLLNAYLQARSWTAAPGAGIACCVVGLALYAWGMRTNIAADRELMRLKAAGKGYQIPRGGLFDVVTCPNYFGEAVEWLGYAIVAWTPAAWGFFLYTCANLGPRARDHRRWYIGKFGDKYPASRKAFVPFIY >LPERR01G32210.1 pep chromosome:Lperr_V1.4:1:27115699:27121868:-1 gene:LPERR01G32210 transcript:LPERR01G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGNGAACTAAEKVKPAASPAAEEPAAIAGNISYHAQYSPHFSPLAFGPEQAFYATAESVRDHLVQRWNETYMHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRIAKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEISPNGSRKWVGGEVLSALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSSSLQDIIFRFKERKSDRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQAVMRKLLPRHMEIIEEIDKRFKEMVISTRKEMEGKLDSLRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKQELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKIKEMSAEEKQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEENFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGSYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKRWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVP >LPERR01G32220.1 pep chromosome:Lperr_V1.4:1:27125217:27132109:-1 gene:LPERR01G32220 transcript:LPERR01G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQLLPLTRSLLPPFVPPFFSGRRRRRPTPAALGRALPPPRWQTHSRLPSAAPVSLAPPRRLSRPPSVLPAASSGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPAFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGKKLVKTQTGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDMLIRNGTSITLTRKIMQTIGFVGPGLALLGLNAAKNPIIASAWLTVAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGEQATMIARTGGGSAFSVAERHRAFSTSQGRESTSFNHEFQSDTGFSWTSTYAGRSPKVSKKGKSTKSFWEVAGTITGACTNCFAPRQSKIKEGHAKPSNDGHDISISSIVSRISSASSTSTNTSKQRGDDSQKKSWQEQFSFQEICMATSNFSEQNKIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSAEFRSEIQTMSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDYNEATHVSTMVKGTAGYVDPEYMRTNHLTDRSDVYSFGVLLVELLTGRRPIERDRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAAVAAMEKVMALAAECTTQDRAARPAMRRCAEVLWSIRRDFQHEQQCAAAAAAAGARAKRHGGSTYGPSITSLKE >LPERR01G32220.2 pep chromosome:Lperr_V1.4:1:27125217:27140016:-1 gene:LPERR01G32220 transcript:LPERR01G32220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSMRPRVNRAPCASRKPKHDEPAGVTSPVSRQAPRIIPSAELYVVRAACNGTLTPGVRCPPNTRGFTGRATGRRYDRHRRRQAAAAGASPFSVSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGKKLVKTQTGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDMLIRNGTSITLTRKIMQTIGFVGPGLALLGLNAAKNPIIASAWLTVAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAEATMIARTGGGSAFSVAERHRAFSTSQGRESTSFNHEFQSDTGFSWTSTYAGRSPKVSKKGKSTKSFWEVAGTITGACTNCFAPRQSKIKEGHAKPSNDGHDISISSIVSRISSASSTSTNTSKQRGDDSQKKSWQEQFSFQEICMATSNFSEQNKIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSAEFRSEIQTMSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDYNEATHVSTMVKGTAGYVDPEYMRTNHLTDRSDVYSFGVLLVELLTGRRPIERDRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAAVAAMEKVMALAAECTTQDRAARPAMRRCAEVLWSIRRDFQHEQQCAAAAAAAGARAKRHGGSTYGPSITSLKE >LPERR01G32220.3 pep chromosome:Lperr_V1.4:1:27133088:27140016:-1 gene:LPERR01G32220 transcript:LPERR01G32220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSMRPRVNRAPCASRKPKHDEPAGVTSPVSRQAPRIIPSAELYVVRAACNGTLTPGVRCPPNTRGFTGRATGRRYDRHRRRQAAAAGASPFSVVIKPLVQQSAF >LPERR01G32230.1 pep chromosome:Lperr_V1.4:1:27132519:27132869:1 gene:LPERR01G32230 transcript:LPERR01G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTHGAGTGEVIQADLKPVKAEARAASAAVITVTVTSQTFADAYFNIKPSVKLRRLMDMYCGKHSIDPRTVKFVNDEGRFVRADQTAEEVGLKDGGSISLEIDQQGSACVCVKN >LPERR01G32240.1 pep chromosome:Lperr_V1.4:1:27141613:27143646:-1 gene:LPERR01G32240 transcript:LPERR01G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQLDPPVVPARRSAATSCDLHPDETFTGFCTACLRERLSGLEASAAAASAPGRKSTSAIRSLFARPFGGGAGAGGAGSSVTGASGLPDLRRCKSFSCGRGGDALAVAASAAAAAARGDEPQRRSCDVRGHSTLWSLFHQDDRNRGGNGNVSGVAAAGDVAPPHQPPPRPVLPRQFIPDDFLDEDIPVVMESDEIIPVVEPVIVVDNTSGEIEAEPNVVQEGKAMKDHIDFESSSQPKKPAPKDLKEIAGSFWEAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGPELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPIMSVLEDTPAPNIVERSDGQIPVEDDAYLDPPGNSLQTRDYYLDSSTRRRRSLERTSSVRRPSFEVTEPMPPPPPPAAVANGKGSGSPFGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGGRNGGGPNDIADRSFSEAWPDLRVRGYNPKMMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSSSARRRGEEQQQHVLERNRSARYPSSPPGRVDNGMLRFYLTPMRSGGGGVGGARRGGGSSGGVLPGKAGRQLTSQSFARSLLRMY >LPERR01G32250.1 pep chromosome:Lperr_V1.4:1:27150980:27156163:-1 gene:LPERR01G32250 transcript:LPERR01G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYQQQLVDDPFPLSGGHCSDQPRHAPASASTSSSAAVAASPLAQAQGQGHGASEPRRLFEALVGEILLPLPLRGAGVGNGGCGGGGPSGVGDLGELLRWTREVAADPVAARVELKARKRQVLALRRTRYLRMEDVTDADELPSFFKKRKYRSHNNHTERQNMPRRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEIPDWTEPPSDELTRYKNDPNISKMLGTRIWPPEGKALQTDSTVACQGRIGQGRMESCKCPYPESFFCRQHHTDVARDLLRSELGQAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVLDHKTFWAVAAKHLASKTRIDLVRYYLNVFLMRRVLSQCRLNLLEIDSDEDEAEEEEDEYQPESTGPRMPRMRRVFHESADSYLHILFYMCTCIVCGDTHELNRFVIAPCGPVVINMIFADIWIKRAVRFA >LPERR01G32260.1 pep chromosome:Lperr_V1.4:1:27160666:27165115:1 gene:LPERR01G32260 transcript:LPERR01G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLNPDPPPIRRLLELIKSERDATAALAHLELLTYNIVLRSFCARCDLDRAVTLFDSLRRRRIAPDRITYSTLMCGLAKHHRLDHALDLLDEMPRYKVQPDVVCYNALIGGCFKASEFEKVNRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWARMVANNLQPDIITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDAATYNSLVKGFCQAGRVQEAWKFWDCAGFAGSRDLRTYNIMMKGLFDSGMVDEATKLWELLEKDVACIPDTVTFGTLIHGLCHNGFANKAFIIFEEARISGEQLDVFSYSSMINGLCKVGRLVDAIEVYEKMDKDGCKPNSHIYNALISGLCQAYRTSDAVRIYRKMAGNGCSPTIITYNTLINGLCKAEKYQEASSVAREMVDNGFTPDPTTYGSLIRGLFSDKKIEAALSIWNHILNKGFQADVMMHNILIHGLCSVGKVDEALHIFSDMKGKKNSSPNLVTYNTLMDGLYETGYIDKAATLWTAIFEDGLEPDIISYNTRIKGLCSCDKIHEGTQLMEEVLSRDIIPTVITWNILVRAVINENVD >LPERR01G32260.2 pep chromosome:Lperr_V1.4:1:27160666:27164689:1 gene:LPERR01G32260 transcript:LPERR01G32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLNPDPPPIRRLLELIKSERDATAALAHLELLTYNIVLRSFCARCDLDRAVTLFDSLRRRRIAPDRITYSTLMCGLAKHHRLDHALDLLDEMPRYKVQPDVVCYNALIGGCFKASEFEKVNRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWARMVANNLQPDIITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDAATYNSLVKGFCQAGRVQEAWKFWDCAGFAGSRDLRTYNIMMKGLFDSGMVDEATKLWELLEKDVACIPDTVTFGTLIHGLCHNGFANKAFIIFEEARISGEQLDVFSYSSMINGLCKVGRLVDAIEVYEKMDKDGCKPNSHIYNALISGLCQAYRTSDAVRIYRKMAGNGCSPTIITYNTLINGLCKAEKYQEASSVAREMVDNGFTPDPTTYGSLIRGLFSDKKIEAALSIWNHILNKGFQADVMMHNILIHGLCSVGKVDEALHIFSDMKGKKNSSPNLVTYNTLMDGLYETGYIDKAATLWTAIFEDGLEPDIISYNTRIKGLCSCDKIHEGTQLMEEVLSRDIIPTVITWNILVRAVIKSNSVSASFANLVRALIQEVCDADLLRLKHCDLRKDLLLAFVSTNRRRSIGFRAAGTDGRSLPG >LPERR01G32260.3 pep chromosome:Lperr_V1.4:1:27160666:27164579:1 gene:LPERR01G32260 transcript:LPERR01G32260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLNPDPPPIRRLLELIKSERDATAALAHLELLTYNIVLRSFCARCDLDRAVTLFDSLRRRRIAPDRITYSTLMCGLAKHHRLDHALDLLDEMPRYKVQPDVVCYNALIGGCFKASEFEKVNRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWARMVANNLQPDIITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDAATYNSLVKGFCQAGRVQEAWKFWDCAGFAGSRDLRTYNIMMKGLFDSGMVDEATKLWELLEKDVACIPDTVTFGTLIHGLCHNGFANKAFIIFEEARISGEQLDVFSYSSMINGLCKVGRLVDAIEVYEKMDKDGCKPNSHIYNALISGLCQAYRTSDAVRIYRKMAGNGCSPTIITYNTLINGLCKAEKYQEASSVAREMVDNGFTPDPTTYGSLIRGLFSDKKIEAALSIWNHILNKGFQADVMMHNILIHGLCSVGKVDEALHIFSDMKGKKNSSPNLVTYNTLMDGLYETGYIDKAATLWTAIFEDGLEPDIISYNTRIKGLCSCDKIHEGTQLMEEVLSRDIIPTVITWNILVRALLAMRNPAQRSSKKFVMRTFCA >LPERR01G32260.4 pep chromosome:Lperr_V1.4:1:27160666:27165115:1 gene:LPERR01G32260 transcript:LPERR01G32260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLNPDPPPIRRLLELIKSERDATAALAHLELLTYNIVLRSFCARCDLDRAVTLFDSLRRRRIAPDRITYSTLMCGLAKHHRLDHALDLLDEMPRYKVQPDVVCYNALIGGCFKASEFEKVNRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWARMVANNLQPDIITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDAATYNSLVKGFCQAGRVQEAWKFWDCAGFAGSRDLRTYNIMMKGLFDSGMVDEATKLWELLEKDVACIPDTVTFGTLIHGLCHNGFANKAFIIFEEARISGEQLDVFSYSSMINGLCKVGRLVDAIEVYEKMDKDGCKPNSHIYNALISGLCQAYRTSDAVRIYRKMAGNGCSPTIITYNTLINGLCKAEKYQEASSVAREMVDNGFTPDPTTYGSLIRGLFSDKKIEAALSIWNHILNKGFQADVMMHNILIHGLCSVGKVDEALHIFSDMKGKKNSSPNLVTYNTLMDGLYETGYIDKAATLWTAIFEDGLEPDIISYNTRIKGLCSCDKIHEGTQLMEEVLSRDIIPTVITWNILVRALLAMRNPARR >LPERR01G32260.5 pep chromosome:Lperr_V1.4:1:27160666:27162779:1 gene:LPERR01G32260 transcript:LPERR01G32260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLNPDPPPIRRLLELIKSERDATAALAHLELLTYNIVLRSFCARCDLDRAVTLFDSLRRRRIAPDRITYSTLMCGLAKHHRLDHALDLLDEMPRYKVQPDVVCYNALIGGCFKASEFEKVNRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWARMVANNLQPDIITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDAATYNSLVKGFCQAGRVQEAWKFWDCAGFAGSRDLRTYNIMMKGLFDSGMVDEATKLWELLEKDVACIPDTVTFGTLIHGLCHNGFANKAFIIFEEARISGEQLDVFSYSSMINGLCKVGRLVDAIEVYEKMDKDGCKPNSHIYNALISGLCQAYRTSDAVRIYRKMAGNGCSPTIITYNTLINGLCKAEKYQEASSVAREMVDNGFTPDPTTYGSLIRGLFSDKKIEAALSIWNHILNKGFQADVMMHNILIHGLCSVGKVDEALHIFSDMKGKKNSSPNLVTYNTLMDGLYETGYIDKAATLWTAIFEDGLEPDIISYNTRIKGLCSCDKIHEGTQLMEEVLSRDIIPTVITWNILVRAVIKYGPIQI >LPERR01G32270.1 pep chromosome:Lperr_V1.4:1:27163905:27164887:-1 gene:LPERR01G32270 transcript:LPERR01G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAKGYRSQTGESSGEQKQILPSSIYYYAEQEQRSEDLEEMLNLHTVRTSLPSLHRSSPYRRVLLTRKRSSIRACSSESDASSSVGGDKRQQEVLAKIAMLQAQKVRITNFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQILERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELAMKPKPKLEAQKVKEIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDFSSPEADKKEGKEE >LPERR01G32280.1 pep chromosome:Lperr_V1.4:1:27173833:27178083:1 gene:LPERR01G32280 transcript:LPERR01G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLNRALSFDGGVPDEALHLVMGYVDDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAAEPAHLRERFPRLEALSLKGKPRAAMYGLIPDDWGAYAAPWIAELAAPLECLKALHLRRMTVTDDSIAALVQARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECPITDKGGEWLHELAVNNSVLVTLNFYMTELNVAPADLELLAKNCKSLISLKTSECDLSDLIVFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQIGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRVRQVTDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIKYMLLGNVGKSDHGLIRFAVGCINLQKLELRSCCFSERALALAVLQMPSLRYIWVQGYRASQTGHDLLLMARPFWNIEFTPPSPESLYHMTEDGEPCVDSHAQVLAYYSLAGRRPDCPQWVIPLHPA >LPERR01G32280.2 pep chromosome:Lperr_V1.4:1:27174216:27178083:1 gene:LPERR01G32280 transcript:LPERR01G32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLNRALSFDGGVPDEALHLVMGYVDDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAAEPAHLRERFPRLEALSLKGKPRAAMYGLIPDDWGAYAAPWIAELAAPLECLKALHLRRMTVTDDSIAALVQARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECPITDKGGEWLHELAVNNSVLVTLNFYMTELNVAPADLELLAKNCKSLISLKTSECDLSDLIVFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQIGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRVRQVTDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIKYMLLGNVGKSDHGLIRFAVGCINLQKLELRSCCFSERALALAVLQMPSLRYIWVQGYRASQTGHDLLLMARPFWNIEFTPPSPESLYHMTEDGEPCVDSHAQVLAYYSLAGRRPDCPQWVIPLHPA >LPERR01G32280.3 pep chromosome:Lperr_V1.4:1:27173814:27174412:1 gene:LPERR01G32280 transcript:LPERR01G32280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYETARAEPASNKKQRPPQPTCTASRSCTVLCPVGEAVSPRELTWPGTTGRAVRENK >LPERR01G32290.1 pep chromosome:Lperr_V1.4:1:27187495:27188493:-1 gene:LPERR01G32290 transcript:LPERR01G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAFREVLPLPYFAGQPCWYFQERRGGAGAGDVWTAEENKVFEKALAQVDRDAPNRWELVASMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSLSPASAFTLQDWDASDGAFRRGGGGCCLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPEDDAGNNPSPSPPSALTTASDQLGAVVDAKPPPPPSSIAVQRHFMSPLPGALGVSHPYGNVKIEPNASFLAGGTGPGLDDAILLQMQCGQL >LPERR01G32300.1 pep chromosome:Lperr_V1.4:1:27192717:27193604:1 gene:LPERR01G32300 transcript:LPERR01G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAAPPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSTLRDLLYSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPQYGSEQYYRSSSGYYSAPPPLPQPQPQYEYTAGPSAPVEYSRQYDPRAGSGSGSGKYGVGTGIAVGAVAGALGGLAIDEGVKYKEEKSAERVEDKVAPAGRDDDSRGYREY >LPERR01G32310.1 pep chromosome:Lperr_V1.4:1:27209275:27210729:1 gene:LPERR01G32310 transcript:LPERR01G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKPLVGVDAPASGITVVSRQDVRPDSVSAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDASMASLVALLVSSLSRALAVYPALAGRLVTFPDDRVVIRCNDAGVEFRHAVAPALSLDDFLIPDADVPTKLTKDLFPMDRTVSYEGHRRPLTSFQLTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWASLCRGASPKLPDFRRNFFGESTAVLRFPGGVGPVVTFDVDAPLRERVFHFSADAIRELKATANRLPGGDQDDAEVYGKMAHDPKDPAGLGVISSFQSLCAHIWLSVTRARKRLAVDATTTFRMAVNCRHRLRPAISTAYFGNAIQSSATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQAAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >LPERR01G32320.1 pep chromosome:Lperr_V1.4:1:27217216:27217632:1 gene:LPERR01G32320 transcript:LPERR01G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPETTGGVKPMISLQASRSSQMDPSDRDRRPRQARRVCGSRLLRSARWTATRFYRRARASVARAFRPASSTTKAGPASAASRSPECTPARNSSRRHSLAPAIADDSHKSEAVEECIRFMNSSSRKYR >LPERR01G32330.1 pep chromosome:Lperr_V1.4:1:27222116:27222831:-1 gene:LPERR01G32330 transcript:LPERR01G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFCAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRADAADEADADLVLQPPESKREARSYGHHHRLMTCYVRDVVETETMWERPTREVETLELFPLKSYDLEMDKVRYARGGGGEHQCREISFFDVAAGRDPPLELRLCSFGL >LPERR01G32340.1 pep chromosome:Lperr_V1.4:1:27225796:27226296:-1 gene:LPERR01G32340 transcript:LPERR01G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKPGHANPSSEDGVPPALHRDRRWIFGKTRENGHGDAEAREIREARRLLQARPSPRSNS >LPERR01G32340.2 pep chromosome:Lperr_V1.4:1:27225972:27226296:-1 gene:LPERR01G32340 transcript:LPERR01G32340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKPGHANPSSEDGVPPALHRDRRWIFGKTRENGHGDAEAREIREARRLLQARPSPRLLCARG >LPERR01G32350.1 pep chromosome:Lperr_V1.4:1:27232841:27234478:1 gene:LPERR01G32350 transcript:LPERR01G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGGVSQLHPYAMAATAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDTATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCDTAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKALGVGSERSLRESLAVVDRHMTDTIAARKATPSDDLLSRFMKKRDSNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWNLMQRRDVERKVVLEIASVLRETRGDDIARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCGEFRPERWLSTDGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNSVELVPGHKVEQKMSLTLFMKNGLQVLVKPRDHSDYVEPEESAPPQGPVVIPTTTAAAA >LPERR01G32360.1 pep chromosome:Lperr_V1.4:1:27237768:27241088:-1 gene:LPERR01G32360 transcript:LPERR01G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRFKPVEECRSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVALLLSVPFVYVTYIFFSETLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNACGKRYIITASPRIMVEHFAKTFLGADKVIGTELEVGKNGKATGFMVKPGVLVGDHKRKAVVKELRDAVPDIGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGTPPPPPKKGHPGVLFVCNHRTVLDPIEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPRYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >LPERR01G32370.1 pep chromosome:Lperr_V1.4:1:27263079:27266524:1 gene:LPERR01G32370 transcript:LPERR01G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTTSSPCAATTPSSSSSCSSLSPSSSAAATASRLGGVRLSRGQSSLASWSVGLTRRRGGPQMARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSANSVGLPLSLRMLKRKKQQEQGRWDERLIDRAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQGGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSVAAAATLLPPHPPAAMVAMVDTQHTEQSRSQQRFDPAVSVKTFSIGRTASVGGNSGGGGKVRPVAGATGDGRSDEGSYRQSGTVLPQDASTTTPVGAGAEEAVSDTMAMEETEDELVIWKRIADEATRMQASVRAEALMDSDTLGQLVAPVEAKLDTDDTAAEYAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYANFLWNTGGEDTCYPLD >LPERR01G32380.1 pep chromosome:Lperr_V1.4:1:27274754:27277090:1 gene:LPERR01G32380 transcript:LPERR01G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLHQDPAMIGGRMPDRYFASSSHGTGAHYQSTGGAPITVAAPDMGFLVAGIGMTPSRLVMPEGALAAGYGAMPTISVGVAMQQQQQQQQSRVGGNNRNPGPFKGAWTRQEDEVLKQMVILHGDRKWAAIAKSLPDRIGKQCRERWTNHLRPDIKKDVWTEEDDRVLIEAHKAYGNRWSAIARCIPGRSENAVKNHWNATKRSLKSKRKMKKRSEQLMPRQLSQLEEYIRTQCPTASEETTPSPPVSAPPSEDVVMYDAGSVNTGPIAAVQEPTDSNDVGLANPPEIGIYLGLANPAGSPQQIGAMNLNMSLAPDHNAYDEQRNEYYQLPFVHQGNLHYGQHEQAQPVQQQGISVDQDFQPSYLSLYHPSYPGSHVGRVEFHFQSTNLQANVGGYYSEAGPSSTAGAGAGDPEDVIQMASRQFLMPSEAEVTLDLTKFK >LPERR01G32390.1 pep chromosome:Lperr_V1.4:1:27284827:27286248:-1 gene:LPERR01G32390 transcript:LPERR01G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPDDKQCAASSSSSSATAAPLRVQDDTAAEEYEQYIRLPELARLWNDRCCPEWADEGMVKPALQALEITFRFVSVALSDPRGYASRRELARRLEALAAREVEVVAALGEEGERCAPLAELSASEGMLPRERSASEVWQLPGSAAAVVCHVSEASLLPRLAAWDKSETVAAKIKYAIESQMQGRVFTLGLGEPNLAGKPVLEYDRVVRPHELHALKAKVAHEPKTGYRNRENEALFTIHQILESWLCAASQLLARLNERIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASFCFRSRAMLHVANATRDLKKRVPWVLGVEVDPNGGPRVQEAAMKLFHSRRRGEGEDAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAEASTNRALFLPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDSASSRQS >LPERR01G32400.1 pep chromosome:Lperr_V1.4:1:27292033:27296035:1 gene:LPERR01G32400 transcript:LPERR01G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPREGERKSLGGLALAPLRGPRPFSSTPISSQFPPPHKFLPQFPSRSLPPRIPQALNADASQRHGEPVTGGHGLSDGNRHPTERQAAPHQPSPSRRVTGRVSVHLSPQIPPCRNHPAQMQAVKEALHVATVPSCGLVCRDDEQRRVLEFCMGCVEQERAGSLYVCGCPGTGKTLSINKVKESVARWADEMGMETPDALSINCTSLANTHEIFSKILAKLQTRKKATGKLSALQQLQSMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEHDIFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFETRLQESSDQEFGLVTFDHMDIALSKAFKSAVVDSILCLPQHQQMVLCALANTFNHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCVVLSDQGFMKLGQSKEDKLRKVMLQIDSSDITFAFKLHCILCHLIKPQARMPKVSGFCIIGSNHHNFDPVLTDYEHL >LPERR01G32410.1 pep chromosome:Lperr_V1.4:1:27325661:27332628:1 gene:LPERR01G32410 transcript:LPERR01G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEESIVADGNGKEDEVGVMGVSSAADGADEHGHGGGGGFSMKTFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMISGVILQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVTHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFALLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHVLTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPVPAMAQSPVPLPHH >LPERR01G32420.1 pep chromosome:Lperr_V1.4:1:27333820:27338736:-1 gene:LPERR01G32420 transcript:LPERR01G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFFCCSPTSTAITPATSQAPRLPSEIVGKNVEQIIRDWNNELQDRTGKFRKHATAIAEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKELHWWTAGQAEALSSAIVITDVLVVHQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSTRIQRLPNNGAAAERDSGMPRFWLS >LPERR01G32430.1 pep chromosome:Lperr_V1.4:1:27339332:27340648:1 gene:LPERR01G32430 transcript:LPERR01G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVDALVTVVVVAVVAAADDDDGATVKLKPPVEEAGTGTENNDPDVAGVAVTDGTADVLAAEADANVGAEAEEDEPNGKLRELADELAAAPVLENNEGAGAACEVAKEKPVDGEDAGVVEAAEVLLGKEKPGAEDAEENNEGVVLAVVVLADEGVKPNDGAEVVVAGEDANPKDGVVVAVVAGDDAVAVLKSGAEVVDPNSAEPVLAPNPRAGEEAEVVVVLDAAAPVFNPKPNDGVDAAFVVVAVLDADEPNPNPVAAPEKRLDAGAEEAAAPNRPGAAGAAAEEAPNGLGVVAAEEAPPNKPGVVAAADEAAPNKPGDKVAPNKPGVVAGEEAAPNKPGVVAAAEVGDAPKMDGAGAAEEAAAVDWPNEKPVDPKPNGDGDEEVAGAAAEAGEDPKREEPNVGAAEAAAEEAEKREEPKAGAEVGAGEEAAAAG >LPERR01G32440.1 pep chromosome:Lperr_V1.4:1:27344050:27345826:-1 gene:LPERR01G32440 transcript:LPERR01G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLPSKRGRVMAPENTVSRDFLSVLPKGIIHHIMSFLDVCQVVWMCVLSQRWRNLWRFVPCVNANFNEFNTSSSIVDNLTEDELVFRRFINWLLEHRDPDAAIYTFYLEYCIFEEENNEDNSEDANRWISLALQKRCRILKVSNEEYCNLQLDHSVLTSKYLTRVVFDNVSLDQGFFEQLEMGCPAMQVLTLYGCVIGDIKISSKSLKILNFNECQFEDKSYISIPSVTSLTMYQPEGFVPIINDVASLVIASADLNKCDASDMRHLLWSLSGVKKLEFDYQGYKMKIENNLQFWLKFDHLVDLTLGQWCVDSDFYALVIFLQSSPRLEKLTLKLEILPPNYKIPYDEHIKVQKESGEHIIGELKERSFTCEHLNSVEIISMEQDEYLANRVVGLFVDSGVTSVRFDIKFWWSQVTFWMPSFHQELHD >LPERR01G32450.1 pep chromosome:Lperr_V1.4:1:27348747:27349190:1 gene:LPERR01G32450 transcript:LPERR01G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGSSADDDSTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPTETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSGRAPVAAGSDK >LPERR01G32460.1 pep chromosome:Lperr_V1.4:1:27351167:27358696:1 gene:LPERR01G32460 transcript:LPERR01G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQCSFLTQRCWYQTCGPCRYVALNHQTWHRRARVRQSKSLVSVGTLAVAPRLRCRGESDPRQQRASQVATQRSAGDATVRSTRRARIRRTRDQDAAAGDGDRRAPRSELPCHHGHTPSPARFPRATRLKATESLKKARLPQGECSRAASSHPRSRGSPTASAPVPPAAFSRRRPVLMEAAVAVVCRGGGLRPGGTRAGRHGVGVVAGGSPAPAAARRRALLVASLGEPLTAQSSSSLGEGAAVHETPASCSDSLISSLLKPSESPDQAVQVDDEEAVSTETLPPPDNAPTKTVHVKFVLQKQCAFGQRFLVVGEDPALGIWNPVKAAALDWSEDHVWTVKKVNSHRANPSIRRELPADRSIEFKFLLQDPSGHVHWQPGPNRILHLTDTSNTLVVCEDWDDTKNQQVSEEIGDADVILSGTSGVFQEDELQLGEEQETDKGVTTEDVDGVKLAVVEDAYREMMGSNDGIQPQLALDKHHKIPDEFSGKANIVGQNGNHPAAGADDYSGRKSSNGEDAILHKEGEPVQNRPGLASVLKNDMAWATKALHQVLRSLGFQFGTTKT >LPERR01G32460.2 pep chromosome:Lperr_V1.4:1:27351167:27358696:1 gene:LPERR01G32460 transcript:LPERR01G32460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQCSFLTQRCWYQTCGPCRYVALNHQTWHRRARVRQSKSLVSVGTLAVAPRLRCRGESDPRQQRASQVATQRSAGDATVRSTRRARIRRTRDQDAAAGDGDRRAPRSELPCHHGHTPSPARFPRATRLKATESLKKARLPQGECSRAASSHPRSRGSPTASAPVPPAAFSRRRPVLMEAAVAVVCRGGGLRPGGTRAGRHGVGVVAGGSPAPAAARRRALLVASLGEPLTAQSSSSLGEGAAVHETPASCSDSLISSLLKPSESPDQAVQVDDEEAVSTETLPPPDNAPTKTVHVKFVLQKQCAFGQRFLVVGEDPALGIWNPVKAAALDWSEDHVWTVKKELPADRSIEFKFLLQDPSGHVHWQPGPNRILHLTDTSNTLVVCEDWDDTKNQQVSEEIGDADVILSGTSGVFQEDELQLGEEQETDKGVTTEDVDGVKLAVVEDAYREMMGSNDGIQPQLALDKHHKIPDEFSGKANIVGQNGNHPAAGADDYSGRKSSNGEDAILHKEGEPVQNRPGLASVLKNDMAWATKALHQVLRSLGFQFGTTKT >LPERR01G32470.1 pep chromosome:Lperr_V1.4:1:27351653:27360965:-1 gene:LPERR01G32470 transcript:LPERR01G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPLPAVGGGVAGGGPPGRVTMRMFHGDVFLGEAEVFPMKQGGEGSLPFPSNEIRISHLSPSSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIETLSRRMDSEDDPVRVSGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQEHVLRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISLHNLAERVVCVKSGSKKSLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTSFPPNNRDIAPISEGMSGAEVERRLNGLAYPRDQKQMPSFTRSSDDERMTIRGTPGGTNIQSNGGSLVTTPSLFVTVLQEIGRLCESRVLFSNEKIAIGIGKTRDEAQVQAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSDDISMEEPSGSTLKEQDHSNALDRLSSVISLIRELCLEDQHVVFRDQVRDPGSALNGEYHFQAELGGQILGRGIGSNREFAKLQAAEEALKTLKTTTDPQIKKHLRPVRIIISTGSRSSQTTKVFDVSVKRWKGLGGDGFLVVNLDCLIRRFRWLQQRRDQRIGARSRCLVYRGALAQRRGGLRREGLPERRDEQRPSPRGGWRRGATSNNAHAMSPRARATGTQTAASAHHGHGGFHQNRAPTRESSRRHGS >LPERR01G32470.2 pep chromosome:Lperr_V1.4:1:27352499:27360965:-1 gene:LPERR01G32470 transcript:LPERR01G32470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPLPAVGGGVAGGGPPGRVTMRMFHGDVFLGEAEVFPMKQGGEGSLPFPSNEIRISHLSPSSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIETLSRRMDSEDDPVRVSGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQEHVLRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISLHNLAERVVCVKSGSKKSLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTSFPPNNRDIAPISEGMSGAEVERRLNGLAYPRDQKQMPSFTRSSDDERMTIRGTPGGTNIQSNGGSLVTTPSLFVTVLQEIGRLCESRVLFSNEKIAIGIGKTRDEAQVQAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSDDISMEEPSGIFRDQVRDPGSALNGEYHFQAELGGQILGRGIGSNREFAKLQAAEEALKTLKTTTDPQIKKHLRPVRIIISTGSRSSQTTKVFDVSVK >LPERR01G32470.3 pep chromosome:Lperr_V1.4:1:27352499:27360965:-1 gene:LPERR01G32470 transcript:LPERR01G32470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPLPAVGGGVAGGGPPGRVTMRMFHGDVFLGEAEVFPMKQGGEGSLPFPSNEIRISHLSPSSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIETLSRRMDSEDDPVRVSGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQEHVLRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISLHNLAERVVCVKSGSKKSLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTSFPPNNRDIAPISEGMSGAEVERRLNGLAYPRDQKQMPSFTRSSDDERMTIRGTPGGTNIQSNGGSLVTTPSLFVTVLQEIGRLCESRVLFSNEKIAIGIGKTRDEAQVQAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSDDISMEEPSGSTLKEQDHSNALDRLSSVISLIRELCLEDQHVVFRDQVRDPGSALNGEYHFQAELGGQILGRGIGSNREFAKLQAAEEALKTLKTTTDPQIKKHLRPVRIIISTGSRSSQTTKVFDVSVK >LPERR01G32480.1 pep chromosome:Lperr_V1.4:1:27367304:27368607:-1 gene:LPERR01G32480 transcript:LPERR01G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAANTNTMLSSSHSPTAATPQLPTSARRSTRPIAAAAPSPRPRAGTTPAAAAAESLLPRGLRAELLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEEMVRLSRAWGVRALTAFAFSSENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGEISRLPVSLQKIAKETEEATRSNSQLDLTLAISYSGRRDIVQACRKLAQKVQSKVLRAEDIDEALFADELETGCTADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALCSFQSRDRRFGVRKL >LPERR01G32490.1 pep chromosome:Lperr_V1.4:1:27370113:27379931:-1 gene:LPERR01G32490 transcript:LPERR01G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINREDEEAKKMEAGGDTIGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVASTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLISLVLCLAYSLCAVAGCIYFGLWPVRIKIGLTMCTTGNSKGAPEKDYSIPGVNTHDRVLGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNTAQGTLLSNFMVGGRAVIPEPLLLIIELFTLLQLSAVAVVYLQPTNEVLEGMLSDPKAGQYAARNVVPRVLSRTAAVAFGTIIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKRGIVFWLNTTIAVVFSALAVVASVTAVRQIVLDANTYKLFANVLDADGPHGGAGGGARRPGAVRAVQGGEPGDGAGGASVAEAARAGAPVAMAGTVGPAVGGTASPRLAARVVPRRIPREVMKDLLPDGVIYQFAPLDYPDAIESFIGYWKPNLILLMESELWPNLILSATEKGSTIQAVRFQLLHTPPQIIHFAGDLKYAVGDIDAGEKEVSAIEDLQQQFNNRPIWMAASIHKGEDESELRMLYRVTPIAVIGGSFLSGLAGHNISEAAAVGCAVMTGPHVGHFYHMLVEMWQINPLAVKQITGEFELLEALKQLLGDSKSLEECQRAAKDAFSVMSDGVVNRVWNLIRTFIIGSQTDTWDSCLSRTV >LPERR01G32500.1 pep chromosome:Lperr_V1.4:1:27385269:27388736:-1 gene:LPERR01G32500 transcript:LPERR01G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRQIHFSSTRSWSADTREAKKNHLELKSPGARGCRLCLCLCKTEAEDMRFQGIDLPRYAQKRGGNS >LPERR01G32500.2 pep chromosome:Lperr_V1.4:1:27386648:27388736:-1 gene:LPERR01G32500 transcript:LPERR01G32500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRQIHFSSTRSWSADTREAKKNHLELKSPGARGCRLCLCLCKTEAEDMRFQGIDLPRYAQKRSICWFKLKCIFQHPDAKATQ >LPERR01G32520.1 pep chromosome:Lperr_V1.4:1:27391896:27396858:1 gene:LPERR01G32520 transcript:LPERR01G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGVARRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASNSGQVSLPRPAEAPSSDLESGPQARPNSALPPPPAAATVGNAEQKPQPPPPPARTRRRDSDGGRPNGQPAPAPLPQLLEEEDDAPERPAHVKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLVMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIINSPEFFGLNNNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFSKVGSCIEMGILQLLMVVLFALYLRKIKLFGYRVFLIYAVPLALGITWAVAFVLTATGVYSYKGCDANIPHSNNVSAYCRKHVLRMRSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCFVSIIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTILAGVWGTGVGSATITENVHTIAVTKMGSRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYGLHPSANSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSDAEAARRESAVMKDYELPFKIGQAFKWVKSYIQLGN >LPERR01G32520.2 pep chromosome:Lperr_V1.4:1:27391896:27397160:1 gene:LPERR01G32520 transcript:LPERR01G32520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGVARRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASNSGQVSLPRPAEAPSSDLESGPQARPNSALPPPPAAATVGNAEQKPQPPPPPARTRRRDSDGGRPNGQPAPAPLPQLLEEEDDAPERPAHVKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLVMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIINSPEFFGLNNNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFSKVGSCIEMGILQLLMVVLFALYLRKIKLFGYRVFLIYAVPLALGITWAVAFVLTATGVYSYKGCDANIPHSNNVSAYCRKHVLRMRSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCFVSIIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTILAGVWGTGVGSATITENVHTIAVTKMGSRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSDAEAARRESAVMKDYELPFKIGQAFKWVKCIGL >LPERR01G32520.3 pep chromosome:Lperr_V1.4:1:27391896:27397160:1 gene:LPERR01G32520 transcript:LPERR01G32520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGVARRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASNSGQVSLPRPAEAPSSDLESGPQARPNSALPPPPAAATVGNAEQKPQPPPPPARTRRRDSDGGRPNGQPAPAPLPQLLEEEDDAPERPAHVKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLVMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIINSPEFFGLNNNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFSKVGSCIEMGILQLLMVVLFALYLRKIKLFGYRVFLIYAVPLALGITWAVAFVLTATGVYSYKGCDANIPHSNNVSAYCRKHVLRMRSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCFVSIIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTILAGVWGTGVGSATITENVHTIAVTKMGSRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYGLHPSANSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSDAEAARRESAVMKDYELPFKIGQAFKWVKCIGL >LPERR01G32530.1 pep chromosome:Lperr_V1.4:1:27397847:27402731:-1 gene:LPERR01G32530 transcript:LPERR01G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPKGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGHADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVAELEEQVQAVTAERRQAEWAATEVLAILESQGFGGHLSDVLDSGSDRDGEEENDDHYHDPRPRDARRGGDTGGEEKAAAAAAAQGEAEDAMSGTAQPGNGLSWKGRSVSPRKARQLKQKHRRSYFYLLSSSDSSPKYRMGQSCRKNKRKELRSAAQEEEGGDVAELAGSQKGQQDGSDCTDDGQHDMDGGQYVIKYEKDGEMERMLERQAELIGQYEAEEEAQRQWEEQYNENQSATKVHVVVKNKACKIENGREQSKHDRLDDQAVHCNQGAKSNVKSIGNAISNRSAGHLSNGSLPESPQNASGQQPAADQRDAHEVHHGHCGTQSQGSSNGVDAVTMQNQGDENPNECSSYCAIKAPSDGSPSTSDATPNSKVSDWSSSRFHDHGDSQLGTQTDQQPRSNMDIESVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDDEQHTEEDGYSPADDEFNSARDELCSSSPSLHEILALPAPEDYHERGDLPVSGATISQTDEQASLSPHQQEILALPAPGDDCHSEIVDDIKIPICTAGLFRLPTDSFPKDEMLSSCSKYGSELNLRPTATTRQNAFAGNTAARETIAPSVLRDDHILPAKQCYDPQSSVLFSVPTSGRCSTPGSDFTIGGASFHSGIPGLAEDFRKGRTLSDADLFMQRGCDYAISNKWML >LPERR01G32540.1 pep chromosome:Lperr_V1.4:1:27403745:27407292:1 gene:LPERR01G32540 transcript:LPERR01G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELRPRRFETVARARRTASLALSNRKEFASPHNGAVNSLQIDTLFYGFPKYIATTYPMRSEDWKVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHKNIMLVDKQHENGHKFAVSMAIWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQLRSQLGRRPPFLESTSEKDLMNSLQPSASTKIYSAQQRTGKSKKQSHTLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFETTRLQTSKPLQLAVAEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQEDDDKRLRGFVIDEDNWSE >LPERR01G32550.1 pep chromosome:Lperr_V1.4:1:27408935:27417732:-1 gene:LPERR01G32550 transcript:LPERR01G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEETEAPASTEREEVKDAVEVCLFDESPDAFSRAVRAMSELTAGEPEPDFPDAEVERLASSITFLREWRHFSYEPKSVSFTCDARSAPSTVDMHKINLPQFSSASVPQIIHLDDGKVKTDSCCSSDYVLCAGGNVWALDWCPRLCDRPHSPVNCEYLAVSAHPPGSSYHKIGMPLMGRGIIQVWCLLAPPEEGACNKNNSSNPPKRRGRPRKITTNSDDHPEQSVKKPRGRPRKYPLPIANVEDSSQNDRNQDFGLDPLASSAVVSCDAAVACAIPTIKSVDSTPRKGRGRPRKTPICMGPKRKRGRPRKYPAPSNIKLLPGTDTELGNDTVCLPGSIDCNLGPTENTGSDANLTPVAVNAAFPVPLSSIAIGDRKPGRRGRPRKNPFPSASCLFAPGTEAPKQTSILTNSDNLMVLAKSNGDIVANGTSSSKCNIENDVHLSVVPSDAASPAQGICLAKCKKESSAKRGRGRPRKKANSMECIATACSGKEQKIQTISNRESCPGKGRVQDKKKSASNESRSLALSVEVQKDRSSASVMSSSRLALIENSMPPGSCAREDTANEIDFIGYKSGPVDCDIMNMCEINTSCCTSACNFENTQADQAVCVINELEDTEVTSSKQSTKDDNMSCSAERTVSPVPRDISLPRVVLCLAHNGKVAWDIKWKPSLANHSEQKSCLGFLAVLLGNGSIEVIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSCQGSQPFMCVTAESAPIRAVSWSPSVSEENVNTFVTAGEDGLKFWDLRDPYRPLWELTTAPKAVLSLQWLKNARGIIISLEDGTLKFISLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASETTGYAAYCVADGTAVCFELTQRFWEKEPGRNRVPYFLCGSLLEEGTTMNVGTTLPNSVLPNVPLGTKRATKICKAVNRLPAIEGKLLTDSEYNCASNLDTRDGQQDEPDEGQGTDAMVLAAPSMQENFGTSTSRGSESTKNFEVFPPKAVALHRLRWNMNKGSERWLCYGGAAGIIRCQRI >LPERR01G32560.1 pep chromosome:Lperr_V1.4:1:27420981:27422561:-1 gene:LPERR01G32560 transcript:LPERR01G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGTVLALAGSLQPHVATAFFVFSVCTVALAVLLAVVRLRPPWWCDCAVCEAYLTASWAGEFDNLCDWYAHLLRSSPAQTVHVHVLRNVLTANPVTVDHVLHARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRCRLIPLLHSASREGSSGKVLDLQDVFRRFAFDSICKISFGLDPGCLELSMPVSTLMEAFDMASTLSARRATVPMQIIWRLKRFLKVGDEKKLRDAVRLVDALAAEVIRQRRKLGSAASGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEVTRVTGDGNRTMAATFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCAEFRPDRWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAIVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARVSGHIPPAI >LPERR01G32570.1 pep chromosome:Lperr_V1.4:1:27444481:27449115:-1 gene:LPERR01G32570 transcript:LPERR01G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPPPAQPDAAAAAAPAPAAPPSSTPASAPSPPPPQNPTPSASTPAPPTPDTTLAPTPTPTPAPAPVQTLETPAPIPASARPPVPRMRPPYTHLASPITMSSSPATAAASSSSASAPAAAAVSSALPRGGIVLGQPAHPRAPQTPVGYTGFVPPPTLAAQFGSLHRGPDQPPPASAQLRQPSPGNQNIGTVGSINTSQVRPGAVAGLPQQTRPNFPSSTTPSPSDSQMPSLQKTPVPALSRPPSMASQQTPPNLSAPLGPPQQRPHQAARTPVSVAHKLDSPASLQTTNVQSGDMALVDMDAGGSGNRLLSKRSIHELVSQIDPSERLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNTKSSAAQAAANQKNQTPKPPATGSP >LPERR01G32580.1 pep chromosome:Lperr_V1.4:1:27450703:27453800:1 gene:LPERR01G32580 transcript:LPERR01G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKTESHRQGRGRRSSRFRGGGGEDEVDELPSSAYDAPPPHHEDSDDDDTDEAAAEDEHEEDVDGGDQDQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSTEWLRTDTRRTAVGLDLDLESLEWCLGNNLSKIGADGYSRMLLFHGNVLQPNESRIVKQNISDIMPNLHVNNDNGTLESDISDQSDSASIKCSSNSTMSDVVLPARDIICAFNYSCCCLHRRKDLVLYFKHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSVHVWIREMPNTQASGNAKEYNANRDVKYEELQHFNQGDAWNAYVVGVANTR >LPERR01G32590.1 pep chromosome:Lperr_V1.4:1:27457612:27458808:1 gene:LPERR01G32590 transcript:LPERR01G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPLRRPFAAVLLFVVLCGAASFPSVLRSAVLLAPAPVVLAPPPPLDPARLNATLLRLAAADPSEAPLRRDVDDLLEGRLPASSSRARAWRLRGDRLHLRHHQFPVYRRGHHPDHDHDHDPLLHPLPRHELLLDPSLRRALRSWHRLRRHDPSVLRNLPSILSLPSRISSCAVVGNSGILLRATHGALIDSHAAVFRLNNARISGYAANVGAKTNLSFINSNVLHLCARRPGCFCHPYGDGVPILLYICQAAHFLDVASCNASSGSRHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSTTRDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYDAEYAFYRDLAERPQVVPFLKDAGLAVPPVVFYH >LPERR01G32600.1 pep chromosome:Lperr_V1.4:1:27470595:27472145:-1 gene:LPERR01G32600 transcript:LPERR01G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCSAMEGEVVAASPFFEWLKPPPPPPPSSSSWSSSFSSSSSMASRDQEGEDGGVGGVQEDHSGLTCLPLLSMLEEGKRPEYPVKEEIQEPGVELNIGLPVSGSGAKDVTMEEEDDDDDVGDEEMDEWMKPMHGGCKVEGEDGEAVASVEGSSSVSVGEFGGVGAESGVAMSSRYWIPTPAQILIGPVQFVCHVCNKNFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNIAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAEPAAAAIADKDRIISFQR >LPERR01G32610.1 pep chromosome:Lperr_V1.4:1:27478560:27491589:1 gene:LPERR01G32610 transcript:LPERR01G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLLENAFLELSEYVIDQEFEMAVPSKLVLVIAVALLGWAYKAARPPPPTILGGPGGPPVASPRVQLKDGRHLAYREAGVDRGIAKYKIIFFHGFGNSKESDFPVSKELTQELGIYLLYFDRAGYGDSDANPNRGLKSDAMDVEELADKLQLGEKFYVVGTSMGGYVVWSCLNYIPQRSKHMHHYASSDRLQNGTAITDESLDGCRLAGAALVVPVVNYWWPMPANVWESAYSKLDVGDQRTFWIAHHVPWLFYAWFNQKWFRISPIVDGKREAFTDKDWEILTAMSAEQLDRGKATKQGDYHSLCRDAMILFGTWEFDPMLIKNPFLNGEGVVSIWQGREDRIVRVEVQRYVAEKLPWVRYHEHPEGGHLFTFVDGRGDKVIRELLLGEQPKVGLLGWAYMAARQPPPVILGGPGGPPVTCPRVQLKDGRHLAYREAGVPKEIAKYKIIFFHGFASTKDSEFPVSQELAEELGIYLLYFDRAGYGDSDANPSRGLKSDATDVEELADGLQLGEKFYVVGTSMGGYVAWSCLNYIPHRLAGAALVVPAVNYWWPMPANVSESAYSKLDVRDQRSFWVAHHMPWLLHTWFKQKKFKISPIVEGKREAFTDQDWEILTENQRKEQETGQVDRDKATKQGAYHSLCRDVTILFGAWVFDPTMIKNPFPNGEGVVSIWQGREDKIVRVEVQRYVADKLPWVRYHEIPEGGHLFICANEVGDKIIRELLNGEEPQGLWRVDHIAQRGPGHGRPRGHLEGAWLEGCSETIVPPSEEIQEHPDPSSTNPHLSRERGKFNPQLSHLMQK >LPERR01G32610.2 pep chromosome:Lperr_V1.4:1:27478560:27491589:1 gene:LPERR01G32610 transcript:LPERR01G32610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLLENAFLELSEYVIDQEFEMAVPSKLVLVIAVALLGWAYKAARPPPPTILGGPGGPPVASPRVQLKDGRHLAYREAGVDRGIAKYKIIFFHGFGNSKESDFPVSKELTQELGIYLLYFDRAGYGDSDANPNRGLKSDAMDVEELADKLQLGEKFYVVGTSMGGYVVWSCLNYIPQRLAGAALVVPVVNYWWPMPANVWESAYSKLDVGDQRTFWIAHHVPWLFYAWFNQKWFRISPIVDGKREAFTDKDWEILTAMSAEQLDRGKATKQGDYHSLCRDAMILFGTWEFDPMLIKNPFLNGEGVVSIWQGREDRIVRVEVQRYVAEKLPWVRYHEHPEGGHLFTFVDGRGDKVIRELLLGEQPKVGLLGWAYMAARQPPPVILGGPGGPPVTCPRVQLKDGRHLAYREAGVPKEIAKYKIIFFHGFASTKDSEFPVSQELAEELGIYLLYFDRAGYGDSDANPSRGLKSDATDVEELADGLQLGEKFYVVGTSMGGYVAWSCLNYIPHRLAGAALVVPAVNYWWPMPANVSESAYSKLDVRDQRSFWVAHHMPWLLHTWFKQKKFKISPIVEGKREAFTDQDWEILTENQRKEQETGQVDRDKATKQGAYHSLCRDVTILFGAWVFDPTMIKNPFPNGEGVVSIWQGREDKIVRVEVQRYVADKLPWVRYHEIPEGGHLFICANEVGDKIIRELLNGEEPQGLWRVDHIAQRGPGHGRPRGHLEGAWLEGCSETIVPPSEEIQEHPDPSSTNPHLSRERGKFNPQLSHLMQK >LPERR01G32610.3 pep chromosome:Lperr_V1.4:1:27476000:27478762:1 gene:LPERR01G32610 transcript:LPERR01G32610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKLVLVTAVALLGWAYKAVRPPPPVILGGPGGPPITSPRVELKDGRHLAYREAGVAREIAKYKIIFFHGFGNTKESEFPVSKELVEELGIYLLYFDRAGYGDSDANPNRGLKSDAMDVEELADRLQLGEKFFVVGTSMGGYVVWSCLHYIPHRSRTCATSSDRIAGAALVVPAVNYWWPMPANVSESAYSKLDVGDQRTFWIAHHMPWLFYAWFKQKWFRISPIVQGKREAFTEKDWEILTDQMLREKERETEQVDRDKATQQGVYHSLCRDAMILFCSWEFDPTVIKNPFPNGEGVISIWQGHEDKIVRVEVQRYMAKKLPWVRYHEHPDGGHLFVFVDGFGDMVIRELLLSESPKGL >LPERR01G32610.4 pep chromosome:Lperr_V1.4:1:27476000:27478762:1 gene:LPERR01G32610 transcript:LPERR01G32610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKLVLVTAVALLGWAYKAVRPPPPVILGGPGGPPITSPRVELKDGRHLAYREAGVAREIAKYKIIFFHGFGNTKESEFPVSKELVEELGIYLLYFDRAGYGDSDANPNRGLKSDAMDVEELADRLQLGEKFFVVGTSMGGYVVWSCLHYIPHRIAGAALVVPAVNYWWPMPANVSESAYSKLDVGDQRTFWIAHHMPWLFYAWFKQKWFRISPIVQGKREAFTEKDWEILTDQMLREKERETEQVDRDKATQQGVYHSLCRDAMILFCSWEFDPTVIKNPFPNGEGVISIWQGHEDKIVRVEVQRYMAKKLPWVRYHEHPDGGHLFVFVDGFGDMVIRELLLSESPKGL >LPERR01G32620.1 pep chromosome:Lperr_V1.4:1:27503710:27505518:1 gene:LPERR01G32620 transcript:LPERR01G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMGKNAKVADDQEVTSQERDQSGGTKVDGEDQVASLARQSSILSLTLEELQNSFCEPGRNFGSMNMDEFVANIWNAEEFQAATGGCKGAMEETKVVGVGSEGGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQGPAHTSAEVPAQQLVQPRAGSGGIAADGRRVTLGEMTLEDFLVKAGVVRGSFTGQGRTTMGAGMIHGPVNPMQQGQAGPMMFPVGPANAMYPVMGDGMGYPNGYNGMAVVPPPPPSHGAMAAVSPGSSDGMSAMTHADMMNCIGNGMVIENGTRKRPHREDGSLEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELDYLKQENARLRDAEKTILLTKKQMLVEKMMAESKERMNAKEGSSRLRRTGSCMW >LPERR01G32630.1 pep chromosome:Lperr_V1.4:1:27505768:27509299:1 gene:LPERR01G32630 transcript:LPERR01G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATEKERWLPEATCVGGRRLHPLCFLRSGIRKTRLTRFPVLRVHSQAFEDPIVWRFAKMGWGISRLIGVKAAVLLSVAYFFHGLGMTVLSLPLVYVSMIALLVSIASHPSIDLPLLLGKGSNGSFPMWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAIYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKKSGESDVSSVILSDYTRKRH >LPERR01G32630.2 pep chromosome:Lperr_V1.4:1:27506042:27509299:1 gene:LPERR01G32630 transcript:LPERR01G32630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGMDSELLYNEAAGMVIASIPISGVSFGREVHSQAFEDPIVWRFAKMGWGISRLIGVKAAVLLSVAYFFHGLGMTVLSLPLVYVSMIALLVSIASHPSIDLPLLLGKGSNGSFPMWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAIYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKKSGESDVSSVILSDYTRKRH >LPERR01G32640.1 pep chromosome:Lperr_V1.4:1:27509189:27515493:-1 gene:LPERR01G32640 transcript:LPERR01G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASASVAATPPASFLDFQPAAAAAAYFGELEEALIHGAANAAGVVDPGMIRGGDVHTKSAATAGYLAARPPTLEIFPSWPTRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEQQQQPQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQLQQQPLHGGGDHDKRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQVTNLVNVQADNLRQQTLHQLHRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPCESFIGTESVSPPGTELQTMHHQPQQNQYSGF >LPERR01G32640.2 pep chromosome:Lperr_V1.4:1:27509189:27515493:-1 gene:LPERR01G32640 transcript:LPERR01G32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASASVAATPPASFLDFQPAAAAAAYFGELEEALIHGAANAAGVVDPGMIRGGDVHTKSAATAGYLAARPPTLEIFPSWPTRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEQQQQPQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQLQQQPLHGGGDHDKRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQADNLRQQTLHQLHRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPCESFIGTESVSPPGTELQTMHHQPQQNQYSGF >LPERR01G32640.3 pep chromosome:Lperr_V1.4:1:27509189:27515493:-1 gene:LPERR01G32640 transcript:LPERR01G32640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASASVAATPPASFLDFQPAAAAAAYFGELEEALIHGAANAAGVVDPGMIRGGDVHTKSAATAGYLAARPPTLEIFPSWPTRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQVTNLVNVQADNLRQQTLHQLHRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPCESFIGTESVSPPGTELQTMHHQPQQNQYSGF >LPERR01G32640.4 pep chromosome:Lperr_V1.4:1:27509151:27515493:-1 gene:LPERR01G32640 transcript:LPERR01G32640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASASVAATPPASFLDFQPAAAAAAYFGELEEALIHGAANAAGVVDPGMIRGGDVHTKSAATAGYLAARPPTLEIFPSWPTRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEQQQQPQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQLQQQPLHGGGDHDKRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQELHWHRECQSPRNRAANHASSASAESVLRILRASPGGRKLRQK >LPERR01G32640.5 pep chromosome:Lperr_V1.4:1:27509191:27513865:-1 gene:LPERR01G32640 transcript:LPERR01G32640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEQQQQPQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQLQQQPLHGGGDHDKRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQVTNLVNVQADNLRQQTLHQLHRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPCESFIGTESVSPPGTELQTMHHQPQQNQYSGF >LPERR01G32640.6 pep chromosome:Lperr_V1.4:1:27509191:27513865:-1 gene:LPERR01G32640 transcript:LPERR01G32640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEQQQQPQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQLQQQPLHGGGDHDKRKLGSTRKEGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLLLGGCSAAGDTSSGAAVFDMEYARWLDDDSKRLTELRGGLQAHLLDTNLGLIVEECMQHYDELFQLKASLARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALGQGLQQLHQSLADTVAAGTLNNDGPGVPNYMSLMAIALDKLANLESFYQQADNLRQQTLHQLHRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPCESFIGTESVSPPGTELQTMHHQPQQNQYSGF >LPERR01G32650.1 pep chromosome:Lperr_V1.4:1:27520442:27521695:-1 gene:LPERR01G32650 transcript:LPERR01G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILAHLSAARDVMVASAVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDSTHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNAITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEIVSSDPQSTMELTSHTLKSLFAKSVSVDKIILDADNLEILNLNALNLDLFEVIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRVLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >LPERR01G32660.1 pep chromosome:Lperr_V1.4:1:27522061:27522641:-1 gene:LPERR01G32660 transcript:LPERR01G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGELTATRKVAAARARRSGVGAALGHPSTRLLPAWCFACTAASRRSPSPAWGEGEAATASSGGAGDLLLPPHRIHSPLRLLAPPNSVSPPRLLGLDSPSPFLVRSLHRASCSDFHPSSEGSIGGRRMPSSCCFRLW >LPERR01G32670.1 pep chromosome:Lperr_V1.4:1:27522443:27525517:1 gene:LPERR01G32670 transcript:LPERR01G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVRGPLAQEKALKQHERSSYLFNPSAAPTPLRLALAAATFLVAEQFARVTGAMSKLQSDALRDAISQITNESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVVRVF >LPERR01G32680.1 pep chromosome:Lperr_V1.4:1:27525929:27533150:1 gene:LPERR01G32680 transcript:LPERR01G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLTPMQLIASVLVALLATCHAGSIAVYWGQNDNEASLADTCASGNYEFVIIAFLPKFGKGQTPQLDLASHCDASSGGCTGQSKDIRACQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGSAKFWDDLARDLKNLGKSGGRRVLLSAAPQCPFPDEWDGGAISTGLFDLVWVQFYNNPECQFSAGRGAFMDAWRKWESVPAGRLFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKFYDDHTGYSSAIKSYLLIMVVGVARLVAGARAGGIAIYWGQNGNEGTLAQTCATGNYKFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGNYGLSSRDDARQVAAYLWDNYLGGTSPSRPLGDAVLDGIDFDIESGGGMYWDDLARFLKAYSGQGRGKKPVYLTAAPQCPFPDASLGVALSTGLFDYVWVQFYNNPPCQYSSANGVGNLASAWKQWTSIPAGRIFLGLPAAPEAAGSGFVEASDLVSKVLPVVKKSPKYGGIMLWSRFYDGLTGYSDKVKASV >LPERR01G32690.1 pep chromosome:Lperr_V1.4:1:27534577:27535068:1 gene:LPERR01G32690 transcript:LPERR01G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPSPAATCFVVPSASGVRCRPFSPLITKNASCVAAGPPKLLQLPGNPLTSGGGARISGRFRAAAVHKVKLIGPDGSENELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCIAYPKSDCVIYTHKEEEVH >LPERR01G32700.1 pep chromosome:Lperr_V1.4:1:27542513:27547423:1 gene:LPERR01G32700 transcript:LPERR01G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGASSLLLGLLLPLLFSVDAVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLTSFANTGVEFIIAIGNENLQSMASNPGAARQWVSQHVQPFIPSTRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGVFREDLAQYIQPLLDFHGQTRSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEFGATVENAAAYNGNLMQRIAMNQGTPLKPDVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGTGGVSGRTGPFDPYSGQSMFSSASRLADQGLRLRRVRAYDVWDWGGCPTRGIIPLNFLHTYHAEEKDSPTTVSSMRSMRPGGWPVTGTGGD >LPERR01G32700.2 pep chromosome:Lperr_V1.4:1:27542513:27547423:1 gene:LPERR01G32700 transcript:LPERR01G32700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGASSLLLGLLLPLLFSVDAVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLTSFANTGVEFIIAIGNENLQSMASNPGAARQWVSQHVQPFIPSTRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGVFREDLAQYIQPLLDFHGQTRSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEFGATVENAAAYNGNLMQRIAMNQGTPLKPDVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGTGGVSGRTGPFDPYSGQSMFSSASRLADQGLRLRRVRAYDVWDWGGCPTRGIIPLNFLHTYHAEEKDSPTTVNE >LPERR01G32700.3 pep chromosome:Lperr_V1.4:1:27542513:27547423:1 gene:LPERR01G32700 transcript:LPERR01G32700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGASSLLLGLLLPLLFSVDAVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLTSFANTGVEFIIAIGNENLQSMASNPGAARQWVSQHVQPFIPSTRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGVFREDLAQYIQPLLDFHGQTRSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEFGATVENAAAYNGNLMQRIAMNQGTPLKPDVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGTGGVSGRTGPFDPYSGQSMFSSASRLAEMDNLWL >LPERR01G32710.1 pep chromosome:Lperr_V1.4:1:27547914:27548892:-1 gene:LPERR01G32710 transcript:LPERR01G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVAGGGGGDRKVSCETIIAGESGDGASPPRMPPPDPDFPPESITIPIGDEVAFSELNPIYDRDDSTKGSTNPKSSAAAGGSGASNPIPAKSRSNSTRIAGAPAAATTTFFGLPASIRPAFTRRRPSQGRILPDKRSSGRRGGGGEEEPRSPKVSCIGKVLSDRERYGRSRGRRWWRGLVAVFLCGGGYSCHGGGGGGRRARKKVALDEDHDGDDDSKQQGIAAMRRFKSGRRTASWVEEAIAAAEAAGEEEEEDDGKKKQEEVDHHDTEQWAPRQRREAVEESLDTTAWGPGVSGRVSVRARSPIGEE >LPERR01G32720.1 pep chromosome:Lperr_V1.4:1:27551974:27552342:-1 gene:LPERR01G32720 transcript:LPERR01G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGEEAKPAQQPEQQPAETKSGSAYGGGVMAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWTKLRRTEDRVRGLQARLRQIEERQAASSPPPPSEQPPLAEPGNPTSGP >LPERR01G32730.1 pep chromosome:Lperr_V1.4:1:27555314:27561794:1 gene:LPERR01G32730 transcript:LPERR01G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGSPASPGSSPLDRSASSAKSKSAGGGAAGAGAGAAAVATNTVSPYALARSPSFTDAEADGDDVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPARPARASASAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGGKKAVKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLSVRLKALQDHTKEHFKEEEGELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGSMEMTHSKLFAFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP >LPERR01G32740.1 pep chromosome:Lperr_V1.4:1:27559904:27561543:-1 gene:LPERR01G32740 transcript:LPERR01G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASVGVGGEPERARAVGGMEYGWCRAVPGGTGTTLLAFRLARGAAKAATVQAALESLLDAHPVLRARLRGSSSGSPTLAFPSATPPLALELLPVPESAPDFASLLELELNRNPWSATASEPDADAPPVLFVTFYELPPPSSAAALFVRIHTAACDRAASASLIRELVASLAGDGDEAASSSASAGEPEDAAVRAALEERIPQRDSWKPFWARGIDMVGYSINGLRTSTLPFEVTGTERSTQMVRLGFDREETTRLLDACKQNGVKLCAAMAAATLLATRQSKQLASNQQETYSIATLINCRKFLEPALDDHNVGFFHSAITNTHTIHGGEGLWELAERCQDSYTNAKNNKKHLTDISDLNFLMCRAIENPQLTTASALRTAIVSVFEEPAVYELSDLQSKAGVEEFVSCATLHGVGPSIGMFDSMRDGQLDFVCMYPFPLHSRKQMQEILNKVKQILREGSNAHHEDCA >LPERR01G32750.1 pep chromosome:Lperr_V1.4:1:27562336:27564999:-1 gene:LPERR01G32750 transcript:LPERR01G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQASSSSLRSRLLSSAAAWSPWRLLSSSVHSEASCQTETLAFDEIQLSPEKPYTATAFVLHGLLGSGRNWRSFSRSLASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLNPPHDMSSAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGEYGDSADLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPPSLPSRKWVVDHMLNLGFSKSLSEWIGSNLKKDNEQVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGMDIAIVQADRSDRWNPDDVQRLKALSRRESKPDAGKFYLHVLPNSGHWVHVDNPKGLLEIMAPNFLSTAKI >LPERR01G32760.1 pep chromosome:Lperr_V1.4:1:27565312:27566294:1 gene:LPERR01G32760 transcript:LPERR01G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARQVLVHRLSCSCSSGALLKHTSNSNGLPASSCKTRSWSSGERLPCLKARVRVAMTIKPPNAAAGKDGIVRADDDDDGVSLGTVKLPGNIDIARFETLLFQWGNSLCQGANLPLPVPLRVDKVEGGVRLGFMAVEDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLQALQKCIQIAQV >LPERR01G32770.1 pep chromosome:Lperr_V1.4:1:27566671:27571035:-1 gene:LPERR01G32770 transcript:LPERR01G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVQAYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLSEKYKGIFIPSLPEKNAVEKFRFSKEFIELRRRALDLFVNRIAVHPELKQSEDFRIFLQADEEKMDRARSYETGIFKKPSDFLQMFKTKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQKEADNLLFNFEEPLKDYVRAVQSIKATLVDRANAFRQHYDLYREKEYKEHNLGKLQFVDREKFAELELKADSDEATKRFEHIVAVMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRGVLPKLEACSS >LPERR01G32770.2 pep chromosome:Lperr_V1.4:1:27566671:27571035:-1 gene:LPERR01G32770 transcript:LPERR01G32770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVQAYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLSEKYKGIFIPSLPEKNAVEKFRFSKEFIELRRRALDLFVNRIAVHPELKQSEDFRIFLQADEEKMDRARSYETGIFKKPSDFLQMFKTKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQKEADNLLFNFEEPLKDYVRAVQSIKATLVDRANAFRQHYDLYREKEYKEHNLYLKADSDEATKRFEHIVAVMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRGVLPKLEACSS >LPERR01G32770.3 pep chromosome:Lperr_V1.4:1:27566671:27571035:-1 gene:LPERR01G32770 transcript:LPERR01G32770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVQAYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLSEKYKGIFIPSLPEKNAVEKFRFSKEFIELRRRALDLFVNRIAVHPELKQSEDFRIFLQADEEKMDRARSYETGIFKKPSDFLQMFKTKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQKEADNLLFNFEEPLKDYVRAVQSIKLKADSDEATKRFEHIVAVMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRGVLPKLEACSS >LPERR01G32780.1 pep chromosome:Lperr_V1.4:1:27573431:27574093:1 gene:LPERR01G32780 transcript:LPERR01G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRHKSSPSSERFLGSFLPSASAAAGDQTGSAAFELDEDDLFASGAGSPERPQPFRRPLILSSIRAANPSPLPRLRRPPEGILDALPERRLPLAPLPSSSSKSSSTASPAAAAAPPRMIPTIPRPAAAALAPNIPQSAPVNVPVPQFRRLSVEALMDKAEDDDDDEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGFLD >LPERR01G32790.1 pep chromosome:Lperr_V1.4:1:27584272:27586102:1 gene:LPERR01G32790 transcript:LPERR01G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGHHRAPGFRFYPTEEELICFYLRNKLDSLRDDIERVIPVFDVYSVDPWQLSEIHGILCAGGGEEEEPWFYFCPRQEKEARGGRPSRTTPSGYWKAAGTPGAVYSDRRPIGMKKTMVFYRGRAPSGTKTNWKMNEYRAFHYADAASTGAGASSSSGAAAQPSLPPQLRSEFSLCRLYTKSGCQRQFDRRPLAGCHDENPGPSTAAAAVSPEENDGSDNSMQQQQQELIDQGGAIDPDWDDVATLTAFLYWPGD >LPERR01G32800.1 pep chromosome:Lperr_V1.4:1:27592257:27593054:1 gene:LPERR01G32800 transcript:LPERR01G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYGAAAAGREPAARRPWSKKEDKVFESALVMCPEHVPDRWALVASQLPGRTPQEAREHYEALVADIDLIMRGEVDLPGAWDDDAGNDRRRGTGKPRGEERRRGTPWLFLEGLEQYGRGDWRNISRFSVRTRTPTQVASHAQKFFIRQANNGSRDSKRKSIHDITTT >LPERR01G32810.1 pep chromosome:Lperr_V1.4:1:27594070:27596521:-1 gene:LPERR01G32810 transcript:LPERR01G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGANARRRRLVERGSDRLAFITGQAHSLPSDPSPDSPLYIVDAASPQLSERQPSEGWIGDDRLSYINRLRKSEPGIHSTAEVQRENRKEKAPGNEGDLEKLKTSNAVPEIQQVNEMPFKRHGEDLRKNSHDGTASVQTMREMEPRPRCVPPNRSNQSDDAGWSIEALKENLNFTPHEITQAISATEYNRFLVSIIIAFLVVLSNWGLDIGGTITRVLVCTRPLLLLIIMDIAIVFTLLMENKDPNARGRPAGSNLGSADSLGQMLEIGLLLQKALTAVLMDCSVCAVIMICFI >LPERR01G32820.1 pep chromosome:Lperr_V1.4:1:27598326:27598628:-1 gene:LPERR01G32820 transcript:LPERR01G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPLPAASGLPSDDTHELGGDAVEEDDDDSLRGDVFAPLTPPPPGRTVADGPPPPPRALDRLISLRHSSLELLPLFLLILDHCTQLYATTLATQSHK >LPERR01G32830.1 pep chromosome:Lperr_V1.4:1:27598384:27598767:1 gene:LPERR01G32830 transcript:LPERR01G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSSKLECLRLMSLSRARGGGGGPSATVRPGGGGVNGANTSPRRLSSSSSSTASPPSSCVSSEGSPDAAGSGAGPPMVLAGCPRCMMYVMLSREDPRCPRCHSAVLLDFNDDEHQRRPRQRR >LPERR01G32840.1 pep chromosome:Lperr_V1.4:1:27608037:27608756:1 gene:LPERR01G32840 transcript:LPERR01G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSLFHNKARDASPSPPPAPAPAPATAPPWVWPSCKNPRTESFGAAPPGARTIASLFLDSAESSFTASSARHDCSDSLSTASDEAASAVVDVTAAEDDAIVRAGLIRSSDRLLFDPGASATSSILEEKSSAGTVVSGVAVAFESEDPYVDFRASMEEMVAAHGVGDWGWLEEMLGWYIRANGKDTHAAILTAFIDVIVAIADPALASHRSSSCTIAEESSSFEVAEKAKLAV >LPERR01G32850.1 pep chromosome:Lperr_V1.4:1:27617396:27618067:-1 gene:LPERR01G32850 transcript:LPERR01G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSSRRGSFTLRQPPVVDIGCNCRRPKLFSIFSSSSSSSFRRGGGKPKSPNASSTSTTTVFTATTAGAGTATSTDSSWGPASFTTNSLFEEPVALAQQQDSQLETRRRRRQQQRRRRRRAPAASSFAQEEQSAQAPSSYRRVAKESMAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >LPERR01G32860.1 pep chromosome:Lperr_V1.4:1:27622878:27623333:1 gene:LPERR01G32860 transcript:LPERR01G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARMVLALAITGGVFVALLSLLVVVLVRRWWQRREAFASSRGFVLFGICFNDKESQQLRVVRPSMERTTRRWPSRERHPRVAEDDQEPDQCELERWKRMFGGPARSLSTIDEGTEKGTTPITTPALCSPTTSPDRRDARSMTSISVQS >LPERR01G32870.1 pep chromosome:Lperr_V1.4:1:27625056:27625769:-1 gene:LPERR01G32870 transcript:LPERR01G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREHKVEHLDQPFYGPPVLPPVGPPAAAARRRCVADPYALCCRATRVVTIVVIAIGVVALVLWLVSLPNALKAYVDAAELTRFDLGGNNDSSKQQQLLRYNLTVSVSIRNPNRDQAVLYRRLEAVALYGGERFGYVDFPRTRQGRKSTMVIRPSFSGQGVLTGAGTAAFGREKKEGFFNINVKLHMRVRLKVMIFVDSVEYRPDVDCYIRVPDPSNATAVALGFTATRCRVDDFL >LPERR01G32880.1 pep chromosome:Lperr_V1.4:1:27628050:27628796:-1 gene:LPERR01G32880 transcript:LPERR01G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGKPQPQPQPQPQLNNAYYGPPIPPPAYYGSGAPPQRSGPHRLFCCLFRVIAITIIALGTASLVLWLIFRPSAVKAYTDTATLSRFDFNNTGGRVGRGILSYNLTVGMRVRNPNRFGIDYRSGEALAFYDGDRFGYAPLQPFYVARKSESKFDVTMIGTEAIDDGDVERTYRRETTEGLYRVKVRVYSKLGFKVRGFKVNNYKSKITCTLYLPVPSSGNGTASTTPSTMVFTRTNPQRTTCDVDF >LPERR01G32890.1 pep chromosome:Lperr_V1.4:1:27632479:27638635:-1 gene:LPERR01G32890 transcript:LPERR01G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEANQIESPKGGDEPPESERRELSQVRRSRGFAGERPLAATGAAPHSAVERRGSTGTLSGAARRGVAGAPPILANVGGESRGIASGVVLLLVGDAEIGGCVERLGDMDSRMDHYEVMEQIGRGAFGAAILVNHKIEKKRYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSSSMKSLIKSMLRKSPEHRPTASDILKNPYLQPYVNQYRPFADISHPIHSLEKPVTSSRSSQRSMSGSQCSSISGSDIDSIQSSERNMSGPSTSSNNTIDTEGAEVTDHVSAIKCSRSDDIRNNKETVGPELERQDSSKSIHVDQRTRNEIKQPKIIKKILTTLREESKLRQNNSPVRASRVKLNSPNNREQLSDDSKHSSDISSSSRSSEVTSRESTKVTCESVKRIQASPPLKHLSPIVEHSPKAKIKEDEPLQQDHAKQVMEDVDATVGKAKNRTPPSFTRRPSFPPRRQLGTESPLHTETKRAHNKVIKERAKSPCRHVNGLDNDLIEPTGFPIAPPSPLRGIQMKVGNSRAKSAPTRAAIKEDGGASSSSTIDYAENTEQSEPPKLDSPAQLVSTCKCSIPDTAIQKHDLTATPSSEPNTNFQSMASNADVCENSSLEPSSDISEQVCVFKGNVPCSTISQSSAMVTVQNDEDKFTVQELLSSVADIAPFVSTKNFAVDKGSPPIQSLERAPSPHLNPPIEDVIHVIRHSSFRVSGEQAAAENAEMGIQSSDVGKLLGVVREDVDSRSVPSNNLVPYRVPDCAAPKPNISETNIVSSKTACSDVKFPTVPEVNSTSTDINNGFKEESAPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGMMNDEASRSSM >LPERR01G32890.2 pep chromosome:Lperr_V1.4:1:27632479:27638635:-1 gene:LPERR01G32890 transcript:LPERR01G32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEANQIESPKGGDEPPESERRELSQVRRSRGFAGERPLAATGAAPHSAVERRGSTGTLSGAARRGVAGAPPILANVGGESRGIASGVVLLLVGDAEIGGCVERLGDMDSRMDHYEVMEQIGRGAFGAAILVNHKIEKKRYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKKLLKWFAQLALAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSSSMKSLIKSMLRKSPEHRPTASDILKNPYLQPYVNQYRPFADISHPIHSLEKPVTSSRSSQRSMSGSQCSSISGSDIDSIQSSERNMSGPSTSSNNTIDTEGAEVTDHVSAIKCSRSDDIRNNKETVGPELERQDSSKSIHVDQRTRNEIKQPKIIKKILTTLREESKLRQNNSPVRASRVKLNSPNNREQLSDDSKHSSDISSSSRSSEVTSRESTKVTCESVKRIQASPPLKHLSPIVEHSPKAKIKEDEPLQQDHAKQVMEDVDATVGKAKNRTPPSFTRRPSFPPRRQLGTESPLHTETKRAHNKVIKERAKSPCRHVNGLDNDLIEPTGFPIAPPSPLRGIQMKVGNSRAKSAPTRAAIKEDGGASSSSTIDYAENTEQSEPPKLDSPAQLVSTCKCSIPDTAIQKHDLTATPSSEPNTNFQSMASNADVCENSSLEPSSDISEQVCVFKGNVPCSTISQSSAMVTVQNDEDKFTVQELLSSVADIAPFVSTKNFAVDKGSPPIQSLERAPSPHLNPPIEDVIHVIRHSSFRVSGEQAAAENAEMGIQSSDVGKLLGVVREDVDSRSVPSNNLVPYRVPDCAAPKPNISETNIVSSKTACSDVKFPTVPEVNSTSTDINNGFKEESAPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGMMNDEASRSSM >LPERR01G32900.1 pep chromosome:Lperr_V1.4:1:27645055:27645303:-1 gene:LPERR01G32900 transcript:LPERR01G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLPHGRSHGMVVEPSVARYTTDQSTRPCSTQQLFSGRTIRCLLQIEARVITSPTYRTWPHGAATAYIEDSGEYCCDDDN >LPERR01G32910.1 pep chromosome:Lperr_V1.4:1:27645334:27649171:-1 gene:LPERR01G32910 transcript:LPERR01G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEVISMEEFAVILGKHFTSLYPQVSEATVTITERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSVLKTTQSGFEKFVRDHYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLHRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKSPRANGGFQFTSRFILSILKHALEFADDVYLPTDEPHGTIEATCFIVRFGPIVLNHKKVEHHITEALLGPNMGRIIQSRTESMQNSILRLENKEKSNGVLVIVHTCRIVRAVLTMSWSNRAGGFSEYESSTRAGH >LPERR01G32920.1 pep chromosome:Lperr_V1.4:1:27656526:27657291:-1 gene:LPERR01G32920 transcript:LPERR01G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTESRRAAEIPGPRQMPRRASGPASERHRGYLHFSVMQASIQRPSVLSILADRPSARRYEFNLLFTKTSKATRTVLVHARRFSKDQCGCSNIQESDYVCSGGSSARLYWSICGCISLEAIDCTEGLSLAADLGFQKMRLAPDSSNVIRSLGENHMGAYGHVVKEIKARANDFQDVQFVYKSRKSNVDAHILARGSVSLETGRHVWLLAPPDVTIYDQLRQQLMLVYLKKRTIIDSLRCP >LPERR01G32930.1 pep chromosome:Lperr_V1.4:1:27657520:27658935:-1 gene:LPERR01G32930 transcript:LPERR01G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDVPPALAGRIRVVDIALPRVDRLPEHAEATIDLPSDDLRPCLRRAYDDAFAAELSRLLQQEEPSRPDWVLADYAAHWASAAAARHGVPCAFLSLFGAAALCFFGPAETLMGRGPYARTEPAHLAAVPAYVPFPTTVAFRGYEARELFNPGYIPDDSGVSEGYRFGQSIEGCQLVAIRSSREFEPEWLELLGELYQKPVIPIGMFPPPPPRQDLAGGHEETLRWLDRQAPDSVVYAAFGSEVKLTAEQLQRIALGLEESGLPFIWAFRAPVDAGDGDGMPDGFEERVDGRGVVCRGWIPQVRFLAHGSVGGFLTHAGWNSVAEGLANGVRLVLLPLVFEQGLNARHLVDKKVAVEVARDEDDGSFAAKDIADAVRRVMVEEEGEAFGVKVKELAKVIGDDEVNDRYGRDFLKCLSEYKIKQQR >LPERR01G32940.1 pep chromosome:Lperr_V1.4:1:27662645:27668733:1 gene:LPERR01G32940 transcript:LPERR01G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASPLRDSLRRLCADVGWSYAVFWRATRAADSQQLQLVWGDGHCEPEAGAPAISGFEAMDLLLKEKAAALRSGAGRSGGGGGAAGHGQDRVEALVHKAMAQQVHVVGEGVIGQAALTGLHRWILHDSLDECEEEDEVLLEMKDQFSAGMQTVAVIPVLPQGVIQLGSTKMVMEEAAFIDHVRSLFQLLGSSTAIVPCGSFVQDSIMKTLLLKSLGVTTSSHSEDHPAGGKTYNDDMINHQFRNQMSPASTIQSFNPVHQFYAGPTFCRPVTIASRCDLFQSDSGSTFTLNSQSEDNNTSTVLPKNNVLNSQTSNDAFPHAFSPLNEPNITISGRREPVSIEQHASCRNGEIEITKGCTASSSCAGDTNILNKVNASLSRDRLVDCQTSNATAVNRKFQTMSIVDNTKLQDGSCSPDAAFVDSARYSNCFQPLLGTLHGSSSSNSNAVHVDTSHNGVPGVNDFCPLRDRNIANSSGLPELLMSPIPLELTGGNDLFDVLQLQHKQNSSNDSEANNPVSVSYGSEQAVKSLIECVDDDFAGLITEADPDQLLDAIASKIITGQKQNVDTGASCSSSAASIDKPLHSDCHPYTTGASSGQIFYNFTSVSPVTIKNKVTAEGFRQSSSSKDKSEGCSQTEQSYKSQIRLWVENNNSMGSDSLSTGQASDSLSAGHCKRNDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGEPKIVSQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLSPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENISLPRNSFFPSSIPATGFSNSL >LPERR01G32940.2 pep chromosome:Lperr_V1.4:1:27662645:27668475:1 gene:LPERR01G32940 transcript:LPERR01G32940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASPLRDSLRRLCADVGWSYAVFWRATRAADSQQLQLVWGDGHCEPEAGAPAISGFEAMDLLLKEKAAALRSGAGRSGGGGGAAGHGQDRVEALVHKAMAQQVHVVGEGVIGQAALTGLHRWILHDSLDECEEEDEVLLEMKDQFSAGMQTVAVIPVLPQGVIQLGSTKMVMEEAAFIDHVRSLFQLLGSSTAIVPCGSFVQDSIMKTLLLKSLGVTTSSHSEDHPAGGKTYNDDMINHQFRNQMSPASTIQSFNPVHQFYAGPTFCRPVTIASRCDLFQSDSGSTFTLNSQSEDNNTSTVLPKNNVLNSQTSNDAFPHAFSPLNEPNITISGRREPVSIEQHASCRNGEIEITKGCTASSSCAGDTNILNKVNASLSRDRLVDCQTSNATAVNRKFQTMSIVDNTKLQDGSCSPDAAFVDSARYSNCFQPLLGTLHGSSSSNSNAVHVDTSHNGVPGVNDFCPLRDRNIANSSGLPELLMSPIPLELTGGNDLFDVLQLQHKQNSSNDSEANNPVSVSYGSEQAVKSLIECVDDDFAGLITEADPDQLLDAIASKIITGQKQNVDTGASCSSSAASIDKPLHSDCHPYTTGASSGQIFYNFTSVSPVTIKNKVTAEGFRQSSSSKDKSEGCSQTEQSYKSQIRLWVENNNSMGSDSLSTGQASDSLSAGHCKRNDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGEPKIVSQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLSPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENISLPRNSFFPSSIPATASLCEGSFSAGNY >LPERR01G32940.3 pep chromosome:Lperr_V1.4:1:27662645:27670611:1 gene:LPERR01G32940 transcript:LPERR01G32940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASPLRDSLRRLCADVGWSYAVFWRATRAADSQQLQLVWGDGHCEPEAGAPAISGFEAMDLLLKEKAAALRSGAGRSGGGGGAAGHGQDRVEALVHKAMAQQVHVVGEGVIGQAALTGLHRWILHDSLDECEEEDEVLLEMKDQFSAGMQTVAVIPVLPQGVIQLGSTKMVMEEAAFIDHVRSLFQLLGSSTAIVPCGSFVQDSIMKTLLLKSLGVTTSSHSEDHPAGGKTYNDDMINHQFRNQMSPASTIQSFNPVHQFYAGPTFCRPVTIASRCDLFQSDSGSTFTLNSQSEDNNTSTVLPKNNVLNSQTSNDAFPHAFSPLNEPNITISGRREPVSIEQHASCRNGEIEITKGCTASSSCAGDTNILNKVNASLSRDRLVDCQTSNATAVNRKFQTMSIVDNTKLQDGSCSPDAAFVDSARYSNCFQPLLGTLHGSSSSNSNAVHVDTSHNGVPGVNDFCPLRDRNIANSSGLPELLMSPIPLELTGGNDLFDVLQLQHKQNSSNDSEANNPVSVSYGSEQAVKSLIECVDDDFAGLITEADPDQLLDAIASKIITGQKQNVDTGASCSSSAASIDKPLHSDCHPYTTGASSGQIFYNFTSVSPVTIKNKVTAEGFRQSSSSKDKSEGCSQTEQSYKSQIRLWVENNNSMGSDSLSTGQASDSLSAGHCKRNDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGEPKIVSQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLSPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENISLPRNSFFPSSIPATGFSNSL >LPERR01G32940.4 pep chromosome:Lperr_V1.4:1:27662645:27668733:1 gene:LPERR01G32940 transcript:LPERR01G32940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASPLRDSLRRLCADVGWSYAVFWRATRAADSQQLQLVWGDGHCEPEAGAPAISGFEAMDLLLKEKAAALRSGAGRSGGGGGAAGHGQDRVEALVHKAMAQQVHVVGEGVIGQAALTGLHRWILHDSLDECEEEDETVAVIPVLPQGVIQLGSTKMVMEEAAFIDHVRSLFQLLGSSTAIVPCGSFVQDSIMKTLLLKSLGVTTSSHSEDHPAGGKTYNDDMINHQFRNQMSPASTIQSFNPVHQFYAGPTFCRPVTIASRCDLFQSDSGSTFTLNSQSEDNNTSTVLPKNNVLNSQTSNDAFPHAFSPLNEPNITISGRREPVSIEQHASCRNGEIEITKGCTASSSCAGDTNILNKVNASLSRDRLVDCQTSNATAVNRKFQTMSIVDNTKLQDGSCSPDAAFVDSARYSNCFQPLLGTLHGSSSSNSNAVHVDTSHNGVPGVNDFCPLRDRNIANSSGLPELLMSPIPLELTGGNDLFDVLQLQHKQNSSNDSEANNPVSVSYGSEQAVKSLIECVDDDFAGLITEADPDQLLDAIASKIITGQKQNVDTGASCSSSAASIDKPLHSDCHPYTTGASSGQIFYNFTSVSPVTIKNKVTAEGFRQSSSSKDKSEGCSQTEQSYKSQIRLWVENNNSMGSDSLSTGQASDSLSAGHCKRNDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGEPKIVSQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLSPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENISLPRNSFFPSSIPATGFSNSL >LPERR01G32950.1 pep chromosome:Lperr_V1.4:1:27669064:27670293:-1 gene:LPERR01G32950 transcript:LPERR01G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASAAAAEVPSYFVCPISLQLMRDPVTLPTGISYDRAVIARWLATRVTCPVTRQPLEPGLELTPNHTLRRLIQSWAATVSPGSVADDEVATLRPVSRDEIVSLLSDAAAARVGALRRLHELVSECEDSREMLESQDGVFDALSRVVTGSGVDACSTAREEAVGVLASLRIPEHELVAVATRHGNLAESLAAVLRSSNNTQSRAHAAQLVRTLADAVVPAWVIGLNAELIAEVVGVVRDRVSARATKASLHALAALCPYGRHRVKIVGAGGVSAVVELLLDEPERRTCELALALLDRLCTCAEGRAELVAHAAGVAVVGRKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQGGVVGKLLMALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPSFLALYPS >LPERR01G32960.1 pep chromosome:Lperr_V1.4:1:27682492:27683496:-1 gene:LPERR01G32960 transcript:LPERR01G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHHHEAMLPYAPRPPSLLVDRRYKQAGAEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSARSASDRASDSVFGHRFTGPVRPDMVLEGLVGNNPANPGQVMPDVAGAAADGSTIDLAMLYAKFLNHPTPTDATGLGVGAVTPDSAGGHVDDTFDTSFSASSDLSPGILAPARFDHPSQDGFGEWSSPASGNDPTSTATTTNMLCTDASVQAALGELNFAMDQSCFDALGLPSTDGDGCAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHEGLIGAPDHDWSVDCQGLEALYMP >LPERR01G32970.1 pep chromosome:Lperr_V1.4:1:27698451:27699086:1 gene:LPERR01G32970 transcript:LPERR01G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQQLQHARRLLSNAAADVASPGPEIAAAPARPAPFSSLDATVITVLSLLLCVLVVGLVLHALARCAFRVTRRVCYGQEPPGDHHDVEEAAAARLATPARKKKPGRAIGDRIPAVVCPAGGFDGGRQLAGGCGSTECAICLAEFAQGDRVRVLPRCSHGFHARCIDRWLAARQTCPTCRREPFAKPEPAAAVQLQVVYTDAGGQGQHETP >LPERR01G32980.1 pep chromosome:Lperr_V1.4:1:27711199:27714958:1 gene:LPERR01G32980 transcript:LPERR01G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVAVDYEQELETARSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >LPERR01G32980.2 pep chromosome:Lperr_V1.4:1:27711209:27714958:1 gene:LPERR01G32980 transcript:LPERR01G32980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVAVDYEQELETARSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >LPERR01G32990.1 pep chromosome:Lperr_V1.4:1:27719693:27725077:1 gene:LPERR01G32990 transcript:LPERR01G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVFKMFLYSKKSLRFVFVVVLRLVQMNGAGICEIGGAVDLAPSAEMKITALLVLKPTSSGAGGSSSSASAGGGSGGPEAVVLANATDVSHFGFFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCVVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDSAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQKPTDTVVFYCKINCRKACPSIKDRQNCYSLVIKKLRGFMFKSD >LPERR01G32990.2 pep chromosome:Lperr_V1.4:1:27719693:27724991:1 gene:LPERR01G32990 transcript:LPERR01G32990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVFKMFLYSKKSLRFVFVVVLRLVQMNGAGICEIGGAVDLAPSAEMKITALLVLKPTSSGAGGSSSSASAGGGSGGPEAVVLANATDVSHFGFFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCVVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDSAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQKPTDTVVFYCKINCRKACPSIKDRQNCYSLVIKKLRGFMFKSD >LPERR01G33000.1 pep chromosome:Lperr_V1.4:1:27725835:27729695:1 gene:LPERR01G33000 transcript:LPERR01G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASAHWHISAIFLLCEIEIRSSVEYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKSMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTYAYSQHLTLLMKLCVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSYEDVEEIKGLYAAQAK >LPERR01G33000.2 pep chromosome:Lperr_V1.4:1:27725531:27729695:1 gene:LPERR01G33000 transcript:LPERR01G33000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASAHWHISAIFLLCEIEIRSSVEYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKSMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTYAYSQHLTLLMKLCVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSYEDVEEIKGLYAAQAK >LPERR01G33010.1 pep chromosome:Lperr_V1.4:1:27728851:27730123:-1 gene:LPERR01G33010 transcript:LPERR01G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPTPRLNERILSSLSRRSVAAHPWHDLDTGADAPAVFNVVVEISKGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTTARDAIQYSMDLYAQYMAQSLQH >LPERR01G33030.1 pep chromosome:Lperr_V1.4:1:27732661:27735463:-1 gene:LPERR01G33030 transcript:LPERR01G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAQA >LPERR01G33040.1 pep chromosome:Lperr_V1.4:1:27741311:27744415:1 gene:LPERR01G33040 transcript:LPERR01G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDDLDDSPAIVPESRWASLPPELLREVVRRLESDESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLSAKRHRKTTCTEYTISMVSSNISRSSRTYIGKLRSNFLGTKFIIYDTQPPYNGAIVPHVGRTSKRFNSTKVSPKVPSITYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFNSARFSDINGSIMGSDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAASPPPAGAPNPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >LPERR01G33040.2 pep chromosome:Lperr_V1.4:1:27741216:27744415:1 gene:LPERR01G33040 transcript:LPERR01G33040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDDLDDSPAIVPESRWASLPPELLREVVRRLESDESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLSAKRHRKTTCTEYTISMVSSNISRSSRTYIGKLRSNFLGTKFIIYDTQPPYNGAIVPHVGRTSKRFNSTKVSPKVPSITYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFNSARFSDINGSIMGSDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAASPPPAGAPNPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >LPERR01G33040.3 pep chromosome:Lperr_V1.4:1:27740662:27744415:1 gene:LPERR01G33040 transcript:LPERR01G33040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDDLDDSPAIVPESRWASLPPELLREVVRRLESDESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLSAKRHRKTTCTEYTISMVSSNISRSSRTYIGKLRSNFLGTKFIIYDTQPPYNGAIVPHVGRTSKRFNSTKVSPKVPSITYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFNSARFSDINGSIMGSDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAASPPPAGAPNPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >LPERR01G33050.1 pep chromosome:Lperr_V1.4:1:27752419:27760948:1 gene:LPERR01G33050 transcript:LPERR01G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPDGEESNMLRRPAAMLAARSLRKAYVPPSLLSDTSPGSRQPTRLAVHDNAAGSSCSAYFASGCRVYKIEISMEGDMLSKGKESLLIPINAEVISSSVVDRCPHRSEIQSVVLAEGEGDGCLILGTVDSYGHLIVSRLDTVADGHPIQYHLVIVVSEKEVGPGYVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAHCMPQVNESGSMLAIAEGSQLSIWDLRMSNNGGCIHRISGPVGGIIYSVCSSPSGLVAVGGTDRTVTIYDPRRWTALSRWVGCSKYEAFRFRQLMNLSFMSKVLIMRLRVDFGRKVSELSHFEGTLTGWCANTDVVAGWCESGSVFVADVRPDSLSLEG >LPERR01G33050.2 pep chromosome:Lperr_V1.4:1:27752419:27760948:1 gene:LPERR01G33050 transcript:LPERR01G33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPDGEESNMLRRPAAMLAARSLRKAYVPPSLLSDTSPGSRQPTRLAVHDNAAGSSCSAYFASGCRVYKIEISMEGDMLSKGKESLLIPINAETLTGHPIQYHLVIVVSEKEVGPGYVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAHCMPQVNESGSMLAIAEGSQLSIWDLRMSNNGGCIHRISGPVGGIIYSVCSSPSGLVAVGGTDRTVTIYDPRRWTALSRWVGCSKYEAFRFRQLMNLSFMSKVLIMRLRVDFGRKVSELSHFEGTLTGWCANTDVVAGWCESGSVFVADVRPDSLSLEG >LPERR01G33060.1 pep chromosome:Lperr_V1.4:1:27757623:27760359:-1 gene:LPERR01G33060 transcript:LPERR01G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPSHTTSDLARFAAGRGGSTGLGSMNVEEILRGIYADMPTPSLAPVVDGGRPMSPPPAPGIAAAAAAPRTAEEVWKEITGGVGVAAAAPDVVAAGGGGGAGGGAGAAEMTLEDFLAREGGAVKEDVVAGPSASAVEGQVVMGFLNGAEVAGGVTGGGRSRKRQLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVMQLEEENAKMFKEQEEQHQKRLKELKEMITPVVVRKMPSRDLRRTNSMQWCS >LPERR01G33060.2 pep chromosome:Lperr_V1.4:1:27757899:27760359:-1 gene:LPERR01G33060 transcript:LPERR01G33060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPSHTTSDLARFAAGRGGSTGLGSMNVEEILRGIYADMPTPSLAPVVDGGRPMSPPPAPGIAAAAAAPRTAEEVWKEITGGVGVAAAAPDVVAAGGGGGAGGGAGAAEMTLEDFLAREGGAVKEDVVAGPSASAVEGQVVMGFLNGAEVAGGVTGGGRSRKRQLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVMQLEEENAKMFKEQEEQHQKRLKELKEMITPVVVRKMPSRDLRRTNSMQW >LPERR01G33070.1 pep chromosome:Lperr_V1.4:1:27762515:27771614:1 gene:LPERR01G33070 transcript:LPERR01G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVLRSMDARFSGSVDADGFPYSRQPGFGHSKSTTASPGYSKEQEHVYVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDAKRSALIASELSEIDAFGSLSRDILEATSGLSKSVPKLKIAILVVGTRGDEFGHYVRLATHANFRTFVKSAGVDFYPLGDMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQEFSQWLQQGLKPIYIGFGSMPLGDEKRVTSIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIGFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPSTDVVEEERLDFFQWFSQALEKCCFPFNP >LPERR01G33070.2 pep chromosome:Lperr_V1.4:1:27762515:27771614:1 gene:LPERR01G33070 transcript:LPERR01G33070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVLRSMDARFSGSVDADGFPYSRQPGFGHSKSTTASPGYSKEQEHVYVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDAKRSALIASELSEIDAFGSLSRDILEATSGLSKSVPKLKIAILVVGTRGDVQPFIALAKRLQANMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQEFSQWLQQGLKPIYIGFGSMPLGDEKRVTSIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIGFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPSTDVVEEERLDFFQWFSQALEKCCFPFNP >LPERR01G33070.3 pep chromosome:Lperr_V1.4:1:27762515:27771614:1 gene:LPERR01G33070 transcript:LPERR01G33070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVLRSMDARFSGSVDADGFPYSRQPGFGHSKSTTASPGYSKEQEHVYVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDAKRSALIASELSEIDAFGSLSRDILEATSGLSKSVPKLKIAILVVGTRGDEFGHYVRLATHANFRTFVKSAGVDFYPLGGDPRILAQPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQEFSQWLQQGLKPIYIGFGSMPLGDEKRVTSIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIGFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPSTDVVEEERLDFFQWFSQALEKCCFPFNP >LPERR01G33070.4 pep chromosome:Lperr_V1.4:1:27762515:27771614:1 gene:LPERR01G33070 transcript:LPERR01G33070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVLRSMDARFSGSVDADGFPYSRQPGFGHSKSTTASPGYSKEQEHVYVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDAKRSALIASELSEIDAFGSLSRDILEATSGLSKSVPKLKIAILVVGTRGDDICILQRHLGYPCISSSLFHGRRPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQEFSQWLQQGLKPIYIGFGSMPLGDEKRVTSIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIGFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPSTDVVEEERLDFFQWFSQALEKCCFPFNP >LPERR01G33070.5 pep chromosome:Lperr_V1.4:1:27762515:27771614:1 gene:LPERR01G33070 transcript:LPERR01G33070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVLRSMDARFSGSVDADGFPYSRQPGFGHSKSTTASPGYSKEQEHVYVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDAKRSALIASELSEIDAFGSLSRDILEATSGLSKPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQEFSQWLQQGLKPIYIGFGSMPLGDEKRVTSIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIGFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPSTDVVEEERLDFFQWFSQALEKCCFPFNP >LPERR01G33080.1 pep chromosome:Lperr_V1.4:1:27772701:27780277:1 gene:LPERR01G33080 transcript:LPERR01G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQEEPNRILLVTIHHMIYPITIEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYESRQSAIQAYGALHGRNIYDGCCQLDIQYSKYGMCLTELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.2 pep chromosome:Lperr_V1.4:1:27772701:27780277:1 gene:LPERR01G33080 transcript:LPERR01G33080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFNPSYSMSHVKVQYKLMGRNIYDGCCQLDIQYSKYGMCLTELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.3 pep chromosome:Lperr_V1.4:1:27772701:27784669:1 gene:LPERR01G33080 transcript:LPERR01G33080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFNPSYSMSHVKVQYKLMGRNIYDGCCQLDIQYSKYGMCLTELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.4 pep chromosome:Lperr_V1.4:1:27772701:27784669:1 gene:LPERR01G33080 transcript:LPERR01G33080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQEEPNRILLVTIHHMIYPITIEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYESRQSAIQAYGALHRFHKSIVAHRTTFKIISDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.5 pep chromosome:Lperr_V1.4:1:27772701:27780277:1 gene:LPERR01G33080 transcript:LPERR01G33080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFNPSYSMSHVKVQYKLMRFHKSIVAHRTTFKIISDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.6 pep chromosome:Lperr_V1.4:1:27772701:27784669:1 gene:LPERR01G33080 transcript:LPERR01G33080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQEEPNRILLVTIHHMIYPITIEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYESRQSAIQAYGALHRFHKSIVAHRTTFKIISALPSHTKHLLHFVIANITPHQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33080.7 pep chromosome:Lperr_V1.4:1:27772701:27784669:1 gene:LPERR01G33080 transcript:LPERR01G33080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAPPKPTLPGASVPIFVPQAPPPAAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGVWWELRGRMAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNAIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFNPSYSMSHVKVQYKLMRFHKSIVAHRTTFKIISDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLVVSNLNTDKIDEDKLFNLFSIYGNIVRIKILKNKPDHALIQMADGLQAELAVHYLKGATLFGKKLEVNYSKYPTVTPDPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDSILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATDALVEKHASKLDRTNIRISFSQMQNI >LPERR01G33090.1 pep chromosome:Lperr_V1.4:1:27781224:27784561:-1 gene:LPERR01G33090 transcript:LPERR01G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSSPLRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIRFVDGFFSPLYAQLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVLLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQARKENTM >LPERR01G33090.2 pep chromosome:Lperr_V1.4:1:27781439:27784561:-1 gene:LPERR01G33090 transcript:LPERR01G33090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSSPLRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIRFVDGFFSPLYAQLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVLLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRIMT >LPERR01G33100.1 pep chromosome:Lperr_V1.4:1:27786810:27788994:1 gene:LPERR01G33100 transcript:LPERR01G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRTNILHIMGLSDDMKIVFKSVYSSLPDPPISLHAPLASSRAAHPPLDGVDRISALPSEILRDIISRLPAKEAARTSALSSRWRGLWHSVPLVVADSHLKHIGRPPRVDELDHRGGILLRAIDGMGDAAPRVSCALAAHPGPFRGVHLTCTPMDAYEIEIALWLQLLVAKGVEELVLAHRASDLETDVPGRRRAPSLRQFPPHLRELGMCSMIMGGKDIAYMLDRCPVLENLAIVSARGPVRLRVASNTLRCVEVTSSLVKEIIVERAPRLERLMFWEAWGMGGTVDMTAGLIGMHTSVKIGHAPNLRVLGFLVPTMHELNIGNTIIMTGTKASPRTMMSSVKTLGIQVKLFDHNEVRMLPSFLRRFPNVETLYIQSETIPAMPPGKLSPRFLQETGPIDCLQKHIKKVIIREFRLQRCELDFIKFIAERGQVLEKIVVVLTHSCSSMADRLHASLRTFMASARVASEDYEMIVCESPSPVDATVWCFQGAFNMSKDPFDVSQCFKDGASCRAA >LPERR01G33110.1 pep chromosome:Lperr_V1.4:1:27791011:27793410:-1 gene:LPERR01G33110 transcript:LPERR01G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEAAATLMCFDLADQRGPRGGGGWPVKGGDDDGEYVGRAAAAAAATAMTLAGASELMSGYYQAQEMSTMVSALARVVAGGDGEPDQWSWPAPSPPSSSAAAWAAHGGSGVQERSREEQAMHAYGGVPSPEFAGSEQSSDTQSASAAAMEDHHSPVAGEGGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSTTATAPPPPAPIATASASPDIYPGATAAAQSSDYLYHQMLLQGRHTHTAAATNNQGGLLPFYGGGGSMSNPYGGGAMSGFLGSYYSFPTSSVSVATVPSSASSTSGYYYPSSHGGDSHQSVAAAEEWNWENALVYPATATAASWSDSSYHNPPPPHTQQ >LPERR01G33120.1 pep chromosome:Lperr_V1.4:1:27811732:27817083:-1 gene:LPERR01G33120 transcript:LPERR01G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRVYEAWKGNNRFFLGGRLIFGPDAKSLLFSIALIVVPVAVFCLFVARHLRHQFPAYNAGYAILAVAILLAIYVLLLLFITAAQDPGIVPRASHPPEEEFQYDNLSLADTPGRLVFPRVKDVMRNYRYFFLFVSSASLLCIYVFSMSALYIKFLMDENYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRSNVYDRGCLDNFLEVFYTKVPPSKHKFREPVQEEVRAPPANRAREVEEEPVGARTKVEDDLDIGGDLLKISQRHNHDGIDIEMGSGDGNNRDGTVSDSKLISKTDAQVPSFKDEVQHPSRGQRSGSWDLASEGTTSVSGSAPALPSRREAL >LPERR01G33120.2 pep chromosome:Lperr_V1.4:1:27811734:27817083:-1 gene:LPERR01G33120 transcript:LPERR01G33120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRVYEAWKGNNRFFLGGRLIFGPDAKSLLFSIALIVVPVAVFCLFVARHLRHQFPAYNAGYAILAVAILLAIYVLLLLFITAAQDPGIVPRASHPPEEEFQYDNLSLADTPGRLVFPRVKDVMRNYRYFFLFVSSASLLCIYVFSMSALYIKFLMDENYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRSNVYDRGCLDNFLEVFYTKVPPSKHKFREPVQEEVRAPPANRAREVEEEPVGARTKVEDDLDIGGDLLKISQRHNHDGIDIEMGSGDGNNRDGTVSDSKLISKTDAQVPSFKDEVQHPSRGQRSGSWDLASEGTTSVSGSAPALPSRREAL >LPERR01G33130.1 pep chromosome:Lperr_V1.4:1:27820806:27830388:-1 gene:LPERR01G33130 transcript:LPERR01G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSADAGASGRRSRARARGSEAVARSSALERLKAIRDGGARAADAVKVEAPIYDTVAEEDYAALVARRRKDAGDFIVDDDGLGYVDDGQEEDWTHRAIPSSSDEGSDGEDGGRRKRKQPRPQAKRPPQQSPAAASLSAAAAMMGKQRLSSMFTSSVFKKPGSDRGKGSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPVPAPIAQIKAEKVTFDTETAFRSDNGFEASGAFDHGNDMDVELKPDVEMERRPDATPGCSAEMVNDNNSLEEPKQEANGEAKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGENAGGEGALAANSNADVDESSEFELKDGALPFYILDAYEEPFGANSGTLYLFGKVEVSKRFHSCCVVLKNMQRCIYAIPSSSVFPRDTISRLERNSTSSNSSPSLRATLHELASGLKSEIADKLSDFNVSSFAMTPVKRNYAFERTDLPNGEQYVLKVNYPYKDPALPTDLRGKHFHALLGTNNSALELFLIKRKIKGPSWLSISKFAACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGNIFPIGLSKEASDRNQKAGSNVLALESSERALLNCLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVASNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRKEVSPHDIPPMFQSSGALLKLVDYGETDACLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKFAHSKEFNSTKRKMNPDTEVANPDEADPSIDDEAHHVDQGKAKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSSDGNVPNLPASKTTGVLPESLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKIALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQGTESTHSGGIAQRARHPEELKRDPEKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRSTDSSNQDSSSMLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLITGSSTGNVSDLNEGKDANINFWRWMRCPRCPDDTDESTVSPAVLANQMKRQADNFINLYYKGLLMCDDEGCKYSTHNVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFVTLSQTINSALMEIQKIRDRCAFGWVQLKDLAISI >LPERR01G33130.2 pep chromosome:Lperr_V1.4:1:27820806:27830388:-1 gene:LPERR01G33130 transcript:LPERR01G33130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSADAGASGRRSRARARGSEAVARSSALERLKAIRDGGARAADAVKVEAPIYDTVAEEDYAALVARRRKDAGDFIVDDDGLGYVDDGQEEDWTHRAIPSSSDEGSDGEDGGRRKRKQPRPQAKRPPQQSPAAASLSAAAAMMGKQRLSSMFTSSVFKKPGSDRGKGSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPVPAPIAQIKAEKVTFDTETAFRSDNGFEASGAFDHGNDMDVELKPDVEMERRPDATPGCSAEMVNDNNSLEEPKQEANGEAKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGENAGGEGALAANSNADVDESSEFELKDGALPFYILDAYEEPFGANSGTLYLFGKVEVSKRFHSCCVVLKNMQRCIYAIPSSSVFPRDTISRLERNSTSSNSSPSLRATLHELASGLKSEIADKLSDFNVSSFAMTPVKRNYAFERTDLPNGEQYVLKVNYPYKDPALPTDLRGKHFHALLGTNNSALELFLIKRKIKGPSWLSISKFAACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGNIFPIGLSKEASDRNQKAGSNVLALESSERALLNCLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVASNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRKEVSPHDIPPMFQSSGALLKLVDYGETDACLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKFAHSKEFNSTKRKMNPDTEVANPDEADPSIDDEAHHVDQGKAKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSSDGNVPNLPASKTTGVLPESLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKIALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGLKQNGYSGCSAGDTVPYIICSQQGTESTHSGGIAQRARHPEELKRDPEKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRSTDSSNQDSSSMLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLITGSSTGNVSDLNEGKDANINFWRWMRCPRCPDDTDESTVSPAVLANQMKRQADNFINLYYKGLLMCDDEGCKYSTHNVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFVTLSQTINSALMEIQKIRDRCAFGWVQLKDLAISI >LPERR01G33140.1 pep chromosome:Lperr_V1.4:1:27831386:27837818:1 gene:LPERR01G33140 transcript:LPERR01G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRIAFIATALLVLILPPQMIVVAKSAGNTSPRPKQQQLGNFFKKHGSDMSGLIPRQSNGRSGRYSGRSVPADGGSTGGGQSQDPATNAGMYVSSLNVGTPSQLITAVLDITSDFVWMQCSDPTCATCAAQPSTSTSTPPFYTFLSSTIRAVRCANRACQQLVPQTCDAATAATGDDPLCGYSYTYGGGRANTTTGFFAVDALALATVGTDDVVFGCALATEGDIGAVIGLGRGELSFVSQLNIGRFSYYLAPDVDDGSNIQSFILFLDDAKPRTSRAVSTPLLASRAFPSLYLVELAAIRVAGEDLAIPRGTFDLQTDGSGGVVMSISVPVTFLDSGAYEILRQAMASAIGLNTVDGSALGLDLCYPSESLATAKVPAMALVFAGGAVMELEMGNFFYMDSTTGLECLTILPSPAGDGSLLGSLIQIGTHMIYDIKGSRLVFESLQQAPPPPPPSQQASRPSKSYKQGVGRRSSSPPPPLISPAIVVIHFMLVVMCRFHRAMLSPLPSSSSSSCRKWPTQASQASSHASPWAQGIRNSSVISSRTTAPTWPTNSRRARTSRYQQPGGDDGGGSGSSIQAPATNGGMYVYSYSIGTPTQHVTGALDISSDLVWTACDVPTLQGATPFFPILSTSADLVPCADTTCQQFVQQTCGSGAGDSVVGVDVDVSRCRYTYMYGGGRENTTGYLATETFTFDATSRVDRVVFGCGMDNTGDFGGASGVIGLGRGNLSLVSQLGADRFSYHFAPDDSSSSAAADSFILFGDDATPQTSRNFSARLLSSDAYPSLYYVDLAGVQVDGKDLAVPHGTFDLRKDGSGGVVLSITMLVTLLDEAAYKPLRQAMASKIGLRAVDGSALGLDLCYNSQSLATAKVPSMALVFAGGAVMELEMRNYYMEVTTGLSCLTIMPSPAGDGSILGSLIQVGTHMIYDIKGSKLVFESLDQQAPPPSGSSSQESSQKPNKDAAGRRSSSGPAPPMISPAVFVIHFMLAVVNMLV >LPERR01G33150.1 pep chromosome:Lperr_V1.4:1:27840138:27842259:-1 gene:LPERR01G33150 transcript:LPERR01G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSKLPWSEAERQCDIWRHRHRHSLALGRDRCPIIITLCYVSMKLSSAYGPAWRTAIGGGRSRRLRPLSRPCAVAQEACRAYRRQGEAGVNLSASLRAVAIDWVCGWSRRTVESVFRMADRQGKVSGLIRHTIDKRKDVVRWRRGVATYKQQRRMQVPMSRSGYHLLHEDSAFGGDDRSPVRSGLAQDGDSRERSSNPCTVAGSRRTLFLFLKTRFYHLNSSVQIPKPNSKNSNVERNNGDTEASNVTLNMHVFYKSTVTTPIQYEKGHY >LPERR01G33160.1 pep chromosome:Lperr_V1.4:1:27848058:27850734:1 gene:LPERR01G33160 transcript:LPERR01G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLFVGGVSPDTVDVELHYYFSRYGYVADIWLRRDAVTGLPRRYAFVQFMLPADAARALADKNHIINGQKVYVGIAEPTKSSTRLINQMSKFLCQRIYRIDNSNFRIGDRIICTLGPLYGKYEESDYINNLRRFGVMKGNVLTFDCVIDYISQDGQYAYISIVLNRSDAKPIHGNISSTRFVGRTSAKFCRYCQQAVTPGGTNSNYDGMVHTDACLIYQESFVPHYPYGVIIANSWVPIGSFIIDV >LPERR01G33170.1 pep chromosome:Lperr_V1.4:1:27852693:27856865:1 gene:LPERR01G33170 transcript:LPERR01G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTPSADPLSAAATEPLISSSPGEIAASTACGVPLPQYHSHRELVPSHKSPQRRSIPSACRNRRRHGRLRRRLSLQRALGLLSSSSSTLAEPGSKRINGNPVFVATHVGCLSSGKRLHDQRYRSEKSWVVTCEGARLPASYDASDALASLTVLGNTIKGSRPYQNSGVNAKYHPA >LPERR01G33170.2 pep chromosome:Lperr_V1.4:1:27852710:27856865:1 gene:LPERR01G33170 transcript:LPERR01G33170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSWIPDRVAPPGDSGGNQAEAMVCRKHPQLFGPTEEDPTSSVQPDLTGSTVAWFFSSLRSDYIVSCSFLVRLICFLFIPSG >LPERR01G33180.1 pep chromosome:Lperr_V1.4:1:27855965:27858821:-1 gene:LPERR01G33180 transcript:LPERR01G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSADDHPLAEEDAGISDAFIQSDDHPLAEEDAGISDAFIQSDDHLLAEHSGIKDFVVKAVDNLLAKDDSGKSDVVDQHLPTGITDVVVQAVDQLADLNLSDDRALVTGDAAPVIPGAAHLRYIDAAARAHADDAVITYPAPPYAITSSAFAEAGHVFPFLGAPYGPAFASTPPSAANHAWPVSTSSHDPFAAADAYFAQIGSVVAASMESVTSSSTVSGFYTITNSPSSNSDMIPVSYTTVLSTTSPSGVAPSTFPPVSALCYLPVPSFTTTRFHPVATAFAYPDPRLATGFPSRYLIPVAPPLSTGVLIPVAPPLSTGALIPVAPPLSTGDLIPLPPPREPLLSRGNENTRLCRYYFSGRHCSLGERCTFSHYYNQTRLLPSRVSHVAESSTSTGLPLPPPSSSSTATAAGRHGVFYKTKLCSEYMSGGLCLFSINCTFAHGQAELRPSCNYIRSGRTCPHGRGRGRGGDPRWRKHGVILVPGREGEGERRETTACALLGSGQGFGLLGGFGRKDQKSRGRLDKEEHKGNDGAGTGETDAL >LPERR01G33190.1 pep chromosome:Lperr_V1.4:1:27871913:27872440:1 gene:LPERR01G33190 transcript:LPERR01G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAHTAAAASADQFVSAPLSGGRRSGVVHRPHGQVRHAGAPLRPPRVVHLHVGDPDTRCTARRRATDRVHLRRRVARVRGHALRLAPGFVSAYPDRRGEVLHDTTHSTEFLRLSPAGGLWPAANFGEGVIIGVIDTGVWPESASFDDAGRVAARALAVARRVRGWSGLHPRHV >LPERR01G33200.1 pep chromosome:Lperr_V1.4:1:27879243:27882522:1 gene:LPERR01G33200 transcript:LPERR01G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSQALSIAFLLLVLVLMPEMAYPGKLPRFKMGPRTNKQLRDFFKNHGSDMADQLPSDQSQQGGGSSSTSNGQNQQPGGDDSGGSPTQAPATNAGMYIYSYSIGTPPQHVTGALDISSDLVWTACDVPTLQGATPFFPILSSTAAVVPCTGTTCQQFVQQTCGSGSGAGDSVVGVGVSRCGYNYMYGGGRKNTTGYLATETFTFDATSRVDSVVFGCGMDKTGDFGGASGVIGLGRGNLSLVSQLGADRFSYHFAPDDSSADSFILFGDDATPQTNRKFSTPLLSSDANPSLYYVDLAGVQVDGKDLAVPRGTFDLRKDGSGGVVLSITMLVTLLDEAAYKPLRQAMATKIGLRTVDGSALGLDLCYNSQSLATAKVPSMALVFAGGAVMELEMRNYFYMDVTTGLSCLTIMPSPAGDWSILGSLIQVGTHMIYDIKGSKLVFESRLHDTTHSTEFLRLSPAGGLWPAANFGEGVIIGVIDTGVCPESASFDDAGLPPVPSRWRGECEPGQDFTPGMCNRKLIGARYFNRGLVAANPNVTISMNSTRDTLGHGTHTSSTAGGSPAPCASFFGYGRGTARGVAPCARVAMYKAIWPEGRFASDVLAAMDAAIADGVDVISISSGFDAVPLYEEPVAIAAFAAIERGILVSASAGNEGPRLGTVHNGIPWLLTVAAGTVDRQMFAGSIYFGDNNTQSTITGITRYPENAWIKDMSLVYNDTVSACNSSTLLATLVQSIVVCYDTGILFDQIQTAAEAGVSAAIFISNITLIPQSQMTFPAIIIDPNAAASLLSYINSSAQPTATIQFQQTIIGTRPAPVVARYSSRGPSRSYDGVLKPDIMAPGDSILAAWAPVAPLAQVGRTAFGSDFAVESGTSMACPHAASVAALLRAAHPDWSPAMIKSAMITSATNVDNTFRPIGDGDAAASPLAIGAGQVDPNAAMDPGLVYDAGPEDFVEFLCSTNFTAAQIMAITRSRSFNCSFSTNDMNYPSFIAIFGANDTSGDMRFFRTVTNVGTGAATYQAFSVSPSNVLVTVSPKTLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVVWEDVSGKYRVRTPFVVL >LPERR01G33210.1 pep chromosome:Lperr_V1.4:1:27884535:27884723:1 gene:LPERR01G33210 transcript:LPERR01G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLARGRGDPFFYLTLFPSSPTTWMDWGTPEVVEHSLDGRSTVRRRGGHCALKASDDGGS >LPERR01G33220.1 pep chromosome:Lperr_V1.4:1:27886223:27888493:1 gene:LPERR01G33220 transcript:LPERR01G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAFAAAVVALCVAAAASAETDTYIVHMDKSAMPSGGGNGSTTLESWYAATLQAAAPGASMIYVYRNAMSGFAARLSAEQHDALSRSPGFLASYRDAPVMQRDTTHTPEFLGVSRAGGLWDTSGYGDGVIVGVVDTGVWPESGSYRDDGLPPVPSRWKGYCESGTRFDAAKACNRKLIGARKFSAGLAAALARGNVTIAVNSPRDTDGHGTHTSSTAAGSPVPGASYFGYAPGVARGMAPRARISVYKVLFDEGGYTTDIVAAIDQAITDGVDVLSISLGLNNRPLYTDPVAIGAFAAMQHGIFVSSSAGNDGPDLRVLHNGAPWALTVAAGTVNREFSGVVELSDGTTVIGESLYAGSPPIIQSTPLVYLGSCDNYTVIRQNRDKIVLCDAQASYNALQTAVFLVENAGAAGGLFLSNDSFKLLFEQFTFPGAILSPRDGPTILRYIQRTGSPTAKVTFRATLLNTKPAPEAAAYSSRGPATSCPTVLKPDLMAPGSLVFASWAESKPVVGNLTSPFNIISGTSMSTPHAAGIAAVLKEAHPEWSPAAIRSAMMTTATSLDNTGRSINDMARNGLAATPLAMGSGHIEPNRAADPGLVYDAGAGDYVRLLCAMGYNVTQIRLVANWPTYAVNCSGATSPDLNYPSFIAYFDRRSATAETKTFTRVATNVGAGAASYSAKVKGNLGGLAVSVTPNRLVFSKKGETQKYTLVMHGKIKSRDKVLHGSLTWVDDAGRYTVRSPIVATTLVVPTTL >LPERR01G33230.1 pep chromosome:Lperr_V1.4:1:27889007:27894371:-1 gene:LPERR01G33230 transcript:LPERR01G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRLQPPRFMPLPPRRRVAGGGHHRRRRRGGGAGPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIVDAASAAREKGRHKEEAEGKKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAIVVIEQFARLNGLTGRKVQRIFEALAPDHVHNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIGSAVAGVADVSTAHYLFRALVGSEKGLSLDLWTTYIGELLKVHHGRQTHKSGDHFFSDEQVLCIGSSRKRPVLKWEENTAWPGNLTLTNKALYFEAIGLTGTNKPMRLDLTNRNSRVEKAKVGPLGSKLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHRVYGAHKGKKTAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLTTNSKAANQRTSQWHRPSEDSSSVHAHIFDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVVLSKSLVVADKSLVEKAMVACREKSKIAEKTQATIVAATIEGIPSNIDLFKELILPFAILSENFEKLKRWENPRSTACFLLVAYTIIFRNMLSYVFPFALMMMALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQHLNVSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFIFDQFTRELEFRREMVKAFMNFVKERWESIHAAPVVVLPYEDSGENKTLPAKSTQPTKSGSVQSSDTYMNSKNGTYTLDI >LPERR01G33230.2 pep chromosome:Lperr_V1.4:1:27889007:27894371:-1 gene:LPERR01G33230 transcript:LPERR01G33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRLQPPRFMPLPPRRRVAGGGHHRRRRRGGGAGPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIVDAASAAREKGRHKEEAEGKKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAIVVIEQFARLNGLTGRKVQRIFEALAPDHVHNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIGSAVAGVADVSTAHYLFRALVGSEKGLSLDLWTTYIGELLKFYAPVFTVEYSTISTRFRMLLVNTTLSAEYLISVFTDTCYRVHHGRQTHKSGDHFFSDEQVLCIGSSRKRPVLKWEENTAWPGNLTLTNKALYFEAIGLTGTNKPMRLDLTNRNSRVEKAKVGPLGSKLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHRVYGAHKGKKTAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLTTNSKAANQRTSQWHRPSEDSSSVHAHIFDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVVLSKSLVVADKSLVEKAMVACREKSKIAEKTQATIVAATIEGIPSNIDLFKELILPFAILSENFEKLKRWENPRSTACFLLVAYTIIFRNMLSYVFPFALMMMALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQHLNVSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFIFDQFTRELEFRREMVKAFMNFVKERWESIHAAPVVVLPYEDSGENKTLPAKSTQPTKSGSVQSSDTYMNSKNGTYTLDI >LPERR01G33240.1 pep chromosome:Lperr_V1.4:1:27895342:27898145:1 gene:LPERR01G33240 transcript:LPERR01G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPQPVAPMPVVAPAAGRRKGAAASRKWLVVPSVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLAYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDGVALAPFLSDLRSRLSSPPGNVQSLALGAKTPPFEFTVLELCLEHACKDLESQTESLEKEAYPALDKLGSKVSTLNLDHVRNLKSRMVDLSGRVQKVRDELEHLLDDDMDMSEMYLTRKLSFQGFSGSLSRADSNKYASVDHDDDREEEDHDDETESSVYVKPDIEELEMLLEAYFVQIDGTLNKLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIELMKEPEDAKEAMMSNIYFWETTLGTVFGCVAIYLLAIFAGKKSKILQ >LPERR01G33250.1 pep chromosome:Lperr_V1.4:1:27895913:27910203:-1 gene:LPERR01G33250 transcript:LPERR01G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEPEQVVSEVGKRLAQPRLGKDALVKLLKKAESALSDLSQSPSLHEALHPLSKSLAQTTLLNHKDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFINVFADLSETSSPYLSRRILILTNVAALRCSVIMLDIGCQDLVLDMVKTFFSAVKQGPSQIVCQAMISIMTQILNEHVTQPLLDVILRNLVKEDKGAAHKLAVDIVQNCAEKLEPILRAFLSSCIFNKDAPVNEVRKPHHKIILEIFQCAPQMLFAVIPHLTHELLSDQVNVRLEAVHLIGRLLVLSNLRFAQENQLIYMEFLKRFSDKSAEVRIAAVDAAKACYMAVSFGNEAKDILTSLEGRLLDFDDKVRIRAVAAVCDLAKSNLGSIPSEVILQAAERLRDTKVSVRKNVKLKLLDLYRDYCNKCSKGTATVNTHYEQIPAKLIILCFEKDSGIFRPQNMELILAEELFPSSLSSKERASHWVEFFFHFKSKHIKALQIILSQKRRLQLEMQAYLSLRAKKEEPSDEIQKKICASFRKMSTAFADTSNVEECFKNLHQLKDNNIFKDLTELNNEGSSFATVWSIRDLFLKRIGNKHTLYNFCKILSVKCSHSIFNREMISVILEGVFSRRNELTSYVEAAFDLLLVVSEVFPSLFQGSEEYLTKLFSKESILINEKTLQMLAHLAKSGCNLSIVFSGEVYQVLEQKCIEGTRAETKYAVTAIASLIQSPDDEKFGRLCERVVDALDDNYNVPTLLQTLGLILEHSPSMYELYDKQIINFVQNILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEQFKLIALSSENDRPYLKLAAGKSVLQLATRWDSHISPKLFRGAVLIARDSSYAVRKSFICKLRGLFREHPIPVKYACAFALASTDCSRDVRTESARYLTEVLKEQSRLFVRQNTTKQSLVDHPAYAVVFLIHTLAYDKEFPTKLCENKISVEFWSPLVVMLRALVEMDDINRSEHGHNTSSVPILLGIFRATQKAESLSDAEDLAECAITHECCQEDFVNDSFVKRILGAHGPCIRPDYAKSSGIAEKISTEFAADRKACSSLSNIVGHKASCNDRGKRSKKQDQTTKHSLENEKVSSCGSAGTKLSSPASLSLAKEADSIDSISLLENQNRSESRSSTGETRALGTHCNYSSCREPVMKDTGKVLVGRRIRLWSACDMRFICGTVETYDQSNGFHKIVYENGDKELVRLECQKWEFISDTTSTVKDIPNCHPICCDPDEDVGDIDDNILKWPFSKNKTVAGLKKNSKRALDLSNAQSSSGLTVFNPVDNSSRTVNSKGGVLAPSARLCTFP >LPERR01G33250.2 pep chromosome:Lperr_V1.4:1:27898080:27910203:-1 gene:LPERR01G33250 transcript:LPERR01G33250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEPEQVVSEVGKRLAQPRLGKDALVKLLKKAESALSDLSQSPSLHEALHPLSKSLAQTTLLNHKDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFINVFADLSETSSPYLSRRILILTNVAALRCSVIMLDIGCQDLVLDMVKTFFSAVKQGPSQIVCQAMISIMTQILNEHVTQPLLDVILRNLVKEDKGAAHKLAVDIVQNCAEKLEPILRAFLSSCIFNKDAPVNEVRKPHHKIILEIFQCAPQMLFAVIPHLTHELLSDQVNVRLEAVHLIGRLLVLSNLRFAQENQLIYMEFLKRFSDKSAEVRIAAVDAAKACYMAVSFGNEAKDILTSLEGRLLDFDDKVRIRAVAAVCDLAKSNLGSIPSEVILQAAERLRDTKVSVRKNVKLKLLDLYRDYCNKCSKGTATVNTHYEQIPAKLIILCFEKDSGIFRPQNMELILAEELFPSSLSSKERASHWVEFFFHFKSKHIKALQIILSQKRRLQLEMQAYLSLRAKKDLFLKRIGNKHTLYNFCKILSVKCSHSIFNREMISVILEGVFSRRNELTSYVEAAFDLLLVVSEVFPSLFQGSEEYLTKLFSKESILINEKTLQMLAHLAKSGCNLSIVFSGEVYQVLEQKCIEGTRAETKYAVTAIASLIQSPDDEKFGRLCERVVDALDDNYNVPTLLQTLGLILEHSPSMYELYDKQIINFVQNILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEQFKLIALSSENDRPYLKLAAGKSVLQLATRWDSHISPKLFRGAVLIARDSSYAVRKSFICKLRGLFREHPIPVKYACAFALASTDCSRDVRTESARYLTEVLKEQSRLFVRQNTTKQSLVDHPAYAVVFLIHTLAYDKEFPTKLCENKISVEFWSPLVVMLRALVEMDDINRSEHGHNTSSVPILLGIFRATQKAESLSDAEDLAECAITHECCQEDFVNDSFVKRILGAHGPCIRPDYAKSSGIAEKISTEFAADRKACSSLSNIVGHKASCNDRGKRSKKQDQTTKHSLENEKVSSCGSAGTKLSSPASLSLAKEADSIDSISLLENQNRSESRSSTGETRALGTHCNYSSCREPVMKDTGKVLVGRRIRLWSACDMRFICGTVETYDQSNGFHKIVYENGDKELVRLECQKWEFISDTTSTVKDIPNCHPICCDPDEDVGDIDDNILKWPFSKNKTVAGLKKNSKRALDLSNAQSSSGLTVFNPVDNVSRFEKNTWDSSLVRFFTFSIIPIGS >LPERR01G33250.3 pep chromosome:Lperr_V1.4:1:27898080:27910203:-1 gene:LPERR01G33250 transcript:LPERR01G33250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEPEQVVSEVGKRLAQPRLGKDALVKLLKKAESALSDLSQSPSLHEALHPLSKSLAQTTLLNHKDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFINVFADLSETSSPYLSRRILILTNVAALRCSVIMLDIGCQDLVLDMVKTFFSAVKQGPSQIVCQAMISIMTQILNEHVTQPLLDVILRNLVKEDKGAAHKLAVDIVQNCAEKLEPILRAFLSSCIFNKDAPVNEVRKPHHKIILEIFQCAPQMLFAVIPHLTHELLSDQVNVRLEAVHLIGRLLVLSNLRFAQENQLIYMEFLKRFSDKSAEVRIAAVDAAKACYMAVSFGNEAKDILTSLEGRLLDFDDKVRIRAVAAVCDLAKSNLGSIPSEVILQAAERLRDTKVSVRKNVKLKLLDLYRDYCNKCSKGTATVNTHYEQIPAKLIILCFEKDSGIFRPQNMELILAEELFPSSLSSKERASHWVEFFFHFKSKHIKALQIILSQKRRLQLEMQAYLSLRAKKDLFLKRIGNKHTLYNFCKILSVKCSHSIFNREMISVILEGVFSRRNELTSYVEAAFDLLLVVSEVFPSLFQGSEEYLTKLFSKESILINEKTLQMLAHLAKSGCNLSIVFSGEVYQVLEQKCIEGTRAETKYAVTAIASLIQSPDDEKFGRLCERVVDALDDNYNVPTLLQTLGLILEHSPSMYELYDKQIINFVQNILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEQFKLIALSSENDRPYLKLAAGKSVLQLATRWDSHISPKLFRGAVLIARDSSYAVRKSFICKLRGLFREHPIPVKYACAFALASTDCSRDVRTESARYLTEVLKEQSRLFVRQNTTKQSLVDHPAYAVVFLIHTLAYDKEFPTKLCENKISVEFWSPLVVMLRALVEMDDINRSEHGHNTSSVPILLGIFRATQKAESLSDAEDLAECAITHECCQEDFVNDSFVKRILGAHGPCIRPDYAKSSGIAEKISTEFAADRKACSSLSNIVGHKASCNDRGKRSKKQDQTTKHSLENEKVSSCGSAGTKLSSPASLSLAKEADSIDSISLLENQNRSESRSSTGETRALGTHCNYSSCREPVAKCLSDVASDCGQHIVYENGDKELVRLECQKWEFISDTTSTVKDIPNCHPICCDPDEDVGDIDDNILKWPFSKNKTVAGLKKNSKRALDLSNAQSSSGLTVFNPVDNVSRFEKNTWDSSLVRFFTFSIIPIGS >LPERR01G33260.1 pep chromosome:Lperr_V1.4:1:27911344:27912792:-1 gene:LPERR01G33260 transcript:LPERR01G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKTIVLYPGLYVSHFVPMMQLADAILDHGYAVAVALIDVTMDDDPTFAAAVRRGIATAAKAKPSITFHKLPRIKNPPALTSIVGYLETVRRYNDHLREFLLRSGARSGVHAVVVDAPSVVEALDVVTRELGIPAYSFFASNASALAVFLHLPWIRVEGDATLDIAGVPPMPASHLMPEMLEDPESETYAATTRMIRATLDADGVLVNTFASLESRAVRALGDPRFLPRAAHREMPPVYCVGPLVVLGKEDDDERKENCSARHECLVWLDEQPDRSVVFLCFGGTGAVTHTPEQITEIAVGLENSGHRFLWVLRPPRGGNDDDMVALLPHGFLVRTSGRGLVVRPWAPQAEALRHRATGAFVTHCGWNSALEAIVSRVPMLCWPMYAEQGMNKVVMVEEIGVGVEVAGWKWRREEEGGNGVVMADEVEGKIRLVMESEEGERLRSSVAAHGDAAAVAWKDGGSSRAALSLLLSDVDARGLD >LPERR01G33270.1 pep chromosome:Lperr_V1.4:1:27913615:27916674:-1 gene:LPERR01G33270 transcript:LPERR01G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLAVVAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSSFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETEFKLRGHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYSAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGETL >LPERR01G33280.1 pep chromosome:Lperr_V1.4:1:27916986:27924148:1 gene:LPERR01G33280 transcript:LPERR01G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRAGAGVGGGRDASVFFAATLVLWAVSVGFEIGARGRRELAPAAAGFAFFQAANAAVRTAVSRDPLFVNTAVSLLHSSLTSASVIFVLVSRWHNKDLGNMFEHEELFGGSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSIFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLVIDAHKFSSGIELPLAFLGMIGMNLLNIFLGLDLVKAYTRERNQQIHQD >LPERR01G33290.1 pep chromosome:Lperr_V1.4:1:27930574:27935492:1 gene:LPERR01G33290 transcript:LPERR01G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECRQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDTGSDEEEKEDEERPEENEEEEDEYDKRVKEVHASGELRMSSLRI >LPERR01G33290.2 pep chromosome:Lperr_V1.4:1:27930574:27936843:1 gene:LPERR01G33290 transcript:LPERR01G33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECRQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDTGSDEEEKEDEERPEENEEEEDEYDKRVKEVHASGELRMSSLRI >LPERR01G33300.1 pep chromosome:Lperr_V1.4:1:27934135:27940906:-1 gene:LPERR01G33300 transcript:LPERR01G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGGSGEGRPHDPPPRPPPRPQLTKSRTISGSAASAGSILVRRSSTAPLPPAMARGLLTVAVDEPSYAAPNGGGMLDRDWCYPSFLGPHASRPRPPRSQQQTPTADRRNPTPPAAAAPPPTASVSQREEEKSLASVVKRPVMLDERRPLSPPPPPQRAASRFDLSPYLVLMLVVTVTSFSLAIWQWMKVKEKIRSCCGVSTVDCKATAETFNINRGHGSDFINSADWNLASCSTMFVFAIPVFLFKYIDQLRRRNTNSIRVRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALFVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLVSFPEAVPCGVKLASKAGKILINPDNDYVLQEGDEVLVIAEDDDTYAPAPLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLSNIKLVHKEGNAVIRRHLENESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANADQAIINPEQKSETRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVVNDMQHSS >LPERR01G33300.2 pep chromosome:Lperr_V1.4:1:27934135:27940906:-1 gene:LPERR01G33300 transcript:LPERR01G33300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGGSGEGRPHDPPPRPPPRPQLTKSRTISGSAASAFERGGIGGGGGGARERDISNSGSILVRRSSTAPLPPAMARGLLTVAVDEPSYAAPNGGGMLDRDWCYPSFLGPHASRPRPPRSQQQTPTADRRNPTPPAAAAPPPTASVSQREEEKSLASVVKRPVMLDERRPLSPPPPPQRAASRFDLSPYLVLMLVVTVTSFSLAIWQWMKVKEKIRSCCGVSTVDCKATAETFNINRGHGSDFINSADWNLASCSTMFVFAIPVFLFKYIDQLRRRNTNSIRVRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALFVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLVSFPEAVPCGVKLASKAGKILINPDNDYVLQEGDEVLVIAEDDDTYAPAPLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLSNIKLVHKEGNAVIRRHLENESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANADQAIINPEQKSETRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVVNDMQHSS >LPERR01G33310.1 pep chromosome:Lperr_V1.4:1:27946616:27949409:-1 gene:LPERR01G33310 transcript:LPERR01G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEAPSFSSAFVLPCVFVIAMAAVESAMVFADATDTVAADRPLSGSQRLLVSSRGKFALGFFQPESSHNWYLGIWYNQISKHTTVWVANRGSPITNPGTSQLTISTDGNMVLLDHSSRTPIWSTNISKITSNSTIGIILDTGNLVLADASNTSIILWQSFDHFGNTWLPSSKLGRNKFPGVSTRLVAWKSRNDPAPGVFSLELDPNGTSQYLLEWNSTKQYWKSGNWTGRIFADVPEMTGCYPSSTYSFDFISGENESESYFVYDVKDESVLTRQQRWTPVPVDGGEQARWWRPGGADWRRSG >LPERR01G33320.1 pep chromosome:Lperr_V1.4:1:27953535:27955225:-1 gene:LPERR01G33320 transcript:LPERR01G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDNFNMSVAYQPLGRAVPDWLNKGDNAWQMVSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHKLLPFWGKARPALGQSFLLAQAVLPQTTQFLKDGATVETPWVNPFYPMATMVYFQCVFAAITLILLAGSLLGRMNIMAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGMLSGSIPWFTMMVVHKRSKLLQQVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCGLFLPVTNSRGAFYGGGGGMQFVRQVAGALFIIAWNVVVTSAICLVVRLVVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNNDTHHNNNKAPSGVTQNV >LPERR01G33330.1 pep chromosome:Lperr_V1.4:1:27957654:27964022:-1 gene:LPERR01G33330 transcript:LPERR01G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAGGDPRAWLAVDETAAAVLSRSLSTRPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKAVMYLDLDCRFDVLRLAQVLRRRITECRGSTNPTNEEISQDGTTNSSFENILFSDCMKRFVYNIHSQSQAKNEVLGVGIYFLMIDSIGSFYWMDRDSQPIAESKGRTLSLQSMTETVVQKLRKFLQLQPVLVMVTKAPVYGEGFTTGNDFQRGTSKHTLEDSTMRCTGQEEEKRMSCREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLHTSEWMQPSLNAKDKFYITDIVLHFETATR >LPERR01G33340.1 pep chromosome:Lperr_V1.4:1:27977282:27997261:1 gene:LPERR01G33340 transcript:LPERR01G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTIFAVVSGGDESAVELLLRAAALVPARHYALAALSVVSVLAYWLLELHVIGDLLRGFRGGRVELTFHPASDIYHGVVSKCRSLHGRYLATPWLASPHLQTLFLGIFGGPPSFTYKRQLYTANDGGTIALDWLLATNSEDSDWNLSKDAWAPLLYVKHLAYSMSRKGYNTVVSNHRGLGGVSITSDRLYHAGFTQDVREVINYLHHKYPKVPMLCVGTSIGANILVGDRFISRKFMQGFYDRALAFGLKGYAELHQPLLSRLSNWEGIKKLRSIREFDKHVSCIVAKYETVDTYYRHNSSASYVGNVSIPLLCVNALDDPVCTREAIPWDECRANKNIILATTPNGGHLAFFEGLTAQRLWWTGVVSEFLFTLLDSKYMHRQKSLISKSPYVNVMENGIIAPVTDDVPCDDVITSNQMVNDIKLDNGDITQLNEDAKEAVAAGGGGESAVELLLRAAALVPLEHYALAALAVVSVLVYRFLELHVVGDLLRGFRGGRVELTFHPASEIYHGVASKCRSLHGRYLVTPWIASPHLQTLFLGICGRPPSFTYKRQLYTAHDGGTVALDWLLAIDSEDGILSKDASAPLIVIVPGLTSDSAAAYVKHLAYSMARKGCNTVVSNHRGLGGVSITSDCLYNAGFTQDVREVINYLHHKYPKAPMLCVGTSIGANILVKYLGEEGENTPVAGAAAICSPWDLLVGDRFISRKLVQRLYDKALAFGLKGYAKLHQPVLARLANWEGIKKSRSIRDFDHHATCIVAKYETVDTYYRRCSSASYVGNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWVGAVSEFLFTLLDSKHMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDFTSSNQIVNDIKVDNGDIAQQNEHAKEIDDANTTEVNAMPSQIPEQPAEQQGEEHFVNKFHDAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLPAKWPRR >LPERR01G33340.2 pep chromosome:Lperr_V1.4:1:27977282:27994618:1 gene:LPERR01G33340 transcript:LPERR01G33340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTIFAVVSGGDESAVELLLRAAALVPARHYALAALSVVSVLAYWLLELHVIGDLLRGFRGGRVELTFHPASDIYHGVVSKCRSLHGRYLATPWLASPHLQTLFLGIFGGPPSFTYKRQLYTANDGGTIALDWLLATNSEDSDWNLSKDAWAPLLYVKHLAYSMSRKGYNTVVSNHRGLGGVSITSDRLYHAGFTQDVREVINYLHHKYPKVPMLCVGTSIGANILVGDRFISRKFMQGFYDRALAFGLKGYAELHQPLLSRLSNWEGIKKLRSIREFDKHVSCIVAKYETVDTYYRHNSSASYVGNVSIPLLCVNALDDPVCTREAIPWDECRANKNIILATTPNGGHLAFFEGLTAQRLWWTGVVSEFLFTLLDSKYMHRQKSLISKSPYVNVMENGIIAPVTDDVPCDDVITSNQMVNDIKLDNGDITQLNEDAKEAVAAGGGGESAVELLLRAAALVPLEHYALAALAVVSVLVYRFLELHVVGDLLRGFRGGRVELTFHPASEIYHGVASKCRSLHGRYLVTPWIASPHLQTLFLGICGRPPSFTYKRQLYTAHDGGTVALDWLLAIDSEDGILSKDASAPLIVIVPGLTSDSAAAVSHFLIYDDIVSHFEDSLDL >LPERR01G33340.3 pep chromosome:Lperr_V1.4:1:27990598:27997261:1 gene:LPERR01G33340 transcript:LPERR01G33340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAGGGGESAVELLLRAAALVPLEHYALAALAVVSVLVYRFLELHVVGDLLRGFRGGRVELTFHPASEIYHGVASKCRSLHGRYLVTPWIASPHLQTLFLGICGRPPSFTYKRQLYTAHDGGTVALDWLLAIDSEDSDGILSKDASAPLIVIVPGLTSDSAAAYVKHLAYSMARKGCNTVVSNHRGLGGVSITSDCLYNAGFTQDVREVINYLHHKYPKAPMLCVGTSIGANILVKYLGEEGENTPVAGAAAICSPWDLLVGDRFISRKLVQRLYDKALAFGLKGYAKLHQPVLARLANWEGIKKSRSIRDFDHHATCIVAKYETVDTYYRRCSSASYVGNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWVGAVSEFLFTLLDSKHMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDFTSSNQIVNDIKVDNGDIAQQNEHAKEIDDANTTEVNAMPSQIPEQPAEQQGEEHFVNKFHDAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLPAKWPRR >LPERR01G33340.4 pep chromosome:Lperr_V1.4:1:27990598:27997261:1 gene:LPERR01G33340 transcript:LPERR01G33340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGCNTVVSNHRGLGGVSITSDCLYNAGFTQDVREVINYLHHKYPKAPMLCVGTSIGANILVKYLGEEGENTPVAGAAAICSPWDLLVGDRFISRKLVQRLYDKALAFGLKGYAKLHQPVLARLANWEGIKKSRSIRDFDHHATCIVAKYETVDTYYRRCSSASYVGNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWVGAVSEFLFTLLDSKHMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDFTSSNQIVNDIKVDNGDIAQQNEHAKEIDDANTTEVNAMPSQIPEQPAEQQGEEHFVNKFHDAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLPAKWPRR >LPERR01G33340.5 pep chromosome:Lperr_V1.4:1:27967354:27997261:1 gene:LPERR01G33340 transcript:LPERR01G33340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGDGDESAVELLLRAAALVPARHYALAAFAVVFVLVYRFLEFHVVGDLLRGFRGGRVELTFHPASEIYHGVVSKCRSLHGRYLATPWLASPHLQTLFLGIFGRPPSFTYKRKLYTTNDGATIALDWLLTTDSEDGILSKDTSEALVVIVPGLTSDSSAAYVKHLAYSLARKGCNIVVSNHRGLGGVSITSDRLYHAGFTQDVREVINYLHDKYPRAPMLCVGTSLGANIVVKYLGEEGENTPVAGAISICSPWDLLVGDRFISRKLVQRFYDKVMAIGLKGYAELHQPLLARVSNWEGIKKLRSIREYHQHVTCIVAKYETVDTYYRLNNSANYVGNVSVPLLCVNALDDPVCTKEAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWIGVVSEFLFTLLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDFTSSNQIVNDIKVDNGDIAQQNEHAKEIDDANTTEVNAMPSQIPEQPAEQQGEEHFVNKFHDAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLPAKWPRR >LPERR01G33340.6 pep chromosome:Lperr_V1.4:1:27990561:27997261:1 gene:LPERR01G33340 transcript:LPERR01G33340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAGGGGESAVELLLRAAALVPLEHYALAALAVVSVLVYRFLELHVVGDLLRGFRGGRVELTFHPASEIYHGVASKCRSLHGRYLVTPWIASPHLQTLFLGICGRPPSFTYKRQLYTAHDGGTVALDWLLAIDSEDSDGILSKDASAPLIVIVPGLTSDSAAAYVKHLAYSMARKGCNTVVSNHRGLGGVSITSDCLYNAGFTQDVREVINYLHHKYPKAPMLCVGTSIGANILVKYLGEEGENTPVAGAAAICSPWDLLVGDRFISRKLVQRLYDKALAFGLKGYAKLHQPVLARLANWEGIKKTVDTYYRRCSSASYVGNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWVGAVSEFLFTLLDSKHMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDFTSSNQIVNDIKVDNGDIAQQNEHAKEIDDANTTEVNAMPSQIPEQPAEQQGEEHFVNKFHDAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLPAKWPRR >LPERR01G33350.1 pep chromosome:Lperr_V1.4:1:28002033:28011178:1 gene:LPERR01G33350 transcript:LPERR01G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLRRSSETSLKALPSVARNTDPDQQGVRFNSMDQPYFARPGQSFPAFPPLFGVQSSSLYIPDDIEAKIGSQFESNPSLNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAEGSSEVQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPLSSNPALRHLSNTLGAPMVLGTSCNQRPSTNDEATIPDITKTSEYEELMNTLNTTQDEGDEIMKCQNPCGGEGSEPVPMEDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSEPAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKLPGASEQPQDSEAMNNMVGSVGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGES >LPERR01G33350.2 pep chromosome:Lperr_V1.4:1:28002033:28011227:1 gene:LPERR01G33350 transcript:LPERR01G33350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLRRSSETSLKALPSVARNTDPDQQGVRFNSMDQPYFARPGQSFPAFPPLFGVQSSSLYIPDDIEAKIGSQFESNPSLNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAEGSSEVQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPLSSNPALRHLSNTLGAPMVLGTSCNQRPSTNDEATIPDITKTSEYEELMNTLNTTQDEGDEIMKCQNPCGGEGSEPVPMEDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSEPAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKLPGASEQPQDSEAMNNMVGSVGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGES >LPERR01G33350.3 pep chromosome:Lperr_V1.4:1:28002044:28011227:1 gene:LPERR01G33350 transcript:LPERR01G33350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLRRSSETSLKALPSVARNTDPDQQGVRFNSMDQPYFARPGQSFPAFPPLFGVQSSSLYIPDDIEAKIGSQFESNPSLNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAEGSSEVQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPLSSNPALRHLSNTLGAPMVLGTSCNQRPSTNDEATIPDITKTSEYEELMNTLNTTQDEGDEIMKCQNPCGGEGSEPVPMEDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSEPAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKLPGASEQPQDSEAMNNMVGSVGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGES >LPERR01G33360.1 pep chromosome:Lperr_V1.4:1:28009064:28011081:-1 gene:LPERR01G33360 transcript:LPERR01G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSNSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANSVGTSKVTPFPLMATGQGTEGAPRLQRSRAVSRDLVRDWNFEEIAE >LPERR01G33360.2 pep chromosome:Lperr_V1.4:1:28009448:28011081:-1 gene:LPERR01G33360 transcript:LPERR01G33360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSNSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANSVGTSKVTPFPLMATGQGTEGAPRLQRSRAVSRDLVRDWNFEEIAVGN >LPERR01G33370.1 pep chromosome:Lperr_V1.4:1:28015505:28022675:1 gene:LPERR01G33370 transcript:LPERR01G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHAVTDALLLPRSEGAVAGAVDFRGRPASRAATGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGGCNLTSKSLACSPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNIGWGLGFGIPCLVMVFALIMFLLGTRNYRYYASTQSSPFARLARAFVALIKGSKHQALAVVDDDDNVGGGDHREEELKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGRSFTVPPAALQTFISVTIIAFIPIYDRAFVPVSRRLTGISSGITMLQRIGTGLVLALSAMAVAALVEARRLRVAAGAGMIDDPKSPLPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFANNLNRGHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVSYGGCELLLSPDSVMAADTVAGAVDYRGRPASRAATGGWRSSAFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLAVVADAWLGRFRAIVLASVIFILSMGALSMSPALPVSKAAHVAVFYVALYMVAVGEGAHKPCAQAFAADQFDEKDGGERDARSSFFNWWYFGMCAGTAVTIMVSSYVQDNVGWGLGFGIPCAVIVVSLSVFLLGTRSYRFYHSKPPSASSPVSRVAKVFVKLICSWRSSRRTNPASGGGGKGDGGEGGDLVEEVKSVIRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRRFTVPPAALQTFISVSIVAFIPLYDRAFVPLARRYTGRATGITMLQRVGAGLAFSLAAVSLSALVEARRLRVAAESGMADTPKARLPMSLWWMVPQYVLVGAADVFAMVGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDRMTARHGRSWFANNVNRAHLDYFYWLLAGLCAVELVVFVFFSRAYVYKRKGGVAAGNGDDGELV >LPERR01G33370.2 pep chromosome:Lperr_V1.4:1:28015505:28022675:1 gene:LPERR01G33370 transcript:LPERR01G33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHAVTDALLLPRSEGAVAGAVDFRGRPASRAATGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGGCNLTSKSLACSPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGIYVQDNVGWGLGFGIPCAVIVVSLSVFLLGTRSYRFYHSKPPSASSPVSRVAKVFVKLICSWRSSRRTNPASGGGGKGDGGEGGDLVEEVKSVIRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRRFTVPPAALQTFISVSIVAFIPLYDRAFVPLARRYTGRATGITMLQRVGAGLAFSLAAVSLSALVEARRLRVAAESGMADTPKARLPMSLWWMVPQYVLVGAADVFAMVGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDRMTARHGRSWFANNVNRAHLDYFYWLLAGLCAVELVVFVFFSRAYVYKRKGGVAAGNGDDGELV >LPERR01G33370.3 pep chromosome:Lperr_V1.4:1:28015505:28022428:1 gene:LPERR01G33370 transcript:LPERR01G33370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHAVTDALLLPRSEGAVAGAVDFRGRPASRAATGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGGCNLTSKSLACSPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNIGWGLGFGIPCLVMVFALIMFLLGTRNYRYYASTQSSPFARLARAFVALIKGSKHQALAVVDDDDNVGGGDHREEELKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGRSFTVPPAALQTFISVTIIAFIPIYDRAFVPVSRRLTGISSGITMLQRIGTGLVLALSAMAVAALVEARRLRVAAGAGMIDDPKSPLPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDRMTARHGRSWFANNVNRAHLDYFYWLLAGLCAVELVVFVFFSRAYVYKRKGGVAAGNGDDGELV >LPERR01G33390.1 pep chromosome:Lperr_V1.4:1:28051062:28056441:1 gene:LPERR01G33390 transcript:LPERR01G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPCWIKAANFQWHRQQEDFPVAPPSEKRKENSASSNSKCKENAADAASSKEKGKENAADAASAKERSKENADYDAGAKKGKEIAADAASAKERSKENADDDAGAKKGKEIAADAASAKERSKENADLAANTKEKGKEIADNGVNANEKVHLMLLPAVQLARN >LPERR01G33390.2 pep chromosome:Lperr_V1.4:1:28051062:28056441:1 gene:LPERR01G33390 transcript:LPERR01G33390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPCWIKAANFQWHRQQEDFPVAPPSEKRKENSASSNSKCKENAADAASSKEKGKENAADAASAKERSKENADYDAGAKKGKEIAADAASAKERSKENADDDAGAKKGKEIAADAASAKERSKENADLAANTKEKGKEIADNGVNANEKVHLMLLPAVQLARN >LPERR01G33390.3 pep chromosome:Lperr_V1.4:1:28053713:28055597:1 gene:LPERR01G33390 transcript:LPERR01G33390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYTMGDKAYAIEIEKLLDPDNVYFGSKQHHKGLDVVLGAESVAVILETEHFGFNARSLSESIQDEWENDGALATILDGLIGDTYISPVQVIKRVRWEVLEGCKLVLTRVFPLHYRPQDQMLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPCWIKAANFQWHRQQEDFPVAPPSEKRKENSASSNSKCKENAADAASSKEKGKENAADAASAKERSKENADYDAGAKKGKEIAADAASAKERSKENADDDAGAKKGKEIAADAASAKERSKENADLAANTKEKGKEIADNGVNANEKVHLMLLPAVQLARN >LPERR01G33390.4 pep chromosome:Lperr_V1.4:1:28053713:28056441:1 gene:LPERR01G33390 transcript:LPERR01G33390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYTMGDKAYAIEIEKLLDPDNVYFGSKQHHKGLDVVLGAESVAVILETEHVIKRVRWEVLEGCKLVLTRVFPLHYRPQDQMLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPCWIKAANFQWHRQQEDFPVAPPSEKRKENSASSNSKCKENAADAASSKEKGKENAADAASAKERSKENADYDAGAKKGKEIAADAASAKERSKENADDDAGAKKGKEIAADAASAKERSKENADLAANTKEKGKEIADNGVNANEKVHLMLLPAVQLARN >LPERR01G33400.1 pep chromosome:Lperr_V1.4:1:28072381:28073422:-1 gene:LPERR01G33400 transcript:LPERR01G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELVMRVVYLCEVHILKCVVSLYSAQYLAMLARDDKHVSQENENLRSQIALKTKELEHAENERVKLELAMKNKEINYLQK >LPERR01G33410.1 pep chromosome:Lperr_V1.4:1:28077001:28081751:1 gene:LPERR01G33410 transcript:LPERR01G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAESPSPSSPSSSSDFAALLDAELELTSTADSVSVGDPSASAASNDEECGYEEEEEDDVVVAAGEEDTLSCIKRRRVENQHQDRGTAMRPDDDAIGSSKDVQIEVCPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYIHKGLRLGASEIDRIRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSNAEIELGIQSAASKDVPDRNLFTLDTMQMLTKLRPFVRNFLIEASNMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQQHQKGLDVVLGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERENDGALATILDVLRRLHRIFFDSVIKRVRQEVLEGCKLVFTRVFPLHHRPQDQMLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPRWIEAANFRWHRQQEDDFPVAPPREKSKENSASTKEKGKENVADAASTKKKGKETAASAKENGKENAADAARAKERSKENADDDAGAKEKGKENADDDAGAGAKEKGKENAADAASKKERSKENADDAANTKKAKKIADNGVNANKIGTSDAAASGATGS >LPERR01G33410.2 pep chromosome:Lperr_V1.4:1:28078243:28081751:1 gene:LPERR01G33410 transcript:LPERR01G33410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDDDAIGSSKDVQIEVCPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYIHKGLRLGASEIDRIRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSNAEIELGIQSAASKDVPDRNLFTLDTMQMLTKLRPFVRNFLIEASNMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQQHQKGLDVVLGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERENDGALATILDVLRRLHRIFFDSVIKRVRQEVLEGCKLVFTRVFPLHHRPQDQMLWKMAEQLGAVCCTDVDSTVTHVIALDLGTEKARWAVKNNKFLVHPRWIEAANFRWHRQQEDDFPVAPPREKSKENSASTKEKGKENVADAASTKKKGKETAASAKENGKENAADAARAKERSKENADDDAGAKEKGKENADDDAGAGAKEKGKENAADAASKKERSKENADDAANTKKAKKIADNGVNANKIGTSDAAASGATGS >LPERR01G33420.1 pep chromosome:Lperr_V1.4:1:28094827:28110106:1 gene:LPERR01G33420 transcript:LPERR01G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLLAHSDEPSVISSNPDGRHRRGGWRAARFLIAVGFLERVGFNGVQGNLVMYLAGPMAMSTAAAAAGASAWSGTVQVLTLVGALAADSHIGRYRAIVAAGVLHLLSLGMLTISSMTQATYPHPVSSSPSPAPPSPARLVFFHGALYLLALAQGFHNPCSEAFGADQFTAPGDDAGARASRSSYFNWYHFFNSCGYALSNSALSYVEDSISWTVGFAACLATTAVYLPVFLLGTPTYRAEQPARRNDAADCTERLMEKEEVEDGNGKGLGFVVVKLLPIWLISIVFATAVSQQTTLFIKQGSTMERRIGGGLVVPSAALQCVVGFTVIVLLPVYDRAVVPVARRLTGHPAGITTLQRVGAGMAVSGLAMAVSALVESRRLRAASDAGLVDRPGDGETVPMGVWWLVPQYLLVGVARVLGDIGLDEFFYEQAPDGLRSVGLAMSLSVRGVGHYASGVLVAAIDWATRRGGGESWFADDLNRAHLDYFYWILAALAVLEVVVFVRIAKRFASQNKGEPAKPAMESGRLLAHSDEPSAGTKHDGRGGWRAALFVIAVGFLERIGFYGVQGNLIMYLTGPMGMSTAAAATAANAWGGTVLVLTLIGAAAADSHLGRYRAVVAAGVLYLMSLGMLTISSTLQPSHPHPASCNDGATACSPSHAFFYAALYLLALAQGFHKPCSEAFGADQFATNASRRSSYFNWFHFSISWGYAAATTVLSYLEDNVGWTAGFAACWATMVVYLAVFLLGTPTYRSEPPVAGAAPLAAVFDFYWKDDDIATERLLSKEEVEGDGKGFVVVKLIPIWLTSIVFATIASQDVTLFTKQGSAMDRRVIGGLVVPPASLQFAISATIVTLLPLYDRAVVPVARRLTGHPSGITTLQRVGAGMAVSGLAMAVAALVESRRRASAATMSVWWLVPQYVLLGVSRVLGIVGLEEFFYDQAPDGLRSVGLAMSLSVLGVGSYASGALVAAIDWATARGGGESWFADDIDRAHLDYFYWILAALAAVEVVVFVYIAKRYPLLPPPASAVDHLGRPASRRSTGRWPAALFIIGVEISERFAFGGIAGNLITYLTGPLGQSTASAAAAINAWAGVALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHRQQESGDNADSNVSSTMNIHVALFYLSLYMVGFAQGGHKPCVQAFGADQFDENDPEEYASRSSFFNWWYFGTYGSNIITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKVYRFYPLGSDTSLFGQVGKSLLAKIRWWCTSWCTKSSDDPQASSSQGDQDDAEKACFPDEAAAVLKLFPIGATCLIYAIVFAQWMTLFTKQASTLDRWIALVEKRRLMIARDFGLVDSPGATIPMSFWWVVPQFILSGLADIFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVLSVLGLEHHQQPP >LPERR01G33430.1 pep chromosome:Lperr_V1.4:1:28113131:28125304:1 gene:LPERR01G33430 transcript:LPERR01G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAQALLPPPPESPLIAVDHLGRPVSRRTSGRWSAALFLIGSEVAERFAYCGIMGTLVIYLTGPLRQPTAAAAAAINVWIGTSMLLPLLGSAVADSWLGRYRTIVCSSLLYILGLGMLTDSSVLAPGESSESSNLAAHVAFFYFSLYVVAFAQGGLKPCSQAFGADQFDENDPDELASRSAFFNWWFFAAYGGNTVTVSILQYVQESISWQIGFAIPCIAMAVSLAIFLIGTRTYRFYPPKTKGNLFGQVAKSSSMGDVNGLSSKHIPDEAAELVKLFPIWASSLIYAAVMAQCLTFFTKQASSMDRRVGNLVVPASSMQTVGNASIMIFVPIYDRIFVPVARKYTKNSCGITTLQRIGVGLVISIIMMIVAAFVEMRRLEVAKDFGLVDKPEVIVPMSFLWIIPQYILAALSDVFAVIGLQEFFYVQAPDSLRSFGMALFLSIVGVGNFISSFLVYAIDKVTSSFGDNRREWIERVPAMEEEEAQSLLSLPPPLAVDHLGLPASRRSSGRWPAALFIIGGEVAERFAFTGIMGTLVIYLTGPLRQPTAAAAAAINAWIGTSMLLPLLGSAVADSWLGRYRTIVGASFIYILGLVMLTVSSVLAPGESSERSNLAVHVAFFYFSLYVVAFAQGGHKPCSQAFGADQFDENDPDELASRSAFFNWWFFASYGGNTVTVSILQYVQESISWQLGFAIPCIAMAISLAIFLVGTRTYRFYPPKSKGNLFGHVPKLVATWIRHWSVISGSKLPDSSHELLPSSSEGDANNSSSKFVPHEAAELIKLFPIWASSLIYAAVMSQCFTFFTKQASSMDRRVGNLVVPASSMQTVGNASIMIFVPIYDKIFVPLARKYTKNPCGITTLQRIGVGLVISIIMMIVAALVEMRRLEIARDFGLVDKPEEVVPMSFLWIIPQYILAGLSDIFAVVGLQEFFYVQSPDSLRSFGAALFLSIIGVGNFISSFLVYAVDKVTSSFEESWFSNNLNRGHLDYFYLLLTVLNALALVCYLYFAQMYQHKKKVISVQGH >LPERR01G33430.2 pep chromosome:Lperr_V1.4:1:28119077:28125304:1 gene:LPERR01G33430 transcript:LPERR01G33430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAQSLLSLPPPLAVDHLGLPASRRSSGRWPAALFIIGGEVAERFAFTGIMGTLVIYLTGPLRQPTAAAAAAINAWIGTSMLLPLLGSAVADSWLGRYRTIVGASFIYILGLVMLTVSSVLAPGESSERSNLAVHVAFFYFSLYVVAFAQGGHKPCSQAFGADQFDENDPDELASRSAFFNWWFFASYGGNTVTVSILQYVQESISWQLGFAIPCIAMAISLAIFLVGTRTYRFYPPKSKGNLFGHVPKLVATWIRHWSVISGSKLPDSSHELLPSSSEGDANNSSSKFVPHEAAELIKLFPIWASSLIYAAVMSQCFTFFTKQASSMDRRVGNLVVPASSMQTVGNASIMIFVPIYDKIFVPLARKYTKNPCGITTLQRIGVGLVISIIMMIVAALVEMRRLEIARDFGLVDKPEEVVPMSFLWIIPQYILAGLSDIFAVVGLQEFFYVQSPDSLRSFGAALFLSIIGVGNFISSFLVYAVDKVTSSFEESWFSNNLNRGHLDYFYLLLTVLNALALVCYLYFAQMYQHKKKVISVQ >LPERR01G33440.1 pep chromosome:Lperr_V1.4:1:28122747:28123588:-1 gene:LPERR01G33440 transcript:LPERR01G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHISWIADLHLMLLNNCKMVYTTSRKNRAGMCIPQDVMIRGDDADALFGCLNAFLHLSDK >LPERR01G33450.1 pep chromosome:Lperr_V1.4:1:28128388:28135731:-1 gene:LPERR01G33450 transcript:LPERR01G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNVLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEDRLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHHRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYLEYEFRMPNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPVPAPYEQNGSDKKNRGNHSRAQKAARVSSSGSSIAAAIVEAENDLEAEARENKEDLKTMLKDALREKSDVFNSENPEEDKVKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGELNISFELGSPFKPFDQLMGVFPAASSHALPLQYRRLMSDPNSPIIDFYPIGILILMVQNICCCILPVNNFTSISSIYNADFEVDMNGKRYSWQGIAKLPFIDEGRGGMNGYISLCSGDPCPPVFRCPVDGLEDIMDNQVICTIYKLPDPHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDTGRRPYDNNRRPYENSSRQNPAGAISGRQLGEAAHRLVINSLNVRSGGQYNAPSMPYQTIMNGIPYPNGIPPRMDQPAPGWYVPGDLPNGQVPPYALSSGHYQNDRSEPSQYGRDNRGRQYPYARDNHHDTRGRAPPYHQSGGHPYPSHSAPSSGSARYAQPRPYAGEGYGRGLQPAPYGGGQQWQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPQGHGRY >LPERR01G33460.1 pep chromosome:Lperr_V1.4:1:28137139:28140472:-1 gene:LPERR01G33460 transcript:LPERR01G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFASRLRLAPSPASPAAASTAAGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLPEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKFPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKRHPFFRGIDWKNIRKGRAPKPAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNNGASSSSEVRSHISRLASIDSFDSRWREKKLNKRGEMIGIVLKIFHEQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSAFEDAKQRAWQWKKAIEDLQRCQKN >LPERR01G33460.2 pep chromosome:Lperr_V1.4:1:28137139:28140472:-1 gene:LPERR01G33460 transcript:LPERR01G33460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFASRLRLAPSPASPAAASTAAGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLPEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKFPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKRHPFFRGIDWKNIRKGRAPKPAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNNGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSAFEDAKQRAWQWKKAIEDLQRCQKN >LPERR01G33470.1 pep chromosome:Lperr_V1.4:1:28143956:28145557:-1 gene:LPERR01G33470 transcript:LPERR01G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPTASSGLIRYAAAAPAHSHHQARCSSARPSLLALRHRAARPAPFDYDGDETGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGTGIAAAGEDGTIKLERGLGLVGDVFGADPTRLARLPGHAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYHALRNKLEAQGSIFSTSSDTEVIQHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRRNGAVVFASETCALDLIDAAYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRSAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRTIGCDSLAFLSLDKLHSIYGDEAPEFCDACFSRNYPVLPTVPEPVPELVSAFED >LPERR01G33480.1 pep chromosome:Lperr_V1.4:1:28150629:28157261:1 gene:LPERR01G33480 transcript:LPERR01G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDAGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAVQPDFLCYAASAIAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVVSVTCIAIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDESLPRDIITVVRQDYFV >LPERR01G33480.2 pep chromosome:Lperr_V1.4:1:28150629:28153828:1 gene:LPERR01G33480 transcript:LPERR01G33480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDAGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAVQPDFLCYAASAIAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVVSVTCIAIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDESLPRDIITVVRQDYFVYIMMTIIFSACGALRGQTGISFQKKQYNSSL >LPERR01G33480.3 pep chromosome:Lperr_V1.4:1:28150629:28155601:1 gene:LPERR01G33480 transcript:LPERR01G33480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDAGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAVQPDFLCYAASAIAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVVSVTCIAIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDESLPRDIITVRPLEML >LPERR01G33490.1 pep chromosome:Lperr_V1.4:1:28154098:28160529:-1 gene:LPERR01G33490 transcript:LPERR01G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSASPTGAPRYQMLPLETDLNTLPMIKTLPEKVFPTDAKSTEGSRYGSGPVNQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDALILNTGPDVDEGEKVFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSQEKQTAPAILRVPFGHREDSLDSPSSSSFSTSPYLDQNISKSRKASHGARESLYWGARENKQKGKGSDPVKKTSHGDKPRSDVQESEKSKRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRTTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAHAHEQFARLILKCYEELELTSESFMLESEVTLTDLDESPELSLENLPSKQNEVLTELSKDEPATIDGMLECSQSGSSQASYSLVEPDHIDINPSSSSTKGDGIVDSLVMCQPGTSQVPRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTKDDCVDNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRCALKVVELACLVYGSMPKNLDGEQFISSMSRSSLGLENGDLKANLVLDEADYFKNTKLFNFDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLNGQQTPVLPEQKPDGELRMSNEVAMESSVSNVDIDGNNHTMENQSRNNDGVPEKSNEAVSSVRGGGIFKFLGGPKPGDIEYNLHSAIHCYDAAKGAIVAVPVSSAEKSTILKKRGWSSNELGRHRLERRNLGSAEIAFADAIRAFQEVADHMNVILINCNLGHGRRALAEELVSSIDEFQKFDLPQNSYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVNKALYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLVGKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHRTMFLNILMAQSALSINLSDSFHSSVLLENALVHLLDGRHVVEANEEYSGDVDLDIKPKFWSQLQSLLKSMLAAALPGGSTGRPAASVGQANTNSGRGDTAKLKEMYRLSLKSNSLGQLHALHKIWVS >LPERR01G33490.2 pep chromosome:Lperr_V1.4:1:28154098:28160529:-1 gene:LPERR01G33490 transcript:LPERR01G33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSASPTGAPRYQMLPLETDLNTLPMIKTLPEKVFPTDAKSTEGSRYGSGPVNQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDALILNTGPDVDEGEKVFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSQEKQTAPAILRVPFGHREDSLDSPSSSSFSTSPYLDQNISKSRKASHGARESLYWGARENKQKGKGSDPVKKTSHGDKPRSDVQESEKSKRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRTTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAHAHEQFARLILKCYEELELTSESFMLESEVTLTDLDESPELSLENLPSKQNEVLTELSKDEPATIDGMLECSQSGSSQASYSLVEPDHIDINPSSSSTKGDGIVDSLVMCQPGTSQVPRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTKDDCVDNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRCALKVVELACLVYGSMPKNLDGEQFISSMSRSSLGLENGDLKANLVLDEADYFKNTKLFNFDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLNGQQTPVLPEQKPDGELRMSNEVAMEVKRLKRKLGKDKQNCSTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSAKNFHSLSRETKENPSTQDSMGYSENKHSGNHACIEKSSVSNVDIDGNNHTMENQSRNNDGVPEKSNEAVSSVRGGGIFKFLGGPKPGDIEYNLHSAIHCYDAAKGAIVAVPVSSAEKSTILKKRGWSSNELGRHRLERRNLGSAEIAFADAIRAFQEVADHMNVILINCNLGHGRRALAEELVSSIDEFQKFDLPQNSYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVNKALYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLVGKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHRTMFLNILMAQSALSINLSDSFHSSVLLENALVHLLDGRHVVEANEEYSGDVDLDIKPKFWSQLQSLLKSMLAAALPGGSTGRPAASVGQANTNSGRGDTAKLKEMYRLSLKSNSLGQLHALHKIWVS >LPERR01G33500.1 pep chromosome:Lperr_V1.4:1:28162047:28168740:-1 gene:LPERR01G33500 transcript:LPERR01G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVIRGHERGVVKEERKTVVKREADAKRIQEEQQQQQQQHRQHAASMPESRASGVAIDAGEVPWDGVPQLARLPSQKSGMGVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNISSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSEESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFATEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEETESAMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSNVHQRGDNLNILKQEMKSQRKNVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQESTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDSLYQSLPPTVKSALRSKVNSFVVNEELSASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAHILELVAWLHHLISRSKTANGERSPIKSPVRSPTQRGHTITLSPNKASNSSPLLTQEDQDMLKDVKYRKFIPGISKSQEFEIKSRHSKQSRLSKSNSHSPRSGNMKELLSIRRLLPVIDFEIDRTKAMDVIDRVDNLKTTLLYKKCKF >LPERR01G33500.2 pep chromosome:Lperr_V1.4:1:28162465:28168740:-1 gene:LPERR01G33500 transcript:LPERR01G33500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVIRGHERGVVKEERKTVVKREADAKRIQEEQQQQQQQHRQHAASMPESRASGVAIDAGEVPWDGVPQLARLPSQKSGMGVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNISSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSEESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFATEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEETESAMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSNVHQRGDNLNILKQEMKSQRKNVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQESTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDSLYQSLPPTVKSALRSKVNSFVVNEELSASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAHILELVAWLHHLISRSKTANGERSPIKSPVRSPTQRGHTITLSPNKASNSSPLLTQEDQDMLKDVKYRKFIPGISKSQEFEIKSRHSKQSRLSKSNSHSPRSGNMKELLSIRRLLPVIDFEIDRTKAMDVIDRVDNLKTTVRTQN >LPERR01G33500.3 pep chromosome:Lperr_V1.4:1:28162465:28168740:-1 gene:LPERR01G33500 transcript:LPERR01G33500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVIRGHERGVVKEERKTVVKREADAKRIQEEQQQQQQQHRQHAASMPESRASGVAIDAGEVPWDGVPQLARLPSQKSGMGVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNISSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSEESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFATEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEETESAMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSNVHQRGDNLNILKQEMKSQRKNVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQESTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDSLYQSLPPTVKSALRSKVNSFVVNEEAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAHILELVAWLHHLISRSKTANGERSPIKSPVRSPTQRGHTITLSPNKASNSSPLLTQEDQDMLKDVKYRKFIPGISKSQEFEIKSRHSKQSRLSKSNSHSPRSGNMKELLSIRRLLPVIDFEIDRTKAMDVIDRVDNLKTTVRTQN >LPERR01G33510.1 pep chromosome:Lperr_V1.4:1:28174965:28178341:-1 gene:LPERR01G33510 transcript:LPERR01G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLTTAHVPALRLVGPLLLAARPGAANPSRLRPPPWMRRGGVRRGLCSVDAARLEGGDKSDGEDGEKRVAGGGGSRPAVLDRRQRSRGDAAVGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKKLYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITSFIKESVDEELKGTDTPNLSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGSGVLSVSQVPLHMEASLPPDIRDRIFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESIDSDKSTNKQIMLPHRGGLWLPEGSPLPESALNSLDFRRAMSSFLSA >LPERR01G33530.1 pep chromosome:Lperr_V1.4:1:28219419:28222456:1 gene:LPERR01G33530 transcript:LPERR01G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQQPEAAAAAAATEVVVVTEKAAPPPPPPEAEAEKKVEEATPEVKVAEEEEKKEEAAAGDEAGAAAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKAETAPAATAGETKPEEAAKEELKAEETKTEEPKAEVAPTAEAATEETKVEESSGEPKAEEAAAPEPEEKTVVVTEEAATKTVEAIEETVVPAAPAAATEEAAAAPEPEAPAAAAAEPVLIWGVPLVGDGDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIEPLLDADLGMPELDTVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSTETLFRFCTLRPLMINVFGISSSKFNVLKYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVSENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGTFKVGEPGKIVLTINNPASKKKKLLYRSKVKNTSESV >LPERR01G33530.2 pep chromosome:Lperr_V1.4:1:28219419:28222456:1 gene:LPERR01G33530 transcript:LPERR01G33530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQQPEAAAAAAATEVVVVTEKAAPPPPPPEAEAEKKVEEATPEVKVAEEEEKKEEAAAGDEAGAAAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKAETAPAATAGETKPEEAAKEELKAEETKTEEPKAEVAPTAEAATEETKVEESSGEPKAEEAAAPEPEEKTVVVTEEAATKTVEAIEETVVPAAPAAATEEAAAAPEPEAPAAAAAEPVLIWGVPLVGDGDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIEPLLDADLGMPELDTVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSTETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVSENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGTFKVGEPGKIVLTINNPASKKKKLLYRSKVKNTSESV >LPERR01G33540.1 pep chromosome:Lperr_V1.4:1:28227234:28228999:-1 gene:LPERR01G33540 transcript:LPERR01G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPPATASTAPPLAPVIAAARPRSFRLCATPHASVSSSASHSTSAVSSQPPPLPARHSRKQVVGRDGAPAKTTKPRVFFLDVNPLCFRGSQRSLGAFARWLSLFFSHVSLRDPVIAVLDGEGGNEYRRRLLPSYKAHRPRGAGSGADSRVLDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFVGDEADGVPGIQHLVPGFGRKTAVKLLNKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLRRDVNVEFDDRWLSTRDSCNDSSVLSDFILKFNEGQKINSSFFREFCNISLPVIHENG >LPERR01G33550.1 pep chromosome:Lperr_V1.4:1:28232234:28236661:1 gene:LPERR01G33550 transcript:LPERR01G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGLHSHAHARGHALAVAGPLRLFDDDLEEVKREGSVGGGGGGEEEDGDEGGDQHFSLLGHALCVKRPRRALYGGGGGGGGEASSCSSSSSSLRPVKRQATTAERDADLEARRGAVRAWGNQALAEADPDVHALMELERERQVLGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRNGSFSQGADNDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLLKEHGKMQKEFLRGLQNNKDIVELRNQVENFASQFAMPGFDV >LPERR01G33560.1 pep chromosome:Lperr_V1.4:1:28238097:28239156:-1 gene:LPERR01G33560 transcript:LPERR01G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLAAVERYCAAASCAAAAIDDWLQDPSPLSTRQVMRAISAARREAIAAEEENRALSESRIAPLSLQLDERLLMRAADARLSGFNGFRGLLYALHNASSLLLLLLASGAVSCAAAAAAGTCSAADGAGAVADGFMASIAMLQQRMADEAVGGGETAGIRMYEFRCARAAVEAAREEVERAVTAAASPAGPHRNQQQRCEVDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >LPERR01G33570.1 pep chromosome:Lperr_V1.4:1:28250983:28253934:1 gene:LPERR01G33570 transcript:LPERR01G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEHFLRQLSASGNGSSSYYAATSQYQLPPRGGEEEEEDGGGGGVGRRRGSRRWSSRKRGKGGARGASAAAAVAVVAGRKRVMVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPPHAGGDEATPSLANSLGMLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQCKPSHICWLSCFLRSSSEDFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >LPERR01G33580.1 pep chromosome:Lperr_V1.4:1:28255069:28260976:-1 gene:LPERR01G33580 transcript:LPERR01G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSSSAAAPPLDPEAIAAVAEAAPPEEMTLVVKWVTKDYTVRVVGDDTLGELKRRICEVTGVLPKRQKVLYPRFKLNECDDSTLLSAIPFKPGVKINMVGTPESELFVDQEDDPEILNDYEIGQDEVSAIKDKDVYKQKLKRRASQYEIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPTELMRPYLHEFLSAAYSEYDIIIWSATSMKWVKTKMDQLGVLDNSNYKITALMDHLAMITVQSENQSAKKTFDCKPLGVIWAQFPEYYNETNTIMFDDLRRNFVMNPQNELEDLSKLDHDIWESFTEENAKRRRRR >LPERR01G33580.2 pep chromosome:Lperr_V1.4:1:28255069:28260976:-1 gene:LPERR01G33580 transcript:LPERR01G33580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSSSAAAPPLDPEAIAAVAEAAPPEEMTLVVKWVTKDYTVRVVGDDTLGELKRRICEVTGVLPKRQKVLYPRFKLNECDDSTLLSAIPFKPGVKINMVGTPESELFVDQEDDPEILNDYEIGQDEVSAIKDKDVYKQKLKRRASQYEIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPTELMRPYLHEFLSAAYSEYDIIIWSATSMKWVKTKMDQLGVLDNSNYKITALMDHLAMITVQSENQSAKKTFDCKPLGVIWAQFPEYYNETNTIMFDDLRRNFVMNPQNGLVIKPYKKTHLNRNTDQELVKLTQYLLTIAELEDLSKLDHDIWESFTEENAKRRRRR >LPERR01G33590.1 pep chromosome:Lperr_V1.4:1:28263348:28270120:1 gene:LPERR01G33590 transcript:LPERR01G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAIFSTSSFRRLLLLLLTLLALLAAAATPAAGAAGGTRPKSLVTYDQRSLIVSGRRRLLISTSIHYPRSVPEMWPKLVAEVKEGGADCIETYVFWNGHEPAPGQYYFEERFDLVRFVKIVNDAGLYLILRIGPFVAAEWTFGGLPVWLHYVPGMVFRTNNGPFKSHMQRFTTYIVDMMKKEQFFASQGGPIILAQIENEYGDIEQAYGAGAKPYAMWAASMAVAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKMWTENWPGWFQTFGESNPHRPPEDIAFSVARFFGKGGSVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLCEHTLLYGNSSFVSLGPQQEADVYTDHSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKTVVFNTAKVQSQTLMVDMVPANLQSSRLDGWSIFREKIGIWGESDLARNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNYVLHIDSKGHAVQAFLNNELIGSAYGNGSKSTFVVEMPVNLRAGKNELSLLSMTVGLQNGGPMYEWVGAGITSVKISGVENRTIDLSSNSWAYKIGLEGEYYSLFKPDQGKNGRWMPQSEPPKNQPLTWYKVKVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWARKSPVNDRCTSSCDYRGKFDPNKCRTGCGQPTQRWYHVPRSWFHPSGNTLACLNMNGCTVSLSDEGFGEYLCPGVTKTLAIEADCS >LPERR01G33600.1 pep chromosome:Lperr_V1.4:1:28277346:28279851:1 gene:LPERR01G33600 transcript:LPERR01G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKNLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFRVCNDGRAVSERTAMACGGIGGGGGAQIARTRSDDFGARVAPGTPAREFKKVYSSGNSGVGRRAFAEFSSSIMRKAPPLERKLECSLEELCHGCKKEVKFTRDVVTKTGSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFIISEKKHPVFKRVGNDLVLKAKVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKVIKGEGMPIIDQKGARGDLRVKFEIAFPKQLTDDQRNGLAEILRGCA >LPERR01G33610.1 pep chromosome:Lperr_V1.4:1:28280777:28282406:-1 gene:LPERR01G33610 transcript:LPERR01G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVEDFKVVITRKERFTFITKAVVFLVIFAIGVIAGLWTATGPRRCCSTYTNILFPSTTVYRYRDGDVGGGGGSSFAEFVAPTRLMHDMTDEQLFWRATMSPASSDVASYPFRRVPKVAFMFLAGHGVLPLAPLWERFFRGHEEHFSVYVHAPPGMVVNVSRDSPFYGRQIPSQEASWGSITLMDAEKRLLANALLDFSNERFVLLSESCIPLRPFPAAYAYLTGSRHSFVESYYHGDKSCRGRYSRRMAPVVTLPQWRKGSQWFELARGLAVAVLADTTYYPLFREHCRPSCYPDEHYLPTVVALVRHGGGGRNANRTVTYVDWSRGGAHPVTFAAGDVTPELIMGIRRSEVPCMYNSRPTTACFLFARKFAPDALVPLLNISSAVMGY >LPERR01G33620.1 pep chromosome:Lperr_V1.4:1:28283992:28288945:1 gene:LPERR01G33620 transcript:LPERR01G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAECAPPEPMSALTTTPMSTTSVRWERDIEEGGGGGLERPLLRRRGTNATSQMAVVGANVCPIESLDYEIVENDVYKQDWRSRGKLQIFHYQVLKWVLALLVGSIVGLMGFFSNIAVENIAGVKLLLTSNLMLQNRYKMAFLWYISCNAVLAAAAAALCAYIAPAAAGSGIPEVKAYLNGVDAPSILSPSTLFVKIVGSIFGVSAGFVVGKEGPMVHTGACVASLLGQGGSRKYGFTWNWLRWRSVLLWRTFSTTAVAAMVLRSLIEYCRGGKCGLFGKGGLIMFDVSSQVTSYTGMDLSAVVLLAVLGGLLGALFNFLLDRILRVYSYINEKGKAYKILLTVAISVVTSVCSFGLPWVTSCTPCPAAMAAAGRCPTIGRSGNFKNFRCPPGQYNAMASLFLNTNDDAIRNLFSGGTDGEFGVSTLVAFFAAVYSLGLVTYGIAVPAGLFIPVILSGASFGRLLGRILGALTGLDAGLFALLGATSFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLISKTVADCFNRGVYEQIVRMKGLPFLEIHGEPAMRLLVAGDALSGPPVAFSGVERVGDVVDTLRRTAHNGFPVIDGGELCGLVLRSHLLVLLRSKAFVLLRSKAFAVDRVKTGAAEVFRKLAPFDFAKPGSGKGITVDDIDLTEEEMEMYVDLHPIANRSPYTVVENMSLAKAAVLFRELGLRHMCVVPRTPGRPPVVGILTRHDFMPEYIRGLFPGVLPE >LPERR01G33630.1 pep chromosome:Lperr_V1.4:1:28289501:28290586:-1 gene:LPERR01G33630 transcript:LPERR01G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILVRLPYKSLARFQCVSKAWRRLISGDYLRRRLPLITSGVLYHESTGPGRRSKQAYTYACAASGDRAGVEEADMGFFPGHETSTIIDGCNGLLLYYDASPSSPAGTFHVVNPITRRWARLPPPRRPTLLSVLAFDPSDSPNYTVVCFAGWLPRGASVDVFDSGSGHGAWRGHELDFGLDTDAMSATMHYSDGAIHVLAYSGHVVRIDLAAMSCSVTALPAPVSCRARAGHCRGRLRYASSDGSRLRLWELVDAATSGWALRHELGVRDLVSGDGDGDGEGVTFLFMAFHPEREVVYLWTPWKLVAFDVERRRVEEEWAVGTGEEKEKDGAHLIQIWLFPFSRHLANCLA >LPERR01G33640.1 pep chromosome:Lperr_V1.4:1:28294367:28296825:-1 gene:LPERR01G33640 transcript:LPERR01G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLKRKAAAAAAAAGQEQGVGVATAAAAGRRKRRRLYGFSVSLVVACWVVLLLLNPRFGHGDGQRDGGSSDLSFDSDEPTLSEVSVNSAVQGENEENHELPSDSCAKLDENHVLSEETLLQEDHCSSDEAQTDGMDDLTKDSHLDQGESLPRTEDDAVVHPVGEVEGEDVPKPARLSRVVPPGLDEFKTRTIAERGNGICSGQTGSVIHRREPSGKLYNYASASKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMQSSLSYPTEKWETLGRFTVANAKIAQNFTFAEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKAEPDDKTKEPVEQAALKEPTEGKESSDEPVDADEFELEDEKINSDSSKNGAHDQIAETRPLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDMKDALLEKIKMELKHLESSKDDFAKEIEGILSWKQDANSQLNQLILDNAIIRSELERFREKQTDLENRSFAVIFLSFVFGCLAIAKLSIGMIFNICRLYDFEKFDRVKSGWLVLLFSSCIIASILVIQ >LPERR01G33650.1 pep chromosome:Lperr_V1.4:1:28297830:28299648:1 gene:LPERR01G33650 transcript:LPERR01G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPARTVYIGNLDEKVTERVLYEILIQAGRVVDLYIPCDKETSCPKGFAFAEYETEEIAQYAVKLFSGLVRLYGKTLKFAISGQDKPPSNGSNPVMPKLNPIPLPKQPHFVHHSDKPYCIPQRIQCVHPQALSVGPVHRNGGFGNDYVLNASGRGALRQRIMYPSY >LPERR01G33650.2 pep chromosome:Lperr_V1.4:1:28297830:28300197:1 gene:LPERR01G33650 transcript:LPERR01G33650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPARTVYIGNLDEKVTERVLYEILIQAGRVVDLYIPCDKETSCPKGFAFAEYETEEIAQYAVKLFSGLVRLYGKTLKFAISGQDKPPSNGSNPHYQLDPCIATEGSAMVWRLCPECFWPWSSETTNYVPVLLSTKRSESVLYSFVTCHC >LPERR01G33650.3 pep chromosome:Lperr_V1.4:1:28298037:28299648:1 gene:LPERR01G33650 transcript:LPERR01G33650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPARTISGQDKPPSNGSNPVMPKLNPIPLPKQPHFVHHSDKPYCIPQRIQCVHPQALSVGPVHRNGGFGNDYVLNASGRGALRQRIMYPSY >LPERR01G33660.1 pep chromosome:Lperr_V1.4:1:28301004:28301938:1 gene:LPERR01G33660 transcript:LPERR01G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETPTAHQAAAAGEFGGVFFCVAVTSRGRTDRLSYFQAEGDGDDAEEAARDTTTLCLGHAPAHHRWHHHTVAGRRTFAFLAGGEDGRTYFAP >LPERR01G33670.1 pep chromosome:Lperr_V1.4:1:28310516:28317177:1 gene:LPERR01G33670 transcript:LPERR01G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMVQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >LPERR01G33670.2 pep chromosome:Lperr_V1.4:1:28310495:28317177:1 gene:LPERR01G33670 transcript:LPERR01G33670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMVQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >LPERR01G33680.1 pep chromosome:Lperr_V1.4:1:28318516:28320950:-1 gene:LPERR01G33680 transcript:LPERR01G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALARLLVARRAAAPLLARPFAVKARASRRQQEPAFASEDEDDFAGGEVPAAPTEGIGKPLAEVLRELGKRVPESLVKTRVDDGFSLKYIPWHIVNKILNVHAPEWSGEVRNIVYSSDGKSVSVVYRVTLHGTDAEIYREATGTASVDDTGYGEPVQKAEGMAFRRACARLGLGLHLYHEDMS >LPERR01G33690.1 pep chromosome:Lperr_V1.4:1:28322603:28333103:1 gene:LPERR01G33690 transcript:LPERR01G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPQRKRRADSDIDIDIDAEIAAAAAAATSAPSPVSDRRLVLYDRPTDLVVAGVSGEPMDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRAALDDLENRLSKSEDERTTCQDKLNYVEQELAATKGRESALQERLLKELGDYQERYRDQIKKIGELEAQLKKEVDSRIAAESSSASAKESVKELEVNLQRLTENSEREKKALKKELSYLQDDTKLSVSKLDAELERMKLRAQNSENEAKLLNEQLEDLKKQLDESVREKNEMEHKLLSSSALSNQRTPTDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNAELLKEKLLEEQGRRERVEMELSKLQEVEAKAQKLELELTSCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELQVALEFADLSKQRAEGEATLAKERAESATREVKRLELLLAAVSEERDRLRKDHAMSTNQKSRDGDDASSRFCAFTTLNKMCNKSFEVTMQSMESDLSRMEKVVRELESTIHDQRELISQQHTELSLMNEKLSMEGRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQAGEYFYAFIHLFILVIMSAIWGFEFIICFSLQRSESLLKICHLKDYVGTVVDANIAEKLAQLKTQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQNDDEKLEFDYESGSTSIVVNDYTSQHEIAQQTLSSSERQQQQQSPEKKPARARPPLPGKAVAALCVASFVVGLLLSGRVAMPLLPPGSSSPAARASNYMAFSSGCENKRAKLGEGNNPTDIMNEVSRTHHAIQGEAEEAGEGEGDSDPVRDRAERRGGAGPGGGRGGGRERGLHAAGSRGGIPRAFVQDQDLLHHRRRHLGRRLLRQGRRRRMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPVGSASQWIG >LPERR01G33700.1 pep chromosome:Lperr_V1.4:1:28337907:28341241:1 gene:LPERR01G33700 transcript:LPERR01G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARTRVEARDFAGFPPAGDGGGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGAEEGGGGCAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNSQVLGRRHSCCLRCGTPTVAGESRCLLCNFDMEGEEPEECGYLHLDDNTHLLHAVVHANGYGHLLRVNGREGGSKCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDMLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMNLLQQAHKKNEMSKPTTDEHKEVVSNVLCKWRKEDIDRAEAAMLKVLRVVKAGQWVSWRALRGAASKAVDSQELLDYSLRGLRGKLMDDGHFIAVRCNAETSAIEYRLEDYSKQSPVNATEFGPSVDHLLHDLKFLYGALLNPETMLSSQPEVIGASSHNAAAKILDCKQFIKHYDQNTPSAPLNPFLLSVRCCIELLDHPKDYTAPPEELVLLPASATLAELKIQSTRAFQETYLMFQSFQAEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCTSPRKGKGGRGGGGANGGSRMDVGAAGRCKDEIGSSVGGAGKFGRLATVG >LPERR01G33710.1 pep chromosome:Lperr_V1.4:1:28347684:28349048:1 gene:LPERR01G33710 transcript:LPERR01G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNPMVVLLLVSLACLLSPSAAIKTSSMQSAAHLPLPDGVTGAGSLAFDAKNQGPYTGVSDGRVLRWSGVWTTFAYHEDYSKNPKCATVDGSSEEMESTCGRDLYIADAYKGLMRVGPRGGEAEVLATEADGVPFNFVNGVDIDQDTGDVYFTDSSTTYTRRSQFQILVHRDATARLLKYDAQTNQVTVLRAGLPYANGVAVSQDGNYIVVAHTGPAQVFRYWIRGSKIGQYELFADLPGYPDNVRRDTYGGYWVALNREKIQVTSTDSPVNHVVGVRLNSDGVVVEELTTTEGTLSEVVELNNKLWLGSVNLDFVGQINQQ >LPERR01G33720.1 pep chromosome:Lperr_V1.4:1:28349344:28349808:-1 gene:LPERR01G33720 transcript:LPERR01G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYCNLATSPAAVANGGVEESKWEGIAVGAAATLVRNFSSASQRFTVERSKSTSNGGGGGGLPGVVRRAFSMRRQPSSSFADGYWRIHDDMDGDDDAVERQDQAAFEEGEQKRSGEEQVKVQDHATTSGRKEKITKKKKGRRIFKACKKLLGF >LPERR01G33730.1 pep chromosome:Lperr_V1.4:1:28353750:28354786:-1 gene:LPERR01G33730 transcript:LPERR01G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGQRSRPWPGDPSPSSSPATPVAAADAGGEAATATTLKDFGTTSMDAISFGFAATAILVSMFLLMAIFEHLIKPHVFPPDGSLAAARRRRSRHAVSPGKLRSPPTVESVLQAADLSVLMPGQRFPTFLAQPAPLPPPCAREGVKIVYVLAGVGNRRITGEFVQYRWALKGRPNCRPSEPASLCMPKLPP >LPERR01G33740.1 pep chromosome:Lperr_V1.4:1:28361350:28363161:1 gene:LPERR01G33740 transcript:LPERR01G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPTFVVLPVSLACIASSCAAIKTSYTQSAAHLLLPDGVTGAESLAFDSNNPGPNTGNITDAYKGLMRVGAHSGEAEVLAANTDGISFNFVNGIGIDQDTGDAYFTDSSTTYTLEICVLINNIEIMMHTDATGRLLKYDAQTKQVTVLMTRLSYANSVVVSHDGNYIVVAHTWASQVFRYWIKGSKTGQYELFTNLLGYPDNVRRDDQSDYWVALNRENIQRNATASSNKHLSADGVGVEELTAAQGVTLSEVVERNDKLWLGSVELDFVGQMNERSAY >LPERR01G33750.1 pep chromosome:Lperr_V1.4:1:28368324:28376757:1 gene:LPERR01G33750 transcript:LPERR01G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQLQIYLCFILLFLKFGISKSLTLETDALLDIKSHLEDPQNYLSNWDESHSPCQFYGVTCDQNSGDVIGISLSNVSLSGTISSSFSLLRQLRKLELGANSISGTVPDAVANCTNLQVLNLSTNSLTGQLPDLSTLIKLQILDLSTNDFHGPFPEWVGKLSDLTELGLGENNFDESNVPESIGNLKNLTWLFLGQCNLRGELPASLFDLVSLGTLDFSRNQIVGVFPRAISNLRNLWKIELYQNNFTGKIPPELAQLTLLSEFDVSQNQLSGTLPKEIANLKKLKIFHIYRNNFSGALPEGLGNLEFLESFSTYENQFSGKFPENLGRFSPLNAIDISENYFSGGFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGLSVTLNQLYVHNNIFSGELPMELGKLSQLQKLVAFNNRFSGQIPEQIGSLKQLSFLHLEQNALQGSIPPDIGMCNSLVDLNLAENSLTGIIPDTLASLFTLNSLNLSHNTISGEIPEGLQSLKLSYVDFSYNNLSGPVPPQLLMIAGDDAFSENDGLCIAGVSEGWRQSGTNLRSCPWNDNHQNFSRRRIFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKRDIESGAESDSKWVLESFHPTELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGAAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLMVTEKSDVYSFGVVLLELLTGRSPSDQQLDGELDIVSWVSSHLVNKNPAAVLDPKVSSHASEEMTKVLNIATLCTVQLPSERPTMREVVKMLIDIDSSSATGKAKNKNDKK >LPERR01G33750.2 pep chromosome:Lperr_V1.4:1:28370547:28376757:1 gene:LPERR01G33750 transcript:LPERR01G33750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQLQIYLCFILLFLKFGISKSLTLETDALLDIKSHLEDPQNYLSNWDESHSPCQFYGVTCDQNSGDVIGISLSNVSLSGTISSSFSLLRQLRKLELGANSISGTVPDAVANCTNLQVLNLSTNSLTGQLPDLSTLIKLQILDLSTNDFHGPFPEWVGKLSDLTELGLGENNFDESNVPESIGNLKNLTWLFLGQCNLRGELPASLFDLVSLGTLDFSRNQIVGVFPRAISNLRNLWKIELYQNNFTGKIPPELAQLTLLSEFDVSQNQLSGTLPKEIANLKKLKIFHIYRNNFSGALPEGLGNLEFLESFSTYENQFSGKFPENLGRFSPLNAIDISENYFSGGFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGLSVTLNQLYVHNNIFSGELPMELGKLSQLQKLVAFNNRFSGQIPEQIGSLKQLSFLHLEQNALQGSIPPDIGMCNSLVDLNLAENSLTGIIPDTLASLFTLNSLNLSHNTISGEIPEGLQSLKLSYVDFSYNNLSGPVPPQLLMIAGDDAFSENDGLCIAGVSEGWRQSGTNLRSCPWNDNHQNFSRRRIFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKRDIESGAESDSKWVLESFHPTELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGAAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLMVTEKSDVYSFGVVLLELLTGRSPSDQQLDGELDIVSWVSSHLVNKNPAAVLDPKVSSHASEEMTKVLNIATLCTVQLPSERPTMREVVKMLIDIDSSSATGKAKNKNDKK >LPERR01G33760.1 pep chromosome:Lperr_V1.4:1:28372870:28374701:-1 gene:LPERR01G33760 transcript:LPERR01G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTFVISSDAWLLTFGSRTAAGFLLTKWDETQETMSREQSWWRYMIPFAAPTAILYRFSQSSSGCPALNSRRIAS >LPERR01G33770.1 pep chromosome:Lperr_V1.4:1:28375478:28392237:-1 gene:LPERR01G33770 transcript:LPERR01G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDSTAEVISSRFFNPTSERERMDVEKVERKEVAVDDDGRVRTGTLWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVGCAYVTYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGLAQYAILWGAMVGYTITAATSIMSVVRTNCHHYKGQDASCGASGTMYMVLFGVAEVVLSQFPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKLASAHGAAHGTLLGVKVGGGGGVTAPTKAWHFLQALGNIAFAYTYSMLLIEIQDTVRSPPSENVTMKRASLYGIGVTTVFYVSLGCVGYAAFGNSAPGNILTGFLEPFWLVDIANVAVVIHLVGAYQWMARRWPESAFIHREYALGGVRFTMCKVVMRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNAGALVVSLLAAVGSVADMVQRLRHPHTLPSRDTCKFGENINMSKNSAPEDVESGEHERRGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPDPVTGARNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRKSNCLHRYGGGAAGAGATGRCENTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVARWVSHGGHLSGMIQGATAASPSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGSTTIFYISVGCAGYAAFGSDAPGNILTASGLGPFWLVDIANMCLILHLIGAYQVYAQPIFASLERWISSRWPEAKFINSAYTVTVPLIQRGSVTVAPYKLVLRTVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGRRKWCLLQGLSMACLMISVAVGIGSVTDIVDSLKVASPFKTVS >LPERR01G33770.2 pep chromosome:Lperr_V1.4:1:28375478:28391192:-1 gene:LPERR01G33770 transcript:LPERR01G33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVAVDDDGRVRTGTLWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVGCAYVTYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGLAQYAILWGAMVGYTITAATSIMSVVRTNCHHYKGQDASCGASGTMYMVLFGVAEVVLSQFPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKLASAHGAAHGTLLGVKVGGGGGVTAPTKAWHFLQALGNIAFAYTYSMLLIEIQDTVRSPPSENVTMKRASLYGIGVTTVFYVSLGCVGYAAFGNSAPGNILTGFLEPFWLVDIANVAVVIHLVGAYQWMARRWPESAFIHREYALGGVRFTMCKVVMRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNAGALVVSLLAAVGSVADMVQRLRHPHTLPSRDTCKFGENINMSKNSAPEDVESGEHERRGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPDPVTGARNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRKSNCLHRYGGGAAGAGATGRCENTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVARWVSHGGHLSGMIQGATAASPSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGSTTIFYISVGCAGYAAFGSDAPGNILTASGLGPFWLVDIANMCLILHLIGAYQVYAQPIFASLERWISSRWPEAKFINSAYTVTVPLIQRGSVTVAPYKLVLRTVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGRRKWCLLQGLSMACLMISVAVGIGSVTDIVDSLKVASPFKTVS >LPERR01G33780.1 pep chromosome:Lperr_V1.4:1:28393115:28398115:-1 gene:LPERR01G33780 transcript:LPERR01G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQSQAKKPNTRATTPTPITRRDQQQQEGSGLEFETERRMDTFFVSHGSPTLSIDETIPARHFFESWLPAAVAGAEPPRAILVVSGHWETAAPTVNVIRGSNGTIYDFYGFPKPMYQLKYPAPGAPDLAMKTKSLLEQAGFGPVKEDHTRGLDHGAWVPLMLMYPDANVPVCQLSLQTGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPDGTPTPPWATEFDAWLRDALLAGGRHGEVKRWEEAAPHGRTAHPSPDHFLPLHVALGAAGEDARAELIHSSWTNASLSYSSFRFTPATAAKN >LPERR01G33790.1 pep chromosome:Lperr_V1.4:1:28402035:28403271:1 gene:LPERR01G33790 transcript:LPERR01G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSSKTVSLCLTMILLMQQLGPHFTFAAAASIPSPSDNNNSKNKELHGKMLRFTLYQQETVNKTSYMIVSGVAGVSETTTPFGTVYVFRDELTVRADRSSRVAGVVEGTSVTTGFDGLRSLSLGKITIDHHRGRRGSVSVLGGTHNTMPSDCPVVGGTGDFGYAAGFVRTSPVEYRGPSLTTSDLRKFSLS >LPERR01G33800.1 pep chromosome:Lperr_V1.4:1:28403415:28404077:1 gene:LPERR01G33800 transcript:LPERR01G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTAVSLVLCLLLLITIIFAHRLLHQSSSSSSSSSRIKISKDVHDKTVSFTLYQQESMNRTGYVVVPGIDAAANPAGRSVIASAGDDEGRWPFGSMYVFRDNLTVRADSSSRVAGVAEGNSISTSFDGEDGRRRLSSATITVRHRGYRGSVSVLGGTHNSTRPSVYPVVGGTGDFAYAVGYVRSSPVNSSGRSSTETTYKMELRLYWPPHAYYAPTP >LPERR01G33810.1 pep chromosome:Lperr_V1.4:1:28406153:28409503:1 gene:LPERR01G33810 transcript:LPERR01G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKGRTKMRNKHRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFISVVHQADSRQNHIYFKHLSATRELEEIEEEHFQMPPPHKVNPRAVKRRGPSKAPKVIDQYLDESSAIHALFFPDERTAVNPTKGGNDSMYFYPGRVWLDTDGHTIQAHGGGILYDHITAKFYWYGENKDGPTYQSHPKGANRVDIIGVSCYSSKDLWSWTNEGIALPGEPTNATHDLHKSKVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSASPTGPFTYLYSFRPHGFESRDMTIFKDDDGAAYLFYSSRDNTELHVSPLTKDYLNITAAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLFIGGLADEPLDYGFGFPSWSRVSIYWHRKWRLPESWKGYT >LPERR01G33810.2 pep chromosome:Lperr_V1.4:1:28407541:28409503:1 gene:LPERR01G33810 transcript:LPERR01G33810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKGRTKMRNKHRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFISVVHQADSRQNHIYFKHLSATRELEEIEEEHFQMPPPHKVNPRAVKRRGPSKAPKVIDQYLDESSAIHALFFPDERTAVNPTKGGNDSMYFYPGRVWLDTDGHTIQAHGGGILYDHITAKFYWYGENKDGPTYQSHPKGANRVDIIGVSCYSSKDLWSWTNEGIALPGEPTNATHDLHKSKVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSASPTGPFTYLYSFRPHGFESRDMTIFKDDDGAAYLFYSSRDNTELHVSPLTKDYLNITAAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLFIGGLADEPLDYGFGFPSWSRVSIYWHRKWRLPESWKGYT >LPERR01G33820.1 pep chromosome:Lperr_V1.4:1:28425381:28427860:-1 gene:LPERR01G33820 transcript:LPERR01G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAAVAAGAAGAAEAVEASSASAAAVALLLPPPADQADPEAKGDPAAKGDPAAKGDHAVGTAAPAGKVAPAGTEAPAGKAAAAGKEAAAGKEAMGAAAATEAPAATEAPAATEAPLGELRARTARRHAPSVYRHIFIFPRARLQTTPAAPRERTAPSRSPRFCRRRSAALYIASSPGDGASGSRTPALSPLPNSFARAKTSSFPRQNHEVPSVSRQNHPSGDGTFPSSSSAPMVFPFPSSQSAVRFDAAGDDSSPGSWDNNLHPSGGFMSYFSSQPHNSHLVGAMNNPLPTNNVYSSPEGVENILESENDTVRTEKRILWNVDEDVRLMSAFSIQPTLPVGGPVHKW >LPERR01G33830.1 pep chromosome:Lperr_V1.4:1:28447746:28448030:-1 gene:LPERR01G33830 transcript:LPERR01G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPKGGTGGVGNQGGVGEQEGTGGEGGSGGIAGHGGTGGAGAPGGTGGRGGDGGRGCDGGNGGAGGPGGERGRGGDGGAGGAAGPPVGYRSR >LPERR01G33840.1 pep chromosome:Lperr_V1.4:1:28480758:28483067:-1 gene:LPERR01G33840 transcript:LPERR01G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSTATSTARRLRAFKRWMRDHGVVCSDALRLVAASESGGGGDGVHVRAVAALREGDLVATIPRGACLTPRTSGAAEAIEAAELGGPLALAVAVMYERARRSESPWHAYLRLIPDREPVPLVWPAHEADRLLAGTELDKIVKQDRQFICEDWNECIEPLISSGELEVDPDDFSLENYFSAKSLLSSRSFRIDRYHGSGMVPLADLFNHKTGGEHVHFTSVLEASDSDSEDGENPSNASADEQSTIENSADIPSGDDDEDLEMIVVKDVNAGEEVFNTYGTMGNAALLHRYGFTEIDNPYDIVNIDLALVTKWCSSMHSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASMAHDLVGDDEVDSISNVLKVVRVTGSNQHPEINGLEKLSDVKKLLLNKSVCSALASLADLRESLYGSNTLEDDKQKLRACSSVNERNLYHSLVLRVSERTILNKLKKHASSWSKTKKRKHL >LPERR01G33850.1 pep chromosome:Lperr_V1.4:1:28487513:28488628:1 gene:LPERR01G33850 transcript:LPERR01G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHERAMKHVREIDEERKRSSLKRRLMTRLRKDGYDASLCRSSWVATTEHPGGDYEYIDVLVSGHGADTSSSSTRLIVDVDFRAQFQLARPAPWYAHLSGRLPPVFVGPPEKLRQTVALLCMAAQRSLRESGLHVPPWRRPSYVQAKWLPCRSETPATATANGGGGGAVLEWSVGKERRRRGGGARRSGLSVELSDFDAEGVS >LPERR01G33860.1 pep chromosome:Lperr_V1.4:1:28491327:28491764:1 gene:LPERR01G33860 transcript:LPERR01G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPARPSWVADEDVRRGGLQRGLSQRQHLAEILSPSTEHCFRAARHDEADHLLRHVYLLAHYDDGTDAAVVDVRHVARHFCSNVIRRLTFGRRHFRQPKPEDGAPGRDEAEHMDALFAALNYLDEFCVSDYFPAVVGLDLDGH >LPERR01G33870.1 pep chromosome:Lperr_V1.4:1:28508779:28515668:1 gene:LPERR01G33870 transcript:LPERR01G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTNTRYRPAGAADDTAKRRTQKTKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVNVGWIWDKTIPDPRYVSSIGVQWENVYKTVDNLNGGDQKLKVGLLNFNSTEIGSWTQLLPESDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRGTHFDIVAVKLPCSRTAGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPVPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDDTISDHHRKGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEDVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNVPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGEAKNSTKQNPVPATTTTSLSSS >LPERR01G33870.2 pep chromosome:Lperr_V1.4:1:28509500:28515668:1 gene:LPERR01G33870 transcript:LPERR01G33870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTNTRYRPAGAADDTAKRRTQKTKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVNVGWIWDKTIPDPRYVSSIGVQWENVYKTVDNLNGGDQKLKVGLLNFNSTEIGSWTQLLPESDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRGTHFDIVAVKLPCSRTAGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPVPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDDTISDHHRKGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEDVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNVPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGEAKNSTKQNPVPATTTTSLSSS >LPERR01G33870.3 pep chromosome:Lperr_V1.4:1:28510384:28515668:1 gene:LPERR01G33870 transcript:LPERR01G33870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQASVVVDDTAKRRTQKTKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVNVGWIWDKTIPDPRYVSSIGVQWENVYKTVDNLNGGDQKLKVGLLNFNSTEIGSWTQLLPESDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRGTHFDIVAVKLPCSRTAGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPVPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDDTISDHHRKGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEDVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNVPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGEAKNSTKQNPVPATTTTSLSSS >LPERR01G33880.1 pep chromosome:Lperr_V1.4:1:28515192:28515677:-1 gene:LPERR01G33880 transcript:LPERR01G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSASVTPWTDEYETSKRESWRRLSPGTGRKKMTLDSRATPLSCSPDNRHPDSNTNTTCMYLRLFLSTGKPLFGRRLGWYDFHEICVKCL >LPERR01G33890.1 pep chromosome:Lperr_V1.4:1:28516719:28520083:-1 gene:LPERR01G33890 transcript:LPERR01G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSLRLVFLLVFLLLLHLPSSLSSRHHHQKHAPTPTPTPSPSSYEAPLAVLLACNATRFKPACVSTLSNSSSDASTSDLLAATLAALRGRVPPAVATARSVLAASSNVNLTNAATNCLTFLSLSTHRLTPPPSPSSLLSASTALLHLYDCWSAYKYVNFSSTISDAMAYLDDTIAINSNYISMLAALRRYGDDTTRWAPPQTERDGYWPPAAAANSAADEDAFGVPKGILPNATVCGGGCDHKTVGEAVAAAPDHGEEWFVVHVKEGVYKETVSVPWEKTNLVIVGDGMGKTIITGDLNADTPGVSTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLHDTALTVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCAVNGSDEYMAMYRQNPGVHHVYLGRPWKEYSRTVFVGCALAEIVQPRGWMAWNGDFALKTLYYGEYESAGAGAAAGSRIGWSSQVPKEHVDVYSVASFIQGDKWIPKVQ >LPERR01G33900.1 pep chromosome:Lperr_V1.4:1:28521477:28524622:-1 gene:LPERR01G33900 transcript:LPERR01G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLSCALYLYLFRYQGRGHVVPEFAGENLEPSCDVFDGSWVPDGRYPLYNSSDCPFAERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARNVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVEDPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRHGPKRVRAILKLDKMDNISRKWEKADVLIFNSGHWWTPSKLFDMGCYFEAGGLVKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELVGELWLAD >LPERR01G33900.2 pep chromosome:Lperr_V1.4:1:28521479:28524582:-1 gene:LPERR01G33900 transcript:LPERR01G33900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLSCALYLYLFRYQGRGHVVPEFAGENLEPSCDVFDGSWVPDGRYPLYNSSDCPFAERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARNVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVEDPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRHGPKRVRAILKLDKMDNISRKWEKADVLIFNSGHWWTPSKLFDMGCYFEAGGLVKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELVGELWLAD >LPERR01G33910.1 pep chromosome:Lperr_V1.4:1:28528116:28529987:1 gene:LPERR01G33910 transcript:LPERR01G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTVRVSGSGVARRPPPSRRQCRVSAVVTTTAAPPKVRQRVTHSMAPEKAEVFRSLEGWAATSLLPLLKPVEECWQPTDFLPDSSSDAFEHQVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARVCGTIAADEKRHETAYGRIVEQLLRLDTDGAMLAIADMMRKRITMPAHLMHDGRDAGLFDHFAAVAQRLGVYTARDYADIVEFLVKRWKLEALEGGLSGEGRRARDFVCGLAQRMRRAAERAEDRAKKDGQRKVKFSWVFDREVIV >LPERR01G33920.1 pep chromosome:Lperr_V1.4:1:28530925:28538853:-1 gene:LPERR01G33920 transcript:LPERR01G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGGRGGRGGRGNRAARGGSTVDVGLIKLGDDARKLAPKRRSKRLRQRLASDYFDGEVGEDKDTLGSSSDNDDDDCSVPRQGLKRLRNRLGSRNVSYVDDDSDIDDSRRNVPCRRTSKRLQEKQKADQVSEESCSEASSCMLSASSSSDDELLRSDINPSRRKSDGPSCSICKIGTACSFLVQCKNSKCTRSFHTFCLDPPLQEDKGAWECSWCKTNVAPSAKVIEVVTSKKIQRLVGHRRILQESDFQFQFLVKWQSLSHYHDCWVPLEWLHLFDRLRVQSYLVKNRQVFFVYCLSLPKEIYSEDQRKLEWFEVDRAIACRRKFQNEVLCDILATFQDNQDFDEYEFLEFNHWASDLNVIVYQGDKNSRKVIQAHEMYSSEGKSLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPNADGLFSPIQSERDLTMNEKITHSIPVKKWVEVPCALTDVQRELYINILKKNYSKLNNAILNGLEVGQQAGEDVFLSLVASSGKLQLLHKLLPKLKERGNRVLIFSQMTRMLDILEDFLCYLGYKHARIDGQTALSARQESIKEYNSSVSETFIFLMSTRAGGLGVDLIGLYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLTTKCSVEEKILLKSKQKLAIENMLINSSNKPSADELQSILLHGAKTIVDRKVSATTIHYDDEAIENLLKLDPSSGEKCSSDDNGYLGSIVSFAHGVEDEAPSSPKVEDLKVLKPATPKVDLGRGKRHRKVVNYADEIEN >LPERR01G33930.1 pep chromosome:Lperr_V1.4:1:28539704:28541299:-1 gene:LPERR01G33930 transcript:LPERR01G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDKTSVGLARVGAADEVAADLAVAIVKATAHGEIAPADERHVQEILTLTCYSRARVSACVAAVSRRLGRTRAWAVAVKSLALVHRLFADGDPAFEHEVFLATTRRGGRRMLDDVSHRFPHHRPARPSWDFHAFVRAFASYLDDRLKHRMKGASHGRWCIDRARRDGFPGTDERYDIGEAVPEIWALVPREAPEATTTTEEVIAKAQHLKHILDRFVTCRPTGKARTNTVVAAALQRLVKESAWMYRELTETMSVLVDRFAELETAGCVRVHSIFTSVAKVFDELDEFYSWCRAAAICRPSEIPEVEHVTQRKLDLMDEFIRDRHASASRWWTPAPSSTHAPIAINGCDAGTRHATRRLPAPEMEEESNAETAGALVVVDDHMADFLNLGDETLTTMALSAEEQERNLALSLFGSDPATKWEVFDDDEPSDDWETALVQSASKFAAQSATVLALPQPPPTGVAAGEVADPFAASLGVPPPTYVQMTDMQMGQRLLVNEQMMWQQFERQQKPTWGYSTQF >LPERR01G33940.1 pep chromosome:Lperr_V1.4:1:28559881:28563134:1 gene:LPERR01G33940 transcript:LPERR01G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLVGAAAAAADSGGDGPGAVYATRLALDLAVRHFPLLEMGKVVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRKNSDCFRSLSLIASEIITDDPSCRFQVVGFHNLYDKACTKIEEARANLQPEPIFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHVCFSIHSSRDELEQALKLLQPRWVISTTPPCFAMELSYVKKHCLKTRLTADDPLWKIFRYHLQKSTSSSSTLLDSDTHTNEDDNSDFDHNHSASPSGEEYTDFDVSTFELKFVPSPPVQEPDITLFGRARFGSEEIDIMKEELCNQHIAVEEARAFSPAYLICDSSSEVETCPDSGIDFEQASKFEQGHSGYEDEIPSYQRAASPRCSIQSLPTGECSLSLVVDKPTKSEVVVESESTNHVESSNFCMVRREETSDCQRGTLFVIGSSKCLNASLKRLYRSRNIPVPRPLPSLVGLLESTKRVKVQPSTDGSSLNSWHTLPWR >LPERR01G33950.1 pep chromosome:Lperr_V1.4:1:28563450:28565383:-1 gene:LPERR01G33950 transcript:LPERR01G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVRRADPSTTYADNLYVHKFGAPNSNFATRRYASDTQLFHYGPEPYNSENFYNQQASPIPYMVTADGHSPASADNQSNSCSDATKDSPLVSNVSQQNSQSISDNQSSELEVELDEDDIRMKLQELEHALLDDTDDILYEISQAGSINDEWADPMKNALLPNSPKESESSISCAGSNIGEPRTPKQLLFDCAAALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGTGIYKALSCKEAPTLYQLSAMQILFEICPCFRFGFMAANYAILEVCKSEDRLHIIDFDINQGSQYITLIQYLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQIRFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVIGGIRSLLKSYCDRYKFEEDRGGLHFGWGEKTLIVSSAWQ >LPERR01G33960.1 pep chromosome:Lperr_V1.4:1:28572568:28576068:-1 gene:LPERR01G33960 transcript:LPERR01G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKLMSGGLDDSFNALMVSGSDESGQAPHGGTGTTLLRWNDLPMELLLRILSVAGDDRMVIVASGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVISLAQKFTKLQILSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALANGCPLLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCSQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRGKGRSRDAAARKNNNTDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRTGRAILSNHAY >LPERR01G33960.2 pep chromosome:Lperr_V1.4:1:28572568:28574625:-1 gene:LPERR01G33960 transcript:LPERR01G33960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKLMSGGLDDSFNALMVSGSDESGQAPHGGTGTTLLRWNDLPMELLLRILSVAGDDRMVIVASGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVISLAQKFTKLQILSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALANGCPLLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCSQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRGKGRSRDAAARKNNNTDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRTGRAILSNHAY >LPERR01G33970.1 pep chromosome:Lperr_V1.4:1:28582542:28586434:-1 gene:LPERR01G33970 transcript:LPERR01G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVMAGGDDQPPTPASSSVAAAADAAAEGATPDGGGEAAIPPMTLSPLLLPPPQMVVLALDATRDHREEEIRIALKAVVTRGDILRGGDSLLVLAVLHAITNPMGYQTKASTDSFAGTSLRFLGDQVKAKAEFYRNKLRHDVEELHKVGINVTLKVSPGSPAKFVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIACKVAVFQDNLVVQQLKTIRTIPSNKSVGEVKALQHLAVSLDLYSETLDTNTHSVLTKSSPVSYFASLSYQEMHETSSVAGSSVSYLTPSMSAMSLTMIDGTESLSNGKCIEGSMIFHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNRSLEWHLFDKDADLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRVLGQSGYLAPEYAEYSIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >LPERR01G33970.2 pep chromosome:Lperr_V1.4:1:28582542:28586434:-1 gene:LPERR01G33970 transcript:LPERR01G33970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVMAGGDDQPPTPASSSVAAAADAAAEGATPDGGGEAAIPPMTLSPLLLPPPQMVVLALDATRDHREEEIRIALKAVVTRGDILRGGDSLLVLAVLHAITNPSEGHAPLSIPAVGYQTKASTDSFAGTSLRFLGDQVKAKAEFYRNKLRHDVEELHKVGINVTLKVSPGSPAKFVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIACKVAVFQDNLVVQQLKTIRTIPSNKSVGEVKALQHLAVSLDLYSETLDTNTHSVLTKSSPVSYFASLSYQEMHETSSVAGSSVSYLTPSMSAMSLTMIDGTESLSNGKCIEGSMIFHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNRSLEWHLFDKDADLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRVLGQSGYLAPEYAEYSIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >LPERR01G33970.3 pep chromosome:Lperr_V1.4:1:28582542:28586434:-1 gene:LPERR01G33970 transcript:LPERR01G33970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVMAGGDDQPPTPASSSVAAAADAAAEGATPDGGGEAAIPPMTLSPLLLPPPQMVVLALDATRDHREEEIRIALKAVVTRGDILRGGDSLLVLAINVTLKVSPGSPAKFVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIACKVAVFQDNLVVQQLKTIRTIPSNKSVGEVKALQHLAVSLDLYSETLDTNTHSVLTKSSPVSYFASLSYQEMHETSSVAGSSVSYLTPSMSAMSLTMIDGTESLSNGKCIEGSMIFHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNRSLEWHLFDKDADLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRVLGQSGYLAPEYAEYSIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >LPERR01G33980.1 pep chromosome:Lperr_V1.4:1:28588074:28590210:-1 gene:LPERR01G33980 transcript:LPERR01G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAPDGGGGEAATPPVALLPLLPPPQMVVLALDATRDHREEEIRMALRSVVTRGDILRGGDSLLVLAVLHPVADPNDGCGRFLPTVGHQTKACTGPFASKNMQYLGDQVVAKAKFYGDKLCHDVEELRKVGINVALKVSPGSPAKAVIIHEVNSSGAAWVVLDRHFKRDFRHLEKHIACKVAVFQDNLVVQQLKTIRTIASSKCVEEVKVLEHLAASLDLGSETADTDTHNEIHDISNAIVGNLPYLNLTPTMSAYARKYTDENMMSRYDSSLIRANISTSWLWANKESMRFILSEIQAATSDFLNENLLGKGGFEHDVQGPEQGWQGRFWLIAARLRKGLRSDKYFETKRVIYGVK >LPERR01G33990.1 pep chromosome:Lperr_V1.4:1:28592421:28593739:1 gene:LPERR01G33990 transcript:LPERR01G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPADGGDGGNGAADEALPGELHGGSKLYDVLTVAGLRVDAIEPGRLLCSFTVPPRLTNASSKRMHGGAMASLVDLVGSAVFFAGGSPTTGVSVDITVSYVHAAREKEEIEIEARVLGIVGTTGCVTVEVRRKSTGEVLAHGRHTKYLAVSSKL >LPERR01G34000.1 pep chromosome:Lperr_V1.4:1:28596215:28601804:1 gene:LPERR01G34000 transcript:LPERR01G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAASTSGAAGAGGGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCALCVVGSTTIVLHAPQEREIESVAEVWDLATEPAFLFYAAIVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIFVVACIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDDGYAAEGIPLRSATEGLPLRSPRAAE >LPERR01G34000.2 pep chromosome:Lperr_V1.4:1:28596215:28601505:1 gene:LPERR01G34000 transcript:LPERR01G34000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAASTSGAAGAGGGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCALCVVGSTTIVLHAPQEREIESVAEVWDLATEPAFLFYAAIVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIFVVACIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLRSATEGLPLRSPRAAE >LPERR01G34010.1 pep chromosome:Lperr_V1.4:1:28602533:28603437:-1 gene:LPERR01G34010 transcript:LPERR01G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAAAARTVSPLPAASPLQQQHHHQRRGAASWARAGTGRASTTALRSSVWDLVGGDLVRPDLGRWLDDVEKHKSLAIYPPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVLEAKVLSKAELQFLAMLPDMRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEQ >LPERR01G34020.1 pep chromosome:Lperr_V1.4:1:28604697:28606631:-1 gene:LPERR01G34020 transcript:LPERR01G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGRYYYPATAAMEVSAAELGHTAGSKLLDDDGRPRRNGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMVLFSLVTYYTSALLSDCYRSGDPVSGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMMAIGRANCFHKKGHDNPCNVSSVPYMIIFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSGSMTGISIDMVTVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTVFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAKRWPDSPYINNEIELRLSATRSYRVNVFRSTWRSGFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >LPERR01G34030.1 pep chromosome:Lperr_V1.4:1:28610953:28612890:1 gene:LPERR01G34030 transcript:LPERR01G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWWVLLRLAVGILVVMCATSPAEAAKGGENSSTAACPLDLGYVRAFPWDTAPCVPPVANQTACCTTLRSVLGVGLAARLRATGHFRLPSADASSACLRAFAGELASPPLSLPADTTVPACWPVSSQLAISRSYCAGVTTAAQYVAAVGSAAVVASLNSSCGSDLGDLSLCSSCLTAAIDASGRLVAAAAKGTNPENCFYLTVLYAAGIASSSGPTSLGTAKCALGLALSPASSSSSPASSSGHTKTALAIAIPIASVLLVAVGAALLVWRRGRQGSIIKSGFAGVERRMSRPRPNVGSVMFTIGELAKATSGFAERNIVGRGGFGVVYRGVLGDGTVVAVKKMLDPEMDGGDEEFTNEVEIISHLRHRSLVPLRGCCITDDADEGKQMFLVYDYMPNGSLDHYIFHNAGNTRSPLSWAQRRGVILDVARGLEYLHYGVKPGIYHRDIKATNILLDADMRARVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTERSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWTLVKAGRAGEVVADAMREGEGVHAHAAMERFVLVGILCAHVTVACRPTMPEALRMLEGDVDVPDLPDRPQPYGQRIAFDEGEGNFSASSVLSGGPFMDFSDMLR >LPERR01G34040.1 pep chromosome:Lperr_V1.4:1:28613448:28615560:-1 gene:LPERR01G34040 transcript:LPERR01G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLVNVNDKLMDHWERHVRNDKMLEDENKLLAFKLHQQEIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >LPERR01G34050.1 pep chromosome:Lperr_V1.4:1:28616027:28623195:1 gene:LPERR01G34050 transcript:LPERR01G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVVAAPASSVPSSSVVAAAAAAVAPPPLGTQQAPAAVVAAAAQEDAAAGCRRQVFSVELRPGETTIVSWKKLLKEAGHAATSPPPAAPAVAAAASDPAFAALPGQPGAVHPPENDPKDPAQPNRFSAVIEKIERLYMGKHSSDEEDLDDVPDDDEYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEAGTSASVAPKKRRRKDSSSGYIENNQVAPGDYLSAGNIPGKSTSRSGAQVRKKLTSSNLGSYGEYYNEDNRVVKNKTGAPGGVHKRKSTDFAMGSDTGAYTKTSSKDMPYSSSEPKDLEKHKAAALQPTDFTHKSKTAEAYDYAYSAYRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGTGEFSGMATTGAPITSREGSGTKPKGSRLERAIRDLQKIAAEYRPTAIDINEIDPTGQVAIKRRLPPEVKQKLAKVARLSANQGKIAEHGLMERLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIRSRVAAKAKVNEHQGGSADDFQITNDEKRSLKGKSLMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAIYRSKERRRLLYSQQKVRNEERLKRKRLAAAAKLQDGYPVVMQSALVQQAAQPPITNAVATYPVTDHGQNLGSRSFDRVREVSASANPDDINRNAGEMRKKKRKPESDLVDTQANAVKAPSQHASEKHKLPKRADEAAGTVLCLPFYDQQPS >LPERR01G34050.2 pep chromosome:Lperr_V1.4:1:28616027:28623195:1 gene:LPERR01G34050 transcript:LPERR01G34050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVVAAPASSVPSSSVVAAAAAAVAPPPLGTQQAPAAVVAAAAQEDAAAGCRRQVFSVELRPGETTIVSWKKLLKEAGHAATSPPPAAPAVAAAASDPAFAALPGQPGAVHPPENDPKDPAQPNRFSAVIEKIERLYMGKHSSDEEDLDDVPDDDEYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEAGTSASVAPKKRRRKDSSSGYIENNQVAPGDYLSAGNIPGKSTSRSGAQVRKKLTSSNLGSYGEYYNEDNRVVKNKTGAPGGVHKRKSTDFAMGSDTGAYTKTSSKDMPYSSSEPKDLEKHKAAALQPTDFTHKSKTAEAYDYAYSAYRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGTGEFSGMATTGAPITSREGSGTKPKGSRLERAIRDLQKIAAEYRPTAIDINEIDPTGQVAIKRRLPPEVKQKLAKVARLSANQGKIAEHGLMERLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIRSRVAAKAKVNEHQGGSADDFQITNDEKRSLKGKSLMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVEVRNEERLKRKRLAAAAKLQDGYPVVMQSALVQQAAQPPITNAVATYPVTDHGQNLGSRSFDRVREVSASANPDDINRNAGEMRKKKRKPESDLVDTQANAVKAPSQHASEKHKLPKRADEAAGTVLCLPFYDQQPS >LPERR01G34060.1 pep chromosome:Lperr_V1.4:1:28649386:28654531:1 gene:LPERR01G34060 transcript:LPERR01G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPAPRHQPSHLTHSPSSAAPMDATGGIDAAAVCDLRRDPKIPEPFVWPNADARPASARELDMPVVDVAVLRNGDADGLRRAVAQVASACATHGFFQVSGHGVDAGLARAALDGASDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDHNNTPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLEVSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVNPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRKERRSLAFFLCPREDRVVRPPPSAATPRNYPDFTWADLMRFTQRHYRADTRTLDAFTRWLTEPAS >LPERR01G34070.1 pep chromosome:Lperr_V1.4:1:28653121:28658046:-1 gene:LPERR01G34070 transcript:LPERR01G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEISSNKKSVVKEQPARVNYGASEEAASGNAAEVFDKFRDTGNNDNAASEESNNTETPEEEKFSENTVEKPVESTEEKAPPKEKEESKDTFDDANGRTEHSSAKEGGDSESGSGQSDGSKNGDDEEKSEERKDDETTTVTDSEQSEGERKDDQEEKGDGNDSQDTTDQPQIEEKVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFSTQDAESKNEKEVQAASNSSGDETTYNWKLCNTNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCLVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKHSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWTAMSSLTKSMCWKMVNKTKDRLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLENTPYWIDASHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQQVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAEIINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASMNTGDSSVFTKK >LPERR01G34070.2 pep chromosome:Lperr_V1.4:1:28653915:28658046:-1 gene:LPERR01G34070 transcript:LPERR01G34070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEISSNKKSVVKEQPARVNYGASEEAASGNAAEVFDKFRDTGNNDNAASEESNNTETPEEEKFSENTVEKPVESTEEKAPPKEKEESKDTFDDANGRTEHSSAKEGGDSESGSGQSDGSKNGDDEEKSEERKDDETTTVTDSEQSEGERKDDQEEKGDGNDSQDTTDQPQIEEKVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFSTQDAESKNEKEVQAASNSSGDETTYNWKLCNTNAGTDYIPCLDNEKAIKKLRTTKHYEHRIAQWSLLPALFHFLKDINAQLNGPRAGISNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKHSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWTAMSSLTKSMCWKMVNKTKDRLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLENTPYWIDASHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQQVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAEIINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >LPERR01G34070.3 pep chromosome:Lperr_V1.4:1:28653915:28658046:-1 gene:LPERR01G34070 transcript:LPERR01G34070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEISSNKKSVVKEQPARVNYGASEEAASGNAAEVFDKFRDTGNNDNAASEESNNTETPEEEKFSENTVEKPVESTEEKAPPKEKEESKDTFDDANGRTEHSSAKEGGDSESGSGQSDGSKNGDDEEKSEERKDDETTTVTDSEQSEGERKDDQEEKGDGNDSQDTTDQPQIEEKVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFSTQDAESKNEKEVQAASNSSGDETTYNWKLCNTNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCLVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKHSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWTAMSSLTKSMCWKMVNKTKDRLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLENTPYWIDASHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQQVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAEIINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >LPERR01G34080.1 pep chromosome:Lperr_V1.4:1:28663785:28670768:-1 gene:LPERR01G34080 transcript:LPERR01G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPSSSVAGSRGGGGGGSHGAARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAFVFPVDSAAVAGTVDEPFRSQIINVVSPSDDERESWRHAFYHGPAFPTISKILLGHVALKWLRQVRASARKEVYDSFFIKGPPTEVIQALVPALSHKGGSKEDHNTICSNIERLLILSLVENKGIRQIIADLIVGDKHDDGILNPGKATLISRIAQLLASVPDKTRMGASPALSSSSFFKCVIDQLLVGAEQAAMELAAGEDANGPDTSNSVFLFVGEVISRVSRRGSTGIIVAELIPRIRSHLKRCMESGHKTISPDMVKHVSQSQFWFNIVEAIRDQHSVERLTEEMLRQLASQHTSDEDAYWILWTLFNQSFMHKTVTRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDDCCENVEWDFGVLSPKEITAPSKDVELRRRLKPSSPAIKKHAGEKKAAIKHDISDNRAKIIEIESLDSCEMSGPAMSGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDTDLQKKFTHLTDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSSDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDVSQRVLIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDRGPPGAGPWREVSESGNLLNWSHRYEREVPSRLGQVKSGKSRKWGLGKAKHMQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPLYVASALIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHNKASGNRSLPSKLDNIIIPFANMM >LPERR01G34080.2 pep chromosome:Lperr_V1.4:1:28663785:28670768:-1 gene:LPERR01G34080 transcript:LPERR01G34080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPSSSVAGSRGGGGGGSHGAARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAFVFPVDSAAVAGTVDEPFRSQIINVVSPSDDERESWRHAFYHGPAFPTISKILLGHLIVGDKHDDGILNPGKATLISRIAQLLASVPDKTRMGASPALSSSSFFKCVIDQLLVGAEQAAMELAAGEDANGPDTSNSVFLFVGEVISRVSRRGSTGIIVAELIPRIRSHLKRCMESGHKTISPDMVKHVSQSQFWFNIVEAIRDQHSVERLTEEMLRQLASQHTSDEDAYWILWTLFNQSFMHKTVTRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDDCCENVEWDFGVLSPKEITAPSKDVELRRRLKPSSPAIKKHAGEKKAAIKHDISDNRAKIIEIESLDSCEMSGPAMSGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDTDLQKKFTHLTDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSSDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDVSQRVLIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDRGPPGAGPWREVSESGNLLNWSHRYEREVPSRLGQVKSGKSRKWGLGKAKHMQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPLYVASALIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHNKASGNRSLPSKLDNIIIPFANMM >LPERR01G34080.3 pep chromosome:Lperr_V1.4:1:28663785:28670768:-1 gene:LPERR01G34080 transcript:LPERR01G34080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPSSSVAGSRGGGGGGSHGAARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAFVFPVDSAAVAGTVDEPFRSQIINVVSPSDDERESWRHAFYHGPAFPTISKILLGHVALKWLRQVRASARKEDLQLKSFKLLFLPCLIKEVLRKIITLYVQTLKGIIVAELIPRIRSHLKRCMESGHKTISPDMVKHVSQSQFWFNIVEAIRDQHSVERLTEEMLRQLASQHTSDEDAYWILWTLFNQSFMHKTVTRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDDCCENVEWDFGVLSPKEITAPSKDVELRRRLKPSSPAIKKHAGEKKAAIKHDISDNRAKIIEIESLDSCEMSGPAMSGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDTDLQKKFTHLTDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSSDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDVSQRVLIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDRGPPGAGPWREVSESGNLLNWSHRYEREVPSRLGQVKSGKSRKWGLGKAKHMQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPLYVASALIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHNKASGNRSLPSKLDNIIIPFANMM >LPERR01G34090.1 pep chromosome:Lperr_V1.4:1:28674133:28675912:-1 gene:LPERR01G34090 transcript:LPERR01G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPGTSFKPLLSSPYTSRITKASNDPSSSIAGERSDSPPELVKMSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPASAAATASGGVIERKPMVMGINAAAAVSSPPEQKPVVGVHGGGAPAFPDLAAYYDRPSDSMPRLHADSSCSEQVLSPDQFACEVQSQPKISEWERTFATAGPVNPAASILDPTAGSGGFGGGGIGSAGDPLLQDILMYWGKPF >LPERR01G34100.1 pep chromosome:Lperr_V1.4:1:28683063:28687464:1 gene:LPERR01G34100 transcript:LPERR01G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQKAGEVGPTYMNEKLWAHPSARAGRYNSTASLASGGRGPRSQGEQFLPPAAAPVLSLNPVHPTPLSSLHRTHRSVRLYSLVHREPGLFGVQLPATTPTRRGSLQPRVHTLPDSSIFSFFLSSSSSSSSPPPPRKRSERRESEATASRVTNTSPRGPNPPFLLLLLPPLEASEVMESLSLSILDNISNFRVLSSSNGSKTEIVKKYCQTIDGLLDHMEMALNGAFPQITPGDELRKVLEELGAIINEAIELVGGWNQMMSKIYFVTTAIQIESIISKMQIYVLELCQIVNSLMQSESKYLENLKQDNCEKISDVIREAFRALAGEAMPKPEELEKIRTSLSLSSNQELLMEFVALVKVKTKGSHEGSKELDDINGSVELVNHMLDIHVKEKQTRSIDGVPIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIILPDPMKLLSLCFPVSLNITDGSTSADKPGSPEHSQLIAASHPKAQCVSDDSHHDNLIHENSDTDDRVSSFDDTDDSDLDSLRLSTETTAANKSLLDEKTNGSEDLKQLREDVFQVSDEELHVERNGRSHSSNGHPLDGENVRTHTSSDNNAPEVAQDDPVTTYSKVEPDTLPRFGGVRSRNQPIWLRQSDKTVPRIGLTNSKSDSSSIDAKVRNLVEELKSDSAEVQRSATGELRALSKHSLENRIAIANCRAIPFLVSLLHSADPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKGRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLASVQEGRNAIAQEGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKARVLLSYFRNHRHARVSRG >LPERR01G34110.1 pep chromosome:Lperr_V1.4:1:28691334:28700796:-1 gene:LPERR01G34110 transcript:LPERR01G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKRAEDIAEELCFVCKDGGDLRVCDFKNCLKAYHPHCVGKEDNLLDFDDQFICELHKCVSCKRNSDYHCLCCPSSSVCSECLGKVEFTQLRNQSQSKGLCSNCLKLALCVEKNSEANTEDYLVLFKDYWEAIKDNEGLTLIDLQEANVCLRRSLICKQGRDTDKPPDEDYRAEKNSLGDEDNAEHPLLADGKVQQSDPIVSLKRKKSNKKTYVGWASEELIEFLSFIGKDTTKPLDQFELTGVVKEYIQQKNLFKDKKRKSVICDDKLHSLFRRKKVKSNMIHSLLEIHFAENAVSEDEYRDDFQDDEGPTVKKKPHNSLKAETFERDPNRNKNCFAALNQNNLKLIYLRKTLVMNLLGQDTFEQKIVGSLIRVKNDLKHYGYQLSKKPYQLGLVTGIKKSSQAYKIKDKQTDILLCVSNMWDDVKISMLSEEDIEEDECNDFLLSAKKEFFKRPTVADLEEKAANVHADIVNHQILSTQAERQRRLAEVPEAIPDTEEERKEEEFEVAEINHLQENKGATGHADLVKSCMVDLPGDASGQVADFLEVFKEKTSEDASRQVADFLEVAKEETPEGASERFDTTTCEVALEAPGKALCDGGTSGPGLQNQIHNAQDGGTAQAGGMGNGNGDTSRHLNGGVSHFVIDLDSDEEQDLHSEQPEPEHVACGATGATMDVVAAPIRGVLGAMIGADAPIRHCGRRVGNGTAAPIYPVPAQEGRMNGIAALHAIQDMNLPAENEPMWNYIDPHGQPRGPFAMRHMRQWHRNRFFPSDFRVWRLGQTMEDSILLTEAMGLNFSS >LPERR01G34120.1 pep chromosome:Lperr_V1.4:1:28703341:28705366:-1 gene:LPERR01G34120 transcript:LPERR01G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESADLNDWELLLASPTAASSSAAAAAADGGDDDAGAIKFDYFELGSEVKYPERISFSKEEEEGDDEEGAASGNASWVEPDPDDLVFRGPDRAAMWSDSSDDGERPREEEEHAEAEVVVTPVVAEEESEEAAVVGEGGAVAKGGGVRWWQLPVGLLRAWAVRAARSAWSMPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDDKKASQFKTQASRLNESFPMVRRAPIMKPLLPANGVTPWPVLGHI >LPERR01G34130.1 pep chromosome:Lperr_V1.4:1:28707124:28708677:1 gene:LPERR01G34130 transcript:LPERR01G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGKGLVAYNAAISRCSRAGLHRRALALFTEMRARGLRADEYTLSPILNSAALLRAPPAGALHALLLRAGLASHLHVANALVDAYAKLSRLGDAREVFDEMPRRDVVTWTSLVTGLARAGSHGVAVRVYRDMVSDGVAPDEFTVAAALSSCAGSTALELGRSVHAAAVRAGHEPFLSVGNSLVSMYAKTGSLHDAQKVFDAMPTRCAITWTAMIVGYAQNGRGRESLAVYTDMARSGCRPDYVTFIGLLFACSHAGMVDAGKAHFHSMQSDHGIIPGPDHYACMVDLLGRAGRLDEAMDMLDQSPTAKLDATVWKALLAACRTHRNATLAERAAEMVWRLDPMDAVPYVMLSNLYSRARRWADVARVRALMKSRGVSKEPGCSWVVVAGVTHVFHVGDRDHRRAAEIYRKVEEMTARIMARGHVPDTEWALQDEAPEGREKGLAHHSERLAVAFGLLAVPTGAPIRVYKNLRVCGDCHAAIKMVAEVYGREIILRDSNCFHHMKDGACSCGDYW >LPERR01G34140.1 pep chromosome:Lperr_V1.4:1:28708936:28711841:-1 gene:LPERR01G34140 transcript:LPERR01G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLLSPQQLTPSPVLSSHFSPPAPGGSPAWRRSHRRVSSLRDPDKATLRKASPNVPFRLGGGGGGSGDTKDRRPAADQEEEEAAAGEDEEVGGAGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEIDE >LPERR01G34150.1 pep chromosome:Lperr_V1.4:1:28713548:28719529:1 gene:LPERR01G34150 transcript:LPERR01G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSTSSNRQVTQVVKYPYYPSPNLKNPMLYNKTCQENQLERRKIAGEETLDELVETGQTPITKTGDSFVPADYVQQQGLWIPGSEHLDHGKHSMQGEEIINKLTSPLIHFIGNIPRSMHCQSM >LPERR01G34150.2 pep chromosome:Lperr_V1.4:1:28713491:28719529:1 gene:LPERR01G34150 transcript:LPERR01G34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSTSSNRQVTQVVKYPYYPSPNLKNPMLYNKTCQENQLERRKIAGEETLDELVETGQTPITKTGDSFVPADYVQQQGLWIPGSEHLDHGKHSMQGEEIINKLTSPLIHFIGNIPRSMHCQSM >LPERR01G34160.1 pep chromosome:Lperr_V1.4:1:28717895:28719088:-1 gene:LPERR01G34160 transcript:LPERR01G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATIALFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCIPVFFVQALLVFVGPTFVKDENHAHGRRKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDIAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGHREIAEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >LPERR01G34170.1 pep chromosome:Lperr_V1.4:1:28722687:28727710:-1 gene:LPERR01G34170 transcript:LPERR01G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVCFREELWFCGRSQGEPTERDGSQGVSVSQKIDHGSISFGRFELESLSWEKWSVFTDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKASQQQNQQTELILEYSGDGSDSSQTGEDMQAAELETPTGSGTILDDYVEEAAHETTSEQGLTCYDDHEERNYNAEFALSNISSSVVGLQQSDQDTRENIHSDDSTDKMELVQHNAISGHGLGRTAYEDARVPKRIVEKDSSRLKYAPKIIPKSVKTSSDGPLGRTSVSKRPDSLKPGMSLIQKAKPDSDHLLRRPTAAPYEMSGSAERKKITAKQTLGVTGVRRPSSASAQRPSAGERHRIARESIKKPADVSTPQRPSTTERHPVSTERARKQADVATPRRPSTSERRIVNREGSEKHVDIATKRRPTTGERHPVTRESVLKMDVRTPSKTRLTVAQPKGATTTVGTVEKAGTPNVSRSINMGTNSIRELEGPSKVGKHSVRSKSTLQVAGKQKPSSVNLPPRKLMSSSIGEPAMETFARPKKKDVAVQSRASTSKRTMPLHTGNLKGSSNPPPPPPPPRRPSRTINRPDGRFQHQNGIDAKGVFIQSTLLPK >LPERR01G34170.2 pep chromosome:Lperr_V1.4:1:28722687:28726022:-1 gene:LPERR01G34170 transcript:LPERR01G34170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVNQTFFAWSQSQGEPTERDGSQGVSVSQKIDHGSISFGRFELESLSWEKWSVFTDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKASQQQNQQTELILEYSGDGSDSSQTGEDMQAAELETPTGSGTILDDYVEEAAHETTSEQGLTCYDDHEERNYNAEFALSNISSSVVGLQQSDQDTRENIHSDDSTDKMELVQHNAISGHGLGRTAYEDARVPKRIVEKDSSRLKYAPKIIPKSVKTSSDGPLGRTSVSKRPDSLKPGMSLIQKAKPDSDHLLRRPTAAPYEMSGSAERKKITAKQTLGVTGVRRPSSASAQRPSAGERHRIARESIKKPADVSTPQRPSTTERHPVSTERARKQADVATPRRPSTSERRIVNREGSEKHVDIATKRRPTTGERHPVTRESVLKMDVRTPSKTRLTVAQPKGATTTVGTVEKAGTPNVSRSINMGTNSIRELEGPSKVGKHSVRSKSTLQVAGKQKPSSVNLPPRKLMSSSIGEPAMETFARPKKKDVAVQSRASTSKRTMPLHTGNLKGSSNPPPPPPPPRRPSRTINRPDGRFQHQNGIDAKGVFIQSTLLPK >LPERR01G34180.1 pep chromosome:Lperr_V1.4:1:28736231:28741115:1 gene:LPERR01G34180 transcript:LPERR01G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLEFFNLGQKLSLGSLCF >LPERR01G34190.1 pep chromosome:Lperr_V1.4:1:28741616:28746461:1 gene:LPERR01G34190 transcript:LPERR01G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGAAPPRVLSAEPRRDPVAVRLSPWQPGSRVRASRPRQENVLHGQLISTKSNSRSLLCPPCHCAQMALANTRIAYQPDVDKHSGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPTQVSSKKEVVDALVKEKYSVDVAQWVATNLRRSSPLGSLSSSSFSWMFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLKDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >LPERR01G34190.2 pep chromosome:Lperr_V1.4:1:28741616:28746461:1 gene:LPERR01G34190 transcript:LPERR01G34190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGAAPPRVLSAEPRRDPVAVRLSPWQPGSRVRASRPRQENVLHGQLISTKSNSRSLLCPPCHCAQMALANTRIAYQPDVDKHSGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKGFPVSFCLPLPFLYSMIYIVNDPLVSQSSWMFFAVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPTQVSSKKEVVDALVKEKYSVDVAQWVATNLRRSSPLGSLSSSSFSWMFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLKDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >LPERR01G34200.1 pep chromosome:Lperr_V1.4:1:28745534:28747618:-1 gene:LPERR01G34200 transcript:LPERR01G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLMLLTYPALLMLQMSPAAAADTYEQESRRMFVEWKAKMEKPYEDAGEEECRYAVFKNARRRVARANADGANSGLFNSLSDRVVGEEITRVRIGERSFEEETRRMFVAWKDEHGKSYRDAGEEDCRYKLFKANRRVVVKLNVVAAGEAVYGLNEFGDLTNEEVRDRCDGRGGEEMERKLSSRWPGRLPVHFQGTETEYTESGASGIPGDEAQAKIH >LPERR01G34210.1 pep chromosome:Lperr_V1.4:1:28748854:28752310:1 gene:LPERR01G34210 transcript:LPERR01G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFILAILAFLISSLLLPPADGRSHLLIGESLSVERASSDILVSPNGVFAFGFYNLSSTVFVVSVWFAASADRTVAWTANRHRPVHAAGSKLTLPRRRRKHGGGGGLVLTDYDGTVVWEANYSINSGVDAAAAELTDEGNLVVRGEDGGVMWQSFDWPTDTLLPTQPVTATARLTTNDVMHPTSYYSLRFDDRYILSLAYDGPEISNIYWPDPDVSSWLNGRISYNVSRRGALDDAGFFLASDNTTFAASDADAVTVRRRRLTLDHDGNLRLYTLRDAAAGGDGGWSVTWTAFSQPCGIHGLCGWNGLCAYTPRSPSCSCPPGYFPADAADRGRGCVPAFNFTCAGNGDGGGEMGFARLPETDFWGSDLTLVSSASVDACKAACLALCNCVAFEYKDDAGDCYLKSALFNGKTYPGYPGTVYLKLPANFAADSYTAPANSAAAVLACDAAPGKQILLSFPAGASPEDGGAWRYYYGFLAAFFAVEVCFVGFGWWFTARSRPETSERWAAEEGYRVVTDHFRRFTYGELKKATKNFKDVIGHGRYGSVYKGVLAVAVAGDGDGSHGRVVAVKKLKATTTTTAMTSPHQGHDEFETEVSVIGRINHMNLVRIRGVCSERRNRRRLLVYEYVENGSLATWLFGAKETIDWSQRYHVAVGVAKGLAYLHHECIDCVIHCDVKPENILLDEDFEPKISDFGLMKLQERRDQDYLGSLRVRGTRGYMAPEWVSNIPVTKKVDVYSYGVVLLELVRGVRMVDLVATSVEGAEVAMRQLVWKIKERLKSDDRSWIIGFVDPRLNGRFMYSEVVLMLEVATSCLEKERNQRPSMNDVIKKFYTPDKKIDFIRDVSS >LPERR01G34220.1 pep chromosome:Lperr_V1.4:1:28752550:28755136:1 gene:LPERR01G34220 transcript:LPERR01G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSKSGCCGPPDHDHAAVLRPEGGLCACLLAMVRAVQGALSLLCGDGMCAVVDLVHRSYAVGPADVRLRACADAAVEWRAAPASARVGSGRTGSIGFCLQGVSTVPAALALWLRCCLSGPPSYWSATFIDLAVPSYPFKGSSLLLCACLFSLLLFSFDLLLSKVRAAASRRSAALAAALWMSAQPTQRVRRVRLARQRRTDNLLGRGPVLSLENTQLNTLPSSNIGPSRRARSLMDFAPELAKTNRKRRCLVRSRLPPLPPTSMGNSLSLNVYCCC >LPERR01G34230.1 pep chromosome:Lperr_V1.4:1:28759999:28761889:1 gene:LPERR01G34230 transcript:LPERR01G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKEIGKKRGRAGSSATAAKKLFKEPIQHNEADRRMICLVRIAFCNNDTFLDVETRVVPNLTNNNYHVTPHGWVFISEPGTLLTRLWNPNSGETIDLPRMEQPLPVNWTCYLSDEPTAASCIVLLLVVSEPRLIYCHVGAGDGNKWTSHEYDIGNVGLPPEYAPPQRRSIAETAALGILEFTPVPEISYIDYPKIKFPSGECNGGRSFLVASHGELYDVSVFYRGFTQEIHSLRVNRIDMSGDSPVFRRVDDLGDRAFLLSDSNVGLLCSASSYGVKGNRVYFMDNPLSKPDGGSLCIYDLEDETMVMEELRPCPGVPVLGDARRDMRCVSGSILNDK >LPERR01G34240.1 pep chromosome:Lperr_V1.4:1:28763088:28763886:-1 gene:LPERR01G34240 transcript:LPERR01G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGKSRSTSTHAGDDDEHPPSSPFGPLPVLVYDHGFDPANNQQTMVRLATAAAGHTALNLETRVVPNLTNNNYHYVTPHGWVFIREAGTLPLLTRLWNPTSAGQPLPVNCKCYLSHEPTAASFIVLLLVVSEPRLIYCHVGAGDGNQWTSHEYDIGNVGLPPEYAPPRRRSIGETAAVDGKFYFSETGKLGILEFSEKGNRVYFMENVLSEPDGGSLCIYDLGDEKMEAMRPCPGVSELMCNPFWVMPTGT >LPERR01G34250.1 pep chromosome:Lperr_V1.4:1:28766277:28766829:1 gene:LPERR01G34250 transcript:LPERR01G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTARVASDAGMAADAQLVAAREISASPSPTLPAPAAARHDRRDVAPPARHGMYANGAGIGGGEQRLVACAEEISVDDMEIMM >LPERR01G34260.1 pep chromosome:Lperr_V1.4:1:28768220:28770988:-1 gene:LPERR01G34260 transcript:LPERR01G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNPNSGGSCAGLAASRTPPPATWPPLAAGEAEGPGTTRRRRRWLWLGRQPARWPKVAVSASGRKRKSGHDDDDEPNNKASSSSSSSGKGDSSAPGGDVSNTEPQSDDTMYVPSNLSYWRDVRASFVIPKVQTIDANTLPQSALDGPVHYLPRKWAHSIPMPESGCVLVATDELDGNGTFERTVILLLRLGSRDADDGPFGVILNRPLYTKMKHVNPSFRKQASPFSDCSLFFGGPVDMSMFLMRTTDGRPVKGFEEVSPGVCFGFRTDLEIASVILKNGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLIIDALAKDPSCLWTEILQLMGGHYAELSQKPKEDSL >LPERR01G34270.1 pep chromosome:Lperr_V1.4:1:28776308:28779262:1 gene:LPERR01G34270 transcript:LPERR01G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIIFSSRGRLFEFSNVNSTRSTIERYKKASDSTSGSAPVIDVNSHQYFQQETAKMRHQIQTLQNANRHLMGEAIGNMTAKELKSLENRLEKGISRIRAKKHDLLFSEIEYMQKREADLHNENMFLRAKVAEAERADEQAAAEDEMAAAAGGSSGAELEALPATFGDTREYLYPPAPPHASILAAAAAVQYSSSEHSHGGHHHQQTALHLGYFKVDDSAGKGLL >LPERR01G34280.1 pep chromosome:Lperr_V1.4:1:28784226:28788954:1 gene:LPERR01G34280 transcript:LPERR01G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSLLQQYSPSPVHSSPHPLSSLRFSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDAERIEHGSPLRLPGHPVNGQPMDLEGWSGMQTENMGVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPPDIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >LPERR01G34290.1 pep chromosome:Lperr_V1.4:1:28799542:28804895:-1 gene:LPERR01G34290 transcript:LPERR01G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFSKLREAAAPLAASAVRRCCSGSGGGGGRIRAEANCPRCAAHMSVQFSVHPLPTPPPAAVAGGGDGSQGHHHDGASVCPACSAAFLFRAHRIEPLRGKFLEIPAGIGGEDEDAGREGFADRIRRMISERPPDDFPMPPSPPPMTHAPVRHRRTGRRRVREEGGGGGGGGGGGGGSSRDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSANSGWLDATNDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKVYIFSWPSSFFTSSFLTTTVLQAESASVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNPRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTDPMITSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRMVAQKAIARNTGARGLRAILESLLLEAMYEIPDEKTGIERVDAVVVDEEAIGSIDRPGCGAKILRGDGALKQYITRASMKNSLETNEGLAGELEDAYMMSRFVSL >LPERR01G34300.1 pep chromosome:Lperr_V1.4:1:28812608:28821893:1 gene:LPERR01G34300 transcript:LPERR01G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVGLKRSPPPAGAAATQTITLPPPDARFAVREAEVMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRYTSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARKQMTSKRSDNYGNPCNMDFMAHIAESCKIVSTSYGTFKKKAREQVGAARLLRGGTRGTGVRLSALPKPAARSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVFNGLQVLEDAAVHGAAVLGVPVKATIKEADSDSFVVKTLDRKTLWEMQTPQVMKPNLLKDGFELVRRDGLEVTDDVSIVEYLKHPVFVTEGSYTNIKVTTPDDLLLAERLMNEK >LPERR01G34310.1 pep chromosome:Lperr_V1.4:1:28821187:28823560:-1 gene:LPERR01G34310 transcript:LPERR01G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRITRCYSSPLSSLTFPPPPPLLHRGAAPPLRFLSISSSSPSPPPSSSAATWDGPGVKGGGDGEDFLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHKNRASALSRLRTLIALRVRKPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPNNPKFAPGMQALLDLLFAVEGSVSEAAKILGLSTGAVSRLILSDDALRTAANEVRAAKGLKPLK >LPERR01G34320.1 pep chromosome:Lperr_V1.4:1:28830204:28835010:-1 gene:LPERR01G34320 transcript:LPERR01G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGAAHTTRTAEEVFRDLRGRRAGMIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFNMINNLPTIFEVVTGASKKQTKEKTPNSSSKSNKPSSKVVNGQAFLLGCEYQSKSESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >LPERR01G34330.1 pep chromosome:Lperr_V1.4:1:28852211:28855565:1 gene:LPERR01G34330 transcript:LPERR01G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRGDELAATAVMSNNNAAAAAGGGGGGSKEEAAGDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHTISSTARSTTTHSRSGGAAAARTQDNKQGSSSTSTPTPPPTPSKLHLLTGECTSPPATIMSHKQQQQVPSSMASVAGGDHHQHDVTAALYHQYAAGGGGGGSKNTISGGGAFASTTTYSLLSLVNAASSMGSTTAAAVAIDELSSLVGHGTTPSYLNSSVISQAPYSHHQFLPLLPTTPPPSHPTAPMSLAAIADKIWDWNPIPDQAGVRDYSTAGFK >LPERR01G34330.2 pep chromosome:Lperr_V1.4:1:28852211:28855565:1 gene:LPERR01G34330 transcript:LPERR01G34330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRGDELAATAVMSNNNAAAAAGGGGGGSKEEAAGDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHTISSTARSTTTHSRSGGAAAARTQDNKQGSSSTSTPTPPPTPSKLHLLTGECTSPPATIMSHKQQQQVPSSMASVAGGDHHQHDVTAALYHQYAAGGGGGGSKNTISGGGAFASTTTYSLLSLVNAASSMGSTTAAAVAIDELSSLVGHGTTPSYLNSSVISQAPYSHHQFLPLLPTTPPPSHPTAPMSLAAIADKIWDWNPIPDQAGVRDYSTAGFK >LPERR01G34340.1 pep chromosome:Lperr_V1.4:1:28860719:28865585:-1 gene:LPERR01G34340 transcript:LPERR01G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQCFQQHHLCWPGVRRASVPNIRLLPAPGALVSKGVDSSLDQKSDTISQAGVIQLYRIPYLQESETIELLRQVQAKVSANIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAHSFLEEEVRSPSSVIVEVGPRMTFQTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGYGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPSTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANHLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGYTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPEGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRTLLESLCERERVSMAVIGTINGCGKIVLVDSTAVEHAKLNGLHPPTPVEDLELEKVLGDMPQKTFEFKRIPLVSEPLDIAPGVTVMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAVGEALTNLIWGAEYQEQDALLVKPESRTLLESLCERERVSMAVIGTINGCGKIVLVDSTAVEHAKLNGLHPPTPVEDLELEKVLGDMPQKTFEFKRIPLVSEPLDIAPGVTVMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLGQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLVLEVHLKDLGVVKQKLEAGGISANVIGQVTASPDIELAVDGMLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFSDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGTLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLAGVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKSGPSPWLRMFQNAREWCS >LPERR01G34350.1 pep chromosome:Lperr_V1.4:1:28868189:28873248:1 gene:LPERR01G34350 transcript:LPERR01G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAALEFTPTWIVAAVCSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVFQGILQKTCVPPKWTNHLLPCRKMEEQHGATSSEAHFVAAGVLGRFGRRLLLEGAAGADHCQKKGEVPLLSLEALHQLHIFIFVLAITHVIFSVLTMLLGGAKIHQWKHWENDIQKDVAENAPTKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFYGSVTKSDYRTMRLGFIKTHCRGNPKFDFHRYMVRALEADFKKVVGISWYLWMFVMIFLLLNVNGWHTYFWISFIPLILLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPSVVLILIHFILFQNAFEIAFFFWILTTYGINSCIMDHLPFILPRLVVGVIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQGLVGWAQKAKKRKGLKEGNNGTTAGAGSTNGSSQPSSMLEMMRRAAAREEGSSNGGDMRNATDQAPK >LPERR01G34360.1 pep chromosome:Lperr_V1.4:1:28871626:28876125:-1 gene:LPERR01G34360 transcript:LPERR01G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLQHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHRNAQMFFDRDIECIYKFFRKRFHLSSEKYVEQDGSDIDDDENGRPSFQSVQKSAGSLDKELAASGFTRKEQVEMDKYIHEKAEEESSDDDSTSDRDNSEDGDDVAGKINSLKIAEQDSAGAPDCTIEARDSNEPETFAEENETGTSHHGDNNLINPSSLSNVDAKEPLESGGHDDSDDDSSDDTDGEDDALAKQLNKQRKRAIAAVHGRRRPLSSRNAYKYKGKGTMNSKIERQACKWKSKDKLSLRLDPEEECNLKRVLEENKMDQD >LPERR01G34360.2 pep chromosome:Lperr_V1.4:1:28872544:28876125:-1 gene:LPERR01G34360 transcript:LPERR01G34360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLQHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHRNAQMFFDRDIECIYKFFRKRFHLSSEKYVEQDGSDIDDDENGRPSFQSVQKSAGSLDKELAASGFTRKEQVEMDKYIHEKAEEESSDDDSTSDRDNSEDGDDVAGKINSLKIAEQDSAGAPDCTIEARDSNEPETFAEENETGTSHHGDNNLINPSSLSNVDAKEPLESGGHDDSDDDSSDDTDGEDDALAKQLNKQRKRAIAAVHGRRRPLSSRNAYKYKGKGTMNSKIERQACKCKSRQFAIL >LPERR01G34360.3 pep chromosome:Lperr_V1.4:1:28872935:28876125:-1 gene:LPERR01G34360 transcript:LPERR01G34360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLQHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHRNAQMFFDRDIECIYKFFRKRFHLSSEKYVEQDGSDIDDDENGRPSFQSVQKSAGSLDKELAASGFTRKEQVEMDKYIHEKAEEESSDDDSTSDRDNSEDGDDVAGKINSLKIAEQDSAGAPDCTIEARDSNEPETFAEENETGTSHHGDNNLINPSSLSNVDAKEPLESGGHDDSDDDSSDDTDGEDDALAKQLNKQRKRAIAAVHGRRRPLSSRNAYKYKGKGTMNSKIERQACKW >LPERR01G34370.1 pep chromosome:Lperr_V1.4:1:28877984:28878322:1 gene:LPERR01G34370 transcript:LPERR01G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRSAAHRSKRRQTAADQAERRSQHRRPPPATATSTTTTTALSSGYFTVELAMVFVCVTASLVLLPLVLPPLPPPPSLLLVVPVCLLAVLVAMAFVPLDAQSNVVGSSCL >LPERR01G34380.1 pep chromosome:Lperr_V1.4:1:28882794:28885409:-1 gene:LPERR01G34380 transcript:LPERR01G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYRAIKERRSRTYSRCSSDMSAARKFGGAEDDVVVWEQTKPWGMDGGGGEREMTAHRRHRSLEELAGEVGASPQWQQGGLARGRSARIFSCISGMNQDVVVVFLCWFCSWFGRIQDRVSMEAMSALAPPPPPPPAELMVPVVGYGGGGAGEAAAGTTAVRGSYGPVIAMLAVLAVLAAVAVAVGRLCFGGRRVLGHAGAGGHDLEAWVERTCGPCVGATIFSAAGGGGQAKEEGDGGGMVSATEDSAPPAEQPPPAATEEGNEQGDGIVSAGS >LPERR01G34390.1 pep chromosome:Lperr_V1.4:1:28891775:28896145:1 gene:LPERR01G34390 transcript:LPERR01G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAPPVAVEDLFTALNRHIDAGEFPQAVKVADQVLAVAPGDEDAVRCKVVAHIKSDATEKALAAIRAAERLPIDLSYYKAYCYYRQNKLQEALEVLKGQEESAAVLQLESQIYYRLGRMNDCMNSYEKLQKFKVDSMDLKINIIAALVAAGRASEVQATMKAQKVDLSTRALRDTRSFELAYNSACSLIENKKYAEAKEQLDLAKRIGKEELMGEDYGDDDIEYELAPVSTQLAYVHQLQGQNQEAMETYTNMTSRNLADPSSLAVATTNLISLKGTKDAADSLRKLGRLIEKSTSANQLQLIESLEFKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAAHVREKKIQKAEEVLSRYAEKHPENSKGVLLALAQIAASANHFQMAADSLSKIADIQHMPATVATLTALKERLGDSNGASLVLDSAIQWWKNSMTEDNKLDVFMREAAKFKLNHGRDEEACQLYEELVKSFGSTEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINAESLEKTSGARPFEVLKPMDEDATDEVKKQKAKKRKRKPKYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVTRDKHDTPGSSAANAGSSKATQANKAPAATSDQPKGSNKSRKKKSRS >LPERR01G34400.1 pep chromosome:Lperr_V1.4:1:28896726:28897864:-1 gene:LPERR01G34400 transcript:LPERR01G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEASSSNGRRRCPYPWEEEEIEEGEIRRGGGGYYDSGSDTDDDGGGDVVVGEYKRRRVDGLLSLRLPSPTPSSDSESEGTISDDGEEEEIIAGGAGDAAPAAKQQQRVPFDYPCRVCNKVFTGRKAVDGHMRVHQREKISLPSHLPAASTGKRRRAMTVASFAPNSGEARHGGNSMAIVIAAEPALPVAAIAGGHHRHNALALMPGGGGAAARGVGRGGDRGPPYKCWYEGCNQEYITHQGLGGHGKKHRCKVCEAEFLTGVALGGHMRKHYEGNKKKKQQQEDDVDLTLTLAPPRQVLAMPALPPPAAAEPKEEVLQVEAEAEPTPEPEPEAAPMPANGRRILRIFGVDIEI >LPERR01G34410.1 pep chromosome:Lperr_V1.4:1:28899149:28900387:-1 gene:LPERR01G34410 transcript:LPERR01G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPSSSNGSGGLRRRRPYPFLPPPVEEEEIEEGEIRHRGEYYDSGSETEDDDDYVFQPRRDGDDDGRVRPDQYKRRRVGVDDGSSSFSVTRLPSPTPSSESEGTTISDHGEEEEEIAGAGAVVAAAPAKPQQQQQRVAFAYPCRLCNRVFASRKAVDGHMRVHQDHSSSPAHLAGGNGKRSRAITPVAFAPNTTEELAAGDNESTALVIAAPPQPNAIAIAGGGGHHCSASPRSYGEGSGGGHRGPPYRCSYKGCNQAYKTHQGLGGHMAGHINKEKAAAAAAGGGNGGGKAEGKKHRCKVCEAEFLTGVALGGHMRKHYEGKIVNKKKQGEEEENVVGLDLTLTLALPGQVTAAPTIPPPAEPKEDEVVQDETETETAPMVEPELVPVPAPAPANGRRILRIFGVDIEC >LPERR01G34420.1 pep chromosome:Lperr_V1.4:1:28901393:28904348:-1 gene:LPERR01G34420 transcript:LPERR01G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLFLFPPKHLKASTAASAGDRGPALLLQFNEQTVLGAEEEISGSSSAYQIGTLEASEQVQMDADGSSKRGPSGRRPRKIQKLKFKPKVPPQKPKKSIAENPQHEEPKPIDEELMKRLKTGQGATKKLHSIKDEDSTQNPPSTVSSAASVSSSLAPSGGHNQGQLKKKKPFQITRAVPLDAIPGFLYDDEDDDDDFDDDEGDDNAELQEIRPTSIECESSIRPAEELNLLGQDDKRRMLLFQLPKSLPLPRISPAVERNGKARGKVVTEGSNLNELPQGYMGKMLVYKSGKIKMKLGDIMFDVNPGEECRMALHAVAINTREKHCCLLGDIENRHVVVTPDVDSLLLNDNRDVKLPYLHRLGPVLKPQCGPNKTCGPDGQTPTSPA >LPERR01G34430.1 pep chromosome:Lperr_V1.4:1:28915020:28919198:1 gene:LPERR01G34430 transcript:LPERR01G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASAAAATCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQVAAAAANNGMAEFIGNAVPNGQSFINVGHTAALASIGGSAACFGQEQFSTVQMLSAARSYEGEPIARLGGNGGYEFGYSTAAMAGGGAGHMSGLGTLGGGPFLKSGIAGSDETRSGAGQ >LPERR01G34430.2 pep chromosome:Lperr_V1.4:1:28915792:28919198:1 gene:LPERR01G34430 transcript:LPERR01G34430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASAAAATCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQVAAAAANNGMAEFIGNAVPNGQSFINVGHTAALASIGGSAACFGQEQFSTVQMLSAARSYEGEPIARLGGNGGYEFGYSTAAMAGGGAGHMSGLGTLGGGPFLKSGIAGSDETRSGAGQ >LPERR01G34440.1 pep chromosome:Lperr_V1.4:1:28927159:28929832:-1 gene:LPERR01G34440 transcript:LPERR01G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGMALLHLHEHCSLLQISNSFLPNPLRPGRNHRKNQLLPPNAARIPGVSTRPTPPAPAPKAAISEQRALLLPVAIAASWPLPSLAAEADGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVLIPLVQDYFRKYKAVSAIDAFRKLRDEPEAQLLDIRRGKSVRFMASPNLRLIEKSAEQVEFEEEDEEGFLKEVMARFPDPANTVVCVLDNFDGNSMKVAGLLFNNGFKEAYAIKGGVRGPEGWQAIQEQYLPPSVHVFPSKKKSKQSGNSDDETDEQLEGNGKMSATPSSSVVSTSNVTKDGDEKSNGNTSAIKHASRRPLSPYPNYPDLKPPSSPTPSKPKRLQPIKKLV >LPERR01G34450.1 pep chromosome:Lperr_V1.4:1:28932676:28934749:-1 gene:LPERR01G34450 transcript:LPERR01G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGGRRRRLWSSSSFSCPPPFLVMLVIISCLLVAPSLAVDTVAVDRPLSGGQVLVSKGGKFALGFFQPVEP >LPERR01G34450.2 pep chromosome:Lperr_V1.4:1:28930353:28934749:-1 gene:LPERR01G34450 transcript:LPERR01G34450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGGRRRRLWSSSSFSCPPPFLVMLVIISCLLVAPSLAVDTVAVDRPLSGGQVLVSKGGKFALGFFQPDNSSQHWYMGIWYNKIPDTTKVWVANRQAPLSDPSTSHLTISRDGNLVLLDGRRATSPIWSTNVTGIAVKSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGKLGRNKLTGEVTHLVAWKSYNDPSPGMFSLELDPDGSSQYVMSWNMSRQYWSSGNWTGGMFSKVPEMMASNADPVSLYTFDYVDRDNESYFYYDVKGEVVLTRFVVDVTGQLRFMTWVDSASTWVLFWSEPKSQCDVYSVCGAFGVCNADGSIPACGCLRGFTPRRTKEWLMGDHTAGCARNAALNCGGGGVSDRFFTMPNVNLPDGGLIVTAASGHDCEVACLGNCSCTAYSYNGSCSLWHGELISLRGSGGESSISIRLAASEFAGDGNTKKLVIGVTVAGVVVVAAVVAVFLLVRRRKLRTKALRRVEGSLTAFTYRDLQMVTRNFSEKLGGGAFGSVFKGTLPAAPDGTTTTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTEGATRRLLVYEQMPNGSLDRHLFDHGSSTRVLSWDTRYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDTFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVFSYGMMLFELVSGRRNVEQRQDGGVDFFPSTAARMLVDGDIKGAVDARLGGEADLGEVERACKVACWCVQDGEAVRPTMGMVVQVLEGLVDVNAPPTPRAFKVLGDQANYVKFFSALPSL >LPERR01G34460.1 pep chromosome:Lperr_V1.4:1:28941856:28944594:1 gene:LPERR01G34460 transcript:LPERR01G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYRFYSCILSMIILVLSFHESPLHAADTLTANQPLSGNQKLVSQDGKFALGFFQPAGIAAGGSSGKWYIGIWYNKIPVQTVVWVANRGTPIYDPASSNLTISADGNLVLLVKHLKIPVWSSNITNNTVNNSTVAVLLDTGNLVIRQDSNTSSNAIWQSFDHLTDTLLPGCKFGRNKVTGVTKHQISWKEPSDPSPGMFSLQLDLNGANQYTLLWNNSVEYWASGNWTGNSFAGTPEMSASGGDTNNPRYTYQFIDNDQEAYFMYSVKDDALLIRNVVDVLGQSQTWVWVDAVQAWLLYFSGPKSKCSVYGICGAYSKCSEDTVLSCTCLKGFSENPTNRNPGNRTAGCRRNVPLQCGSSDSSKAKDPDRFYMMSGVNQLPDDAQGTNATNINDCESACLNNCSCTAYHFNGTCLLWYSDIVNLRDDIDDVVHNIFIRLAASELPDSRRDKHWLIIGIIIVGLTVVSTGAAILYFLHVRRRIHSIYCADGSLVNFRYSDLQLITRNFTKRLGAGSFGSVFKGTISGATTVAVKRLEGLRQGEKEFRAEVSTIGNIHHINLIQLLGFCCRGSKRLLVYEYMPNGSLDQHLFGKSGPTLSWSTRYHIAMGIAKGLAYLHEGCRDCIMHCDIKPQNILLDASFVPKVADFGLAKLIGHDFSRVLTSMRGTLGYLAPEWLSGQAITSKADVFSYGMMLFEIISGKRNMEHEASTGSPGDFFPLLIAEELPKGEVCTLLDPKLAGDANPKEVDRACKLACWCIQNHPDRRPSMREVVQILEGLKPVEMPPIPRYLQLFGDSED >LPERR01G34470.1 pep chromosome:Lperr_V1.4:1:28944950:28952287:-1 gene:LPERR01G34470 transcript:LPERR01G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVRAREEEASCGGTEPKCPTHSSARGPCESSCERRAGIQSRQEEPQSELAIRRDQFLIIAEQLIELKRKRIRHGCGEEKRGGSSRRRNAAQRLFGEVPHLIFFLAVI >LPERR01G34470.2 pep chromosome:Lperr_V1.4:1:28941910:28952287:-1 gene:LPERR01G34470 transcript:LPERR01G34470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVRAREEEASCGGTEPKCPTHSSARGPCESSCERRAGIQSSGKGFAMDVGRKREEEAAGGGMQRSGSSARLEEPQSELAILRDQLLVTGERLVCSERISGMQGRLME >LPERR01G34480.1 pep chromosome:Lperr_V1.4:1:28944609:28961929:1 gene:LPERR01G34480 transcript:LPERR01G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKISSLHTADTVTANQLLCDDQKLISPDGKFALGLCEMAICLFPSFILYMIILVLLSLHESPLHAADTLTTNQPLSGDQKLISQDGKFALGFFQPAAGGSSGKWYIGIWYNKIPVQTVVWVANRGTPIYDPASSNLTISADGNLALLVKNLKIPVWSTNITNSTSTNSTVAVILNTGNLVIRQDSNTSNAIWQSFDHLTDTWLPGSKLSRNKVTGVTKHLISWKEPSDPAPGMFSLQMDPSGANQYTLLWNNSMEYWASGNWTGNSFAGVPEMSPTSGDTTNSGYTFQFIDNAEEASFIYSVKDNELLTRNVIDVFGQTQSWVWVDAAQAWVLYFSKPKSKCSVYGICGAYSKCSENTVLSCTCLKGFSESPRNGNPGDRTEGCRRNVPLQCGGLAKVKDPDRFYMMSGVNNLPDNAQRKIATNVHDCELTCLNNCSCTAYHFNGTCLLWYNDIMDLQDDIDGMMDNIFIRLAASELTNSRRKKHWSIIGIIIIGLTVVSTGAAILYFLHVRRRICRIYRGDGSLVNFRYNDLQLITRNFSRRLGAGSFGSVFKGIIPDTTAIAVKRLEGLRQGEKEFRAEVSTIGNIHHINLIRLLGFCCRGSKRLLVYEYMANGSLDQHLFGKSHLTLSWSKRYEITIGIAKGLAYLHEGCRDCIMHCDIKPQNILLDASFVPKVADFGLAKLIGHDFSRVLTSMRGTLGYLAPEWLSGQAITSKADVFSYGMMLFEIISGKRNMEHGASTSSSGDFFPLLIAEELQKGEVHRLLDPKLAGDANPEELDRACKVACWCIQNQPDCRPSMREIIQILEGLKHVEMPPIPRKAKRKQQGFTGIQSKAVDKTLDLQLTGTTRTSTQGYPLHAVDTLTVKQSLSGDQKLISRGGKFALGFFQPAVNHSESPVWSTNITNDTTTSSPVAVLLDSGNLVVRHESNTSDVLWQSFDDFTDTWLPGNNRLSRNKKTGVIKRMISWKDRGDPAPGMFSIQLDPSGATQYILLWNNTSVYWASGNWTGNIYTGVPELSPSNSYPNSAYTFQFVDNDQETYFNYTVKSDAQLTRGVIDVSGLFQAWLSAVFMGCAANTVNVAKMLNYPNSWRLGDQTAGCRRNIPLQCSNNGSVNAQQDRFYVISGVKLPDMANPRDATNIVCLRITPIKNKEMVDSWDYHWCICSQFWSEHIMFPRRRTIGIKSGDGQLVTFKYNDLQFLTRNFSERLRVGSFGSVFKGILPDATTVAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIQLLGFCSEGAKRLLVYEFMPNGSLDHHLFQSNSAILSWKKRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLDRDFSRVLTTMRGTIGYLAPEWISGEPITTKADVFSYGMMLFEIISRKRNLKQAETSTEIFFPLLVARKLLQGESPTLLGSKLVDDVNLEELERACKVACWCIQDDASSRPTMAEVIQILEGLVDIEVPPAPRYLQVIAEGAGSKPYFPTSEESTLRCHGPENDGTSLSQMISWCILSMIILVLSLHESPLQAADTLTASQPLSGDQKLISQDGKFALGFFQPAAGESSSRWYIGIWYNKIPVQTVVWVANRDKPITDPYSSSLTILNDGNIALLVDHSGSPVWSTNIANNTIAGSPVAVLLDSGNLVVRHESNTSEVLWESFGDFTDTWLPGNNRLSRNKKTGVIKRMISWKDHGDPAPGMFSNQLDPSGAPQYILLWNSTSIYWASGNWTGNAYTGVPELSSTNSYPTSAYTFQFVDSDNEMYFTYSVNSDAQILTRAIIDVSGHFQAWIWADAAQTWQLFYQQPKAKCSVYGMCGAYSKCSENAESSCSCLKGFSERYPNSWRLGDQTAGCRRNLPLQGGNNSMVMAKQDRFYVVSSVKLPDRAHPKSATNVHDCESTCLNNCSCSAYSYNGTCLVWYGDLINLQDNIGELSNSIFIRLSASELPSSGTKKWWVVGAIIGVFVLSFGVSILYFLGRRRTIGINQGEGKLITFKYRDLQFLTRNFSERLGAGSFGSVFKGILPDATTVAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIQLLGFCSEGAKRLLVYEFMPNGSLDHHLFQSNSAILSWKKRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLDRDFSRVLTTMRGTIGYLAPEWISGEPITTKADVFSYGMMLFEIISRKRNLKQAETSTEIFFPLLVARKLLQGESPTLLGSELVDDLNLEELERAYKVACWCIQHDESSRPTMAEVIQILEGLVHIEVPPAPRFLQDLGEDVGSEAYRLTSEESA >LPERR01G34490.1 pep chromosome:Lperr_V1.4:1:28956447:28957901:-1 gene:LPERR01G34490 transcript:LPERR01G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELEEQDPHLPAVNPSRAVRSCRSRGEASVGFHSSMSLHSDSTISFSAVGVKRIRHGEEERQEREQEAAGAAQRNSSLARDRASLTARPGARVILDAPASNLARPFKLL >LPERR01G34500.1 pep chromosome:Lperr_V1.4:1:28963725:28963973:1 gene:LPERR01G34500 transcript:LPERR01G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAGCSRMTSQPEPELDIPGRRDFTDRFVQDDHRPIIRDAIHAVLPGLDFTLSPPSHGADRTVWFASPYARYAAMEKQTA >LPERR01G34510.1 pep chromosome:Lperr_V1.4:1:28964071:28964259:1 gene:LPERR01G34510 transcript:LPERR01G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPREVRIRYLSSGFWNVVPVQILRVWDKSLSMDANGVYVPMYNHDDAPVASVKWRLREPA >LPERR01G34520.1 pep chromosome:Lperr_V1.4:1:28965722:28966072:1 gene:LPERR01G34520 transcript:LPERR01G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVSAPPSPEADNVPGRPHFVNRFVQDDRPIPATAVRYITPERERRTSRPESITVYVPSPPPEMRHFTRCFAYAYCLHHPAHPRRRRPGGHHPRSHPRRPPWDPSRAPPSRLRR >LPERR01G34530.1 pep chromosome:Lperr_V1.4:1:28966116:28966577:1 gene:LPERR01G34530 transcript:LPERR01G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPFALPGGGSSSVRLVREGETPNVRRIRIDCLAHVVLLDYPVDQRNEEDIRSNCGRFGHLLEVDPACYAAPDMSPVRVVVQLQHPSEIPLEVRIRYGFEFRHVVPVQILRVWDRFLSVDGNGQYVPMYNPAAAARRPPRCSSGCQTGCCN >LPERR01G34540.1 pep chromosome:Lperr_V1.4:1:28968823:28981135:1 gene:LPERR01G34540 transcript:LPERR01G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSLGNVVLEGLLMRIAHARKMNNKTSENASEDPDFVCDYPEEHPDNDTFRANEVVLNMKMNLINGCANVVANTKDDAHRGHVRRGIVDVVDVAGRVDLEHVPERHAVELDLLLAPLLLWVEVQRDVGEPVERGLEARIKADEVTMKSPVALGEGHHWHGGGDVMQQSNSGCGGARTAVSGIGRSRDWITSMWSGRPETFSGSNTTGSGWEVASPGIIILRSERAEERGGLGGGYYSIKGVVIEEGRVLRQGRHAPAQLTVDARIDGDGASTQKRSRADT >LPERR01G34540.2 pep chromosome:Lperr_V1.4:1:28970531:28981135:1 gene:LPERR01G34540 transcript:LPERR01G34540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHARKMNNKTSENASEDPDFVCDYPEEHPDNDTFRANEVVLNMKMNLINGCANVVANTKDDAHRGHVRRGIVDVVDVAGRVDLEHVPERHAVELDLLLAPLLLWVEVQRDVGEPVERGLEARIKADEVTMKSPVALGEGHHWHGGGDVMQQSNSGCGGARTAVSGIGRSRDWITSMWSGRPETFSGSNTTGSGWEVASPGIIILRSERAEERGGLGGGYYSIKGVVIEEGRVLRQGRHAPAQLTVDARIDGDGASTQKRSRADT >LPERR01G34540.3 pep chromosome:Lperr_V1.4:1:28968823:28981135:1 gene:LPERR01G34540 transcript:LPERR01G34540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRRGHGLTLRPPPTVVDITIIYLMNSTKFLTLASYKVFQDSALSPQAPLSLQAPGKAAGLLLSLPHTQATTALHGSRQRQNKACGVTRGSLERIVPDDTARAPPTTD >LPERR01G34550.1 pep chromosome:Lperr_V1.4:1:28971288:28975788:-1 gene:LPERR01G34550 transcript:LPERR01G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAGRWLLSPPQSSVSIIYTPSSRYTSNHTVPAPAVLCIVVGIQQASATAKMFPPEPEPDIPGRRDFIGRFVQDRRPVPPSAVPAFLMARERHATATTQPNDDYISVYMPESSPESKYRARCFAYAYIVTAASAPKPANDPTPIIRDAIHAVLPGLEFILSPPSHGADRADRFASPDDREAAMEKQPFALTLPGDGAAASVVKLVREGETSNCFQSPLNWLAHVALHFYPKEQRSEEEIQLNCMTFGYVLEVDPACYVDDVDDATPDMTTVRVVLNMYHPREILREVRIRYPSDYRFWNVVPVQIIRVWDKSLSLDAHGDVRPGLDMEAATCKSELDAMLLLVRKPLWFIASPVAATTLAPIGIDLRRSRIRLIQQDTMEEKSRMPGEGHQLKEKQRSKLIGKEKIANTTEEGSGNQARNQADQGQIQRGASYGQAELYDEFNEDNTIGVRCSYTHLMQQILQTPQARYDSSQDGMDTLTSLFEFPTAFQDSIETTSAADQQTYGQLYDGVEQMLQDSIETTSAAGQQTYRELHDDVQQRLQVGRVNKSGITDDADNLNNNPWSLELENGKHQEIVTHTAKSAIPVEQDVAPDEQHPPPQDATHDAGGHET >LPERR01G34560.1 pep chromosome:Lperr_V1.4:1:28985466:28990592:1 gene:LPERR01G34560 transcript:LPERR01G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRCRPPSSSSGVLQLQLPLLVLVLVLCLHGRGLHATDTLTVARPLTGDQKLVSERGKFALGFFQPQAGGSTGRWYVGIWYNKISVQTVVWVANREKPISDPSSSSLSISDDGNIMLSNSNSTVWSTNTTNRASSPMVAVLLDTGNLVIRQESNASIVLWQSFDDITDTWLPGNKLSFNKVTGVPERLISWKNLGDPAPGMFSVEIDPDGSNQYIISWNNSVVYWNTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNENETYFTYNVTDDRVLSRHVIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNAMLQCGSKNSAGQQDRFYAIGSVKLPDKAQSIEATSIHNCQLACLNNCSCTAYSYNGTCSVWYSELVNLQDSNDGSMDSIYIRLAASELPNSRTKKWRIIGIVAGGVAILGLVVIALYFFERRRRISVANHTDGSLITFKYNDLQFLTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIRLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSGVSLSWNTRYQIATGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTVGYLAPEWISGEAITTKADVFSYGMMLFEIISGRRNGMHGDSFFPVLVARELVEGEVHKLFDSELNDDVNLGELERVSKVACWCVQDSESSRPTMGEIVQILEGLVDVEMPPVPRYLQVLAQGVKPYGISSQMNQASN >LPERR01G34570.1 pep chromosome:Lperr_V1.4:1:28992599:28995816:1 gene:LPERR01G34570 transcript:LPERR01G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHAGLLADISKAVTEAESLTSAASTEKLAAEFRRILANLASAASTSSFTEAFALQVWRLGTRLWNAAVDRANSAALAGGSAALAAEAEIRQAAPELLLLAGIPDGVPSAAAKAASFFHRSGLAWLDLDRVDLASACFEKGTPLVSAAATEEDRGVLLDLNLARARAASDAGDQALAVALLSRSKPLAAESPEGAKSLAEGYLSIGEATLSGKHSNPAVEASSLLTEALDLCEKAASHSSSSPRTPPPYNATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVARASLGMEEEHPSIGFMAMRAWIGSGNVAEAEKELERLMGNASATENLCVSAAEAYLAAAGPEAARKVLIALAARGRARGANAAVRVVKQVIDGGGAGTGRAKAIAELVSDERVVALFDGPGNTHERGTMHALLWSCGTEHFHAKNYDASADLIERSMLYVSRDEESRSRRADCFRVLGICHIALKHFDRALEFINEAYKVEPNIKCAFLKVKIHLQKREEDEAIKQMKTMVGCVDFNPEFLTLTAHEAMACKCFDVAVISLTFLLGLYSAGKPMPMPEASVLRNLIELLSRKTGAEAEILKHLRRAKHQMADLGVESFFGSGVVGGRELNWFADTSWNMALRVTMEKKYNFSAEFFELAAEFFGSSNAECDENRRKVCKALIMAVTIMLNAEELDNSPLSDYDIKKGVEMLSRAGKLLPLISPSIPVPSDLLEANNFLYLHTFNSYQLLGRMGTTAYPQQLQLIKNFASSKACTPDNLLTLGVTASRGALPNVLAAEFSLKACITTALASQSPNYRVISCALRRLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKDGEYPLEEGQWLATTAWNMSCLPVRLRQAKVARKWMKMGLDLARHLEGMKQHIASMQTTFESFERMSGDEPDKCCQEDEAPKDSMSCSMSQPVLV >LPERR01G34580.1 pep chromosome:Lperr_V1.4:1:28996329:29001403:-1 gene:LPERR01G34580 transcript:LPERR01G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSLSLLLAFLAIGSCIAIEVTDHIDLWPMPTLVSHGTQRLYVSKDITMSMEGSSYSDEKGILKDTFQRVVDLMKLNHAVDGVNPSSFVLTGVNVVVHSPEDELKFGVDESYNLSVPTTGYPLQAQIEAQTVFGALHALQTFSQLCYFDFTSRLIELISAPWTISDTPRFPYRGLLIDTSRHYLPVTVIKKVIDTMTYSKLNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAIDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFSFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTGTPHIKKWLDDNHMNVSDAYRYFVLRSQKIAISHGYDVINWEETFNNFGDKLDRRTVVHNWLEADVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKDIDDPDQQRLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKLAEDPRLVTSRLARFRCLLNQRGVAAAPLAGYGRTAPYEPGPCVRQ >LPERR01G34590.1 pep chromosome:Lperr_V1.4:1:29003122:29006547:1 gene:LPERR01G34590 transcript:LPERR01G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MML >LPERR01G34600.1 pep chromosome:Lperr_V1.4:1:29006750:29008718:1 gene:LPERR01G34600 transcript:LPERR01G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKATLSLLVHLHAALLFLPAEPAAVYDVVARYGARGDGASDSTAPFLRAWADACRSPRRHATVRVPPGNYLLAQPATFLGPCAAAAVTFSLDANATLLAPPRYAWESPTGRWITFESVVGLTFTGGTLDGRGAALWQCKGTQPRGHCPTGASSLTISNSREVVVEGVRSVNSGLFHFVVLQSSGVTVRRVRVDAPGDSPNTDGIHVHKSRDVAVYDADVRTGDDCVSVGPGNSNLWIERVSCGPGHGISIGSLGKQQGMAVEAVENVTVKTTWFTNTTNGLRIKTWVSSKRGYVRGVTFSDSTMSGVGNPIIIDQHYCPDGGCSAGAGDRRSTAPRSSGIKISEVEYVNVRGSSATPVAVSFDCSRSNPCSGIRLRDVRFTYQKSLEVEKATASCRNAQGTASGLVVPPSCL >LPERR01G34610.1 pep chromosome:Lperr_V1.4:1:29009360:29016252:1 gene:LPERR01G34610 transcript:LPERR01G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAAAAPMARNRKVVLREYINWAPREDDMVLVDGGEVPLRVPEGSPPAVLVKNLYLSCDPYMRGRMRDFQGSYIPPFKPGSVIEGLGVGKVVDSTHPGFSTGDIVSGMTGWEEYSLIDRPEQLNKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGELVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKNKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGQMLDAVLLNMRTHGRIAVCGMVSQHGLTDPVGVHNIYCLVPKRIRMQGFIQSDHLHMFPQFLSDMAKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSHEWASYTS >LPERR01G34610.2 pep chromosome:Lperr_V1.4:1:29009338:29016252:1 gene:LPERR01G34610 transcript:LPERR01G34610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAAAAPMARNRKVVLREYINWAPREDDMVLVDGGEVPLRVPEGSPPAVLVKNLYLSCDPYMRGRMRDFQGSYIPPFKPGSVIEGLGVGKVVDSTHPGFSTGDIVSGMTGWEEYSLIDRPEQLNKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGELVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKNKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGQMLDAVLLNMRTHGRIAVCGMVSQHGLTDPVGVHNIYCLVPKRIRMQGFIQSDHLHMFPQFLSDMAKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSHEWASYTS >LPERR01G34610.3 pep chromosome:Lperr_V1.4:1:29009338:29013137:1 gene:LPERR01G34610 transcript:LPERR01G34610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAAAAPMARNRKVVLREYINWAPREDDMVLVDGGEVPLRVPEGSPPAVLVKNLYLSCDPYMRGRMRDFQGSYIPPFKPGSVIEGLGVGKVVDSTHPGFSTGDIVSGMTGWEEYSLIDRPEQLNKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGELVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKNKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGQMLDAVLLNMRTHGRIAVCGMVSQHGLTDPVGVHNIYCLVPKRIRMQGFIQSDHLHMFPQFLSDMAKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSHEWASYTS >LPERR01G34620.1 pep chromosome:Lperr_V1.4:1:29019927:29022775:-1 gene:LPERR01G34620 transcript:LPERR01G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRELRSPEPFLLLLLLLMAAVASSSAAAAAGNVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIFLEGGAWCNSIQSCSIRKMGVYGSSKFMKAAEFNGILSNDQQVNSDFYNWNKVVIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMEKGLATAKQAILSGCSAGGLAALLHCNDFHAKFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQLYNAYSDFFFAFFYQNVKEVLPKSCLAKKDRTECFFPAELVKSITAPTLILNSAYDSWQIRNVVAPDGSFSGQSWSSCKTDIRNCSSTQIQVLNGFRNKFVDDVEVVKDNGGWGLFIDSCFTHCQTPFNFSWNSQASPVLGNKTIAKAVGDWYFERTYEVKEIDCEYPCNPTCSSQLPT >LPERR01G34630.1 pep chromosome:Lperr_V1.4:1:29026930:29031906:1 gene:LPERR01G34630 transcript:LPERR01G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKETRELRRQTSLESPRTGRATARFLFGRQSSMDPNRRRGRSQSPVGIGEELTVPDNLDATMQLLFLACHGDAAGVESLLRGGVDVNSINLDGRTALHIASCEGHPDVVAVLLSWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDIPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYSDQEAINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGRLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGKVKLVDHESIIDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEDSGHIIRYDGMRPSLKNKLRGYPPDFKALIEECWELQGIARPTFSEIIIRLDRIYAQCMKQGTWKDSLKIWSVSRRFKNFRFKHFRTKKRVHIAIMDIRASDRAEEARIKRDI >LPERR01G34630.2 pep chromosome:Lperr_V1.4:1:29026930:29030712:1 gene:LPERR01G34630 transcript:LPERR01G34630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKETRELRRQTSLESPRTGRATARFLFGRQSSMDPNRRRGRSQSPVGIGEELTVPDNLDATMQLLFLACHGDAAGVESLLRGGVDVNSINLDGRTALHIASCEGHPDVVAVLLSWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDIPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYSDQEAINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGRLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGKVKLVDHESIIDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEDSGHIIRYDGMRPSLKNKLRGYPPDFKALIEECWELQGIARPTFSEIIIRLDRIYAQCMKQGTWKDSLKIWSVSRRFKNFRFKHFRTKKRVHIAM >LPERR01G34630.3 pep chromosome:Lperr_V1.4:1:29026930:29030712:1 gene:LPERR01G34630 transcript:LPERR01G34630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKETRELRRQTSLESPRTGRATARFLFGRQSSMDPNRRRGRSQSPVGIGEELTVPDNLDATMQLLFLACHGDAAGVESLLRGGVDVNSINLDGRTALHIASCEGHPDVVAVLLSWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDIPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYSDQEAINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGRLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGKVKLVDHESIIDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEDSGHIIRYDGMRPSLKNKLRGYPPDFKALIEECWELQGIARPTFSEIIIRLDRIYAQCMKQGTWKDSLKIWSVSRRFKNFRFKHFRTKKRVHIAM >LPERR01G34640.1 pep chromosome:Lperr_V1.4:1:29036850:29040099:1 gene:LPERR01G34640 transcript:LPERR01G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIERAPAPALSTFSIHRHEADKEKELSGLRVNARPAVVVVVSRDHRSARAALVIDELKATNKMPLRRMEEGRGGYPLLEPRQEHDDGRRPTPFLTNFTRGVIIGIEIMLMAILIIGDFPGIFVRLPPDY >LPERR01G34650.1 pep chromosome:Lperr_V1.4:1:29050570:29051492:-1 gene:LPERR01G34650 transcript:LPERR01G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMQRIMELPAVARMVCANQQSVEKSCLLLSMFAMITTMSITILKNQSTSILQTSMVAMITAMAIATAKSQATSWLQTSILAMIMAIAKTSKVNDTKTHDCHGHEHSHCEEPISLHSVGEHACHDHEQGHEHHCCDEQKTPHTADVHSGHDHGHDNLEVEEIKDCDAELPRHHSHCCHEPHDQAKNATDSVQEHSISVDEPSDHHQHHQHNEEHKEENCGHHLKAKDCTPPPTDCSSRNCCSTTSTKGCGSKGKEICSSLQEDRTKQTSRCCRSYVKCSSRPRSCCSYNVVKLPEIVVE >LPERR01G34660.1 pep chromosome:Lperr_V1.4:1:29051673:29057462:-1 gene:LPERR01G34660 transcript:LPERR01G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNQPLLPSAVSSASSSAPAKGGVEHDDGGALSRQDIFEPPVGDSNSPRRVAPPNPPEAAKGGGKSIREESAPSSSATTSAPPGTSSSAPTAVSSESASVPAPNDEASASMPLPPPHIDGADQHSIALARLGDGSLLDSVKVLCGFVSDSSLAAPAEHLATALLEFVDSILENTNPIRPRWRLARAAVALAEAAEPNKELEVGREAVQVSRRALKLLSPNTSASMPNSDASLLALLLMSTTPETPKPHRLVLACLGQETLLADVKRFLRSLTKDSNLREATEKLHIALFAFLDTIVNKQSIVGSQWQLARAVLALADAADDTKSVVEAAAAREAAQLSLRAMRVIHKEIDHIAGRSYRGFQVESLAVEQVEEKRTLHGGEAVEQEHLKDKWSIFFSVLPMSLGLLPLILPYLQEKYKAPFGYIYGLLILVSLAGLLINLNAVDRCDVKMGNITGNLSVLTLAVLVSLYIWSSLDPGMLPWPGQEIRGLMILPAKKSDDRIAGAIALKDYSEAGFSVFLFTTAEWLVTMASHKATAGMSALMSMAPQNAILAESGQVVVAQDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRLIDTFAKYFTPAVVVMAGSVAAVPAIMKVNNLKYWLQMALVLLVRSCPCALVLSTSIATFCALLRAARGEFSVKEFQVAGERVSMQQLLYWVSSIESRSSHPMASVLVDYAQSKSVESKSENAAEFQIYPGEGIYGEIGGAGIYIGNKRILSRASCETVSDMKDLRGVTIGYVACKNELIGVFTLSDACRIGSAEAIKELRSLGIKSVMLMGDSTAPATYAQNQLGNILSEVHSELLPEDKVRIVGELKERYGPTLMVGDGMNDAPALAKADVGVSMGVSGSAVATETSHITLMSNDIRRIPKAVRLAKRTHRTSS >LPERR01G34670.1 pep chromosome:Lperr_V1.4:1:29058006:29062341:-1 gene:LPERR01G34670 transcript:LPERR01G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFELMSCNPAYREYVDSLGNVDASCDHSFVMRKKISIRMKLLQEFISNSNNCEQTVAIFIIEAG >LPERR01G34680.1 pep chromosome:Lperr_V1.4:1:29064636:29068304:1 gene:LPERR01G34680 transcript:LPERR01G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQLDSSEFLSDGNLIDSPFDIRLECNTLTGSKAVPDYSRNTPSQCTNCPDPPPLPGTSYGNQRTFRNSKACKCVPEEIQDFWDKLFFEAYQYDLRILADDGNEIMSHSCVVGIKSPVLRAMLEEAKVQDVPSLKRVCINQLEKSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKIITQTEGWRVMRQANPSLEQELLESLVEEDAKRQERARRLDEYKVYLQLHEAMEALVHICRDGCKTIGPRDQTLKSSQAICRFPACKGIELLLRHFSACKMRVPGGCASCKRIWQLLELHSRMCSTPEICHVPLCRHFKEKMQYLSRKEEAKWNLLVSKVLESKGTISSISARRKFPYLIA >LPERR01G34680.2 pep chromosome:Lperr_V1.4:1:29064636:29067987:1 gene:LPERR01G34680 transcript:LPERR01G34680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQLDSSEFLSDGNLIDSPFDIRLECNTLTGSKAVPDYSRNTPSQCTNCPDPPPLPGTSYGNQRTFRNSKACKCVPEEIQDFWDKLFFEAYQYDLRILADDGNEIMSHSCVVGIKSPVLRAMLEEAKVQDVPSLKRVCINQLEKSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKIITQTEGWRVMRQANPSLEQELLESLVEEDAKRQERARRLDEYKVYLQLHEAMEALVHICRDGCKTIGPRDQTLKSSQAICRFPACKGIELLLRHFSACKMRVPGGCASCKRIWQLLELHSRMCSTPEICHVPLCRHFKEKMQYLSRKEEAKWNLLVSKVLESKGTISSISARRKFPYLIA >LPERR01G34690.1 pep chromosome:Lperr_V1.4:1:29067672:29068202:-1 gene:LPERR01G34690 transcript:LPERR01G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVMDDGDGMVLVDNPPIQCRGGAQPAVADCPPLPSRRRGGRPHRRPSTGISCKIFATAFVVVMGLALITEFFFIMFLDSFPSPINVVLFLPVTLLVIAAFCACSLSMIVRFDDRQTDGNRRVQNSPV >LPERR01G34700.1 pep chromosome:Lperr_V1.4:1:29068941:29069156:1 gene:LPERR01G34700 transcript:LPERR01G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTHHAPRQVHALPLTATSTAEQCRFTLPACPESVAALATIGEQPSSSYEEKAQLVGLIGNAPHCHMSHF >LPERR01G34710.1 pep chromosome:Lperr_V1.4:1:29070461:29071544:-1 gene:LPERR01G34710 transcript:LPERR01G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTRRQCLALLLVFSSSLLVSSQTTSDSCSTALSLGNLIPFNTTGLSCFRAWTSQDFILRFGKDSSSKNVWSFVLSAPDSGGYIAVGFAPTAGKMVGSSAVAGWVAPSSGVGTAKQYYLGGMTSSSCPPDKGNLALSSSAAAAGAAASPTIVSKGSRLYLAFQLTGQPITDLIYAVGPSGKLPASNGLLVQHLSMTAGKITLSGGGTTATGGGGGEGDEGSEGNEGGEGKGKSDQSGGVGGESGSGGDGNGGKSTTTATTAASVGSSVAQWPKCSVVVQMLVYFALLSVTGFF >LPERR01G34720.1 pep chromosome:Lperr_V1.4:1:29075270:29075916:-1 gene:LPERR01G34720 transcript:LPERR01G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKEEEDDDRVEVPATGARIGCALHSHKVVAGMPPPSMMYNLDWVVSIYVKAGQFLEKVTGETA >LPERR01G34730.1 pep chromosome:Lperr_V1.4:1:29079140:29084233:-1 gene:LPERR01G34730 transcript:LPERR01G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLLDTRNLNLVIKTAVQCFCNKISLNKVTGVLERLISWKNLGDPAPGMFSVEIDPAGSNQYFISRNNSVVYWNTGNWTGSSAVTCYTYPNTPYTYKFVNNENEMYFTYNVTDDRVLSRNIIGVSGQTESLVWVESAQAWVLYFSQPKASCGVYGLCGVNSKCSGSALSSCNCLKGFSIRDPNSWNIGDQTAGCRRNVMLQCGSKSSAGGQQDRFYAIGSVKLPDKAQSIEATSIHNCQLACLNNCSCTAYSYNGTCSVWYSELVNLQGSNNGSMDSIYIRLAASELPNSRTKKWRRWISVTNHNDGSLITFKYNDLQFLTNNFSERMGVGSFGSVFKGALPDTTAIAVKKLEGVRQGEKQFWAEVSTIRTIHHINLIRLLDHHLFGSSGVSLSWSTRHQIATGIAKGLAYLHEKCRDCIIHCDIKPHNTLLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISVRRSQPRQMRNGMHGDSFFPVLVVRELVEGEVHKLFDSELNDDVNLGELEIMCKVACWCFQDSESSRPTMGEIVQILGGLVHVEMPPVLRYLQVLAQGVKQYEISSQMNQATTGVLVIDGGEGGTGRATTIAEIVSDERVVALFDGPRKSRKRRRIGDIDEIELEVAVPDAISRSSIVVDPASSSSSPSLNHGGFSVFDMLAPPIHPPAGAQQPPLTHPAQAPRLTTTPQLGAQQQQPPNPTLFLPARHVETAPACGRSSADLENGLLDPLLNEDGQARGI >LPERR01G34740.1 pep chromosome:Lperr_V1.4:1:29098638:29102279:1 gene:LPERR01G34740 transcript:LPERR01G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRNRFLPLLFALLAAAAAPPYAAAADERAVLEVSGAPEGVVWVVQLSDLHFSVHHPERAYDFRRYVGPALAMINPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRNTISDVIESSKLPRNIFYDLRGNHDSFGVPLPGGDYDFYQKYSINAKLKRQGRVQSITLESSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLMELDQLLSQWNTDLNKTQVTKVAFGHFPMSFSTLTESGKSIKDVFLKYSLAAYLCGHLHTRFGKNLKRYYHRAAEESPLSEHYYQFNMHQGYEIQSDKESCSKEDVHTEEEFWEWEMGDWRKSRSMRILAVDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASASRDFKCQVMGASALDTVRTLVFSRHEVVSVSVKIYDSRPGHLDVVFDSQMKRVNASETRGNMYLVPWNWRAFSDPSPNRYWLQIEVMDITGDTSVSQLRPFSVNGFTSRISWTWKEFFVMGIQWALVYHPALWCGLALIFSLLLVPQASILLFKDQFTYKYLRSSGTQWILLKYLVGGFMWLFVELSRVIIVWSLLLMYMIYLLVFPWLFGHPITEDSNLTSMTFRGWTIEQSNSGNKVFHAGNPDIMVIVLPHLCFVVLPTVVILAAMAAERIAYREHYFSQSGKKKDDYYQKSRRQKEHGSFWNSRWIRKFLFLLCMVVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPGLIAFAIYKTSSL >LPERR01G34740.2 pep chromosome:Lperr_V1.4:1:29098638:29102368:1 gene:LPERR01G34740 transcript:LPERR01G34740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRNRFLPLLFALLAAAAAPPYAAAADERAVLEVSGAPEGVVWVVQLSDLHFSVHHPERAYDFRRYVGPALAMINPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRNTISDVIESSKLPRNIFYDLRGNHDSFGVPLPGGDYDFYQKYSINAKLKRQGRVQSITLESSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLMELDQLLSQWNTDLNKTQVTKVAFGHFPMSFSTLTESGKSIKDVFLKYSLAAYLCGHLHTRFGKNLKRYYHRAAEESPLSEHYYQFNMHQGYEIQSDKESCSKEDVHTEEEFWEWEMGDWRKSRSMRILAVDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASASRDFKCQVMGASALDTVRTLVFSRHEVVSVSVKIYDSRPGHLDVVFDSQMKRVNASETRGNMYLVPWNWRAFSDPSPNRYWLQIEVMDITGDTSVSQLRPFSVNGFTSRISWTWKEFFVMGIQWALVYHPALWCGLALIFSLLLVPQASILLFKDQFTYKYLRSSGTQWILLKYLVGGFMWLFVELSRVIIVWSLLLMYMIYLLVFPWLFGHPITEDSNLTSMTFRGWTIEQSNSGNKVFHAGNPDIMVIVLPHLCFVVLPTVVILAAMAAERIAYREHYFSQSGKKKDDYYQKSRRQKEHGSFWNSRWIRKFLFLLCMVVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPGLIAFAIYKTSSL >LPERR01G34750.1 pep chromosome:Lperr_V1.4:1:29104688:29106854:-1 gene:LPERR01G34750 transcript:LPERR01G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGAAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDSGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNADLIKRAAVFHYGSISLISEPCRSAHLCAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIAKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYTRDFRGAVPSYKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKKLESAIKFANACGAITATKKGAIPSLPTEVEVLKLMESA >LPERR01G34760.1 pep chromosome:Lperr_V1.4:1:29110301:29114931:1 gene:LPERR01G34760 transcript:LPERR01G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGRSVRVAAAVVALVVMCCCGVCLGGERLGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIVEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >LPERR01G34770.1 pep chromosome:Lperr_V1.4:1:29113001:29116427:-1 gene:LPERR01G34770 transcript:LPERR01G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGEGQVEVEVGVGVGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQIYSPNYVLPPAKCCSDEMDMDLRQSWVGRIDPHDSHFLAIAISEQQLLQAEFDDCVSSNSSGATCCRTIALILMLLLLVRHVVVFVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQGLHLNLAGSILKQLNIVTKRRDEACEVTPPFASSLCERIWHFSPTRRSSRFRPRALVKLNCT >LPERR01G34780.1 pep chromosome:Lperr_V1.4:1:29118954:29120939:-1 gene:LPERR01G34780 transcript:LPERR01G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLIRFRPRCHSHFQVIDPVHVHFKKNCNACKCHIPAHGLLVSSARSHPVLPVLAVGSGRESSVTEEERKSGLSLQNAKTSVVSRDDEKINVRVDLPGKVTQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLKVNPEIKTTQSEGELESSFTPGSAFSFNVILQLEKPESDEASENSESDNASDEEPSS >LPERR01G34790.1 pep chromosome:Lperr_V1.4:1:29125315:29129359:-1 gene:LPERR01G34790 transcript:LPERR01G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPPTSLRLAPPPPAAASFRPTALRTSFLHGSVSLRLVQARQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRAQLDQQKGVVENLVSNTRILESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKELSGNSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >LPERR01G34800.1 pep chromosome:Lperr_V1.4:1:29129750:29132040:1 gene:LPERR01G34800 transcript:LPERR01G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITMKHWHPLLLPLLLLCFVISSSSQSTSSDSCTTALSLGDLIPFNTTGLSCFQAWTSQDFILRFGKDSSSKNVWSFVLSAPDSGGYIAVGFAPTAGRMVGSSAVAGWVDTSSSGAGTARQYYLGGTSSSSCPPDKGNLALSSATASSPAIVSKGSRLYLAFQLTGQPITDVIYAVGPSGSLPSSNGFLPRHLDMTSGTITLSGGGGGSTSTGGGGGGDDDDNGGGEGKGNNKHKHSGGGDGDGDEGGKGDRRNSPSSSSSSTASSNGGGLNAKRRHGVLAAISWGITIPIGVAAARFMKSHDPLWFYLHATIQGLGFVVGAVAIVAGFRLDDDDGADAHKGIGIAVLVGGCLQVMAVLARPVKEAKARRYWNWYHHYVGRAAVVLGAGNVLYGLSLAKEGEGWSYVYGIFVGVCAVVYLVLEEWRRRH >LPERR01G34810.1 pep chromosome:Lperr_V1.4:1:29138040:29141010:1 gene:LPERR01G34810 transcript:LPERR01G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRSCSKINNGGPLVLFVLCCLSSLVAVRSQSSSDSCPGSLAGVSNLIPFDSSNLTCFDAWSNENFIVRYARSGSTWSFVVSAPDKGGYAAIGFSPNGVMVGSSAVAGWSTGGAGGVAKQYKLDGMSSSSCPPDQGSLTLSPKTTLVISQSSRIYLAFQLTSPSPPSRYLIYAVGPSNTKPSSSNGNLLSQHKSYHSAAVNFVAGTTSSSSSSGFDTKKWHGAMAGLGWGVMMPVGIAIARYFKRHDPFWFYAHISVQGVGFVVGVAGVAAGFKLNDDVPGADTHQAVGIAVLVGGCLQVLAFLARPAKESKVRRYWNWYHHYVGRAAVVAAAANVFVGLHVAREDGNAARVAYGAFLAVVALVAVFLEVRLWRRS >LPERR01G34820.1 pep chromosome:Lperr_V1.4:1:29141793:29142614:1 gene:LPERR01G34820 transcript:LPERR01G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHISVVHLVFNMSALWSLGAVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQKFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIVLVFVLSLKRTGSMELRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >LPERR01G34830.1 pep chromosome:Lperr_V1.4:1:29143705:29147800:-1 gene:LPERR01G34830 transcript:LPERR01G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGIFVNIRKCKGLLGHEHATNIPPLHRNGRSFTELWEGVRSSIVFAGEPPAMGSGGGGGGRHWLFLRFIALSSLLLFAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKDNVGCKAFCYLGQDPRIQQQGPHIGSPVFHKDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWEDREYIEDPNAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDKYKRRYRDHWDDYHDEL >LPERR01G34830.2 pep chromosome:Lperr_V1.4:1:29143705:29147800:-1 gene:LPERR01G34830 transcript:LPERR01G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGIFVNIRKCKGLLGHEHATNIPPLHRNGRSFTELWEGVRSSIVFAGEPPAMGSGGGGGGRHWLFLRFIALSSLLLFAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWEDREYIEDPNAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDKYKRRYRDHWDDYHDEL >LPERR01G34830.3 pep chromosome:Lperr_V1.4:1:29142710:29147800:-1 gene:LPERR01G34830 transcript:LPERR01G34830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGIFVNIRKCKGLLGHEHATNIPPLHRNGRSFTELWEGVRSSIVFAGEPPAMGSGGGGGGRHWLFLRFIALSSLLLFAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWEDREYIEDPNAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDKYKRRYRDHWDDYHSD >LPERR01G34840.1 pep chromosome:Lperr_V1.4:1:29150164:29154285:1 gene:LPERR01G34840 transcript:LPERR01G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSSPKDKPTNVQLVAQYSQQHRSDKKRWGFGRSSRQLADPSSSAPATGGGGALINIPLYREPSSIEKILVDAEMDQHRHYFSSSRSPYHLTTPSKPATSLPAPPPPRDDKPAVALPLPPPSPPPLIRRFDHDRQQQVVQHAGAETEWRRPQQQQRRRRAAPARPDRGRAAAAVAIQAAWRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNGRLHHHHLRARAASQEGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIDRRHVDADMAATAAMPLPRQQRVDQVVNRQASLAAVPSTYSTAAATPARSVTSTYSTATTAAAAAKPMRAAKVASSYYGTMRDDESLTSCPAFGVGGVPNYMTPTVSASAKARARAQMLKRQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWGGGGGGVPSSSSRIGTPAASVAGGGGGGRHRSTRSVSELSVDSAVSMPAGLGRRRFH >LPERR01G34840.2 pep chromosome:Lperr_V1.4:1:29150164:29154285:1 gene:LPERR01G34840 transcript:LPERR01G34840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSSPKDKPTNVQLVAQYSQQHRSDKKRWGFGRSSRQLADPSSSAPATGGGGALINIPLYREPSSIEKILVDAEMDQHRHYFSSSRSPYHLTTPSKPATSLPAPPPPRDDKPAVALPLPPPSPPPLIRRFDHDRQQQVVQHAGAETEWRRPQQQQRRRRAAPARPDRGRAAAAVAIQAAWRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNGRLHHHHLRARAASQEGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIDRRHVDADMAATAAMPLPRQQRVDQVVNRQASLAAVPSTYSTAAATPARSVTSTYSTATTAAAAAKPMRAAKVASSYYGTMRDDESLTSCPAFGVGGVPNYMTPTVSASAKARARAQMLKRQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWGGGGGGVPSSSSRIGTPAASVAGGGGGGRHRSTRSVSELSVDSAVSMPAGLGRRRFH >LPERR01G34840.3 pep chromosome:Lperr_V1.4:1:29151024:29154285:1 gene:LPERR01G34840 transcript:LPERR01G34840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSSPKDKPTNVQLVAQYSQQHRSDKKRWGFGRSSRQLADPSSSAPATGGGGALINIPLYREPSSIEKILVDAEMDQHRHYFSSSRSPYHLTTPSKPATSLPAPPPPRDDKPAVALPLPPPSPPPLIRRFDHDRQQQVVQHAGAETEWRRPQQQQRRRRAAPARPDRGRAAAAVAIQAAWRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNGRLHHHHLRARAASQEGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIDRRHVDADMAATAAMPLPRQQRVDQVVNRQASLAAVPSTYSTAAATPARSVTSTYSTATTAAAAAKPMRAAKVASSYYGTMRDDESLTSCPAFGVGGVPNYMTPTVSASAKARARAQMLKRQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWGGGGGGVPSSSSRIGTPAASVAGGGGGGRHRSTRSVSELSVDSAVSMPAGLGRRRFH >LPERR01G34850.1 pep chromosome:Lperr_V1.4:1:29166719:29172677:1 gene:LPERR01G34850 transcript:LPERR01G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHSEAANHVDAEPRDDLGQSHMQVDGPVVLNQSAEHEPTDSMVLDDVPAQASSQPALAKQSPPALTDTIVEVQKQLKRKRASNGPALAAADKDALVAGCRQELDGLLEYYKGVSGHRMQFEAGTLSTNAAVGCLLEESNLGLSKLVEEIYEKLKGMEGVSLTSVRSCVLLIGQRMMYGQSSPDADVLEDESERALWCWEVRDLKVIPLRMRGPLGPRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDIRKASLKLSKALNLEGIRSLVERVTQKSNIERGAKNIGSTAKESMQDMMKSNNDTGVIENVGDSELRRNISTNEKEIQKEQKQAEKELRRKEKEEAQMRKQQKKQQEEQLREQKRREKEEAEMKKQQRKQEDEALKEQKRREKEESETRKQQKKQQEDAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLDRPGEKDSGVQTSDPCTTNKEVVSLVTSIIDSSFSKKENWALEDLRRLQIGGWQKLSSYNRSSRWGIRSKPKKEAFKELKLQKSSDDMLEEILSTPNEDTCHNLSQENDPDKPANDVDMLPADEQQESQGTNHASPLQVRSIKRKLLQFDKSNRPAYYGTWRKKSAAVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEESEDSFVVPDGYLSDNEGIQIESLLDDKDEEASSLPPDQCTEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLAHEKAELLTAGDLKGTAKIEQLCLQVLSMRICPGGATIDVPVIDSSSASAEETNQSNVKSSSPSSASAIPDMDLTEIVKVIQSCRDGINKLVDLLHQRIPNMSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLNSSPASGKKPKSITTYFSKRCLPPEEAINALASSPELRLKSKTVQKVNGSTDVPQINLLPSQ >LPERR01G34850.2 pep chromosome:Lperr_V1.4:1:29166861:29172677:1 gene:LPERR01G34850 transcript:LPERR01G34850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHSEAANHVDAEPRDDLGQSHMQVDGPVVLNQSAEHEPTDSMVLDDVPAQASSQPALAKQSPPALTDTIVEVQKQLKRKRASNGPALAAADKDALVAGCRQELDGLLEYYKGVSGHRMQFEAGTLSTNAAVGCLLEESNLGLSKLVEEIYEKLKGMEGVSLTSVRSCVLLIGQRMMYGQSSPDADVLEDESERALWCWEVRDLKVIPLRMRGPLGPRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDIRKASLKLSKALNLEGIRSLVERVTQKSNIERGAKNIGSTAKESMQDMMKSNNDTGVIENVGDSELRRNISTNEKEIQKEQKQAEKELRRKEKEEAQMRKQQKKQQEEQLREQKRREKEEAEMKKQQRKQEDEALKEQKRREKEESETRKQQKKQQEDAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLDRPGEKDSGVQTSDPCTTNKEVVSLVTSIIDSSFSKKENWALEDLRRLQIGGWQKLSSYNRSSRWGIRSKPKKEAFKELKLQKSSDDMLEEILSTPNEDTCHNLSQENDPDKPANDVDMLPADEQQESQGTNHASPLQVRSIKRKLLQFDKSNRPAYYGTWRKKSAAVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEESEDSFVVPDGYLSDNELCLQVLSMRICPGGATIDVPVIDSSSASAEETNQSNVKSSSPSSASAIPDMDLTEIVKVIQSCRDGINKLVDLLHQRIPNMSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLNSSPASGKKPKSITTYFSKRCLPPEEAINALASSPELRLKSKTVQKVNGSTDVPQINLLPSQ >LPERR01G34850.3 pep chromosome:Lperr_V1.4:1:29166719:29170282:1 gene:LPERR01G34850 transcript:LPERR01G34850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHSEAANHVDAEPRDDLGQSHMQVDGPVVLNQSAEHEPTDSMVLDDVPAQASSQPALAKQSPPALTDTIVEVQKQLKRKRASNGPALAAADKDALVAGCRQELDGLLEYYKGVSGHRMQFEAGTLSTNAAVGCLLEESNLGLSKLVEEIYEKLKGMEGVSLTSVRSCVLLIGQRMMYGQSSPDADVLEDESERALWCWEVRDLKVIPLRMRGPLGPRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDIRKASLKLSKALNLEGIRSLVERVTQKSNIERGAKNIGSTAKESMQDMMKSNNDTGVIENVGDSELRRNISTNEKEIQKEQKQAEKELRRKEKEEAQMRKQQKKQQEEQLREQKRREKEEAEMKKQQRKQEDEALKEQKRREKEESETRKQQKKQQEDAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLDRPGEKDSGVQTSDPCTTNKEVVSLVTSIIDSSFSKKENWALEDLRRLAIFPSDVSNICANLLPHTYS >LPERR01G34860.1 pep chromosome:Lperr_V1.4:1:29173267:29173755:-1 gene:LPERR01G34860 transcript:LPERR01G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNHHTHRAFLLCSYALLGAASASIFLTLSLRLLPSPCGLLLIFLHALTAVFSAAGCSGSFTAPSTPPGLHNAHTAGAALTAIFQGAVALLAFTRTSDFLSELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDGDDEDDGKNWASSYHV >LPERR01G34870.1 pep chromosome:Lperr_V1.4:1:29174692:29179092:-1 gene:LPERR01G34870 transcript:LPERR01G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTPPRPPAAMLVAPVCSAAPTTCSPLCPVTAAASVRRVDVSALRSYSAAADPLVSRPLPDRPFLADSSILSPFSPSPDNIARGFDVPSSSDALCCGSDIASSSPPLTAVTDIADSTPSVRDLAERALLEAPEPTTFPADASEAEATIARLIDSASKKIFQAEDALTEGYDKLRLSAYEALGAWRKTVDGAVGGLTASVDGAKRQAAGGVTDASGALQGKVAGAGAVAVDVIRKAIVAAEGSIGSAAASVGYYYGTAKSSLPPNVKDLVNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLIGGSTTIGLSYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKKLLKGGRDVDDALLAVVIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETTLTVINEDAEEILEGIKPTPTLVLGSLLGLSAASYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLQQDLKLLLSPVKVGAEAFSWAAKKLEPNKIGLATSPSTTAVQDRVLQAAAKHESQPSDADDSSLVSEA >LPERR01G34870.2 pep chromosome:Lperr_V1.4:1:29174692:29179092:-1 gene:LPERR01G34870 transcript:LPERR01G34870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTPPRPPAAMLVAPVCSAAPTTCSPLCPVTAAASVRRVDVSALRSYSAAADPLVSRPLPDRPFLADSSILSPFSPSPDNIARGFDVPSSSDALCCGSDIASSSPPLTAVTDIADSTPSVRDLAERALLEAPEPTTFPADASEAEATIARLIDSASKKIFQAEDALTEGYDKLRLSAYEALGAWRKTVDGAVGGLTASVDGAKRQAAGGVTDASGALQGKVAGAGAVAVDVIRKAIVAAEGSIGSAAASVGYYYGTAKSSLPPNVKDLVNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLIGGSTTIGLSYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKKLLKGGRDVDDALLAVVIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETTLTVINEDAEEILEGIKPTPTLGLSAASYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLQQDLKLLLSPVKVGAEAFSWAAKKLEPNKIGLATSPSTTAVQDRVLQAAAKHESQPSDADDSSLVSEA >LPERR01G34880.1 pep chromosome:Lperr_V1.4:1:29179551:29182710:1 gene:LPERR01G34880 transcript:LPERR01G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDGGLDIPHSDKRFAGFKKDEKNLDAEIHRKYIFGGHVADYMRSMAEEEPEKYQAHFSEYLKKGIDADGMEGLYKKVHAAIRADPTMAKSTKKPPTTHKRYNLKKLTYEQRKARLVERLNALNSSAGADDDEDEEEDDE >LPERR01G34890.1 pep chromosome:Lperr_V1.4:1:29197608:29198252:-1 gene:LPERR01G34890 transcript:LPERR01G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLSVLSPRSQLAALLLLVLLLAVGAGANYDSKAVSALCSKTTDMASCLKVFPTLPDTAIANASDSLKLYRVLSEYCMSKIDEAKSFAEAMIYRKKGYEGVISQTDIESEPAPPHISSKCLASCNESIGVVYSILLCGRTYPEDKPPIIHQNLTALFRGGHPPPLCETGCPDSSSSNSETILATKFHYIWTLLHLMDAILQHFFSGLTPA >LPERR01G34900.1 pep chromosome:Lperr_V1.4:1:29198722:29199276:1 gene:LPERR01G34900 transcript:LPERR01G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVVVVAAALLLVGGVESAGRTAAANDNSIVGEACSRTSDKKACVEFLLAFPEAKKATTVAPLAELYLHAIANITAEGKAMASKELAAQKGKGVPPVCLDQCAASIDTLSEALSSFFSASGAGDRKYEDLDRFLVGFIRTTKQPPACQSVCPLVYNGSGEIAVVEKFHQAWKLLAVADGLVH >LPERR01G34910.1 pep chromosome:Lperr_V1.4:1:29203008:29204133:1 gene:LPERR01G34910 transcript:LPERR01G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSTTYIFHFERPQRIRTHDDDDSYIGFVGCCSPRRRLGASNGYGVFVKAGIYEETVNITRKNVVLWGEGIGKTVITGSRFAVKPNNTDMPWTATLTVIADGFVAQDLTIRNTAGPIGTPAVALRSDSNKSLLYLGCDIAGTIDFVYGNAKAVFEGCRILVRRPRYKPGNDESGFVFRRCNITAADGVDTYLGRPWKNYSHVVFMESNIINPAGWIGWKKEDPLPGETAKTVEYLESGNVGVGARTRDRVPLEGLPGDHQGGG >LPERR01G34920.1 pep chromosome:Lperr_V1.4:1:29204413:29207689:-1 gene:LPERR01G34920 transcript:LPERR01G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSIAEEVHFFDAICACEIDYHIVGLWLPSIVAGVYHNCFGMNNNVGSSAPSSSGRGGSNGNNGTAISPGELPRSSCVRPGKCGHSIQMAAMMRMKIQTILWKKKELKHKRHMDDR >LPERR01G34930.1 pep chromosome:Lperr_V1.4:1:29218071:29218625:-1 gene:LPERR01G34930 transcript:LPERR01G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVVVVAAALLLVGGVESAGRTAAANDNSIVGEACSRTSDKKACVEFLLAFPEAKKATTVAPLAELYLHAIANITAEGKAMASKELAAQKGKGVPPVCLDQCAASIDTLSEALSSFFSASGAGDRKYEDLDRFLVGFIRTTKQPPACQSVCPLVYNGSGEIAVVEKFHQAWKLLAVADGLVH >LPERR01G34940.1 pep chromosome:Lperr_V1.4:1:29219095:29219739:1 gene:LPERR01G34940 transcript:LPERR01G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLSVLSPRSQLAALLLLVLLLAVGAGANYDSKAVSALCSKTTDMASCLKVFPTLPDTAIANASDSLKLYRVLSEYCMSKIDEAKSFAEAMIYRKKGYEGVISQTDIESEPAPPHISSKCLASCNESIGVVYSILLCGRTYPEDKPPIIHQNLTALFRGGHPPPLCETGCPDSSSSNSETILATKFHYIWTLLHLMDAILQHFFSGLTPA >LPERR01G34950.1 pep chromosome:Lperr_V1.4:1:29221641:29224212:-1 gene:LPERR01G34950 transcript:LPERR01G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRETGQVVALKKTRLEMDEEGIPPTALREISLLRLLSQSVYVVRLLSVEQATKNGKPILYLVFEFLDTDLKKFVDAFRKGPNPRPLPSNRQILNYASVDVKWKNLTSCISYAKGSRIAIAMVSFTAPYIIAIVADSDTVLLLNSVPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERSVPALEPEGVDLLSKMLQYNPANRISAKSAMEHPYFDTLDKSQF >LPERR01G34950.2 pep chromosome:Lperr_V1.4:1:29221641:29224212:-1 gene:LPERR01G34950 transcript:LPERR01G34950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRETGQVVALKKTRLEMDEEGIPPTALREISLLRLLSQSVYVVRLLSVEQATKNGKPILYLVFEFLDTDLKKFVDAFRKGPNPRPLPSNRQILNYASVDVKWKNLTSCISYAKGSRIAIAMVSFTAPYIIAIVADSDTVLLLNSVPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHELKWSEDKLFFQVTLSCNSCFTFSGKYFALSHLLTPVLDRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERSVPALEPEGVDLLSKMLQYNPANRISAKSAMEHPYFDTLDKSQF >LPERR01G34950.3 pep chromosome:Lperr_V1.4:1:29221641:29224212:-1 gene:LPERR01G34950 transcript:LPERR01G34950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRETGQVVALKKTRLEMDEEGIPPTALREISLLRLLSQSVYVVRLLSVEQATKNGKPILYLVFEFLDTDLKKFVDAFRKGPNPRPLPSNNFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERSVPALEPEGVDLLSKMLQYNPANRISAKSAMEHPYFDTLDKSQF >LPERR01G34950.4 pep chromosome:Lperr_V1.4:1:29221641:29224212:-1 gene:LPERR01G34950 transcript:LPERR01G34950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRETGQVVALKKTRLEMDEEGIPPTALREISLLRLLSQSVYVVRLLSVEQATKNGKPILYLVFEFLDTDLKKFVDAFRKGPNPRPLPSNNFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHELKWSEDKLFFQVTLSCNSCFTFSGKYFALSHLLTPVLDRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERSVPALEPEGVDLLSKMLQYNPANRISAKSAMEHPYFDTLDKSQF >LPERR01G34960.1 pep chromosome:Lperr_V1.4:1:29225143:29229867:1 gene:LPERR01G34960 transcript:LPERR01G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYNIIATVNFRRQKFSLKMVQLFLQVHTDGGSVNTDAVKARRSLLDKVESMVRSIIKSGGGYEAQMWLCSTVSSIHLLDPYDQRDLFLKLLEMKNSKRDVAARLLRMIFEKRPKKAGNPKRIMQWFGHFALTGESTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDILQTVENFLEYVPDFWSSEELADSIKDGDILQIDTEYFVDQYVHLMFEENSRDAWQLVENFLMDEQFSSLSQHLLIHLDEQRLLNFLRTLGKLIKPNSQCKEMAFPCCWLEVLLSAHGDHISLDEFVLLNCVIAKGRQLWRLVNDEEQEEEKGHMKELLKSTNQLTDADHFALMKGLVDAKLPDALKWIGIQSWVVFCELSEECKSADSCEHLFTCNKIEFRKADDYSLVQNDGKSIALDSDDEDLARGGHKMRKRERKRRRRRYDSDGDNLDQLLELGNSNRRGIESHHGNWYLSTDGFSASWDIIRAFLDVLPAAASRTKIPCWLIP >LPERR01G34970.1 pep chromosome:Lperr_V1.4:1:29228463:29234666:-1 gene:LPERR01G34970 transcript:LPERR01G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKKKRRLLLSALCLAVAALSGALLPPAASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSNCCSSNGCCRSHPPKFFTIHGLWPQYSYGGWPSCCRPTTFNSNKISRLKTILEEYWPSLYCGSFSTCFSGKTQFWAHEDEYDYFSTALYLYGKYNVTKALRKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCVIEGENSAYRRNHCPRYVTLPSYKPHAIGNSTEGISNEVNVERQSYDQSQKRKTTTPAAMETTTRSPPLEICALLLLVVAGDLVGAAAARHGGAVGRKQREFDYFALSLQWPGTICASTRHCCAVNGCCRSEPLHTFTIHGLWPDYDDGTWPACCRHTSFDMDKILPLKPTLDKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVKDELEYFSTALDLYFKYNVTEMLASGGIQVSNGKEYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKKKYCPRYITLPTYDPIVLANSTRDIMTEVESEVFGYLYTS >LPERR01G34980.1 pep chromosome:Lperr_V1.4:1:29235925:29241858:1 gene:LPERR01G34980 transcript:LPERR01G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSGARALLLLRSSIHKPLPPPPLRAFTRSPPPPRPLSRFLSSSPEPLSDSSSSSAAAAVSASSNPAEAGEYILGSDPTESGEDILGSDPTEAGEDNLASLWEEDAGDGDGVFDSGASSDPADDVVDEVLVARVRAVVEFTPEDRIPSAIADMVVDFTEPLLSAILQSAVETCSGKKLMLLFKSAGKNNPAAKSLANLEIVVDKVADSDEIDKMSVYSLWDLVKEMGAVPGSVNTEVLNKQLAMFWKLEKSKAALEVFDKFGEFGCAPDGDSYYMVIQAAGRKSMVDAAWRVCEAMIGSGCFPDGEKVGGIVTFLCKKKKVKEAHSVYLAAKEKEVQIPTSALNLIVSGLARNDETINTALELLEEYKGESLEDAGQSYAAVIHGLCKTNNVEDAKKVLMRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGIQPDVYTYSVIMSGYTKGGMMEEAHDLLRDARKIHPRLSRVTYHTLIRGYCKMEEFEKALECLKDMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEVGGLCLKGTTRSLIAAVKELEMEELSKASQEA >LPERR01G34990.1 pep chromosome:Lperr_V1.4:1:29244137:29249011:1 gene:LPERR01G34990 transcript:LPERR01G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDGDGEVTRGDFPHGFVFGVATSAYQIEGARREGGKGDNIWDVFCEEKGRILDGSSGEVAVDHYHRYKEDIELMANLGFHAYRFSISWARIFPDGLGKNINEQGISFYNDLINFMIEKGIEPYATLYHWDLPNNLQQTIGGWISEKIVEYFALYAEACFANFGDRVKRWITINEPLQTSVNAYGVGTFAPGGCEGENSRCYLAAHNQILAHAAAVDVYRRKFKDVQGGEIGLVVDCEWAEPFSEKTEDKIAAERRIDFQLGWYLDPIYFGDYPESMRQRLGNDLPTFSEKDKEFIRNKIDFLGINHYTSRFIAHHPDPGDISFYRIQQVERIEKWNTGEKIGDRAASEWLFIVPWGLRKLLNYVAKKYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYYKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGFTKRFGIVYVDYKNGLSRHPKASARWFSNLLKGEEAENKADTN >LPERR01G35000.1 pep chromosome:Lperr_V1.4:1:29252129:29254712:-1 gene:LPERR01G35000 transcript:LPERR01G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPRRRFLELVIVVLLASCCVNGGSGSSDFPVRRQLHQPFFPDQSTPPSPAPPGPAPPFTDAFPGRLAAGDNAEHLAAGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPTPKTDNKVLDPKKAQNIAILLRALNVSKEEVCEALCKGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKNFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSRLSASNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVTKLAGGIEKITEVLRLNEEVKSREDAWRFHDAMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVSRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >LPERR01G35010.1 pep chromosome:Lperr_V1.4:1:29262572:29271806:-1 gene:LPERR01G35010 transcript:LPERR01G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQHFCFLSPPLSLSTASRCDCESTQRGGPEMFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSLFGLDERFGDGNSSHIGLDLEEELMLNKDQLIQLEADDGVIIQGRSAVHPTDMEVDDIQNKDESTEGYNMEDRPSSHNTLNQLNADGLVGSSIPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPTSPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTTENANDLVGAATTELGLTKPVQIESSGAAEEIDSLSQQCATEELPPQAETSNLEATMDKSVLNTDNVAASAEAIAAKETTEDVPLVENSLELCGDGHTESVIENQSTQFNSGSVDVQGDGQHASHAIVASADSQVNVEASTLEMASNDRPNDLSTSEFPEREKMLSAPDVEFYPENDLGQVTAEKGTTESDGSNKVGSLTSRKRDLEDSLPVLESATTEKLSSRPRGKRTIDDIPDDDDLLASILVGRRTPGLRLGSTPISPKTSSLKRPRLASKTATPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKDMEIHGQLDMSPTIAVNNIDSGNHQDHVMRTDGAESDPVQPEATGNVDLQMTSDKQVNNVEGVTEPSIDNEKETAVVDGVNANISDSAQVDSLDKDYLQDVPADLHRPNIDTPLFVLLDDMPSSATRISDGPDVVLGSSDPGCAQAVDDMHRDLSDTVHDKVNAFENKDVPTSEITGNASGFPQPTEDENVLSAMGESSGLQENNAGPVMDLDSMGHDFALKECSDFGSAIHGVDTDFLNFDDEVDYDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDRLLSGKTRKEASRMFFETLVLTTKDFIHVDQPNPFDFVSVKPGPKLLKSDF >LPERR01G35010.2 pep chromosome:Lperr_V1.4:1:29262572:29271806:-1 gene:LPERR01G35010 transcript:LPERR01G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQHFCFLSPPLSLSTASRCDCESTQRGGPEMFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSLFGLDERFGDGNSSHIGLDLEEELMLNKDQLIQLEADDGVIIQGRSAVHPTDMEVDDIQNKDESTEGYNMEDRPSSHNTLNQLNADGLVGSSIPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPTSPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTTENANDLVGAATTELGLTKPVQIESSGAAEEIDSLSQQCATEELPPQAETSNLEATMDKSVLNTDNVAASAEAIAAKETTEDVPLVENSLELCGDGHTESVIENQSTQFNSGSVDVQGDGQHASHAIVASADSQVNVEASTLEMASNDRPNDLSTSEFPEREKMLSAPDVEFYPENDLGQVTAEKGTTESDGSNKVGSLTSRKRDLEDSLPVLESATTEKLSSRPRGKRTIDDIPDDDDLLASILVGRRTPGLRLGSTPISPKTSSLKRPRLASKTATPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGMCKELNDLQYRTYEIVAHPIAQDMEIHGQLDMSPTIAVNNIDSGNHQDHVMRTDGAESDPVQPEATGNVDLQMTSDKQVNNVEGVTEPSIDNEKETAVVDGVNANISDSAQVDSLDKDYLQDVPADLHRPNIDTPLFVLLDDMPSSATRISDGPDVVLGSSDPGCAQAVDDMHRDLSDTVHDKVNAFENKDVPTSEITGNASGFPQPTEDENVLSAMGESSGLQENNAGPVMDLDSMGHDFALKECSDFGSAIHGVDTDFLNFDDEVDYDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDRLLSGKTRKEASRMFFETLVLTTKDFIHVDQPNPFDFVSVKPGPKLLKSDF >LPERR01G35010.3 pep chromosome:Lperr_V1.4:1:29262572:29271276:-1 gene:LPERR01G35010 transcript:LPERR01G35010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSLFGLDERFGDGNSSHIGLDLEEELMLNKDQLIQLEADDGVIIQGRSAVHPTDMEVDDIQNKDESTEGYNMEDRPSSHNTLNQLNADGLVGSSIPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPTSPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTTENANDLVGAATTELGLTKPVQIESSGAAEEIDSLSQQCATEELPPQAETSNLEATMDKSVLNTDNVAASAEAIAAKETTEDVPLVENSLELCGDGHTESVIENQSTQFNSGSVDVQGDGQHASHAIVASADSQVNVEASTLEMASNDRPNDLSTSEFPEREKMLSAPDVEFYPENDLGQVTAEKGTTESDGSNKVGSLTSRKRDLEDSLPVLESATTEKLSSRPRGKRTIDDIPDDDDLLASILVGRRTPGLRLGSTPISPKTSSLKRPRLASKTATPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKDMEIHGQLDMSPTIAVNNIDSGNHQDHVMRTDGAESDPVQPEATGNVDLQMTSDKQVNNVEGVTEPSIDNEKETAVVDGVNANISDSAQVDSLDKDYLQDVPADLHRPNIDTPLFVLLDDMPSSATRISDGPDVVLGSSDPGCAQAVDDMHRDLSDTVHDKVNAFENKDVPTSEITGNASGFPQPTEDENVLSAMGESSGLQENNAGPVMDLDSMGHDFALKECSDFGSAIHGVDTDFLNFDDEVDYDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDRLLSGKTRKEASRMFFETLVLTTKDFIHVDQPNPFDFVSVKPGPKLLKSDF >LPERR01G35010.4 pep chromosome:Lperr_V1.4:1:29262572:29271276:-1 gene:LPERR01G35010 transcript:LPERR01G35010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSLFGLDERFGDGNSSHIGLDLEEELMLNKDQLIQLEADDGVIIQGRSAVHPTDMEVDDIQNKDESTEGYNMEDRPSSHNTLNQLNADGLVGSSIPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPTSPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTTENANDLVGAATTELGLTKPVQIESSGAAEEIDSLSQQCATEELPPQAETSNLEATMDKSVLNTDNVAASAEAIAAKETTEDVPLVENSLELCGDGHTESVIENQSTQFNSGSVDVQGDGQHASHAIVASADSQVNVEASTLEMASNDRPNDLSTSEFPEREKMLSAPDVEFYPENDLGQVTAEKGTTESDGSNKVGSLTSRKRDLEDSLPVLESATTEKLSSRPRGKRTIDDIPDDDDLLASILVGRRTPGLRLGSTPISPKTSSLKRPRLASKTATPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGMCKELNDLQYRTYEIVAHPIAQDMEIHGQLDMSPTIAVNNIDSGNHQDHVMRTDGAESDPVQPEATGNVDLQMTSDKQVNNVEGVTEPSIDNEKETAVVDGVNANISDSAQVDSLDKDYLQDVPADLHRPNIDTPLFVLLDDMPSSATRISDGPDVVLGSSDPGCAQAVDDMHRDLSDTVHDKVNAFENKDVPTSEITGNASGFPQPTEDENVLSAMGESSGLQENNAGPVMDLDSMGHDFALKECSDFGSAIHGVDTDFLNFDDEVDYDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDRLLSGKTRKEASRMFFETLVLTTKDFIHVDQPNPFDFVSVKPGPKLLKSDF >LPERR01G35020.1 pep chromosome:Lperr_V1.4:1:29287642:29288661:1 gene:LPERR01G35020 transcript:LPERR01G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLFQPSRGHWNREAQRRQQEEQAAAWLLEERLFRRMEQGGVIADGDYIWVDEQDMADWRAFRYCFRRVTHRLAPPREIENPKSRRS >LPERR01G35030.1 pep chromosome:Lperr_V1.4:1:29289283:29291179:-1 gene:LPERR01G35030 transcript:LPERR01G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALLTRAASSAAPVTAQELGQAAYLAASAAVDWGVPSDALTRAALALAAAGPDGRQRAAVDLLFAAADVARDAQQAGMLVLAEVVGDVQRAGLEFASSLELQAAAAARPERRCGWLRRLLPWGNDDGAMGDLEAPLLPRPPRIHGSLFSLMIPRSRASSSEDLVGIMGFALFGTLSLIPSMGSKGVMNGQDDIFKFWFQWIVVVWWALVALGVPCSQSPRCPLLLEYARGAARIGMIGISCLFIVYCHLLLGQDTKIAVLFVMAIVVGMHLSCWVLVIESSAHMDSADLLLQ >LPERR01G35040.1 pep chromosome:Lperr_V1.4:1:29298250:29305908:1 gene:LPERR01G35040 transcript:LPERR01G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRCYKELRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIVRTLLDQRLSDDLRILGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDEKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSSYEVNQTLSIKEVVRLQDDDDLVTNGSLTGLPVSGQNSAKVASDTMSVSENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILREMQTLMDKSGQNGHLLVSFTIKHIDHKSVAKKPAKQISILKVASLLAKHAKLKASVTIASATSDLINHLRKCMHCAIETPNSENDVDKWNGALYVALEECLVQLTEKVGDVGPVLDMVGLMLENLSCTATIARTTISSVYRTVQIAASIHKSLYNQKAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPIKGNDSQSITLLALAAFSSETIIDEIRTQSRIHEPLQNNVKPETVAGFENGYTHTEPNTRKSPEGSPCLNEHHFTSFKDENLKFMKLNNSQLVLLLSSIWSQAPLEDNSPTNFEAMCHTYNVALLCSMTKLAFSLRRMSLNQEIVTSEVFVYNGINDTIPLVKASVPEKMVDPHLCLIDDSRLVITSPRSNSGMVYGSEEDENDAQNFLSSVNKNDTHLKELVMSHFKEKFGHLSEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSTYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPEPQSTRSERMRRSDSASSESDRSFRLPPASPYDKFMKAAGR >LPERR01G35040.2 pep chromosome:Lperr_V1.4:1:29298250:29305908:1 gene:LPERR01G35040 transcript:LPERR01G35040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRCYKELRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIVRTLLDQRLSDDLRILGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDEKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSSYEVNQTLSIKEVVRLQDDDDLVTNGSLTGLPVSGQNSAKVASDTMSVSENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILREMQTLMDKSGQNGHLLVSFTIKHIDHKSVAKKPAKQISILKVASLLAKHAKLKASVTIASATSDLINHLRKCMHCAIETPNSENDVDKWNGALYVALEECLVQLTEKVGDVGPVLDMVGLMLENLSCTATIARTTISSVYRTVQIAASIHKSLYNQKAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPIKGNDSQSITLLALAAFSSETIIDEIRTQSRIHEPLQNNNLKFMKLNNSQLVLLLSSIWSQAPLEDNSPTNFEAMCHTYNVALLCSMTKLAFSLRRMSLNQEIVTSEVFVYNGINDTIPLVKASVPEKMVDPHLCLIDDSRLVITSPRSNSGMVYGSEEDENDAQNFLSSVNKNDTHLKELVMSHFKEKFGHLSEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSTYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPEPQSTRSERMRRSDSASSESDRSFRLPPASPYDKFMKAAGR >LPERR01G35050.1 pep chromosome:Lperr_V1.4:1:29306754:29311978:1 gene:LPERR01G35050 transcript:LPERR01G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSAGGLIAAMLAAPSLGNAKQPCYEAKDIVPFYLKHSPHIFPHRTGLFGWFFAIVSIIKMAIGPKYDGKYLHKVISDLLGDTRMKETLTNVVIPTFDVKCVKPVVFSTYKARYKPLKNARLADVCIGTSAAPTFLPAHYFETVDRNGAIQSFNIIDGGMAANNPTLVAMGEITEQIRRKSSEFPETEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLGGEDTMPLLNMFFHASSDMADTLVTDLFQAIGCSHQLLRVQDHNIPIGAISNDLSTKDHLQQLVQIGENLLNKPLSKEECEKNNIEPVPNRPDHVTYADMLTRFAKLLSDERKLRLHNMKLDASPSTRET >LPERR01G35050.2 pep chromosome:Lperr_V1.4:1:29307250:29311978:1 gene:LPERR01G35050 transcript:LPERR01G35050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSAGGLIAAMLAAPSLGNAKQPCYEAKDIVPFYLKHSPHIFPHRTGLFGWFFAIVSIIKMAIGPKYDGKYLHKVISDLLGDTRMKETLTNVVIPTFDVKCVKPVVFSTYKARYKPLKNARLADVCIGTSAAPTFLPAHYFETVDRNGAIQSFNIIDGGMAANNPTLVAMGEITEQIRRKSSEFPETEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLGGEDTMPLLNMFFHASSDMADTLVTDLFQAIGCSHQLLRVQDHNIPIGAISNDLSTKDHLQQLVQIGENLLNKPLSKEECEKNNIEPVPNRPDHVTYADMLTRFAKLLSDERKLRLHNMKLDASPSTRET >LPERR01G35060.1 pep chromosome:Lperr_V1.4:1:29317356:29320273:1 gene:LPERR01G35060 transcript:LPERR01G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTAYFSSQPQLPSTAAAAAAATNPGSSGGRTARQQQRQRRSSSFVMLETAAGGGGGVVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRSPPPPSASPPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >LPERR01G35070.1 pep chromosome:Lperr_V1.4:1:29322116:29328184:1 gene:LPERR01G35070 transcript:LPERR01G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVECRVCHARVPVPAAAAAGVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLFIQSRRTKPGEKPFLTLSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAMLFGHTLTINFVLAISIVIISMHQYLSNQIKDEIPSSKIEMADAHEHRSKESVVVNVSDSIASEAKHRHGTDERQPLLPV >LPERR01G35070.2 pep chromosome:Lperr_V1.4:1:29322116:29328012:1 gene:LPERR01G35070 transcript:LPERR01G35070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVECRVCHARVPVPAAAAAGVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLFIQSRRTKPGEKPFLTLSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAMLFGHTLTINFVLAISIVIISMHQYLSNQIKDEIPSSKIEMADAHEHRLNTGMAPMSGSRFFLSDLIPVQQQQHVPCKSYCAVGCMARDD >LPERR01G35070.3 pep chromosome:Lperr_V1.4:1:29322116:29328228:1 gene:LPERR01G35070 transcript:LPERR01G35070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVECRVCHARVPVPAAAAAGVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLFIQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAMLFGHTLTINFVLAISIVIISMHQYLSNQIKDEIPSSKIEMADAHEHRSKESVVVNVSDSIASEAKHRHGTDERQPLLPV >LPERR01G35080.1 pep chromosome:Lperr_V1.4:1:29337943:29341002:1 gene:LPERR01G35080 transcript:LPERR01G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRASPPIAGAAHRRSPLRPSSPIGHPSAAMDDPRSSGDEVKNPGAEAVDTRRAMGRRVLRVLRLASAAPIAIAGARSGLLTEYMEEMFAATESFRDAFDQDDDGCRAASRTDAEAAVALSLAAGQVADAALKLSRAADSVDWELLCTQAVDTEDSMLKKTDYERRSRGWISQLACWWLSRKDPNAARDVENQLSIVMPGQAESPPRQANDPSTLISMLDFSLSSSLNLIPFMDLSTILKDRKPNELWWIAFALEWWFGMTSVGIPSAVYGLTMLVIFYIYLLLGIGDKAFLGVTLVFFTVLHCYFLIKGLRKRNH >LPERR01G35090.1 pep chromosome:Lperr_V1.4:1:29344680:29347765:1 gene:LPERR01G35090 transcript:LPERR01G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAVVVRDEPRRRIISIVTKLKRFYAVSVYNTEAGSNLIPTGIRVTSRSNTSLLPICQHVKPQEPCLDCDFTQYGYDGPNSAPPANYIYALNPDFLDRFGPDIGGFSARGFCPDASEEIDRPVNRKKKYIILTGTKFIFFINQGVIDSIVSRLTLKDAVRTSLLSSKWRRLWTSYPNLCFDSPTMLNRKIGSGSKRRRDRFIRRVNAFLESHDGIGLRTFKVSFVLDNKHAEYLDRWFSFALASKATEINLNMLPEIDRNSPQSWLEAYTLPFHMFDSKSASRIQSFQLVFVSLKLPHDFYGFNNLRVLDLQQVHISGDLGLLLSKCNALESLSLYRCRPLLNLKVQQPLHHLCYLSFRGCRQENVELDAANLAKFEYSGPGDVLLTLGECINLKEATIELLGFYCNDTLDQVFTELPKTLSSVETLFVETTLNTQVLGFSKQPLKFKHLVKLEMSVYILGKAEYKNGILRLVYVLEVAPLLQRFELNMIPNESAGGHPDDSEAYWHIQPCAHSHLERVDISGFFGLKGQLELVLYILDNASALRCMSIEPRMRAFQRGVGYFEGFDRDINDGRKCALKRIPQYDYPNVQIEIF >LPERR01G35100.1 pep chromosome:Lperr_V1.4:1:29349553:29352341:-1 gene:LPERR01G35100 transcript:LPERR01G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRHWICCNCEASESDQLENGHAKAPTSNVDGVKKGLKDSASEKAQPQNSALTINIPVLSLDELVEKTDNFGSKALIGEGSYGRVYYAVLDNGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCMEGNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEGFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVRQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTNIVTVAITLCCMIIMPR >LPERR01G35110.1 pep chromosome:Lperr_V1.4:1:29354874:29357379:-1 gene:LPERR01G35110 transcript:LPERR01G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLRRDRAWYANVELPPPASAKLGDPLDPARGRGEWLVFPKGVGNYVEQLAGMVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRDRHKAQLISDELYMLEIDRLLRPGGYWVLSTPPISWKTPYVNTSMCLTQLPRVELVGDIAGGAVEKWPQRLTAVPPRITNGGMKGMPIQTYKLDSLNWEKRVDFYRTYLKDLSSGSYRNVMDMNAGFGGFAAAISEYPVWVMNVVPANLTNNTLGIIYERGLIGTYMDWCESFSTYPRTYDVIHANGVFSLYMDTCGIPYILLEMDRILRPGGAAIIRDTPDVVHKVKDAADRLHWHSQIVDTENGALDPEKLLIVDNSLPFPDHPA >LPERR01G35120.1 pep chromosome:Lperr_V1.4:1:29360727:29364616:-1 gene:LPERR01G35120 transcript:LPERR01G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEFLLFSFILFFQNQQQSFSKVCVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKELSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPVIGETSVVTSDFSDYMDVENFVDMPDENYSKIDTEITDTLIEWGMPETMRAIHPIYFAKCLTKVVHDNHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDDYSSDWRDDDKSRFDFKNVGSSNDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSALEIINRIKENDDQCAMALKSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKTVKASTEDEC >LPERR01G35130.1 pep chromosome:Lperr_V1.4:1:29367140:29370656:1 gene:LPERR01G35130 transcript:LPERR01G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHHRKRNLALLGHDFFLFLVVVVVFSSGAYVGVRGREGADKLVAPTPSIAKVAVSMAKTSHGMPFGCPLMLNFVGRTGW >LPERR01G35130.2 pep chromosome:Lperr_V1.4:1:29367140:29368275:1 gene:LPERR01G35130 transcript:LPERR01G35130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHHRKRNLALLGHDFFLFLVVVVVFSSDGDVDDMSACCSGGGGLWWTIGCPDVKSAGGEIS >LPERR01G35140.1 pep chromosome:Lperr_V1.4:1:29367905:29369939:-1 gene:LPERR01G35140 transcript:LPERR01G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQPKGIPWLVLAMLTATFAMLGVGATSLSAPSLPLTPTYAPVIKVVGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVTINGLPLSSSYGADSCKVELHSAPGGSDCNVPIELNLSGLGVHSKSNKEVVLQANQVMAFASQKTFGFCSKPHIPPPSPYQYPSPPFSYKSPPSPNQFPQPPFNYKFPPPSYQYPSPPFKYKSPPLPNQFSPPPLNKFPPPSYQYPSPPQSYHSPPPFQYQAPPTSYNYPPPSYGYKSPIPPTNKYLPPPYYFNSPPPQYQISPPANGYVSPPLPHQYPPPPYKSPLVPSSSIPPYYYDSPPPNHYSPPPYNYGSSPPTYQYSPPLPLTTPKHLPPKVPHEISPPALLTSGQPMVHHSPPPPLQHADMSSTSPSVNSYQSPQPDQASAE >LPERR01G35150.1 pep chromosome:Lperr_V1.4:1:29371919:29375671:1 gene:LPERR01G35150 transcript:LPERR01G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILSSLAALLTKVFASVQIPSCSSSNTQNFSVTEPEFDEMKSTLDRIRAVLTDADRRAIEDLHVKMWLYDLKQVAYDLEDIIDELSYKTVQSEAETNTDEHAGHKRKFQVFDTVNSPVHGKSIDKIVLDKIINVRNRLNSINSFRQNLSLQESDGQIRVSTSSMRNSSSVLASETGIVGRDEEKNKLLQFLLNDDNGTDSKLHVFSIVAMGGMGKTTLAKLVYNDEQVKDNFQIRAWAWVSQEYDVTRTTKAIIESITREACGLTGLDTLQNRLQHIVRKEIFNHDIWNENLLRWDALRQPLDSGGRGSRILITTRNQNVARIMNRMPQAQISLNGLNPESSWTLFRHCIEQGCPSLKLSETFETIGRGIVGKCSGVPLTIRVIGGLLSSETNKETWDYILLSDIWNLEDGRDWVFDILKVSYFHLPAEIKPCYLYCALFPKGHMFYKENVVRMWAAHGYLLATHSDQMESLGYKYISELVGRSFFEQQYVGGLGCYLTMHDLIHDLARSLVRDQNQEHQDLPNIMSAKVDVIRSKYDRHFSAFLQAKALETPIIVQPSRGRNQESLRSVLLCLNGGNDDFLQVNSTAYSIVLHFERDFFTEPNVRFLRVLELSSCRLSELPHTVGNLKQLKYLGLSCTDIVRLPQAVCSLHNLQTLDLRCCKFLVELPKNIGQLQKLQHLDYNLLDRNNYAIPVCKFKSLPEGIGKLTKLQTLPVFVVHFTAQTAGVAELKDLNNLHRSLRISSLEHITWDRTCEARTAGLIRKVQVTRLCLQWNSHIRYGSNSKSPEKSIEEIDKEVLESLEPHNKIQWIEIEKYRGCSYPKWVGHPSFRQLETVIIRDFHCDFLPPLGQLPHLRHLEVREMRVTTIGSEFYGDGEALQRFSALQTLLFDEMTAWNEWQRPECQQDFPCLQELTISNCLSLNSLSLYNMLALKKLTVKGCSNLVAISGLKECWVSTKHSQINGTGTSGHSGTVDGNGVELPSSNLPARLEVVQIKDCMSLANSSLQQATEITRIYQRRINLDMVYPDQKELGEGVVLII >LPERR01G35150.2 pep chromosome:Lperr_V1.4:1:29371919:29375663:1 gene:LPERR01G35150 transcript:LPERR01G35150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILSSLAALLTKVFASVQIPSCSSSNTQNFSVTEPEFDEMKSTLDRIRAVLTDADRRAIEDLHVKMWLYDLKQVAYDLEDIIDELSYKTVQSEAETNTDEHAGHKRKFQVFDTVNSPVHGKSIDKIVLDKIINVRNRLNSINSFRQNLSLQESDGQIRVSTSSMRNSSSVLASETGIVGRDEEKNKLLQFLLNDDNGTDSKLHVFSIVAMGGMGKTTLAKLVYNDEQVKDNFQIRAWAWVSQEYDVTRTTKAIIESITREACGLTGLDTLQNRLQHIVRKEIFNHDIWNENLLRWDALRQPLDSGGRGSRILITTRNQNVARIMNRMPQAQISLNGLNPESSWTLFRHCIEQGCPSLKLSETFETIGRGIVGKCSGVPLTIRVIGGLLSSETNKETWDYILLSDIWNLEDGRDWVFDILKVSYFHLPAEIKPCYLYCALFPKGHMFYKENVVRMWAAHGYLLATHSDQMESLGYKYISELVGRSFFEQQYVGGLGCYLTMHDLIHDLARSLVRDQNQEHQDLPNIMSAKVDVIRSKYDRHFSAFLQAKALETPIIVQPSRGRNQESLRSVLLCLNGGNDDFLQVNSTAYSIVLHFERDFFTEPNVRFLRVLELSSCRLSELPHTVGNLKQLKYLGLSCTDIVRLPQAVCSLHNLQTLDLRCCKFLVELPKNIGQLQKLQHLDYNLLDRNNYAIPVCKFKSLPEGIGKLTKLQTLPVFVVHFTAQTAGVAELKDLNNLHRSLRISSLEHITWDRTCEARTAGLIRKVQVTRLCLQWNSHIRYGSNSKSPEKSIEEIDKEVLESLEPHNKIQWIEIEKYRGCSYPKWVGHPSFRQLETVIIRDFHCDFLPPLGQLPHLRHLEVREMRVTTIGSEFYGDGEALQRFSALQTLLFDEMTAWNEWQRPECQQDFPCLQELTISNCLSLNSLSLYNMLALKKLTVKGCSNLVAISGLKECWVSTKHSQINGTGTSGHSGTVDGNGVELPSSNLPARLEVVQIKDCMSLANSSLQQATEITRIYQRRINLDMVYPDQKELGEGRWRDPTGQPHPGPTPGWPAERRRSR >LPERR01G35150.3 pep chromosome:Lperr_V1.4:1:29371919:29375671:1 gene:LPERR01G35150 transcript:LPERR01G35150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILSSLAALLTKVFASVQIPSCSSSNTQNFSVTEPEFDEMKSTLDRIRAVLTDADRRAIEDLHVKMWLYDLKQVAYDLEDIIDELSYKTVQSEAETNTDEHAGHKRKFQVFDTVNSPVHGKSIDKIVLDKIINVRNRLNSINSFRQNLSLQESDGQIRVSTSSMRNSSSVLASETGIVGRDEEKNKLLQFLLNDDNGTDSKLHVFSIVAMGGMGKTTLAKLVYNDEQVKDNFQIRAWAWVSQEYDVTRTTKAIIESITREACGLTGLDTLQNRLQHIVRKEIFNHDIWNENLLRWDALRQPLDSGGRGSRILITTRNQNVARIMNRMPQAQISLNGLNPESSWTLFRHCIEQGCPSLKLSETFETIGRGIVGKCSGVPLTIRVIGGLLSSETNKETWDYILLSDIWNLEDGRDWVFDILKVSYFHLPAEIKPCYLYCALFPKGHMFYKENVVRMWAAHGYLLATHSDQMESLGYKYISELVGRSFFEQQYVGGLGCYLTMHDLIHDLARSLVRDQNQEHQDLPNIMSAKVDVIRSKYDRHFSAFLQAKALETPIIVQPSRGRNQESLRSVLLCLNGGNDDFLQVNSTAYSIVLHFERDFFTEPNVRFLRVLELSSCRLSELPHTVGNLKQLKYLGLSCTDIVRLPQAVCSLHNLQTLDLRCCKFLVELPKNIGQLQKLQHLDYNLLDRNNYAIPVCKFKSLPEGIGKLTKLQTLPVFVVHFTAQTAGVAELKDLNNLHRSLRISSLEHITWDRTCEARTAGLIRKVQVTRLCLQWNSHIRYGSNSKSPEKSIEEIDKEVLESLEPHNKIQWIEIEKYRGCSYPKWVGHPSFRQLETVIIRDFHCDFLPPLGQLPHLRHLEVREMRVTTIGSEFYGDGEALQRFSALQTLLFDEMTAWNEWQRPECQQDFPCLQELTISNCLSLNSLSLYNMLALKKLTVKGCSNLVAISGLKECWVSTKHSQINGTGTSGHSGTVDGNGVELPSSNLPARLEVVQIKDCMSLANSSLQQATEITRIYQRRINLDMVYPDQKELGEGVVLII >LPERR01G35160.1 pep chromosome:Lperr_V1.4:1:29373558:29376425:-1 gene:LPERR01G35160 transcript:LPERR01G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARHGGSGGGAASAAASPAWTTSMASLAAVTIAASTAVAAARAATSLALLARWWRRASRIRQAARRRRRETPGCARRSDETLQRSIKSIHGSENITQCIVMMVAEIHPNLEAPLSLSSPRWTVASPPAAVDPPQAPHRATDRRGDRTSGASFHRFPELAGRRKSEYENGPRSSAARLFGQWSLQCFGLEEG >LPERR01G35170.1 pep chromosome:Lperr_V1.4:1:29377497:29378751:-1 gene:LPERR01G35170 transcript:LPERR01G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCQTLVLKQSHVFIQDSCWAFATMGAIEGLHKIQTRNLVSPSSQEVYDCSDKKFVHSYNKALDWVTHNGGVISEAEHPCTCMQKQECKREKLDKISVSIRGYMERINSEKDLVMAVARQALITAKPLHGQ >LPERR01G35180.1 pep chromosome:Lperr_V1.4:1:29387750:29391622:1 gene:LPERR01G35180 transcript:LPERR01G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQELPPSQANSSLISAAATAAGDSSAGEVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQQHHGKGGGSGVIPSSAAAAAACYASSGSSVGYMYPPPSSSSLQFADSVMVASSSSPVVHDGGGMVNGGGGGGGNGGIGLSMIKNWLRSQPAPAPQPQALSLSMNMAGTVTATALLAGGGGERGRVLPAAESLSTSAHGAVTSCGRKENSVEEGSGSAGAVVAVGSESGGGAVVEAGAATARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVGAAAAKRLKDAESAAAAAVSGYDITPAASTPPRTEPTTTSCTPPPGTPKNDAVSHSVLPPLTPPPSYYNNKVSSAVAGGGGGMYHPYGAVAAQAVRGGGWCKQESDHAVIAAAHSLQDLHHFNLGAGAGVAVAGAHDFFSSAAAMQQQHGLAGGGSIENAAAASLEHSTGSNSVVYNGDGGGGYMMPVSAAATAVASHHDHGGDGGGKHVQMGYDSYLVGGDAYGGGRLPPTAWAPASAAPAATSSSDMTAVSHGGAQLFSVWNDT >LPERR01G35190.1 pep chromosome:Lperr_V1.4:1:29396802:29400224:1 gene:LPERR01G35190 transcript:LPERR01G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEYCLQFQSICKITDFTTLQVPHRVTVSPSPQFLLRINGESGGHRRRREGPGGAVHAPPPPPRNPRRQPRLLPHLLSLLAAGASGPTRDQIVSFLGPAGAAAHAALASKVATVLAAAAAADDDDDDGRLVRFTPAEVRCATAVWADASLRFNPAFAETAAEFYKAETHSARFRENPTEAAAEINGWLDRNTSGLLLNNVDNNIGDFIDDSTAIVLANSIFFNGYWLDRFNPDSTEEGPFYVAGAGAGDVRVRGAPLPTRGVHDGFKVLRMPYITDKPNRFAMYIYLPDDRDGLPAMVQELIGSRPGRSAVPEQPIAVGELKIPKFAASVTVDASPVLRSLGLDVPFRRGSGESSFAGMLMSSPAPEVAVSSVVHQCVVKVDENGTVAAAGTVMMGAGFGRIGDRPVDFVADHPFAFFLMEDVTGVVVFAGHVVNPLLLN >LPERR01G35200.1 pep chromosome:Lperr_V1.4:1:29403392:29403964:1 gene:LPERR01G35200 transcript:LPERR01G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQEVYDCSDKKYVHSYNKAFDWITYNGGVASEAEHPQRYACESFSAYRGGIFTSPCGNTSHAILVVRHGVAAFDIKYWIVKNFYGPNWGDHGYIYIQRVPDGG >LPERR01G35210.1 pep chromosome:Lperr_V1.4:1:29404958:29412214:1 gene:LPERR01G35210 transcript:LPERR01G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVLATVRWATAWAAVLNAAAASTGGAGAAAAAIAAGAIGAHVDSERDLRHLSRLRYKRWLRWTRFGVVITVLQFALALCLLCIILKDFSAGRSSNSRECILGQGKDNSDWKHILLITFIVVMWVTTIVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEEDDICVVAKLLGDLMAYRAYGTGHLELIAGFSLLQKSKLSPVVPKERAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVLTPWTRARRPVLEGDNWWRGHAAAFLKYINVPQEVLIKGRVSQTRREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECCLTEDDLDGLINSDHLSLQVKETVLSSLPHYGHAGMVESARELYTKLEGQSIHQDKPEAMSTGFLSSLLGAGGECHGYNIEIVGHSLGGSVAALLGIRLYRRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAIRALSKDSLPNPVKVGKLIGGIVRTKGDQNVLDHRQSTGALQTVNEAKVRNDQIHGKNLMHTIRGGAFLFGQAISCLVNTPKYRVSTTAAINYELGISRTSILGDRGKCMADSCSVLDVPHFGEPSNACRNGVSSETDLNECSREYRLPCSNDDTELSVDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNDTSSFQKTRATRHKSRKNRSYKAFIANRQDFVDLVVTPRMFLDHLPWRCHYAMQKVVETRKRDKLIHDSSTRDDAV >LPERR01G35220.1 pep chromosome:Lperr_V1.4:1:29425251:29426504:1 gene:LPERR01G35220 transcript:LPERR01G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAAAVATKLRPLARDVTPALERKTTTTAVAAMWRRLQGSDNWEGILDGETLRGEVARYGELVDACYRAFDLDPTSRRHLNCKYGKERMLAECGLAGAGYVITRYIYAAASSAAAGRWIGYVAVSDDATSRRIGRRDVLVSFRGTVTTEEWMANLKSSLVPARLGGAGVNAVNGDSVKVESGFLDVYTSADETSSRFGGAGSCREQLLREVGRLLASFSGEGDDVSVTLAGHSMGAALAMLLGYDMAGLGLNVLAGGEKIPVTVVSFGGPRVGNAAFKARCDELGVKALRVTNARDPITRLPGVFLNESTTAGAGVLRPWRDACYTHVGVELQLDSSFKVGELAAVHDLSTYISLLRADDKKSKQHAAVKREERGGGGVMGKVMEMVGRRRVGALPWHDAAMLQMGGLVQTLGII >LPERR01G35230.1 pep chromosome:Lperr_V1.4:1:29437387:29438354:1 gene:LPERR01G35230 transcript:LPERR01G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSTTLELPCITHATPRRAHHAIVRCSSFAAAAAPARSTAIATTTSMAPVASTTTSSQRAASGSAASVASVWRKVQGDGDWAGMLSPPHPALRREAARYGEMVAACYAALDDDPSSPRHMNCRFPKRRMLRDAGAGDAGYDVTRYIYSSPSRSARASWVGYVAELALDFVDLADLGSMHDLASYVASLREEEEVLADAVPNAGGGLLAMAMGFAAAGGGIGGGALPQWQWKDAAMAVGGLVRSAGLI >LPERR01G35240.1 pep chromosome:Lperr_V1.4:1:29446673:29453748:1 gene:LPERR01G35240 transcript:LPERR01G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTPSHGGMPPPPPQAMGRLGQQPSSLARYGSAPGSLLASIADSVIRGGDHPIPFPATAAGGMVMPRYHYSGESSGLTSCESSCGRTTAAAPPPPPPPPPPPQTLERAYGGSGEIHVSAAMAPHDGGGGGVPLFRHSSSPAGLLSRLMADPHGMAATRGMGSYSGSDAMAQRRLSSQWSFSRQDLPQISEMGGIIPDIGESIVTGGSSSDGGGAGQSSSFLSRNFSMSSWDDTNSNIMFSPPNSSKKARLGDHGDDFSNIDSQFGLSKSSLEMPGMDDFMQLQSDSIACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVNYIKELQGQVQELKNDQANCTCSGKLSC >LPERR01G35250.1 pep chromosome:Lperr_V1.4:1:29451688:29453806:-1 gene:LPERR01G35250 transcript:LPERR01G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNKQRFKSERPTAHSSPLLSLRRFICGGKSIRATGEEREKRREKKAGVRALVRGESNSIPTFSLSPPPSASLALFPSLHEPWRPFHPFQFHPLPLRVRREVEEEFDRRLAARRMSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSDSVQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQMRKPHVWGGEPELLMCSHVLRMPITVFMYTKGSDNPRIIAEYGQEYGKDNPVSVLYDGYGHYDALQPALERNTDNRRLRYLRLFSRTVSRSAAT >LPERR01G35260.1 pep chromosome:Lperr_V1.4:1:29456140:29459026:-1 gene:LPERR01G35260 transcript:LPERR01G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEVEEGPFLANDAKLHAGMCRAFNPAVSKLLVIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQDSLRRVEGIVTEEIGCKIVEIVAELEEVVFTLDQSEKEAGDEVINLLQKTRKTNSSSDSGELEVFHLAALKLGITSSRAALTERRALKKLIEKARTDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRTENMSIPPEELRCPISLQLMYDPVIISSGQTYERICIEKWFNDGHSTCPKTQQLLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDSEATGCVSLESFDSSNVKSVKVVPLDNVRKEEPANSESGTLDDSSCYDFDTNEGYRNLLLMLNERNNTHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGILLSAGVVDLLEQMISNPRLSAPATALYLNLSCLPDAKNIIGMSQAVSFLVDRLFTHEARDTKGSSCKHDALYTLYNLSSHQASVPSLLSAGIVEALHSLLSESPESEGLGWTEKALAVLISLAATQAGRKEIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCTADDKCIAPVLQEGVVPSLVSISATGTGRGKEKSQKLLKLFREQRQRDGGQPAQQQAQQQQPQQQQQPGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLYQC >LPERR01G35270.1 pep chromosome:Lperr_V1.4:1:29469298:29474083:-1 gene:LPERR01G35270 transcript:LPERR01G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRASLRRAVAAVAASAAPCPERYRQGICGSTFHCRYFSSKAKKKTKSSGSDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGHREVPVVSTGSFSLDLALGIGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDSGEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRAKVSTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVVVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKVYLNENESVAKELEMNLRKLMQTEAPKKQEDEGDFLSDLPEETLASEASSEEELAAVMEA >LPERR01G35280.1 pep chromosome:Lperr_V1.4:1:29474544:29477134:1 gene:LPERR01G35280 transcript:LPERR01G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPLLAPHLVSSAAFRARRHRARRGGASLVVAERKTGMDNKSFDLSCSLRKEDDNALNLSPFLRKLFKEVLPGKHNFVATLIEGRDQKKRPTQFEMNQVLQPFDSAKFNFTKVNPEEVIFTFEEAQNDTEKYFDNVPHAVATSSSSILINVSPIGYCHVLLIPRIHDCLPQRVDKESFLLAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPMEKITTLGNGVSIIQLAQYPVSGFVFEGGSCLEDLSDVVSKICIFMQDNNRPFNVLISESGKRIFLLPQCYAQKQALGEASQEFLDMRINPAVWELGGHLVLKRRKDYDEASEATLSRFLVQASLSELEFQELKSCILEFLASATTEK >LPERR01G35280.2 pep chromosome:Lperr_V1.4:1:29474569:29477133:1 gene:LPERR01G35280 transcript:LPERR01G35280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSFDLSCSLRKEDDNALNLSPFLRKLFKEVLPGKHNFVATLIEGRDQKKRPTQFEMNQVLQPFDSAKFNFTKVNPEEVIFTFEEAQNDTEKYFDNVPHAVATSSSSILINVSPIGYCHVLLIPRIHDCLPQRVDKESFLLAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPMEKITTLGNGVSIIQLAQYPVSGFVFEGGSCLEDLSDVVSKICIFMQDNNRPFNVLISESGKRIFLLPQCYAQKQALGEASQEFLDMRINPAVWELGGHLVLKRRKDYDEASEATLSRFLVQASLSELEFQELKSCILEFLASATTEK >LPERR01G35290.1 pep chromosome:Lperr_V1.4:1:29483253:29488004:1 gene:LPERR01G35290 transcript:LPERR01G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAHLVTLSSPAVAFVSPDVLPKLPLRHVSRHVVSGEARRRDLSSLLAVAVGGATLGREVAQRFAAVFPNVQIVQSYGMTEATGPVATMAGPEESAAACGSAGKLAPRLRARIVDSVNGEALGPGRYVGDPEATAATITPDGWLKTGDLCYFNEEGFLYIVDRLKELIKYKGYQVPPAELEHILQARPEIADAAVVPYPDEEAGQLPMAFVVRQPGANLTEQQVMNCVAKHVAPYKKIRRVAFVTAIPKSPAGKILRRELVQQAVASRL >LPERR01G35290.2 pep chromosome:Lperr_V1.4:1:29479491:29483531:1 gene:LPERR01G35290 transcript:LPERR01G35290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIDPRSGYSAETRTFHSTREPGELPPESLPVTATAYAFSLLSSPLPDRPALVDAATGIAVSYPSFLAAVRSLARGLWCSLGLRPGDVALVVAPSRLDVPVLGLALMSIGAVVSPANPVSTPEEYAHQVRLSKPVVAFAVGEVAAKLPPDLRCVVMGSDEYRRMADGGGGGGGGEVVMPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALIALHAENREKVAREAVEAGEEPPPAAVTLVPIPLFHVFGFMMVLRSVSMGETAVLMERFDFLAALRAIERYRVTLLPAAPPLLVAMVKSEEARRRDLSSLLVIGIGGAPLGREVAEQFAAVFPDVEIVQGYGLTESSGAVAATVGPEESKAYGSVGKLASHLQAKIVDPSTGEELGPGQRGELWIFITGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILHSHPEIADAAVIPYPDEEAGELPMAFIVRQPGSNITEAQVMDYVAKHVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQAMSMGASKL >LPERR01G35290.3 pep chromosome:Lperr_V1.4:1:29479491:29488004:1 gene:LPERR01G35290 transcript:LPERR01G35290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIDPRSGYSAETRTFHSTREPGELPPESLPVTATAYAFSLLSSPLPDRPALVDAATGIAVSYPSFLAAVRSLARGLWCSLGLRPGDVALVVAPSRLDVPVLGLALMSIGAVVSPANPVSTPEEYAHQVRLSKPVVAFAVGEVAAKLPPDLRCVVMGSDEYRRMADGGGGGGGGEVVMPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALIALHAENREKVAREAVEAGEEPPPAAVTLVPIPLFHVFGFMMVLRSVSMGETAVLMERFDFLAALRAIERYRVTLLPAAPPLLVAMVKSEEARRRDLSSLLVIGIGGAPLGREVAEQFAAVFPDVEIVQGYGLTESSGAVAATVGPEESKAYGSVGKLASHLQAKIVDPSTGEELGPGQRGELWATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQARPEIADAAVVPYPDEEAGQLPMAFVVRQPGANLTEQQVMNCVAKHVAPYKKIRRVAFVTAIPKSPAGKILRRELVQQAVASRL >LPERR01G35290.4 pep chromosome:Lperr_V1.4:1:29479491:29483529:1 gene:LPERR01G35290 transcript:LPERR01G35290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIDPRSGYSAETRTFHSTREPGELPPESLPVTATAYAFSLLSSPLPDRPALVDAATGIAVSYPSFLAAVRSLARGLWCSLGLRPGDVALVVAPSRLDVPVLGLALMSIGAVVSPANPVSTPEEYAHQVRLSKPVVAFAVGEVAAKLPPDLRCVVMGSDEYRRMADGGGGGGGGEVVMPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALIALHAENREKVAREAVEAGEEPPPAAVTLVPIPLFHVFGFMMVLRSVSMGETAVLMERFDFLAALRAIERYRVTLLPAAPPLLVAMVKSEEARRRDLSSLLVIGIGGAPLGREVAEQFAAVFPDVEIVQGYGLTESSGAVAATVGPEESKAYGSVGKLASHLQAKIVDPSTGEELGPGQRGELWATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILHSHPEIADAAVIPYPDEEAGELPMAFIVRQPGSNITEAQVMDYVAKHVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQAMSMGASKL >LPERR01G35300.1 pep chromosome:Lperr_V1.4:1:29489236:29493863:1 gene:LPERR01G35300 transcript:LPERR01G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANRCSGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPATLGLDPTVANSVHQVINSSLGSVVPRKLQKTVLEGLFSIGRAQLSDFILNEKNPLGSSRLARLRSILDEGKSLQLQFPAEDLGFRYELGALDAEDCTETTYEAEKPKHSKRSREYIPSAKAGSRLPHMLVRALSALNEGVFSTMDLVSGGKPEFVLIIAPLKESYELARALLKVAGELKLPAKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSESVILVRPDEHVAWRIESDRVRDADSEVRRVFSKFCLARPIQ >LPERR01G35310.1 pep chromosome:Lperr_V1.4:1:29493377:29495430:-1 gene:LPERR01G35310 transcript:LPERR01G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGASAASSASGPPGFSYFAVFHNYPLIAALLGFAVAQSIKFFVTRYKEKRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGSALFATAAIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGFVLATTGQMCFAVADVV >LPERR01G35320.1 pep chromosome:Lperr_V1.4:1:29496785:29501005:-1 gene:LPERR01G35320 transcript:LPERR01G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPATATAIFPQISLLHPTPHLRRRLPRRIAVSVSPSGPTDETPADDPPVIPSILIKNTESEEVARRRSWVEHGWAPWEEVMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVESDPGYIERLFATRDTPEPLETAWANGGEIPLRLVPPRDWPPPGWEVDPGELEFIREAHRASSERVDMAAAAAVKGVRNVTSVEDGMDDLALDRYKVFLKQYKEWVEANRDRLEKESYKFDQDYYPGRRKRGKDYKEDMLELPFFYPGQICHGQVISVHLHQGAFVDIGCVHDGWVPIKGNDWYWIRHHIKPGMKVYVELLAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRVPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDRTYESTVETSMVHEENRVEHTEGYFKNTLLKKEVVNIDIKELDLDAARAERQLIKKLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPRNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRKKDRMEAEEARERQRKASEPQEEEVYDDGMDLDLKKMLDPQAPHNRRCDNVDIR >LPERR01G35330.1 pep chromosome:Lperr_V1.4:1:29502254:29508920:-1 gene:LPERR01G35330 transcript:LPERR01G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIVRCFLILLLSTLFMGLGTPLPSLVAAPGAAPRYSTSFFSQGPNQPNWTQHWMERLAELDYVHIASFAILLVWILAEFVRLNKRHRQEGYGVMVSSQRKGATQLPAHIIVLCNFSISLMHICFSVLVFWKHQTVPLGLIFESVSWFLATLFLLYCKHEGAGVVSNWPAVLLSWWFFSFLSESLLTLLHLLHLFNSATVVNFASLPLCTFILLVAVTMRPSKENQQDQNQPLLVRENIDESSIDKFSNSGWWSCLTFQWLNPIFQKGHKVRLELDHIPSVPKSDTADQSYALLQETLHKQKPEPMPLRRAIICSVWTPLITNAIFAGLNTIASYTGPFLITYLVELLSDKNSDKGHGRGYMLACLFFASKIVESLSQRQWYFGARRIGFRVRAALMVSIYRKSLLMKNSSTASGKIVNFLDVDVEKVSEFFWFIHGIWLLPLQISLALAILYRSLGAIASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFDKLLKLRDVERRWLRRYLYTCSAIAFLFWASPTLVSVVTFGVCILVDIPLSAGTVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEEHQGKPSRYDNNTGTKDLSMVGAMEIGPGVYSWEIDNSLKKTKFTLKIDRKLSIRKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALNRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLMRLMSSKTVIYVTHQLEFLRDADLVLVMKDGRIAQSGKYDDLIADRDGELSKQIAAHNQSLSQVTPAKAHGLTKNKQHKRKQTELTEIELDHNVIARECEEERESGRVKWDIYRKFLSAAYGGALVPVILACQVFFQGLQICSNYWIAWAAERQEQVSRQKMIGIFVLLSAGSSVFILGRAVLLSTIAVETAHQLFLGMTRSIFRAPINFFDSTPSSRILNRVRLHSLQYAFLRFGNSYYISSARELARMVGIRKAPVLHHFSETISGAATIRCFNQGENFFRKSLPLIDDYSRITFHNSATIEWLCVRINFLFNLVFFVTLVTLVSLPRNSIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLVIEDCRPRETWPWCGTIQIDSLQVRYNPDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSEGRILIDGVDISLLGVHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLHKCRLEGIVREDSRLLDAPVVEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGMILEFDSPENLLRDESSAFSKLVMEFVGRSS >LPERR01G35340.1 pep chromosome:Lperr_V1.4:1:29516734:29525708:1 gene:LPERR01G35340 transcript:LPERR01G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRIIAKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMFAPSDDVRRASAEAEKRLDSHFQQRRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLIQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLSEEELAGMPLEFLKELENTDGKRKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNDLANRELNILKDLKMKEESDAQFGVEDLLYYMKRAEELKVDLDIGEIKQYFPVDLVISGMLKMFQDLFALRFEEIKDAETWHDTVRFFSVWDASSSDLLGYFFLDIFSREGKYAHTCVMALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSSLLRFPEVVRIFHEFSHVVHHISNRANFSRFSGLQLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDMFAGLKLKQEILLCLVDQIIHTSENVDIDDLIKDLHPKAFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDVISDYLGREPSLQAFIQSRTMNSL >LPERR01G35340.2 pep chromosome:Lperr_V1.4:1:29516734:29525708:1 gene:LPERR01G35340 transcript:LPERR01G35340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRIIAKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMFAPSDDVRRASAEAEKRLDSHFQQRRQREDVYRVIKAFTQKGERIGLEATRFVHLNDSTKFLLLSEEELAGMPLEFLKELENTDGKRKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNDLANRELNILKDLKMKEESDAQFGVEDLLYYMKRAEELKVDLDIGEIKQYFPVDLVISGMLKMFQDLFALRFEEIKDAETWHDTVRFFSVWDASSSDLLGYFFLDIFSREGKYAHTCVMALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSSLLRFPEVVRIFHEFSHVVHHISNRANFSRFSGLQLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDMFAGLKLKQEILLCLVDQIIHTSENVDIDDLIKDLHPKAFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDVISDYLGREPSLQAFIQSRTMNSL >LPERR01G35350.1 pep chromosome:Lperr_V1.4:1:29526171:29528441:-1 gene:LPERR01G35350 transcript:LPERR01G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQSLAAAAAAAAGGESGGGDAAAFGVSCRADEATAPSNPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPPQSHHAHGLSLRPRRASEPPPVTGGGVGGGAPYRGYLPHAVVSSSPRAAAASARRRLPIVVQFHGGGFVSGSSSSAANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKATCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >LPERR01G35360.1 pep chromosome:Lperr_V1.4:1:29533427:29536549:1 gene:LPERR01G35360 transcript:LPERR01G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVESNVQHGDSAGGRPSSTKKDRRNTWASAFILVNNFLQYTAYFGVSTNLVNYLKFRLHESSKSAANNVTNWQGTGSITPLLAAYLADAFLGRYWTILIFIIISILGYGVVTVSAAAALQGSVFYAGLYLVALGGGLLVVMAPFGADQFDESDEVERRRQSSFFNWFYLSLNCGSLIGGTVVVWVQTSVGWGIGYGIPAIFCAISAAVFLAGTATYRRDRLPGGSPLTRIAQVVVAAVRKYDVVVPADASLLLHECDDVDGDVGDAGDGVIQGGRRLVHTAQFSDKASPSPWRLCTVTQVEELKCVLRLLPVWATGIIYAAAYTQMSTTFILQGDTLDPRVAGFKVPAAVLSVFDTLSVMLWVPLYDRAIVPLARRATGHDRGFTQLARMGVGLVVLTLAMLVAGALEVARRRVIARHGILDDGYLPMSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDGMRSLCSALSSTSFALGNYASSAIVAVVARATARGGRLGWIPDDINRGHLDDFFWLLAVLCVGNFAAYLVIARWYTYKKTVD >LPERR01G35370.1 pep chromosome:Lperr_V1.4:1:29540953:29542515:1 gene:LPERR01G35370 transcript:LPERR01G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPCWTGSPNSITHCTKSQQQIDHLTLTTQQQDASVCTNQEVDYYRYYDLDEAAAFDCDEAELVSRVTKATRVDHFSSPSWPTPPPPPPAATAETSRARKQSFRDVVESCKQKVEAMEAMETPSPAFQECDGGDHGGGGADGMRLVQLLVACAEAVACRDRAQAASLLRELHAGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASISLCLPSPSSCVARGEALALAYELCPYLRFAHFVANACILEAFEGESSVHVVDLGMTLGLERGHQWRALLDALATRATGKPSRVRLTGVGARPDAMRSIGRDLVSYADAIGIRLEFNSIDRTLESLDANDIDTTSGEAIAINSVLELHSVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHLGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAARAREWLDANAGGVGGGYTVAEEKGCVMLGWKGKPVIAASCWK >LPERR01G35380.1 pep chromosome:Lperr_V1.4:1:29553861:29557924:1 gene:LPERR01G35380 transcript:LPERR01G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSHIDDLNLKYIQSLNDSTKFLLLSEEELAAANRSSSFFLIFKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKMEEENDAQFGVEDLLYYMKRAEELKVDLDIGEIKQYFPVDLVISGMLKMFQDLFALRFEEIKDAETWHDTVRFFSVWDASSSDLLGYFFLDIFSREGKYAHTCVMALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSSLLRFPEVVRIFHEFSHVGDFAEIPSLLLENWTLRSLHLEQFHLGAEIRNVVGCEGGPVASPDEPGRVPVGARRERRRRRRRRRRDASSWVGRRNRSSPPPAGNARNIMGISISDE >LPERR01G35390.1 pep chromosome:Lperr_V1.4:1:29559105:29559632:-1 gene:LPERR01G35390 transcript:LPERR01G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQKGHIWITHNEYMHRSFMLNVVLTICAIMSVFCGSFIYRKDRAVGMASAAALFVGVFAYVKASTLLHWDAFPRFVIAVLDAGLLLMMGVHIIMLCILSFVPQNGAVFAILLAWAFVIVMAVLTGWILHVDRRLSAAAEFVELRDTAAWILHADGRLSAVEGDTTYVMLATT >LPERR01G35400.1 pep chromosome:Lperr_V1.4:1:29563506:29565833:-1 gene:LPERR01G35400 transcript:LPERR01G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSQNPVGKELGHVSFIFGILSLLIDLVPLFIATVVTRWQLAAKCFSPFPLILGALLNLVLAFHALFAIDTLKHKTTLFISIAGFILDSVYLFLLGLQKSTQDMFRCMGLSLMAISLAMLCVGSSVLICLIDAGFHVTEDNGKGMFWFTIAVVLATNFCSLIQPYKDVIGDGLFDREVPPCIGMFVDVMAAIDSSYFIVYALVLSKIDELLMIVSIINGTGAIIQLILLLIKNNKDYNFDVDGHQRFIIPKRIRFD >LPERR01G35410.1 pep chromosome:Lperr_V1.4:1:29571114:29573836:-1 gene:LPERR01G35410 transcript:LPERR01G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFPFHPSTTHPFSSANVYPPPPPAFASSHSLALAAILIGTDWNPARLIRRRHWSAIAPDHVADLGAEVELLDARRGHNVTIHTQETSLSARTQRLPPGVTTMSPFAEESSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLQGILN >LPERR01G35420.1 pep chromosome:Lperr_V1.4:1:29574390:29575007:-1 gene:LPERR01G35420 transcript:LPERR01G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSQQKYNKKLVRIREQLEVPPSSPQAAPVKVHIWITQDEHKFRLFLLKVALTGVAIMCVVFGAAISPKIGSLSLGVALAAAQFVGFFTCVRTPMLLHWYIFPRWVIAILDAGFILVVGVDAVICCILYLEIENGIRFGSHFTWSFVVVLAVLAGCVFGVDRRLSSADFEEFKGDQICKLALVRPVEFEELKADKNCNLAVMC >LPERR01G35440.1 pep chromosome:Lperr_V1.4:1:29586847:29587414:-1 gene:LPERR01G35440 transcript:LPERR01G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDSCYFVIYAVVLCEMGSLLMVVSGINALGAIALFVILLIQKDKHTKFNNARRVHRA >LPERR01G35450.1 pep chromosome:Lperr_V1.4:1:29588043:29588495:-1 gene:LPERR01G35450 transcript:LPERR01G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDEVFGAKEVGRVSSFFDTMSLVLDFVPLIIAVFFDWKRTMKHVSPFPLFSGTIVNVLLVYHIMFVVDTEKHKATLYVATVGLVMGSVYVFLVGVRDSASGDKWSGIFWVCKLK >LPERR01G35460.1 pep chromosome:Lperr_V1.4:1:29593716:29596831:-1 gene:LPERR01G35460 transcript:LPERR01G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSNCTTATAFLLLLECLLFVPERVRHVRQRVRAPWPTWPAMRVRPPLRVHERVADQMDGIQVPEAQEVGHVAFIFNSIDVTLRRSHSTGLLLGSILGKTPFLHNSVKTKTSGLLAVLFENWNLVPKCFSASGFLSGALMNYMLAYYIMFVAEMDKHRSALFIAVAGFILDGVCLFLLGQRIYWKPTLRVNYENFQSESYDVDPMFTATFAYTKTQLLSHRDDGFPLPRVVAFLDASFVALAVVDVILISAVRLDRLIPFAVFFAWAYVAVLLVLTDWVLRVDRRLCAADEGDLVCV >LPERR01G35470.1 pep chromosome:Lperr_V1.4:1:29603627:29610702:-1 gene:LPERR01G35470 transcript:LPERR01G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVKRTGSTVYATTRRGSIYSERRRRRRSNSPIWADAARASSGAGSAPPRASAVRPRRPSSWRLAAAANILESSGYKRGRGHPSACRTNLKAIQIKSTPSILPLAPEAIVLASTRSTSSTFRGPCAVQNLARVRTRRRREPQIVSRRAAPWRARPHIPNSPPNQAYSDIPFPSSLCPPWARERRREQAAMPLVMAKLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLRLSKTDVAVKVQHPGAEKLMMVDIRNMQALALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIWEFLRVTNKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPDDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTTMSPFAEDSSLNKIGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKDARSRRAQRSFVRRLFWRDNGNQET >LPERR01G35470.2 pep chromosome:Lperr_V1.4:1:29603627:29608264:-1 gene:LPERR01G35470 transcript:LPERR01G35470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLRLSKTDVAVKVQHPGAEKLMMVDIRNMQALALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIWEFLRVTNKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGVLKPSQKNTVLLKCRKILTDLTLAYGQMILKDALLDYGQVKAMPDDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTTMSPFAEDSSLNKIGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKDARSRRAQRSFVRRLFWRDNGNQET >LPERR01G35470.3 pep chromosome:Lperr_V1.4:1:29603627:29608264:-1 gene:LPERR01G35470 transcript:LPERR01G35470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLRLSKTDVAVKVQHPGAEKLMMVDIRNMQALALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIWEFLRVTNKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPDDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTTMSPFAEDSSLNKIGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKDARSRRAQRSFVRRLFWRDNGNQET >LPERR01G35480.1 pep chromosome:Lperr_V1.4:1:29608494:29611822:1 gene:LPERR01G35480 transcript:LPERR01G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGAVVVRRMHAAAQTPRLKKLELHPPRSVEVEFANGSSFHLSAEFLRVHSPAADSKIRSISGEKVIFGRRHVGVMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFSLMRTYIRTLRKHGLSRDPQRRK >LPERR01G35490.1 pep chromosome:Lperr_V1.4:1:29611706:29619463:-1 gene:LPERR01G35490 transcript:LPERR01G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYAGRTVVAGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDDEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSDIQEMDKNISTLAAEKEAKLGGEMKTLSDRVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSIIDRDAAVKNAEDGASDMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKEKKAQLVSKRDEATVAENELKARKKDLETIDASMRSVNYEEGQMEALQKDRSIELDVVQKLKDKVRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDGSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTSTIPERVQQAARRLVGAENVTLALELVGYVQEVKNAMTYVFGSTFVCRNMESAKEVAFNRDVGSTSVTLEGGGDLLRQLHELAKAEADLADHEKNLSVIEQKIAALLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKEKQEQHKKSVSTVSELEKTIKTYGTEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLSRHRTKVASIKQDYDQAESELNVGRSKLKECDSQIHCMTKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFTSCESHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKTKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >LPERR01G35500.1 pep chromosome:Lperr_V1.4:1:29620497:29624505:-1 gene:LPERR01G35500 transcript:LPERR01G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGAVAATLLLLLCPSEAAAVGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCGPDQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSAEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIEPLILHYGLPFKVGNWSFSKLEHHEDGIAHDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHTSVGCPKPQWSKYLSFLKSRRFSELTKPKYWNGQMVETRKTVQHVVSLKQDNKHPKIHTLFSTECTPYFDWQTVGLMHSLRASRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNKHPLTGDWYPAINKPAAVLHWISHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLHHIIRRDILIYPGYVPVPEVKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPATVMGEDQSARERDLLSIECGRALNKALYWHHKRRNCPQPSTFDSTSKKLEKVTTTNGSEGGKTENSDGNWRKSHIDVAKRKTVKRIAAAISHVHRARRLTRSSRIWIVAVWAASVAMFLLVISMFFTDRRRGVSRSRVSRSQKAHV >LPERR01G35510.1 pep chromosome:Lperr_V1.4:1:29626654:29630284:-1 gene:LPERR01G35510 transcript:LPERR01G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNGFEGLDEKNLFMRSDSDMMRKRKDMDKDHADQESSDGTTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPNNIANGYPHASQNVQTQTNMLDSQLEDTKSTVALSVLDKKRTIATDVADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWSGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYTPPPLISMSCSMEGDARDFSDVKPALMDCIKSLSPALTCTVDSVSVQLSDSVVTSTDGDLKFSCVDGLPSIKDCSLDQTNSQGTLRPSQEPSIIGGTELASLPDDLPSYPLHGLSFENIGLSSIDLLHYSDAMILSGLQSNWYDDVEFSSDMMDYPSIDECLFA >LPERR01G35510.2 pep chromosome:Lperr_V1.4:1:29626654:29630284:-1 gene:LPERR01G35510 transcript:LPERR01G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNGFEGLDEKNLFMRSDSDMMRKRKDMDKDHADQESSDGTTVKKARVVWSVDLHQKFVNAVNQIGFDRQLMLAEVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPNNIANGYPHASQNVQTQTNMLDSQLEDTKSTVALSVLDKKRTIATDVADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWSGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYTPPPLISMSCSMEGDARDFSDVKPALMDCIKSLSPALTCTVDSVSVQLSDSVVTSTDGDLKFSCVDGLPSIKDCSLDQTNSQGTLRPSQEPSIIGGTELASLPDDLPSYPLHGLSFENIGLSSIDLLHYSDAMILSGLQSNWYDDVEFSSDMMDYPSIDECLFA >LPERR01G35520.1 pep chromosome:Lperr_V1.4:1:29641785:29644000:1 gene:LPERR01G35520 transcript:LPERR01G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPVEREGSGGHRDRGHIQNARGVPACRRRASHTPIHGWYRARSSGADSTGIWDSDATCTNESLLSAVDEILLLAEIRAFPMASHARRTMEGALVVATSCLVEEFRRVRVWDASQMEVRLGVRFAAVGKLFSVTSAAGGSGGVSMSLAFPTTTGSISTGSTIDEMYASGDGSQSTAADALTVVLDGEFFDELDLICPASLSVLHQIALRVIRAGFTKEFLQAFTNAPCDVMDRFLSMLRLECALETEQISFEDTEWWTTEDMIKRWISTTKLVAKLLAIMQRQLDAQRCGAFDMFKDDYFMAIAKRSIFVLLRFANGFTTTESQDKLVYVLEMYEALSDATPRLLLLFTAQRVGIVSRQVEVVLAKLARAVRVMVGGLVTRIRTADFPQCAARGVGVGVHPLTRYAMNCVELLSPHRAALDLILSNGVAAGADESVNSLGSLVAVLVESLERNLEEMSLAVLTDDDDAAVASWHLFLATNAIFVARRGVESSLLGDEWAARREGLVARHMASYVEACWAAVVACLETAGRKPAKVVAKFGAAFDEAYGSQVRVEVADPALRDALRKAALEMVVTGYRVYLQKHPELVRNVSYTEGEVEQLLSELFEGEAAERCNRP >LPERR01G35520.2 pep chromosome:Lperr_V1.4:1:29639596:29644094:1 gene:LPERR01G35520 transcript:LPERR01G35520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGKEAPAETRLAVAEQAILQWNRCPGADTGIWDASAACTNKRLLYAVDEILDLAESNPFPASSAFAARLDNAVRLAMSRMMDEFLRLRVWNASSHQLRFAVDKLSAVGASANALWLATFGGDGGSISSASTVGRSSASSSPCDVAALLDGEFMDELQLLSPASLLVLHEIALRVVRAGYTKELVQKFTNSPCDVLDRFLSIFQVECSRQTTEIVIKRWSLATKLVGKALIVMQRQLDAQNSPGAFDALKGEYFLAITKNRILNLLKFADDFTRITSHEKLVYILGMYEALSEAAPGLLLMFTGPHKEIVSERSQEILGKLATSIKAMVSSLVAKVRDDVLSYIQINSVAACGGGFGVHPLTRNAMACVERLAPHHETLDLILASGGGGDVSSLSDLASRIVGSLDRFLLLINEKSVVVAASSSWHLFVANNAEFVLQRCRPLPLGDEWAARREGVVALHVAGYAEACWAPVVASLKTTGSRKPAKVVAGFSASFDKVYESQVRCEVPDPVLRDALRKAVSDMVVPAYGVYLKNQPKLEKNVRYTSAELAQRISELFEGEAAVRNR >LPERR01G35530.1 pep chromosome:Lperr_V1.4:1:29644527:29651029:1 gene:LPERR01G35530 transcript:LPERR01G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPVMKPLNFGVHWYSTGQSLILGSFSIRIFLACFFVFGRTGSRLGSSSATSSSFAEDSEHLSSELVDTYMNRQFRLAGTQIISLFGRNTKYLHSED >LPERR01G35530.2 pep chromosome:Lperr_V1.4:1:29644527:29651027:1 gene:LPERR01G35530 transcript:LPERR01G35530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIRKNQSRQLKNNRTCDQSCVCSLKMKRYLKHILAPAAPADCLQTRSWFWVIASYRPCLCALDLSAFLAGDSRNHLRSFT >LPERR01G35530.3 pep chromosome:Lperr_V1.4:1:29644527:29651029:1 gene:LPERR01G35530 transcript:LPERR01G35530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVSQTQPHSPAKSECVFKIDNGALQPTMILKKDGIVGMGLDFSEEEALLLQIHRVRLHCNGQHRNVYTRFFQSGRLYQICPHYQISKQRSLIS >LPERR01G35530.4 pep chromosome:Lperr_V1.4:1:29644527:29650994:1 gene:LPERR01G35530 transcript:LPERR01G35530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVSQTQPHSPAKSECVFKIDNGALQPTMILKKDGIVGMGLDFSEEEALLLQIHRVRLHCNGQHRNVYTRFFQSGRLYQICPHYQISKQRSLIS >LPERR01G35530.5 pep chromosome:Lperr_V1.4:1:29644527:29651029:1 gene:LPERR01G35530 transcript:LPERR01G35530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVSQTQPHSPAKSECVFKIDNGALQMKRYLKHILAPAAPADCLQTRVRLHCNGQHRNVYTRFFQSGRLYQICPHYQISKQRSLIS >LPERR01G35530.6 pep chromosome:Lperr_V1.4:1:29644527:29648943:1 gene:LPERR01G35530 transcript:LPERR01G35530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAYSTHAMRRSDLEETFSLQLLHSRLPTPMHSKVASGYLGVSVLAEIPNICTVKTDLAICLNTRNSPMLMS >LPERR01G35530.7 pep chromosome:Lperr_V1.4:1:29644527:29647856:1 gene:LPERR01G35530 transcript:LPERR01G35530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAYSTHAMRRSDLEETFSLQLLHSRLPTPMHSKVASGYLGVSVLAEIPNICTVKTDLAICLNTRNSPMLMS >LPERR01G35530.8 pep chromosome:Lperr_V1.4:1:29644527:29647425:1 gene:LPERR01G35530 transcript:LPERR01G35530.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAYSTHAMRRSDLEETFSLQLLHSRLPTPMHSKVASGYLGVSVLAEIPNICTVKTDLAICLNTRNSPMLMS >LPERR01G35540.1 pep chromosome:Lperr_V1.4:1:29645024:29649066:-1 gene:LPERR01G35540 transcript:LPERR01G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASSSEKSSPMPTIPSFFKIMVGYFSETMDIPLPFARTITDLEGSIIYLEDAFGLRWRVWLCLRDGVLHLVMGGRTLCSITTSALASSWQIARSVFTVQIFGISACERMHHCEKNKRQSRKMKPSQKTDYPANNQMVKMWINVERNNAPNRDMIWVPANLNCLFMYVSTSSELRCSESSAKELDVAAGESHEVVEVPKRAANDCTTECNATLLMADKEPARSHQDSPMQLYCALGLEDGNAATEDCEDSKILENAEQRTPLATMDLNEASIDDIFLSADIYEFDSDFCSPEAFSFDLNNEGLATNGQSSDIYFGMPATSTCLENKQMSDVPITSADGGSVTVHGIEINVLPAWPDAYKRVNIFCASLLRATKLQKKQDILEDGPRQTAVEIMPSSPKTSELAELRKNSVQPGNNCEGQEKGRTKSLAILAVAANNKKFCIAVPPLDQTWLELPRRLPVLPKTKKQARKILILKDPSMRLWPVLYQCTPKFNGFITGWADISRENNLHEGDTCVFELCSKTELSFQVVAPNSQ >LPERR01G35550.1 pep chromosome:Lperr_V1.4:1:29652896:29657838:-1 gene:LPERR01G35550 transcript:LPERR01G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQDPVAIGVGHHCLPLPWCASLLVVVAFEDESTYHRLTRVQARGRIQPIHPTAHSPQAAPSHQTNPTAPHPPLHTETKEDATLPSSAAAAAAPPSFPRSLPLSSPTAADRPPPPPSLFPFLAEAAGPPSPPPLFPSAAEAEAEAAAAMRRGGRDPRPDGGGGPSTATGDPGWPIHHIHYFLIVGLVNPSFAKYNDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVQSGLEALFMPNDHKNTTPNGASAHLMRSITLSMVSDNFNMPDLTKLTFSRRRFQVAKSGVKKKKKLTRCGSNPSFVVKEPLGPLLIPDGTNGHIPYKGSIQT >LPERR01G35550.2 pep chromosome:Lperr_V1.4:1:29652896:29657838:-1 gene:LPERR01G35550 transcript:LPERR01G35550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQDPVAIGVGHHCLPLPWCASLLVVVAFEDESTYHRLTRVQARGRIQPIHPTAHSPQAAPSHQTNPTAPHPPLHTETKEDATLPSSAAAAAAPPSFPRSLPLSSPTAADRPPPPPSLFPFLAEAAGPPSPPPLFPSAAEAEAEAAAAMRRGGRDPRPDGGGGPSTATGDPGWPIHHIHYFLIVGLVNPSFAKYNDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVQSGLEALFMPNDHKNTTPNGASAHLMRFQVAKSGVKKKKKLTRCGSNPSFVVKEPLGPLLIPDGTNGHIPYKGSIQT >LPERR01G35550.3 pep chromosome:Lperr_V1.4:1:29652896:29657838:-1 gene:LPERR01G35550 transcript:LPERR01G35550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQDPVPPCWSWSHLKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVQSGLEALFMPNDHKNTTPNGASAHLMRFQVAKSGVKKKKKLTRCGSNPSFVVKEPLGPLLIPDGTNGHIPYKGSIQT >LPERR01G35560.1 pep chromosome:Lperr_V1.4:1:29664101:29667330:1 gene:LPERR01G35560 transcript:LPERR01G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEYSTHATRRGDLEEAFRSYLRMRKLTGTMQEVVLPTYVSPASDETVELWGALVQHRPESHAGILEY >LPERR01G35560.2 pep chromosome:Lperr_V1.4:1:29664101:29664965:1 gene:LPERR01G35560 transcript:LPERR01G35560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEYSTHATRRGDLEEAFRSYLRMRKLTGTMQEVVLPTYVSPASDETVELWGALVQHRPESHAGILEY >LPERR01G35570.1 pep chromosome:Lperr_V1.4:1:29664509:29667480:-1 gene:LPERR01G35570 transcript:LPERR01G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELITVLKIEQEENNADSHGEERADVHEEKTEKSRPMPTLPSFFKIMVGYFSETMDIPLPFAKTITDLTGSNVYLEDAFGLRWRVRLCLCDGILSFGHGWKNFVLDHDISIGEFLVFRLIARSVFTVQIFAISACERMHHCERNKRQNKKRKPSKKIDYPANNQMVKVSNKDVDKRRKKQRTEQGYDPSPSQPELPVHVCIDSGSDLRCYESSVKELLDVAAGESHEVVEVPTGAANDCRTECNATVMTADKESIRSHQDRPMQLYCTLGLEDRNAATEECEDSKMLDNAELRTPLATMDLNEASIDDIFLSADIYEFDSDLCSPEAFSVYLNNEGLAKNGQSSVDCFGMPETSTCLENKQMSDVPITSADADSVAVAVHGIDINVFPTLPSSVLSEIGQDNSSSYIDADAYKKCKYVLCLPSEGNQVSQKGNCSVKQDFLEDGPHQTAAQIMPGRPKTWELPDVRKDRSQSGNNCEAQESRSTKSCVFLAAAANNKKFCITVPPPDQTWLELPRRLPVLPRTKKQARKILILKDPSMRLWPVLYQCTPKFNGFITGWADISRENNLHQGDTCEFELCSKTELSFQVVAPNSQ >LPERR01G35580.1 pep chromosome:Lperr_V1.4:1:29673997:29677550:1 gene:LPERR01G35580 transcript:LPERR01G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAIIYPFLQQLEGNLMEKDCKEKGWCKEAASGDGRKLYADDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYMRSQSCPFCRGSLKRVRSTDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >LPERR01G35590.1 pep chromosome:Lperr_V1.4:1:29678865:29680802:-1 gene:LPERR01G35590 transcript:LPERR01G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRTLRELLFTTPGCLQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEQHVLLEGSLLKPNMVTPGSDAKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWGGKAENIEKAQKAFITRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >LPERR01G35600.1 pep chromosome:Lperr_V1.4:1:29683532:29688014:-1 gene:LPERR01G35600 transcript:LPERR01G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAAADAVLAAASRAFCSPAAVFIQIQGCLICLTLGLGWAVAAFVRKKEIRRMKHIIVDGNSFAFLCDDIDELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFIVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGFSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVVTALRAPYSEIVKDASTASCELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIDRENKLKYTDLGGKHLFGKRTYPPKTSLLGYLSRTLAQWHQPKKYDV >LPERR01G35610.1 pep chromosome:Lperr_V1.4:1:29692449:29700586:-1 gene:LPERR01G35610 transcript:LPERR01G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFSSLGTGKETEDPTLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGGTTTRNKESASDDENEETGHNKIVSDIRKKYEKKLAVPSHEIGHDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTNILSSSMQVFLVIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPQLAGKVDISEVQDEFSAVITKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKTDQQTILEDFNKHSPAIKHPAVAPTVAPRVTTASVHIAPVATPTVSITPSMATLTGAIANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >LPERR01G35620.1 pep chromosome:Lperr_V1.4:1:29701488:29702567:-1 gene:LPERR01G35620 transcript:LPERR01G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKLVLKLIESEKKRKATYKNRRDGLVQKVSQFATLCGVDAFLVCYGSDVAGGEVTTWPSDRATVVERIEKLRALRPEKIRQVHTTGSLLREDLAKQQRALIKVQQCGVYDILMPSSGYRFDELSLDGLNALYDALSVTLEMAHRRMATLRCGGGGDVVVGGHDDDDASSSAALVAVPAPAPYAVALPDHNSAVGPFDFPFVDAAHYYYPVLHDTMPMPLPVYHHLPSSCLSYPMPPPPPPLAAAAFDQGFMDSSSLYAAANVVHGGAGLGNPLLDDHSHGFAAGVGYGDDLLAHGFAIAAGAGAGYDLDPRMSAADVWQMNAISNPNDGVAFQLQNDLKGILPGGGNSGSNLRAGF >LPERR01G35630.1 pep chromosome:Lperr_V1.4:1:29716876:29718119:1 gene:LPERR01G35630 transcript:LPERR01G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIDSGCGGGGGVVSADICAMVAEHEKIEWEVRPGGMLVQKRRSPADDDGSSMTSGNSGAAADTILVRVSTGWQWHEVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >LPERR01G35640.1 pep chromosome:Lperr_V1.4:1:29721753:29726971:1 gene:LPERR01G35640 transcript:LPERR01G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDESSPPLPTSEGGETSGAPSTSSPSDTSGGGGGEPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLSSTEIHRSPLPSDAIAALSRRLQSNPPSFPYGLHAVFLRSGGAPSEASVANRIGRDISAQLVDLPNVSNAGNTLISVTVESAGGCSSSSNVASHWRCRAVITADLVRGDEVFDELLHSALGGGGGDGMRVYTVVFVESDDVEELRIVIGKHRHAWVVGKVNEAEVASIVGKVFVKYFMNGGAEEGEPGIVKREFMPVGSDGNIVLSFSLLNANPSDWVYDWEFGKIGERMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDEKLGGNVLSMGDIPFFMWGGVVIWNPPGCSLGSKPHGTLDKMPSQELMETIEIFIGQLRQLFGLKSSYHAQSTDSVTKFITSPKGFAQWELDLLYRHHARSNLLSCITTLESLSSLVQSLPRMIVMDEIGRQVELSLEAAGLAQRNASLGIISATRARALAEDAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYRVERRKYSAFLVSQAKSS >LPERR01G35640.2 pep chromosome:Lperr_V1.4:1:29721753:29727052:1 gene:LPERR01G35640 transcript:LPERR01G35640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDESSPPLPTSEGGETSGAPSTSSPSDTSGGGGGEPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLSSTEIHRSPLPSDAIAALSRRLQSNPPSFPYGLHAVFLRSGGAPSEASVANRIGRDISAQLVDLPNVSNAGNTLISVTVESAGGCSSSSNVASHWRCRAVITADLVRGDEVFDELLHSALGGGGGDGMRVYTVVFVESDDVEELRIVIGKHRHAWVVGKVNEAEVASIVGKVFVKYFMNGGAEEGEPGIVKREFMPVGSDGNIVLSFSLLNANPSDWVYDWEFGKIGERMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDEKLGGNVLSMGDIPFFMWGGVVIWNPPGCSLGSKPHGTLDKMPSQELMETIEIFIGQLRQLFGLKSSYHAQSTDSVTKFITSPKGFAQWELDLLYRHHARSNLLSCITTLESLSSLVQSLPRMIVMDEIGRQVELSLEAAGLAQRNASLGIISATRARALAEDAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYRVERRKYSAFLVSQAKSS >LPERR01G35640.3 pep chromosome:Lperr_V1.4:1:29721462:29726695:1 gene:LPERR01G35640 transcript:LPERR01G35640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDESSPPLPTSEGGETSGAPSTSSPSDTSGGGGGEPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLSSTEIHRSPLPSDAIAALSRRLQSNPPSFPYGLHAVFLRSGGAPSEASVANRIGRDISAQLVDLPNVSNAGNTLISVTVESAGGCSSSSNVASHWRCRAVITADLVRGDEVFDELLHSALGGGGGDGMRVYTVVFVESDDVEELRIVIGKHRHAWVVGKVNEAEVASIVGKVFVKYFMNGGAEEGEPGIVKREFMPVGSDGNIVLSFSLLNANPSDWVYDWEFGKIGERMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDEKLGGNVLSMGDIPFFMWGGVVIWNPPGCSLGSKPHGTLDKMPSQELMETIEIFIGQLRQLFGLKSSYHAQSTDSVTKFITSPKGFAQWELDLLYRHHARSNLLSCITTLESLSSLVQSLPRMIVMDEIGRQVELSLEAAGLAQRNASLGIISATRARALAEDAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYRTYCACELANQAKI >LPERR01G35650.1 pep chromosome:Lperr_V1.4:1:29727240:29733966:1 gene:LPERR01G35650 transcript:LPERR01G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPPAAAEPVPPWLKSLPLAPEFHPTAAEFADPVAYILKIEPAAAPYGICKVVPPFPPPPKNSTLSNLSRSFAALHPDDPTPSFPTRHQQVGLCPRSARRLGVKPVWRSSRRYTLPEFESKAGTSRKSLLARLNVAASKQLAPLDYEVLFWRAAADRPVVVEYGSDMPGSGFSPRVKTQPPPPPQSRAAAHVGETAWNLRAAARSPGSVLQFMREDVPGVTTPMLYVGMMFSWFAWHVEDHDLHSLNYMHLGAGKTWYGVPRDAALAFEDVVREHGYGGEVNPVGSFATLGQKTTVVSPEVLVESGFNCGEASNIATPEWLRVAKEAAIRRASVNCPPMLSHYQLLYEHALSTRFREPSNETRSSRLKEKKKCEGEQLVKKMFIRNVIEDNELLSHLLNDGSCIIFPAIAHDGPVLSALSSRYQSKMTSRISHDLCSREEAPEALGSLTLSRTGHAGNTHSIQGDKGDTISTTGLLDQGLLSCVTCGIISFSCVAVLKPRDCTARYLMSADSNSINNQLSVSGGSTLADALTNKGNGVISRPYSEQCCNKIKSDDAEIDRKSALDLLAFAYGDQSGPEEDPLKKILKVAHNPDELLRGINESQPNSSSNVGCVGTKLSSSSTECLERPSSQNANCIGSSVISTRPKGVRTRNKYQLKMILSEGFQAKDMHSAKEKKDQSEQSSSKGIVKETVDVSCIENVAGCNSTKISVSEHRGSTKDIYSAKEKKVLSESSSLKGTIKDTVDVSGSENDARCNKTTISVGDHRDSTPTINSLATSIMKPDKDSSRMHVFCLEHAIEVQKQLHAIEYPKIEAEARLLAEEMRVEYDWKDIHFKEANMEDKQKMQEVLQDEEVIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVISRAFGCNSPNSSPVKFITCERRQTHQKKIAVAGRWCGKVWTSKQVHPYLAHRVESQEVEEEDKIWSYRLDEKHRAEPVGNSSRAEASKRKRSSLTEVTESSNRREEIPGEETNTKKPKHSEEDNLRGLGSAAGVVAPSSAGIALRNSSRIANRARADKLKSKMEEEDGPYNHPKSNIKETSSHASGQKSNIQENANSASHSRARPPKQKTEAEANKQTTPKAPKQAVEYSCDIEGCTMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLLCPWEGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCALTFRFVSDFSRHKRKTGHSGKKKKKKKARS >LPERR01G35660.1 pep chromosome:Lperr_V1.4:1:29735035:29736138:1 gene:LPERR01G35660 transcript:LPERR01G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSWRVLLAIALMAMAAVELCAAIPFDERDLESDEALWDLYERWQAHHHVSRHHGEKHRRFGTFKANVRYIHAHNSRGDRPYRLRLNRFGDMATDEFRATFATSRRAAHDVAGNPMPGFMYEGARGLPRAVDWRKKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEFIKHSGGITTEAAYPYRAANGTCDAVRARRTPVVVIDGHQSVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFTGDCGTDLDHGVAVVGYGVTDDGMEYWIVKNSWGTTWGEGGYIRMQRDAGFDGGLCGIAMEASYPVKFSPNRVTPRRALLGAKETQ >LPERR01G35670.1 pep chromosome:Lperr_V1.4:1:29745883:29749249:1 gene:LPERR01G35670 transcript:LPERR01G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWVGCRECRLGQLYAAAAASPPTTWPPQHVWPHDDNRGLVLGQAVWAHFAAASTVPDDGASRGSLVVLNSLPGVSVFELREVFQAFGDVKEVRESAQRPSHKFVEFFDTRDAARALAELNGQEIFGRRLIIEYTRPSLPGGQHRRGHVSHQPLAPTPPRLQAAWRPAAPSPSPAPATQAAAQPSSSSSGKSREGVVLLRRSSGKGSSGGQSKGGGNAGHERKGKGGKNAAATACSSTASATSSSSSSSRQGQKGSGGGGRGGSWRGQKSGWEARFLFKEQPDAAAPAAVAGGDACETQETAATCKDARTTVMIRNIPNKYSQKLLLNMLDNHCILSNEQIEASREDGGGNGQPLSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEPVPLVGRSPAPSSPSGASSPPKSRAASVDPLAQELMAAPSSSGDGASSTAEEEHDGNDDRGDDDDYDAGLDLELRRLGYTD >LPERR01G35680.1 pep chromosome:Lperr_V1.4:1:29765299:29768095:-1 gene:LPERR01G35680 transcript:LPERR01G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSPPPAPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPNDRALWEQELAKKRSQYAAFKEEFLSNPMEIARRQELEGQASENAEHTNSGLLHRSEVTQEEHPLSLGKTSAWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLLFVFRNDPDDKNANFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >LPERR01G35690.1 pep chromosome:Lperr_V1.4:1:29773088:29777702:1 gene:LPERR01G35690 transcript:LPERR01G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGTRPAVAGDASAVDVDVVTPGGRRRIPAHSSVLASASPVLESILHRRLKKQRDAAAAGGGGGKIGRAVVRIRGVTDDAAAAFVRVLYAGSSGDYEEIEEKSAVQMLVLAHAYRVPWLKRRCEEAIGSRLTAETVVDTMQLAALCDAPQLHLRCTKLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRRRKWRRKRAEQGVYVELSEAMECLGHICTEGCTEVGPVGRAPAAAPCPAYETACRGLQLLIRHFSRCHRTSCPRCQRMWQLLRLHAALCDLPDGRCHTPLCMQFRRKEEEKEKAKVKAGDDDDKWGLLVKKVRVARAMSSLGKRRPKSCSQC >LPERR01G35700.1 pep chromosome:Lperr_V1.4:1:29778221:29783584:-1 gene:LPERR01G35700 transcript:LPERR01G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAGGGGGGGRRKAAAAAAAACREWMVVPASGQARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFTNFVCDLQARVLASSSDQAAEFTDMDGESSAVASPFPVLTPSKGNGLEMANKNANAVGDMTHSSSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISETSSRVEVDEPSQLEDDRDEDYRSEADVSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGIFGMNIGISLYADPTNDEEKRASNMKFWETTLGTIAGCMIMYIVAMGWGKRTAAVAQSQIRRGARERGETGRRSEKEKKMVKVATFFAMTFGAFLFWQSMDKVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESES >LPERR01G35710.1 pep chromosome:Lperr_V1.4:1:29791062:29794235:1 gene:LPERR01G35710 transcript:LPERR01G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDTEAAASQGDKAGSGGYTTAATAHQVDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGITCLLLVGGAAWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFLTLLFGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIVATGFVYFAFAFFVPTISAMRNWLATSAALTVTYDVALLAILIKDGKSNKQKDYSVQGTQAEKVFGAFGAVAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPSQLSGPSWVTVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRLLARGLVFGGNAFITALFPFMGDFVNLFGSFVLFPLTFMFPSMVILKIKGKDEAGRWNRIWHWFIIVLSSVLSVVTTAAAVRLIVHNARVYHFFADM >LPERR01G35720.1 pep chromosome:Lperr_V1.4:1:29794556:29798523:-1 gene:LPERR01G35720 transcript:LPERR01G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQSRSPREGSGHGRYGHSRSFQQPPAQQWGGGGGGGYYGQDPHGGGYYGAQQQGGYAAPYPAYQQPPSMPSPSAATQPPARAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISNTPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDASTHDQDVFGFYPDQRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSLKAPERVALPPPGGSHDAYSYGSKSFSKPSAYPQSSTSSSSYPHYESAHSATPAAPSSTYDNQVCPICLVNPKDMAFGCGHQAGSFRTGLAFTRIAGSAIHRSKMAEQGMSQV >LPERR01G35730.1 pep chromosome:Lperr_V1.4:1:29808731:29809317:-1 gene:LPERR01G35730 transcript:LPERR01G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQAMVCCSMLCCIHFCFLVIALSLAIVVVALVYHPISPRQRVTSATLNAGHIDKRDNGAGALNANLTVVAAICNPNTKITIMLRYYDQRHTQAVWPSPLQEAPRGSVLWVVDLVVSNVTMALEDVVAWQNATKGRGPVVLRLAVWFHAQLDIGLWFRCRYWVKQKCTLWLNPPPRGALRRSQC >LPERR01G35740.1 pep chromosome:Lperr_V1.4:1:29810630:29811718:-1 gene:LPERR01G35740 transcript:LPERR01G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDGGGGAGTSASKAGARPDRRIRFATQETESRPGGNQPPPAYAGQGRPPPAVPEQSPVHGDATPARGRPSYVPPWQRRVGEGQTQPHAATAPASGHHRPPVTTPHGAAVTAEERRATPVLPGGARPSSPIFRVSTPRDDDVDDGAAFQHHERPHGPRYVPPRRRPEKKRNPMALCCILFWLLVIAVGVSILVVFLVYHPRSPRLSVTSATLNAANIGKYFDGGDAPALNADLTVAAAISNPNTRISVVLRYVQLDLYFEGSMIATQEAAWPAPPLRVAPRGSVVRSVSLVASNVTVTQEDASVWRNATASGGPVALQLAGRFRTQLVFGRWFRYTYWVTPRCALWLDPPPSGALRRARC >LPERR01G35750.1 pep chromosome:Lperr_V1.4:1:29812891:29814273:-1 gene:LPERR01G35750 transcript:LPERR01G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPAAPLAASGIEPRSRSRSSGAAPSTRAAPAPALPRHREETVHDVRHAVTPHDGAAVPGGPPRPAQRTRVVTYETEVRTGRDPLPLPDQSPVHGDGAEAPARTPHRTPSYLPAWRRRTGDGQPQPTPPPRRTSTRSRPVIPPPPPRPTVPEYPLAPAPALVPAERARPPIDTQKTILRTSTIKDDDGALPDEQVPSAGAKYLLPRWEPQQQPRTTAPKFPPLGAPHDHPDTSPSPEMTHASRPMIFPVRKQKGKRPVAFCFTACCILFWLLVIALGSAVLVIFLLYHPQSPKMRVTSATLNAGYIDELGSGGGGAGGELGSTGGPRALNADVTVLAAIYNPNTKLHVVLRYMQLDLYFEGSMIATQAVWPAPLHEGPRGSVLRSVHLVVSEVTVTQEDVSVWQNATAKGGPVVLHLAGRFRTVLIFGRWFKYKYWVRPQCTLWLDPPPSGALRHVRC >LPERR01G35760.1 pep chromosome:Lperr_V1.4:1:29815095:29819490:1 gene:LPERR01G35760 transcript:LPERR01G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNMGFWGIVVRPGETVKCDPPGELYYHISQIALEPGELSENVHVFVEVDGDESDEEVPLAIPLYPRLDDDKVKEAQHSPSKLSGHKYAAAAASPIPEVVVPERKNYGKRKADDKVSDEEDGDDSGVGESDDDEDSSDDGESSDEEETPLKGKNRPVETPLKTPPQKKMKLATPIMSKAGTGTSRSGGGYVHVATPHPAKQAKKTAGNNDMFKKSAGYVHVATPHPAKRTPANNDMSKHSDGYACKSCNKTFNSSMGLEAHSKAKHT >LPERR01G35770.1 pep chromosome:Lperr_V1.4:1:29819005:29821706:-1 gene:LPERR01G35770 transcript:LPERR01G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGQTVSCDAGDECAIHISQVALGETKKKGSENVVVSAKVGGNKMVIGNLSSGQHPQFIDADSTDSTTFDDDMDSSSTDGEDESSDDDESDKSPAEESSSSSMESSDEEDGSDGDDEDETDEEDEITKKPESSKMKAAGSIFNTPSGQKTAKEAKSNEKTRKSSDTQACKSCSKAMQLQFSSRS >LPERR01G35780.1 pep chromosome:Lperr_V1.4:1:29822687:29833430:-1 gene:LPERR01G35780 transcript:LPERR01G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAEVAAAAVDAGRGGQDAEVADSTAAEAAGADVNMTPLKRSSESVSHEDEAGKQKRQKSECQAFTPRRYQLDVFEVAMRRNTIAMLETAAEKTMIAVMLIKEFGKINRTNNAGKVIIFLAPTVQLVAQQCEVIKVHTDFEVEHYYGAKGVMVMTPQVLLQALRNAFLILDTVSLMIFDECHHATGNHPYTRIMKEFYHRSEHKPSVSSHLDCEDLFCELENLLDAKIYTVSDREEIELSVPSAKGINRYYDPKTVCFEDLSEELGVLCSKYDALITELQNKPNSMYKDADDIINESRRCLSNSTAKISYCLNDVGLICASEATKICIEKGKEKGWLKGVIDARDEQTDANESGLFAENSALHIKFFEEALHLIDKRLQQGIGTILNSESGCVEAAKTGYISPKLYELIQIFHSFSNSCHVRCLIFVDRKITARVIDRTIKKISHFTHFTVSFLTGGRSSVDALTPKMQKDTLDPFHSGKVNLLFTTDVAEEGINVPDCSCVIRFDLPRTTRNYVESRGRARQEDSQYILMIERGNVKQNDLLSTILRNETSMVEIASSREPQNLLSGFVPNEEINEYRVGRTGAKVTTGSSISIVHRYCEKLPKDKYYPKPTFQFTHHGDGYVCKLTLPSSAVLQFLVGPKARNMQKAKQLVCLEACKKLHELGALDDNLCLSVEDPVPENLSKIKGQTSTTGIGTTKRKELHGTARVHAWSGDWVSKRTAIKLQSYKMNFGCDQVGQIYSDFVLLIDATLPNEVSTLEIDLYLHDKMVRTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKTPNAPREFILKKEDAFLWNTTSVYLLLPANPSFDSSVCINWSVIDAAAMAVKLMRRIYSENKTELLGVFDSDQNVGDLIHLANKSCKANSLKNMVVLAVHTGKIYTALDTTDLSADSTFDGASDKKECKFRTFAEYFEKKYSIVLRHPSQPLLVLKPSHNPHNLLSSKIRDEGNVVDKINNGTPAVNKTSNRVHMPPELLIPLDLPVDILRSFYLFPALMYRIESLTLASQLRSEIAYSDSNISSFLILEAITSPRCSEDFSMERLELLGDSLLKYAVSCHLFLKYPNNNEGQLSSTRCHMICNATLYKHGIERNVQGYIRDAAFDPRRWLAPGQLSIRPSPCECPIKSKVVTEDIHIIDDKTIVLGKACDKGHRWMCSKTIADCVEAIIGAYFAGGGLRAAMAVLKWLGIEAEIEEDLIAQAILSASLQTYLPKDNLFEMLEAKLGYSFSVKGLLVEALTHPSQQKLGAKYCYERLEFLGDAVLDILLTRHLFNNHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLNQITEYVNRLEGASMDKIELLFDELLKGPKVLGDIVESIAGAILLDTKLDLDVVWGTFKPLLSPIVTPENLELPPFRELIEWCGKHGYFVGIDCRAQGDSIVATLDVQLKEVLVVRQGFSKKRKDAKAHAASLLLKDLEEKGLIIPKNASKTEQLENHCGRVNPCNSMLVDAMDPQTPTPTKEKTLSGNISDPMLVHVTVKTSKGGPRIALYELCKKLQWPMPTTESEKVQPSLCSSPGVSSQKATPQALGFISTITLHIPNADVISLTGDGRADKKSSQDSAALLLLYELQRRGRLHLQEV >LPERR01G35790.1 pep chromosome:Lperr_V1.4:1:29838918:29844910:1 gene:LPERR01G35790 transcript:LPERR01G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRRSGGGGGAYDLTGESGGKRPSLVEKQQQERSSGSVGSSASKQTQEWLEEAKRMVGAGSPGRKGGVGSPSRQVPRFAAAAAAAAGSGSDQPSPTLDRRDPMSRSARRHRQPGGIGDEILQRASISSSSSPPRADPSFASPPASPTHSLPPNPPSSRRKSRFHDPSAASDSSSSATYRRAASSASTSPTSAANPRHRRHASASSSPAPAVDGLDDGVARLNAFLRRQRAAVAELGSGGGRPSSRSTKIVLSDASKSVSSIAAAICYAWMLASKADAQAAVPVVNMRRSRMERCRQAAWLLYHVGVDASALLFADEVDIDGLIMDKQVSLVVVGQDVLKPNDKLAVLLDHNDHCFVEYLKNTYRGQSTKGEGDSPPDQKHSVSASGSFQDAKKPNSSNQRPAHGNGGKATDEAHRGKNKFFLAKWFGFGSK >LPERR01G35800.1 pep chromosome:Lperr_V1.4:1:29843617:29845751:-1 gene:LPERR01G35800 transcript:LPERR01G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSQVYPQPKVLKNIASSLLFKDLQRIMYAFKPLQYTDLFSVPSCFSSGEKLPDIPSYATAATRSGQSAVTLVYRAEISGHSRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGKDYGEAAATAAAGDAVTGKSCSACKVEMQPWHFWRKYGAKQFQVDGNAIDVVWDLRGARFSDEPEPMSDYYVAVVAGEEVVLLLGNLKKEAFRRTGSRPSLQDAVLWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPGMDSDFNPGEYSDFCLFLYAWKVE >LPERR01G35810.1 pep chromosome:Lperr_V1.4:1:29866621:29866977:-1 gene:LPERR01G35810 transcript:LPERR01G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRCPRTGGYVQPQPQVYHHRQNPCHGGGGGVTQYHAVHKETTTFGEVVDGRHHNNQIRGGGGARHHGEKGRVYNGRIHGGGVQNYHYEEYEEEEEEVVAVAGGGFGGCYAPRKRF >LPERR01G35820.1 pep chromosome:Lperr_V1.4:1:29872491:29873274:-1 gene:LPERR01G35820 transcript:LPERR01G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDYCAEEMNRPHGHGGGGEHYAVRKETYEEVDGMARANHHHGGSGGLLGGSHSGHLGYSGSRHGGGHMGGHEGGYRREEHIVHGPRLAGVERAMPQWYERAVGQYHR >LPERR01G35830.1 pep chromosome:Lperr_V1.4:1:29884046:29884309:-1 gene:LPERR01G35830 transcript:LPERR01G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVKPNFLHGGRGVQQHVVKETFQEVDRPGRRAGHHHHGNDHFVVRETRVEEDVNTCTGEFHERKESFLLRSD >LPERR01G35840.1 pep chromosome:Lperr_V1.4:1:29886063:29888532:-1 gene:LPERR01G35840 transcript:LPERR01G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGTGSPAGAVAGDGTGVGELVFCRDDAPKLLAALKEMKEGLDHVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYVLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVVNVEAKNKDQPQDDEDLLKYRPNPDMMDTKTDPAGQDNDGVYRPPKFMATKMDDEDKRIKQAARRDKALERMAIGNPYIKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTERQMRKQLHGLRGLTDGFDLGMNMILDEDKDNDVGSSKPHAVSGGRRKHQKGSAGFVHLSGNLFFISREGLFFFLMGAKKEFELGAMHRITSHLDVFTMNKLWVLFSPSTCEHLQVSIPSEVTTLGRAKRKYRIPTNIKDEYILT >LPERR01G35850.1 pep chromosome:Lperr_V1.4:1:29890320:29894033:-1 gene:LPERR01G35850 transcript:LPERR01G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDLRYADPSSYRDRRSDLGGAPVLAPSAPVAANPYAAAYTPAPAPAAPAGGDLSRFGGRGRGGGSGGGGWSRGGGGGGGGGGGYRGGGGRGGGGGRDGLDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSEMEVSQYRRHRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESGKFGSYSRTRSSCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSADLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTNSNAKFSRNLVKILREAGQVVNPALESMAKSAGSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRY >LPERR01G35860.1 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGVALEALASVVSLADSEIDPSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQRPSTGSFPGLIEQIFFQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35860.2 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGVALEALASVVSLADSEIDPSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35860.3 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQRPSTGSFPGLIEQIFFQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35860.4 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35860.5 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGVALEALASVVSLADSEIDPSMIAVVKNDIVKLFDTIKSYGMVYSDDIRAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQRPSTGSFPGLIEQIFFQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35860.6 pep chromosome:Lperr_V1.4:1:29895845:29903817:1 gene:LPERR01G35860 transcript:LPERR01G35860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLPASVTLLLLLLAATAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDVERIYEAVRTFQILGVEKDKSLTGEACKVAAEKLASSSSTAKDLFHAVRISGVLGCGVDSGVYDDVATKLKAVIKDTNSLLELYYSLGGLLSIKEKGHNVVLPDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGVALEALASVVSLADSEIDPSMIAVVKNDIVKLFDTIKSYGMVYSDDIRAFVPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTEAKELQFDLDSNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYAIGGRNTETIFVTGLIKVDKAEIGISDNDAGSVESVQKIDPQKDASVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRAPEKRPPKELSFAFTGLTLLPFVGFLIGLMRLGVNLKNFPPLSAPAVFASLFHEGIGAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSMSAKQKTA >LPERR01G35870.1 pep chromosome:Lperr_V1.4:1:29903022:29907366:-1 gene:LPERR01G35870 transcript:LPERR01G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAALLVTAATGSTALSLTAAAAAAATRRPSLRRVPPRRLRPAATRIRAAAAIGGEFGGLTQRRAVSGEFIERLRNVLPGGSWWRLEDGEEAAGGGGGRAEASGSTAASALRRMWALVAADRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQVERCINLSASLILATWNVYHVDLCNIVNNYASSWTKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASLRLQKLEGRIKYADVSFSYPSRPTVPILGRLNLTLQPNEVVAIVGLSGSGKSTVVNLLLRLYEPTNGQILVDDVPLSELDTRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYSTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKSVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHNELIKKDGLYSRLARRQNDALD >LPERR01G35870.2 pep chromosome:Lperr_V1.4:1:29903022:29907366:-1 gene:LPERR01G35870 transcript:LPERR01G35870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAALLVTAATGSTALSLTAAAAAAATRRPSLRRVPPRRLRPAATRIRAAAAIGGEFGGLTQRRAVSGEFIERLRNVLPGGSWWRLEDGEEAAGGGGGRAEASGSTAASALRRMWALVAADRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASLRLQKLEGRIKYADVSFSYPSRPTVPILGRLNLTLQPNEVVAIVGLSGSGKSTVVNLLLRLYEPTNGQILVDDVPLSELDTRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYSTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKSVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHNELIKKDGLYSRLARRQNDALD >LPERR01G35870.3 pep chromosome:Lperr_V1.4:1:29903022:29907366:-1 gene:LPERR01G35870 transcript:LPERR01G35870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAALLVTAATGSTALSLTAAAAAAATRRPSLRRVPPRRLRPAATRIRAAAAIGGEFGGLTQRRAVSGEFIERLRNVLPGGSWWRLEDGEEAAGGGGGRAEASGSTAASALRRMWALVAADRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQVERCINLSASLILATWNVYHVDLCNIVNNYASSWTKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASLRLQKLEGRIKYADVSFSYPSRPTVPILGRLNLTLQPNEVVAIVGLSGSGKSTVVNLLLRLYEPTNGQILVDDVPLSELDTRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYSTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKSVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHNELIKKDGLYSRLARRQNDALD >LPERR01G35870.4 pep chromosome:Lperr_V1.4:1:29903022:29907366:-1 gene:LPERR01G35870 transcript:LPERR01G35870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAALLVTAATGSTALSLTAAAAAAATRRPSLRRVPPRRLRPAATRIRAAAAIGGEFGGLTQRRAVSGEFIERLRNVLPGGSWWRLEDGEEAAGGGGGRAEASGSTAASALRRMWALVAADRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASLRLQKLEGRIKYADVSFSYPSRPTVPILGRLNLTLQPNEVVAIVGLSGSGKSTVVNLLLRLYEPTNGQILVDDVPLSELDTRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYSTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKSVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHNELIKKDGLYSRLARRQNDALD >LPERR01G35880.1 pep chromosome:Lperr_V1.4:1:29912747:29916638:-1 gene:LPERR01G35880 transcript:LPERR01G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAAGSSATHSHGKQGGGGGGGGGRGKAPAAEIRGGAARGDDFLFAAADDTFPNLPDFPCLSSPSSSTFSSSSSSSNSSSAFTTAAGGGGGGVTGGEPSEPASAADGFDDLADIDQLLDLASLSVPWDVAEPLFPDDDVGMMMEDAISPQPPHHQVDGGGEDGAAEYCNTGDEKAVVESPVGGGDACMAAEEDQAPDDLPGFFMEWLTSNREYISADDLRSIRLRRSTIEAAAERLGGGRQGTMQLLKLILTWVQNHHLQNKKRPRTAMDEAAAAAASSEPSPGYEFPSSGAQEMASAAAGTSWMPYAFTAAPPAYGGEAATAMYQPYNFQQSCSTSSVVVSTQPFSPPTAPPAAAAAAADMHAWPPQQFGPFAGSSTGSYTMPSVVPPPFTAGFAGQYSGMCSPRLAAGGAVEPSATKEARKKRMARQRRLSCLQQQRSQQINLSQIQISGHPQEPCPRAANSAPVTPSSVGWGGIWSTPAAGQIMQNPLSNRPINNPNPSPTPAKQQKPSPQEKPKPKPKPAAAQEESSQQSPSSEKRQGGTKSTADKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEIHMPELKTRDGITIPMEDIGTSQVWNMKYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAPQEQGNNSSGAVGKHKNGCPEKAGVSDTKAGEGEVDGGSVDGAAAGGGGGKPDGGACKDKSPHGVRRSRQEAAAASMNQMAVSI >LPERR01G35890.1 pep chromosome:Lperr_V1.4:1:29920131:29927936:1 gene:LPERR01G35890 transcript:LPERR01G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAASSTSSSSSSAAASSARKKDLQTGKKLLAEYRKKKNKVAKKAAETAEQAAVPVPDALEKPPPNVTSTNLVDKLASDVDANKFYGNLSFSDLVNGHNEDWRGDAARKREDRSSDKDLALKSKLSAFGNTNSLTSHSSGEIFPNQGRNPVLREASDTEQSSSYSSGGLFGKSEVTHNQDSSANNDIFGRFRATSKESSQADDLAYASSQEYGNSFNSSWNASNSTPASFDKQDPFTSTGYPATYNRSRPSFLDSIGVQRAPTTELPYEEPAKASKLFGNSNSESLFSHPPNQQSTGTSDVDGSFKSAKQEYNNGKGSYGNSIPTDSFPSKDERSLQHSTQIFQNFTTQGKDDDFAALEQLIEDLTKEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAQIISNLTSDMEILQNEIQAQLLALESVRTEYANAQLECNAADERGKILAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVTIMEKPSVDKRDVSTTTEDLDTEESSSSETLISTVDTIDDAGTSVSRSNNMVDFTSLEEVSCSIPYDQLRMIDNINSLMSELAVEREELLRALRIELSNCSKLKELNKDLTQKLEIQTQRLELLTSERMANENVLAKPIDTRSIDDAAMYADEGDEVVERVLGWIMKLFPGGPKRRTKNEMYG >LPERR01G35890.2 pep chromosome:Lperr_V1.4:1:29920131:29927936:1 gene:LPERR01G35890 transcript:LPERR01G35890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAASSTSSSSSSAAASSARKKDLQTGKKLLAEYRKKKNKVAKKAAETAEQAAVPVPDALEKPPPNVTSTNLVDKLASDVDANKVSASSVPSAVHENGPMSSSRDEFLSNVPALVNASASVSNHAPLHGVSSDGGSKFYGNLSFSDLVNGHNEDWRGDAARKREDRSSDKDLALKSKLSAFGNTNSLTSHSSGEIFPNQGRNPVLREASDTEQSSSYSSGGLFGKSEVTHNQDSSANNDIFGRFRATSKESSQADDLAYASSQEYGNSFNSSWNASNSTPASFDKQDPFTSTGYPATYNRSRPSFLDSIGVQRAPTTELPYEEPAKASKLFGNSNSESLFSHPPNQQSTGTSDVDGSFKSAKQEYNNGKGSYGNSIPTDSFPSKDERSLQHSTQIFQNFTTQGKDDDFAALEQLIEDLTKEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAQIISNLTSDMEILQNEIQAQLLALESVRTEYANAQLECNAADERGKILAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVTIMEKPSVDKRDVSTTTEDLDTEESSSSETLISTVDTIDDAGTSVSRSNNMVDFTSLEEVSCSIPYDQLRMIDNINSLMSELAVEREELLRALRIELSNCSKLKELNKDLTQKLEIQTQRLELLTSERMANENVLAKPIDTRSIDDAAMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >LPERR01G35890.3 pep chromosome:Lperr_V1.4:1:29920131:29927936:1 gene:LPERR01G35890 transcript:LPERR01G35890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAASSTSSSSSSAAASSARKKDLQTGKKLLAEYRKKKNKVAKKAAETAEQAAVPVPDALEKPPPNVTSTNLVDKLASDVDANKVSASSVPSAVHENGPMSSSRDEFLSNVPALVNASASVSNHAPLHGVSSDGGSKFYGNLSFSDLVNGHNEDWRGDAARKREDRSSDKDLALKSKLSAFGNTNSLTSHSSGEIFPNQGRNPVLREASDTEQSSSYSSGGLFGKSEVTHNQDSSANNDIFGRFRATSKESSQADDLAYASSQEYGNSFNSSWNASNSTPASFDKQDPFTSTGYPATYNRSRPSFLDSIGVQRAPTTELPYEEPAKASKLFGNSNSESLFSHPPNQQSTGTSDVDGSFKSAKQEYNNGKGSYGNSIPTDSFPSKDERSLQHSTQIFQNFTTQGKDDDFAALEQLIEDLTKEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAQIISNLTSDMEILQNEIQAQLLALESVRTEYANAQLECNAADERGKILAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVTIMEKPSVDKRDVSTTTEDLDTEESSSSETLISTVDTIDDAGTSVSRSNNMVDFTSLEEVSCSIPYDQLRMIDNINSLMSELAVEREELLRALRIELSNCSKLKELNKDLTQKLEIQTQRLELLTSERMANENVLAKPIDTRSIDDAAMYADEGDEVVERVLGWIMKLFPGGPKRRTKNEMYG >LPERR01G35890.4 pep chromosome:Lperr_V1.4:1:29920131:29927936:1 gene:LPERR01G35890 transcript:LPERR01G35890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAASSTSSSSSSAAASSARKKDLQTGKKLLAEYRKKKNKVAKKAAETAEQAAVPVPDALEKPPPNVTSTNLVDKLASDVDANKVSASSVPSAVHENGPMSSSRDEFLSNVPALVNASASVSNHAPLHGVSSDGGSKFYGNLSFSDLVNGHNEDWRGDAARKREDRSSDKDLALKSKLSAFGNTNSLTSHSSGEIFPNQGRNPVLREASDTEQSSSYSSGGLFGKSEVTHNQDSSANNDIFGRFRATSKESSQADDLAYASSQEYGNSFNSSWNASNSTPASFDKQDPFTSTGYPATYNRSRPSFLDSIGVQRAPTTELPYEEPAKASKLFGNSNSESLFSHPPNQQSTGTSDVDGSFKSAKQEYNNGKGSYGNSIPTDSFPSKDERSLQHSTQIFQNFTTQGKDDDFAALEQLALESVRTEYANAQLECNAADERGKILAAEALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVTIMEKPSVDKRDVSTTTEDLDTEESSSSETLISTVDTIDDAGTSVSRSNNMVDFTSLEEVSCSIPYDQLRMIDNINSLMSELAVEREELLRALRIELSNCSKLKELNKDLTQKLEIQTQRLELLTSERMANENVLAKPIDTRSIDDAAMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >LPERR01G35890.5 pep chromosome:Lperr_V1.4:1:29920131:29926755:1 gene:LPERR01G35890 transcript:LPERR01G35890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAASSTSSSSSSAAASSARKKDLQTGKKLLAEYRKKKNKVAKKAAETAEQAAVPVPDALEKPPPNVTSTNLVDKLASDVDANKVSASSVPSAVHENGPMSSSRDEFLSNVPALVNASASVSNHAPLHGVSSDGGSKFYGNLSFSDLVNGHNEDWRGDAARKREDRSSDKDLALKSKLSAFGNTNSLTSHSSGEIFPNQGRNPVLREASDTEQSSSYSSGGLFGKSEVTHNQDSSANNDIFGRFRATSKESSQADDLAYASSQEYGNSFNSSWNASNSTPASFDKQDPFTSTGYPATYNRSRPSFLDSIGVQRAPTTELPYEEPAKASKLFGNSNSESLFSHPPNQQSTGTSDVDGSFKSAKQEYNNGKGSYGNSIPTDSFPSKDERSLQHSTQIFQNFTTQGKDDDFAALEQLIEDLTKEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQLALESVRTEYANAQLECNAADERGKILAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVTIMEKPSVDKRDVSTTTEDLDTEESSSSETLISTVDTIDDAGTSVSRSNNMVDFTSLEEVSCSIPYDQLRMIDNINSLMSELAVEREELLRALRIELSNCSKLKELNKDLTQKLEIQTQRLELLTSERMANENVLAKPIDTRSIDDAAMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >LPERR01G35900.1 pep chromosome:Lperr_V1.4:1:29927162:29931507:-1 gene:LPERR01G35900 transcript:LPERR01G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPALRRAAVLGRWSPAALFSSGIVPDNKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFQQLDGRSAYMRYLDKLYKKHDISWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFTVECRDATDRAGWGRKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSTQGSEVYKPLQDPLISRCVDITGINEENVSVRDKLTFAAKGVVSKMFPKPRRAWLPTGCLKLLDTLHQALPSMSLVASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHHNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKEQKNPGTFKPVKKRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >LPERR01G35910.1 pep chromosome:Lperr_V1.4:1:29937677:29938105:-1 gene:LPERR01G35910 transcript:LPERR01G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPAADVVLPRADDDAKTRPPYLGQPGAPAAPWPWPGPELGSGGHALSFGGVQPSTPSKQGAICDLADMPDEGDNDASDGEFDYALDLFGPASDYNNNPCDSSIPLPPEDSG >LPERR01G35920.1 pep chromosome:Lperr_V1.4:1:29943334:29947968:1 gene:LPERR01G35920 transcript:LPERR01G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEAESSAAGEQRRVPFARGGPVFVPFMMGPVSTVPEFMSSALCELQSLQDELVDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLENQRIDGGMSTNSTLGNSAITSSSSGERENSGSPTDDTASIPHVPQQDTKGKTGGIKGKPRGRKRKSGNTTLNSLGENDTVPPIEDMEVASHDPGGTDGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEVKAKQEEDKRAANLHSFSGDSMLAKGSKPSVEKVDVAKSLRYISTTWKNKTSKPGEHRPVVYPEALLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFTDKLMNLAKQHVRPGYFLIEDTFYNDTRHSTVDYSKPILDWLKNSRNEAEEKWDAITSGALKKRQKNLLLGLNISNVPDFKSAKMEKTRFSDLNFRIGAGYLYCHQGNCKHMIVIRDVRLIHPEDTQNQAEYPLMTFQMQKRLQKCSVCQIFHARKMTVDDKWTLNNPCYFCEKCYYLLHYKEDNSLLYPHTVYDYLQE >LPERR01G35920.2 pep chromosome:Lperr_V1.4:1:29943334:29947968:1 gene:LPERR01G35920 transcript:LPERR01G35920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEAESSAAGEQRRVPFARGGPVFVPFMMGPVSTVPEFMSSALCELQSLQDELVDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLENQRIDGGMSTNSTLGNSAITSSSSGERENSGSPTDDTASIPHVPQQDTKGKTGGIKGKPRGRKRKSGNTTLNSLGENDTVPPIEDMEVASHDPGGTDGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEVKAKQEEDKRAANLHSFSGDSMLAKGSKPSVEKVDVAKSLRYISTTWKSQEFLVLGSQLLTDLRDNIYCFTDKLMNLAKQHVRPGYFLIEDTFYNDTRHSTVDYSKPILDWLKNSRNEAEEKWDAITSGALKKRQKNLLLGLNISNVPDFKSAKMEKTRFSDLNFRIGAGYLYCHQGNCKHMIVIRDVRLIHPEDTQNQAEYPLMTFQMQKRLQKCSVCQIFHARKMTVDDKWTLNNPCYFCEKCYYLLHYKEDNSLLYPHTVYDYLQE >LPERR01G35930.1 pep chromosome:Lperr_V1.4:1:29948219:29953423:1 gene:LPERR01G35930 transcript:LPERR01G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATAAALSSSAFPRRGIHIPAGFRALHHAHPALHRRCPRIAPLQVVDDSKEVETAVADGGEVAGDVVEGERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILELREDNRAFNCYAVTVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTLRGKPKNPLFATIGGDLIVRVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRVFSTVEAGKDTIEAAKGTASRLSTNKDENLEAYPDPSGDPTKFFQRPDDGLSQDVYQIALFLAVLYFIVHKLRDAEHHDHELTLTAGNERFQCDGCKEYGYQRRYTCENRRCRGKFHLHEACAKKLGDHYQDPFKSYSLVFHRSLPSTVKDVHCHGCGGNVNGYTYMREIGKLRTWLKRGMVLHPCCAALPMVIEAEGGIRLQLRRKLRSRCCKCGHVKLGDKRHTWGYVSDGGGTTGDVAVQIHVACANDLFHEDYENTRLQQRNRLDRLKARLVDMLTKTTKKTTRTGGRMILPKLPANAPEPEPEPWTVDSAVVQALLWAICTVGSVITGSPILPI >LPERR01G35940.1 pep chromosome:Lperr_V1.4:1:29954652:29956291:-1 gene:LPERR01G35940 transcript:LPERR01G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTEILDINHHEHKVCLVRKDEPFFCSGCKELGFELRYACQTKSCNYQYHKSCILQPLNTRVPAPFYKHDFFFFKSVLGYVYYCPDKKVSLHPCCADLPRVIDTEMVPLKLERKITKKCGMCRETNVGSFSKPWAYASSEKMIQLHVACVRKALLLQFESRFYGGKPKMLPPPSPGTSTCAASTSAMVECNAFPVFEVDKYRKKPAGFLDMFRRMIRAVMAMVSAVITGNHLELYLAFVEFFKPG >LPERR01G35950.1 pep chromosome:Lperr_V1.4:1:29960084:29962708:1 gene:LPERR01G35950 transcript:LPERR01G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSHGPASSPAISTCRPATCNHFSALLSGGAATQRRSLTLTSGSDTRAVIPVKSSSSDTATVGAEAVAVTGKVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKIDELIQAIKTVKSSGGMP >LPERR01G35960.1 pep chromosome:Lperr_V1.4:1:29963243:29964859:-1 gene:LPERR01G35960 transcript:LPERR01G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAHHGGGDPRLDRLARALTSDHHPPPPAAAVHAHLVRSHAGITPPPVIRAILNRAIRALSKPRPRAALRLLLLMPRLPVSPDHFSLPFALNAAAALRLLPLGASLHAAALRLALLPGRLPVANALVDLYAKCDDLASAHAALAGIAAPDAVSFNSLLCAHARLASVADAESLFAAMPSRTQVSWNAMVVVYVNAGELTSARRVFDQMPTRDSTSWSVLIVGYCKCGSLRNAREVFDRMPAKNLVAWTAMINGYAQSGLPEASLALFRELEAAGIEPDAATMVGVISAASQIGSPELAGWVGTYVDKKRIERNEKVLTALVDMHAKCGNVEEALNAFREIPQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLEHWEAMVKYYGIERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHSNVEIAEVVANKLFELEPRNTGNYIMLSNIYADIGQWEEAERVRSLMRTRLPFKQPGSSWVEDRQREQGRFPVRS >LPERR01G35970.1 pep chromosome:Lperr_V1.4:1:29968558:29969139:-1 gene:LPERR01G35970 transcript:LPERR01G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLAVAVAMVAAAAAGCAAATAADTAHEVLRAHGLPRGLLPAGIADFRHDEGTGRFEAVLGKSCTAQFEVGLRYNATVAGVISYGRIASLSGVSAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLTPHQVKSKSKPITKWISTALNSSRYWGQVIEDGSVTGGGGAALQ >LPERR01G35980.1 pep chromosome:Lperr_V1.4:1:29975114:29984249:-1 gene:LPERR01G35980 transcript:LPERR01G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRLAPVSHNHHHHYHGRALSAAASPPDDSPTPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEVRIMYDKNSGRSRGFGFIHFSSEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVIVPLSIAHHSGWLADDGVTES >LPERR01G35980.2 pep chromosome:Lperr_V1.4:1:29975114:29981721:-1 gene:LPERR01G35980 transcript:LPERR01G35980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFIHFSSEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVIVPRLSTVVSIAHHSGWLADDGVTES >LPERR01G35980.3 pep chromosome:Lperr_V1.4:1:29975114:29981721:-1 gene:LPERR01G35980 transcript:LPERR01G35980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFIHFSSEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVIVPLSIAHHSGWLADDGVTES >LPERR01G35980.4 pep chromosome:Lperr_V1.4:1:29981917:29984249:-1 gene:LPERR01G35980 transcript:LPERR01G35980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRLAPVSHNHHHHYHGRALSAAASPPDDSPTPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEDGVGGRCSTKRLGGGSECSGHVQPRQMFDEMPVRDLVACSAAIYQHAKSGSFGEAIRLFVGMMRVGVTPNSFTLVGALLAAAGMGDAVLAECIHGWAMKSRLESSPFVRTALLDSYAKCGYPMKAWALFGETRDPGIVTWNALISGLLHNDLFEEALLVLKRLLFSFGPVLNVVTMISITQAYAGCGDLRLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGNLVIGRDIFKKISVNDVVSWTMMMGFLLEESQAIEVIRLFVQMRLRGIVPDRVAMVTVVQACAHLGDAMMGKLVHNQIVTRGFSGELLAINSLITMYAKCEDLGSARMLFDGIREKSLVSWTAMVSAYVENGYTLEGMYLFAKMRHEGLFMIDYVTLVTLLLACYEIAKFELCIQLHGYCFKSGLCLYKPVLNTLIAVYGKCGYVTLAHKVFDEMISRNAVSWNTMILSYGINGQGEKAVALFNEMEKSSEDRDSVTYLNTLLACSHSGLVDDGVLIFRRMIDDKGINPSPEHVGCIVDMLARAGRLDEASRVASLTHNKIGPNAWKALMGGGLLHGDMEFTKVAAEKVLATESFDYGHVVLLSNAYASSGKYRAAESVRSCYTKRISRKTLGLSSIEIMPSSRRQ >LPERR01G35990.1 pep chromosome:Lperr_V1.4:1:29975570:29980017:1 gene:LPERR01G35990 transcript:LPERR01G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVESGFEGEATGDKRGKKPQGWKCMPFIIATETFEKVASIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDIYLGRFKTLAYGCFASLLGMLGLTLSTSLPALKPPICNETTRLGGGCNSPSTLQLSVLYLSLGFLVIGGGAIRPCSLPFGVDQFDKTDEESRKGLNSYYNWYYGTTTAALVLSMTIIIYIQNNVSWPMGFGIPTFLMLLAVIILFLGTNLYVHVQPEGSIFAGIAKVLVASFKKRNLKLPCLHDINQQESMLYNPPSKGNRVFKLPLTSQFRFLNKGAIVSDDDINVDGSARNSWELCTVQQIEEVKCLIRIVPIFVSGVLCFVALAQQFTYIILQTFTMDCHLGTHFEIPGGSVVSISLIALTLFIPIYDRILVPIARRFTGVESGISLLQRQGIGLVISPISMVVAGLVERKRRNSALSNGRMSPMSVLWLAPQLVLMGIAEGFNAVGQIEFYNKQFPESMQTIAGSLFFCAIAGANYLSTALVNIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSHYYQYKVMSLHAEESIKISMEEEATEIDIDTDAPSK >LPERR01G36000.1 pep chromosome:Lperr_V1.4:1:29986225:29987519:-1 gene:LPERR01G36000 transcript:LPERR01G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDKEKEKEKEKEHQTASANDVEEEEEDEDGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFNFVVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKFVDDDGKCYLEMSYYFEIRKEWPVIQ >LPERR01G36010.1 pep chromosome:Lperr_V1.4:1:29988021:29989553:1 gene:LPERR01G36010 transcript:LPERR01G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPVRWPRVLTPAHLAGAIRRQKSPIQAVQLYAHAPRSYPPSSYRHNDDVHSSLLAAAAATAGGGRGTELLPSLLRRILPSSSSADSLLADSIPKLRPVAAVSIFRNSLPSSPAPSWSRSFSALLRRLLSEGLLPDAARLFAEFAGRPEVSLASEDLTSLIVGLCSVRRPDLALQVFDEMSNQCLAPEKDAYRAIVPALCDAGMLEEATHVVYSMLWRVSQKGCDTDVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSSGSRRSLRVPMLAGLSIEDAQEAIDQALVVRGGRTIASFEVLIIDLYDEGRLNEADNLFEEMGKKGFKPTICMYEAKIVSLCREGRLDEAVKVLEDELPKNDLVPTVTTYNLLMRGLCNSMQSMTALRFLGRMDKQLGCVARKETFSILVNGLCSERQFVDAAQILERMVKGRYRPDRTEFNNIIKGLCSVGRTYDALLWLEEMIDHGETPDIYVWSSLVSAALGVEDLTTAATQEVGSGQHTKSPI >LPERR01G36020.1 pep chromosome:Lperr_V1.4:1:29990321:29993846:-1 gene:LPERR01G36020 transcript:LPERR01G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVGYNSVQYMGVGMNGYQMQMQMPSNDINSHGQLQCWDNNNFSQIQPAPATNAGWHNFGAWDNGGEPCNAIVPSADPYMDDIGGNYVDTTTVSDYQTNTDMSDYQITTSTSTSDNFMVMEAPVQFLTMGSDELLQCSDSTQNSPPLEQLHYLSDVDELLQCNDPTQNSPIPIAPIADRRTRSVRYTKRKEGMMKKARELATLCGVQVAVVCAGPNGGAPDVWTTPPKNEGDVSVIDKYLALPAEKRAKHTHADYLRALLGKKKADLAKLQQDGPDELKPPKTVLDRMSQGELQQMLVSIDATLQATAERREALELMAAVAGDSGGDRRNADVPWPVSHGVPCTGTSSPGVHGYHAQVHATCNPFQQEYTAGVTMTQPGVYNNVQYMGRHCLDMNGYDLQMQMQSNGVNSHGQLVWGDFQPCNAAIAQPAYGQLQCLDNNGGGGYQIQSAPATNGVWHHPGAWDNGGEPCNAMVPSADPYMDDIGGNYVDTTTMPDYQTTSSTSDNFMEAPVQFLTTGSDETQFSTDELLQCSDSTQNSPALEQLHYLSDVDELLQCSDSTQNSPPLEQLHYLSDVDELLQRNDTTQNSPVLDQLHFFSDMADGFDFGFESNFDFDEPLDLGWEMED >LPERR01G36030.1 pep chromosome:Lperr_V1.4:1:29993849:29994478:-1 gene:LPERR01G36030 transcript:LPERR01G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRVPMTLIANRRTRAVTYTKRKEGLMKKARELATLCGVQVAVVCAGPDGAAPDVWTTPPKNDEDASVIDKYLALPVEKRAKHTRVDYLRELLGNKKADLAKLQQEGPDELKPPKTVLDRMSQDELQQLLGSIDATLQATAERRKTLELMAAAGGDSGGDRRDADVPPMHLAVPCTGTTSAGVQGYQHQVHATCNPSRSSSSTSTPA >LPERR01G36040.1 pep chromosome:Lperr_V1.4:1:29995858:29996451:-1 gene:LPERR01G36040 transcript:LPERR01G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNLWDEMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPTKPYNYKKV >LPERR01G36050.1 pep chromosome:Lperr_V1.4:1:30000191:30000511:1 gene:LPERR01G36050 transcript:LPERR01G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNKAALLVVVVFVVMSSSAVAAAGGFVVCGVDGNRMLAACGSYCKAGSRERAPRRDCCDAVRGADFGCLCKLRGALKTMGNIDAARAMQIPSKCRIEGAPSSC >LPERR01G36060.1 pep chromosome:Lperr_V1.4:1:30003438:30003770:1 gene:LPERR01G36060 transcript:LPERR01G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRKATVVSAAALVVLLVAAAAAGTAEAGFTMCGVGPSAVEACRSYCTVGSTDEKPSSECCAAVQGANFKCLCNNKNLLRKYENIDADRATKIPSMCGVPYAGTSCK >LPERR01G36070.1 pep chromosome:Lperr_V1.4:1:30005311:30008441:1 gene:LPERR01G36070 transcript:LPERR01G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCCLLISHALCNQGHHGSISGTYYGGQYPHQTLPEEYTHLEVNIKVLNKERMPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >LPERR01G36070.2 pep chromosome:Lperr_V1.4:1:30005311:30008441:1 gene:LPERR01G36070 transcript:LPERR01G36070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCCLLISHALCNQGHHGSISGTYYGGQYPHQTLPEEYTHLEVNIKVLNKERMPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >LPERR01G36080.1 pep chromosome:Lperr_V1.4:1:30006128:30006601:-1 gene:LPERR01G36080 transcript:LPERR01G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWEDGGVPGLEKQHKGANAGDHINGGWCNGSCCVCPARAPVALCSCQEYAYPCAIPCRQCIRRGSSGDCGDHQMATLPQPGHVER >LPERR01G36090.1 pep chromosome:Lperr_V1.4:1:30011362:30013136:-1 gene:LPERR01G36090 transcript:LPERR01G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLPPHAITTLLCRLRACTSASHALQCHALLLTSGHLAASPIRLSNLLLLALASASSAAAAAAHADAVFAHLAEEASHHAFPWNTLIRIHAESSPRKSLIYFSRMHRSGVAAPDAYTFPAVLKACGCAAGCRVGLVVHGEAVRRGMDGDLFTRNALVSFYCRIGDCRSGRKVFDGGVRDHVSWNSMVAGYVGCGEADLAQDLFDRMPQRDAFSWATMIDGYGKVAGGVDRARELFDQMPDKDLVCWNSMIDGYARHGRMDEARGLFEEMPERNVISWSIVIDGYVRIGEPKEALEFFQRMLRCGIRPDRIAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYLKCGRLDLAKSIFESMPEKSAVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLTVLAVLTSCTHAGLVSEGLGIFYRMRKDLGLDPKVEHYGALIDLLGRAGRLDQAKSTIETMPMEPTPELWGSLLASCRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEGMKKNIGRSVIEVDGEINEFVNGGSSHPHKEEIYLTLWNLSNIAAAT >LPERR01G36100.1 pep chromosome:Lperr_V1.4:1:30013687:30017121:1 gene:LPERR01G36100 transcript:LPERR01G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSWKLYARKLLAGKSMAFSSARRGCGLAALIVPVLLLLACRVPTAAAADSEFEDGVSPKFPGCDNPFQKVKVMYWVDGAEMNSLTGITARFGEMLPVTASDTQKRKAVVPAPKTSCAKSSAQLANSIAVAERGECTFLEKAKTAETGGAAALLLINDENDLQKMVCTQNDTAPDIAIPVVMVSQSAGRKILSGMEGGAKVDILMYAPEKPTFDGAIPFLWLMAVGTTACASVWTFVVVGDEDKNAPSLGGGEVPEDEIVELKTKTALVFIVTASLVLLFLFFFKSIWSAWLLVVLFCLGGLQGLHYVASTLIVRVCERCREAKVNLPALGNVTVITLIILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVASALLVSAFLYDIFWVFISPFIFKKSVMITVARGSDDGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRSHGKDLTDGYFLCLMIGYAFEWTTSSPLSSPFNTRSYRYARREKRRAKSTLEC >LPERR01G36100.2 pep chromosome:Lperr_V1.4:1:30013687:30017121:1 gene:LPERR01G36100 transcript:LPERR01G36100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSWKLYARKLLAGKSMAFSSARRGCGLAALIVPVLLLLACRVPTAAAADSEFEDGVSPKFPGCDNPFQKVKVMYWVDGAEMNSLTGITARFGEMLPVTASDTQKRKAVVPAPKTSCAKSSAQLANSIAVAERGECTFLEKAKTAETGGAAALLLINDENDLQKMVCTQNDTAPDIAIPVVMVSQSAGRKILSGMEGGAKVDILMYAPEKPTFDGAIPFLWLMAVGTTACASVWTFVVVGDEDKNAPSLGGGEVPEDEIVELKTKTALVFIVTASLVLLFLFFFKSIWSAWLLVVLFCLGGLQLMYRVCERCREAKVNLPALGNVTVITLIILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVASALLVSAFLYDIFWVFISPFIFKKSVMITVARGSDDGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRSHGKDLTDGYFLCLMIGYAFEWTTSSPLSSPFNTRSYRYARREKRRAKSTLEC >LPERR01G36110.1 pep chromosome:Lperr_V1.4:1:30018932:30022325:1 gene:LPERR01G36110 transcript:LPERR01G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGKKAPTHAGGIRRWLSTVVVSVVALVLTLVVISLSIGSSLTGASLHEYLFVKANDSLKLTDGNMNDTVIGVPLQEDVLQGGKEVSVERNDNVQSGTVNSSETGEIDTKVPDQVAAADATSVPDERNLPVSSDSSENPQEANEGSCDLYHGQWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKEYENYRWKPEHCILPRFDGKKFLELMRGKTVAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFLPSFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRNAGKMQINNVDAFGISVETCMTAVATNPNFTGLAIVRTYSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDTMYGKQVSGFTKAVENSGKHGSRLKLMDITEPFALRPDGHPGPYRSTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGARS >LPERR01G36120.1 pep chromosome:Lperr_V1.4:1:30023322:30023702:-1 gene:LPERR01G36120 transcript:LPERR01G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGATNALMRRGALVWDRRLRSLPDAGALRRWAALLLTWQYSVPFAANLSASAAFFGLLGAAPISVAVPVTNATTFAATAVAAAALGERTRAAPAALGTALIVLGVWVCIS >LPERR01G36130.1 pep chromosome:Lperr_V1.4:1:30027432:30028331:-1 gene:LPERR01G36130 transcript:LPERR01G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDGGGSASSGSEHEASACLSGLVQAFLETEGAVAGEEGAAPARNGGGGEGCDSDDGDGPERAAAAAEAVRELLDPPAEEDPFRIRLAAAVAAAMEAEAALRRYGAAFRRAVARRLRAAGYDAGVCKSRWEASGGVTAGTYEYVDVVVAAPAAPVARRKSGSSRYIVDADFRAGLEVARATAEYAAVVAAVPASVVVAREEAVGRAVRLAADAARRSLRSHGLHVPPWRKTRYMLAKWLGPYKRSTATSPSAMPVAGAAGMVDVKCRAVGFFPTPASASAARIK >LPERR01G36140.1 pep chromosome:Lperr_V1.4:1:30040806:30041386:1 gene:LPERR01G36140 transcript:LPERR01G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRATALLLVLVVVACAAAAAAKSPSGGGIRKVVGGRTEVRDVEGNKEVQELGRFSVEEHNRRRGRVEEENSCCGGDGDVGVRLEFGRVIAAQRQVVSGLKYYLRVAAAEESGSGAGQGSHGVVCCT >LPERR01G36150.1 pep chromosome:Lperr_V1.4:1:30042004:30053934:-1 gene:LPERR01G36150 transcript:LPERR01G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGEGGAGAGAAAVPAGAEHGAVTHSRPLTRQQLRAVVESLRLDPLDVDEGASLEIARQSYRAGDYRAALEHCNAVYRVNPGMLDNLLLLGAAYYQLREFDMCISKYEEAVAIQPNFPECFNSIANAWREKGDVDHAIQFYVHALQLRPTFADAWANLANAYTLKGNISQAAECCTQALALNPHLADAYCNLGDVLKAQGLYREAYSRYLDALNIKPTLANAWNKIAGLFMQWGDFNKAVVYYKEAIKCNPVFYDAHLNLGNIYKVIGMRQDAIACYQNAVSARPENAVAYGNLGNAYHEQGQLDLAIINYRQAIHWNSSYVEAYNNLGNALKDSGRNEEAVSCYQTCLALQPSHPQALTNLGNIYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNRAITCYNEVLRIDPMDADCLVNRGNTFKEVGRVHEAIQDYFQAITIRPTMAEAHANLAAAYKDTGLLEQAIFSYKQALQLRRDFPEATCNLLHTLQMSFLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHPSPSPTSNNWRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNQDNIEVFCYALSQDDGTEWRQRIRSEAEHFVDVSSMSSDMIAKLINEDKIQILINLNGYTKFVSPLKSSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRPDQIIFTDIAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEDMVVNSLEEYEERAVSLAENPVKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCFDFVRKQERYALPHIAVTELLMVSRGPLPTLKLVSAPLFPVFIVHGEARVSATYQRACVCKREACGATARHHVDRAAAPRKQARLVRRLLDHRARLAFGGRNTY >LPERR01G36150.2 pep chromosome:Lperr_V1.4:1:30043308:30053934:-1 gene:LPERR01G36150 transcript:LPERR01G36150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGEGGAGAGAAAVPAGAEHGAVTHSRPLTRQQLRAVVESLRLDPLDVDEGASLEIARQSYRAGDYRAALEHCNAVYRVNPGMLDNLLLLGAAYYQLREFDMCISKYEEAVAIQPNFPECFNSIANAWREKGDVDHAIQFYVHALQLRPTFADAWANLANAYTLKGNISQAAECCTQALALNPHLADAYCNLGDVLKAQGLYREAYSRYLDALNIKPTLANAWNKIAGLFMQWGDFNKAVVYYKEAIKCNPVFYDAHLNLGNIYKVIGMRQDAIACYQNAVSARPENAVAYGNLGNAYHEQGQLDLAIINYRQAIHWNSSYVEAYNNLGNALKDSGRNEEAVSCYQTCLALQPSHPQALTNLGNIYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNRAITCYNEVLRIDPMDADCLVNRGNTFKEVGRVHEAIQDYFQAITIRPTMAEAHANLAAAYKDTGLLEQAIFSYKQALQLRRDFPEATCNLLHTLQMSFLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHPSPSPTSNNWRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNQDNIEVFCYALSQDDGTEWRQRIRSEAEHFVDVSSMSSDMIAKLINEDKIQILINLNGYTKFVSPLKSSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRPDQIIFTDIAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEDMVVNSLEEYEERAVSLAENPVKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCFDFVRKQERYALPHIAVTELLMVSRGPLPTLKLVSAPLFPVFIVHGEARK >LPERR01G36150.3 pep chromosome:Lperr_V1.4:1:30043895:30053934:-1 gene:LPERR01G36150 transcript:LPERR01G36150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGEGGAGAGAAAVPAGAEHGAVTHSRPLTRQQLRAVVESLRLDPLDVDEGASLEIARQSYRAGDYRAALEHCNAVYRVNPGMLDNLLLLGAAYYQLREFDMCISKYEEAVAIQPNFPECFNSIANAWREKGDVDHAIQFYVHALQLRPTFADAWANLANAYTLKGNISQAAECCTQALALNPHLADAYCNLGDVLKAQGLYREAYSRYLDALNIKPTLANAWNKIAGLFMQWGDFNKAVVYYKEAIKCNPVFYDAHLNLGNIYKVIGMRQDAIACYQNAVSARPENAVAYGNLGNAYHEQGQLDLAIINYRQAIHWNSSYVEAYNNLGNALKDSGRNEEAVSCYQTCLALQPSHPQALTNLGNIYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNRAITCYNEVLRIDPMDADCLVNRGNTFKEVGRVHEAIQDYFQAITIRPTMAEAHANLAAAYKDTGLLEQAIFSYKQALQLRRDFPEATCNLLHTLQMSFLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHPSPSPTSNNWRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNQDNIEVFCYALSQDDGTEWRQRIRSEAEHFVDVSSMSSDMIAKLINEDKIQILINLNGYTKFVSPLKSSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRPDQIIFTDIAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEDMVVNSLEEYEERAVSLAENPVKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCFDFVRKQERYALPHIAVTELLMVSRGPWLCCISC >LPERR01G36160.1 pep chromosome:Lperr_V1.4:1:30042328:30042759:1 gene:LPERR01G36160 transcript:LPERR01G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLYAVVAPLMLVLILSRLAAAAADEQWPASGGGGGRGRKVGGRTAVEDVEGNREVQELGLFCVVEHNRQQHGLGLVFSRVVAAQTQVVAGIKYYLRIIAAAREADYEEQAFDAVVVVKAWVPSREMISFAPAAEQQPGY >LPERR01G36170.1 pep chromosome:Lperr_V1.4:1:30058135:30060261:-1 gene:LPERR01G36170 transcript:LPERR01G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPATAPSCFLNLNWDQSMDPALSSMVSSPASNSTAAPPDGLALHGISPQQPHYGGGTPLSSPPKLNLSMMGQFHPYPPPPPGAGGLPILENPMPMGHLDQFLADPGFAERAARLSGFDARGGGGGYGGAAVQPQFGLPDASPAGGSKEMELGNTRDESSVSGPAPGGGEIPLKGASDGNARKRKASGKGKSKDSPMSTSASKEDSSGKRCKSTEERENNAAGEENSGKGKVAQSNSENGKKGGKESSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDQAFCRQMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGSLQTVHMKMEL >LPERR01G36170.2 pep chromosome:Lperr_V1.4:1:30058135:30060261:-1 gene:LPERR01G36170 transcript:LPERR01G36170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPATAPSCFLNLNWDQSMDPALSSMVSSPASNSTAAPPDGLALHGISPQQPHYGGGTPLSSPPKLNLSMMGQFHPYPPPPPGAGGLPILENPMPMGHLDQFLADPGFAERAARLSGFDARGGGGGYGGAAVQPQFGLPDASPAGGSKEMELGNTRDESSVSGPAPGGGEIPLKGASDGNARKRKASGKGKSKDSPMSTSASKEDSSGKRCKSTEERENNAAGEENSGKGKVAQSNSENGKKGGKESSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDVRASLYDLITIFVSSLVKAIRQFNLPRISGFLPDQMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDQAFCRQMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGSLQTVHMKMEL >LPERR01G36180.1 pep chromosome:Lperr_V1.4:1:30080785:30082980:-1 gene:LPERR01G36180 transcript:LPERR01G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRQQQQRILRLAVAVVVVGAALFLTASAKKSADVTELQIGVKYKPDSCTLQAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSSGASNSEL >LPERR01G36190.1 pep chromosome:Lperr_V1.4:1:30087991:30089335:-1 gene:LPERR01G36190 transcript:LPERR01G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVEIKRMSSWNKTSDQSKSNMYYSGSGTNSSYPGSGGGRDQYEAAGGNRRSSSPTPYNNFGGGGGGWPSAPSSQEGGGSGPIHIHAEEVKVIYNSPPGSSSSSSQFESEGERRRRNSGGGGGGSSGSGSSSGGGFFGPAFHAVGGYIDRKFGLDRD >LPERR01G36200.1 pep chromosome:Lperr_V1.4:1:30092306:30092684:-1 gene:LPERR01G36200 transcript:LPERR01G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYGYGGGGGYSSYGYGNNGGGYGGNNRGSYGGNNGGVQYPYGGSSGGWGTPPAQQQPTQISIYMTPPGSSQNEGNGERRDGGRNGLFGPTFQAVGGYMDRRLGLD >LPERR01G36210.1 pep chromosome:Lperr_V1.4:1:30095439:30095993:-1 gene:LPERR01G36210 transcript:LPERR01G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSGRSSFSGSTYSYPSTDAYGSGKHQYNTAAAADGKTPAKPSRLKKSSGKNDDDNNNAAAVGYPASGGGYGGKNNYGGGYGGYNAGSVTLYGGGVGTPYYGGGGSGPYTGGRVLSGYLTAQDGSRSPMVIHTREVHVYGSPYDGDGDQRRRNSGSGGGFFRPAFQAVGHFFDRKLGLHDRD >LPERR01G36220.1 pep chromosome:Lperr_V1.4:1:30101759:30109340:-1 gene:LPERR01G36220 transcript:LPERR01G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSVHWRVTSKKNNGATMEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIITRELEHLKRRLADPDVPRRRMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLVDELSASHSTDLQQRAYELQALLGLDKQAVESVMPSDASCEDIEIDRNLSFLNGYVQQAFEKGAAPYIPESERSGVVSVGNYRAQDHQETSAHALRFEAYELPKPSLPLAASQASISMPTTDLVPVPEPSYYKEDHPMSRSQTSSDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSNQQATNGGASSEVGGSISSQARESSYGSKKQQSTEVSAEKQRLAASLFGKADRKAQAAARKTSKESPSTEKVSAAKATPQPAKEQVTPSAPPPDLLDLGEPVSSNPPLADPFTQLEGLLGPSSASPVVSETPASSSSKTPDLMSIFSDDVQTGATSNSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >LPERR01G36220.2 pep chromosome:Lperr_V1.4:1:30101759:30108268:-1 gene:LPERR01G36220 transcript:LPERR01G36220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIITRELEHLKRRLADPDVPRRRMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLVDELSASHSTDLQQRAYELQALLGLDKQAVESVMPSDASCEDIEIDRNLSFLNGYVQQAFEKGAAPYIPESERSGVVSVGNYRAQDHQETSAHALRFEAYELPKPSLPLAASQASISMPTTDLVPVPEPSYYKEDHPMSRSQTSSDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSNQQATNGGASSEVGGSISSQARESSYGSKKQQSTEVSAEKQRLAASLFGKADRKAQAAARKTSKESPSTEKVSAAKATPQPAKEQVTPSAPPPDLLDLGEPVSSNPPLADPFTQLEGLLGPSSASPVVSETPASSSSKTPDLMSIFSDDVQTGATSNSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >LPERR01G36230.1 pep chromosome:Lperr_V1.4:1:30109401:30114238:-1 gene:LPERR01G36230 transcript:LPERR01G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSHGQPLPPGVGTWPLPSSIHPAQYQPNPQPYATPYDTRPDNGSNHTVASYSAAQPNMPFHNMDTGSAQSNQAHDVSDHDGSAANIESAVQEAVLHQQDIETQQVIQNQRQANATSDPTRYGDDIVSSRRDPNALKEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANSSNKPKDGADKAKGADSLPEFLKQRLKARGILKDDTTNNSGTVKQNVDYPVGQIKDAQELPPGWVEAKDPTSGSSYFYNQSTGASQWDRPGAPVITMQHQVPPSLPENWEEALDQSTGQKYYYNTKTQATQWEPPTAVNPGVALHAPTNSTVDVVNPGVALHAPTNAAVEMAAQNTDIWNPQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYSSYPNNTAHSSGTNTNKNPGNGAAKDRSSAKPPLGKANRKEHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >LPERR01G36240.1 pep chromosome:Lperr_V1.4:1:30114255:30115086:-1 gene:LPERR01G36240 transcript:LPERR01G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAWSLEFHRTTSSSRRRRGLGFPRSLGSDGRRREEYAAAAGAAGAGGIRRAPSCLPSHLATRADLGATGGGDPRRRRPVDEISLASISL >LPERR01G36250.1 pep chromosome:Lperr_V1.4:1:30118786:30124053:1 gene:LPERR01G36250 transcript:LPERR01G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANGGAACCGGAKGPGYATPLDAMEKGPREKLLYVTCVYNGTGINKPDYLATVDVDPNSPTYSKVIHRLPVAHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRNGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIEPLKVRNWILPEMPGLITDFVISLDDCYLYLVNWLHGDIRQYNIENPAKPVLAGQLWVGGLLQKGSEVVYVTDDDKEEQYSVPQVKGNRLRGGPQMIQLSLDGKRVYVTNSLFSKWDEQFYGPDLVKKGSHMLQIDVDTEKGGLSINPDFFVDFGTEPEGPSLAHEMRYPGGDCTSDIWI >LPERR01G36260.1 pep chromosome:Lperr_V1.4:1:30126121:30128059:1 gene:LPERR01G36260 transcript:LPERR01G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGGLLRHSALTSSASSVSSPAMFNAARLMSTKLFVGGLSWGTDDQSLRDAFACFGEVTEARVISDRETGRSRGFGFVNFADDDAAKNAIEGMDGKDLGGRTVRVNFANERPPGNRGFGGNGGYGGGGGGYGNQGGYGGQENF >LPERR01G36270.1 pep chromosome:Lperr_V1.4:1:30128895:30130969:1 gene:LPERR01G36270 transcript:LPERR01G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISNRFAVILHYFAEVVSFCCDSLLSFGTNRQRQSHRFSNVIMTAELLHQFTRKKNNTTICKGMNEYQTTIDNGRNIIITSGCNIRVQLSRRKLTGTPVMYNNRIMHTSTVVCTIVQACPLPLFLHGAKMVIKMPPTTNISAVSRVTK >LPERR01G36280.1 pep chromosome:Lperr_V1.4:1:30128954:30146933:-1 gene:LPERR01G36280 transcript:LPERR01G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLQAPEYKHVTEECLREWKGQSAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRLALDSVEFVEEERRGEVGSVLVDIIAHLGQDEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSPVTISIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFYQLTPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFAMRKIDEASNIGKINLAATGKDLMDDEKQEITIDLFTALEMENDIVDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICESLFRLIERSISSAYDIIFQTYYKMPLNPGAHMMDTSPSSSQSISVDPPKEFFQMLSACGSYLHRDTKLFQKVCKVLKAYYLSAKDSTRTSGVMSPDSRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDIGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASLFGSTRNSKVLNKSTNKLKDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPATYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGSDTCWPLDDNEEGESVSSDDLILHLDTSHKSIMWSHLLSTVKNTLPTKAWNSLSPEIYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDRLNNESQKHQQHIASVLQRLRREKDKWLSSSPDALKINMEFYQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPCFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLHDTLKMAYYWKSDESLYERECGNKPGFSVYIRFPDNERVSYSQFVRVHWKWSTRITRVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALASRKSSWLSEEEFGMGLLELKQGPAKNVPGNQSTDPLTAKNQSVRAKSIEGKGVIRGSDEPPKLISDEGVKVSKPTAESETRVPQKRVAHNPGKVSKHDIKEETKSGKSTSRGLTQQGSSVPVDKEVLSQAADGMQDTTTNSLPASNGNSHTAPRKQKRYVPAEEQDRGTKRKKGESEGRDGDFTEHTDKDKNSDSRLVDKLRPTDHEKSTSEEQILSRSEKSKEKVDDKYDRDHREKADRTDRRRGEDMIERPTDKSLEKRERSIERMQERGGTDRAADKGREDRNKEDRNKVKHAEPSTDRAHPSDERFRGQSLPPPPPLPASFVPQSFGANRRDEDTDRRGGNTRHNQRSSPRRDDKEKWHSEDNAASLQDDGKHRRDEDIRDRKREDRDVSSSKVDDRDRDKGSNVKEETDPNSASKRRKIKRDHSGLEAGEYAPSAPQNLSHGTSSSQLFETRDRERKGAISQHRVSHADDLPRMHGKDSSKTSRRETDHVPVARPRGEGRIRLRRQRRRRVVVVVVGRSERRVGGVVVVVAVVMGTEADVDVVVVARIKVEMETVVVVMRRIHGVFASVSLALEPTGNFARV >LPERR01G36280.2 pep chromosome:Lperr_V1.4:1:30128954:30146933:-1 gene:LPERR01G36280 transcript:LPERR01G36280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLQAPEYKHVTEECLREWKGQSAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRLALDSVEFVEEERRGEVGSVLVDIIAHLGQDEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSPVTISIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFYQLTPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFAMRKIDEASNIGKINLAATGKDLMDDEKQEITIDLFTALEMENDIVDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICESLFRLIERSISSAYDIIFQTYYKMPLNPGAHMMDTSPSSSQSISVDPPKEFFQMLSACGSYLHRDTKLFQKVCKVLKAYYLSAKDSTRTSGVMSPDSRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDIGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASLFGSTRNSKVLNKSTNKLKDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPATYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGSDTCWPLDDNEEGESVSSDDLILHLDTSHKSIMWSHLLSTVKNTLPTKAWNSLSPEIYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDRLNNESQKHQQHIASVLQRLRREKDKWLSSSPDALKINMEFYQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPCFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLHDTLKMAYYWKSDESLYERECGNKPGFSVYIRFPDNERVSYSQFVRVHWKWSTRITRVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALASRKSSWLSEEEFGMGLLELKQGPAKNVPGNQSTDPLTAKNQSVRAKSIEGRHERSEGVMRPDAQQKKNVLSANGSDNQIPSSSAQGKGVIRGSDEPPKLISDEGVKVSKPTAESETRVPQKRVAHNPGKVSKHDIKEETKSGKSTSRGLTQQGSSVPVDKEVLSQAADGMQDTTTNSLPASNGNSHTAPRKQKRYVPAEEQDRGTKRKKGESEGRDGDFTEHTDKDKNSDSRLVDKLRPTDHEKSTSEEQILSRSEKSKEKVDDKYDRDHREKADRTDRRRGEDMIERPTDKSLEKRERSIERMQERGGTDRAADKGREDRNKEDRNKVKHAEPSTDRAHPSDERFRGQSLPPPPPLPASFVPQSFGANRRDEDTDRRGGNTRHNQRSSPRRDDKEKWHSEDNAASLQDDGKHRRDEDIRDRKREDRDVSSSKVDDRDRDKGSNVKEETDPNSASKRRKIKRDHSGLEAGEYAPSAPQNLSHGTSSSQLFETRDRERKGAISQHRVSHADDLPRMHGKDSSKTSRRETDHVPVARPRGEGRIRLRRQRRRRVVVVVVGRSERRVGGVVVVVAVVMGTEADVDVVVVARIKVEMETVVVVMRRIHGVFASVSLALEPTGNFARV >LPERR01G36280.3 pep chromosome:Lperr_V1.4:1:30128954:30146933:-1 gene:LPERR01G36280 transcript:LPERR01G36280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLQAPEYKHVTEECLREWKGQSAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRLALDSVEFVEEERRGEVGSVLVDIIAHLGQDEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSPVTISIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFYQLTPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFAMRKIDEASNIGKINLAATGKDLMDDEKQEITIDLFTALEMENDIVDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICESLFRLIERSISSAYDIIFQTYYKMPLNPGAHMMDTSPSSSQSISVDPPKEFFQMLSACGSYLHRDTKLFQKVCKVLKAYYLSAKDSTRTSGVMSPDSRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDIGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASLFGSTRNSKVLNKSTNKLKDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPATYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGSDTCWPLDDNEEGESVSSDDLILHLDTSHKSIMWSHLLSTVKNTLPTKAWNSLSPEIYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDRLNNESQKHQQHIASVLQRLRREKDKWLSSSPDALKINMEFYQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPCFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLHDTLKMAYYWKSDESLYERECGNKPGFSVYIRFPDNERVSYSQFVRVHWKWSTRITRVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALASRKSSWLSEEEFGMGLLELKQGPAKNVPGNQSTDPLTAKNQSVRAKSIEGRHERSEGVMRPDAQQKKNVLSANGSDNQIPSSSAQGKGVIRGSDEPPKLISDEGVKVSKPTAESETRVPQKRVAHNPGKVSKHDIKEETKSGKSTSRGLTQQGSSVPVDKEVLSQAADGMQDTTTNSLPASNGNSHTAPRKVSASFPKSTLLATHTGGAANASGESTDLIDSNMKQQKRYVPAEEQDRGTKRKKGESEGRDGDFTEHTDKDKNSDSRLVDKLRPTDHEKSTSEEQILSRSEKSKEKVDDKYDRDHREKADRTDRRRGEDMIERPTDKSLEKRERSIERMQERGGTDRAADKGREDRNKEDRNKVKHAEPSTDRAHPSDERFRGQSLPPPPPLPASFVPQSFGANRRDEDTDRRGGNTRHNQRSSPRRDDKEKWHSEDNAASLQDDGKHRRDEDIRDRKREDRDVSSSKVDDRDRDKGSNVKEETDPNSASKRRKIKRDHSGLEAGEYAPSAPQNLSHGTSSSQLFETRDRERKGAISQHRVSHADDLPRMHGKDSSKTSRRETDHVPVARPRGEGRIRLRRQRRRRVVVVVVGRSERRVGGVVVVVAVVMGTEADVDVVVVARIKVEMETVVVVMRRIHGVFASVSLALEPTGNFARV >LPERR01G36290.1 pep chromosome:Lperr_V1.4:1:30149266:30152935:1 gene:LPERR01G36290 transcript:LPERR01G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIWNVKFFARDSERCRRRLANLLTKDYTYDQKLTISTVSSSGVNSSSSRSEGMAHPYLPAELHLPGFVPLQLSQAQILVPYLSTSVFVVLAVWLISGRCGGRISKTDRWLMCWWAFTGLTHIIIEGTFVFAPKFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAIRSWKRICAAFQVEKRSPKAACANGRELIFSLPGDMQLGIADWWLEVCWTLWKERNARVFQHKFKSAEALCADIKEQVSIWRAAGLF >LPERR01G36290.2 pep chromosome:Lperr_V1.4:1:30149284:30152935:1 gene:LPERR01G36290 transcript:LPERR01G36290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPYLPAELHLPGFVPLQLSQAQILVPYLSTSVFVVLAVWLISGRCGGRISKTDRWLMCWWAFTGLTHIIIEGTFVFAPKFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAIRSWKRICAAFQVEKRSPKAACANGRELIFSLPGDMQLGIADWWLEVCWTLWKERNARVFQHKFKSAEALCADIKEQVSIWRAAGLF >LPERR01G36300.1 pep chromosome:Lperr_V1.4:1:30211886:30212065:1 gene:LPERR01G36300 transcript:LPERR01G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGWRRVSARKSASRFRRSTSRSMEESTSSAWRVTHRLSSRDSRLRFLAADDSGSSSV >LPERR01G36310.1 pep chromosome:Lperr_V1.4:1:30213647:30217531:1 gene:LPERR01G36310 transcript:LPERR01G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGGGGRSEKVKAAIFSAKSAALASVVVGGHGGGGGGGGIGVLIHRNLLLTTHGNLPTAAAAEDAADALLGHGRLAARLVPHRFFITSPILDLTIVGLDPVENETTLQSQQTHYLKTCCKPSLDHGSVVYLLGHTGNKELVIGEGKVVIGTDNLIKLSTDGVTWCPGSAGFDAQGNLAFMIFCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKPRHQQGSGSSANARISHDVNPLVDLRTNNEQGVSTPEIYESPRQSSCQGQKDAGSIQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSRPRNQSRDNGFPSGIIWHRNCEAHSRDLPVAHLPDDCSSEGQSSSSPIEPLEYRNDDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWSSPRTSTVQNGTLRKQHTLVPVRKSHSQNTPLPQRSHDYLSPTVSSAMKKRNSMEQQQPIKPRRSLVQSSPKWMF >LPERR01G36320.1 pep chromosome:Lperr_V1.4:1:30219971:30220613:-1 gene:LPERR01G36320 transcript:LPERR01G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIASPKSQSRFRLSSKAPFSLHFTPASSSTFHQLASSSTTPQIQIPNFKAIANSQSNPQTLASPPAMPTPTFLFILLLLFLAATFSNCSATSPVGAEREEEVEMVPMMGAEAGGGGFSAMVLNETRRRLGSFQLCAPCTCCVRSRGECQLSPCCYAINCNIPNRPFGFCSFTPKSCDCLGCSL >LPERR01G36330.1 pep chromosome:Lperr_V1.4:1:30223002:30227154:-1 gene:LPERR01G36330 transcript:LPERR01G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAPAVVTVTASAAAPPPPPPPPPPPPPSQLPATAATDAPSPDPAALYGEGMWQQMAMGGSGTTQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPSDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECSYYIKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSYIQSPRWQNPSNYAPMIVPQGLVQVSSWNSYPGQMLPVSSSESRLQSPGAQQTYGTSQQVDASASNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPPPDCLLSPVGLPLRPIFQGEELCKFYSRYGICKFGANCKFDHPTVAPPMGVYAYGSTSANVPMVRRLLQSPSPSAYTS >LPERR01G36340.1 pep chromosome:Lperr_V1.4:1:30236643:30240533:1 gene:LPERR01G36340 transcript:LPERR01G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSNTFWLFILLVSSIPISALAESRDINALFTLRDAITEGKGFLRNWFESETPPCSWSGITCIGHTVVAIDLSSVPLYAPFPSCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGTLPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKLSISMNSIYGSLPPELGSLKNLELLDIKMNSFNGSIPAAFGNLSCLMHFDASQNNLTGSIFPGISSLTNLLTLDLSSNSLMGTIPREIGQLESLEILILGKNDFTGRIPEEIGSMKRLKLLHLEECHFTGTIPRSISGLRSLTELDISDNKFDAELPSSMGELGNLNQLIAKNAGFSGNIPRELASCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNNLSGHIPDWIWKWKNARSIRLGQNKFSGSLPVLQLQHLVSFAAESNLLSGSIPSDICQANSLHSLLLHHNNLTGTIEETFRGCTNLTELNLLDNQIHGEIPGYLAELPLVTLELSQNKFSGMLPAKLWESKTLLEISLSNNEITGPVPESIGKLSVLQRLHIDNNFLEGPIPQSVGDLRNLTNFSLRGNSLSGNIPLELFNCRKLVTLDLSFNNLTGIIPSAISNLTLLDSLILSSNQLSGSVPDEICVGFENEAHPDSEFLQHHGLLDLSYNRLTVLDLSSNVLTGTLPQSLLCNNYLNHLDISNNNLSGHIQFSCPEGKESSSTLLFFNLSSNHFSGSLDESVSNFTHLSTLDIHNNNITGRLPSALSDLNSLNYLDLSSNNLYGAIPCGVCNIFGLAFANFSGGICSTNGTDHEVLHPHNRVRRAFTICAFTLVIIIVLVLLVIYLRRKLVRSRSLALQPANKAKATVEPTSSDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQSQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTLIAGTFGYIPPEYGMTMKSTAKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMAQGMECGPLVMQVARDM >LPERR01G36350.1 pep chromosome:Lperr_V1.4:1:30244733:30245415:-1 gene:LPERR01G36350 transcript:LPERR01G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKEQRRMERFIVIPFSSTCRNGSSVDVVDGAAKNSKKSPSGGGGGGGGGGEGENGGGGGKMKGESLMARILRGFKNLSHQIFAVYEEEDEDEEEREMVIGLPTDVKHVAHIGWDGSTSTTSSLRSWNRAAPPPATAAASTSAASPAPAPATQQQAPLPAFSMRQFEMAMAAQAAAASSTAGTSAGGVAS >LPERR01G36360.1 pep chromosome:Lperr_V1.4:1:30251637:30252353:-1 gene:LPERR01G36360 transcript:LPERR01G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWKPLRDRLRLRRAASAWMTSSSSSPSPKPASAAASNAGSGGQHSNKYNYSPGEAAAAFSRTYSRAPSLRPTPTFSRVTSTRVSPSSFSNRRSSPIDDDDDAGDEESEHDEEESKNGEEAPAAQMSLMALLEQTDSQWDSDEDDESDGHAHNHGGGRKSNNSGGGGDGVGEEEEEEGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >LPERR01G36370.1 pep chromosome:Lperr_V1.4:1:30261461:30264354:-1 gene:LPERR01G36370 transcript:LPERR01G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDGIDDQSEMWGWQSQEYDLQKDLLAGDAADSSSCLWSEANQNAGDAWSMFDEQTPIKYCTDIDFQFCDIGDIIVKNFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSESLQVNLGFSGGQCLLNCDGTEELPEEWLVDCSQQDRETICPPEEMSSPAAAMEEADRSARGNSSSREQGNTVQKNSVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFRGDVTLHDINERIHAPPPYKIRHKMDEDQLTYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >LPERR01G36380.1 pep chromosome:Lperr_V1.4:1:30266719:30268694:-1 gene:LPERR01G36380 transcript:LPERR01G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGEEEKKPSAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMKAIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGCLPA >LPERR01G36390.1 pep chromosome:Lperr_V1.4:1:30269819:30271378:-1 gene:LPERR01G36390 transcript:LPERR01G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEEDKKPAGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGWLPA >LPERR01G36400.1 pep chromosome:Lperr_V1.4:1:30272730:30275926:1 gene:LPERR01G36400 transcript:LPERR01G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRAVHIRRSSLPAEAEAEAAIAVEEGGGVDLAAVGRALGLDPATVLLNGYFVSRGLPGHVSAAVTWRALLAFFAKRGLPAGDHPAAAIAVQGKPAPTSTPASDRKADAYPKRKFGLGAERSFKKSKHSEDGVDMLSDEITLGLKRRLKLDDANPTKKMKQTECSTVNGAETQQPVKFSCSFINGHGKRSRDEEMITTSFSRKRAR >LPERR01G36410.1 pep chromosome:Lperr_V1.4:1:30274503:30277435:-1 gene:LPERR01G36410 transcript:LPERR01G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAESSSSTSSAAVAAGASSSGSPASSSRNPHRLRNGVRLRMLRQRRRRLPPEPGRDGGGAVQEDLALPLGMSFAAVLAQVINTKNISGKTLHPAVLSKICTSAVKESLTNLYGDSSNSFIRNFEKSFSSTFRTLHLVNEIPVNEISHIPECSFKHDDSLAEDILSSSDLRNQDRIEHDLVNTVEKQLVLFSSGNQQLTHLRRSRSSPEADKHILNAIEKSVEQQTRSNELKEFEIGLNMRKLQLKQSQLALTSDSHMLEKIKLSLGFQKASFKGEKFKTQLQETRDAQILRTLIDFLMSHQLVPLHQRCHVIAVTRMCFGILMILAIAWLAFQRSATTGSNMPITFNLILLGIMCGFAGRFCTNTLGGDGNTWLMYWEVLCFIHLLGNLFPSFLRHILHGPVSVSDREQGLWLRYWVRRCLFYAVAGLIIPVLTGLLPFASLSDWKNHFAEEIKSFVIGDRIEA >LPERR01G36420.1 pep chromosome:Lperr_V1.4:1:30278440:30281263:-1 gene:LPERR01G36420 transcript:LPERR01G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRQLTSAATPVSEHQHQQTAAMAPSAGDGDGDNGDSPPATALLGGARTTGLHLFTLNARSALRLDEIGGEVLRIAVPASLALTADPVASLVDTAFVGRIGAVEIAAVGVAVAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKPAAADPEKQRDETNRGEDDDERSTATAAARSGGEGKCKGRRRFVPSVTSALIVGAVLGLLQAVFLVAAGKPLLRIMGIKPGSPMLIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATLAGDLANIALDPILIFACRLGIVGAAIAHVISQYLITLIMLCKLVQKVDVIPSSFKSLNFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATEMAAFQICAQAMLASAFARKDHYKVAVTTARVLQLAVVLGVALTAFLAAAMWFGAGVFTSDAAVIRRIHKGVPVGVAGVTIPCLILLSSHGGFVGIWIALAIYMTVRAIASTWRMGAARGPWTFLRK >LPERR01G36430.1 pep chromosome:Lperr_V1.4:1:30284907:30285311:1 gene:LPERR01G36430 transcript:LPERR01G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAFARKDHYKVAVTTARVLQLAVVLGVALTAFLAVGTWFGAGVFTSDAAVIRMIHKGVPFVAGTQTINTLAFVFDGINFGASDYAFAAYSMASN >LPERR01G36440.1 pep chromosome:Lperr_V1.4:1:30288157:30295248:-1 gene:LPERR01G36440 transcript:LPERR01G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGGAAGGGGGGGGGSGGGGGGGEGDPPRSPAARAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQASTDRSIDLSRFLLSRVGLLVFFSCAPCMTELMLELEGIQRISARRKEQEQVRRETTEDLAEDLSEGEKADTVGELAQPETPKKKFQRNFSDLTVSWSDENKEKKLYIVLISLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTAGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVGNGKLVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPDDISDGDGDGNDMIGFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQADVPEIYRLAAKMKGVFINPALVEPFGLTLIENAIADALLKLVADKNLWQDCRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLTDTPANAAAEEEEALEDSLMDVHDLSLRLSIDGERGSSMNDSPSSDPQDSVQRIMNKIKRSSVSSPQAAASGETDSAKIPAEATATAGTVNKYPLLRRRRRLFVVAVDCYGDDGRASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMSLPETLKLLQMGKIPPTDFDAVICGSGSEVYYPGTAQCIDAEGRLRPDQDYLMHINHRWSHDGAKQTIAKLAQDGSGTIVEPDVESCNPHCVAFFIKDPKKVKTIDEMRERMRMRGLRCHLMYCRNATRLHVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVILRGVTDKGSEELVRSSGSYQREDVVPSESPLITSTKGDLKADEIMRALKEVTKASSGISRITLVMFSRWSVKLKNNSGINVERNCHSPTQYMQRLGGVSVQTCACWFQSRVSTTNMEEIEEMTILSLGIGTPSKHSRSSTSFRLNGETDHKPTLRKKGCEIEMKVRNSSRPDQDNSNGHMRKKLRLSEEQLKALENVYQADSNLHHALKQGLAEKLNIKPRQVEVWFQNRRARTKHKQIEEECKNLKRWLEGLNKENQRLKMELMRVSRPVLTLHDQAHSVSKVAVMCSSCNKSSSETCTV >LPERR01G36450.1 pep chromosome:Lperr_V1.4:1:30297718:30302267:1 gene:LPERR01G36450 transcript:LPERR01G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHDFARRIPKRRRTNKFPLQLFPDLNSLPAEGADDGASLSSSEPVSHTQASVAAVAGTSQLSPPAVFAGPRIGMSSCPIIVDDIDDDVVMYTARSFPQVRRQVPRTGPVVTIEDDSEATPGQAADTVDEHVDILLSLTLGRYPRHGHLRSSNSSTSPVIHISETPQNALPEPEKAVPKEPKFNCPVCMNELVEASSTICGHIFCKKCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >LPERR01G36460.1 pep chromosome:Lperr_V1.4:1:30312689:30319777:1 gene:LPERR01G36460 transcript:LPERR01G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSGGWESLRSGTHTEIQSCGGRSTSQPNPIPPLFLSSFPSKTQQNSIHHGNPPPPSPMAPPLAAPRFHAALPLTLRLRPQKLPRWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYADGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPSVLFIHGFPSQAYSYRNVLPVVSDKYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINVIAPDKLSIVVQGYFAPVVVKYANEHQDKLNHLILVNSPITDMHAKLPSTLACFSNFLLGEIFSQDPLRASDKALISSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNVLSSDSWKTKTTLCWGLRDRWLNYDGVEDFCSSLKHNILELPMAGHHVQEDRGEELGNLIKSILSG >LPERR01G36460.2 pep chromosome:Lperr_V1.4:1:30308558:30313196:1 gene:LPERR01G36460 transcript:LPERR01G36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASNDSPHKRFSGVVPPAALIFFVLVFVAGAIVTLDHKELHPREMVATEAETRAPASDVRAGADVETAEVAGSSICENQCRPSGSEALPKGIVQDKSNFEMESLGGNPERNTVTAAGRPAKSLLAIPVGIKQKTVVDKLVSKFPSDKFTVMLFHYDGAVDGWRDLKWSDRAIHVAAIDQTKWWFGKRFLHPDMVAEYDYIFLWDEDIEVDGFDPIRYLKIVKREGLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGADRRLSVGIVDGEYVLHRGIPTLGDGKPQPARSAKSATDRLAVRQRSYTELQIFNRRWKAAAAEDVCWTDPYP >LPERR01G36470.1 pep chromosome:Lperr_V1.4:1:30316363:30322879:-1 gene:LPERR01G36470 transcript:LPERR01G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLQGDIRHTTTLLRPLPRVNYRAVAADVISKVVIVTVIGAWARFASKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVVGVGAGEAKDVEASGGGDVAAAAAAVSGKPSMLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGPTFAALGLFLKFALGPAAMAIGSIAVGLRGDVLRAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLVLAFLREDDRRMGGIKETNHWQFQNIVF >LPERR01G36470.2 pep chromosome:Lperr_V1.4:1:30316363:30322887:-1 gene:LPERR01G36470 transcript:LPERR01G36470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDIYKVISATLPLYFALFLGYGSVKWWRIFTREQCDAVNRLVAYFALPFFTFEFTLHTDPFQVNYRAVAADVISKVVIVTVIGAWARFASKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVVGVGAGEAKDVEASGGGDVAAAAAAVSGKPSMLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGPTFAALGLFLKFALGPAAMAIGSIAVGLRGDVLRAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLVLAFLREDDRRMGGIKETNHWQFQNIVF >LPERR01G36480.1 pep chromosome:Lperr_V1.4:1:30328791:30332007:-1 gene:LPERR01G36480 transcript:LPERR01G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPNDVTLCLSPPLAARPGRRASAGFRVYAVASGTVSTKVESKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNLLTHLKPVEKCWQPQDFLPDPASEGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFDHFSMVAQRLGVYTAKDYADILEFLVGRWKIADLTGLSSEGNKAQDYLCTLAARIRRLDERAQGRAKKAGTMPFSWVYGREVQL >LPERR01G36490.1 pep chromosome:Lperr_V1.4:1:30337976:30341531:-1 gene:LPERR01G36490 transcript:LPERR01G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVVGDLTVGKPEVAELRDTDTLDAAARAIAASPEGAVPVWRPRATPDEPPSGARFIGMISALDIAAFVAASGVGDRAMAAVVGEVVLPNPGLLREVDPGTRLIDALDLMKQGVNRFLVRKNGTWRGISKRFSMLYNGKWLKNMEATSPSSASSSSQPASSASSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPSDPSAVAVVETAPDGACKIIGDISAYKLWKCDYVAAAWALANLSAGQFVIGADDNESTPISDIPEPPISSSPVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSTPLTCKSTSSLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTEIFNAVTRSAYPATS >LPERR01G36500.1 pep chromosome:Lperr_V1.4:1:30348252:30351181:1 gene:LPERR01G36500 transcript:LPERR01G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDLEFPKKLFLASSPAKEKKVVNGKGAPVGDGFGDLKQKVEGEQKQTAAAAAGIGPKSPCSGSKDEMFFDSRGWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTAMSNVFVPDNVHNSKSPEPSPTGRRKLAELLQEAMQNGSEESTDTSVPDISKTEKPQLPPASAAVKPVSESSSVYSTEPTPIREAKNRKEKAWYTGRCCLPAFVHSVALDERRQKMTPGPCAV >LPERR01G36510.1 pep chromosome:Lperr_V1.4:1:30351352:30354025:-1 gene:LPERR01G36510 transcript:LPERR01G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRERLVECGWRDEMKALCRAYARKKGRSNVTVDDLIHVITPKGREISEVTKATV >LPERR01G36510.2 pep chromosome:Lperr_V1.4:1:30350597:30354025:-1 gene:LPERR01G36510 transcript:LPERR01G36510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRERLVECGWRDEMKALCRAYARKKGRSNVTVDDLIHVITPKGREGFSPVKQHKDQGSSSASSRLRLHYEQKPASNSAQCTMPSLCDSSLL >LPERR01G36520.1 pep chromosome:Lperr_V1.4:1:30356210:30364135:1 gene:LPERR01G36520 transcript:LPERR01G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLLPWPAPSAASLATATRARPPTRTRVRPPPPPAPPPPPRPRLEPAVAPPPKPTVTATATLPPITIPTLSDPSSTCLECVHFNSCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTYSRGRLREWRCRAKLAIRGTPESPLIGLYQEGTHAVADIPECRGISELNIEPFDEDAGTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNSEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSIAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCNITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVINALQRVALSERKAYKEKSSLTKVKDEKRPWILRAREAAVQVDSTPLEGSSETWPETLIYISCGWDSFKKDCKSLMSSKAWQLQNAHAFNFFPGTDSIEVLAIFKRESESVQKKKKKAKKKKAKGMPMLTIYRRWIQAA >LPERR01G36530.1 pep chromosome:Lperr_V1.4:1:30362468:30369225:-1 gene:LPERR01G36530 transcript:LPERR01G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVFSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRTHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADRPSDKTQKTRPLLGRAGVNGVVTDHIQALRPSADAERPGSSGSGSTLWGMGSIGSIFSSSDDRTHSSARENSSNRSYTAATSHLEHALSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDSDSTGLPRAHGLSSFHDGSSPHSTPKQPRSRKSSHSGEQPFNPDASGNGF >LPERR01G36540.1 pep chromosome:Lperr_V1.4:1:30371393:30372775:1 gene:LPERR01G36540 transcript:LPERR01G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGQQNGLTQVVSFLLGVSAAAVLIFFFSSAGGNGGAWSTDVSSWANGTVSSPAATKEATAAAHVEEKSNLTRRERNSTIQEEEDRELKKLLEAVADEHRNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDNLLIVALDDGAFRRCRDVHPHCYRLTADGAGTGAGKNFSGEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTTL >LPERR01G36550.1 pep chromosome:Lperr_V1.4:1:30373296:30373748:1 gene:LPERR01G36550 transcript:LPERR01G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAHMVTSSDFYMGDPYSQANFPNTGFLYVRSSRRAVGAMAAWRAARSSYPGKHEQQVMNEIRRGELVARRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRVYRRMPDEERRRRGPVRWKVPGICIH >LPERR01G36560.1 pep chromosome:Lperr_V1.4:1:30373755:30399211:1 gene:LPERR01G36560 transcript:LPERR01G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGHLRRVFVFIFELWVAVTLALLLLAVLANTWRSAEMPPLEVGCNCSQIGIASSLGSEEVTATTSSNSTEPSFADLAQLLPKVATEDKTVIITSVNEAFARPNSLLGLFRESFVAGEKIAHLLDHVLVVAVDPAAFHHCKAVHPHCYHLKVNSMNLSNANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHISVFADMTTSSDVFNGDDNDLKNWPNTGFYYVKSTNRTVEMLRRWQAARARYPPNHEQNIFNFIKHDLAAGAGDGGLGVRVRFLDTAVFAGFCQLFSGDMARACTMHANCCVGLDNKLHDLRSALDQWRNYTSGMLPEAGKTKSDGGGRRVGWSVPAKYPRGDGSFETKSRRVDRSKDASVATSSADGDEERSKRDKLRGRHTDEMGLGDDLTKLINRNHVLPFLAGAVLPTLLLLMVLANDRAGEQQLAIVSSWGNNGGSSSSPPAHDIRSGAAADQLQPPEKFPGLAELLPKLAMEDKTVILTSVNEAWATPGSLLDLYLDSYKNGEGIAYLLDHVLVVAVDPAGFRRCKVVHPHCYHLEVKDMNLSSAKSYMTKGYIDLVWTKLSLQQRVLELGYNLLFTDCDMVLFRDPFRHINLYADMTTSSDVFYPTRPPLSNPLNTGLYYMKATNRSISLLQYWQAARPRFPNDHDQTVFGHIKHELVSKLQARIEPLDTVYFPGFCEYYDDFDKVVNMHGNCCIGLDIKLHDLMDIATDWRNYTSLSLEERKKGGLKWTYPKRNHVVPFLAGAALPTLLLFLLASDRMSDQLAPIVSDWGIIINNGTGSSSADDDHGLSTGAAADQQPQEKFPGLAKLLLKVAMEDKTVILTSVNEAWAAPGSFLDLYRESFKNGEGIAHLLDHVLVVAVDPAGFRHCKAVHPYCYHLEVQNMNLSSAKSYMTKGYIDLVWTKLLLQQHVLELGYNFLFTDCDMVLFRDPFRYINLYADMATSCNVYYNVGPPLNSPLNTGFYYIKATNRSISMLRYWQAARLRFPNDHDQTVFDNIKHELVAKIHARIEPLDTVYFAGFCEYYDDFDKVVTMHATCCIGLDNKLHDLRDVATDWGNYTRLLPETAAAAV >LPERR01G36560.2 pep chromosome:Lperr_V1.4:1:30373755:30399211:1 gene:LPERR01G36560 transcript:LPERR01G36560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGHLRRVFVFIFELWVAVTLALLLLAVLANTWRSAEMPPLEVGCNCSQIGIASSLGSEEVTATTSSNSTEPSFADLAQLLPKVATEDKTVIITSVNEAFARPNSLLGLFRESFVAGEKIAHLLDHVLVVAVDPAAFHHCKAVHPHCYHLKVNSMNLSNANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHISVFADMTTSSDVFNGDDNDLKNWPNTGFYYVKSTNRTVEMLRRWQAARARYPPNHEQNIFNFIKHDLAAGAGDGGLGVRVRFLDTAVFAGFCQLFSGDMARACTMHANCCVGLDNKLHDLRSALDQWRNYTSGMLPEAGKTKSDGGGRRVGWSVPAKYPRGDGSFETKSRRVDRSKDASVATSSADGDEERSKRDKLRGRHTDEMGLGDDLTKLINRNHVLPFLAGAVLPTLLLLMVLANDRAGEQQLAIVSSWGNNGGSSSSPPAHDIRSGAAADQLQPPDCDMVLFRDPFRHINLYADMTTSSDVFYPTRPPLSNPLNTGLYYMKATNRSISLLQYWQAARPRFPNDHDQTVFGHIKHELVSKLQARIEPLDTVYFPGFCEYYDDFDKVVNMHGNCCIGLDIKLHDLMDIATDWRNYTSLSLEERKKGGLKWTYPKRNHVVPFLAGAALPTLLLFLLASDRMSDQLAPIVSDWGIIINNGTGSSSADDDHGLSTGAAADQQPQEKFPGLAKLLLKVAMEDKTVILTSVNEAWAAPGSFLDLYRESFKNGEGIAHLLDHVLVVAVDPAGFRHCKAVHPYCYHLEVQNMNLSSAKSYMTKGYIDLVWTKLLLQQHVLELGYNFLFTDCDMVLFRDPFRYINLYADMATSCNVYYNVGPPLNSPLNTGFYYIKATNRSISMLRYWQAARLRFPNDHDQTVFDNIKHELVAKIHARIEPLDTVYFAGFCEYYDDFDKVVTMHATCCIGLDNKLHDLRDVATDWGNYTRLLPETAAAAV >LPERR01G36570.1 pep chromosome:Lperr_V1.4:1:30399217:30402033:1 gene:LPERR01G36570 transcript:LPERR01G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRRNHVVSFLAGAALPTLLLFLLASDRVSDQLAVVSGWGINNNSTGSSSPDDHHGLSTGAAAADQQPQEKFPGLAELLPKVAMEDRTIILTSVNEAWAAPGSFLDLYRDSFKKGEGIAHLLDHVLVVAVDPGGFRHCKAVHPYCYHLEVKNMNLSSAKSYMTKGYINLVWTKLFLQQRVLELGYNFLFTDCDMVLFRDPFRHINLYADMATSCNVYYNVGPPLASPLNTGFYYIKATNRSISMLRYWQEARLRFPKDHDQTVFDNIKDELVAKIHARIEPLNTVYFAGFCEYYDDFDKVVTMHATCCIGLDNKLHDLRHMAADWGNYTRLSPEARKKGGLKWTYPKTCRDSMGWRKPVKP >LPERR01G36580.1 pep chromosome:Lperr_V1.4:1:30402328:30408089:1 gene:LPERR01G36580 transcript:LPERR01G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVIITSVNEAWAAPGSLLDLYMDSFKNGEGIAHLLNHVLIIAVDPAGFRRCKVVHPHCYHLEVKNMNLSSAKRFMTKDYLELVWTKLSLQQRILELGYNFVFTDCDMVLFRDPFRHINLYADMTTSSDDYSTARSPLSNPLNTGFYYMKATNRSISMIRYWQAARPRFPNSHDQAVFGNIKHELVAKLDARIEPLDTVYFAGFCEYHDDFARIVTMHADCCIGLDTKVHDLRGIIADWRNYTGLSLEEEKKGGFKWTYPKRCRDSIGWRKPIHP >LPERR01G36590.1 pep chromosome:Lperr_V1.4:1:30409146:30411006:1 gene:LPERR01G36590 transcript:LPERR01G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGAAMASSKNGLSPVVVFLLGAASATALIIFVFTSTSTPVWPSPETTPARNQQKTTAPPCTPRPKETSARRNQTREEKEEDEFARMVRRAAMEDKTVIMTSVNEAWAAPGSLMDSFLESFQFGENISHFVNHIVVVAMDAGALRRCRAVHPHCYLLLPEVAGLDLSGAKNYMTKDYLDLDVDLAWFRNPLVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKSTPRNARAMAYWHAARRRFPGEHDQFVFNQIKRELAAGDASGDGVRIRFIDTAAVSGFCQLGRDMNRIATVHMTCCIGLENKLHDLRRVIGDWRRYVSRPRWEREMGKIGWTFEGGKCIH >LPERR01G36600.1 pep chromosome:Lperr_V1.4:1:30412319:30421996:1 gene:LPERR01G36600 transcript:LPERR01G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGSSVRRPAAAARSRGSASGSEPYAPADARRAAAAAAARRRGRGGGDHGPLRLMEVSPRNLLLLGIGSVSLLAVAFVVYTGVWHGRAEGEVEVETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGLMWIGAKNGQYFLRHVCQDSDELRSYGWTNHNGRDYGRQVLVDHGFSLTTSFLKEKGQGSGYGGDWAVRLDANSEGASLSEDQESTTHLFFYIADEAGNSITMGSHAPSSKGHVLLASGSREEIGDWKVYLRSEDNLEIHRAGFRSVSMNSLSEQVQQALATNAMQSGNLNLPDMAEDSSNVIVYQVSLKRSAKVDIVFLSGAASENPMIAERINRLTGPVLSTHLESKQKDFEKKYDQNFNVNNKINPKELSVGVAALSNLLGGIGYFYGQSRIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEDSEKISTFLERAYVRLNSWFQWFNTTQAGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYHKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEIRDNDVMRRELLRETWQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLNLISNSSILWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVMNYHETGFFWENYDQTNKGKGKGARSFTGWTSLLVLIMGESYPTLHSIPSGPASIPPTQIATLS >LPERR01G36600.2 pep chromosome:Lperr_V1.4:1:30421938:30424963:1 gene:LPERR01G36600 transcript:LPERR01G36600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSRAVAVGGAVLLLLVFAVPTTFLYLTSAPAAAASPSVLLNLKPFAARCTPSAAAAAGDPPPLQVFMYDLPRRFNLGMMDASGGHEFPAWPPSAGGIRRQHSVEYWMMASLLQGGGGGGDYGVGTEGREAVRVRDPDAAEVFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLDDDPPDPFEARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFEDSLATGEGIKTSTEGMRTSKFCLHPAGDTPSSCRLFDAIISHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIPKTKWVEMWSKLKNVSHHYEFQNPPKKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWWG >LPERR01G36610.1 pep chromosome:Lperr_V1.4:1:30426883:30428835:1 gene:LPERR01G36610 transcript:LPERR01G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLSLMPDLFPPPPPPPPSIPSPAVVAEEEDDADADDARRQEVDAEVEAAARLVEHWDSGVGDGMVFDSAEDAGNYLAAAGCLVGAEGARAEAAMQAAMARLEDEFRHLLIRGMSPLAGEDLHASLLRRLSLSVPSFASSASDLDCPSFANHTAAAGEGDDGRNSVSDEEISPYLIAPDTVGDLRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICGQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDAREFIKEEAEGILVRLGDAVRGTVAEFANAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYSRLLNQLLEDWDTELENGGDNVNMTPLGHCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKASLKERFKNFNLTFEELYKTQTTWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENHVSDFFEGKRPNA >LPERR01G36620.1 pep chromosome:Lperr_V1.4:1:30430424:30432603:-1 gene:LPERR01G36620 transcript:LPERR01G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRDVRTKEENEQQSHQHQWRAAEESPLGSARWSPEAEIGMRVEDIWDSLDHAAAGAGEMSRRDRLNSCFDAIPVASFPHTFAGAHVVEIPSDATLAEAVEILSRHRILTAPVRNVDAPDDSSWIDRYIGVVEFAGIAVWLLHQSEASAAASAVASLGADELAAKLGTVALEEGAAAAAATGVNKSSSLTESAAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVVTQAAVVHMLAECAGLHWFEDWGAKSLTDLGLPMIRPSRLVKVRHDEPALKAFRLMRRRGVGGIPVVDHSGRPIGSIMIKDVKHLLATSDGNRDYRTLTAQDFIASARQSSGERQMNIVTCKKEESIKGIILKLDAEKRQRIYVVDDQENLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >LPERR01G36630.1 pep chromosome:Lperr_V1.4:1:30432938:30436949:1 gene:LPERR01G36630 transcript:LPERR01G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPLDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFDKATQCFQKACDVEPANDLYRKSLDLSSKAPELHLEIHRQMASQASQAASSTSNARQSRKKKKDSDFWYDVFGWVILGAGVVALIGLAKSNAPPQPPQPPR >LPERR01G36640.1 pep chromosome:Lperr_V1.4:1:30448405:30449859:-1 gene:LPERR01G36640 transcript:LPERR01G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYRIAGGEMAAVVGQKRKRSSSGSAAAAQYAGECCVGGGGRNKRVAGVGPDYLDELPDDLVLAVLSKLAASASSPSDLLSVHLTCKRLNGLGRNEMVFAKASPASLAVKAAAWSDPVHRFLKRCSDSGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRFLVAANARELTLALAAAAASHRHFPLAAGVAGCPLLSDFGWSLPEADPHPANQFMADWWASRGVQATAKKSATAADAPTGDDSDGAGGSERELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAGDPQ >LPERR01G36650.1 pep chromosome:Lperr_V1.4:1:30467435:30468067:1 gene:LPERR01G36650 transcript:LPERR01G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRNATKPRRYDITMSRRTRKAGTANAVTTDCNQDQGMEIQSQMKMMQQQQQQDQVTQLKDLFKCATDKLKPQQPEDATQQPSSLQQSEDGHHEQTNQKLEKEDAGNQQQQCEDNSRHLSLQELIDDEPINGCAKVAANGSQEESSSSAAAADAVQKVAESSPAAAEAKQPEHVARKKKMIGLMRRYVKVRSIKPKPAPERNVAPIC >LPERR01G36660.1 pep chromosome:Lperr_V1.4:1:30468661:30470565:-1 gene:LPERR01G36660 transcript:LPERR01G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRKHGVVAAGALAGRACDGEGYGGVFFGESVVRTPPQPASGVGSSNPEVFGSISSEPTLLEAEADVDDSTALACSVVICDSKVMAPRAGKSRSEWQMN >LPERR01G36660.2 pep chromosome:Lperr_V1.4:1:30468816:30470565:-1 gene:LPERR01G36660 transcript:LPERR01G36660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRKHGVVAAGALAGRACDGEGYGGVFFGESVVRTPPQPASGVGSSNPEVFGSISSEPTLLEAEADVDDSTALVGNTKDAPLEIGEAAMI >LPERR01G36670.1 pep chromosome:Lperr_V1.4:1:30468823:30470001:1 gene:LPERR01G36670 transcript:LPERR01G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPISSGASFVFPTSAVESSTSASASSNVGSEEIDPNTCKNISQMISSIRGGDHKAAASQWHMAQREIDVMVQEIHSKAGTQQMLVWSYKLRLLAFADEITKLQLSPDKLFVVLRLLKVLNPDYFLVSQCRPEEFSTAKYDETLQRLRMAVYHMLHELKILVQTRASRCVPQGGGVHEVTRYVMNYIRLLLHHKSTLNLILTNDDSNKDERMHSLDHIVQDLMICLESMLNKASEAYDPQGLRYFFLMNNLHFAVKQVEGTELISHLGQSWVQVHRQFIEQYMKTYVDLSWGPALSCLSARKGMLGGCFSQPSSTVRFSLQFDSTYYNQECWKVEDPQLREKVRRAVCDKVILAYQPHYDKYTKAKRKHEWYTPELLKAQLMKLFEGRTE >LPERR01G36680.1 pep chromosome:Lperr_V1.4:1:30476971:30478122:-1 gene:LPERR01G36680 transcript:LPERR01G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVGEEAAAVNPAAECGGGWSATSPAASPEFEFWMVGKNPSSFQSPALLTADELFSDGVVLPLRTLTHQPPSTDNGGEDNAAAATDDVEEEESALPEVAAAAAAVVGPAPTPDLPAVTFKWKDIFKATGAGGGGGGGGKKMERRVSSVGGNGELININIWPFSRSRSAGHSATAASAGAGAAALSRNKSNPNTNSTTAAAAPASSAAAAPARKVSSAPCSRSNSRGETSASTPPPSIAAAAAAASATAPPPATSMLRRLVPGGGHGRPTLAVTGIRLGRASPVWQLRRNKLQQSAAATTTAGAGAGAVDGKKKAASFAAATKTTQDDVNGDGEEKATASVATPATTAAAAGCRNNAEAGGEEVNAPQGLFGLRTFFSKKVY >LPERR01G36690.1 pep chromosome:Lperr_V1.4:1:30502123:30502448:-1 gene:LPERR01G36690 transcript:LPERR01G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQKDEDNNYTRSWFVRDKNCSLTHSPKGKLIGRAKAHGRTGGKGGRCIRMEKEDEDTHTHTDHSSSSMQLKRESKNS >LPERR01G36700.1 pep chromosome:Lperr_V1.4:1:30502447:30506315:1 gene:LPERR01G36700 transcript:LPERR01G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVGPSSSAGGALDLKLGAPTSWKTAAASAAATRARAGQQAAVPACSVEGCAADLSKCSRDYHRRHKVCEAHAKTPVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPNSLFANHHGAARFTSYQQIFSTASMSTQEAKWPVKTEVADVFQEPFYHHHHAALHLNGGAGAVFQGGKGTRKHQFPFLTADHGDASPFGCQQPFTITPSSESRSSSGSRHSNNNNGGGKMFAHDGGLDNCALSLLSDNPTPAAAAAITTIPQPLGIQYAGNGDVSLTGLSYVGKNTPILAKSAIATTPTVSAAATTTANVAATQLQYHHGYYHHHHVNADQGSSDAAAIQALPFSSW >LPERR01G36710.1 pep chromosome:Lperr_V1.4:1:30502658:30504841:-1 gene:LPERR01G36710 transcript:LPERR01G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSEADVIIFMWSRTEISWRTDGLHTVVIGEQTVGVERIRLGLPATLVVPIKSLPAAPLLLIKLPQQMKPVKTITINSSCQENNYPEGTMATRAEREESLLLAGDGDDRRLGVRLAHLVPPSRLHLDKSAAHPSTEHAGTAACCPALARFAGAGVGTGAGAGEAAI >LPERR01G36720.1 pep chromosome:Lperr_V1.4:1:30507844:30508101:-1 gene:LPERR01G36720 transcript:LPERR01G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGIKGKVVTVYSKYTKPQNISSVSVTQAYAYQPNYSSSIDVATATNGSYGGVNNVDERATAYILAVRERFKKEWM >LPERR01G36730.1 pep chromosome:Lperr_V1.4:1:30512771:30527618:1 gene:LPERR01G36730 transcript:LPERR01G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPHPPAHSPPPPFPPNTTPHTRIHRRERERARGARVAAAAGDWMARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSRSLQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQTGEGSGSGANSSGSRGQEEGNA >LPERR01G36740.1 pep chromosome:Lperr_V1.4:1:30531227:30531655:1 gene:LPERR01G36740 transcript:LPERR01G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMYKEAASGGGDGEHYSRLIRELCALLVTIISPAAAPAAAAERRQMRSPSTGNTAMSPAAAATMLLGASMALMLCGSVTFAIGFLLMPWVAGVAVLFGLAAAVSALSSGVFGNSAANRASSSPATATASRLAAFDKPIQY >LPERR01G36760.1 pep chromosome:Lperr_V1.4:1:30537918:30538142:1 gene:LPERR01G36760 transcript:LPERR01G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASTDWGPIIVAVILFVVLSPGLIFQLPARSRVIELGNMATSAIAIIVHAVIFFCILTIFVVAIGVHVYAA >LPERR01G36770.1 pep chromosome:Lperr_V1.4:1:30539924:30543840:1 gene:LPERR01G36770 transcript:LPERR01G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGGAPHGSRRSVSSSSGSRRRSGAAENGHHDSAGGGRRASASLSRASPSMTGERTVKRLRLSKALTIPDHTTVYEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENTKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVVAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHDGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGNSAGAGSEAASTMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASETTDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLRLHLDYAGIGRRKRGGGGSDFDYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >LPERR01G36780.1 pep chromosome:Lperr_V1.4:1:30547348:30555772:1 gene:LPERR01G36780 transcript:LPERR01G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQQGFDTHKLQQEVKSRWLKPTEVLQILQNHDRFTITNKTPHKPASGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNPTFQRRIYWILDPAYEHIVFVHYRDVQEGSISVSALNDSSTSNQNGSASRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMSINNETNNGSQPDWVQHCNQAALRKLKMQLSLEDKEDHVVDAEDIPSNSEPIAVYGIQNEEPGTCRNLVDIFNVLEFTKDNHPEETGLHFSSTIDVLKNSDTWLEEDQIDAILQSASMTLSENQWFNIREVSPEWSYCSESTKVIIAGDFLHDPPHGSCAILFGDVKVPAEIVQQGVIRCHTPCLDAGKVTMHLIDGNEKVCSEAREFEFHNKPTKSVVCESRKPCNEAQEFEFHQEPIESNNELSLLVNYVQLLFDGHISEQFLKFGLPLTNLECRLQVSPSEIVKGTSEQLNRDTTINCLMEVLLNNKFEEWLSSKYKQNSEGVHFLPRQYHGVIHTIAALGYNWALELLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLAAGAAAGALSDPTAEDPAAKTPASVASAYGFKGLSAFLSEAELTSHPYALESKENGVSGDGLSRVTDRISDASAHVEGGSDDQLALKDSLGAVRNAVQAAGRIQTAFRMFSFRKKQQEALQNRDSHILSTHEVGAASRGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKDLLRSVGILEKVMLRWYRKGVGLRGFNSGAMPIDEEEEDDILKVFRKQRVETALNEAVSRVSSIIDSPVAKQQYRRMLEMYHQKKVQDGNEK >LPERR01G36780.2 pep chromosome:Lperr_V1.4:1:30547348:30559910:1 gene:LPERR01G36780 transcript:LPERR01G36780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQQGFDTHKLQQEVKSRWLKPTEVLQILQNHDRFTITNKTPHKPASGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNPTFQRRIYWILDPAYEHIVFVHYRDVQEGSISVSALNDSSTSNQNGSASRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMSINNETNNGSQPDWVQHCNQAALRKLKMQLSLEDKEDHVVDAEDIPSNSEPIAVYGIQNEEPGTCRNLVDIFNVLEFTKDNHPEETGLHFSSTIDVLKNSDTWLEEDQIDAILQSASMTLSENQWFNIREVSPEWSYCSESTKVIIAGDFLHDPPHGSCAILFGDVKVPAEIVQQGVIRCHTPCLDAGKVTMHLIDGNEKVCSEAREFEFHNKPTKSVVCESRKPCNEAQEFEFHQEPIESNNELSLLVNYVQLLFDGHISEQFLKFGLPLTNLECRLQVSPSEIVKGTSEQLNRDTTINCLMEVLLNNKFEEWLSSKYKQNSEGVHFLPRQYHGVIHTIAALGYNWALELLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLAAGAAAGALSDPTAEDPAAKTPASVASAYGFKGLSAFLSEAELTSHPYALESKENGVSGDGLSRVTDRISDASAHVEGGSDDQLALKDSLGAVRNAVQAAGRIQTAFRMFSFRKKQQEALQNRDSHILSTHEVGAASRGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKDLLRSVGILEKVMLRWYRKGVGLRGFNSGAMPIDEEEEDDILKVFRKQRVETALNEAVSRVSSIIDSPVAKQQYRRMLEMYHQKKVQDGNEK >LPERR01G36790.1 pep chromosome:Lperr_V1.4:1:30552239:30559585:-1 gene:LPERR01G36790 transcript:LPERR01G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGGGCGGGGARWRRGGVGGGGRVVVGMETAKGGAFLGLDRTWLVVVRLLPAMPVPEKVSARARALRAGLFAHFRGWRLIRERWAWMLVLWILFWSLASFYLFLFMSAQSVDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKSPSAIDQMTFARYAERTAFERPLTSGVAYAVKVTHAEREMFERQQGWSIKKMYSDKSKDKKKKNQSSSSAPVAAPAQGPGDAAVAEIREAAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVISTYTLLDQLASKESIMVNVYDTTNESPISMYGNDTGSGMCHVSALNFGDPSRKHEMHCRFGEKPPWPWLAITSSLGMLVIALLTGHIFHATVNRIAKVEDDYHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLEMGVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGTRNTNTLSGYPVANRRRSWESIQLFNRELHSSEMSFAPITSDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPNVGSTFTFTAVLTRAHCKGNEIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTADLNPLISKLNCGSLTAKLVLIDKETWLKESHCMPLLVNKLRNKDNPDSPKLFLLGSPANSLKGGSDTSKEHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVAGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRKIRVMEKDLNDQIERGEAPPECASIKRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARFFQNHGQHLKFFWIDNAAFSSMPREAAPTS >LPERR01G36790.2 pep chromosome:Lperr_V1.4:1:30553190:30559585:-1 gene:LPERR01G36790 transcript:LPERR01G36790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGGGCGGGGARWRRGGVGGGGRVVVGMETAKGGAFLGLDRTWLVVVRLLPAMPVPEKVSARARALRAGLFAHFRGWRLIRERWAWMLVLWILFWSLASFYLFLFMSAQSVDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKSPSAIDQMTFARYAERTAFERPLTSGVAYAVKVTHAEREMFERQQGWSIKKMYSDKSKDKKKKNQSSSSAPVAAPAQGPGDAAVAEIREAAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVISTYTLLDQLASKESIMVNVYDTTNESPISMYGNDTGSGMCHVSALNFGDPSRKHEMHCRFGEKPPWPWLAITSSLGMLVIALLTGHIFHATVNRIAKVEDDYHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLEMGVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGTRNTNTLSGYPVANRRRSWESIQLFNRELHSSEMSFAPITSDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPNVGSTFTFTAVLTRAHCKGNEIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTADLNPLISKLNCGSLTAKLVLIDKETWLKESHCMPLLVNKLRNKDNPDSPKLFLLGSPANSLKGGSDTSKEHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVAGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRKIRVMEKDLNDQIERGEAPPECASIKRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARFFQNHGQKHDLIKNG >LPERR01G36800.1 pep chromosome:Lperr_V1.4:1:30588880:30591120:1 gene:LPERR01G36800 transcript:LPERR01G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFKENDLAGAKKFALKAKTLFEPLEGIDHMISALDIHIRAQTKIGGENDWYGILDVSASDDNDKIKKQFKRLALQNHPDKNRFSGAEGAFKLISDAWSVLSEKDKKMSYDQMRFGGSSGVQPNSFEANANGTSGSTMSVFVALETPTPTGPILYASEDHPQRTGVPHGSNRNFGPVLHTFFSSVDGAHTSRYPVQQTHEPARTEEVAEANVARSEEATRRKHEQASSSLGSSNSAAKAVHRKTATTKEMEAEKRRCTNSNKVSCRRNNKTEVVGQSTSSAADGDSGPQMHPAKRKPGSSIGATGAKRRKRFSDDHNSGNANTSFGKVFLQLEPEIPGFKMEKMKLQIRGKLEEFQSRRANVQNKGSMHVGLEKKEKTWKWKKPEIRFVFTRKNRKEERKEPGVDAVGAGSSHQHLDGKQSCLDQVPSSDEGSCVMPVPEADFYTFGDHPESSFQNGQIWAAYDEEDGMPRYYALIQKVLSAHPFKVKLSYLKAKDCSEFGTSNWITYGYSKTCGNFKVGASKNTDQLNTFSHVVTWEKDPDGIIRIFPKKGDIWALYHNWSPEWNTLTPDDTIYKYDLVQVLDSYNPSKGISVMPIVKVPGFVSVFKPLLDPTKSRMIPKEEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPQELLQVVPQSDDVKVT >LPERR01G36810.1 pep chromosome:Lperr_V1.4:1:30592241:30595199:-1 gene:LPERR01G36810 transcript:LPERR01G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAALRQLVGQLCQSERWYLLDFEHGSVKDDYCRARTGYNSELLKIMEANQSPPRKRSRKDRNREKAPNSNSTEEMKQEIWSEFPGDLFETVIARLPVAAIFRFRTVCRNWHSMLGSDGFSRQYSEVPHRQTWFYTITHENANNNVAMYDPSLKKWHHPSVPLAPAKIVIPVASAGGLICLLDLSHRNFYICNPLIQSLKEIPRRSVQAWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEIYDSTKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQYVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLMNKEWQKVPDCMFPCSRKKQWIACGTAFGPCPSALA >LPERR01G36820.1 pep chromosome:Lperr_V1.4:1:30601663:30603911:1 gene:LPERR01G36820 transcript:LPERR01G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLLGAFKGMSISSPCNKSPFPPAAGGGLGIGVAMPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGTVEVELVLAAADESSEVNADC >LPERR01G36830.1 pep chromosome:Lperr_V1.4:1:30607954:30608517:1 gene:LPERR01G36830 transcript:LPERR01G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISIEKIRAMSKCSNGKKQQLQMLLPTLAICMMATSVLCLLLTSPVWVPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIIAFLVGESRFLLKGEPASLVNEIHEKHVKRNAATSTKAVTAVAAIDHNALVGELEQGEEEEEEEGEEEGEEEELIQRVEDFIARVKRQRTLEDKSIFDTDR >LPERR01G36840.1 pep chromosome:Lperr_V1.4:1:30610552:30614773:-1 gene:LPERR01G36840 transcript:LPERR01G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPRGAAKLVPVEAEPPTQEEIDEAIKSIADHAQGGSDADEDGDDDDKGNMEVDDAGEAEEEEVDEVAKAKAAAKALAKGSAAVDDVADGLKELNMDNYDDEEEGLEIFSSGQGDLYYASNDLDPYLNNNDDDDDDEEIEDMTIKPTDLMVICAYNEDDINLLEESEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDAAIEIWDLDIVDEVQPHMVLGGHSKKKKKVKGKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSVGKCAVTLEHHDDKVQSVAWSRQSPEVLLSGSFDKSVALNDMKDGGQSCSKWFVDADVESLAWDPHNEHSFVVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSISFGPSTPNFLATGSTDKMVKLWDLSNNQPSCITSLNPKLGAVFSVSFSNDSPFLLASGGSKGKLKVWNTLTEPAVANKFGK >LPERR01G36850.1 pep chromosome:Lperr_V1.4:1:30621950:30622891:1 gene:LPERR01G36850 transcript:LPERR01G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPPPPPLNKTEPTTTTTTTTTNQLDHHHQQQPQQQLQIQIHPHQDGGGGKDQHQQQQEMQVAAAGAGERRVQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPNSAAAALSRSHHHHHHMWPAGFSGASFSAAGGGGGGGDSGGIGGLMQRMGIPGGIDLQGGGGGGHIGFAPMFAGHAAPGLELGLSQEGHIAGVLAAQSLSQFYHHQVGAGGQLQHHPHQQHHHQQQHHQQQQQQQEDGEDDRDDGESDEESGQ >LPERR01G36860.1 pep chromosome:Lperr_V1.4:1:30627330:30633367:-1 gene:LPERR01G36860 transcript:LPERR01G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKMDRFAALGKDALSLGIDDDRAAVAAVGFVDESKGHQQHLENSIPLSPQWLYAKPTDAKSFAPHVSLLDPSEKEARMLEGGADKKERRRNAFDADSSLRWLEEERETSLPGRRERKKEVDRDMESRKNDRRSDNVSVRDGSDSRAPSSERWNDGSTRGSGNEGRRDGKWSSRWGPDDKEKEPRSEKKPDAEKDESHAEKQAFTGRLLPETDSRDKWRPRHRQESHSGGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNSVPSFTRPSSAGPIGAPATHGKHVASTTFRYPRGKLLDIYRQQKTMPSFDDVHCKLEEVPSVTLSSPVKPLAFVAPDADEEAVMEDIRLGKVISSEVANTTGMQRDRKKEHEDTEMHAYCLSQRFSSFCASSEANYISGLASGIDVKKDTASVGFSGLGQEESSTLISEKDTFYAGGVISAGITFPSKDLTVENIPKNEFGISGIREDMKFNEVNSSADLDLGAKLPNDSNSLFLGSPFEHIQQQPELYQNSDMDTKASGQASYPEDLTLYYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLANAPDESPFRPLFEVMPHLGQKPQPLSAVSHGESSESPDSVHNSFDEKSLNSGSLGKNDITSKRDSEGYAVDIKRGEHEAPVQSHTSWLPSLEAEKTAANVDIRQQHIPEPVSQETEEILYTARPNSSTGQSLRDLEHGRSDFQLATRDPRGAAGEANLPQHDVSRENELSPLGLLWSELEGMHPKQPLSSNVLGVNDRRNPKPTAPKDIPPPVNMRHGQLGRMNEAPVVRDEWPANFGRLDNMADTNISGRIPQLEPDLNHLNMEEQMLLQQIRREQLQQEQLMARNNLEFPGPFPGQVFDSLHQHRQSMNQPVEMEHILRVKFELEQQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQLILEQMLQQQLQASNFGPTNMVDQVLLREQVLNDLHHQPHHFQRQHDAAIEQLIQAKFGQGLHREHHNDLMDVLSRSNQRQMLPLEQQILLGIHHDQLQSQQLANALRQHAGREEERHLSGGWPMDEPGHFIHSGTSPNQGHASRLGQFDLLQSLQRSSSSMEHHEHLERSLSLHERLNRGQGIHSLERSGSLPGGAPLPNLDAVNSLALHHGLGQLDHGDMYSLGQMPMAPSGVHPQQHRLQEQLSGSHMGRLERHWSDANGQLQNTLMEPSRINQLQMEAQKQRRNVQMNLAVDNPHAWASLRNERNTEQDLSDIIRQKLVLQSQQSLGFPDVPVPASFGRKDPSLFAQTAADNPLRSPVDRLSFDDLAERSLFAKAAHAAQEGSANLDSLNSIENSGKLNIRPSSASMLDVQRGEFSGIMGGGASGNQHTSEVPRGKRQGSSASLGGDDTNFVEEAGSNWPDSTMSKGATHSLLKRPTSQHSAATQAVSTDMSSTVRLKKAGHASSDDHKLESGVTSVAQPVDIPVPTNKEAGSFISPSGTSVDGPSFREMVKSTKKPVLPQYDVSESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >LPERR01G36870.1 pep chromosome:Lperr_V1.4:1:30636178:30638081:-1 gene:LPERR01G36870 transcript:LPERR01G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAETEGKAVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >LPERR01G36880.1 pep chromosome:Lperr_V1.4:1:30641319:30647360:1 gene:LPERR01G36880 transcript:LPERR01G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAKPDSPPADPPADDAKDAEPEVAEEEEKKPAPAEAKEEETQQSPAASRKRGRRKKGEATPEKEKEETEKKRKAKAPPCTPTVERPSRERKTVERYSELTPRVTPGKKSPAIGSGSRLKDIPNVQFRLSKRKVDENLQSLHVLMYGRKSNSHFLKRNISQFSGYVWTDNQEKQRTKIKEKLEKFNKEKLLDFCEILDIYVCKATTKKEEVSTKLLEFLESPCITRDVVLTDKKKGKKRGRSKGNGQAAEGASEKRDKEPKKQKKTSTTVIKAEEERERYHTGRLLTGGEGLQPKRRRSRKQSAEATKENDDGDEGPAGSEDVSMGEEDDEDSEAKDNVGSDEEPDEPPAKKKAKDDKQTKKAKEKDASGKKASTKPAKGASKPSQDTEENEPELDSKKVGKKVSKSSKESDVTVDKSNKKISKSKKDEGKEGQSNNSGASNNKARKKDAAKATNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEENAEDDADKNAKKENSKEDADGGDEK >LPERR01G36880.2 pep chromosome:Lperr_V1.4:1:30641319:30647360:1 gene:LPERR01G36880 transcript:LPERR01G36880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAKPDSPPADPPADDAKDAEPEVAEEEEKKPAPAEAKEEETQQSPAASRKRGRRKKGEATPEKEKEETEKKRKAKAPPCTPTVERPSRERKTVERYSELTPRVTPGKKSPAIGSGSRLKDIPNVQFRLSKRKVDENLQSLHVLMYGRKSNSHFLKRNISQFSGYVWTDNQEKQRTKIKEKLEKFNKEKLLDFCEILDIYVCKATTKKEEVSTKLLEFLESPCITRDVVLTDKKKGKKRGRSKGNGQAAEGASEKKRRRSRKQSAEATKENDDGDEGPAGSEDVSMGEEDDEDSEAKDNVGSDEEPDEPPAKKKAKDDKQTKKAKEKDASGKKASTKPAKGASKPSQDTEENEPELDSKKVGKKVSKSSKESDVTVDKSNKKISKSKKDEGKEGQSNNSGASNNKARKKDAAKATNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEENAEDDADKNAKKENSKEDADGGDEK >LPERR01G36890.1 pep chromosome:Lperr_V1.4:1:30647807:30650200:1 gene:LPERR01G36890 transcript:LPERR01G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGQEAAMAGVAVLGVKRGEPTLVSPASETKSGLYYLSNLDQNIAVIVQTVYFFPVAAAGAGAGVDIGAGEVLRESLSRVLVHYYPLAGRLAVGEEGKLIVDCTGEGAVFVDAVAGCELADVGGDVTGTDSGVLGELVYTVTKFKCGGFVLGLAMNHCMFDGVAAMQFVSSWAEITRSPSPSPPLSLPPSLDRSVLRPRHPPLIQFPHHEFAQIDDDSSPFFSGAGDPILHRSFHFTPNSISRIKSLVSGDELGEFGDRRPTTFEALAGFVWSARTAAVGIPAASTTKLLFAVDGRPRFTSPPLPEGYSGNAIVLTSAACAADELTSSLSRAAAMVRAAAEKVDDAYMRSAVDYIEAAAAATGGRPRRPSLASTLLITAWSRLPFAAADFGWGPPSAYGPAALPEREVALFLSAGGGGVRVLLGLPAAAMAEFELLVDEVIVD >LPERR01G36900.1 pep chromosome:Lperr_V1.4:1:30653171:30653674:-1 gene:LPERR01G36900 transcript:LPERR01G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTKGVRTKSLISRTLERCKSGLAAAGGGRATAAPVAGCFTVYVGDERERFVVRAEYANHPLFRRLLDDAEREYGYAAQGPLALPCAVDAFLDVLWQMQHAGDVGDGDDVGEVAAVAASPICGLRRSCGSKGRTAAAGYRMLSSPARSSSPASFLATPARKKR >LPERR01G36910.1 pep chromosome:Lperr_V1.4:1:30661383:30670735:-1 gene:LPERR01G36910 transcript:LPERR01G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGFSPQILAQKLSKLNNSRQSIETPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDEFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFRELKSKSRNSSGELLEKVISCYKHMVNAHVDEDTLMRRCQNALSFVDNLSNEYENNSILDSSNGSGFVEELQAQHSILRDIIEQFKTSELLRGNLISCLKEALHEQEFRMERARSQIKEVQSRYKKADDLCQKLGVHVARQEPPNHGLKISGDNMEQKVENSTSKRLKLQNDVYVSQPQSPPPPLPSDAFQQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTDSFMPVPAGPTTIPYNSYPAFSPLSYPMVNIPPPFPSAPNPPPGFRESILCPSFSRTTTTTSTTDEQEID >LPERR01G36910.2 pep chromosome:Lperr_V1.4:1:30661383:30670735:-1 gene:LPERR01G36910 transcript:LPERR01G36910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGFSPQILAQKLSKLNNSRQSIETPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDEFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFRELKSKSRNSSGELLEKVISCYKHMVNAHVDEDTLMRRCQNALSFVDNLSNEYENNSILDSSNGSGFVEELQAQHSILRDIIEQFKTSELLRGNLISCLKEALHEQEFRMERARSQIKEVQSRYKKADDLCQKLGVHVARQEPPNHGLKISGLSETPGNFAPDSANASSFEKGQSSAVMYSQENGGEHEITNGILSSRATGDNMEQKVENSTSKRLKLQNDVYVSQPQSPPPPLPSDAFQQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTDSFMPVPAGPTTIPYNSYPAFSPLSYPMVNIPPPFPSAPNPPPGFRESILCPSFSRTTTTTSTTDEQEID >LPERR01G36920.1 pep chromosome:Lperr_V1.4:1:30673855:30677474:-1 gene:LPERR01G36920 transcript:LPERR01G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVGELVAWEAATLLDVGDEVRLLRDKLEWLHTFIRDADRRRRRRDDEFVAVWVRQTRDVAFEAEDALDHFLHRAARRHRRKNPSFSSPSSSCWLGGFAGEVALRHDLSGRIRQIKKRLDQISDIRADYNIDHTPSPAWAAPSCSSSFPAASLAAWDDLEEYTVGFDKYSGILKEQLLDAAAFSGRRALVSIVGESSVGKTTLARKVYQSPEVRNHFAIRTWTVLPPNTRPADLLRDINRQATAQLRAAGDATAAAMPCRSPPGGDTSNVLFKNMSGRRYLLVVDGGIAAADWNSLRASLPDEGNGSRVLLITGTAGLEVIAYAGVGSPATTYEPITLTRLSPENTYEVFRRRVFGRRGDIPGRYKSRYYQDVFRITRGLPLSIVILAGVLRSRDIPSEWDDVMSQLTAVPTTPTSPAMMRNDRRIMSLAFDDLPHHLKSCFLYLAATTKESATVDAQRMIRLWIAEGFVRPRRGSTMEEVGQGYLDELIARWLVQPVEKDEFNVVQFVVVHDRLHAFAQDEAQEASFVDCHDGTDVLAPATVRRLAVQNSSNRHVHLGNAFPKLRSIICDLVDDRNGNGGGTAAARCIQSTDLGFLLASKFLRVIDIHGIELKKLPNEIASMVHIRYLGLQCGQLEKLPASICNLINLQSLILKGINAGNRLLDVAAAFWRIPTLRHVVAPLALPKLLGDLHSLQTLHGVQPLFWDTRCSGNPLGKSTNLRSLELTGVVAKHAAALTSALESLDLLIHLNLRGESLPSAVFTIPSLRRLQSLKLIGSMDSPDGPIGDGSDDNGGTVRYIRPNLTRLSMWETMVGQKFVDMLGELPSLAELAMMPCSYDGDRLEFRDGGFRGLQKLKLGLPGLEEWTVRAGAMATLARLTLYGCVKMRMLPEAIAGIGELEEVVLFRMPRMVERIKKHGGEDHHKVRHVPVIQTIW >LPERR01G36930.1 pep chromosome:Lperr_V1.4:1:30700556:30704083:1 gene:LPERR01G36930 transcript:LPERR01G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSSQICLNKGKSSIKPIVGNALIFPELRRAAASALPLEFRPPTQLWTIPCCGATSAQPSHRMD >LPERR01G36940.1 pep chromosome:Lperr_V1.4:1:30711766:30713102:-1 gene:LPERR01G36940 transcript:LPERR01G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRRFQNLHTEQIEELPATLLYTDSHQDIAILRVEGLTEQVPALTFSPMAAASIGDTAAIALGYCNPQGLFPGGEEYPQGNSFHPAVVVLPEVFCENTGLV >LPERR01G36950.1 pep chromosome:Lperr_V1.4:1:30713943:30716151:-1 gene:LPERR01G36950 transcript:LPERR01G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRRFQNLDTEQIKELPATLLYTDSHQDIAILRVEGLTEQVPALTFSPMAAASIGDTAAIALGYCNPQGLFRGMAFADFPADVGSIHKAIASIQL >LPERR01G36960.1 pep chromosome:Lperr_V1.4:1:30730651:30735521:1 gene:LPERR01G36960 transcript:LPERR01G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNINGCSLVWNKFHRWPVPMPYWCHPDCVEDESEDDEELGFPPTFGCKLKYNYINGEKSVFY >LPERR01G36970.1 pep chromosome:Lperr_V1.4:1:30736039:30738001:1 gene:LPERR01G36970 transcript:LPERR01G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDRDRVSPEEAELRRGFKTLSVTQPDPSASFYEVRLNRPAHLNALTPETFAEIPLAMSLLDRLPTARAVLLSASGPHFCAGIDLSSPSLPSSPSPDPAAAADGLRRGILEMQAAVTAIERCRKPVVAAVHGACVGGGVEVVAACDVRCCSADATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRRITAMEAKEMGLVTRVFGSKKELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNASMLRSNDLEEAIKAFLEKRKPVFSKL >LPERR01G36980.1 pep chromosome:Lperr_V1.4:1:30738487:30741466:-1 gene:LPERR01G36980 transcript:LPERR01G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASAGAGAAGAGGDGPLVYQRWKGNNVFVLQGRFIFGPDARSLYVTMFLIIAPVSIFCVFVAKELMNNFSYGLGLPVMIAAVVFTAYDLSLLLLTSGRDPGIIPRNLHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQITIWKAMAKTPASIALLVYTFIAVWFVGGLSAFHLYLMSTNQTTYENFRYRYDQRANPYNKGVVENIKEIFFSAIPASKNNFRARVPVPQEHGLRPRPANGFMSPNMGRNVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNMLEDKDGRFRNASPDLSRDALAIGGLDDQGSSVMQPGRTSWGVEAGR >LPERR01G36990.1 pep chromosome:Lperr_V1.4:1:30743772:30745899:1 gene:LPERR01G36990 transcript:LPERR01G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALTAAGGGGGGYRTLPIGFRFRPTDEELLLHYLRRKVLSFPLPADVIPVADLARLHPSDLLLPGDGEGERYFFHRPATSCWRKGGGGGRAGGGGGGGGVWRASGKEKLVVAGNKGGAAAAVAVGAKRTLVFFLRHRRTEWAMHEFRLLPAGAGADQTPAAAKEWVVCRIFRRSPPRVRRRDDDDVSVAPSSPSSCVTDGGGDGEEGEEESSGGDGSN >LPERR01G37000.1 pep chromosome:Lperr_V1.4:1:30753775:30757327:1 gene:LPERR01G37000 transcript:LPERR01G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEEEEEEEDRASTPPCSSRPRDPSAAAMGCAASAATEHQRRRRRGGRGAARHDDDGGGGEAGRRRGCKVAPEPKEEEEGDSSSAAASLAMMPGSPSFRFYCQKSAAVESLVADAAGGDGDGGDGEGSVRIRETTTTPPHMAKNNGGDDSDEFSKSEASSSRWLRFRGLAIVAAAVCSLFTRHSSCSTAHPPPAAAKSRHRSEAARSAHP >LPERR01G37010.1 pep chromosome:Lperr_V1.4:1:30755348:30760521:-1 gene:LPERR01G37010 transcript:LPERR01G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLAAAPGSSLFFPSAAAAASGCAGAGAGGGGGEVQLAAPQPEKIAAVKKDESGWPVWLSSAAGDALAGWSPRSADAFHKLEKIGSGTYSNVYKAKEVESGRVVALKKVRVDGVGEAESARFMAREIALLRHLGDHPNIVRLDGLVTSRLATAPSLYLVFEYMDHDLTGLAAAALAAGERFSLPQVKCYMKQLLAGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPHNKEQPMTTQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKIFKLCGTPSDDYWEKMKFAHSSFKPYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPQYPPCKEIDVKLKYEKHKKKLRANGSVERHTTTRKPMSQNPGRRVFTPDVNNKPQVKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSVDGTTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKTIHKAKPGLKMPPSTVLIGAFKPYSLGHPMEVRRKNRDQFRAKGRNAVGAVK >LPERR01G37020.1 pep chromosome:Lperr_V1.4:1:30765185:30767698:-1 gene:LPERR01G37020 transcript:LPERR01G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDREVSGVSIALHDGGSSISHLTGTIAGPLGTPYEGGTFLIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSSTARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >LPERR01G37030.1 pep chromosome:Lperr_V1.4:1:30769489:30771311:1 gene:LPERR01G37030 transcript:LPERR01G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGSPAASSAAADQAQPNKPAVITVALPPPAPPPTMPLTIFYNGSVATFHLTQDKAEDVMNMAAGKNSADGDGGAGDHRRQLAAAAEITASSSGDQMLERLKRELPIANKRSLVRFFQKRKERLYRP >LPERR01G37040.1 pep chromosome:Lperr_V1.4:1:30772745:30778859:1 gene:LPERR01G37040 transcript:LPERR01G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQSHVTTREVHTSSRVQHQPSPLSLLHSGLLQIPPFSLSSPAGNGEEKESRASDSGAGSRRCRPGWRGNKRMRGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYTRVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPESEYKVHRSESTKSLSAKSYNNGFAAVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCESP >LPERR01G37050.1 pep chromosome:Lperr_V1.4:1:30776020:30778419:-1 gene:LPERR01G37050 transcript:LPERR01G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAISKLAAPRPAATAALPPASVRSAFLASAPSPRRFHVSVSARSRSPIIAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIANGVRGVTSNPSIFQKAISSSNAYDDQFKQLILAGKDAENAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKLLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLVSLEEKGNSLKKTVSL >LPERR01G37060.1 pep chromosome:Lperr_V1.4:1:30781017:30782872:-1 gene:LPERR01G37060 transcript:LPERR01G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPTLFFFSQRRRIKTVESDSDQWPAGKSNGAGAGDVLEDDPIGKLKVFVYEMPRKYNLNLLAKDSRCLHHMFAAEIFMHQFLLTSPIRTLNPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYIAETWPYWNRTDGADHFFLAPHDFGACFHYQEERAVERGILPVLRRATLVQTFGQRNHPCLQDGSITIPPYANPRKMEAHLINPATPRSIFVYFRGLFYDMGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWNDIGVFVAEDDVPKLDTILSSIPLDAVIHKQRLLASPAMKQAVLFHQPARPGDAFTQILNGLARKLPHRKGVFLEEGEKGIDWNQGLESDLKPW >LPERR01G37070.1 pep chromosome:Lperr_V1.4:1:30788071:30799130:-1 gene:LPERR01G37070 transcript:LPERR01G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGGDRRASIHWPDRERVGERGDTGAPGKKRLVVVGIKKMRWVLAAIAILAAACFFLGAEAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATKWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPADTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPCGGDANPSPTSPLFSSLRRQLIIDSECHCLLHSSPPSHSAAAAASASSGGEESLLGGRNRGGGGGEVMELALLRLFPAAAVAVLLIVAAANSGVVIGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHDKSVYLNPGQKVLNWTQGPVGDLKPCE >LPERR01G37070.2 pep chromosome:Lperr_V1.4:1:30788071:30798129:-1 gene:LPERR01G37070 transcript:LPERR01G37070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVLAAIAILAAACFFLGAEAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATKWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPADTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPCGGDANPSPTSPLFSSLRRQLIIDSECHCLLHSSPPSHSAAAAASASSGGEESLLGGRNRGGGGGEVMELALLRLFPAAAVAVLLIVAAANSGVVIGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHDKSVYLNPGQKVLNWTQGPVGDLKPCE >LPERR01G37070.3 pep chromosome:Lperr_V1.4:1:30788071:30798129:-1 gene:LPERR01G37070 transcript:LPERR01G37070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVLAAIAILAAACFFLGAEAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATKWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPADTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPCLLGGRNRGGGGGEVMELALLRLFPAAAVAVLLIVAAANSGVVIGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHDKSVYLNPGQKVLNWTQGPVGDLKPCE >LPERR01G37080.1 pep chromosome:Lperr_V1.4:1:30803113:30806918:1 gene:LPERR01G37080 transcript:LPERR01G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGGGGGDAGEGEWLKVAELRAMAESQDPHAKEVDNLTLRRFLRARDQNVEKASAMLVKAIRWRKEAVPGGSVPEESVRRDLADDKVFMGGVDRTGRPILLAFPAKHFSAKRDMPQFKSYVVYLLDSICARIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKMIYPFIDNVTRDKFVFVDDKNLQEVLHQEIDDSQIPDTLGGKLAPISLKNNARSLTA >LPERR01G37090.1 pep chromosome:Lperr_V1.4:1:30811457:30817939:1 gene:LPERR01G37090 transcript:LPERR01G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPVPNPPLEAALALRRSARCMNRTRRPSYVEQQEPKETNSGRRRGGGGKRKREEEKQEASQQDGLKQNKKGLKVEAYSVRKPMPVIAAEPVSCAGFAGPAAAAASAEDDGVGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAAQAKGDMKRPSKRPDLKAITKMLESNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKFQGKEEYANLIFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLSRGNLALKNSKDNGNPIRVIRGHVSKSSYTGKIYTYDGLYKVVDDWVQNGVQGHVVFKFKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSDCNGCDCEGDCANNKNCSCAQRNGSDLPYVLCRDIGRLVEPKAVVFECGANCSCNRNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDIHLPSLHVENDSDAPPAPEYCIDAGSIGNFARFINHSCQPNLFVQCILSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNVVKLPCFCGAPDCRKRLY >LPERR01G37100.1 pep chromosome:Lperr_V1.4:1:30818803:30820500:1 gene:LPERR01G37100 transcript:LPERR01G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGNASSPAAAASTPRSRLPRWTRHETLVLLQAKRGMERRGGGGGRWSSPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWENKRNGLAGGEDVAVASFWEMRGEQRRARQLPSSFDREVYDLLVGGGGGGGDDVAPPFEFGEDLAGDGDGDGDDADELPPLMVMPISARKYVPPAASSQQECSDPATVSAKKGGAAASDKNSTSQHDGGVGGGDMKDSEATYFAGIGGEEGTTTATATATTTSIGKQVIEALERGNRMLGDHLEAQRSIWAVERDQRAALLAAVDKLAVAVGRIADKL >LPERR01G37110.1 pep chromosome:Lperr_V1.4:1:30823487:30826697:-1 gene:LPERR01G37110 transcript:LPERR01G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRESRCKRIIRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNGLLDYMVDFGKKEVTVRGTVAHTKKKRKQHKTKKHEENKKGFFAANWEKKSSSQNNDSARTLAWFLRCYSS >LPERR01G37120.1 pep chromosome:Lperr_V1.4:1:30829500:30831755:-1 gene:LPERR01G37120 transcript:LPERR01G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAGGGDEAAAQRGAIAARMRGEDYGGARTLLLETLQTNPRLDDAFEMLSVLEVLCAASGHGRGGVDWYRILQVLPRDDAGKIEAQYRSIVRQVEPVRDGLPGAEAALRLVNDAYAVLSDPEKRARYDSRVAHGEPWRDEILPQKNGVCGTGRSSAHDDPNSELGKSIGLDAEEDAVVGVTNNVGLYTQYTDRSRLDVGNCSNVASSSKTKRTDQCFLGDDSGFQLTDENHVDKKQKSVCEKDVHCVSSPQDDLDCTFTDPLDSRADDIHNFENDRGIMNFAAGQIWAAYDWEKFPRRYARINKILTDKEHLYVSWFKPSLQSQEEKKWFSAGLPFVCGTFIAEESKISVTCPTMFCHQISSEDWNHHIKVYPQQGEVWAIYSDWDIGWCNDPGMRKKSTIYVVEILTSYLKGSGCRVTQLVKVDGYKSVFQRCLSGKEQLLEVHIHNLLMFSHRIPSFSFTCEVGTVFELEHSAVPENLQHENASASADPLSPVRGLHNDSNGFHEAAVTQLSNPSTSKMDLGSPQQGLMSYNNKVSPEHFVEGQIWAVYDARDRMPRSYVRVIRVVSHTAVSVLKLEPHPMLNEEIRWVEDGLPVACGVLRAGTETTYKEMSEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKITLSSSDIDKCEPCMVEILSDYSDEVGVNVCRLTRVKGFLSFFQRVTVEDFHLTRLISRSDMLSFSHRVPAFVVIEIKDRDIPKGSWHLEPNALPLKNID >LPERR01G37130.1 pep chromosome:Lperr_V1.4:1:30832568:30837384:1 gene:LPERR01G37130 transcript:LPERR01G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMARRKNPTKTLAFLLLFVFFLSLASSQPLHSEPMPTTQSPPPSPPPPPPQSKIPHAESGGGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVKGPVSFTPQISPKNLLSALPLANALHGSYYKVVLDNDVTVAVKRLDTVAAASAAEASPSTMASVSKSDMRKVQRQLELLSRMRHQNVLGLKAYVREADRLSLAYDFVPGGSLEDVMKMVRSQSQQVNLNWDARNRIAVGVAKGLRYMHFECNPRILHCNLKPSNVMLDEGYEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDQFFSGEIGRGGLARWLRHMQQSGDAKDALDSSILGEEGEEYEMVMAVRVAIICLSDLPAERPSSDELVAMLTQLHSF >LPERR01G37140.1 pep chromosome:Lperr_V1.4:1:30846334:30852530:1 gene:LPERR01G37140 transcript:LPERR01G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMAPPPPPQHGSSTGDPLYDELWHACAGPLVTVPRIGDLVFYFPQGHIEQVEASMNQVADNQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKSTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQSPPTQELVAKDLHGNDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQMSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDQLWPESSWRSLKVRWDEPSNIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPVLTKEAATKVDIDSAQVRSQNSMVLQGQEQMTLRNSLTDSNDSDATVHKPMIWSPSPNGAKAHPLTFQQRPSIPMDSWMQLGRRETDFKDARSVSQSFGDSPGFFMQNFDEPSNHHASFKNQFQDQGSARHFSDPYLYVPSQHSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQPFTRPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNTPNNHLSSPMAATHEPMLQTPASLNQLQPAQTDCVPEVSVSTAGTTTENEKSAQQAQQSSKDVQNKSQGASTRSCTKVHKQGVALGRSVDLSKFNNYDELKAELDKMFEFEGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNTPRKEDSSENEKGSVKR >LPERR01G37150.1 pep chromosome:Lperr_V1.4:1:30854031:30858175:-1 gene:LPERR01G37150 transcript:LPERR01G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIRFAASPPPPAIAGGGAGDGRRGGGAVRVAVLGGGRRLEAAAAAAAAVGNSARCRAKKGGGTGGALGGIGVEEGGGDQLSVVMKFGGSSVSSAARMREVTGLIAAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNVIKDLHIKTVDELGLPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKVGVKARQCDAFDIGFITTDDFGNADILEATYPAVAKRIHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKHRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVDEDALHMS >LPERR01G37150.2 pep chromosome:Lperr_V1.4:1:30854031:30858175:-1 gene:LPERR01G37150 transcript:LPERR01G37150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIRFAASPPPPAIAGGGAGDGRRGGGAVRVAVLGGGRRLEAAAAAAAAVGNSARCRAKKGGGTGGALGGIGVEEGGGDQLSVVMKFGGSSVSSAARMREVTGLIAAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNVIKDLHIKTVDELGLPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKVGVKARQCDAFDIGFITTDDFGNADILEATYPAVAKRIHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKHRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKEKRSECPDDLASEAKQCIKALHQAFFEDDVLTEVDEDALHMS >LPERR01G37150.3 pep chromosome:Lperr_V1.4:1:30854031:30858175:-1 gene:LPERR01G37150 transcript:LPERR01G37150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIRFAASPPPPAIAGGGAGDGRRGGGAVRVAVLGGGRRLEAAAAAAAAVGNSARCRAKKGGGTGGALGGIGVEEGGGDQLSVVMKFGGSSVSSAARMREVTGLIAAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKVGVKARQCDAFDIGFITTDDFGNADILEATYPAVAKRIHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKHRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKEKRSECPDDLASEAKQCIKALHQAFFEDDVLTEVDEDALHMS >LPERR01G37150.4 pep chromosome:Lperr_V1.4:1:30854031:30858175:-1 gene:LPERR01G37150 transcript:LPERR01G37150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIRFAASPPPPAIAGGGAGDGRRGGGAVRVAVLGGGRRLEAAAAAAAAVGNSARCRAKKGGGTGGALGGIGVEEGGGDQLSVVMKFGGSSVSSAARMREVTGLIAAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKVGVKARQCDAFDIGFITTDDFGNADILEATYPAVAKRIHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKHRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVDEDALHMS >LPERR01G37160.1 pep chromosome:Lperr_V1.4:1:30859808:30862282:-1 gene:LPERR01G37160 transcript:LPERR01G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAAAAAKMEEMAGGGGGGGGGGGGDWSYLTADAMAAAAASFTAFPFHHHHSHRDVMSAPAPAAASLLLNMDAAAMFDFGAAPAAATAAFPSSSSVHAPPPPPPVDDDDEIEQSVDGAASSMGVSAASLENAPPAAAAAGGGGGGGGGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLPGPASASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILLSTLRALDNLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAM >LPERR01G37170.1 pep chromosome:Lperr_V1.4:1:30868658:30875852:-1 gene:LPERR01G37170 transcript:LPERR01G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGGVSPSHHHRSSSTSSAASAAAAAAGGVGGISISNVRRTQNVAARAAAARLAQVMASQSAAAAAGEDDDDDEYGANDHAAAPPVAPAARFGSARSSSGHGSNGVSLLGRAARSPSPALGRNIVEPPPVRSTSAGRPAVASRPTTTVVPPIKTNTALRTPSPIPPVSVDPPVDRSRQKRFDTSHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVSTLKQELDCAKEEVATAMEQLKDAETETKALRSMTQRMVLTQEEMEEVVLKRCWLSRYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGEDDAQRRNKLVRDMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNSQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQDSSAIENQ >LPERR01G37180.1 pep chromosome:Lperr_V1.4:1:30881070:30891271:1 gene:LPERR01G37180 transcript:LPERR01G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKKGTATPLGAVFSPEETRRAVARVAESVADRRSELSRLQGFVADNAALVSLVQRLPDELSHEIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEGQVEAMKATISDLEAEAKFFESTAAEASEGLVEIREEYEEDTEMNSSTYEASSSASGMPNEDMEHARIMARLDELEMKEKDAGSTSEEDGEDDEDDEEDPGSSEEDEEEENIHSDGDDHHNVSLGASFSGSGGNNQSHRSAQLKSALKKPVGREILTGASFAPSASTPHSVFPGQASIINSGVQPHIRKAVSFQDDDRHTVGPSKSLPLQQGPKHSTSILEVSSDNTSHDRKIISSGQKAFTGSIIEHEDNIATLQSSKSDALQNPASSSRPVSRFKMQKGGR >LPERR01G37180.2 pep chromosome:Lperr_V1.4:1:30881070:30885976:1 gene:LPERR01G37180 transcript:LPERR01G37180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKKGTATPLGAVFSPEETRRAVARVAESVADRRSELSRLQGFVADNAALVSLVQRLPDELSHEIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEGQVEAMKATISDLEAEAKFFESTAAEASEGLVEIREEYEEDTEMNSSTYEASSSASGMPNEDMEHARIMARLDELEMKEKDAGSTSEEDGEDDEDDEEDPGSSEEDEEEENIHSDGDDHHNVSLGASFSGSGGNNQSHRSAQLKSALKKPVGREILTGASFAPSASTPHSVFPGQASIINSGVQPHIRKAVSFQDDDRHTVGPSKSLPLQQGPKHSTSILEVSSDNTSHDRKIISSGQKAFTGSIIEHEDNIATLQSSKSDALQNPASSSRPVSRFKMQKGGR >LPERR01G37190.1 pep chromosome:Lperr_V1.4:1:30885634:30892441:-1 gene:LPERR01G37190 transcript:LPERR01G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSRMFHHHDSSKSGGGGGKSQYCIAAQIEASAGVGGGAAVACRRARYPPPRLGAMAAGDDDDDEDAAGTSTRRGGDDDKDWLQLGLASSSSSSGGGENDIAAAPAPAPSSELDMFANAGKQYNGRIPPSSSSAAAAAAAMMMMKPPSTSLPLPIYRSYHHQYGHGGRCRPTAAAFLPFARPSRIPGADDVGLRVISPPLRAEAAALWLTLQAAPDQAREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQVELTCRGQLLPPFLLVKTVRDSIWCSSPGPTWEDDNDDDDEMDLVELHRRSPATAATDHVMALFYRTSRSCH >LPERR01G37200.1 pep chromosome:Lperr_V1.4:1:30900913:30919704:-1 gene:LPERR01G37200 transcript:LPERR01G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHCTEKTSKCLNLGSYNYLGFAAADEYCTPQVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVEKGSLIISDSLNHNSIVNGSRGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQAISAIKVILGEDGSNRGAKKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMIYNPAKIPAFSRECLRQNVAVVTVAFPAVPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTTSVEKLKKLQSAAAVAAAMSSRLQSINQQQKQAASKRRSASSSSSSALHQTLALDPQLPSAATVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGGDSPAGTNPKGYAPICVGAEDFYIRRFFRRIQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTERTSKCLNLASFNYLGFAAADEYCTPRVIQSLKKYSASTCSSRVDGGNTLLHLELEELVAKFVRKPAAILLAMGYATNSAIIPALIGKGGLIISDALNHNSIASGARASGATIRVFQHNNPAHLEKLLREQIASGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKAYTYMDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLRQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLSAEQEETTSVEKPKKLQ >LPERR01G37200.2 pep chromosome:Lperr_V1.4:1:30900913:30912858:-1 gene:LPERR01G37200 transcript:LPERR01G37200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGDDSPAGTNSKGYAPICEGPEDFYFRRFYRRMQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTKNISKCLNLGSYNYLGFAAADEYCTPQVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVEKGSLIISDSLNHNSIVNGSRGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQAISAIKVILGEDGSNRGAKKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMIYNPAKIPAFSRECLRQNVAVVTVAFPAVPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTTSVEKLKKLQSAAAVAAAMSSRLQSINQQQKQAASKRRSASSSSSSALHQTLALDPQLPSAATVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGGDSPAGTNPKGYAPICVGAEDFYIRRFFRRIQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTERTSKCLNLASFNYLGFAAADEYCTPRVIQSLKKYSASTCSSRVDGGNTLLHLELEELVAKFVRKPAAILLAMGYATNSAIIPALIGKGGLIISDALNHNSIASGARASGATIRVFQHNNPAHLEKLLREQIASGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKAYTYMDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLRQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLSAEQEETTSVEKPKKLQ >LPERR01G37200.3 pep chromosome:Lperr_V1.4:1:30900913:30912858:-1 gene:LPERR01G37200 transcript:LPERR01G37200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGDDSPAGTNSKGYAPICEGPEDFYFRRFYRRMQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTKNISKCLNLGSYNYLGFAAADEYCTPQVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVEKGSLIISDSLNHNSIVNGSRGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQAISAIKVILGEDGSNRGAKKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMIYNPAKIPAFSRECLRQNVAVVTVAFPAVPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGGDSPAGTNPKGYAPICVGAEDFYIRRFFRRIQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTERTSKCLNLASFNYLGFAAADEYCTPRVIQSLKKYSASTCSSRVDGGNTLLHLELEELVAKFVRKPAAILLAMGYATNSAIIPALIGKGGLIISDALNHNSIASGARASGATIRVFQHNNPAHLEKLLREQIASGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKAYTYMDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLRQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLSAEQEETTSVEKPKKLQ >LPERR01G37200.4 pep chromosome:Lperr_V1.4:1:30900913:30912858:-1 gene:LPERR01G37200 transcript:LPERR01G37200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAVTTLFSFGLIFGFGHLRDYFRAGYAPICEGPEDFYFRRFYRRMQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTKNISKCLNLGSYNYLGFAAADEYCTPQVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVEKGSLIISDSLNHNSIVNGSRGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQAISAIKVILGEDGSNRGAKKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMIYNPAKIPAFSRECLRQNVAVVTVAFPAVPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVPYVTAVTTLFSFGLIFGFGHLRDYFRAVLRFFFFAAGGDSPAGTNPKGYAPICVGAEDFYIRRFFRRIQDCFGRPIAGKPDAWFDVVERYSNDSNKTLHRTERTSKCLNLASFNYLGFAAADEYCTPRVIQSLKKYSASTCSSRVDGGNTLLHLELEELVAKFVRKPAAILLAMGYATNSAIIPALIGKGGLIISDALNHNSIASGARASGATIRVFQHNNPAHLEKLLREQIASGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKAYTYMDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLRQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLSAEQEETTSVEKPKKLQ >LPERR01G37200.5 pep chromosome:Lperr_V1.4:1:30912904:30919704:-1 gene:LPERR01G37200 transcript:LPERR01G37200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHCTEKTSKCLNLGSYNYLGFAAADEYCTPQVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRRNVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPAEHEKATSVEKKLKKLHSIALFTNTIIQLLLLAAGVKEES >LPERR01G37210.1 pep chromosome:Lperr_V1.4:1:30920973:30925419:1 gene:LPERR01G37210 transcript:LPERR01G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIGGKEKEVVRLERESVIPIMKPKLIMKLAYLIEHQYDREEFLKLCKRIEYTIRAWYHLQFDDMMELYALFDPVHGAKKLQQQNFSPEEVDTLEQNFLTYFFQVMEKSNFNILSDDEVELAHSGQYLLNLPIKVDETKLDNKLLAKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIGRAWRWFLEKTRLQKLFSRKKSSRPKMDPKKNDDLVGDEEDKELYVERIRLETMKLSLRNLIGKVTIQEPTFEEVIVLYRRKSLKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVAAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELVMKARQS >LPERR01G37220.1 pep chromosome:Lperr_V1.4:1:30928369:30929721:1 gene:LPERR01G37220 transcript:LPERR01G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKENTSSKSGAGKKKATAGSDEDHSNSPTRSHDGDNVAPDEDDDDDIQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTDEPEKKKKHEVSHKEGALNGSTKHVVEEAKSSPYNDLVEEIKDNVSKGATAIQLKGLMTTSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDESSQMVMLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNEAVAGGKETQVVKNAKPFVEWLQSADSESEEE >LPERR01G37230.1 pep chromosome:Lperr_V1.4:1:30930622:30936031:1 gene:LPERR01G37230 transcript:LPERR01G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSSSLLSAISASPAAAAARPRNDAATATAAVKQLKTKHGGCSCCRSRGQRAVVVARAGPGPLTEIEPDLQEDPIDKWRTNGVSPDDFEYGVYDGHHTYHETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCIIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >LPERR01G37240.1 pep chromosome:Lperr_V1.4:1:30932738:30935859:-1 gene:LPERR01G37240 transcript:LPERR01G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGLANLQYFSCGTAEELQTLQRVVHPVQLNQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIQEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVSNGSVSTHLHGDFSYVFLILFPCSIRASKVLIPYWYPIGSSHAPGVRLEFKQRLSIAHGAAKGLSHLHSLTPPAVHMNFKTANVLVDEDFIPKVADAGIRALLDRLGGAGPSSRTSNDPFLDPRMRESINFSIQSDVYSFGVFLVELLSGQKALSDQNIIRWVQNFQQSSDISAIADNRMASGYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEGEINRIREQEMRLTTIMPESTPTVTLGSQLFTTSG >LPERR01G37250.1 pep chromosome:Lperr_V1.4:1:30940892:30942425:-1 gene:LPERR01G37250 transcript:LPERR01G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKAAARERREQRRRNVTLLRAVPYAPHQRWWDSLSLAPSSAGRAVAVVTGANRGIGYEAARQLAAHGLHVVLTSRDAARGRDAAEQIRAAAGVSVEWRRLDVTDAASVGEFAAWVEHTHGGIHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLIKTSPHGGRIVNVSSRLGRVNGRRNRIGDVSLRDRLLNDDQLSEELINEMVMKFLEQTKQDNWFSSEWPQMYTDYSVSKLAVNAYTKLLARRLLDRPEGQKIYVNCFCPGWVKTAMTDWEGNISAEEGADTGVWLALLPQDQATIGKFFAERREISF >LPERR01G37260.1 pep chromosome:Lperr_V1.4:1:30944167:30944499:-1 gene:LPERR01G37260 transcript:LPERR01G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASVRVVSMKAAAAAAAMLVLAAAATVTATAMADKVPIPPSVPYHPAGLTQCVTECGNKVTSCMMGCYNNGNGSGGAAGAATAMPFCLLGCTSDALSCATACSNVSL >LPERR01G37270.1 pep chromosome:Lperr_V1.4:1:30945437:30945676:-1 gene:LPERR01G37270 transcript:LPERR01G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIAAAATMPPAASGHRAVSPTTAGGEEADQCVSRCVGEMQACEAASGCAATLSSRRAGRCGSGGCENEYLGCIDVC >LPERR01G37280.1 pep chromosome:Lperr_V1.4:1:30947121:30953000:1 gene:LPERR01G37280 transcript:LPERR01G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPACRSASFRHSSSSSFPSRRRGTNPSSSASSSPPRRPRSVAAAAGEVMRPMDTQTLLIAAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMNIENGVVECRVCRGAGLILCKKCSGSGLIKREDMYELIKKLVLWCGVCDLSTPMER >LPERR01G37280.2 pep chromosome:Lperr_V1.4:1:30947128:30953000:1 gene:LPERR01G37280 transcript:LPERR01G37280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAMKRLFVESSSNSGREATAGAVLCAPRPRRVQVHPCSADLILGPPPFLLSSNTTKKQREGGKSKSPEEFFDNGSEDDGSWGMFGGSPPARADNPLVHDPHFLLNQRPHAAAGGVPELSIFDHHHRHSHHHSHLVPSYSNSNSSSSFAAPAVRIQGFDVAACRSSHGGADGGRVLSARA >LPERR01G37290.1 pep chromosome:Lperr_V1.4:1:30960275:30965290:1 gene:LPERR01G37290 transcript:LPERR01G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLHTSSNGDAERSANTAFASEKMLQTTKRLQRFDSLHMEAGKIPGGQSHAAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTSGIKDTNDILGVMSLIIYTVALLPLMKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSQYKLESPTNRVKRAHWIKEKMENSPNFKIMLFLVTILATSMVIGDGVLTPCISVLSAVGGIKQSAKSLNQGQIAGIAIAILIVLFLVQRFGTDKVGYTFAPIILTWFMFIAGIGVYNLFKHDTGVLKAFNPKYIVDYFQRNGKQGWISLGGVILCITGTEAMFADLGHFNMRAIQIGFSAVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSKKFHGQVYIPEINYLLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMAMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYKYELRNKVSSNYVSDLAVRRNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIVSIKYLPISKIETKERFLFRYVEPKEHRVFRCVVRYGYNDKVEDPGEFESLVIENLKQFIHEESFYSQSSHSLEGEPIQELGDVVEPTGEVQDAMSSRNSAKRPTADPRNGYMDEIQSIHKEMGNGVVHMLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >LPERR01G37300.1 pep chromosome:Lperr_V1.4:1:30968628:30969305:-1 gene:LPERR01G37300 transcript:LPERR01G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSAAAAARTAKRMWGYIRAVFFMVRKSSSKRRLILTFQLAFKRRNRAVARSVANLLSHGAGAGAVRRREYEFSCSNSPDVIVPAGNVSRRRMAPYFPCLGAEEDDVYDAGAAATAAAAELGRIEYYAAAAASPAPSSSEREIVLQEEEIDWGCAMTPARSPLPGTIGGGGYSVRVSNYSEEDDGEGGGEAVDDEAEEFIRRFYEQLRRQNNQLALLPSYVAA >LPERR01G37310.1 pep chromosome:Lperr_V1.4:1:30976192:30978594:-1 gene:LPERR01G37310 transcript:LPERR01G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEKQVVGPVSAEVAASTATLAVNLIQPFNIGPAVGSSGLLLETKEIRCTPSRDGVRVNCVAPGGVRTDIVGSSGVEAGDEEAMRMMAEAGGMGRAPMGRIGEPEEVASVVAFLCMPAASYITGQVNV >LPERR01G37320.1 pep chromosome:Lperr_V1.4:1:30978884:30980606:1 gene:LPERR01G37320 transcript:LPERR01G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRSQRWSLAGKTALVTGGTKGIGRAIVEELAGFGARVHTCSRDAAGLQDCLRRWSAAAGDGDGEITGSACDVSVRGDREALVSTARKVFDGRLDILVNNAGQILVGPAAETSPDDYARIMATNLESFFHLSQLAHPLLLAASTSTVVNISSTASLRAAPNMAAYAATKGGVNELTRSLAAEWARDGVRVNCVAPGGVRTDIVGSSGVEAGDEEAMRMMAEAGGMGRAPMGRIGEPEEVASVVAFLCMPAASYITGQVICVDGGRTIV >LPERR01G37330.1 pep chromosome:Lperr_V1.4:1:30983797:30986767:-1 gene:LPERR01G37330 transcript:LPERR01G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHDVTRRHGAAAEEDPDRRRSREHAGEEEYGGDGDDEKASARRSKRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEILTKNAKVQDQSSSGFSIFRMYLSQWIVACCILVIYLSLVYGIFVPDWDFRVSDDKSPNYGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHKPAWRRHKDCTDVSPHDGPFKTDAPAWCYAPFEPEGILSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSILGITLLVLGLTLHFSHAIPLNKQLYTLSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLRWTGMNAMLVYVMAAAGIFEGFLNGWYYDGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGVLHRVRLYWKL >LPERR01G37340.1 pep chromosome:Lperr_V1.4:1:30987620:30989016:-1 gene:LPERR01G37340 transcript:LPERR01G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTMPTTTPERPKKVAKLSAAAVVVPAASPEGKKKKNDENSAPKPTATTAAAAGEQAVEYVRSEDLQPMTHPKAKVAGLVADLDSKDWIRACEALNDARRLAIHHSSLLNPILGKVMLAMVKTMKSPRSAVCKTAIMACTDVFESFGNILSSSSTSDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLKKLKPYTRHANLRVRAKAAVAMSHCASRMEIGAMREFGMSAMLQAAAEMLSDRLPEAREAARAMVCSIHAAFCNEAAAAAKGKEEEGDLAGAGADVAASWESLCSISLPPISAQSVAKIVVSQSQSQSQSQ >LPERR01G37350.1 pep chromosome:Lperr_V1.4:1:30989832:30992810:-1 gene:LPERR01G37350 transcript:LPERR01G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVPWGERARVVGAQIRSRFRVGVVAAPVDRRWLWRRGDGRVASEAIRQWTERARSLWQKDRGSDQGSSSPGMSPAAATAAAAAAKPSSSALKFYRKKVGKEVEGIEDSVIIRSLQALAVPLIGNACHVFMHGLNSVQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCATDRCFTNPILSTFFKSVKVLPVSRGDGIYQKGMDLALSKLNNGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIHNSDETQQISRGILYDKATERIGLRLQELKAEVDRLAGEQKSELQNYHTRDTMSYGTRLWQQVDWESFGMGSSMFSSEPSEMQEQPKQVKLEVNLKPEHAKPDELHPQPEQPKPELHLEQVVPAAPWGAAIYSDGGVPLWFRHQTDHSELMGFAARGLLKNGRSIEEGYRELQQLGIMNLFRGSQASNNCTHRLI >LPERR01G37360.1 pep chromosome:Lperr_V1.4:1:30993488:30996393:-1 gene:LPERR01G37360 transcript:LPERR01G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTMSHSSSFLLPTTDSAAAAAYAVVVLNQRLPRFAPLLWNRARLRVCADGGANRVFDGMPELLPGEDPDEVRTRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPCSETSNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGAPSASTTTTGLRWNLDNTSMSYGGLISTSNIVEEETVRITSDSDLIWTISLRN >LPERR01G37370.1 pep chromosome:Lperr_V1.4:1:30998165:30998939:-1 gene:LPERR01G37370 transcript:LPERR01G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPFPATSSPAPAPSSSSPVVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRHVFFMDAAGHTLISIQTKSFGMLKRWVACRNHDDGDGDDGFGETTAAMATAATPWFSVRRGKRRSGGGAMTATVTLHGGDGGRAAYRIDGCPGKSEYRIVTGGGAGDGDAGEVVVAEVARKKTASGVVLGEDVLTLTVGPDADHLLVLGLVVVCGLMNRSM >LPERR01G37380.1 pep chromosome:Lperr_V1.4:1:31002226:31003155:1 gene:LPERR01G37380 transcript:LPERR01G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPTRIQPLSAHLHTSANPAYSDQKQVYTVWMKSLVFNGRGCTIYGQDGRAAYRVDNYACRRSREVFVMDSAGKTLLKLLKKNFGVFKTWQGYSCCNNGDANLEQEPWFRVQKEHKILKKEGRCNVRALVEIVLSGEVYRIDGMSHKSDYRIVGAGGEVLAEIKRKQTATGVALGDDVLSLTVGPTADRLLVVGLVVVCGLLDRCI >LPERR01G37390.1 pep chromosome:Lperr_V1.4:1:31003670:31007220:-1 gene:LPERR01G37390 transcript:LPERR01G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAAAAGGAVNDDDVILEITPSDAAADGVWNNGDTPPPRRAFSFGQAYKTRHREPQARHVFTVWQTVLLGYQSLGIVYGDLGTSPLYVFPSVVLPNADVTDFLGILSLIIWTLTLMSLVKYALIVLNADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRNGRPSRLQLLLENSLKFQIALTVIVLIATCMLIGDGALTPAISEHVVVLSSVILVLLFLVQRFGTSRVSFTFSPIMLVWFASIAGIGVYNIVTYYPPVLKAVSPHYIYYYFAKNKRIGWEQLGAVILCITGAEAMFADMGHFNKSSIQLAFSTVVFPSLILAYSGQAAYLIKNPGDLSTAFYSSIPAPLFWPMFVIATLAAIVASQSLISASFSIIRQSTALGCFPRTTVMHTSDKYEGQVYCPEINYFLMVVCILITVGFQGGPEIGRAFGVAVIWVMLLTTMLTTVVMMIIWEVNTFLAGVFFTVYAAIEGTYMSSLMNKVPQGGWVPFAITAFFLTITLSWTYGRKRKREYELAHAVAGDEFAAIVSSSARVPGICFFLTDLMDGVPPIVRHYAGNTGSLREILLFVTFRTLPVRTVLAGERLLVAKESGAAGVYRCIVQYGYMDERDIVGDAFVRAAVETLRDFAAETAGEAEMIGVAPASGVSYVLGRTVLKMGKRRGNNWFKRFVINELYRFLQKNFRSNVSTLKIDHAKTLQVGMVYDI >LPERR01G37400.1 pep chromosome:Lperr_V1.4:1:31009175:31025440:1 gene:LPERR01G37400 transcript:LPERR01G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATYRLHRLIISRSAYFRNMLQGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLSDIEVSGNENLNLTSSSGNSDPSIRKGKSPMNEAGQEQLMGSELQKRKVNVFCTGGPSGESTSYQFNEDIWLSTDQTRNCISRTSSSSGLVPAEWGKPNAPLWGGRVVGRRQVRCVRGSSALSADEYNAFMNIFERGSLLYCNMSFDALLSVRRQLEEFGFPCKAVNDGLWLQMLLCHKVQEIVADTCRNCCLTSNSCACKQAHMSSHHHYRQEHDRTSASGTVGNIYLADAHGEGNGVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDEAEPRVDYNGDISGDGLTALVNLSQESSASHHQAESIFETGMQVRYSGAASVSTPGGSSLQMQESKEHEPGSNWETAENATISLDMKTPLNHFPPFRFGVEFEDVHRLADSQVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLLANPTISDGHNIVVPDKQDHRTEAIICKYKSKHYRGKCTSNRNCAMICLHEDFTSGYCSKSIFSKCMCTKPCHGGGGGGGGGGGGGGEEGGGGGGGGDSDEPLYFGGHRVNRSPPVMMVTISSSQTNKTTVLAIALLMAIILASLPGTKAIICKHKSKWFHGECTSNRNCGLICQHEDYTGGYCTKGWFHKFRKCMCTKVCDGSGGGGGGGDGGGDGDYEPPYFDGRVNRSPSVMEPNLICPSKREVMLFGSLKQAGTLLPKAPKGWGWRTAILFDEHGNLLQGTEANICKQKSKLYHGKCTSSRNCAMICLHEDFTGGYCSKRMSAKCMCTKACSGGGGSGGGGGGGGGGGDGDYEPPYFGGRVNRSPPVMEPN >LPERR01G37400.2 pep chromosome:Lperr_V1.4:1:31009175:31025440:1 gene:LPERR01G37400 transcript:LPERR01G37400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATYRLHRLIISRSAYFRNMLQGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLSDIEVSGNENLNLTSSSGNSDPSIRKGKSPMNEAGQEQLMGSELQKLKLHDNTENITAHNTIDMPDMAIPLDSAAYSNEQNAEASRRKVNVFCTGGPSGESTSYQFNEDIWLSTDQTRNCISRTSSSSGLVPAEWGKPNAPLWGGRVVGRRQVRCVRGSSALSADEYNAFMNIFERGSLLYCNMSFDALLSVRRQLEEFGFPCKAVNDGLWLQMLLCHKVQEIVADTCRNCCLTSNSCACKQAHMSSHHHYRQEHDRTSASGTVGNIYLADAHGEGNGVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDEAEPRVDYNGDISGDGLTALVNLSQESSASHHQAESIFETGMQVRYSGAASVSTPGGSSLQMQESKEHEPGSNWETAENATISLDMKTPLNHFPPFRFGVEFEDVHRLADSQVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLLANPTISDGHNIVVPDKQDHRTEAIICKYKSKHYRGKCTSNRNCAMICLHEDFTSGYCSKSIFSKCMCTKPCHGGGGGGGGGGGGGGEEGGGGGGGGDSDEPLYFGGHRVNRSPPVMMVTISSSQTNKTTVLAIALLMAIILASLPGTKAIICKHKSKWFHGECTSNRNCGLICQHEDYTGGYCTKGWFHKFRKCMCTKVCDGSGGGGGGGDGGGDGDYEPPYFDGRVNRSPSVMEPNLICPSKREVMLFGSLKQAGTLLPKAPKGWGWRTAILFDEHGNLLQGTEANICKQKSKLYHGKCTSSRNCAMICLHEDFTGGYCSKRMSAKCMCTKACSGGGGSGGGGGGGGGGGDGDYEPPYFGGRVNRSPPVMEPN >LPERR01G37400.3 pep chromosome:Lperr_V1.4:1:31009175:31025440:1 gene:LPERR01G37400 transcript:LPERR01G37400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGATYRLHRLIISRSAYFRNMLQGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLSDIEVSGNENLNLTSSSGNSDPSIRKGKSPMNEAGQEQLMGSELQKLKLHDNTENITAHNTIDMPDMAIPLDSAAYSNEQNAEASRRKVNVFCTGGPSGESTSYQFNEDIWLSTDQTRNCISRTSSSSGLVPAEWGKPNAPLWGGRVVGRRQVRCVRGSSALSADEYNAFMNIFERGSLLYCNMSFDALLSVRRQLEEFGFPCKAVNDGLWLQMLLCHKVQEIVADTCRNCCLTSNSCACKQAHMSSHHHYRQEHDRTSASGTVGNIYLADAHGEGNGVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDEAEPRVDYNGDISGDGLTALVNLSQESSASHHQAESIFETGMQVRYSGAASVSTPGGSSLQMQESKEHEPGSNWETAENATISLDMKTPLNHFPPFRFGVEFEDVHRLADSQVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGTEAIICKYKSKHYRGKCTSNRNCAMICLHEDFTSGYCSKSIFSKCMCTKPCHGGGGGGGGGGGGGGEEGGGGGGGGDSDEPLYFGGHRVNRSPPVMMVTISSSQTNKTTVLAIALLMAIILASLPGTKAIICKHKSKWFHGECTSNRNCGLICQHEDYTGGYCTKGWFHKFRKCMCTKVCDGSGGGGGGGDGGGDGDYEPPYFDGRVNRSPSVMEPNLICPSKREVMLFGSLKQAGTLLPKAPKGWGWRTAILFDEHGNLLQGTEANICKQKSKLYHGKCTSSRNCAMICLHEDFTGGYCSKRMSAKCMCTKACSGGGGSGGGGGGGGGGGDGDYEPPYFGGRVNRSPPVMEPN >LPERR01G37410.1 pep chromosome:Lperr_V1.4:1:31028244:31028624:-1 gene:LPERR01G37410 transcript:LPERR01G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPCRAALAVAAVLLLLFISATATAAQQHEDHRYDGGGWAAATVEVCAGEAEECADFAAAGDAAATATRRRRLQGGGYVSYDAMRRNSVPCSYRGASYYNCRPGGQANPYSRGCSAITRCRG >LPERR01G37420.1 pep chromosome:Lperr_V1.4:1:31032928:31034532:-1 gene:LPERR01G37420 transcript:LPERR01G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQALVLRVSIHCEGCKKKVKKVLQNVNGVFRCDVDARSNKVIVTTSRNLDANILIAKLRKSGKQAEPWPEEPKPQQPPPAESQTQETKNQNDESSKPSDQPPEKPGPDNAEASSAEPNNPQPSPEPKKGTDETPKPAQEIQEKSNADANANGNASGETKAPAAAEAAGQQSSEPKGKAKQHQEERPIDAKVTMEYGGGGGYMNRMPAPQPVMSYNVARPMASTAYYATPPAPAPMMSMPMPMARPAPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSSDDYYYGAPQSRSAFSPPRDAYGEMFNDENANSCSVM >LPERR01G37430.1 pep chromosome:Lperr_V1.4:1:31039516:31039890:-1 gene:LPERR01G37430 transcript:LPERR01G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPASAAAANNNAAAGGGGIRRKALLHTPSGEVVSSYASLERKLAALGWERYYSGLAAGGVGGGGMIQFHKRSSVDLISLPKDFTQFGSVHMYDIVVKNRDAFRVIDV >LPERR01G37440.1 pep chromosome:Lperr_V1.4:1:31044802:31046435:-1 gene:LPERR01G37440 transcript:LPERR01G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGKGRKLYGMALSGNVVRVATALNEKGLDFEIVPVDLRTGAHKQPDFLALNPFGQIPVLQDGDEVVYESRAITRYIATKYKAEGADLLPAAASPAKLEVWLEVESHHFYPPVSALVFQLLIKPILGAVPDAAAVDEHAAALAKVLDVYEAHLAAAGNRYLAGDEFTLADANHMCYLFFLSKTEKAELIASRPHVKAWWDDISARPAWKKTAAAIPLPPAA >LPERR01G37450.1 pep chromosome:Lperr_V1.4:1:31048139:31052047:-1 gene:LPERR01G37450 transcript:LPERR01G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAPPNPNPLPDDDPSPPAPWTEVAEKALPTTSIEPTPSGDESETDDSSSSSSSVSSASPTAAAAVTGGGGERAPSAAAPHPEAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLAAVGDNGGGGGGVVGGGGIGVVEMLFRCNILALVGGGDSPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPEVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMQQLECYNFLKPPSDQPQ >LPERR01G37460.1 pep chromosome:Lperr_V1.4:1:31054826:31055878:-1 gene:LPERR01G37460 transcript:LPERR01G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISGKDLKDVNLLSKMEVYAVVSLSGDPRSRQRVAPDRAGGRNPTWNAALRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDAAVPAKFVAYQVRKISSGKPQGVLNFSYKLGEVTQSQSPAAAAAPAGYAQPPPPPAYPPYPTAAAAYPPAAAKADAAYPPQSTYPPPTAYPPAAKADAYPPPGKSNDPSTAYPPPSGYPPASGGKPAKSGEPVTAYPATAGPSTATPYAAPPQQYGYGYPAQPPAGYGYPPPQGAYGGYGYPPQGGYGGGYQQQAVKPPKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >LPERR01G37470.1 pep chromosome:Lperr_V1.4:1:31058244:31064526:1 gene:LPERR01G37470 transcript:LPERR01G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQMRRALVDALAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLRRDVYGPSKYTGLLQASKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSKTGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFVDIIKTRGYRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESMLM >LPERR01G37480.1 pep chromosome:Lperr_V1.4:1:31065159:31073761:1 gene:LPERR01G37480 transcript:LPERR01G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFKPAAGALVESGGGGGGAYAGWDSIPAMVAAQQELLHAQVDQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKFMQSLFAVKDTIGKKETREISATFGVTVTQVREFFASQRTRVRKFVRLSREKALRIESSKVPDNVCSISTEQTPVDIEANAQVIEPLRTLEPLEVQQIPLQHVVVPQIYLQLPVVPQSCATSVAPTGIMQPIDAKTTPDSVQKETKQEEVAAGVESEDKKFLESIFALMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLSEAVTEEQTTVILVIFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEEILALTEDASESRMPEPRKTPLLLTASPDESNKKGSLQTKSKERRKVLLVEHPNRKAAGKNVIPTRSKSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTERSHATEIQKQTGLVDSNAPLVPRSPLTSISKQPVDPSPSTSKQSTVSPSDMPEIPVSSKLNITTKENFTEKLDSKRVIWQTPPDVRIDPAWSLGAGENSKEFEVQTQRNRREKETFYASLKDIPLNPKDPWDVEMDFDDSLTPEIPIDQPPDADAMETDSLSTAPPNIGVPVVDKQVGSTSSVSPAVASGSNGASSEPDLELLAVLLKNPQLVFALTSNQGEALPSEQTVALLDMLKQTGLGLSELVNSLGNNSGVQKEPESGPEAIPTSLPSPTPPKDLAARDGWRSEFPAEVRTPNLQQAHLPNRANAPPISSTVQQSFSNVSISVNLSTQHVAPMNDLLSRTTVHHHTQSYALASDPVAVDVHRQPAMNKLAHEVQNISHPAVVAHSSVAEPHASYTSQTWQPSAASVATTGRNATPDRWAARTTSSFNVAPSNPVTYANQNVYCNHSTTQSTYNAYGSTPVSSHSLLPGQGLERNGYTHTAEYQTMTARDALRRNSRSPELGASAALYGSSSQGYVPEPSRQWNYGQQSYNPEPSRGQQSYIPEPSRGQQNYNPEPSRQWNSGQQSYNPTEPARQWSSGQQSYSNPAETSRQWSSAQQSYNPEPSRQWNSGHQSQNPESLRQWSHHGKQERYNNPTDGRNSYDQHWRR >LPERR01G37490.1 pep chromosome:Lperr_V1.4:1:31074853:31079214:1 gene:LPERR01G37490 transcript:LPERR01G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATARHNNHHHRALSRCGERRRWRSGEVRCSSSPAQEFAALAAVFRRRLVVGASTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPASWVGDQTLLYRGVKKAELQRSLDPPPLTNGRSSSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKEVGEVVLRRIAKTKRSADINATLLDAALREDSVNNVKYYKLEFRVESPSFRRRNIAVCCAKDGKLYTLNAQAPELAWKTVRNEFLAMADSFSLVSDV >LPERR01G37500.1 pep chromosome:Lperr_V1.4:1:31080602:31082488:-1 gene:LPERR01G37500 transcript:LPERR01G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGRREDRGGNEEDVKQREKGRQRRQLEVRGREHQQDRHQATKEMEGSSSSKHFILVHGFGQGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDEVNSFEEYSQPLLDAVAAAPAGERLVLVGHSFGGLSLSLAMERFPDKIAAAVFVAACMPCVGKHIGITTELMMEKSPKDLLMDSKMIPINNKQGPGTAILMGPNFLAERGYARSPAEDRTLAISLARPGSQFMDDPIMKDDKLLTVANYGSVKRVYLIAKEDFNVELLHSMVALSPGTEVEEIAGADHSVMSSKPRELSDILAKIGSKYD >LPERR01G37500.2 pep chromosome:Lperr_V1.4:1:31080602:31081914:-1 gene:LPERR01G37500 transcript:LPERR01G37500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWSTPINKKGNRREAALHSISNSKSRKANCKHLQEMEGSSSSKHFILVHGFGQGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDEVNSFEEYSQPLLDAVAAAPAGERLVLVGHSFGGLSLSLAMERFPDKIAAAVFVAACMPCVGKHIGITTEVLMMEKSPKDLLMDSKMIPINNKQGPGTAILMGPNFLAERGYARSPAEDRTLAISLARPGSQFMDDPIMKDDKLLTVANYGSVKRVYLIAKEDFNVELLHSMVALSPGTEVEEIAGADHSVMSSKPRELSDILAKIGSKYD >LPERR01G37510.1 pep chromosome:Lperr_V1.4:1:31082935:31084650:-1 gene:LPERR01G37510 transcript:LPERR01G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSSSKTHFVLVHGLCHGAWCWYRVATSLRAAGHRVTALDMAACGAHPARVDEVASFEEYSRPLLDAVAAAAPETEEERLVLVGHSHGGLSLALAMERFPKKVAAAVFVAAAMPCAGKHMGVTTEEFMRGTAPEGLLMDCKMVAINNSQGAGVAIHLGPNFLAHKYYQQSPAEDLALAKMLVRPGNQFMDDQVMKDQGLLTNGNYGSVKKVYVIAKDDSSSTEEMQRWMVAMSPGTDVEEIAGSDHAVMSSRPKELCDILIKIANKYE >LPERR01G37520.1 pep chromosome:Lperr_V1.4:1:31085272:31085775:-1 gene:LPERR01G37520 transcript:LPERR01G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMRRITPDFFMDSKRIPLNTTQGPRTAVLFGPKLMAEKLYNQSPAEDLTLAMMLVRPGNQFIDDPIKKDETLLTDGNYGSVRRVFVIAMDDASSNEEIQRWIIDRSPGAEVEEIAGADHMAMCSKPRELCDVLLRIAAKYA >LPERR01G37530.1 pep chromosome:Lperr_V1.4:1:31090849:31094219:-1 gene:LPERR01G37530 transcript:LPERR01G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFELQQQQPPYYSKLLLGSPLEIANVDDGDLHHIAGAPSEPPPAPAPTVKKKRSLPGTPDPSAEVIALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGGAGDQPPRKRVYVCPELSCVHHSASRALGDLTGIKKHFCRKHGEKKWKCDRCGKRYAVHSDCKAHSKVRDSFVTHRAFCDALSKENNKMAQPMNMAAVTSALQGQHHHHLVLHSTCHSDDPITAADEHDTAAAAGYGLGAADVKISPHLTMFPDVAIPPALTMAGSMLSSLAVAAARSAPPSPSPFFHGRNNLDPAMAFPPPPPPGTSSSSSPAIMSATALLQKAAELGATTSSPAASCYGSVGFPSMVGVGPHGPDRIPALGHHLGPCDGVVVPAAAATTQLVGFDLGGLLPGQLYGGGGAMTRAIGSLMHGDQLHAGVVDRRRRGGEGGVRVVDYMGVDDDEQGRFDGVGHFGPQMGPWA >LPERR01G37540.1 pep chromosome:Lperr_V1.4:1:31104073:31112468:-1 gene:LPERR01G37540 transcript:LPERR01G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGGDAAAAADGRRNQYQLAGEGSSAAGEAAEMIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFSALGFDDYVDPMRRYLNKYRELEGDRAAASAAASSSSRPAGGGGGGGGGGGPDVGAGVATGHFMFNAMDRTDSNTNNSRQYTPLFHPEMSRDLNFSAFSQMGRLQPMQSLPRAPPPSSSASGAASGMNGGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRKTVNGDDICHAMRTLGLDHYADAMARYLQRYRESEELAASLNNSNAGAGIQIDVRAELSIFRGNQQQ >LPERR01G37550.1 pep chromosome:Lperr_V1.4:1:31124772:31130580:1 gene:LPERR01G37550 transcript:LPERR01G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHISFEDGWKILEQGIVKCSKILEGCPSGRPTVNEYMLNYDIAYRMAVQKDQYCQELYDGYKATLADCVRAMVLPSLMHKRDDCFLRELVKMWSNYCAMVRFICGFFSYLDRCFVPHKRLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRKERDGCNVDMDVLMSIMHGICRSEVKTTMKNAVIQDTYLYYTRKSYEWIVQYPLQDYLAKAQETVEKETERLIHYLCISKEESSDLSLKAVSAPLMQAYESYTKEKHIGGEVLLQTYKTVEEDLLDKCSRLTIEGGSYNSSLSHMEK >LPERR01G37550.2 pep chromosome:Lperr_V1.4:1:31124772:31130580:1 gene:LPERR01G37550 transcript:LPERR01G37550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHISFEDGWKILEQGIVKCSKILEGCPSGRPTVNEYMLNYDIAYRMAVQKDQYCQELYDGYKATLADCVRAMVLPSLMHKRDDCFLRELVKMWSNYCAMVRFICGFFSYLDRCFVPHKRLPSLEDAAATSFFSPIRKERDGCNVDMDVLMSIMHGICRSEVKTTMKNAVIQDTYLYYTRKSYEWIVQYPLQDYLAKAQETVEKETERLIHYLCISKEESSDLSLKAVSAPLMQAYESYTKEKHIGGEVLLQTYKTVEEDLLDKCSRLTIEGGSYNSSLSHMEK >LPERR01G37560.1 pep chromosome:Lperr_V1.4:1:31129844:31132048:-1 gene:LPERR01G37560 transcript:LPERR01G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTAAVCTEKASEMIIKIGQVDDVQELQRQCSTTVPERYIRDGDDRPAAENADDVLVQERIPVIDVGELQRGGEDELHKLRLACEQWGFFQVVNHGVEKELMGEMEKVAREFFMLPLEEKEKYPMEVGGIQGYGHAFVFSEEQKLDWCNMLALGVEPAFIRRPNLWPTTPPNFSETLEKYSVQIRQLCVQLLDHIAETLQLPSGRFNAMFGEAVQAVRINFYPPCPRPDLVLGLSPHSDGSAVTVLQQDASFAGLQVLRRRDGETAWVGVRPAEGALVVNVGDTLEVLTNGRYKSVEHRAVASGERERMSVVTFYAPAYDVELGPLPEFVDGEGEPCRYRRYNHGEYSRHYVTSRLEGKKTLEFAKI >LPERR01G37570.1 pep chromosome:Lperr_V1.4:1:31135926:31141610:1 gene:LPERR01G37570 transcript:LPERR01G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGVTGGPKLLPRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSAFSGRLRQYQDEQTVFGVLSLIFWTFTLVPVLKYVIIVLSSDDNGEGGPFALYSLLCRHAKLSLLPNQQTADEELSTYYRNGFSPCHGFLPWVRRFVEKHKKARTVLLLIVLCVASMVICNGILTPAISGSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLFCIGGIGLFNIIHWNPRIYQALSPYYIVKLFRTTGRDGWIALGGILLSVTGCEAMFADLGLFTSASVRLAFILIIYPCLILQYMGQAAFLSKNIFDMPTGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNASGIACITVMFITTFLMALIMIFVWQKNIIFALSFFLLFGSIEVVYLSSSLMKVTQGGWVPLVLAFIFVSVMYIWHYGTRKKYQVDLQNKVSLRYILSLGPSLGIVRVPGIGLIYTELVTGVPSIFKHFTENLPAFHEVLVFLCVKSVPVPYVPPDERYLVGRIGPRVYRMYRCIVRYGYKDVQRDDENFENMLVMSIARFIMMEAEDASSSASYDIANEGRMAVITTTDDYGTPLAVRDLDDQTDSVTTRSSKSDRLRKLQSTYEQESPSVSKRRRVRFELPVEDDMDPQVKDELLALVEAKHTGVTYVMGHPYIKAKKNTPVRKNSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGLTYQIYGAP >LPERR01G37580.1 pep chromosome:Lperr_V1.4:1:31141976:31147677:-1 gene:LPERR01G37580 transcript:LPERR01G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVFSNLPLLLLLPQPLRPPPRMLLLLRRHHRLAVSSTPLRVLAAAAMSSSSSGSGPAGGVPSSEHGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGEEAFEKARRKDIPIFLSIGYSTCHWCHVMEVESFENDEVAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKDAWETKRDALEKTGNMVIEQLRDALSAKASSQDIPNDLAVYVDQCVEKLAGSYDPKFGGYGSAPKFPRPVENYIMLYKFRKHLESGHVSEAQNVMKMITHTLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYCSVAHDILDYLRRDMIGEEGEIYSAEDADSAEYEGAPRKKEGAFYVWTSKEIEDILGENAELFKNHYYVKSSGNCDLSRMSDPHDEFRGKNVLIERKQASLLASKCGKSLDEYAQILGDCRHKLFDVRSKRPKPHLDDKPREYLEAAEKAASFIKEKLYDASSNRMNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGEPSGNSVAAINLIRFSSIFDAAKSDGYKRNVEHLLAVFQKRLKELGIALPLMCCAADMLSLPSRKQVVLVGDKESAEFHDMVAAAFSTYDPNRTVIQIDPRNMEEMAFWESNNANIAEMARSSSLEKPAVAHVCQDFKCSPPVTSPDALRELLNKTVAAATSSAAA >LPERR01G37590.1 pep chromosome:Lperr_V1.4:1:31148436:31153857:1 gene:LPERR01G37590 transcript:LPERR01G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNGELRKRPCSPLLPLDSLFLAPLLFSIPLRLTVPDLRGSSARRRRAGSEGPDSFPPASIAFPIINGCWEGHQPAFEESISSICKEGQRASSLIRGSSLLSSSWINHEEASCFTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >LPERR01G37590.2 pep chromosome:Lperr_V1.4:1:31148431:31153857:1 gene:LPERR01G37590 transcript:LPERR01G37590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRPSGSSLLSSSWINHEEASCFTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >LPERR01G37590.3 pep chromosome:Lperr_V1.4:1:31148431:31149164:1 gene:LPERR01G37590 transcript:LPERR01G37590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNGELRKRPCSPLLPLDSLFLAPLLFSIPLRLTVPDLRGSSARRRRAGSEGPDSFPPASIAFPYRFEAL >LPERR01G37600.1 pep chromosome:Lperr_V1.4:1:31153222:31155196:-1 gene:LPERR01G37600 transcript:LPERR01G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEYLPNGSVCGHLYDTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLSELITGKEASSLVSSEPREYLAHWMETHFSSNEDVTDPRLGGSFTSEGMKELVMLTLQCVSPAARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >LPERR01G37610.1 pep chromosome:Lperr_V1.4:1:31160230:31162275:-1 gene:LPERR01G37610 transcript:LPERR01G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALALALSRADAAASPAAGPVAGAQWIRSLSSLPRDPAVAAAAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGISNGSSAQQEGATTKVMAFSPLEASIAKPRSGPLTAESSKVKRTEIVTQATYYMIPTLLLVSRNSILLIIMAKDTAVYFNLF >LPERR01G37610.2 pep chromosome:Lperr_V1.4:1:31160230:31162275:-1 gene:LPERR01G37610 transcript:LPERR01G37610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALALALSRADAAASPAAGPVAGAQWIRSLSSLPRDPAVAAAAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGISNGSSAQQEGATTKVMAFSPLEASIAKPRSGPLTAESSKVKRTEIVTQATYYMIPTLLLVSRNSVSTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVLIYFKILLIIMAKDTAVYFNLF >LPERR01G37610.3 pep chromosome:Lperr_V1.4:1:31160230:31162275:-1 gene:LPERR01G37610 transcript:LPERR01G37610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALALALSRADAAASPAAGPVAGAQWIRSLSSLPRDPAVAAAAPAPRQPAVGSPLGLSKVDLSLRSSLWIPGYEQTSRLSGTQVLPRWFSTGISNGSSAQQEGATTKVMAFSPLEASIAKPRSGPLTAESSKVKRTEIVTQATYYMIPTLLLVSRNSVSTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVLIYFKILLIIMAKDTAVYFNLF >LPERR01G37620.1 pep chromosome:Lperr_V1.4:1:31164874:31165188:1 gene:LPERR01G37620 transcript:LPERR01G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLARLSSERAVVIFGGSNCFMCHAVKTLFSELGVSWAVHELDKDPDGKDIERALARMVGRSPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLRQAGALWL >LPERR01G37630.1 pep chromosome:Lperr_V1.4:1:31169670:31175225:1 gene:LPERR01G37630 transcript:LPERR01G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFMCFGSAQEGDAKKPGADAKDARKDGSADRGVSRVGSVLMLRGLHTTITDFELYNTFCLDQSKSHGGLDSKKDVVIHRDGNNQNIAAQTFTFRELASATKNFRQDCLLGEGGFGRVYKGHLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCANGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKVAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPPGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNIAGWNDDRRSVRSPNHHSPDLRESARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETRRTADRQRAIAEAKMWRENSRERKQLNGHGSFDSTNE >LPERR01G37630.2 pep chromosome:Lperr_V1.4:1:31169670:31175225:1 gene:LPERR01G37630 transcript:LPERR01G37630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFMCFGSAQEGDAKKPGADAKDARKDGSADRGVSRVGSDQSKSHGGLDSKKDVVIHRDGNNQNIAAQTFTFRELASATKNFRQDCLLGEGGFGRVYKGHLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCANGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKVAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPPGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNIAGWNDDRRSVRSPNHHSPDLRESARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETRRTADRQRAIAEAKMWRENSRERKQLNGHGSFDSTNE >LPERR01G37640.1 pep chromosome:Lperr_V1.4:1:31174333:31177567:-1 gene:LPERR01G37640 transcript:LPERR01G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLFVLCSAREITTKDHPSNTIYNPKLAKTLVEYASAIYTADLTQLFTWTCARCGDLVKGFEMMDIVVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDFDYPGMPEAMVHRGFYTAYHNTTIHDGVVSGIQKTRKLFGDVPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYVPHAIRVTHAHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCSRSVSGNSVQDHIYYLGVSMHAEAWSSCRIVMDYSKLRYQMDINGNIVLSKQPVVSLSGDQEHSDQ >LPERR01G37640.2 pep chromosome:Lperr_V1.4:1:31174335:31177567:-1 gene:LPERR01G37640 transcript:LPERR01G37640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLFVLCSAREITTKDHPSNTIYNPKLAKTLVEYASAIYTADLTQLFTWTCARCGDLVKGFEMMDIVVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDFDYPGMPEAMVHRGFYTAYHNTTIHDGVVSGIQKTRKLFGDVPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYVPHAIRVTHAHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCSRSVSGNSVQDHIYYLGVSMHAEAWSSCRIVMDYSKLRYQMDINGNIVLSKQPVVSLSGDQEHSDQ >LPERR01G37650.1 pep chromosome:Lperr_V1.4:1:31182142:31184019:-1 gene:LPERR01G37650 transcript:LPERR01G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNNAVGSSGRCSRSLFRRKSSAAAVVTGAVEPPPVKRSSSAAAVVVKKSLHEGVVIADANNDKPTATVKDNANKEEEKKVEEISNNADDAVAGDVVVKEEIIEATINDEFVENKQTITDANAKNNVASTNINVAVVDEKKSEETKADDEVANPPPIEAAVDEKDDQESEEETATEANNDDAAATALTEDDDDDDQVNDDESITFAVAPITDHDDEGSVNFPAARARPFVADADANADEVHDDDTTVTVTAAPATEENDVTFTTAAPVAEEVVVEQIQLPRSSENDEIEVKAEVADVDEVVAGVDQEDEEVEEEAKEETENVEEIVVVEQEVIKVEEEEVIIVSQETEEDKSIALSRDEDGESKGEQNIDLKEAITTEEKGEEEQVVTEKKGDEQEQQATATPIESPLKKDDEQEQQAITAPIESPLN >LPERR01G37660.1 pep chromosome:Lperr_V1.4:1:31184773:31185666:1 gene:LPERR01G37660 transcript:LPERR01G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTWRWPWTWRMGPTASCWAWIPGWPRPWFLGAWIWRLPRILLLHPLLLLPTPGLLWAVAGPPRPGWPTATLLRSSWVLTKTQSREIMQI >LPERR01G37670.1 pep chromosome:Lperr_V1.4:1:31187725:31190292:1 gene:LPERR01G37670 transcript:LPERR01G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSTTDSSIEGRQQIHQAGESPAMDLSNEDKAPVMEEKKRKTKMIRYTQQQINCCIAFKEVKLFKNDRPKLTETLPKDFLARLPPYIVEQMHEHENSRAEERARWEKLVKEDREEREGILKQYFTKGYAECEVYVDDDEEEDEEEENKVRVRVVPPTGRRRFRNGVAVRKNQSGIDTPATNPSSPTDSSIEEKHQIQVSKSPEMDLSNGDKAPVIEKRKTKMIRFTQQQINGYIAYKEVKMPKQEVLPKLTESLSKELLARLPPHIIEEMNDFENYKAESEARWEELEKQIREEREDVLKQYFTKGLVYMMTMRRRKMVKKRIKFVFELFLRLAGGDSTMVWP >LPERR01G37680.1 pep chromosome:Lperr_V1.4:1:31191307:31195564:-1 gene:LPERR01G37680 transcript:LPERR01G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVIFPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSFFSKETGRYIEESKLRVVLVSASDPFGEESINGTANTEAAVEVPSLKEPLNVKNEIPVTEKVVHPPLEEDPVIIPAPRYHVKEAPILREVPVHISPVRDTPIPREVHAPISPVKETPILREVPTPLKETPVILTESPPPPRDTPSTVESLHPLEQNIASLKESPLEETLPKAAVVLSEQRAINVQSRQLSHVTEDVQNLKSKLNGLELKLEEAEKMIIKLREESRSTTQERDRLQQEMVFLRKKGTPKNQVGFPLLFVVYVALLGTSLGYLLRL >LPERR01G37690.1 pep chromosome:Lperr_V1.4:1:31199513:31210327:-1 gene:LPERR01G37690 transcript:LPERR01G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAERHLLLAVALCAAVLAFSPPCACAAASGASNGKPLVTAITKDAATSLYTIPIKDGHPLVLDLAGALVWTTACDAAGGNATLECHHHYCMHAHSYHPPNCPHNGYGRADAGDPFRCKCTAHPYNPFSGDSATADLTRTTLSANATDGKNPLFPVKFTAVASCAPDSMTVKLPAGAVGVAGVGRTRLALQAQVARSQKVANKFALCLPGGAGAGDAGVAIFGGGPLFLLPPGRPDVTATLAGETPLRRSKDNFSPGYFISATKIAVNQQQINLYSNDPLLIELSTRIPYTELRPDVYRALIDAFDKATTGRKRVSPAPATATAAGFELCYDSRELGSTRVGYAVPQIELVLDGGKNWTVFGGNSMAQVSGDVACLAVVRMKGEKGNPPAVVIGGFQMENNLVVFDEEKQRLGFSGLLWGRQTTCTNDAGNGGKPLVTAVTKDDATKLYTISVTDDGRPLAVDLSGQLVWSTCAAASHPTVILPYERECIDANRLTPPRCWSHFAAAATGGDAGYSYGNKCTARPYNGVSGACAAGDLTRTTLAADSTDGSNPLYRVAFPAVAACAPSSLLASLPSSAAGVAGLGRGSSLALHSQVAATQRVSRKFALCLPSVAVFGGGPFVLIFPYNRPDITASLSYTALRRKPEIGGEGGYYITAKGITVNQQRLPLTSNLGGDSLVVQLSTTVAYTELRHDVYGVFLKAWNDVVAWPKKVARPASVSSPFEVCYESRTLSSNRLGYAVPDIEIELEDGATWYIFGGNSLVQVDDNTVCFAFVEMKQKEAEWVGYGGDSPAVVIGGYQMEHNLVLLLVSLSPSPIATATNPHHSPSKSKPIVTRLGKDPATSLYTISISGNGAAPLVVDLAGALLWSTTACSSSSPHRTIPRTSSVCTIANRNHPPGCPYAGGGGGERNRCACAAYPYNPVSGRCERGDVTALPLAANATDGENPLFPVSFTTFGPCAPPSLAASLPAGTPGQLAAGLRENPVPLIKSPKNGGGGGYYLRVTDIAVNLKSIPIPPKSLDLDARRGVGGVTLSTVTPYTALRTDIYRSLLNAFDAATSGIPRAPAPAPARHFEMCYEASALSTTRLGFAVANIDLVLDGGRSGNWTFPGGSSLVQVDERTVCFAFVEIGGSMSPPATVAGSPAVVIGGFQMENNLLLFDLEKGTLGISSLLYKITLLLSLLAAPLLFSLAAGAGNGEQSPPSRPILTRLAKDPATNLYTAAVNNGAGGQMVLDLAGPLIWTTKCPPQHRNIPCHAGVCAVANRNHRENCPYTAVTGNSGDHCACSATAYNPANGQCGYGDLTTAHLSANATDGKNPLYPVTFAAVASCAPPQLLRSLPSAAAGVAGFSRALLSLPTQVAGRLKVEKKFAICLPATGEDGAAIIGGGPFWLQAAPPQQVSDRLRYTPLLKNPRNSAYYIGVTGVAVNGAAVPLPPGALSLSARRGTGGVALSTATPYTALRTDIYRPLRDAFAAATASVPRAASPAPAPFEICYQKSALPSTRIGPYTASVDLMLAGGENFTVVGASAVVDVGQDAVCFAFVEMGNASPAVDQSPAVIIGGHQMEDNLVVFDLEKWQFGYSGLLLGTMTRCGNFDFSMGSH >LPERR01G37690.2 pep chromosome:Lperr_V1.4:1:31199513:31206665:-1 gene:LPERR01G37690 transcript:LPERR01G37690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITMILSVLLLLPRYTSANDAGNGGKPLVTAVTKDDATKLYTISVTDDGRPLAVDLSGQLVWSTCAAASHPTVILPYERECIDANRLTPPRCWSHFAAAATGGDAGYSYGNKCTARPYNGVSGACAAGDLTRTTLAADSTDGSNPLYRVAFPAVAACAPSSLLASLPSSAAGVAGLGRGSSLALHSQVAATQRVSRKFALCLPSVAVFGGGPFVLIFPYNRPDITASLSYTALRRKPEIGGEGGYYITAKGITVNQQRLPLTSNLGGDSLVVQLSTTVAYTELRHDVYGVFLKAWNDVVAWPKKVARPASVSSPFEVCYESRTLSSNRLGYAVPDIEIELEDGATWYIFGGNSLVQVDDNTVCFAFVEMKQKEAEWVGYGGDSPAVVIGGYQMEHNLVLLLVSLSPSPIATATNPHHSPSKSKPIVTRLGKDPATSLYTISISGNGAAPLVVDLAGALLWSTTACSSSSPHRTIPRTSSVCTIANRNHPPGCPYAGGGGGERNRCACAAYPYNPVSGRCERGDVTALPLAANATDGENPLFPVSFTTFGPCAPPSLAASLPAGTPGQLAAGLRENPVPLIKSPKNGGGGGYYLRVTDIAVNLKSIPIPPKSLDLDARRGVGGVTLSTVTPYTALRTDIYRSLLNAFDAATSGIPRAPAPAPARHFEMCYEASALSTTRLGFAVANIDLVLDGGRSGNWTFPGGSSLVQVDERTVCFAFVEIGGSMSPPATVAGSPAVVIGGFQMENNLLLFDLEKGTLGISSLLYKITLLLSLLAAPLLFSLAAGAGNGEQSPPSRPILTRLAKDPATNLYTAAVNNGAGGQMVLDLAGPLIWTTKCPPQHRNIPCHAGVCAVANRNHRENCPYTAVTGNSGDHCACSATAYNPANGQCGYGDLTTAHLSANATDGKNPLYPVTFAAVASCAPPQLLRSLPSAAAGVAGFSRALLSLPTQVAGRLKVEKKFAICLPATGEDGAAIIGGGPFWLQAAPPQQVSDRLRYTPLLKNPRNSAYYIGVTGVAVNGAAVPLPPGALSLSARRGTGGVALSTATPYTALRTDIYRPLRDAFAAATASVPRAASPAPAPFEICYQKSALPSTRIGPYTASVDLMLAGGENFTVVGASAVVDVGQDAVCFAFVEMGNASPAVDQSPAVIIGGHQMEDNLVVFDLEKWQFGYSGLLLGTMTRCGNFDFSMGSH >LPERR01G37690.3 pep chromosome:Lperr_V1.4:1:31206665:31210327:-1 gene:LPERR01G37690 transcript:LPERR01G37690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAERHLLLAVALCAAVLAFSPPCACAAASGASNGKPLVTAITKDAATSLYTIPIKDGHPLVLDLAGALVWTTACDAAGGNATLECHHHYCMHAHSYHPPNCPHNGYGRADAGDPFRCKCTAHPYNPFSGDSATADLTRTTLSANATDGKNPLFPVKFTAVASCAPDSMTVKLPAGAVGVAGVGRTRLALQAQVARSQKVANKFALCLPGGAGAGDAGVAIFGGGPLFLLPPGRPDVTATLAGETPLRRSKDNFSPGYFISATKIAVNQQQINLYSNDPLLIELSTRIPYTELRPDVYRALIDAFDKATTGRKRVSPAPATATAAGFELCYDSRELGSTRVGYAVPQIELVLDGGKNWTVFGGNSMAQVSGDVACLAVVRMKGEKGNPPAVVIGGFQMENNLVVFDEEKQRLGFSGPNPSPSW >LPERR01G37700.1 pep chromosome:Lperr_V1.4:1:31211516:31212781:-1 gene:LPERR01G37700 transcript:LPERR01G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGTLACTLLVLLLAVAPPPSCTALAPPPRAVVVPVTKDPATSLYTIPVRYYDDLVVDLSGPLIWSTCADDHLPASISCHDPTCLLANAFPSPSCKPTTTGGCATAADEKVCTAYPYNPVTGQCASGKLVHTRFIANTTDGKRPLTQASVKAIAACAPRSLLSSRRGFPARATGVAGLAATGLALPAQVASSQRAAAKFLLCLPRLGYNPGVAILGSGGPFYLDEGLPDFASTLDHTPLVTKNGSAAYHVTANAIALDDARLPLRPGAIAVAMSTSSPYGSLRRDVYRPLVRAFEAGLNRSDARVAAVAPFELCYRSASLWNTRIGYFVPAVRLVLAGGSNYTMTGTNSMVDVNRETACLAFVEMKGVNAGDASSPAVILGGFQMENMLLQFDLEKKRLGFVRLPYFTSCSNFNFTKAQ >LPERR01G37710.1 pep chromosome:Lperr_V1.4:1:31215285:31215845:1 gene:LPERR01G37710 transcript:LPERR01G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRWFLNLIVQNSITGSKSLRRIDLTLHNFFDANECYVSHKNTMDTIHQLPSPIFKLDDEQKKMNCFPLADGKVICADHLERSFLFDADTRRVVTLPHLHAPKSKPISLFADGDGDVSSRLYIMERRPEWGGVGEQSDGGIRQLQPRKKHHQEVMGNPAPPAAAICVRFSVLAPRAVLGDRFLC >LPERR01G37720.1 pep chromosome:Lperr_V1.4:1:31217734:31218563:1 gene:LPERR01G37720 transcript:LPERR01G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRFPNQIVENRIPGLKSLRCINLKLQNFFNATDAICIDKNNDDQAAESSSAALKKMDRIHLPSPIFNLGAANLDKCLSITCFPLSGRELLCVDQDGRTILFDADDRSVVTMADLNKPKRWPISIFIPNHSSSSSGGSGSLFIMETIVRSERHYSDHQFEEFLDISLPLPPFVRDPNFSQIRTNIISYAVVSGGSEICISVNKAGTYCFHTVNHTWRHVGDWALPFHGKVEYVPELKLWFGLSDKTNHLAAADLSIMEDGDDGGGRS >LPERR01G37730.1 pep chromosome:Lperr_V1.4:1:31218949:31219678:-1 gene:LPERR01G37730 transcript:LPERR01G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLLFDETASRLGFSGTPLFVRTTCASFNFARTTKIYSDALYTPSPKVIQTSNLFPFLFDR >LPERR01G37750.1 pep chromosome:Lperr_V1.4:1:31223239:31229940:-1 gene:LPERR01G37750 transcript:LPERR01G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIASSVAKRVASRLGDLVVEESSLLWRFKDDVDNMKEKMRDLEAVMQDADDKARQGGKEGAGERRWLTKLKSVAYDIEDVLDELDGAQLIKNHQTKLKLFLSRNNPLLRKLTIAHNMKNLREKIVTLEKDFKKLNLVRHEPLAEGSRGNETFVVEDDMDIGMLGRDVETEKLVSLLLNTEAKEDISIIPIVGLGGLGKTTLAQVVFVYKRVNVFDMRIWVYVSKEFDLLKIGKAVIRGANKSINLDNCNLQIVQDNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRSGSVVQVLHTGYLANEQKVCPVPEPDHINLGVLSPDDCWRVMKRRVFGPYDEQSGLEEIGRQIAKRCGGLPLVANALGQVMSEHKTIVAWTNIRDRKIALDLKADHQRDTLERLMLSYYYMKREFKMCFTYLAAFSKGFAMDTDRLIQQLKALGYIEASDNGERVCKTKSKRLPHTFSIKWKVVNPTRFDVKLVSPVHSKAPRNLRMHDLATIIATDEFLIMDATVPSTWNKANNKRYCRHTQLINYHKQSKVFKDLPSKARTIHFRECTGMQLPPKAFSQAKYIRILDLSGCSLEGQSANRIMLPSSIRRLMMLAYLDVSGLPLVALPKFFHMLQNMQTLILSNCSLETLPANIGNLYKLCCLDLSGNSDLTKLPTSFENLLNLSLLNLSGCAKLEELPESIHNLKCLQQIDMSGCCALQKLPDNFGSLPKLSFLNLSNCSKLTKLPNCLNLESLEHINLSNCHELEELPEDFGNICRLEVLDMSDCYKIDVLPETFCHLKHLKDLNLSDCHGLKQLPGNFGDLSEIQSLNLTSCSKLQLLPQSLCKIHNLKHLNLSYCIRFQDLLSSFGDLQLRALNLSGCYNLFDLPDSISEMTSLTMFSYASGVADVFDKVQTIKEHLSLLDVVKHDVHEMESGDFSSIVELGRLRCRELKVRHLENVEQVEDARRANLRDMIELWYLRLSWQLGVTRNVDKDKLVLENLIPPRTLEKFRLDGYMCKDFPNWVSSISSYLPYLIRLELYNLAACDSLPAFGQLPNLRFFGMEDMPSISRIERGFGCLATSTLPSSVVIKNCNFSSDRWGGLQHLATLEYFKVEGCSGLRTLPDTIQCFVLMRVLILKSCEDLEILPEWLGQLVYLENINIINCPKLTSLPKSIPEGQLPISLKELVLEDCPKLTSLPTSMKNLAALGELRLEGCKGLETLPEGLPISLEMLVIEDCPKLTLLPESMKSLTTLTKLQLRGCEGLEIVPDSVGNLASLKYICIQGCPKLTYLPESMKNLTALEQLWLGGFNNIQEWIGQFIYLKEINIFDSPNMTSLPESIRNLTTLKELYIWCCPRLIERCQGEDANKISHIPRVIFDGERFIPGQAIEGSEV >LPERR01G37760.1 pep chromosome:Lperr_V1.4:1:31233964:31243964:1 gene:LPERR01G37760 transcript:LPERR01G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENNLLVFDLDKRMLGFTGLLEWDLTNCHSATMFRTAQAASEKPLVARITQDYVHSSLYTISINNNSAPLVVDLAGSLVWSTCPSTHATVPCNSATCGTANQQQPRRCRYVDGGRFWAGREPGSHCSCIAHPFNPLTGECSTGDLTSFSMSANTTNGTDVLYTETFNIVGSCSPERLLTSTTSLPLPPGVTGVAGFSHQPLSLPSQLAGGGKFSLCLPVFATFGDTPVYLPNYNLNGPFDYTRMTSQTPFLVNPRNGGYYLPVKRISVWWAPGGPDVPVSLPAGALELDARTGRGGVVLSTTTPLGRVAGYKQFELCYGGANYATFPLIKRVGFDAPAITLELAGGATGNWTILNSSYLRGPCVRIVEMGPEGMPVDGEPAMVIGGMQLENILMVFDLDKRVLGFSRLLDWDLTSSDQAHQRGSDDGQEAPSSPRRQIRVAAPARRHGGPATAGSGLEETAAAGSTPQLRERRTMSPLHLFALAISVLAFVHCTSAQAATEKPLVARITHDYVHSSLYTISINNNGAPLVVDLAGSLVWSTCPSTHATVPCNSATCGTANQQQPRRCRYVDGGSFWTGREPSSHCSCIAHPFNPLTGECSTGDLTSFSMSANTTNGRDVLYPETFTVVGSCAPERLLPANAAGVAGFSHRAMSLPSQLAARRGFGNKFSLCMPGFATFGDTPVYMATPNPNGPFDFTKLIPYTPFLTNPRSGGGGGYYLPVKRISVSWRGPDAVPVSLPAGALELDARTGRGGVVLSTVTPYAIMRPDVFRAFAQAFDTVVARDRYSSVARVAGEEPFELCYGGKGGFALTRIGYDAPRIHLELAGATGNWTIMTGNYLVRGTCVGILQMGPEGMPVDGEPAVVIGLKQLEDNLLVFDLDKHYLKF >LPERR01G37760.2 pep chromosome:Lperr_V1.4:1:31231865:31233955:1 gene:LPERR01G37760 transcript:LPERR01G37760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPEPSYVLPLIAISLVFLQSTAQAAIEKPLISRITKDYNTSLYTISIITTTTNGAPAAAPLVVDLAGSLVWSTCRTANQQQQQPRRCRYVDGGLFWSGREPGSHCSCIAHPVNPVNGECSTGDLTRFSMSANTTNGTDVLYPETFAAVGACAPERLVPANAVAVGVAGFSHRPLSLPSQIAGGGKFSLCLPVFATFGNTPVYLPNDNPNGPFDYTRMTGQTPFLTNTRRNDGYYLPVKRISVSWSGPDAVPVSLPAGALELDARTGRGGVMHAQHHDVVRRHAPRRVPDRYSAVARVAGEKPFQLCYGGKGSFPLMKRFGYDAPAITLELAGGATGNWTIRNGNVAPALGSWRWVRRGCRWTASRRW >LPERR01G37770.1 pep chromosome:Lperr_V1.4:1:31243978:31248799:1 gene:LPERR01G37770 transcript:LPERR01G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNHIKRLVPRTIASLVSLVLLLLPCMVATADQQPPYKPLVVPLVRESKTSTSLYTIPIKNDESLVVDLAGSLVWSTCRSTHTTVPCNSATCSTANQQQPRRCRYVDGGSFWTGREPGSHCSCIAHPFNPVTGECSTGDLTTFSMSANSTINGTMQLHPETFTAVGTCAPDRLLASLPASVTGIAGFSNRPLSLPSQLATQRGFGKKFALCMSQFVAFGEMPVYLAMEGRRFVDYRDILPYTPILTNPRRRNDGYYLPVKRISVSWSEPETPASLPAGALAIDARTGLGGVVLSTTTPYTVMRHDVFRAFAEAFDTAIVRRSKYDFSNVTRHPPVGEFKLCYNGAFPMRKRPASMDIPTIHLEMEGATGTWSWYNDNYLVFVPGAICVGVLEMGQDGMPVDGEPAMVVGVKQLDWNLLVFDLEKMVMWFSGDLSFRLAAILSLALLLQCILTTADQPPSKPLILPLVRDTKTSLYTISINKDDSPLVVDLAGTLVWSTCRSTHTTVPCNSATCGTANQQQPRRCRYVDGGSFWTGREPGSHCSCIAHPFNPLTGECSTGDLTTFSMSANSTTNGTKPLYPEEFTAVGTCAPDRLLASLPASATGVAGFSNRPLSLPSQLATQRGFGKKFALCMSQFVVFGEMPVGLGMEGRGFVDYRDTLPYTPILTNPRNPNGGYYLPVEGITVLWHGASAAASLPRVALQIDARTGRGGVVLSTTTPYAAMRHDVFRAFAEAFDAAVEKGRYTTYGDVRRVPAVEPFKLCYGGPFPFLKRPATWDVPAIYLELAGGATGAWPLFNENYMVQTPHGMCVGILEMGQDDDGGGAPVIDGEPAIVLGLKQLDMNLLVFDLDKMLLWFSGELSFRLASCVSPFW >LPERR01G37780.1 pep chromosome:Lperr_V1.4:1:31250086:31250580:1 gene:LPERR01G37780 transcript:LPERR01G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPARGGRQAILLFASLLLLLLHASFAAALRTSAISAAPEYPPHPRLPTAGGHGGGRHAAAPVFPPVAAAFPPVAALSPDIMPLLPSPGPDSDGAAQAPSDAMPTIPSSPSPPNPDALEPDSALAPFGSAPAVAAQSAAPPTAPPRALAVAFWLVAAAMWLV >LPERR01G37790.1 pep chromosome:Lperr_V1.4:1:31252172:31256159:1 gene:LPERR01G37790 transcript:LPERR01G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRVLPDLQASSRVVPVCHFDSVTVYLMINLGASLEGADAVASLHCAALKSGVVLDPPVRTSVLTAYARAHDAGSALQVFDEAAAPDLILWNAAISALTVNGCYGDAVALFRRMLDVLGVFDSTSMVIMLSGASRARSLEHGIALHGMGMTRCVGSDLSLWNALIDMYAKCGDFYSSEAVFRRMPYRDTASWNSMISGGLFNGLAEISACYFKEMVCSSFQADEVSISCVLSACSRLENLFSFGESVHSSVIKLGYEDTASCSVANSLITFYSELGFPKAAEEVFISTSNKNLVTWNAMIKGLVDNERVYEAMCVFQEMRSKNQPDVATLVTIISACGNQGLLPEGKEVHGYIIKKGHLYEESSVGNSLLDFYMKCNDPSTARFLFRTMPIRDLISWNTVISGYSRNDARGEEAKAMFKGLLSEGLSCTLTTVLAVIPSCSCPQDLNFGKSVHSFVLKYGFLSGVSVANSLIHMYICCGDSLAAFSLLQSITPMSDIISWNTAIAGCVQNGLYRDALEAFRVTLVSVLSACGNLKLQSLGKSIHCMALKHLIAFNLRVKNALLTMYFRFADTESAELIFSNLGDRNLCSWNCMISGFAQNDQGWRALQFYRNMEDFEPNEISIVSIICACTQLGDLRQGKNIHGHVVRFGLQTNIFISASLVDMYSKCGRLDISIKLFESSAEKSIACWNSMISALGVHGLGLKSIEIFWKMNSSGMKATRSTFIALLSACSHSGLINEGWKYYHLMTEHFGIIPTPEHHVCIVDMLGRAGRLQEAHEFVESLPSKQAHGVWGALLSACSNKFELKMGESITKHLLCLEPENSGYYVTMSNLYAYQDMWSSAVQVRDILQDKGLMKPRGRSIVR >LPERR01G37800.1 pep chromosome:Lperr_V1.4:1:31256568:31258847:1 gene:LPERR01G37800 transcript:LPERR01G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLSLGHTTTQSLSAFSSLSAPLKPLAPSAFHPSSPSFLALIHLLLQEPTNCRMAAVMRALAVASPVSARAQPRRCPTGSSGGPSQTLQSSFGGVSLQCRRTKPTIHRRECLSRPSMQVVMMAKPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFAFDQPSVFDSSAELGDITGFYMIDDEGVLQSVDVSAKFVNGKPARIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKK >LPERR01G37810.1 pep chromosome:Lperr_V1.4:1:31261603:31267587:1 gene:LPERR01G37810 transcript:LPERR01G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKATAAAAAAEEENVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSRVEADKAVNAYHNKQTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTELFSNYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAIAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSVFGALQMGYMPQYNGFSYQPPGTYGVMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYTPVPGVQYAGSYPGGLMNSRPFGNSFGSIKVPSPNAHSPASSSPSSNAGGQIEGPPGANLFIYHIPQEFTDQDLSGAFQRFGRVLSAKVYVDKATGHSKCFGFVSYDSPASAQAAIGAMNGYQLGSKKLKVQLKRDNPKHSKPF >LPERR01G37820.1 pep chromosome:Lperr_V1.4:1:31268990:31269526:-1 gene:LPERR01G37820 transcript:LPERR01G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRRFSYRKLKKLPPPSDDDDAAAQHQLQEQYYAAITAAAAAQNYHGGGIGGRRVTTWRSLGGGGRRRRRRPRVRISRLTRLIRRKAAAVGGAMRASVAKVVNRLKEGSPYIGDLFAGNYMFMQVTPSPTPAIAVAGGKKGIAVVPYHHQVGMIAAGGGGKNYEWQQSPAGVLYKV >LPERR01G37830.1 pep chromosome:Lperr_V1.4:1:31271577:31274800:-1 gene:LPERR01G37830 transcript:LPERR01G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAGEGQDQQRPPDGAGGGGGDGGGRGGRGRGGSAARRCCGGARGVVRLKCVAALVLGVAVLLSALFWLPPFARHGRGSEGPDPGAGFEADIVASFRLHKTVPELNGNASRLELDIYEEIGIPNSTVVVNSLQQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMNFVVRQSTLQLTESLFGNSTSFEVIKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTIDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLVALPPHSLSPRNPGVHSRSPIPSPPVLPEPPLPTVSFAHAHPPSPYTSRRDPAGMPSLAPAPYSYHG >LPERR01G37840.1 pep chromosome:Lperr_V1.4:1:31277724:31282613:1 gene:LPERR01G37840 transcript:LPERR01G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEGDEPTIEDDDDDEEDDDDDEDDKDDDAEGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDETGVEPKDIELVMTQATVSRSRAVKALKAAGGDIVTAIMELTT >LPERR01G37840.2 pep chromosome:Lperr_V1.4:1:31277724:31282613:1 gene:LPERR01G37840 transcript:LPERR01G37840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEGDEPTIEDDDDDEEDDDDDEDDKDDDAEGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDETGVEPKDIELVMTQATVSRSRAVKALKAAGGDIVTAIMELTT >LPERR01G37850.1 pep chromosome:Lperr_V1.4:1:31282835:31289210:1 gene:LPERR01G37850 transcript:LPERR01G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRKKSMEFLKRFEVPAKNPSEDVQRRWREAVGTIVLNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAARKTDHPLSELARQCGFSVSAEELASIVRGHDSKSLRFHNGVDGIARKIAVSLTDGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLMLLAFCAVVSVAIGLATEGFPGGMYDGVGIMMTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDVVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFVLAKANSDGGLLNWGMEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWVAGADATLSVPNGFDQITSSLSGNFNGLLLDGVFHCSGSEVVRGKDGKVTVMGTPTETAIIEFGLEVEKRAGVEHAAAEKVKVEPFNSVKKTMAVVVAGAGGGGRHRAFLKGASEVVLRRCDAVLDAAGNAEQLTEAKAKRVATAIDAFACDALRTLCLAYQDVDAGVAGAGGEIPGDGYTLIAVFGIKDPLRPGVKEAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRQKDPDEMREIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIIGQSIYQLVVLGLLLLRGKSLLQINGPQADALLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAAFQVIMVELLGTFANTVHLSGRLWLISVLIGSVSLVIGVILKCIPVDSSSDSSDRHDGYQPIPTGPNAV >LPERR01G37860.1 pep chromosome:Lperr_V1.4:1:31293260:31296039:-1 gene:LPERR01G37860 transcript:LPERR01G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAPCAAKRRRLAVTSAADSSPSSSPWASMNPDLLRLVAERALAGDLADYVRLRAVCPTWRSATACPRGRGGNGLHPGHRKLRGDIRFLNLSTGAIAGTKIPLFKDHMVLDSVDGLLLLHRDHDTAIRLLNPLTGDIVDLPQLETLRPQMDKNIYSGLWRRSEPKHRFDRVAFCTSGDLQWPLSKCDLGRRCWRPFSSQGKLFIVKTKPGSDSYSEILQIDPPNNQGVVEGSCLPGPELAPKLVVTFPKDKLFGPLYLAECDSEILLIGHESKPCSLCANYSLLPVAYNNSGNYAHISVYRISDLASGRFNPVDSIGDYSLFIGPRSISVSSKALPANFSGGGNIFYCSPTELFFTQYHLSSRTWTPFIDGSIGDSPPPRPYSLIHHILTCCYLQYWNKGLMFYRKTRPSWRAKRKYRNGVSLISDPLFSFLANTLVELSYS >LPERR01G37870.1 pep chromosome:Lperr_V1.4:1:31300037:31301362:-1 gene:LPERR01G37870 transcript:LPERR01G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSGDKVADTNLGTLCELFSKILEQQQSKTSPDMFKSALEPNPVKLAGPGNYISWARHAKLILGSHGYDYLLVPDDKEEEKGGASNKQINDRVFVWLMSSMEISVREQIETMNTVSEVWYTLEKQFAGKSNKMQATRIMHELANLNQGTRSVSEYAGEMKKLYRDLHYYHKWFEPFVGKLFLDGLHSDFDFCRRLIFAKSEWPCLDDIISNVMEEEMRLANLKEKGQKSVHESAALSMQAHQPSNYQKDREKEKLFCDHCKTTGHTKEKCFKLHGYPPGWKKGKPRIGGVQGSIWNQANQTSSTGKDKVRVADGSARCV >LPERR01G37880.1 pep chromosome:Lperr_V1.4:1:31303206:31307721:-1 gene:LPERR01G37880 transcript:LPERR01G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIEHPPCFDSWRINGESTERNPRTLQPSTYSTVHTVVTDSRSWHPLILSKAPDYLEPAPPPPAPHFRHRRRPRPCSSCVTASAGGGTRHSFSSHHDMHMMTSASESARLDYLNSQEPGDESQMNAIDVVDKLFVEDDIETYQNISTDQIMKAKSASTFSSGIAQCLVKKAECSFPLKKAGIFDWADTPTVDDCTTSIFSMENTRDHANNQVKHVDSQKCGGYGSGTRARPVLECIDEDSGTSCLKKPEPFSCTGDLYQEYDVGPNTQMAAEAMEALFNASTVSYDAKENERPEGSVVINMTKGTKIDKTNAVHSPIQKRSGVATEYKQIKVDDTPRENAESSISYTKRPNMSKTRKYPKKMAGKGKGNIISGIIQRDIHHKVSEVITKSGTDDSNIPLSLGADALIHPKRRRTYMFTSGSSKVEFIEAVKSTALRAKTTEVKQLSTAKTASISDRDTATGMRMSSHSSLADQEASAASSYFNPLVEIFSVGHEKCSVPGKKGHDSSLMHSVPLKELSSAEPQARTCTSKKILKRVLKSAGSRELASLLRNEVSPVLQSSRRRRRHMSTVRVLFSQSMDNETLNNQTKILIHFGLSMATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCEEARCFVDEKRYILRDTKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKEVLKSLVVAAHGKLLERITMSKMKNRSLAGAFVISCEQDYSICVTFIKNGFEVFDSELVLNGIVTQNLEFERYRLFHNKT >LPERR01G37890.1 pep chromosome:Lperr_V1.4:1:31308148:31310126:-1 gene:LPERR01G37890 transcript:LPERR01G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAAVASPPPVPSSFGPQPRPPSPSTSVAAAPQPPPPSQNISSTSLSNISLPSPSLDLPDVTDLFSSPSLSSGGSTSMMDSTSRKRESNGSAFQDPRSKFPRVQSTQSRGARIAAGNTLVPPQISGRSNVVTEDMSKLFVARRKE >LPERR01G37900.1 pep chromosome:Lperr_V1.4:1:31310978:31314251:1 gene:LPERR01G37900 transcript:LPERR01G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSHETDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEVLSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMAALEMGAVETLIVWENLDVNRYVLKNSATGETVVKHFNKAQEADQSNFRDKATSAELEVMDKTLLLEWFAENYRQFGCSLEFITNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDGEGEEKT >LPERR01G37910.1 pep chromosome:Lperr_V1.4:1:31317574:31324378:1 gene:LPERR01G37910 transcript:LPERR01G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGAGGGNGAERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRPGRSVDRNTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGLCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMICSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAIGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >LPERR01G37910.2 pep chromosome:Lperr_V1.4:1:31319999:31324378:1 gene:LPERR01G37910 transcript:LPERR01G37910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGAGGGNGAERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRPGRSVDRNTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGLCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMICSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAIGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >LPERR01G37910.3 pep chromosome:Lperr_V1.4:1:31317597:31318730:1 gene:LPERR01G37910 transcript:LPERR01G37910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHETDKNIEIWNVKKLIKALDVARGNGTSIISLIIPPRDQVSRVAKMLGHEYASASNIKSRVNRQYVLAAITSAQQKLKQPINASLYLCDNKFHTDVLNELLESDDKFGFIVMDGHGTLFGTLSGNSRKVLHKFSVDLPNKHGRGGQSAPRFTRLRMEKRHNYVCKTAELATQFFINPTTRQPNVSGLIIAGSADFKTELSQADMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEVLSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMAALEMGAVETLIVWENLDVNRYVLKNSATGETMVKHFNKAQEADKSNFQDKATSAELEVVDNTLLLD >LPERR01G37920.1 pep chromosome:Lperr_V1.4:1:31324719:31335377:1 gene:LPERR01G37920 transcript:LPERR01G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPPVAALEQLSRTKMFGGHNLRFRHHSAMLGCPMTFSLFIPPSPATNLPVLYWLSGLTCNDENFITKSGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVEFGLVSRECVVYQYNIYADDFFFDITIWIVKTSGAGFYLNATNEKWKNWRMYDYIVTELPKVLSDNFEQLNTSQASIFGHSMGGHGALTIYLKNIDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPTKSDWEEYDATCLIKKCNRISTPILIDQGEDDKFLAEQLLPRNFKEACKAVGAPLTLRMQPGYDHSYFFIGTFVDDHIAHHSQFLKSD >LPERR01G37930.1 pep chromosome:Lperr_V1.4:1:31359080:31364612:1 gene:LPERR01G37930 transcript:LPERR01G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIVYCLKLLLLLALGGVTMHVPDEDTVVASLGSLRLDGHFGFGHDAHAAARDFGNRCSLLPAAVLHPGSVSDIAATVRRVFLLGRHSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAGGGDTAMRVHGGHVDVPGGELWINVLRETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDLVTCSPEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEMLITAEKSFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTKNFNHDEADIMEQEVGALLSRLRYISSTLFYTDVTYLEFLDRVHTSELKLRAQGLWDVPHPWLNLLIPRSTIHKFAKEVFGKILKDSNNGPILLYPVNRTKWDNRTSVVIPDEEIFYLVGFLSSAPSSSGHGSVEHAMNLNNQIVDFCEKNGVGMKQYLAPYTTQQQWKAHFGARWETFERRKHTYDPLAILAPGQRIFPKASLPMSL >LPERR01G37930.2 pep chromosome:Lperr_V1.4:1:31359080:31362953:1 gene:LPERR01G37930 transcript:LPERR01G37930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIVYCLKLLLLLALGGVTMHVPDEDTVVASLGSLRLDGHFGFGHDAHAAARDFGNRCSLLPAAVLHPGSVSDIAATVRRVFLLGRHSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAGGGDTAMRVHGGHVDVPGGELWINVLRETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDLVTCSPEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEMLITAEKSFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTKNFNHDEADIMEQEVGALLSRLRYISSTLFYTDVTYLEFLDRVHTSELKLRAQGLWDVPHPWLNLLIPRSTIHKFAKEVFGKILKDSNNGPILLYPVNRTK >LPERR01G37940.1 pep chromosome:Lperr_V1.4:1:31367244:31372854:-1 gene:LPERR01G37940 transcript:LPERR01G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMHAGLASDGGSKLKMLLTFVDALPTGNEEGIYYSIDLGGTNFRVLRVEVGAGSVIIGQMVEQQPIPDELTKGTTEDLFNFVALALKSFLEGENDLDGKRALGFTFSFPVRQTSVSTGSLIRWTKGFSIGDTVGKDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAIIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDEETHNRNDQGFEKMISGMYLGEIARLVLHRMALESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIAGILKKLGRDGSGVASSGRTRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEVARNVTLRVTEDGSGIGAALLAAVHSSNRQQQGGPI >LPERR01G37950.1 pep chromosome:Lperr_V1.4:1:31389058:31390362:1 gene:LPERR01G37950 transcript:LPERR01G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLAAPSLGGAIAAPCSARPSRVCLRMRRRRRRPMVVRAGGPPSTGALILAFVLPLSLFVGTLVTAARVADDLDERFLREMEVNKAIMEENEGFEQDGGEEEDDDGEFGEEEEKEGVLVTAAAAPRNRNRPKREV >LPERR01G37960.1 pep chromosome:Lperr_V1.4:1:31390972:31393101:1 gene:LPERR01G37960 transcript:LPERR01G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGAASILTLSLVLGVLAVTPTAVQSIGVCYGVIGDNLPSATDAVNLYKSNGIDSMRIYFPLADILEALSGSNIRLTMDVGNDQLAALATDSSAATSFVQNNIQPYSSTVNFAYIAVGNEVAGGDTQYILPAMQNLNAALSAAGLGNQIKVSTSVSQGVVGGFPPSSGTFTASHMPPIAQYLASTNSPLLANVYPYFAYVDNQAQIDINYALFTSPGTVVQDGDKGYQNLFDALVDTFYSALESAGAGGVPVVVSESGWPSDGGTAASAGNAQTYNQNLINHVGQGTPKRPGRIETYIFAMFNENRKGGAETEKHFGLFNPDQSPAYPINFS >LPERR01G37970.1 pep chromosome:Lperr_V1.4:1:31394147:31395468:1 gene:LPERR01G37970 transcript:LPERR01G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGFAPVLAVALMLTAAFPADVQSIGVCYGVIGNNLPPASDVVKLYKSKGIDSMRIYFPRTDILRALTGSKIAVTMDTGNDILTSLATDPSAAANWVNQNIKPYYPGVSFRYIAVGNEYATFRPGTTVTDDGNGLTYTNLFDAMVDAVYAALDDAGTPDVAVVVSESGWPSAGGFGANVGNAQGYNQRLIDHVKGGTPRKPGKALETYVFAMFNENGKTGAETERHFGLFNPNKSPSYGVQFH >LPERR01G37980.1 pep chromosome:Lperr_V1.4:1:31395536:31406772:1 gene:LPERR01G37980 transcript:LPERR01G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTARMHGNQAYLNSVKMAAGQGVASLLAVVLVIGGFSSIPTVQSIGVCYGVKGNDLPSRSEVVQMYKSKGINGMRIYYPDTDALNALRNTGINLILDVADKLPYLAASPSNADAWVRDNVKPYYPAVNIRYITVGNEVEGGATNSILLAMRNVNAALASAGLGNIKASTAVKYDVIANSYPPSAGVFRDNYMREIARYLASTNAPLLANVYPYFAYRGNPRDISLNYATFQPGTTVKDPNSGLSYNNLFDAMMDAIYAALEKASAPNVRVVVAESGWPSAEGFGASMDNAKAYNQGLINHVGRGTPKRPGALEAFIFAMFNENQKPDDPTERHFGLFYPNKTPMAAGQGVASVLAAALVVGYFVSIPTVQSIGVCYGMKGNNLPSPSDVVKMYKNNGINKMRIYDPNKAALDALRNSGIDLILGVVNDDLPGIAGSLSTAEAWVENNVKAYYPAVNIKYITVGNEVSTVVQSNVTVNSYPPSNTEFNDGNTTEIARYLEATGAPLLANVYTYFAHVEKPEDVSLNYATFQPGPTTVYDNGFTYTNLFDAMVDAMYAALEKAGAPNVKVVVSESGWPSGPEGYPAATVENARIYNQGLINHVGMGTPRKKEALETYIFAMFNENLKTGLETEKHFGLFNPDTSPVYPIKF >LPERR01G37990.1 pep chromosome:Lperr_V1.4:1:31407994:31409585:-1 gene:LPERR01G37990 transcript:LPERR01G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWGRLAAVGVLLVALAVRSLAEHRRLDNTDTTGRRRQLRFASSGGFKVALFADLHYGENAWTNWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGVPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSVSGCSFRGTPRIELMTSEIIRNGLSYSSNGPKDLWPAVSNYVLQVLSHKQDDPALLMYFLDSGGGSYPEVISSAQVQWFQSQSQFLNPNGRIPEMIFWHIPSTAYAKVAPKAKSEIRKPCVGSINKEDVAPQEAEWGMMDALAKRASVKAVFVGHNHGLDWCCPHDSLWLCFARHTGYGGYGNWPRGARVIQISEQPFSIQSWIRMENGAKHSDIILSS >LPERR01G38000.1 pep chromosome:Lperr_V1.4:1:31410338:31410640:-1 gene:LPERR01G38000 transcript:LPERR01G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGVGEYKQRRYEVWRYAYEVGPRGVSRRGGRHAVTVGIGGRSLCVSTRGDRDVLRNRLYFAGDPYTSVEYYDGHPRGYLLPTATAGEYVAPEFHLS >LPERR01G38010.1 pep chromosome:Lperr_V1.4:1:31410687:31411502:-1 gene:LPERR01G38010 transcript:LPERR01G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRSPVQLHSSFSFSDLPPDIVDDIAHRVGPFNNVVCVDTHAMVKRPENQAPPRTETAEPPARPYSVRLDDYWSNDAIKTVSTKNEPSASPITRTIGSSHGCWLITIHEDATVTARAPDASSSGLHATRSSR >LPERR01G38020.1 pep chromosome:Lperr_V1.4:1:31412245:31413627:-1 gene:LPERR01G38020 transcript:LPERR01G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAHGMDAAVDWSDLLPVILEEISQRVHNNDAAAFAAVCKSWRHASSAAAPRLDRHSLHLAALRPAGANAVDFSSRHGDVVKTAYLGIRAGARPHRIIGCSGGWLIVVDESCGVSLLEPFTDGAHVPLPPITAFDCDFVTAIADDGGGGDFPACFAVDYQAYHSHIQGHKFAPRPPKLVPTQSMRDEFFQKAAMAPAAGGGRNKSYAAPPRFVTGPVMGWEFKRLVDFHNDTFRQPAFYEGARYLAKQPANVDGGEGNLMVVSTVAILDDSNAVRTRRFKVFDVDEASGEWRARDEIGGEAAVVVGIGHGEVVSTTEYPCVKPNCVYYVLKSFAADFEEDEDSVEEEGCSRYESGVCDLKTGVASRTSVFRRATGGHPVWFVPSSTAASRR >LPERR01G38030.1 pep chromosome:Lperr_V1.4:1:31415791:31440390:-1 gene:LPERR01G38030 transcript:LPERR01G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSVQLAVTAGDDQAVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWFVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYTVLVICAVMLILHGIINSLPIQWLSFFGNIGAVWNAAGVVVLVILIPAIAKDRPSIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIVTSVVCATVFGWIYLVALTSVVTDIPYLLSSANDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLAGIACVTSNSRMAYAFSRDGAMPLSRVWHRVNRHEVPLNVVWLGVAVAFVIALTSLGSQVAFQAMGSVATVGMYIAYALPVFFRVTTARKSFVPGPFHLGKYGVLVGWVGVIWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWSPLPPPVPTATAAGFLPKNARPVRRRRRLGQPPTIWAPAKHPLNPHFTWRPPPHTPFPLSDGRRRRLLRRPSRVRSAAFMRGLLASCALLRRSAANAHLSGAGCCNGAPSVPSPLRRFPPQLDYETDPPLDGVKVLEKESTLNVAVSQLASDFDRESNLCLDRFSRTRRTPIISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLITQPDSAENSLSIVNTLVGGSIDVVVIDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQLPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEDQATGIGISVQIIKNKLSPATLKEASIDIRFGKGICYESEILELASSLGVILKDGCGYWINGDFLADKAEAEKFLRENAVVADEICGTMRSQFFERCTLQLHPCATGLGVQSIGVCYGVIGDGLRSASEVVNLYKSNGITSMRIYFADGDALDALRNSGIALALDVGTRDDVARLAADPSNAVSWVNDNVKTYHPDVNFRYIVVGNELTGDTNVLPAMQNVHAALTNAGLAGEIKVSTAIKMDTIASSSPPSSAVFTNPSVMEPIVKFLAGNGSPLLANVYPYFAYKDSDEIELGFALFEPSSTTVNDPNGLSYTNLFDAMVDAVRAAVEKVDGGGGVDVVVSETGWPSADGKGATVENARVYNQNLIGHVGQGTPKKPGQLETYVFAMFNENRKEGDPTEKKFGLFNPDMTPPGFPLAVAAALLLGVFISIPVGVQSVGVCYGMIGNNLPSKSDVVQLYKSNGITDMRIYLPDAGAMSALRGSGIGLIVGVANENLIQLAADPAAAVSWVNTNIKPFIPSVNIKYIAVGNEITGEPTQNILPAMQHINAALVAAGLATIKVSTAVRLDVVTNTFPPSAGVFAQPYMTAVAQFLASTGSPLLANVYPYFAYVSNKKDISLAYATFQPGTKVTDPGTGFVYTNLFDAMVDAVHAALDKAGARNVRVVVSESGWPSAGGDSATVGNARTYVQNLINHVKIGTPRRPGQLETYVFAMFNENQKPGQLTERNFGLFFPNKTPVYPIKTMARRQGVASMLTVALIVVAIFASVPTAVQSIGVCYGMLGNNLPSKNDVVQLYRSKGINGMRIYYPDRDTLNALRSSGNGIGLILDIGGTDQLSYLAASRSNADAWVRDNIRPYYPSVNIKYIAVGNEVEGGATNNILPAIRNVNAALAAAGFGNIKASTSVKYDVISNSYPPSAGVFRDNYMRDIARYLASTNAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAIYAALEKAGAGGVKVVVSESGWPSAEGFGASIDNARAYNQGLIDHVGRGTPKRPGALETYIFAMFNENQKTGDPTERNFGLFYPNKAPVYPIRGVASCSMLDMASASSMLDWVLLLSVFASIVARTAAVGVCWGMSGDNLPPASKVVDMLHENGFTIVRLYAPDTAALAALGGTGIRVVVGAPNYDLPALANGGVVAAAAWVRANIQSHPTVTFRYVVVGNEVSGDDTRLLVPAMENVHAALALAGLGHIKVTTSISQATIAVHIPPSAGEFTDEAKSFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDLSFMLFTASGNNVVMDGEYVYENQFDATVDAVYTAVAKMGGTSVRVVVSETGWPTAGGVAASVENARTFNQNLVRHVRKGTPRRPWRKTETYVFAMFNENQKEAGVEQNWGLFFPNTDMVYPISFHARV >LPERR01G38030.2 pep chromosome:Lperr_V1.4:1:31415791:31440390:-1 gene:LPERR01G38030 transcript:LPERR01G38030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSVQLAVTAGDDQAVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWFVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYTVLVICAVMLILHGIINSLPIQWLSFFGNIGAVWNAAGVVVLVILIPAIAKDRPSIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIVTSVVCATVFGWIYLVALTSVVTDIPYLLSSANDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLAGIACVTSNSRMAYAFSRDGAMPLSRVWHRVNRHEVPLNVVWLGVAVAFVIALTSLGSQVAFQAMGSVATVGMYIAYALPVFFRVTTARKSFVPGPFHLGKYGVLVGWVGVIWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWSPLPPPVPTATAAGFLPKNARPVRRRRRLGQPPTIWAPAKHPLNPHFTWRPPPHTPFPLSDGRRRRLLRRPSRVRSAAFMRGLLASCALLRRSAANAHLSGAGCCNGAPSVPSPLRRFPPQLDYETDPPLDGVKVLEKESTLNVAVSQLASDFDRESNLCLDRFSRTRRTPIISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLITQPDSAENSLSIVNTLVGGSIDVVVIDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQLPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEDQATGIGISVQIIKNKLSPATLKEASIDIRFGKGICYESEILELASSLGVILKDGCGYWINGDFLADKAEAEKFLRENAVVADEICGTMRSQFFERCTLQLHPCATGLGVQSIGVCYGVIGDGLRSASEVVNLYKSNGITSMRIYFADGDALDALRNSGIALALDVGTRDDVARLAADPSNAVSWVNDNVKTYHPDVNFRYIVVGNELTGDTNVLPAMQNVHAALTNAGLAGEIKVSTAIKMDTIASSSPPSSAVFTNPSVMEPIVKFLAGNGSPLLANVYPYFAYKDSDEIELGFALFEPSSTTVNDPNGLSYTNLFDAMVDAVRAAVEKVDGGGGVDVVVSETGWPSADGKGATVENARVYNQNLIGHVGQGTPKKPGQLETYVFAMFNENRKEGDPTEKKFGLFNPDMTPPGFPLAVAAALLLGVFISIPVGVQSVGVCYGMIGNNLPSKSDVVQLYKSNGITDMRIYLPDAGAMSALRGSGIGLIVGVANENLIQLAADPAAAVSWVNTNIKPFIPSVNIKYIAVGNEITGEPTQNILPAMQHINAALVAAGLATIKVSTAVRLDVVTNTFPPSAGVFAQPYMTAVAQFLASTGSPLLANVYPYFAYVSNKKDISLAYATFQPGTKVTDPGTGFVYTNLFDAMVDAVHAALDKAGARNVRVVVSESGWPSAGGDSATVGNARTYVQNLINHVKIGTPRRPGQLETYVFAMFNENQKPGQLTERNFGLFFPNKTPGVASMLTVALIVVAIFASVPTAVQSIGVCYGMLGNNLPSKNDVVQLYRSKGINGMRIYYPDRDTLNALRSSGNGIGLILDIGGTDQLSYLAASRSNADAWVRDNIRPYYPSVNIKYIAVGNEVEGGATNNILPAIRNVNAALAAAGFGNIKASTSVKYDVISNSYPPSAGVFRDNYMRDIARYLASTNAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAIYAALEKAGAGGVKVVVSESGWPSAEGFGASIDNARAYNQGLIDHVGRGTPKRPGALETYIFAMFNENQKTGDPTERNFGLFYPNKAPVYPIRGVASCSMLDMASASSMLDWVLLLSVFASIVARTAAVGVCWGMSGDNLPPASKVVDMLHENGFTIVRLYAPDTAALAALGGTGIRVVVGAPNYDLPALANGGVVAAAAWVRANIQSHPTVTFRYVVVGNEVSGDDTRLLVPAMENVHAALALAGLGHIKVTTSISQATIAVHIPPSAGEFTDEAKSFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDLSFMLFTASGNNVVMDGEYVYENQFDATVDAVYTAVAKMGGTSVRVVVSETGWPTAGGVAASVENARTFNQNLVRHVRKGTPRRPWRKTETYVFAMFNENQKEAGVEQNWGLFFPNTDMVYPISFHARV >LPERR01G38030.3 pep chromosome:Lperr_V1.4:1:31415791:31437864:-1 gene:LPERR01G38030 transcript:LPERR01G38030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQQWAAIASVDFSLAQLLQVIILLSTGGANCGGYMASKYTVLAIYAFILILHGIINSLPIELLSLFGHVGAIWNAAVAKDKPSVEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPTGIITSVVFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGTGVGGVVCLGAVAVAVFLCGIATVTSNSRVNKHEVPINVVWLGVAVAFLIALMSLGSQVAFQAMGSTATLGMYIAYALPVFFRVTTARKSFVPGPFHLGKYGVLVGWVGVVWVGTVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSAGVWVARARFWFQGPITNSPLPPPVPTATAAGFLPKNARPVRRRRRLGQPPTIWAPAKHPLNPHFTWRPPPHTPFPLSDGRRRRLLRRPSRVRSAAFMRGLLASCALLRRSAANAHLSGAGCCNGAPSVPSPLRRFPPQLDYETDPPLDGVKVLEKESTLNVAVSQLASDFDRESNLCLDRFSRTRRTPIISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLITQPDSAENSLSIVNTLVGGSIDVVVIDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQLPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEDQATGIGISVQIIKNKLSPATLKEASIDIRFGKGICYESEILELASSLGVILKDGCGYWINGDFLADKAEAEKFLRENAVVADEICGTMRSQFFESLLPRCLESPSSKTIKGQEPLPLCTSRSSVQSIGVCYGVIGDGLRSASEVVNLYKSNGITSMRIYFADGDALDALRNSGIALALDVGTRDDVARLAADPSNAVSWVNDNVKTYHPDVNFRYIVVGNELTGDTNVLPAMQNVHAALTNAGLAGEIKVSTAIKMDTIASSSPPSSAVFTNPSVMEPIVKFLAGNGSPLLANVYPYFAYKDSDEIELGFALFEPSSTTVNDPNGLSYTNLFDAMVDAVRAAVEKVDGGGGVDVVVSETGWPSADGKGATVENARVYNQNLIGHVGQGTPKKPGQLETYVFAMFNENRKEGDPTEKKFGLFNPDMTPPGFPLAVAAALLLGVFISIPVGVQSVGVCYGMIGNNLPSKSDVVQLYKSNGITDMRIYLPDAGAMSALRGSGIGLIVGVANENLIQLAADPAAAVSWVNTNIKPFIPSVNIKYIAVGNEITGEPTQNILPAMQHINAALVAAGLATIKVSTAVRLDVVTNTFPPSAGVFAQPYMTAVAQFLASTGSPLLANVYPYFAYVSNKKDISLAYATFQPGTKVTDPGTGFVYTNLFDAMVDAVHAALDKAGARNVRVVVSESGWPSAGGDSATVGNARTYVQNLINHVKIGTPRRPGQLETYVFAMFNENQKPGQLTERNFGLFFPNKTPVYPIKTMARRQGVASMLTVALIVVAIFASVPTAVQSIGVCYGMLGNNLPSKNDVVQLYRSKGINGMRIYYPDRDTLNALRSSGNGIGLILDIGGTDQLSYLAASRSNADAWVRDNIRPYYPSVNIKYIAVGNEVEGGATNNILPAIRNVNAALAAAGFGNIKASTSVKYDVISNSYPPSAGVFRDNYMRDIARYLASTNAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAIYAALEKAGAGGVKVVVSESGWPSAEGFGASIDNARAYNQGLIDHVGRGTPKRPGALETYIFAMFNENQKTGDPTERNFGLFYPNKAPVYPIRGVASCSMLDMASASSMLDWVLLLSVFASIVARTAAVGVCWGMSGDNLPPASKVVDMLHENGFTIVRLYAPDTAALAALGGTGIRVVVGAPNYDLPALANGGVVAAAAWVRANIQSHPTVTFRYVVVGNEVSGDDTRLLVPAMENVHAALALAGLGHIKVTTSISQATIAVHIPPSAGEFTDEAKSFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDLSFMLFTASGNNVVMDGEYVYENQFDATVDAVYTAVAKMGGTSVRVVVSETGWPTAGGVAASVENARTFNQNLVRHVRKGTPRRPWRKTETYVFAMFNENQKEAGVEQNWGLFFPNTDMVYPISFHARV >LPERR01G38030.4 pep chromosome:Lperr_V1.4:1:31415791:31437864:-1 gene:LPERR01G38030 transcript:LPERR01G38030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQQWAAIASVDFSLAQLLQVIILLSTGGANCGGYMASKYTVLAIYAFILILHGIINSLPIELLSLFGHVGAIWNAAVAKDKPSVEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPTGIITSVVFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGTGVGGVVCLGAVAVAVFLCGIATVTSNSRVNKHEVPINVVWLGVAVAFLIALMSLGSQVAFQAMGSTATLGMYIAYALPVFFRVTTARKSFVPGPFHLGKYGVLVGWVGVVWVGTVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSAGVWVARARFWFQGPITNSPLPPPVPTATAAGFLPKNARPVRRRRRLGQPPTIWAPAKHPLNPHFTWRPPPHTPFPLSDGRRRRLLRRPSRVRSAAFMRGLLASCALLRRSAANAHLSGAGCCNGAPSVPSPLRRFPPQLDYETDPPLDGVKVLEKESTLNVAVSQLASDFDRESNLCLDRFSRTRRTPIISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLITQPDSAENSLSIVNTLVGGSIDVVVIDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQLPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEDQATGIGISVQIIKNKLSPATLKEASIDIRFGKGICYESEILELASSLGVILKDGCGYWINGDFLADKAEAEKFLRENAVVADEICGTMRSQFFERCTLQLHPCATGLGVQSIGVCYGVIGDGLRSASEVVNLYKSNGITSMRIYFADGDALDALRNSGIALALDVGTRDDVARLAADPSNAVSWVNDNVKTYHPDVNFRYIVVGNELTGDTNVLPAMQNVHAALTNAGLAGEIKVSTAIKMDTIASSSPPSSAVFTNPSVMEPIVKFLAGNGSPLLANVYPYFAYKDSDEIELGFALFEPSSTTVNDPNGLSYTNLFDAMVDAVRAAVEKVDGGGGVDVVVSETGWPSADGKGATVENARVYNQNLIGHVGQGTPKKPGQLETYVFAMFNENRKEGDPTEKKFGLFNPDMTPPGFPLAVAAALLLGVFISIPVGVQSVGVCYGMIGNNLPSKSDVVQLYKSNGITDMRIYLPDAGAMSALRGSGIGLIVGVANENLIQLAADPAAAVSWVNTNIKPFIPSVNIKYIAVGNEITGEPTQNILPAMQHINAALVAAGLATIKVSTAVRLDVVTNTFPPSAGVFAQPYMTAVAQFLASTGSPLLANVYPYFAYVSNKKDISLAYATFQPGTKVTDPGTGFVYTNLFDAMVDAVHAALDKAGARNVRVVVSESGWPSAGGDSATVGNARTYVQNLINHVKIGTPRRPGQLETYVFAMFNENQKPGQLTERNFGLFFPNKTPVYPIKTMARRQGVASMLTVALIVVAIFASVPTAVQSIGVCYGMLGNNLPSKNDVVQLYRSKGINGMRIYYPDRDTLNALRSSGNGIGLILDIGGTDQLSYLAASRSNADAWVRDNIRPYYPSVNIKYIAVGNEVEGGATNNILPAIRNVNAALAAAGFGNIKASTSVKYDVISNSYPPSAGVFRDNYMRDIARYLASTNAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAIYAALEKAGAGGVKVVVSESGWPSAEGFGASIDNARAYNQGLIDHVGRGTPKRPGALETYIFAMFNENQKTGDPTERNFGLFYPNKAPVYPIRGVASCSMLDMASASSMLDWVLLLSVFASIVARTAAVGVCWGMSGDNLPPASKVVDMLHENGFTIVRLYAPDTAALAALGGTGIRVVVGAPNYDLPALANGGVVAAAAWVRANIQSHPTVTFRYVVVGNEVSGDDTRLLVPAMENVHAALALAGLGHIKVTTSISQATIAVHIPPSAGEFTDEAKSFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDLSFMLFTASGNNVVMDGEYVYENQFDATVDAVYTAVAKMGGTSVRVVVSETGWPTAGGVAASVENARTFNQNLVRHVRKGTPRRPWRKTETYVFAMFNENQKEAGVEQNWGLFFPNTDMVYPISFHARV >LPERR01G38040.1 pep chromosome:Lperr_V1.4:1:31441492:31447364:-1 gene:LPERR01G38040 transcript:LPERR01G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLVVSSLNGCVALSMSEICSAYPTSGGLYYWSAKMAGKEWAPLASWVTGWFNIVGQLLQVIILLATGGANGGGYLASKYVVLAIYCAILILHGLINSLPIKWLSWFGRLGAFWNVADRGDEERRLERPDGDHHVRRTVNLVRVDLPGFPVINHGGPYLLSSDNDAGGYAIAQALYTSFHRRYGTGVGALACLGVIAVAVFLCGSACITSNSRGSTMQMELSRPVEMAVAGDPDKIRLQQLGYKQELKRGLSVISNFAFSFSIISVMAGVTTTYSTGLRYGGPVSMTLGWLVVSAFNSCMALSMAEICSAYPTSGGLYYWSAKLAGKEWAPFASWITGWFNITGQWAATASVDFALAQLVQVIVLLSTGGANGGGYVASKYIVLAIDGAMLVLHGAINSLPIQCLSWFGQFGAFWNATGLFVLVALIPSVAKERASMEYIFTHFNTENGMGIRDEAYILLIGLLMSQYSMAGYDTSAHMTEETKNAEWNGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPGNDAGGNAVAQALYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKIWHQVNKQDVPVNAVWLSVMVAFVMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARKSFVPGPFHLGKYGLVVGWVAVVWVATATVLFSLPVAFPVAEETFNYTPVAVGGVLLLTVGAWVIQARFWFQGPIINVDDGPL >LPERR01G38050.1 pep chromosome:Lperr_V1.4:1:31450071:31452827:-1 gene:LPERR01G38050 transcript:LPERR01G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSVVVAMDANAAADADQARLQQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSITMGWLVVSSFNLCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQVIILLATGGANGGGYLASKYVVLAIYSGILILHGLINSLPIQWLSWFGHLGAFWNVAGVFALTILIPAVAKERASPEFMFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWNGPMGIITSVALSTMFGWIYLVALSSIVTDIPYLLSSDNDAGGYGIAQALYTSFHRRYGTGVGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWYRVNRHEVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSIATLGLYIAYALPVFFRVTTARKSFVPGPFHLGKYGVLVGWVGVVWVGTVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSLAAWVLHARFWFEGPVTNVDM >LPERR01G38060.1 pep chromosome:Lperr_V1.4:1:31455837:31470152:-1 gene:LPERR01G38060 transcript:LPERR01G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFRGHFESFGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDGMAGSEKDEEEVEEKEAREENEEKDVEETNAERGSRGMDIAIRSRSGNGIAAMDGRMDGLARGVDAFLRREMVARIAMAARCSSEGQRGERFKTTTSARAGKKMKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFRGHFESFGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDVSAQMCYNVHDAC >LPERR01G38060.2 pep chromosome:Lperr_V1.4:1:31455837:31470152:-1 gene:LPERR01G38060 transcript:LPERR01G38060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFRGHFESFGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDGMAGSEKDEEEVEEKEAREENEEKDVEETNAERGSRGMDIAIRSRSGNGIAAMDGRMDGLARGVDAFLRREMVARIAMAARCSSEGQRGERFKTTTSARAGKKMKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDVSAQMCYNVHDAC >LPERR01G38060.3 pep chromosome:Lperr_V1.4:1:31455837:31470152:-1 gene:LPERR01G38060 transcript:LPERR01G38060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFRGHFESFGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDGMAGSEKDEEEVEEKEAREENEEKDVEETNAERGSRGMDIAIRSRSGNGIAAMDGRMDGLARGVDAFLRREMVARIAMAARCSSEGQRGERFKTTTSARAGKKMKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFQSVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGQEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDVSAQMCYNVHDAC >LPERR01G38060.4 pep chromosome:Lperr_V1.4:1:31455837:31470152:-1 gene:LPERR01G38060 transcript:LPERR01G38060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENKQFASENCGSCLARVTTNPNRPPNPLLLSFAGDHRRRRNSGEPISKEESPLRLIVFGVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKPQGSKKATRIFVARIPQSVDESMFRGHFESFGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQDANTEDLRHYFGRFGRIVDAYIPKVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCGGLDYDVSAQMCYNVHDAC >LPERR01G38070.1 pep chromosome:Lperr_V1.4:1:31472741:31474003:1 gene:LPERR01G38070 transcript:LPERR01G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPLPDRDRIAMSMPLLPRSAFVSSTSFSSFSSLASFSSTSSSSFSDPAIPSPSDAAAVESASPAPMSPSSSFKALAVLRDHNGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVASLPTTRDYLGKIFRHGSYDDVQTRRNNHRRRRLWIEHADSISCLAVHDGVVYSGSWDKTLKAWRISDLRCLESIRAHDDAINAVAVDAAGDGGAGIIYSASADGSVKAWERGKAAHFLQGILVSRDGVSWNVYAAGSDGHVVGWERIGRGSRWSLTCDVKAHDMAVLCLCVAGDLLCTGSADKTIGLWRRAGGELARVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVRPMAGSQTSRNSSSKRRT >LPERR01G38080.1 pep chromosome:Lperr_V1.4:1:31477106:31477696:1 gene:LPERR01G38080 transcript:LPERR01G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELNLIIREDDCAAFDPTEDELMLHFLRPQLRGFSPRVAGAVVEADPCAAAPWDLLALHGRRDEGYFFSPRARASRRKQSVRRTIAGGGAWMHSSTKNGQSVSELGVVVRWCRVNFCFYVFGGSGGEAGRVSTGWMMAEYEITDPRCYRRADDGEEDEFWVLCHVRKSSRSPAVRDSTSAAAPAKSRRRKAAVA >LPERR01G38090.1 pep chromosome:Lperr_V1.4:1:31481450:31486604:1 gene:LPERR01G38090 transcript:LPERR01G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAEQKPRIITFLRLESLGVCYGVHGNNLPSRTDVVQLYRSKGINKTRIYYPDKEALNALRNSGIALILDVGGFDAVSHLATSRSNADAWVHDNVRPYYKTVNIKYIVVGNEHPPGNSMCNVNAALAAAGLGNIKVSTAIDRYSSINTNSQRIRILFAKPYMTEIARYLASTNAPLLANVYPYFAYKEKPRVISLNYATFGPGAATSRTDSPTRTNLFDAMMDAIYAALEKAGAGNMRVVVSESGWPSAEGLGASIDNARAYNQGLIDHVGRGTPKRPGALETYLFAMFNENLKSGDTTERNFGLFYPNKAPVYPIKFN >LPERR01G38090.2 pep chromosome:Lperr_V1.4:1:31479566:31481551:1 gene:LPERR01G38090 transcript:LPERR01G38090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVLGNNLPSRTEVVQLYKSKGISAMRIYYPDHDALAALRGSAIAVIIDVGGRDAVSNLANNPSAATDWVRTNIQPYYPNVLIRYIAVGNELSDARDMSLILPAMQNVRAALVAAGLSSGIKVSTAVKMDVVADTFPPSRGVFRPDLDRLISPIVRFLADTMSPLLVNVYPYFAYRDNPRDISLSYATFQPGANPVRDPNNGLTYTNLFNAMVDAVYAALEKAGAGNVRIVVSESGWPSDGGFGASVENARNHNQGVIDNVKNGTPRRPGQLETYVFAMFNENQKTGDPTERSFGLFNPDKTPVYPITFPN >LPERR01G38100.1 pep chromosome:Lperr_V1.4:1:31481158:31483802:-1 gene:LPERR01G38100 transcript:LPERR01G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNQLPSSASSQDEKCTLSQGYAIFQGDKTLRYSGPDLPKDIWHHILSLMPLRDAARAACVSRGFLCSWRCRPNLIFTKETLSLEQNRKHRVRKGDKTCAFASRVDHILKNHSGIGVKRLELSICDFCGIKVSSIDRWLQIAITPGIEEIILLLPSNYMEMYNFPLSLLFDGRGSSIQYLDLMYCTLRPTVGLGCLRSMAKLCLSDVCIMDDELVSLLSKSFALEELELRYCSEIICLMIPCLERLKCLTVFECINLQMIKSNAPNITTFSFYGDPIELSLGESSQVKELDMSCSDVPNFICYSITKLPYIVPNLISLTLSSVNEGINTPTVAAKFLHLQRLEICLEADKAMPPEYDYLSLVSFLEASPVLETFILSVYQYDMKHDSVSEEALHMREMPEHKHSNLKNVMILGFCSAKSMVELACHVLKNTTSLKSITLDTVYDCYDVDKIGRCYTTSAREIGECKPLTRQMILEAERGSMAIERYILGKVPSTVELTVRGPCVHCHDIRRAKTRF >LPERR01G38110.1 pep chromosome:Lperr_V1.4:1:31486978:31488289:1 gene:LPERR01G38110 transcript:LPERR01G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKDHVNIQSPFRLSVHQQPQHRRLPPLAAAAAALTTEIHDDGDGRRTEIYSKEDDGDGVEAAGRRVEGNDDEVEAAGDR >LPERR01G38110.2 pep chromosome:Lperr_V1.4:1:31486978:31488289:1 gene:LPERR01G38110 transcript:LPERR01G38110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKDHVNIQSPFRLSVHTTEIHDDGDGRRTEIYSKEDDGDGVEAAGRRVEGNDDEVEAAGDR >LPERR01G38120.1 pep chromosome:Lperr_V1.4:1:31488815:31489571:1 gene:LPERR01G38120 transcript:LPERR01G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWLMAYNERDHQRLPGKHVPACLCWPTCTPTLRTGETGNTSINLNYAMFRLGTTVRNSNNGLTYTNLFNAMVDDVHIRHAT >LPERR01G38130.1 pep chromosome:Lperr_V1.4:1:31493867:31496604:-1 gene:LPERR01G38130 transcript:LPERR01G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDENQSAVERKIFSTVDRILVKIKVLIGDIGSNLKKLPKQSEYSGNNTGDDDTDADADAVALRHIEVNIQAEQLGAGVERFQDTIGTKHRVDAYYYSMPSLADEEDEAAQAQPLSGLQVRPDALDEQLMKCILFLAIFPPGEVIKKRLLIHWWLGEEMVRSADAGKECFDELFDRGLVQPALRRGHCQRTHYFRVHPVVHSQLVDAARSRGFIEFPGGNGKIVGNRRLFLQEGQSSDPSTRGTITNAFLSVFNLDMVYLKMHIAKSRITRVVQLGRWKSSVTHHIELEGDNNLLKNVMACKNLRYLSLRGISLIESIPEAIGMLTELLVLDLRACHNLENLPGSIGSLQKLEYLDLSECFLLEEMPKEIGELSKLQVLKGFLVGSSRKKSNPCRLFDLATKAHNLRKLSITTGRQSLVCDEDELCQLTKCQCLESLTITWRGEASTKACLSLPSSLTKLDLRRAPTTSLLNIIRPSTSASLKRLYLRGGKIRTFGKDSGWKVETLRARFLNELECEWSELHGLFQELHFVEMWRCARLSFWPCDRRGIWEKGSPSMAGRSIGVCYGVKGNNLPPWHEVVQLYASNNIPAMRMFYPHHDVLEALRGTGITISLDVEGQFLPSFASEPSVAAAWVKTNVQAFYPEVSFKYITVGNQVPMREMSYILPAMQNIYTALSEVGLDHIKVSTSVRRDVLGVSYPPSDGAFTSAMEKYMAPLVQFLAKIGAPLLASVFPYFTYVHNQADIDIDYALFTSPGPVVQDGAYNYQNLFDATVDALYSAMEKVGGSTVRVVVSDSGWPSAGAPAATKDNAKTYIQNLINHVGKGTPKRPVPTETYIFAMFNENEKTGDEIERNFGLFEPDKSPVYPISFSSKKG >LPERR01G38140.1 pep chromosome:Lperr_V1.4:1:31499191:31508681:1 gene:LPERR01G38140 transcript:LPERR01G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVARCVLEKWRSMEEKPGGIKENLSHTFAKSYRNVCAAKEPIRTLDDLYQIKGVGKWVIRQLKESFPDSSPDLSPPKSNSAGEKGKKAGGSKRYVPQKNSAAYAILITLYRGTSSEKSHMLRQELIDATEKSGLSRTAIGPDKSKAKPGAFASSQKDWYNGWSCMKTLTSKGLVAKSGNPAKYMITEEGKVTACDCLSRSGLDDHAVPHISNAAPSMATGRPSTSVANAATKTSPEVTYFTSQESYNSEVRTADKCAEEIILSDSDSEESYTENYALIDSEEFTEKVAPAILKASNTGCLDISKRPIQNYGFLDCSASISSLSSQGTSELQSSSTMSTDEFNMVNTDIIHIDNSIAMPPRRASKHFLETYEVVLVLDDREKFGHRSKNVVENIHSQFGVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLDGSILDNRYKLQKLRLQKCGLRKLIYLVEGDPNPLDASERIKTACFTTEILEGFDVQRTIGYADTVRKYGYLTCSIIEYYNTNFSTGAETSQVCPTYDEFKKKCHDLKKITVSDVFALQLMQVPQVTEDAALAVIGLYPTIFSLAQAYSMLGGDTRAQEELLKNKSTLINSGASKNIFKLIWGEG >LPERR01G38140.2 pep chromosome:Lperr_V1.4:1:31499191:31508681:1 gene:LPERR01G38140 transcript:LPERR01G38140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVARCVLEKWRSMEEKPGGIKENLSHTFAKSYRNVCAAKEPIRTLDDLYQIKGVGKWVIRQLKESFPDSSPDLSPPKSNSAGEKGKKAGGSKRYVPQKNSAAYAILITLYRGTSSEKSHMLRQELIDATEKSGLSRTAIGPDKSKAKPGAFASSQKDWYNGWSCMKTLTSKGLVAKSGNPAKYMITEEGKVTACDCLSRSGLDDHAVPHISNAAPSMATGRPSTSVANAATKTSPEVTYFTSQESYNSEVRTADKCAEEIILSDSDSEESYTENYALIDSEEFTEKVAPAILKASNTGCLDISKRPIQNYGFLDCSASISSLSSQGTSELQHRSKNVVENIHSQFGVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLDGSILDNRYKLQKLRLQKCGLRKLIYLVEGDPNPLDASERIKTACFTTEILEGFDVQRTIGYADTVRKYGYLTCSIIEYYNTNFSTGAETSQVCPTYDEFKKKCHDLKKITVSDVFALQLMQVPQVTEDAALAVIGLYPTIFSLAQAYSMLGGDTRAQEELLKNKSTLINSGASKNIFKLIWGEG >LPERR01G38150.1 pep chromosome:Lperr_V1.4:1:31517855:31519225:1 gene:LPERR01G38150 transcript:LPERR01G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEGSSSSVTSSPLQNFSNMPLHPLAAAAAAASSPATATPAPPWMMRELRSDERGLCLIHLLLNCAAAASSGRLDAANAALEHIATLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRGLLLPRSSPTPAESAAARRHFLDLCPFLRLAGAAANQSILESMESEKIVHVIDLGGAADGAAQWVELLHLLAARPEGPPHLRLTSVHPHKDLLSQSAMALTKEAERLDIPFQFNPVVSPLDSLDLESLRVKTGEALAVFSSLQLHSLLATDDDSTITTVAAGEKDRRSPESGISPSTSRTDAFLTAIWGLSPKVFVITEQEASHNSGNLTERFVEALNYYAALFDCLESGAARGSVERARVERWMLGEEIKNIVACDGGERRERHERLDRWARRMEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGSFFLCWHDRALFSVSAWRGRRFD >LPERR01G38160.1 pep chromosome:Lperr_V1.4:1:31521795:31526023:-1 gene:LPERR01G38160 transcript:LPERR01G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHPVPAAAARPDGFRLGFIGPGNLAESIARGVAVSGVLPAAAIRTAAHRRPERAEAFASIGAHIMETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRIIRVMPNTPSAVGQAASVMCLGEMATENDESRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNQTGKHPGQLKDMVTSPAGTTITGLQELEKGAFRGTLINAVVAATKRCRELSQNCKKRKKPADDADDAPPGPVGALDADDLEIFEVGEVAAAIGGGGGEVGEAVVPLLLVGRVEERCAGVDREVGAHHHHREVAAGARHRRRTHAVKRSITVPAIN >LPERR01G38160.2 pep chromosome:Lperr_V1.4:1:31524007:31527530:-1 gene:LPERR01G38160 transcript:LPERR01G38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDQHQNLRGLRPLPHSHKIFSAMAAPPHPVPAAAARPDGFRLGFIGPGNLAESIARGVAVSGVLPAAAIRTAAHRRPERAEAFASIGAHIMETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRIIRVMPNTPSAVGQAASVMCLGEMATENDESRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNQTGKHPGQLKDMVTSPAGTTITGLQELEKGAFRGTLINAVVAATKRCRELSQS >LPERR01G38160.3 pep chromosome:Lperr_V1.4:1:31524007:31526023:-1 gene:LPERR01G38160 transcript:LPERR01G38160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHPVPAAAARPDGFRLGFIGPGNLAESIARGVAVSGVLPAAAIRTAAHRRPERAEAFASIGAHIMETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRIIRVMPNTPSAVGQAASVMCLGEMATENDESRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNQTGKHPGQLKDMVTSPAGTTITGLQELEKGAFRGTLINAVVAATKRCRELSQS >LPERR01G38160.4 pep chromosome:Lperr_V1.4:1:31521795:31522855:-1 gene:LPERR01G38160 transcript:LPERR01G38160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLERRPGCKKKKPADDADDAPPGPVGALDADDLEIFEVGEVAAAIGGGGGEVGEAVVPLLLVGRVEERCAGVDREVGAHHHHREVAAGARHRRRTHAVKRSITVPAIN >LPERR01G38160.5 pep chromosome:Lperr_V1.4:1:31521795:31523385:-1 gene:LPERR01G38160 transcript:LPERR01G38160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRFYTIVCQWSVLKNLIMINHEIWKKPADDADDAPPGPVGALDADDLEIFEVGEVAAAIGGGGGEVGEAVVPLLLVGRVEERCAGVDREVGAHHHHREVAAGARHRRRTHAVKRSITVPAIN >LPERR01G38170.1 pep chromosome:Lperr_V1.4:1:31521798:31523731:1 gene:LPERR01G38170 transcript:LPERR01G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGDFSVVVVGSDFAVDAGAALLNPANQEEWHDCLPDLSAATADGGGDFSDLEDLQVVRIQGTDRAGRRIVRVVGRFFPAPIIAGDRLKRYVLHKLRTEVPDGPFCILYIHSTVQSDDNNPGMTILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVVDHDKVLEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWTPEDRRYSRNYM >LPERR01G38180.1 pep chromosome:Lperr_V1.4:1:31527604:31530820:-1 gene:LPERR01G38180 transcript:LPERR01G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGGRGGVGVAVDVEDLLVRVKNGADDELAEVAREVEVLAEQGRLGEDDDEDGVLVPALLARLAGAGNAEARVRVMAALRRLARCVGVESKERLASIDALSSIVRSLSRDVEERREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNADEPGTHDDAEKLLHILSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKVLMATAVSKMFLSEQMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSDRILRHKDVASQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRHNGGVQLLLPFLTEKNVDIKIAALNFMFNLSKDASQELTEQIRDTHLGIFVKIISSPASGNEKAAAIGILSNLPVTDNKITEFLTEANLLPLLISLLETNIRASLTPERTLLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSVALRKTKSPRWLCVAPSAETYCLVHNRQCTIKSTFCLVKAGAVSPLIQILEDDDREADGAVLEALATLMQDEIWENGSRVIEKSSGVHALLRIAEAGNSTSQDKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPVLKPMIGKILAHLELLQTQSSYF >LPERR01G38190.1 pep chromosome:Lperr_V1.4:1:31533089:31533499:-1 gene:LPERR01G38190 transcript:LPERR01G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNSQPPPGYPTAAGAEQAGKKSRRGSTKSRGEKGFIEGCIAALCCCWICEMCCD >LPERR01G38200.1 pep chromosome:Lperr_V1.4:1:31542527:31545296:-1 gene:LPERR01G38200 transcript:LPERR01G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRNPRSPSGAAASASSPRGAAASAAAATPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLASMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHHHHHNPHGGGIDAASNAAGAGGEIDDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTAAAVNAAAPAGIYGEAHAGGGGGGGSSMVNLSLDNRMVYLNLGMDAQFGKMNDGSDGDDGGGGSRGPSSLFSPHGFP >LPERR01G38210.1 pep chromosome:Lperr_V1.4:1:31578926:31585873:-1 gene:LPERR01G38210 transcript:LPERR01G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVRLLNHRKLLLLPSTTSASSAAAFSTSKRTYARRTKPAPATAAADAVAGEGEETGPGWQREKLPSELPRPSTIAFQPRVANAVRLIGSVGAPVQLQRLPDGRFSAVSVLVQDRLSDYPNFWIPIIFQDDLAQVAASHLKENDLIYVSGQLTGDIPPTKIMDGQANIQVLAQLLSFVGSKAVEAESMVDEEEGFIKVFEAEKKVEAKKYISKYPSGTVSGYRNKGDKLNKLWDDVMANPQDWTDHRPQKKAGSINARYPDFTHNVSKEGLWLNTAPKAILEKLDDLVFSRGFSAGKQYRPFGGDKGANWAKKSQDASSMSKQKLQEDLWRDLMNNPGKWWDNRSDRRSEKQPDFKHKDTGEPLWIGSQTPRWAIDALPPAKPPKTPFKGDRKQETLLCNGIGGTVLSRKGGLERRRLKS >LPERR01G38210.2 pep chromosome:Lperr_V1.4:1:31578926:31585873:-1 gene:LPERR01G38210 transcript:LPERR01G38210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVRLLNHRKLLLLPSTTSASSAAAFSTSKRTYARRTKPAPATAAADAVAGEGEETGPGWQREKLPSELPRPSTIAFQPRVANAVRLIGSVGAPVQLQRLPDGRFSAVSVLVQDRLSDYPNFWIPIIFQDDLAQVAASHLKENDLIYVSGQLTGDIPPTKIMDGQANIQGDKLNKLWDDVMANPQDWTDHRPQKKAGSINARYPDFTHNVSKEGLWLNTAPKAILEKLDDLVFSRGFSAGKQYRPFGGDKGANWAKKSQDASSMSKQKLQEDLWRDLMNNPGKWWDNRSDRRSEKQPDFKHKDTGEPLWIGSQTPRWAIDALPPAKPPKTPFKGDRKQETLLCNGIGGTVLSRKGGLERRRLKS >LPERR01G38220.1 pep chromosome:Lperr_V1.4:1:31592362:31592934:1 gene:LPERR01G38220 transcript:LPERR01G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSLARKLSLPSPKRTSSGGGGKSKNKRSISRSEASSFASSSSDETMMAARSMSTPRSVLLPAEISRRELEAVLRRLGHEEPSDDELDAVAAIAAEAEVGGEEEEEELREAFKVFDADGDGRITAEELRGVMLAILGGDGVGCSLDDCRRMIGGVDADGDGFVGFQDFSRMMMAATAATDGARSSW >LPERR01G38230.1 pep chromosome:Lperr_V1.4:1:31595479:31599337:-1 gene:LPERR01G38230 transcript:LPERR01G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSLGVGLPYQKFVSFALEETCLRTTLTPHPSQYLLGSGTVEGEDYPEIPYPSLEKFKFIKSSDDNTVFNALSFSAPKIRLLRSLTIEKKNAFKVLDFAAFSEPEYDLPIFCANVFTTPAQSIVVLDLNPLYDTTIHKEYKDKYYKKIMPLAQKYSELLPWGGKITSESLRFFSPIVIWTIFESTERNHHVLHLAFMDYYKIWLELMDQAIKENNKVTIARNREQQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGKFIGDAEAFRELSSNCIQQAKETSRKLSSKRALPEAKEQKYTHFPTCLGSLFPCMSLTHQASREKVSEVHARMDELVELDLKRRKGSGDKDKLGCG >LPERR01G38230.2 pep chromosome:Lperr_V1.4:1:31595479:31599337:-1 gene:LPERR01G38230 transcript:LPERR01G38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSLGVGLPYQKFVSFALEETCLRTTLTPHPSQEKFKFIKSSDDNTVFNALSFSAPKIRLLRSLTIEKKNAFKVLDFAAFSEPEYDLPIFCANVFTTPAQSIVVLDLNPLYDTTIHKEYKDKYYKKIMPLAQKYSELLPWGGKITSESLRFFSPIVIWTIFESTERNHHVLHLAFMDYYKIWLELMDQAIKENNKVTIARNREQQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGKFIGDAEAFRELSSNCIQQAKETSRKLSSKRALPEAKEQKYTHFPTCLGSLFPCMSLTHQASREKVSEVHARMDELVELDLKRRKGSGDKDKLGCG >LPERR01G38230.3 pep chromosome:Lperr_V1.4:1:31595479:31598756:-1 gene:LPERR01G38230 transcript:LPERR01G38230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSLGVGLPYQKFVSFALEETCLRTTLTPHPSQEKFKFIKSSDDNTVFNALSFSAPKIRLLRSLTIEKKNAFKVLDFAAFSEPEYDLPIFCANVFTTPAQSIVVLDLNPLYDTTIHKEYKDKYYKKIMPLAQKYSELLPWGGKITSESLRFFSPIVIWTIFESTERNHHVLHLAFMDYYKIWLELMDQAIKENNKVTIARNREQQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGKFIGDAEAFRELSSNCIQQAKETSRKLSSKRALPEAKEQKYTHFPTCLGSLFPCMSLTHQASREKVSEVHARMDELVELDLKRRKGSGDKDKLGCG >LPERR01G38240.1 pep chromosome:Lperr_V1.4:1:31601170:31601736:-1 gene:LPERR01G38240 transcript:LPERR01G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMPTLFRRRSGGERESKSPPPPPPTTTKAADASPEAEAEEEMARVFRKFDANGDGRISRTELGALFESLGHAATDDELSRMMAEADSDGDGFISLDEFRALNATDAAAVEEDLRHAFRVFDADGNGTISASELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAAGGASAFAKIA >LPERR01G38250.1 pep chromosome:Lperr_V1.4:1:31610532:31615708:1 gene:LPERR01G38250 transcript:LPERR01G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVKIIGAFASAYCHRAELALRLKGVPYELISEDLRNKSNLLLNHNPIHKLVPVLIHGDQSLSESLVIVEYIDESFDGSPILPIDPYDRAMARFWAQFIDQKFGRFNFWIPFVQMEGDMQEGFVKEAKENLKLLEGQLKGKRFFGGDAIGFLDLAACLLAHWLGVFEEISGVILATDEEFPDLCQWMRRYVNNEIVKQCLPDRDELIAYYQARKFTQDASNSQEHSKENMADAVKLIGAIGSPFVHRAVVALRLKAVNYEFIHEDLENKSDLLLAKNPIHKKVPVLLHGDQAICESLIIVEYIDEAFDGPPILPSNPYDRAMARFWADFIEHKAILSTITAHVQCSKSSWLALWLEGEEQKGFLKETKENLAILEKQLEGKRFFAGDSVGYLDIVASGLAHWIRVVEEVTGVSLMGDENEFPALRRWAEEYVSDDVVKQCLPSREHITAVFTAKKDMLKMVARAMLQQSGQ >LPERR01G38260.1 pep chromosome:Lperr_V1.4:1:31615759:31617720:1 gene:LPERR01G38260 transcript:LPERR01G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSTVKVIGTRFSAFIHRAEVALRLKGVPYELITEDLNNKSELLLKHNPVHKKVPILLHGDRSIPESLIIIEYVDEQCFRLVWLALWTDGGAREGFEKEAKEGLAPNRHCLALLEGQLEGKRFFSGDCIGYVDIATSVLTYWLAAMDEFAGVKLMNAEEYPSLCRWAREYTSSEAVKGCLPDWDQLVTAYAANKEKFVIVAKTLI >LPERR01G38270.1 pep chromosome:Lperr_V1.4:1:31618097:31619834:1 gene:LPERR01G38270 transcript:LPERR01G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVKVIGTFDSPYSHRAEAALRLKGVPYEPILEDLRNKSELLLTHNPIHKKVPVLLHGNDRSIPESLVIVEYVDETFPGPTLLPADPLARATARFWARFIDDKCTKPFWLALWTEGEVQEKFIKETKENLKLLEGQLKGKRFFGGESIGYLDVAASGYAYWLDVLEEVAGVSLVAADEYPDLCRWGKDYVSDDRVKACLPEREKLVAYFMEVKGVFMTTARSMAAK >LPERR01G38280.1 pep chromosome:Lperr_V1.4:1:31622849:31625801:1 gene:LPERR01G38280 transcript:LPERR01G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQTRKKPKDSGFGGGGGGDPGSRGGGGGGIVRTAAALPNYMRATSSSGARAGPGREETAAPPRRKRDAAKAAAAPPYVSRATCSSAMRGLTGAGAGGGEAHVCPYSYCSFKCHADAVPLRTLVAASRRRLIKTQQSMKLKGASPFRKPTTTNGGGAGGDGFFVEIYAGDAAPMASSDVTCSDLSTEEDMDAAGFDRRNDGYEEDKAKDSDGSVDGSCGSSDVISGGSVDLFVTKSRGGCKREIDGEKGIPIYMDQEAEDFGACKSDISEELNANYQDGGVDGSNGSSIDEISSAFGGMNFKEECSDHPGGAASSQRKKWNISRRTTAEQVEKMRAFNPRAPNFLPLEPDPEAEKVDLRHQMMGDRKNSEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVLPPAADEKKYVQQDDDKKSFRLAKNAKA >LPERR01G38290.1 pep chromosome:Lperr_V1.4:1:31626001:31630602:-1 gene:LPERR01G38290 transcript:LPERR01G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEGSAATALRAVLARAATAAERSGRAAEAVRVVAVGKTKPVSMVRQLYDAGHRCFGENYVQEIVTKAPQLPEDIRWHFIGHLQSNKVKPLLAAVPNLHMVEGVDNVKVANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQAVASSMEDLEDVRGLTASVGELRVEAASSSKDSEVSRSGNTVDHGVVADDDIWDDDSDSPGHRYTLDREWVHRQNQFEKMGYRDGVAEGQKDIAQEGFNVGFGQSVDVGYKWGLVRGITSVLASLPDSLKEKLLPNVQCRGQLQDLNNSVQEISSEDALLMFHESILQNSHSSEEPHVTLRRATDSSRLQSLSKDLVLLLHECPDMNVSEELTKDS >LPERR01G38300.1 pep chromosome:Lperr_V1.4:1:31633358:31637713:1 gene:LPERR01G38300 transcript:LPERR01G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGMALVALSLFSLLKKQPGNAPVYLARRMAAAAGDRGGCGILPLGHGRLTPSFRWIRAASRLSDDDLLRRHGLDALAVVRLFKFGIKCFTVCSIVGLFILAPTNYCCEGLQDTPRSNSMELFTVSNVPRGSNRLWVHFACLCFISFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGAYGCCADHFFSKHYRTYQSYHMVHDIGNIEALQKLASSLEEKIKRKRDNRRCGFLKWMWFKLTLEAVDSHKLEEKLKDVHQSIRLLQCENMLKQKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPSDAIWTNLSIPFYRIAVYKLGVFIAAFLLIVFFTIPVTAVQGIVQFENIKQWFPPARAVQLIPGLNSVITGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSRKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFRTHSIGHSEQPYLYGFPYYRVVPTVSLAVLIGMVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHHHIFLSVTLMQTTMLGLFGLKSKPGASFATVPLLVLTILFNEYCKVRFRPTFLHRPVQVAKENDDLNEAEGMRVGDLHHAISAYKPPWMRPTNFPPDSSSVQPLIRSV >LPERR01G38300.2 pep chromosome:Lperr_V1.4:1:31633358:31637713:1 gene:LPERR01G38300 transcript:LPERR01G38300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGMALVALSLFSLLKKQPGNAPVYLARRMAAAAGDRGGCGILPLGHGRLTPSFRWIRAASRLSDDDLLRRHGLDALAVVRLFKFGIKCFTVCSIVGLFILAPTNYCCEGLQDTPRSNSMELFTVSNVPRGSNRLWVHFACLCFISFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGAYGCCADHFFSKHYRTYQSYHMVHDIGNIEALQKLASSLEEKIKRKRDNRRCGFLKWMWFKLTLEAVDSHKLEEKLKDVHQSIRLLQPGLNSVITGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSRKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFRTHSIGHSEQPYLYGFPYYRVVPTVSLAVLIGMVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHHHIFLSVTLMQTTMLGLFGLKSKPGASFATVPLLVLTILFNEYCKVRFRPTFLHRPVQVAKENDDLNEAEGMRVGDLHHAISAYKPPWMRPTNFPPDSSSVQPLIRSV >LPERR01G38310.1 pep chromosome:Lperr_V1.4:1:31637562:31643882:-1 gene:LPERR01G38310 transcript:LPERR01G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQPPPPPPPQHPPPQGGGGGGGEFYRGPPMRQLSASSSTNIPSDYAPHQGNLPPPPPQQQQLQNQPPYDAYGDSFGAKRMRKPVQRRTVDYTSTVVRYVQARMWQRDARDRPTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLRTDLKFCSCSDDTTVKVWDFARCQEERSLTGGKDYLVKLWDAKSGKELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQIQGYGDQHPAFAGRNMGGFQIPESAMTPGPFNTGLSRNEGTIPGIGVAMSLDGSDHGEQRPSIPGLPPGPPPLPPGPHPSLLAGGQQQQYQQIQQQHPQFPQQLTSRPPPPNMPQLQPPHMLPHAQGSRPPLPQLPSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGMNQAHQGPVPSSNMPPMGGFPNGMGNMQGASSASGMQNFPMGGMFNRPQGQMAPQGQAQMSPIPGLSSYQPGMGNLGLPPPPPQHPPRGSAPQ >LPERR01G38320.1 pep chromosome:Lperr_V1.4:1:31645195:31649207:1 gene:LPERR01G38320 transcript:LPERR01G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHNPVHQFADNPKSQRITRKICGMATKIDANDHGNQQGPVGTAERLEHLLNQPANRICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENYMKPKHDCSTEERSDFIRRKYEVQQFLSNTQLTCYSQKSSKHHHHMHHTSSNRHGLGHAFRNSWRRKEHEHKSAKKTVEMGMVEFVGLIKVNVIRGTNLAIRDMMSSDPYVILNLGHQSMKTKVIRSSLNPVWNERLMLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVSAAKAYETSAISDTAQLNRWLAKDGLKIPRDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >LPERR01G38330.1 pep chromosome:Lperr_V1.4:1:31650258:31657450:1 gene:LPERR01G38330 transcript:LPERR01G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQETLILNLHAIEAVKFGSFVLKSGITSPIYLDLRALVSHPSLLSSIAALLHTLPTTRPYDLLCGVPYTALPIASILSVSKSVPMLLRRKEVKAHGTAKSIEGSFRAGDAVLIIEDLVTSGASVLETAAPLREQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVSEEKAMEVRQFLDANRKVTVPGAAVKPKVVRKGFGERAGLATNPMGKRLFEVMEAKQRILCVAADVGTTTELLDLADKIGPEICMLKTHGDILSDFTSDFGSNLRSIAERHNFLIFEDHKFADIGNTVTMQYESVIFRILDWADIVNAHIVSGPGIVVGLKRKGLPKERGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDFVIGFISVNPASWLVTPSPAFIHATPGVQLEAGGDSLGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >LPERR01G38330.2 pep chromosome:Lperr_V1.4:1:31650042:31654226:1 gene:LPERR01G38330 transcript:LPERR01G38330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQETLILNLHAIEAVKFGSFVLKSGITSPIYLDLRALVSHPSLLSSIAALLHTLPTTRPYDLLCGVPYTALPIASILSVSKSVPMLLRRKEVKAHGTAKSIEGSFRAGDAVLIIEDLVTSGASVLETAAPLREQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVSEEKAMEVRQFLDANRKVTVPGAAVKPKVVRKGFGERAGLATNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRVIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPTETAREYRIQGWKAYQSSFCSVLLASGVFS >LPERR01G38330.3 pep chromosome:Lperr_V1.4:1:31654342:31657450:1 gene:LPERR01G38330 transcript:LPERR01G38330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMESLILELHAIVAVKFGSFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPSTPYDLLCGVPYTALPIAAALSASSSVPMLLRRYDATAADCVEGSFAAGDAVLIVEDLVTTGFSVLETVAPLREQEERARLATNPMGRKLFEVMEAKQRILCVAADVGTTTELLDLADKIGPEICMLKTHGDILSDFTSDFGSNLRSIAERHNFLIFEDHKFADIGNTVTMQYESVIFRILDWADIVNAHIVSGPGIVVGLKRKGLPKERGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDFVIGFISVNPASWLVTPSPAFIHATPGVQLEAGGDSLGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >LPERR01G38330.4 pep chromosome:Lperr_V1.4:1:31650042:31657450:1 gene:LPERR01G38330 transcript:LPERR01G38330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQETLILNLHAIEAVKFGSFVLKSGITSPIYLDLRALVSHPSLLSSIAALLHTLPTTRPYDLLCGVPYTALPIASILSVSKSVPMLLRRKEVKAHGTAKSIEGSFRAGDAVLIIEDLVTSGASVLETAAPLREQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVSEEKAMEVRQFLDANRKVTVPGAAVKPKVVRKGFGERAGLATNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRVIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >LPERR01G38330.5 pep chromosome:Lperr_V1.4:1:31650258:31657450:1 gene:LPERR01G38330 transcript:LPERR01G38330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQETLILNLHAIEAVKFGSFVLKSGITSPIYLDLRALVSHPSLLSSIAALLHTLPTTRPYDLLCGVPYTALPIASILSVSKSVPMLLRRKEVKAHGTAKSIEGSFRAGDAVLIIEDLVTSGASVLETAAPLREQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVSEEKAMEVRQFLDANRKVTVPGAAVKPKVVRKGFGERAGLATNPMGKRLFEVMEAKQRILCVAADVGTTTELLDLADKIGPEICMLKTHGDILSDFTSDFGSNLRSIAERHNFLIFEDHKFADIGNTGLPKERGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDFVIGFISVNPASWLVTPSPAFIHATPGVQLEAGGDSLGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >LPERR01G38340.1 pep chromosome:Lperr_V1.4:1:31660808:31662737:1 gene:LPERR01G38340 transcript:LPERR01G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLFLFVLVVVILLLRRCRRRTRRNNNHRPPRANLVAFLVNGHRFLDWSTTLLAAAPASTMEVYGPLGSGHRGVTTANPAVVEHIVRGNFHNYVKGDRIKTAFADLLGDDGIFLADGRRWILQRKLASYSFSPRVIRRFAARVVGDQLRRRLLPFFAAAADDGRVFDLQDLLRRFTFDNICSFAFGVDHDSSSAAMEGGGDRRDSAFFAAFDDAVDISFGRILHPTTVVWKVMKLLDVGSERRLRRAIAVVDEYVTTIMADSSEQRRRRCSDEEEEEPDDLLSRFTAAMEEDDGDGDGELGEMFSSPAAKQLFLRDTVKTFAVAAVEDTYYDELKKMHYLHASITETMRLYPPVPMASRVAAAGDVLPDGTAVEAGWFADYSSYAMGRMKSIWGEDCGEFRPERWLNGDAGGGEFVGVDAARYPVFHGGPRSCLGKEMAYVQMKAVADAVIRRFAVEVVAPPRHEMAVTLRMKGGLRVRVSRRRAVSSGMGRLGHVIDGPSAS >LPERR01G38350.1 pep chromosome:Lperr_V1.4:1:31663287:31665869:-1 gene:LPERR01G38350 transcript:LPERR01G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASSPPDPGGDAMARSSRSPRKYTVEAKIGRLVGAVGDFFSGGDNVPWCDCDVIAGCEREVAEVATEEHKNEGIVRLSWALVHSRNMDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGAIGIGIATTAVGLLVGIAAAVARKS >LPERR01G38360.1 pep chromosome:Lperr_V1.4:1:31670336:31672391:1 gene:LPERR01G38360 transcript:LPERR01G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAAFVVLLALAAPSIAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFFFPGLINPGNTGNAVGSLVTAVNVNNLPGLNTLGVSISRIDYAPWGLNPPHTHPRATEIIFVLEGSLDVFVFPRGLVHFQKNNGNSPAVAIAAFNSQLPGTQSIAETLFGAASPAVPSDTLARAFQIDGGMVEFIKSKFQHK >LPERR01G38370.1 pep chromosome:Lperr_V1.4:1:31675513:31676927:1 gene:LPERR01G38370 transcript:LPERR01G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPATPDGRKDPPGCLPLSVLQAAGFLPRHRENHEMDGGGEERWELGREGEEADPLYSLSVFGDL >LPERR01G38380.1 pep chromosome:Lperr_V1.4:1:31685836:31688218:-1 gene:LPERR01G38380 transcript:LPERR01G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDKTARRDDSEQADKVVHVIDHEGEQGLGQIEGLDWDEAMLEKEMDTLFKRYTGLPDTDDDEAWALMDDQQLKDMELRFALCRIKAHKILNGEVVSDAELIKIYPPDILEENGYFRWLEHDFECGPLLVCLFAWVVISKHLINTEMTVNDEYLHWDLYHNTRSTLKSDQEFVYFWETLSSKTEEYLWSVKFDKTWCEDFACLYFEIWKLVAKQKMSLKDALDQVKEKFMRSMCRSDLEAEFDSDRQFSPGPVTNQYNTYVAEIDEHARCGRTVQRSLHKSGRSGGNTKKQADH >LPERR01G38410.1 pep chromosome:Lperr_V1.4:1:31704561:31705887:1 gene:LPERR01G38410 transcript:LPERR01G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKLTTLLVVSFAVLLSLAAASLLVAGDPDMLQDICVADYKSLQGPLRVNGFPCKPEANVTADDFFFPGLAKPADVYGGGNLMGSVVTAADVNNLPGLNTLGVSMTRVDYAPWGGVNPPHTHPRATEILFVVEGTLEVGFVTTANRLITRLVPKGGVFVFPRGLVHYERSVGEKAAVAISTFDSQLPGTQTVGDTLFGVAPPVATDVLVRAFQTDGGVVENIKSKFQHK >LPERR01G38420.1 pep chromosome:Lperr_V1.4:1:31706606:31714151:1 gene:LPERR01G38420 transcript:LPERR01G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEVGGGFVAVAAAAHRKLGGYLRAVLSVPCDGAGEGLLAPMSPCSLFASGDVSLAPVADGGGSGGVKAIATPRSKWRASCGGGGGGSVVRQLRALVSSRCVEVEGRVLRVVTRRKVDGDGEMEARAVVMFDVYLPVSVWSGWQFPRSRAAAAAAVFKHLSCDWDARNALLAFNWTSPDNPHPDDQYIWTCADCHALACDIHQIPSVMNNERSFDLHEIFKTLPSVRVEKRMQITRITPDEELLGLGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRTLSTSIMPCMKLKLFPHQEAAVDWMLKREQNLQVLAHPLYKGFCTMDGFPFYINVTSGEICTGNAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSSSNSSDKNIFLSGSKKLGKDVIIEDPCSSEPLHNGDSVRSTRSSRKRGRLVNPDMNTVLSHPSGKSPMSSPTVAHPKPATHVLKINLKHLRKNLMDAYDDGSVSNKRKRDATSELSETWAQCDACRKWRRLLDGTVLDSNTAWFCSMNPDSARQKCSIPEESWDSKTKVTYLPGFYNKGTPSGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHLEMEAVGLTRPVLDARASTGKGSRPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSTALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSLDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLAVTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEEGRSRLLQLLQRTMISARKSDLKNIPPCIKKIIFLDFSEEHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDALMQLGLDPSSEEYQSIRYSLLNGASCFRCRYWCRLPVITPCRHLLCLDCVALDSEKCTLPGCGNRYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVEKLRNLRAESMKHGYSRSMSNGACFSGQSSCQDHNNVESTLPHTMPDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGSKRSSLAKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSDACRQMVNKGTSSTDNEGARPHRSLHDFAESSYLAKLGFVQKGSETGGK >LPERR01G38430.1 pep chromosome:Lperr_V1.4:1:31713061:31719623:-1 gene:LPERR01G38430 transcript:LPERR01G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNVLDKAFSDVVLYAVPPKAFFPSDSNAGSVHSMSSAHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGLLGGGSSWVGSSSGAKMDHLLPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRAIALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGAHGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVIQGFNDTNEEELETRSNAQLSRLSSMESLKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVAANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMADRLPGGVTKNSKLPPLSGIPVPNEISSMATESLGSPSSLVEQISNGPNGLLASNGPSSARNKASHLEVGKNRSRLLEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQHYNVRMVDKSVSSIDNEIASR >LPERR01G38430.2 pep chromosome:Lperr_V1.4:1:31713061:31719623:-1 gene:LPERR01G38430 transcript:LPERR01G38430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNVLDKAFSDVVLYAVPPKAFFPSDSNAGSVHSMSSAHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGLLGGGSSWVGSSSGAKMDHLLPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRAIALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGAHGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVIQGFNDTNEEELETRSNAQLSRLSSMESLKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVAANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMADRLPGGVTKNSKLPPLSGIPVPNEISSMATESLGSPSSLVEQISNGPNGLLASNGPSSARNKASHLEVGKNRSRLLEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQHYNVRMVDKSVSSIDNEIASR >LPERR01G38440.1 pep chromosome:Lperr_V1.4:1:31725904:31728449:1 gene:LPERR01G38440 transcript:LPERR01G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGGAPAAGPGGAPNVARP >LPERR01G38450.1 pep chromosome:Lperr_V1.4:1:31734985:31739381:1 gene:LPERR01G38450 transcript:LPERR01G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEKLLKNSSYQVTTVDSGSKALEVLGLRDEVEDPSSPSSSSPDHQEIGVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVNLADMKKLESHLLKRKQQLPMAAAPEPPPQQPEKPPHKPEEAAASTDATQTDGIISDCSCSSSSSKRKASAMEQEGLSSPERTKPRLSSSGLAVET >LPERR01G38450.2 pep chromosome:Lperr_V1.4:1:31734985:31739381:1 gene:LPERR01G38450 transcript:LPERR01G38450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEKLLKNSSYQVTTVDSGSKALEVLGLRDEVEDPSSPSSSSPDHQEIGVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVNLADMKKLESHLLKRKQQLPMAAAPEPPPQQPEKPPHKPEEAAASTDATQTDGIISDCSCSSSSSKRKASAMEQEGLSSPERTKPRLSSSGLAVET >LPERR01G38460.1 pep chromosome:Lperr_V1.4:1:31748140:31757609:1 gene:LPERR01G38460 transcript:LPERR01G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSAFLAAGVAIVAAAIFLLPPASRLSRNLGEAVADMILANATIYTADPAMPFAEAMAVRDGRVLRVGGYDSVKELKGTHTFELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVTSKDDFINRVKEAVGDKHHGQWVFGGGWNNDAWGGDFPTAAWLDDISPDNPVWLSRMDGHMGIANSLTMKIAGIDKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVIPEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTYIYEWAHSVENMIMRVCLFFPMPTWSRVSDLIHEKGRMISQWIHLGGVKAFLDGSLGSSSALFYEHYKDTPGSYGLQLVDMDNLFNRTLESDKSGLQVAIHAIGDKANDMLLDMFDEVVDRNGMKDHRFRIEHAQHLAPGAAKRFGKHGIVASVQPDHILDDAKSAGKKIGIERAERSSYLFRSLSDGGAHLAFGSDWPVSDINPLQAIQTAVSRKPVGWEAPWIPAECLSLDDSLKAHTISAAYACFLEHVLGSLSEGKYADFVILPCTSWNEFASDIPGHVLATYVNGKQAYP >LPERR01G38470.1 pep chromosome:Lperr_V1.4:1:31758429:31760904:-1 gene:LPERR01G38470 transcript:LPERR01G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEAEIFSGGGGCQQLPSSPWPDLDLDDDVHDISMAPAANAAFSSGYGSGAGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTMSSNTNCKPGVLASQMSESTAPIVSATCINDMEIMVQISLLSNVTGATLPLSRCIKVLENEGLRIISSSTSSAFGNRTFYSLHLQISEGTINEECATLCERLEKVVRNKADL >LPERR01G38480.1 pep chromosome:Lperr_V1.4:1:31764603:31766756:1 gene:LPERR01G38480 transcript:LPERR01G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHRLWGEWEIRILLLSSLSLQVFLLFTGGLRKRNVSGLLHLMLWLAYLLADSIAIYALGNLSQNHLKLCSPAGENQHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALAVYVYWKSRPFSAAVSVLLLPAAILMFVSGVIKYGERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEFHSSKEAGLHADIVIVPERPPDDNIHVAEEHMDYGELVAKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRVFTLAAPVISLIIFSAADKSPYKRIDVAVSYILLIGAIFLEIYAILLMAISPWSFADMRRKDSCIPMARRLFRIVKYFLPESRPRWSNQMAQYNLIDYCLKDKPTFFTGILEKLEWDYNVRVKTMWDSFWYTHHIDVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGGSVEVEFDESIILWHIATDLIFYDEQNHEDENNFKNKNKKSPSWWFCSCADYAPPANESDLNDVEHLPAVSREMSNYMLFLLVMRPFMLTASIGQIRFGDTCAEAKNFFLRGGGGDGEIAAAMAAAALTKVKTEIDPRQVKGDRSKSVLFDACRLAEQLRRLERGKRWRLVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >LPERR01G38490.1 pep chromosome:Lperr_V1.4:1:31768326:31769495:-1 gene:LPERR01G38490 transcript:LPERR01G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSARFVYELDHGGEEQEEEEEESQSSNLPCFLDIYVHEAIGIHNICIYAAQDVYAKFTLTSTPDDAPPLATRVARGGGGNPRFDERLPSLRVPRSRLATDSLKCEVWMRSCAKRLLDDQLLGFALVPLAAVAAGGDGAKLKGEFFSLSSTDLFHSPAGTIRLSLSLHSGHTGGDDWCSPPETSIASEVVILEPATATEMVDYGRIEFPDLNVVRENDDMAVQYLPFLHLGDARRRHEDSGDCEMADEEEEKPAASSDDDGSKNASTSTTTTAVSGGGDNSKTMSCRSPDTPTSNKSPAAAAAKEKMPVMDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGGGGDNGVVVQKEEKPEAEVKKDGGGARVFYGSRAFF >LPERR01G38500.1 pep chromosome:Lperr_V1.4:1:31770937:31771353:-1 gene:LPERR01G38500 transcript:LPERR01G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LELLRLSSTVLLRAAAIFHSSISSYPLEIAIGFKFSQEQYPSKWDQIFQSVRKGHNYSFSLSSNRVFVQDKQPQQQHDSENDSELI >LPERR01G38510.1 pep chromosome:Lperr_V1.4:1:31774121:31777039:-1 gene:LPERR01G38510 transcript:LPERR01G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASFNGGLLRAAAEVCEESIPELRVDHVDISGLPLLNTDLETDGGFPPAVEAFREKVRQADCFLFGSPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVKAFEQPPKFDGDGLVTSVKDKYYILPIPMYYNHDAHQYLHLEYQLGHGGSRMDGRHKPRADIFLFKWASTFLNREGEAFAVSNVLACIQKAQSSIR >LPERR01G38520.1 pep chromosome:Lperr_V1.4:1:31778968:31779838:-1 gene:LPERR01G38520 transcript:LPERR01G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVLVNIGPALLSFTLSKRLMAVEICVVRALDLSSVLQQMAGRGGFDPATDLLPIRFQGVEEDMQGQLILEDSYLDIAFILVSSMPIMLPALRFGPGGDLPVGTPVFLLGNCFLEQLPGCNILTTIMPTIPTVSPGQISAPCLVDYGPSMIRREVQFTCSNKAGYSGSPLMHEEMVIGILGQGAYQNSLAVCTENSITFLEMSLGGDYGVTIPVEDLIQMLYQYRP >LPERR01G38530.1 pep chromosome:Lperr_V1.4:1:31785360:31786471:-1 gene:LPERR01G38530 transcript:LPERR01G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKSRESLRPSSLVPFDLPAASIPNPRHRGDLGRRPLAVQQRAWLRTALPPPDAVMQERELPPLLPYGFTQQYGGGTAEGGRRPSISNTFTHQHPGMRMTSGDWSRAPKRSARLRQGRAPARASNQLPYNMVVQSRL >LPERR01G38530.2 pep chromosome:Lperr_V1.4:1:31783401:31786471:-1 gene:LPERR01G38530 transcript:LPERR01G38530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKSRESLRPSSLVPFDLPAASIPNPRHRGDLGRRPLAVQQRAWLRTALPPPDAVMQERELPPLLPYGFTQQIANYQGKGSSFQIRWIGDTIEPIPDIIANAMAEVDCCS >LPERR01G38540.1 pep chromosome:Lperr_V1.4:1:31790940:31791837:-1 gene:LPERR01G38540 transcript:LPERR01G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAANRVSQAQVGVAAGPCGCAIAAPVGTLMAVAPVLIRSGEDRFRRHGHPPQVLLDGQDQFHAEEECADVKKMRCFIRSYTFQNSPI >LPERR01G38550.1 pep chromosome:Lperr_V1.4:1:31792996:31793326:1 gene:LPERR01G38550 transcript:LPERR01G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGPLAWTAVPSWTGAESASRRGKAPPDSALRCLSSAPIGLALTFGSFRPTRPGRERHSPLFSSPLSRRTSDGDGASPLQAVILVDSLGNQGDLEGKSGVDCGGR >LPERR01G38560.1 pep chromosome:Lperr_V1.4:1:31803081:31808867:1 gene:LPERR01G38560 transcript:LPERR01G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPFSPKESWSSPVNSAIGHVQKIRRRAGHFLSRSSKNKAAHSKGGQTTKSLISGDLHSGSIEDVWATLGAEVASKMSKSVVSLALSDGGNIPIYACSGTAISCQEGSGTIFLTSASLVRAFYGTEKVYDNLKIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMESLDVHVVLPEHLKDSYAKKKLVAVSRNKYVGLITKSVMVGSLHNSNRSEVFHDISVMDEDFEGGPLFDFDGKFVGMNMFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKKVRDGERSGELSDCHPKDGLILAYSFEESFGFVYGEDICSEVIKKFPCDIHQSVVALASFKEWKRCTIVLTSASLVAESGDRNKIDENLRIEVLLPNKQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDSKCPRKVAALGRCFESGKIMAVCGDLVDWTGTLDCNLLVRSSCKTTKAGIGGPLIGLDGNVIGMNFYDIKIGTPYMPWDVILKILACFEEESSAAEVGNGSDPSGAPGWKIPRDRSVKLNRWPVPLPYWRPLDDVEEQEPPEGCEYQFTYRNGERWCRR >LPERR01G38570.1 pep chromosome:Lperr_V1.4:1:31809373:31811892:1 gene:LPERR01G38570 transcript:LPERR01G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHKKWRVSLLDKQYEGASTSKQARHSQSLEADFRQSIYGFHMECSELPNRCLMFMPDSAHHPPACSFSYGTHVIVIFLAGFPNTNPVVASEARGKRFHV >LPERR01G38570.2 pep chromosome:Lperr_V1.4:1:31809821:31811892:1 gene:LPERR01G38570 transcript:LPERR01G38570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHKKWRVSLLDKQYEGASTSKQARHSQSLEADFRQSIYGFHMECSELPNRCLMFMPDSAHHPPACSFSYGTHVIVIFLAGFPNTNPVVASEARGKRFHV >LPERR01G38570.3 pep chromosome:Lperr_V1.4:1:31809482:31811892:1 gene:LPERR01G38570 transcript:LPERR01G38570.3 gene_biotype:protein_coding transcript_biotype:protein_coding LRAERGKTPALASAAAAPVVSTSSIPHQPIASRSSRVPRRWRRRRVLRHSVRWYISSEFPDLADSAPSGQAATIRLACGAWREGPAVDVVSASWWGFGGAPLRSRCSTGDSPPPVAELSRRFPRLQHLLSYNVLSCLLAWRSSGE >LPERR01G38580.1 pep chromosome:Lperr_V1.4:1:31818049:31818834:1 gene:LPERR01G38580 transcript:LPERR01G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSSRADLFVPLQLPPPPPPPAPEKKNKPRGRPLGSKNKPKSPVFVTRESAAAMRPVVLQLDAGCDVAATVSAFARRRHVGVSVLCGRGAVAAVTLRLPSPPAAATAVTLHGRFEMLSLSGTVLPSAAAAPPSPAAFAVSLAGVGGQVIGGTLAGEMTVADGGMVVVAATFGCAEVHRLPADVAGEEEKVGERRHQQQTAVAVAAVDVGYGGGVGLGAGGIGEQVGRHQQQRRQGEMVVWAQTPASVGPAHPATTPY >LPERR01G38590.1 pep chromosome:Lperr_V1.4:1:31821770:31824332:1 gene:LPERR01G38590 transcript:LPERR01G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTMAKATVVLRVAAISGSLRRGSANTGLIRAAKEISEESIPGMVIDHVDISDLPLLNTDMEVDGGFPPAVEAFRGRVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWGDRAAATLSASGGSGGSRSMYHIRQVGVFLDIHFINKPELFIKAHQPPEKFDSDGNLIDPEIKEQLREMLLSLQAFTLRLQGKPASSEQ >LPERR01G38600.1 pep chromosome:Lperr_V1.4:1:31826035:31826295:-1 gene:LPERR01G38600 transcript:LPERR01G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNLNVDVDVDDEPAAAAKATAAHVAGDGGGRRATDAAKAQEGNNGKKLPPPPPPFGAGGRPVKEEKETEKK >LPERR01G38610.1 pep chromosome:Lperr_V1.4:1:31832503:31835205:1 gene:LPERR01G38610 transcript:LPERR01G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSSSAATTLPMDKAAAAAAVIGGGERAADCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKEMPLCPQCKHPFDFLSVHRSLDGCIHDYLFEESVCLLLRATWFEPLMVEAHEEALDEEELYHSYQYDVDEDDLDEEAYYMSRSPSIRIGNRRWGDNGFVRGGRKEARPVMSRQSLNDADAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLRKAPEASQEVVEPQLTE >LPERR01G38620.1 pep chromosome:Lperr_V1.4:1:31843701:31844696:-1 gene:LPERR01G38620 transcript:LPERR01G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGGGGSSGAGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQIAGSGGSASSSPATASAAAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRENLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVSINGHVFKGFLYDQGVDDGRLAAATSNDDSTTGVPNISELHLGGGNASMVHSDLYGGGSGSGGGGGGGTHILGGSSYGNTMN >LPERR01G38630.1 pep chromosome:Lperr_V1.4:1:31865430:31866779:-1 gene:LPERR01G38630 transcript:LPERR01G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLALPLLLAFLTPATAVSSSTLLLARSHSMTPNAGAPLTAWAAAVAAQSAADTARITSMLTVITPAPTLSKSKPKPQNPKGKANPAVPIAPGRQILSIPNYVARAGLGTPPQPLLVAIDPSNDAAWVPCSACAGCVVPASSASFSPTQSSTYRTVPCGSPQCALVPSTPSCPAGVGSSCGFNLTYAASAFQAVLGQDSLSLDSNVVSSYTFGCLRVVTGNSVPLQGLIGFGRGPLSFLSQTKNTYGSVFSYCLPNYRSSNFSGSLKLGPIGQPKRIKTTPLLTNPHRPSLYYVNMVGIRVGSKAVQIPQSALAFDPDTGSGTIVDAGTMFTRLAPPVYAAVRDAFRARVRAPVAAPLGGFDTCYNVSVSVPTVTFVFAGGVAVTLPEENVMIHSSSGGVECLAMAAAPAAGVNAALNVLASMQQQNHRVLFDVANGRVGFSRELCTV >LPERR01G38640.1 pep chromosome:Lperr_V1.4:1:31868672:31872748:-1 gene:LPERR01G38640 transcript:LPERR01G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRRQGFVGLPAATVLLLLFLAAAAAAAESPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGTEWNPKNASDSGSDRVYFGEGAAYVDPDPGHSFQEIREQVFGSDDASGPATMDGFVQQARSLGGDMTGAVMNGFSPDSVAVYRDLVAEFAVFDRWFASVPSSTQPNRLFVHSGTSGGATSNNPALLAKGYPQQTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHNAFRDHAARGDLPNYAVVEQHYMDSRLHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFFDHVPTPVKGVPSPDGIVGPPPYNFTFDRLGVRVPTIVISPWINKGTVVHGPNGSPTPTSEYEHSSIPATVKKIFNLPQDFLTKRDAWAGTFETVVQTRTEPRTDCPEQLPMPTRIRMTEANEEAKLSEFQQELVQLASVLNGDHQLNSLQDMIRDRMNVREGIDYMHTAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >LPERR01G38650.1 pep chromosome:Lperr_V1.4:1:31876397:31877581:-1 gene:LPERR01G38650 transcript:LPERR01G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLHPTNDDHPPHDVFQGQMLVKEVYGTLRASPQWNQTLMVVTYDEHGGFFDHA >LPERR01G38660.1 pep chromosome:Lperr_V1.4:1:31889509:31895861:1 gene:LPERR01G38660 transcript:LPERR01G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKLEEELGILRVALVSVIAGDETAARAASRRSAGSSEAAVVVVHTDLGADISRWMENRRTRCGLRDIMHKPPLTMRWGNLHLPPSNCSSSHFDSNPSLVSTAAATSPSPRRRRAGMGDPSPRGVAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELIENSLDAGASSVSVAVKDGGLKLIPVSDDGHGIRDLPILCERHTTSKFSAYEDLQTIKSMGFRGEALSSMTYVGHVTVTTITEGQLHGYRVAYRDGVMESEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIAIKVSYEDAADANFKMDGYISNANYVVSLLNQDRIIETIRNTIEEKLMNSNTTRIFQIQALNFSGIAQANTQKNKVSEASMGSGMKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNHEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLVEIESSFHPGLLDIVKNCTYVGLADEAFALIQHNTHLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLRELLVMALKDDELMTDENDDEKLEIAEVNTEILKENAEMINEYFSIHIDPDGKLKKLPVVLDQYTPDMDRLPEFVLALGNDVNWENEKECFRTVAAAIGNFYALHPLILPNPSGKGIHLYKKNRECMADEHAQNDLTSVN >LPERR01G38660.2 pep chromosome:Lperr_V1.4:1:31889509:31895861:1 gene:LPERR01G38660 transcript:LPERR01G38660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKLEEELGILRVALVSVIAGDETAARAASRRSAGSSEAAVVVVHTDLGADISRWMENRRTRCGLRDIMHKPPLTMRWGNLHLPPSNCSSSHFDSNPSLVSTAAATSPSPRRRRAGMGDPSPRGVAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELIENSLDAGASSVSVAVKDGGLKLIPVSDDGHGIRDLPILCERHTTSKFSAYEDLQTIKSMGFRGEALSSMTYVGHVTVTTITEGQLHGYRVAYRDGVMESEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIAIKVSYEDAADANFKMDGYISNANYVVSLLNQDRIIETIRNTIEEKLMNSNTTRIFQIQALNFSGIAQANTQKNKVSEASMGSGMKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNHEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLVEIESSFHPGLLDIVKNCTYVGLADEAFALIQHNTHLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLRELLVMALKDDELMTDENDDEKLEIAEVNTEILKENAEMINEYFSIHIDPDGKLKKLPVVLDQYTPDMDRLPEFVLALGNDVNWENEKECFRTVAAAIGNFYALHPLILPNPSGKGIHLYKKNRECMADEHAQNDLTSGCFPGETLQDF >LPERR01G38660.3 pep chromosome:Lperr_V1.4:1:31889509:31895861:1 gene:LPERR01G38660 transcript:LPERR01G38660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKLEEELGILRVALVSVIAGDETAARAASRRSAGSSEAAVVVVHTDLGADISRWMENRRTRCGLRDIMHKPPLTMRWGNLHLPPSNCSSSHFDSNPSLVSTAAATSPSPRRRRAGMGDPSPRGVAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELIENSLDAGASSVSVAVKDGGLKLIPVSDDGHGIRDLPILCERHTTSKFSAYEDLQTIKSMGFRGEALSSMTYVGHVTVTTITEGQLHGYRVAYRDGVMESEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIAIKVSYEDAADANFKMDGYISNANYVVSLLNQDRIIETIRNTIEEKLMNSNTTRIFQIQALNFSGIAQANTQKNKVSEASMGSGMKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNHEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLVEIESSFHPGLLDIVKNCTYVGLADEAFALIQHNTHLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLRELLVMALKDDELMTDENDDEKLEIAEVNWENEKECFRTVAAAIGNFYALHPLILPNPSGKGIHLYKKNRECMADEHAQNDLTSGCFPGETLQDF >LPERR01G38670.1 pep chromosome:Lperr_V1.4:1:31899070:31899590:-1 gene:LPERR01G38670 transcript:LPERR01G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDNTAATGDHRKEEKHHKHMEQLAKLGAVAAGAYAMHEKHKAKKEPENAKSHRVKEEIAATVAAGSIGLAIHEHHKKKEAKKHGHHH >LPERR01G38680.1 pep chromosome:Lperr_V1.4:1:31900326:31901210:-1 gene:LPERR01G38680 transcript:LPERR01G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEEEDDPLDWIILDRYVLCTTMQHNNDDDGDITASNLCTTCTGFPIRASLRAAATPAISRLYLHVPATWPDISRVRYPKLLAAHRGRILFAASVPFAGDFNCDSIAGYYPIDYFVYTADSPPPPSLTRRILHPQPGYLVFWKECHSKSATEPIDGEGKNAEHDRNDSRCTSRHRNEAEGNTTDFTTRETV >LPERR01G38690.1 pep chromosome:Lperr_V1.4:1:31907336:31908025:1 gene:LPERR01G38690 transcript:LPERR01G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSLRSDQLIHPSTLEHTPCLAFQTHEKKAAKKDPEHAKSHKTKERIAAAVAVGSAGFAFHEHHKKKEAKKHRRHAPHH >LPERR01G38700.1 pep chromosome:Lperr_V1.4:1:31915589:31916038:-1 gene:LPERR01G38700 transcript:LPERR01G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACESSALFAAFDHDGDGKISASELRLCMKLTLGEEVSDEEAEQLVFSVDADGDGLLCEAEFVRLVETAAAEDDDEDRSGGRLLREAFGMYEMEGEGCITPTSLKRMLRRLGSEQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNAA >LPERR01G38710.1 pep chromosome:Lperr_V1.4:1:31919901:31920338:1 gene:LPERR01G38710 transcript:LPERR01G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEFRRVFAAFDRDADGKISAAELRLCMKAALGDDISDEEAEELVSSADTDGDGMLDEEEFTKMLQLEMEDEEERCRGLMEAFRMYEMKGEGRITPVSLKRMLSKLGSHQEIEECQAMICRFDLDGDGVISFEEFKIMMDA >LPERR01G38720.1 pep chromosome:Lperr_V1.4:1:31922054:31923493:1 gene:LPERR01G38720 transcript:LPERR01G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKSKRKEEGDQSSKAAMKSEKDQSKAAPKNEKADTKKLIQFMEEQYEKYVANVQTFDEFYHAIVELIEKFCEERGQVQYKIPTKKELEEAYNNHHKAEGQLKKEEFIKIGKEMIRRDSFTLGKATIDFVMYLFGAPLCALVAKRILPGLGWLSDDVAIPLATSGAVAYLIKSKQL >LPERR01G38730.1 pep chromosome:Lperr_V1.4:1:31924142:31933211:1 gene:LPERR01G38730 transcript:LPERR01G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTIGVVITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKGGSVDLFVVNSYGSAYQVGSFHVSLVAILVKVMGSTIPSATNIHQRWHCLLSKYGITIFGGATVTATICVGQYGLQYITSTLAKDFFSSIPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.2 pep chromosome:Lperr_V1.4:1:31924142:31933211:1 gene:LPERR01G38730 transcript:LPERR01G38730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTIGVVITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKGGSVDLFVVNSYGSAYQLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.3 pep chromosome:Lperr_V1.4:1:31924142:31934118:1 gene:LPERR01G38730 transcript:LPERR01G38730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTIGVVITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKGGSVDLFVVNSYGSAYQLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.4 pep chromosome:Lperr_V1.4:1:31924142:31933211:1 gene:LPERR01G38730 transcript:LPERR01G38730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGPIGGFSSSIRDGCWSCSFWGFDTNIITDISCLAASVVCYFLEEACWCFIERYWNFVATANDNIILSPSRRYGVEGGNISECCQEIEGSFHVSLVAILVKVMGSTIPSATNIHQRWHCLLSKYGITIFGGATVTATICVGQYGLQYITSTLAKDFFSSIPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.5 pep chromosome:Lperr_V1.4:1:31924142:31934118:1 gene:LPERR01G38730 transcript:LPERR01G38730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTIGVVITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.6 pep chromosome:Lperr_V1.4:1:31924142:31932751:1 gene:LPERR01G38730 transcript:LPERR01G38730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAPSTARLLLRLPAANGPVTAAVELLPTRRPRRREAVRAAAHVRRIEAAAWLGRRRRGREEDAAAAAATRCAAAGEVVGSAAGAGIGRSAGVDVAIAAAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATVGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTIGVVITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKGGSVDLFVVNSYGSAYQLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQPQKSPGNPSAAGIPS >LPERR01G38730.7 pep chromosome:Lperr_V1.4:1:31932045:31934118:1 gene:LPERR01G38730 transcript:LPERR01G38730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFVDGKRAREKSRSIITTGTKSKNGGGGGSGGNTNGSHRRITAAAAINIIMAPFSISAALRGVDWIASSGGRFTGRCSTLRWSTVPLPEDLLEGSSDDSLEG >LPERR01G38740.1 pep chromosome:Lperr_V1.4:1:31954549:31955541:-1 gene:LPERR01G38740 transcript:LPERR01G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLQTARNLVGRLRGAAAAHDGAATAVAIAEIRHVSKEDPDIRALLADAGAVPFLAAQLTSPSAASEDAAAALLNISISAPREQLMSVPGLLDALTAALRSGAAAHHAAATVCSLLCVEANRAAIGARRPLLAALVSLVRAAPRPSTRATKDALKALFAAALHPPNRAVLVGLGVVQALFALVMNDGRAGIVEDATAVVAQVAGCEESLDVFVRMSGLRILVDLLDHGGGASPRTRENAAAALLNLVVAGGERAVAEVIAVGGAEDAVRELAEDVTASARGKAKAEALLRALDCGGARRREHRLVDFLNGLVQSDPYISSPASASTHG >LPERR01G38750.1 pep chromosome:Lperr_V1.4:1:31958981:31960495:-1 gene:LPERR01G38750 transcript:LPERR01G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLPDLNSLGGAGAGVEDGGGGGGKKWPVGFVQFLFVLSVVVCSLLYAPRLFVLTSTYGLDFFAGQTPPPNTSSSSSLVDGGAGGNANDDVAAPVLDNQVHSPCSSLPGDTICCDRSDFNTDVCFMAGDVRTDSASLSLLLFPNQTSPSANATAKEERIRPYSRKWETFIMSKVEELRLRTATDSADAHRCDVVHDAPLMVMTAGGYTGNLFHAFSDGLVPAWVTSQHLRRRVVLGVLSYNPWWAGTFGDIITGLTDHHVIDLLHDKRTHCFPGAIVGTRFHGILTVDPTRLRDNKSILDFRSLLANVYETGEPNQVTNPQRPRLGIVARKGTRVMENQEEVARLARRVGFDVEILETANGMPLSAWYASVSACDVLVGVHGADLTKLLFLRPGAALVQIAPLGVPPIARGCYAEASMRMGLHYEQYDAVGHESSLIRSYGPTDVVVSDPEVAKRDKGWGFVARVYLGGQNVTLDLARFGETLSRMHARALRVRSLQSQEAP >LPERR01G38760.1 pep chromosome:Lperr_V1.4:1:31965547:31966983:1 gene:LPERR01G38760 transcript:LPERR01G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRNTPRSLRHDTAVSPNSKQRQGASSGSVVVVEAPPGRICEEEEKEIAYALKLSTLGVSEPEPPRPKSSISNDSLLPPKKRKAPFFDLGMLGGDVKQQQHMAVVDPALRRELDRGLIKFGKTPVTEEFTSKKKNQVASTLEPPQPKEKLMSSAIRAAAAASSRSWPPTKIITTSNGTSSSGIPPLPPPPPNRNRYSNCSARVRRRLVELDATEPVYVCEKALKRSDVHLNQNRLLISCKRDQLAASPITGLFTAAETAAVQEQDEEEDKRKRREDKPKRGLRVTMLDDAGYEYAMLCRYLNSNGGYRFIEEWGKFLRNNGLSIQRNADWVRNVVVRLLAFRSRRLPGAELSGHRDGALGFVVLYEEFDGDEDDDEDDEEEYMVNAHRAKPEKKKNNERKEEDDDVKASTSRSAAAAAAATRAPRRSLDKEGSLITKGIGGIVKLGKDLSSSSSSSSSECDGSEKPSSVKQEKADD >LPERR01G38770.1 pep chromosome:Lperr_V1.4:1:31978171:31995881:1 gene:LPERR01G38770 transcript:LPERR01G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLGHRRRLADGRRVAAEGNHVLSAIANHAAPVPTRRRRMRSAWLPPAAREVTVVVVIADAVFLKVHAVSALSLFPETFPLSKLELSLLSGEKRVNANSKFDILCLVAIEEAIAGSKHCLVRHLIFLLYMHVQMLIDSISSTLASHLWNPMVTRLRYLLEMEENIGKLDCTIKNLEVRKNEIQIRLKNSEGKQEICNPEVTEWLQKVVAMEIEVNEMKNLQRKRKHSLSYRSKYEISMQAAKKLKEAEMLHEKGAFKQVSFEVPPHFVQEMPTIHSTEGTECYLREVLQYLKDDSIGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLIIYAVASTASGIGQLQADIAERIGLFLKPGSSIEIRASFLLSFLRRKKFLLLLDDLWGYLDLIEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKAIFVECLDQERAWRLFKEKATEEVINSDVRIERLAKEIAQECGGLPLALTTLGRAMSTKRTCREWALALSYLKKSLIHEIPNMGSASHIYTRLKLSYDYLQDKQIKECFLCCSLWPQGYAIWKTELVDCWMGMGLIEYDTIEEAYDKGYSIIEYLKNACLLETGYLEDCEVRLHDIIRDMALWISCGCGDQSMKWIVITCSNLRFLSLQQNFQLKVIPPSLFKCILSVTYLDLSWVPIKELPEEIGALVELQHLKLKQTHIRLLPMAIGQLRKLKYLDLSYMDFLEKIPYGVFPNLSMLQVLNLYGSRYAGCELEFYSRYMDYNEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHRNHVRFLGLYKLNGETSLMLTVPESVFILNITDCLELKELSVINKPRSYYDHLMRLEVLTIWDLPRLEKISLSHLQNLRVLTVGKTYQLMDLSCILELPYLEQLDVSCSNKMKQLIHIKNNVNMEVRDERPIQGFQRLRIVQLNSMPSLENFCDSRLDFLSLEYFAVFACPNLKRLPLGHKMIKLKSILGEKTWWDNLKWENERSHLSLFPFFRASETYLAPFRPELDTSVICSPKAFFTKRRPLLHSAVREGPNFDILCRIAN >LPERR01G38770.2 pep chromosome:Lperr_V1.4:1:31978171:31995881:1 gene:LPERR01G38770 transcript:LPERR01G38770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLGHRRRLADGRRVAAEGNHVLSAIANHAAPVPTRRRRMRSAWLPPAAREVTVVVVIADAVFLKVHAVSALSLFPETFPLSKLELSLLSGEKRVNANSKFDILCLVAIEEAIAGSKHCLVRHLIFLLYMHVQMLIDSISSTLASHLWNPMVTRLRYLLEMEENIGKLDCTIKNLEVRKNEIQIRLKNSEGKQEICNPEVTEWLQKVVAMEIEVNEMKNLQRKRKHSLSYRSKYEISMQAAKKLKEAEMLHEKGAFKQVSFEVPPHFVQEMPTIHSTEGTECYLREVLQYLKDDSIGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLIIYAVASTASGIGQLQADIAERIGLFLKPGSSIEIRASFLLSFLRRKKFLLLLDDLWGYLDLIEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKAIFVECLDQERAWRLFKEKATEEVINSDVRIERLAKEIAQECGGLPLALTTLGRAMSTKRTCREWALALSYLKKSLIHEIPNMGSASHIYTRLKLSYDYLQDKQIKECFLCCSLWPQGYAIWKTELVDCWMGMGLIEYDTIEEAYDKGYSIIEYLKNACLLETGYLEDCEVRLHDIIRDMALWISCGCGDQSMKWIVITCSNLRFLSLQQNFQLKVIPPSLFKCILSVTYLDLSWVPIKELPEEIGALVELQHLKLKQTHIRLLPMAIGQLRKLKYLDLSYMDFLEKIPYGVFPNLSMLQVLNLYGSRYAGCELEFYSRYMDYNEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHRNHVRFLGLYKLNGETSLMLTVPESVFILNITDCLELKELSVINKPRSYYDHLMRLEVLTIWDLPRLEKISLSHLQNLRVLTVGKTYQLMDLSCILELPYLEQLDVSCSNKMKQLIHIKNNVNMEVRDERPIQGFQRLRIVQLNSMPSLENFCDSRLDFLSLEYFAVFACPNLKRLPLGHKMIKLKSILGEKTWWDNLKWENERSHLSLFPFFRASETYLAPFRPELDTSVICSPKAFFTKRRPLLHSAVRTLASHLWNPMVTQLRYLIEMEENIGKLDGTIKNLEVRKNEIQIRLKGCEGKQETCNPEVTQWLEKVTAMETEVNEIKNVQRKRKQSFSYWSKFEIGMRAAKKLKEAEVLHEKGTFKEVSFEIPPYFVQEVPTAPSTKGTEFNLREVLQYLKDDSIGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVIYVMASTASGIGQAQADIAERIGLFLKPGSSTEIRASYLLSFLRRKKFLLLLDDLWDYVDLAEAGIPYPNGLNKQKVVLATRSESICGHMGAHKTVFMECLDQEKAWRLFKEKVTEEVINSDVRIVSLAKEVAEECGGLPLALATLGRAMSTKRTCHEWALALSYLKKSRIHEVPNMGNASHIYTRLKLSYDYLQDKQVKECFLCYSLWPQGYSIWKGELIDCWMGMGLIEYDTIEEAYDKGYSIIEYLKNASLLETGYLEDCEVRVHDIIRDMALWISSGCGDQSMKWIVQAGAGIHNIANRDIEKWRSARKVSLMCNYISEIPQVINCSNLQFLSLQQNFRLKVIPPSLFKSILSVTYLDLSWVPITELPDEIGTLVELQYLKLKQTHIKFLPVAMCQLRKLKYLDLSYMDFLEKIPYGVFSNLPMLQVLNLYGSRYAGCEVEFYSRNHMDCDEFRIEELSCLTGELKALGITIKKMCTLKRLLYIHGIHMRLLGLYKLNGETSLMLTIPESIIVLNIMACSEMKEFSIINKPQCYDDNLVRLEFLTFWDLPRLEKISLGHLQNLRVLTVGKAHQLMDLSCILKLVNLEQLDVSCCNKMKQLVHIKNKTNMGVQDEMPIQGFQRLRILQLHSLPNLGNFCNSILNFPSLEYFDVFACPMLKKLPLGHDLVKLKSIRAEKTWWDNLKWDDKNSALSLLPFFKASETRLVSSRPDLDTSVTSSPKAFFTKRQPDLRSSIRYTSYLKSIFEDEELMGTGK >LPERR01G38770.3 pep chromosome:Lperr_V1.4:1:31990692:31995866:1 gene:LPERR01G38770 transcript:LPERR01G38770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDSISSTLASHLWNPMVTQLRYLIEMEENIGKLDGTIKNLEVRKNEIQIRLKGCEGKQETCNPEVTQWLEKVTAMETEVNEIKNVQRKRKQSFSYWSKFEIGMRAAKKLKEAEVLHEKGTFKEVSFEIPPYFVQEVPTAPSTKGTEFNLREVLQYLKDDSIGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVIYVMASTASGIGQAQADIAERIGLFLKPGSSTEIRASYLLSFLRRKKFLLLLDDLWDYVDLAEAGIPYPNGLNKQKVVLATRSESICGHMGAHKTVFMECLDQEKAWRLFKEKVTEEVINSDVRIVSLAKEVAEECGGLPLALATLGRAMSTKRTCHEWALALSYLKKSRIHEVPNMGNASHIYTRLKLSYDYLQDKQVKECFLCYSLWPQGYSIWKGELIDCWMGMGLIEYDTIEEAYDKGYSIIEYLKNASLLETGYLEDCEVRVHDIIRDMALWISSGCGDQSMKWIVQAGAGIHNIANRDIEKWRSARKVSLMCNYISEIPQVINCSNLQFLSLQQNFRLKVIPPSLFKSILSVTYLDLSWVPITELPDEIGTLVELQYLKLKQTHIKFLPVAMCQLRKLKYLDLSYMDFLEKIPYGVFSNLPMLQVLNLYGSRYAGCEVEFYSRNHMDCDEFRIEELSCLTGELKALGITIKKMCTLKRLLYIHGIHMRLLGLYKLNGETSLMLTIPESIIVLNIMACSEMKEFSIINKPQCYDDNLVRLEFLTFWDLPRLEKISLGHLQNLRVLTVGKAHQLMDLSCILKLVNLEQLDVSCCNKMKQLVHIKNKTNMGVQDEMPIQGFQRLRILQLHSLPNLGNFCNSILNFPSLEYFDVFACPMLKKLPLGHDLVKLKSIRAEKTWWDNLKWDDKNSALSLLPFFKASETRLVSSRPDLDTSVTSSPKAFFTKRQPDLRSSIRYTSYLKSIFEDEELVSAKKLC >LPERR01G38780.1 pep chromosome:Lperr_V1.4:1:31997922:32001958:1 gene:LPERR01G38780 transcript:LPERR01G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMGGSTENLSCRGSSSSPSSSDDGTSTLAIDGEPKPSSGDLAKTSREQEQESSEHIEALLRMDNDTISNKVAGYLRQLRDAMKRPVDKSWSECYGPEQLTELHERLAIYRIIGHELSEGMKIDGLNVAKLKEKYPPSILYENGYFQHYEDALEWYFNPERFEFAAYDDYQRLVLCNNGLYLDWDHYSSNFNTYERDLAYVKYCEDLANETKCDKIANIAYLQAVKIALATCTVSAMQVRAGFKDHLRSIQFDYSFHKDFDGVYFELWKRVAKLKMEFTDALLDLYRNDMFPLRNNDIKIELDSTRVRLHVMKKHYDFYVACIDEAVPEIEAHQLIKEAVIKMTPKPQTYLDYARNKLQIAKDIGLITKSTVVSTSSE >LPERR01G38790.1 pep chromosome:Lperr_V1.4:1:32007884:32013589:1 gene:LPERR01G38790 transcript:LPERR01G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELTQKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESENGSISTVSSTVSSVESEKAAYEFLAQTPIKSSDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMSHKQQSKIKGYGSCTNNELEKLASQLTLEKPASDQAGCCGNHGICSHEVLQDESPGTNPRSSHKMVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLREHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDEEIKRLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >LPERR01G38790.2 pep chromosome:Lperr_V1.4:1:32007884:32013358:1 gene:LPERR01G38790 transcript:LPERR01G38790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELTQKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESENGSISTVSSTVSSVESEKAAYEFLAQTPIKSSDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMSHKQQSKIKGYGSCTNNELEKLASQLTLEKPASDQAGCCGNHGICSHEVLQDESPGTNPRSSHKMVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLREHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDEEIKRLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPHSG >LPERR01G38790.3 pep chromosome:Lperr_V1.4:1:32007884:32013862:1 gene:LPERR01G38790 transcript:LPERR01G38790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELTQKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESENGSISTVSSTVSSVESEKAAYEFLAQTPIKSSDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMSHKQQSKIKGYGSCTNNELEKLASQLTLEKPASDQAGCCGNHGICSHEVLQDESPGTNPRSSHKMVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLREHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDEEIKRLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >LPERR01G38790.4 pep chromosome:Lperr_V1.4:1:32007884:32013358:1 gene:LPERR01G38790 transcript:LPERR01G38790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELTQKVSDERVNHDTVTSHESENGSISTVSSTVSSVESEKAAYEFLAQTPIKSSDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMSHKQQSKIKGYGSCTNNELEKLASQLTLEKPASDQAGCCGNHGICSHEVLQDESPGTNPRSSHKMVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLREHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDEEIKRLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPHSG >LPERR01G38800.1 pep chromosome:Lperr_V1.4:1:32014229:32018002:1 gene:LPERR01G38800 transcript:LPERR01G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPIVSLLLVVVLVALAAADTMPMPVNEEVLGLVVFRSALTDPAGALSTWAESDATPCGWAHVECDPATSRVLRLALDGLGLSGRMPRGLDRLASLQSLSLSRNNLSGELPPGLSLLTSLRSLDLSYNSFSGVLPGDFPQMASLRYLDLTGNSFSGPLPATFPPSVRFLMLAGNQFSGPLPEGIAKSSFLLHLNLSGNQLSGSPDFAGVLWPLSRLRALDLSRNQFSSTVTAGIANLHNLKTINLSGNRFFGVVPNDIGMCPHLSSVDISSNAFDGELPDSIGHLTSLVYLAASGNRFSGDVPTWVGDLAALQHLDFSDNALTGILPESIGKLKDLRYLGLSKNQLSGEIPNAISGCTKLAELHLRGNNLSGSIPDALFDVGLETLDVSSNAISGDLPSPATATRIAETLQWLDLSVNRLTGGIPAEMALFMNLRYLNLSRNDLRTQMPPELGLLRNLTVLDLRSSGLYGVMPADLCEAGSLAVLQLDGNSLTGPIPDNIANCSSLYLLSLGHNSLTGPIPAAMSELKKLEILRLEYNNLSGEIPQQMGGIESLLAVNISHNHLVGRLPASGVFQSLDASALEGNLGICSPLVSEPCRMNVPKPLVLDPNEYPHGGDGDNNLETNGRGGPASPRKRRFLSVSAMVAICAALFIILGVIVITLLNVSARRRRVTGGGDGMTSSSLPEKEMESAVSSSTKSSKLATGKMVTFGPNNSLRSEDFVGGADALLSKATEIGRGTFGTVYRASVGDGRVVAIKKLATASIVSSRDDFDREVRILGKARHPNLLPLKGYYYTPHLQLLITDYAPHGSLESRLHGNNNNKSSSSFPALTWAERFRIVSGTAKGLAHLHQAFRPPMIHYNVKPSNILLDAECNPMVSDFGLARLLPKPDKHAMMMGSRFQGGNGGYVAPELACQSLRINEKCDIYGFGVLILELVTGRKAVEYGDDDVVILIDQVRVLLEQGGGSNVLECVDPNMGEFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPIAASSRMEPF >LPERR01G38810.1 pep chromosome:Lperr_V1.4:1:32020193:32023520:1 gene:LPERR01G38810 transcript:LPERR01G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSLSGVSAQSPVHHHLRLRLRLPRHAPRLSALPFSRSLSIPLRLRIPRLHLPPLPFAISHGGGGDNDDDDNNSGGGGDGGGGDGGDGDAPDNRREALFVLAQMGRKLESLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIAKFFHNCPDNAFQIGMAGRSYSLLQRLGAILRNGAKLFTVGTSASLIGTGVTNALIKARKAVDKELDDVVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHNHKVLLSALCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >LPERR01G38810.2 pep chromosome:Lperr_V1.4:1:32020193:32024357:1 gene:LPERR01G38810 transcript:LPERR01G38810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSLSGVSAQSPVHHHLRLRLRLPRHAPRLSALPFSRSLSIPLRLRIPRLHLPPLPFAISHGGGGDNDDDDNNSGGGGDGGGGDGGDGDAPDNRREALFVLAQMGRKLESLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIAKFFHNCPDNAFQIGMAGRSYSLLQRLGAILRNGAKLFTVGTSASLIGTGVTNALIKARKAVDKELDDVVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHNHKVLLSALCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >LPERR01G38810.3 pep chromosome:Lperr_V1.4:1:32024053:32026384:1 gene:LPERR01G38810 transcript:LPERR01G38810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPSQFNMQFLPTPPQPSPAAACTSICCSCGVPMSPNAANTCARCIRSRGGVDITAGFPRHAAVVLCPSCSSYLHPPRLWLRAAPGSHELMRLLLRPVDRHVARLGGAVSLAAAELAVPTTDPIHPNRLALRLRLSGEVAFHGVAVTLEQAHLVDFAVHHRLCHACAMARARADPHYDTWPVVVQVRQRASHRRTLLHLEQRIATHGVAAADAAAHTFAVELCPVCRDDLVFVPKEARRGLGGGGGGIMLCTKVARVSDLGKNDTIFTVRTHIGHILNAGDRALGYDLYGVNVNNDDVDDSLPDAVLVKKIYEKEGSNNGGGGGRSLPRNVQNYR >LPERR01G38820.1 pep chromosome:Lperr_V1.4:1:32026839:32029742:1 gene:LPERR01G38820 transcript:LPERR01G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRNAVRVRGRVLQAAAQPALKEEQRRLLPGLIPGGRMPLLRSLSSAGAQNIKGIDSWCEKHINAKPIANVEVSNKELRAQIEEKKQELFDLLCQLDSKSDTSGEKLILEDERLLMELPPFRELKELVHKEGIKLFMKQVGICCVLAAIISAV >LPERR01G38830.1 pep chromosome:Lperr_V1.4:1:32029323:32032927:-1 gene:LPERR01G38830 transcript:LPERR01G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKVSREAKVDKKLALGVKRKSLKRKKDMALNGPVESEVAAQHATAEDKGVVRSKKVVAMKQKKKHIKLKSTLAESDDMVELLTSKKDETKLKNKKKSKKNLNEGGNPVEGHHSPSDRINAGTPKLKKERRRREGRCSNEPNNAEEVLHGNQDKEIPSACVDQLGAESGDMNMGEPEEVKRGKKNKTKKIKKSEKINKKDKHASNKEGKMSRLVEVDNANVDEIESVDEDCSRGMKKWILEYKQKRPGLKVLQQRIDEFVTAHEEQQEQERKEREARAAEDGWTVVAHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEIDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPTHPTS >LPERR01G38830.2 pep chromosome:Lperr_V1.4:1:32029323:32032927:-1 gene:LPERR01G38830 transcript:LPERR01G38830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKVSREAKVDKKLALGVKRKSLKRKKDMALNGPVESEVAAQHATAEDKGVVRSKKVVAMKQKKKHIKLKSTLAESDDMVELLTSKKDETKLKNKKKSKKNLNEGGNPVEGHHSPSDRINAGTPKLKKERRRREGRCSNEPNNAEEVLHGNQDKEIPSEKIKKSEKINKKDKHASNKEGKMSRLVEVDNANVDEIESVDEDCSRGMKKWILEYKQKRPGLKVLQQRIDEFVTAHEEQQEQERKEREARAAEDGWTVVAHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEIDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPTHPTS >LPERR01G38830.3 pep chromosome:Lperr_V1.4:1:32030050:32032927:-1 gene:LPERR01G38830 transcript:LPERR01G38830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKVSREAKVDKKLALGVKRKSLKRKKDMALNGPVESEVAAQHATAEDKGVVRSKKVVAMKQKKKHIKLKSTLAESDDMVELLTSKKDETKLKNKKKSKKNLNEGGNPVEGHHSPSDRINAGTPKLKKERRRREGRCSNEPNNAEEVLHGNQDKEIPSACVDQLGAESGDMNMGEPEEVKRGKKNKTKKIKKSEKINKKDKHASNKEGKMSRLVEVDNANVDEIESVDEDCSRGMKKWILEYKQKRPGLKVLQQRIDEFVTAHEEQQEQERKEREARAAEDGWTVVAHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEIDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >LPERR01G38840.1 pep chromosome:Lperr_V1.4:1:32035468:32036598:1 gene:LPERR01G38840 transcript:LPERR01G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAAVDWSLLPSELIQLVGDCLLATEDFDCYDSLRQVCHNWRSGTADPKTAEFDDARFLPKNLAMINLDVDGDAVATFVNLATGRFLRRHVAAVGIGGDYNFVAATAGGLFVLSEKSPPHEARVLNPFTGHVARFTAPIHAEEVREVAVTMTSSSPPSLMLFVSWFHGRRVRWADQQSEQFHEMAVEFPANCINLTPFSGEVYVTNNRWRRSVFSTVLAADDVIAMAPIIPLPPPLTVPNAYILHHIVESAGELLLVGVWFEIHIVHKVDTMNKVVVPVTSLGSRALFVSEIRSFSVDAAKFPTVEAGCIYYVRRGMSLYDCYHLADGWMEEYIPVANLWIAVRQQACILPLTLEQVLVNYCIDTEKSSELNSD >LPERR01G38850.1 pep chromosome:Lperr_V1.4:1:32038626:32039183:1 gene:LPERR01G38850 transcript:LPERR01G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAEIQILSILILSAIILLNQLKLRTNFFFLSRQQQQKQKHNTNLPPGPWSLPVIGSIHCLLGSLPHHALRRLSHRYGPVMFLRLGQIQALVISSPAAAREVMRTHDAAFPSRPLTPTMDVITYGGKGVALSPSYGRRWKELRRICAAELLCPRRVRSFRRVRESEAASLVAPSPPRRRRRS >LPERR01G38860.1 pep chromosome:Lperr_V1.4:1:32040674:32044179:1 gene:LPERR01G38860 transcript:LPERR01G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNNWGISRDKKYWDDTEDFQPERFSISPWWFWSKDVSMLFVQQNIEIALASLLYHFDWELLSGENRKDLDMSESDGAWYTTLLCGASAILLLATIIFKLKNTSSTKKINLPPGPWPLPVIGSIHCLLGSLPHHAMRRLSRRYGPVMLLRLGHVKTLVISSPEAAREVMKTHDVAFAARAVTPTASVITYGGRDIVFAPFGKHLWELRKLCTLELLSPKRVRSFHRIREEEAATLVRSVAAAASSSAVVDVSDLVKIMANDIIMRVMIGDRCPQREEYLEALDKTMDLLAGFNLVDLFPNSSLARLLGARSLRATKRVHAKLHQITDAIIRGHGIKDTVGDDKKRRDNNRGTRYECVGILDDLLRFQRDGGLGIKLTKEIVSAVLFDLFAAGSETTSTTIIWAMSELVRSPHVMKRAQSELRQVLHGKTMVSEADIEGRLHYLQLIIRETLRMHPPVPFLIPRLCSEPNSKVMGYDIPQGTSVLINVSAIGRDEKIWKDANEFRPERFKEDTVDFSGMDFRFTPGGSGRRMCPGLIFGVSSIQIALANLLYHFDWKLPDETGTHELDMTETHGITARRRTKLLLEATPYYI >LPERR01G38860.2 pep chromosome:Lperr_V1.4:1:32039188:32041228:1 gene:LPERR01G38860 transcript:LPERR01G38860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDVTMMAAVGGRCRRREQYLGELRKVTRLAAGFNLVDLFPDSRIVRALAGAGGSLREARATHEKIHGIMDDMIQEHLKAMKRGGGDGDGEEEDLLGILRRLQRDGGLGITLTTEIISATVFDILAGGSDTTTITVMWAMSEIMRHPRVMQRAQLEVRQVTQGKNKVDEANIGGSLH >LPERR01G38870.1 pep chromosome:Lperr_V1.4:1:32048027:32058310:1 gene:LPERR01G38870 transcript:LPERR01G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQKASPPAAAAAAAAEFDADEPSQAPLPLDAFSGDVCAALAARYGRSTAPQHRHLLASAAAIRSILADDGIPVTPASYLPAAVSALQAAGAADIAAASALASLLAILLPHIPSSPSSLSPASASESASALAAFLSSPDASKLPTGTVRSVVKSLGHLVLHVDAAADWGSVATPLEALLAASVDQRAKVRRCAQESVEKLFAYLKHCGCGKKVSNAAIGMFEKHISSVKSHVDSNSDASEGTETEAANVLSAMVVLVPYLSKKAMKAVFSEVYQLVAPYFSPLTRHVLKLMETLLDHLKAENVESDLENLIPLLLAYLRYDEKKPDDTIVAALKLMKNCLVKLVGRPNLWTEVLPSAFEAVSGYLINDRKCSEDIAGILQDCIDSHIDRNIILTGSQSDQDYESLSDAAAVKSICSSINNMLCTCASPPNRESSYVFMKDIILILAQIAMKIDKESERKNVEECIGAALIALGPDKILSLIPIGFDEDRLTFSNTWLLPILDKYIYGATLQQFLECIVPLAESVQKASNRVKSARKCENLKSRADQLWNLLPAFCRYPVDVCQSFGSLSKLLLEMLKCDRYLYKPAVNALQQLLDGSRRSSSSDQNREIHVEPSTLFSSKTIKLSCLSKRCSKEDARKIMKVLESHSVDLLCTVTDYFLDSSSEKRSHLKDALRCLAQLSGSTNIRNLFLALVKRFGLEDTQLEQENTECQTDKVYDKDEEATDADEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKKPCEGKTLFALSVILKEHNEYSLARLDEIMMLLHGIKADLDTEVLEGQLLCYQYLLVHMIKVNEENTSKKAFLILNELIVSLKSKKESRRLAYDVLLAISNTLRSSESNSEDSDLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYNDANFCMEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLDLQADIMSGILPWSSVTKHHFKGKVMLILEILIRKCGLDAINLATPEKYKAFVRSVEEGRKGNHNPADGTESETQQPMQPAAKRRKQFDSNVETGQEKSHTRAPSGSLPAGKKEFFVKRARNARTPGVKSQRSKPPGHRGDRTNFKSKSEAQPGNSQGTKGDKSHGYNKRTRSGGFNKNQNHGGKVSAHTSRFKKPRTATTT >LPERR01G38880.1 pep chromosome:Lperr_V1.4:1:32058939:32062375:1 gene:LPERR01G38880 transcript:LPERR01G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDETPSWGSRGVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPSMAHFILAILVALVEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPLQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGPGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGGGGYGPGGPNYTQGGPYGASGPGRGANYPQGGSRNQQQYGNWQ >LPERR01G38890.1 pep chromosome:Lperr_V1.4:1:32071587:32081405:1 gene:LPERR01G38890 transcript:LPERR01G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVDPLEWIVLDSYAHCTTMMKEDEEDDITASALGITCTGIPIRVSLRVADTPAISRLYLHVPPTWPDTPHVRYPTVLAAHRGRILFNAFVPFAGNCNNMAGYYPLDYFVYTAGADSPPSLTRLPPCFIRGGITEPAEDELFRPYCYQRQRTMSDRDVGILCNSKGGGFTVADLTFRMRSSAELCFVRQPKDTQWSIKHLDLPRGCGANLRLTDWNTDVVLPLHDRFLCWVDYFEGLLLVDILNSGNRFFRFIPLPTPISHVRRQTDIDYTTQYRSVSVTAGNNCILKLVCITTRKTGFTITGWTLANIHRHTWELDFKLESNEFWHCCDLKNMDGVVPNFPVVSLVHPGVVSFLMALKIDGENRVLWTTEVDMKNRMQLSCHALFIEEEEEEEENEELQRFSFRKSCRRKFCGEYFIPSQVTLYFGKNAIQSRLQSQLMQKAKMLTLTEKVQGALYDIGVKQKETLLSSLQEKLSSAKKDWSSVSVARHFGVVCVVHRLIDIYTRFYTNIGIDSSPPSSRTVYDRLDHPMKCTTTTHFFAMFVFKHVYYAIKLWCRCLWSTSTDCCRGVLLRVAPLSVDFSSLHRHGAAVVSPSRVTTSPLLLSSPSFTRQHPRRPHWSSSAVKFYFTYFEHRCRVFLKLLLSPLQADGSATSTSATDVAFTLLVLLLHLRLRLLHLVAYVGSSSTALHSSPATACCSGISPSTPFSRRDCLGGLLCWFPPTWRTHNDDDGEDDITASNLCTTCTGIPIRASLRVAATPAISRLYLHVPATWPDEPHVRYPTVLAAHRGRILFNAFVPFAGNRNNMAGYYPLDYFVYTANSPPSLTRLPPCFIRGSAADPLEDELYRPYCYQRQRTMGDCDVGILCHGGGFTVADLTFRVCNTTELCFVRKQQQQWTVKHLQLQQSHRNLRLSLSGWNTDAVLPIHDRFLCWVDYFEGLLIVDVLNPIDRHFRFIPLPKPIRHVRRLCGIGSTTQYRSVCVTENNSINSRSRSILKMVCVTTASGKNNRGNFTITGWTLANIHQNTWEMDFKVESYEFWDFCRFEDMVGKVPCFPVVSLVHPDVVSFLVMLDFNGENRLHSIEVDMKKRMQRSCPALYIEEEEEEEENEELQGFIFRKSYRRKFCGEYFIPSQVTLYFRKKCHPKVRYMHVPSFLSKSFLYHCIIRGIL >LPERR01G38900.1 pep chromosome:Lperr_V1.4:1:32082948:32085378:-1 gene:LPERR01G38900 transcript:LPERR01G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHCRAIPFLSPSPSASAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRESLSVVDELLTYWNLADTDRALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNSELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPESVLSQAVKRGKREEFDLVLCDTSGRLHTNYGLMEELVTCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAKEFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGLEDLQPFDAEAFVEAIFP >LPERR01G38900.2 pep chromosome:Lperr_V1.4:1:32082948:32085378:-1 gene:LPERR01G38900 transcript:LPERR01G38900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHCRAIPFLSPSPSASVRCSPSGRRAGHLRCAAAAGQAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRESLSVVDELLTYWNLADTDRALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNSELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPESVLSQAVKRGKREEFDLVLCDTSGRLHTNYGLMEELVTCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAKEFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGLEDLQPFDAEAFVEAIFP >LPERR01G38900.3 pep chromosome:Lperr_V1.4:1:32082948:32085378:-1 gene:LPERR01G38900 transcript:LPERR01G38900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHCRAIPFLSPSPSASVRCSPSGRRAGHLRCAAAAGQAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRESLSVVDELLTYWNLADTDRALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNSELQLGFRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPESVLSQAVKRGKREEFDLVLCDTSGRLHTNYGLMEELVTCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAKEFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGLEDLQPFDAEAFVEAIFP >LPERR01G38910.1 pep chromosome:Lperr_V1.4:1:32086366:32087766:1 gene:LPERR01G38910 transcript:LPERR01G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVRLALLAALWLLGANAQQPFDYPTAKASTTWANTDASLRHHVTFTDGSVARAALLRLNPARYGPSFAFGFFCRSPITSSTSTCADFLLGVAVVYCNSGALITSVTTGIPQIVWSANRAVPVSDGAVTELTADGDLVLRSSPNGKTLWSTGTAGRGVAGISINSDGNLVIFDSSNRTVWQSFDHPTDTLVVGQSLTHGARLTANTSLTNSSESRVFLSVAVDRLAAYVSANPPQRYYDIGFNKNAAAYAKYNNGSLTVVAAGDGNAPPLATIQLPTAGADTVQYMRLEHDGHLRVYEWRSSVGWESVADVFHPYPNECAYPTVCGAYGVCTDDTQCSCPDTANFRPVDFRRPNRGCVPTAAPATCRRGGAGLVSLRDVAYFNGHVDTSLRAVERVGEEACKKACLDDCKCMAAQFVYGFDPSQGSCYLQSEVFSLETMQPEIFHYNSTVHVKVAKARSPRRLL >LPERR01G38920.1 pep chromosome:Lperr_V1.4:1:32092940:32099800:1 gene:LPERR01G38920 transcript:LPERR01G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACIDPYPVIDGRRANCNLAYLGVQRSKAASLQPFGHMRAMKSIIQTGGGGASLNMADHGIQQGIPTYSVYGYSPYFSDYGYPLSYYQAAAAYGGLGAQYQMFAGGAGAGAAGLTMAASPTGAGGVYSPYYQYGPSASAAGYAAGMAQYPQMYQYAAAVGAPTATTAAGLQQYGGAVALAPPNSTAQAAGMTMSLTAPTLTAPTPQYQYRLVSTHVAAAPEKPLA >LPERR01G38930.1 pep chromosome:Lperr_V1.4:1:32099651:32101316:-1 gene:LPERR01G38930 transcript:LPERR01G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIVVVAVLVILSSAAAAPAPAMFVFGDSLVDDGNNNELSCLAKANFFPYGIDFFHGGAGATGRFCNGNTFVDALCDILGLEYVPPFATITNAMINGTMSLLGGVNYASAAGGILDETGQHLGERFSLSQQVLNFESNLDTIRSHIGDQIEGGYDDYMARSIAVMVLGSNDYINNYLITSLYESYYSYSPEDYANLLITHYTRQILALNSVGLRKFLLSGMGPLGCIPRLRASGLGPLGQCVDQVNQMVGFTVVDSGCCVLGEDGTCEPYAQPCETREQHVFWDAYHPTQAVNLILAQKAFNGSHDHVYPLNLQQLADLSLA >LPERR01G38940.1 pep chromosome:Lperr_V1.4:1:32102798:32110777:1 gene:LPERR01G38940 transcript:LPERR01G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRARLQSTAESAIRSIGLGYDIANDIRLKHCKQRGSPDPLLIELDHDKVQDIVIPGNLTVAGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGLFNTMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAQIILRDHVKQAVPSTWEPAALASFIKKFGTHIVVGVKIGGKDVIYLKQQHSSSLQAVDVQKRLKDMSDQRFLGANGHSDISLADSYAKDKVDTREQRLRFVESSPLNSYASNEDLVMMPKRRGGRDKDFISHSEWLNTVQAQPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRIEELHQFLEFQLPRQWAPVYSDLPLGPQRRRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNNQTPEEELRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAAQKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMMRGPQDLPGYWVVSGAKLQLDRGKISLRVKYSLLTVMLSDDEFPTDEEF >LPERR01G38950.1 pep chromosome:Lperr_V1.4:1:32108559:32111652:-1 gene:LPERR01G38950 transcript:LPERR01G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRLTALPREQAVARTSMVSSFATEFLEMKAKEPSVHVLLIPGNPGIVAFYKDFVEELYDNLGGQASITAIGHISHGKTDAERGRLFSLHEQIDHKVDFIEQEFQHSEQSLILLFALIISFFLSKAGHSIGAYICLEIFKRLQNKVKFCVGLYPFLTLDKNSMKQSAIGYIASLLIWVFSTEHVRSSLLSKGVSSFVSFIGSLRASVTRSIVRRLLGPSWSVTAVEATCSHLLRYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGMDDHWGPLAHLEEISKHAPGVALSVETEGHTHGYCCTEAGSFWVADYVTGLIKNQNLIGNTR >LPERR01G38960.1 pep chromosome:Lperr_V1.4:1:32112317:32117009:1 gene:LPERR01G38960 transcript:LPERR01G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSPSGVAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVAYRDGVMESEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIAIKVSYEDAADANFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPPEHVDVNIHPTKKEVSLLNQDRIIETIRNTIEEKLMNCNTTRIFQTQALNFSGIAQANTQKNKVSEVSMGSVPTPKSCCFMEYFVTWENEKECFRTVAAAIGNFYALHPPILPNPSGKGIHLYKKNRECMADEHAQNDLTSDENDIDQELLAEAETAWAQREWTIQHVLFPSMRLFFKPPKSMATDGTFVQVASLEKLYKIFERC >LPERR01G38960.2 pep chromosome:Lperr_V1.4:1:32112317:32117009:1 gene:LPERR01G38960 transcript:LPERR01G38960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSPSGVAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVAYRDGVMESEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIAIKVSYEDAADANFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPPEHVDVNIHPTKKEVSLLNQDRIIETIRNTIEEKLMNCNTTRIFQTQVTWENEKECFRTVAAAIGNFYALHPPILPNPSGKGIHLYKKNRECMADEHAQNDLTSDENDIDQELLAEAETAWAQREWTIQHVLFPSMRLFFKPPKSMATDGTFVQVASLEKLYKIFERC >LPERR01G38970.1 pep chromosome:Lperr_V1.4:1:32117404:32122440:1 gene:LPERR01G38970 transcript:LPERR01G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRRRSASRSSSRSPSRSASSGSSSSRSRSRSFSSSSSSPSRGRSPPAAKGSSPGARRGRSPSPPAKRGSPSKKGRSPSPPPKKASPPRKTSPAPESVVLHIDRLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRAPSPMKAPPPPPPKRDVPNDKGATSAEKDAQQRRERSQLHLHARGLLQTEGLNHPGVNLIPLLGDVLILHLFVIKQILRLSGVATHLVADPYLQLEDVLSLRHLEGCGHHHLQGDQEALQEGHHLHVGVVVLLRLVVPLTHGPDQFLLEVAGEDLQGGVEGTEKGIKEPQPPKA >LPERR01G38980.1 pep chromosome:Lperr_V1.4:1:32122641:32123165:-1 gene:LPERR01G38980 transcript:LPERR01G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDNTAATGDHRKEEKHHKHMEQLAKLGTAAAGAYAMHEKHRAKKEPENAKSHRVKEEIAATVAAGSICLAIHEHHKKKEAKKHGHHH >LPERR01G38990.1 pep chromosome:Lperr_V1.4:1:32124114:32124907:-1 gene:LPERR01G38990 transcript:LPERR01G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRKVRRSWPSLYIQEEEEEEENEELQGFSFRMSYRRIYRGEYFIPSQVTSYFGKNAIQSRLQSQLMEKVKMLTMTEKIQGALRDIGMKQKETLPSSLQEKLSSAMKKIGVRNTEWVTQKRDTEVQLIRKIAEFMIA >LPERR01G39000.1 pep chromosome:Lperr_V1.4:1:32125076:32125599:-1 gene:LPERR01G39000 transcript:LPERR01G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDVGILCNSKGNFTVAELTYRLGTTAELCFVRKQQQQQQQLQWRIKQLHMRRRSNHGNPRLDIGDWTTDSSAGLTTARDCSSSICSASSTLTIAMNSDSSRCLNRLGIRMVADTITPYRSICVTSGNSNNSRRSSSILKMVCITTASGKNNTGNFTITAWTLTNIHQNI >LPERR01G39010.1 pep chromosome:Lperr_V1.4:1:32125637:32125993:-1 gene:LPERR01G39010 transcript:LPERR01G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKDDDDEDDITASNLCTTCTGFPIRASLRAAATPAISRLYLHVPATWPDISRVRYPKLLAAHRGRILFAAYVPFAGDFNCDSVAGNYPLDYFVYAAGAAVAHAAAALLHRRRNHRR >LPERR01G39020.1 pep chromosome:Lperr_V1.4:1:32128103:32128530:1 gene:LPERR01G39020 transcript:LPERR01G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEKASAAEAAAPPKTAGDYRKEEKHHKHMEQLGKLGAEGIAAAVAIGSAGFAFHEHHEKKEAKKHHRHAPHH >LPERR01G39030.1 pep chromosome:Lperr_V1.4:1:32131427:32134363:1 gene:LPERR01G39030 transcript:LPERR01G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEKPAAAEAAPKTAGDYRKEEKHHKHMEQLGKLGAAAAGAYAMHEKKQAKKDPEHAKSHKTKERIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHH >LPERR01G39040.1 pep chromosome:Lperr_V1.4:1:32132776:32135973:-1 gene:LPERR01G39040 transcript:LPERR01G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTRSAVAVKPNPVTSYAADGLIALPARRKGLPCQQDENSHGSAHIEIPILPEDIWRHIHSLMPMRDAARAACVSHSFLSSWRYHPNLNFSSETLGLDKNACGRDKLARVFYGKVDHILKRHSGTGVKKLKIQINSIYSSEGSGYLNNWLQIAVKPGIEELTFVLIQYKANYDFPCSLLSNGSGDSVQYLHLSNCSFHPSVTLGCLRSLTRLHLCLVRITDDELGCLLSYSLALKRLEIRYCYKIVCLKVPCLLQRLRSLEVFTGGAKLERIVNEAPNVSSFAFEVRNTVQLSLGETLQMKSLEMVCPGYVFHACAKLPSSMPNLESLTISSSKEIAPATTLSSKFLYLRHLSIALIGSTFSPAYDYLSLASFINAAPSLENFNLNVWQRYMQNVSIFADSTDLRRMKEAQQHQSLKSVRITAFSSAKSLVELTCHILETATSLEFLTLEALQSCMRCTARGNNTGKCSSVPRNILMEGLRGVVAIRRYIEPRVPPTVKLHVLEPCCSCHGIEL >LPERR01G39050.1 pep chromosome:Lperr_V1.4:1:32136573:32139598:1 gene:LPERR01G39050 transcript:LPERR01G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFPSPDAFYLHLLRACASPRHAAAVHSHIVRAHPAASLFLRNTLLAAYCRHRGGGGHARRLLDEMPRRNAVSYNLLIDAYARQGLAPLSLRTFDRARRAGEGPGVDRFSYAAALAACSRAGDVTAGKALHALAVLDGNSRGVFVSNSLISMYAKCGDMGEARRVFDFADERDDVSWNSLISGYVRAGAHGEMMKVFAMMRRCGIGLNSFALGSVIKCCSGHGDGTLGIAEAVHGCVVKAGLDSDVFLVSAMIDMYAKKGALVEAVALFRSVEEPNVVVFNTMISGFCRAETAVGKEVAGEALDLFSEVQSRGMQPTEFTFSSALRACNLAGYFEFGKQLHGQVIKHSFQRDDFIGSALIDLYFNSGCTEDGFRCFRSSPKHDIVTWTAMISGCVQNELFEKALSLFHEFLVAGLKPDLFTISSVMNACASLAFARAGEQIHCFATKSGFDRFIAMGNSCIHMYARSGDVDSATRRFWEMDSQDVISWSALISSHAQHGGARDALQFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMNKEYGLDPTIRHCTCVVDLLGRAGRLADAENIISKSIFHADPVVWRSLLASCRIHGDLERGQLVASKIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESNAIYTKLQDMLSRIDGLALTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHLTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >LPERR01G39060.1 pep chromosome:Lperr_V1.4:1:32141292:32149664:-1 gene:LPERR01G39060 transcript:LPERR01G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRHNSCGDAAVAVAGGEGEHPPLPSRFERFRRRLRLRHRDRDRGGGRDSASESGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTEKSDNTSTPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEILSLLDPSSSATIVGNISISCYIEDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNKLAVEKIEELFRQADTNGDGIVDMDELAALLADQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFIRQLKPGARPIACYEQDSIATCAADSRLMAFSSVDEGTRLWIKGRKFSIEGLLGKDVHSNALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKKEGEYVHKGDEFGYFAFGGSTVICVFEKDAIQFNADLIANSDRSLETLVSVGMPLGVSTRNRELQPPELEKYRHKL >LPERR01G39060.2 pep chromosome:Lperr_V1.4:1:32141292:32149664:-1 gene:LPERR01G39060 transcript:LPERR01G39060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRHNSCGDAAVAVAGGEGEHPPLPSRFERFRRRLRLRHRDRDRGGGRDSASESGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTEKSDNTSTPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNKLAVEKIEELFRQADTNGDGIVDMDELAALLADQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFIRQLKPGARPIACYEQDSIATCAADSRLMAFSSVDEGTRLWIKGRKFSIEGLLGKDVHSNALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKKEGEYVHKGDEFGYFAFGGSTVICVFEKDAIQFNADLIANSDRSLETLVSVGMPLGVSTRNRELQPPELEKYRHKL >LPERR01G39060.3 pep chromosome:Lperr_V1.4:1:32141292:32149664:-1 gene:LPERR01G39060 transcript:LPERR01G39060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRHNSCGDAAVAVAGGEGEHPPLPSRFERFRRRLRLRHRDRDRGGGRDSASESGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNKLAVEKIEELFRQADTNGDGIVDMDELAALLADQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFIRQLKPGARPIACYEQDSIATCAADSRLMAFSSVDEGTRLWIKGRKFSIEGLLGKDVHSNALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKKEGEYVHKGDEFGYFAFGGSTVICVFEKDAIQFNADLIANSDRSLETLVSVGMPLGVSTRNRELQPPELEKYRHKL >LPERR01G39070.1 pep chromosome:Lperr_V1.4:1:32150799:32152292:1 gene:LPERR01G39070 transcript:LPERR01G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPRALFSVTPTAGSSASARRTRGAAPVRASAAAAEAAGDGQPAAPAPKKILKKKPVYSMKKGQIVRVDKDKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >LPERR01G39080.1 pep chromosome:Lperr_V1.4:1:32153692:32156756:1 gene:LPERR01G39080 transcript:LPERR01G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAGVPGDEESGLLPRPSTAGRRQPTAAARRAHPPPPVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPSSSDAFSRIHPYVLRSAVGFTIFSVVLLTWATTFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >LPERR01G39090.1 pep chromosome:Lperr_V1.4:1:32163350:32167518:1 gene:LPERR01G39090 transcript:LPERR01G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVASRARASSSSTRRGAGDPAALCRERAALIRAAADRRYALASAHAAYFRSLAAVGDALRRFAAAALVPAPTPPPGSSPVLTLPPSPAKPPPKSSLPPSPSSSSSTVSPLSHSLSVDDVDTHRDAIHATAAAAAETSTRYHYHYMRNSPTVPTVVYENPNGEYTQGETSYGYGYGYGYAYQYGPYGEVVAEERPAARSAGPPPSPPTAEVSTWDFFDPFSSYNQFMEDYKAHDEGSSSSLHSNSPNYSELRRMEGIPELEDEAELEAAKLSTSVVDDHGGKGKRAISSNASSKGEASDGKLQRKGSSGNGGDPENGSSKGKKGDKNVESLKGSGSGDIHSGSSTGKGKKKGIAFDDNGQPIAAAVQREGGSGKSVQSTTVSSSDLSSPLHQGNRDVMEAMNEIKGQFNEAMKCGEEVSKLLEVGKVPHRNSTPRVLRYLSSRVMDPLSLTVPASSCLPKPHRKSRTLSGKASIPSNSSVAGRRNSAGNLSSTLEKLCAWEKKLYQEIKDEEKLRILYEKKYKRLKSLDERGSESSTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIVGLRRMWKAVLTCHEKQLSAIQNSKMHLVKAVTISQSNVAATAIVELERELAKWYRCFNKWISSQKAFVEALNGWLRKWLPEVHEENTPDGAPPFSPGKLGAPAVFVISNDWLQAIEMAPKNDVLKTIDQFSKVVHEFKKSQENEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMEKTDHSHDNRVVEADKMRKKRDEEKTRHQEIVKQVHRAASATLPIGLVPVLEQIVNFSQANVQKYKTIRIRGAQVH >LPERR01G39100.1 pep chromosome:Lperr_V1.4:1:32167330:32172907:-1 gene:LPERR01G39100 transcript:LPERR01G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPAQPQLQPSSLASFLPTSPFSRFLHSPSWRRPPTSPPLRRRRFLSTAAAASKDSRSLQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQVPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNISKGPAVRALRAQTDKREYAVEMKKVVESTPNLFIREAMATEVMIGRNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHIEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWIEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGLSMGLPERLQLSIVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDKKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKVLEGGFSAAVTAVSNQPVKESSTLEAILKKPHVQYKLLDEHGYGNERLSRIEKECVEVDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSMLRSASAKADDSSEEVVHASATNA >LPERR01G39110.1 pep chromosome:Lperr_V1.4:1:32173547:32177794:1 gene:LPERR01G39110 transcript:LPERR01G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKQSHGTADSDRQRDDGDGGDDGKGGIEQGPESAGDIQALLDMDKDAISKKIRFYISQLYTGVPNDYDLWPEDSESDEPEDPLTELYERIAFYRIIGYEVLSKGRKLGEQDIAKLKEEYTPSILRDEGYFERYERSLEWYFCPKLCEFPATYDDYQRLMLQNNLVVAIMILEQTGDSTGILYLDWERYRTTGKSYEADLAYVRYCEVIANELKWIEDHLARGPTQAEWRRLKDVTLMLALKIATSSQGIDTGSAFTASQGYLWSIQFDFSYKDFDGVYFEIWKRVAKRKMHFVDALTEVYREDMYPVRKVDIKYELDKIPLRFRTMKEIYDAHVACIDETVPEDEARQLIKEAAKKMYPKPMIYLDYARKKLEISKAIGLIT >LPERR01G39120.1 pep chromosome:Lperr_V1.4:1:32181245:32181667:-1 gene:LPERR01G39120 transcript:LPERR01G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGKLSSQEQATIQTIFIASYYPLSIVMVGVGDGPWDAMQHYDDHIPDIAFGNFHRIPIRAGTHQPTTAASVLATTICPSQKEPQLYNHYTQKPKS >LPERR01G39130.1 pep chromosome:Lperr_V1.4:1:32182961:32186759:1 gene:LPERR01G39130 transcript:LPERR01G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQDQERRRRQAQSRDGLLDLRAKRKCLPCQQDDISQGAAHIEIPSLPEDIWRHIHSLMSMRDAARAACVSRSFVSSWRCHSNLSFSSKILGLNNNACGEDKLATEYYNIVDHILTRHSGIGIKKLKIEIGLNYSAKGSCYLNNWLQNAVKPGIEELSVMLRYNKKHNFNFPCSLLSNGSGDSVKCLYLSGFSFHPGITFGDLRSLTRLHLSSVCIKDDELSCLLSHSLALELLDIRYCDSITRLKVPCILQRLSHLIVLGCSKLKVIDNEAPNVSSFEFQGYNSVQLSLGETLQMKSLCVERPGYIFHARANLPSIMPNLESLTIDSYQEVAYAPMSCSKFLYLRHLSIRLTGAPFFVAYDYLSLASFINAAPLLETFNLYVWQLDKYNVSIFADAADLRLTREEQHHSLKSVRINAFNSAKSLVELTCHILENTTSLECLTLEAAESCYKNSETGKCVPFGRDISMEGQRGILAIRRYIEPMVPSTVKLHVLEPCSCLAIED >LPERR01G39140.1 pep chromosome:Lperr_V1.4:1:32187365:32191234:-1 gene:LPERR01G39140 transcript:LPERR01G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVGSLSLIDAFVDHPSIIFHLPPPPAISTGIRGPTSQPASQPARPPPYSSGNELKASSSLESVQPQPAFQLLCGILSPAAIVSSALVSVLSDHYWSCGANELITSTGINLMFPLELPRINSRPKDSQSLYLTTTALWMRYSFGRKSLHAINGTPNPYEQAISIIGRTMSPFDDDNLIPCFGFGDASTHDHSVFSFYQDNRSCRGFEEVLERYRQIAPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYCPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTDIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPPEKHAGHAAGHLRILPTPNKVLENDNAAASRPPTASSQSTGFAKNTNDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRVRLYS >LPERR01G39150.1 pep chromosome:Lperr_V1.4:1:32192626:32194431:-1 gene:LPERR01G39150 transcript:LPERR01G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVVGRAMVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKTSWEEIIQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDIPS >LPERR01G39160.1 pep chromosome:Lperr_V1.4:1:32198398:32198661:1 gene:LPERR01G39160 transcript:LPERR01G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVAIEIVMQVILRRSISRLQEVFAMAMELGAAILVAVRFSGGMAVHLRPSTPSSPAISRTTTATTTYYYSPVAASMISMSRLDRH >LPERR01G39170.1 pep chromosome:Lperr_V1.4:1:32203527:32207999:1 gene:LPERR01G39170 transcript:LPERR01G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSQPRRHQPTTTSLRRAPPPPPAAAKPEPTTNGKSSSKPASPVHPSSERTVKKLRLSKALTLPEATAVSEACRRMTAKRVDAALLTDANGMLSGIITAEDISGRVIAEGLRPEETNVAKVMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHAFIENLRDQLFKPSLSTIITENSSVPVVSPSDPVTAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRVVAQSLSPDVTLVEKVMTTNPDCATFDTSILEALHSMQDGKFLHIPVADQSKYQILTTGKSFFLMFISNFIFLIDGQIVACLDALQLTHAAISMVEGASEANNMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDGKGLSESLDELVSAVSYRMGMENEKARINLLYEDDEGDRVVLTTDSDLSSAIEHAKSAGWKVLRLHMDEPWSSSAEHTTSLVDTSPAKTGRPFLQLGIAAGAVALASVGIIFYLKRSEL >LPERR01G39170.2 pep chromosome:Lperr_V1.4:1:32203527:32207999:1 gene:LPERR01G39170 transcript:LPERR01G39170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSQPRRHQPTTTSLRRAPPPPPAAAKPEPTTNGKSSSKPASPVHPSSERTVKKLRLSKALTLPEATAVSEACRRMTAKRVDAALLTDANGMLSGIITAEDISGRVIAEGLRPEETNVAKVMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHAFIENLRDQLFKPSLSTIITENSSVPVVSPSDPVTAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRVVAQSLSPDVTLVEKVEGASEANNMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDGKGLSESLDELVSAVSYRMGMENEKARINLLYEDDEGDRVVLTTDSDLSSAIEHAKSAGWKVLRLHMDEPWSSSAEHTTSLVDTSPAKTGRPFLQLGIAAGAVALASVGIIFYLKRSEL >LPERR01G39180.1 pep chromosome:Lperr_V1.4:1:32208674:32212380:-1 gene:LPERR01G39180 transcript:LPERR01G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKLFKDDDDDQLWGPGVDISFDEFQGYFRQLIANGIDVDNMSKLTYAEMDRINERFARYRLGFYKMFIADPEENLRLKDPTDYSPYELGKEGYFKRYEKDGSLDVYHSYFNAYEIDCDYVKYCEELSEKIKWIQDYVLLNRFSPQWKEMDNRAWFQAAKIATGFRFMTLDLAHTAYMDHIMRLREVEQLENYIGAFFEIWKLVTNENFDACIKDIPNNAEEAEVLNLIKRGVYRKLPTTVTMWEYAAKKIKIAKQIGLIDQPDHLHRESQDLKV >LPERR01G39190.1 pep chromosome:Lperr_V1.4:1:32214530:32218136:1 gene:LPERR01G39190 transcript:LPERR01G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGEKGRELGRRRRRLQAPRSRRLVHWGFAGSLDRRLRVPLHESVSALCVCTELLVLSHMDKSDLNKGGNKDETVLPVQITRVDVKEVKNSGYMARLTLKKPSNMPPSSPSLDPRIIGEAKTPTLAVFVEPYTAHLAALLHTMKLGGKYNVLPSADLADIVRQIVEGWEELRVNGMYHGDMCLQNLYYCRTNETIVVKLAGFKSKGSVSTEAALLKDLNGIGRILKSISSLVKENTPGQEPRCILIDNLAMNLEHFVDFAQLGTIKDKILDHVFFWFLERRRKFFTYEIPKALEDDAFCDKVRHRLRYDRVPWDTKQHHGLVLSMNKYRRKKRNLPAYVGTDAIHNVHFVSGAYTHEEEILCDLSFNGMPSTVDDAVLYDQPTLCLDLYECIM >LPERR01G39190.2 pep chromosome:Lperr_V1.4:1:32214530:32218136:1 gene:LPERR01G39190 transcript:LPERR01G39190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGEKGRELGRRRRRLQAPRSRRLVHWGFAGSLDRRLRVPLHESVSALCVCTELLVLSHMDKSDLNKGGNKDETVLPVQITRVDVKEVKNSGYMARLTLKKPSNMPPSSPSLDPRIIGLDVGNPDDNHYIMVMQNINHKNILHMEAVKPLIIGEAKTPTLAVFVEPYTAHLAALLHTMKLGGKYNVLPSADLADIVRQIVEGWEELRVNGMYHGDMCLQNLYYCRTNETIVVKLAGFKSKGSVSTEAALLKDLNGIGRILKSISSLVKENTPGQEPRCILIDNLAMNLEHFVDFAQLGTIKDKILDHVFFWFLERRRKFFTYEIPKALEDDAFCDKVRHRLRYDRVPWDTKQHHGLVLSMNKYRRKKRNLPAYVGTDAIHNVHFVSGAYTHEEEILCDLSFNGMPSTVDDAVLYDQPTLCLDLYECIM >LPERR01G39200.1 pep chromosome:Lperr_V1.4:1:32219110:32222434:1 gene:LPERR01G39200 transcript:LPERR01G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRCLYKIGPVEAQRLLKKGTPAKLAHSYLFLSTFSHPAALSVENYMVSGAIVVSCVDGTFRKWMMNTSGLISPEGHLLPLLRAMTVSLVSLIDNLWKYKKYIENLNINDLYIKIVGGQPRLQVLLHENVQTVTDEVKRDKIWENVRGIVEKCFSICGISPHESAMKFCSLIGHDCLSTIRGYPDTWDDYSKAACLTGVCLEKNLKSAVNRSGIKWPEQSKGVVKPILASIIAYALSTNKATYDTTIPWDYVRLCKNTYKHFDELPQNVKDVLEDCYVIFQKMEEWEPDVWFMLYDATNTK >LPERR01G39200.2 pep chromosome:Lperr_V1.4:1:32219110:32222434:1 gene:LPERR01G39200 transcript:LPERR01G39200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRCLYKIGPVEAQRLLKKGTPAKLAHSYLFLSTFSHPAALSVENYMVSGAIVVSCVDGTFRKWMMNTSGLISPEGHLLPLLRAMTVSLVSLIDNLWKYKKYIENLNINDLYIKIVGGQPRLQVLLHENVQTVTDEVKRDKIWENDVLEDCYVIFQKMEEWEPDVWFMLYDATNTK >LPERR01G39200.3 pep chromosome:Lperr_V1.4:1:32219110:32222434:1 gene:LPERR01G39200 transcript:LPERR01G39200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRCLYKIGPVEAQRLLKKGTPAKLAHSYLFLSTFSHPAALSVENYMVSGAIVVSCVDGTFRKWMMNTSGLISPEVQTVTDEVKRDKIWENVRGIVEKCFSICGISPHESAMKFCSLIGHDCLSTIRGYPDTWDDYSKAACLTGVCLEKNLKSAVNRSGIKWPEQSKGVVKPILASIIAYALSTNKATYDTTIPWDYVRLCKNTYKHFDELPQNVKDVLEDCYVIFQKMEEWEPDVWFMLYDATNTK >LPERR01G39210.1 pep chromosome:Lperr_V1.4:1:32223155:32227621:-1 gene:LPERR01G39210 transcript:LPERR01G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLPSDPPDSDDGRNETSAWTGQKKHKLALDPCVSGDTNQDADACTSSFSDTQAKTAAQQVLEVTNKNIADAMRRTIFPGKEYLLKASSGEETSQRIDEQYIHFGPDIQFSNEDFDDYVRQLAPNSHHIDKFSRVSDGKFDEIMERHARYRIGFYKMFNKESGDKLKDPAEYSRGELLDENYFHRYEWDESLGWYFHPDHIGRAGLNDYQKLVLINHDSTEFLARDDYHSCFNTYEVDDDYVKYCGEISKKIKVEEAEAFDLITNAVYRTFHHTQTMWGYAAKKIDIAKKIGLGLDSRA >LPERR01G39220.1 pep chromosome:Lperr_V1.4:1:32234393:32235437:1 gene:LPERR01G39220 transcript:LPERR01G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSLVAVLVCSCWHLAVAAVKLPAIFCCDAMLTTVAFLTFPLRLLAALDRLVGEMQRQMERLVWEKSELEEKLSLAIKESKAMEEILDEMEEEHDDAITKITLLETQLKALKLENMRLNEHKGKSEWDKKPAPTSSSSNTRKEADVIAPEVTSSSPEEEKEEFLVRRRKAAAVARRRSMFSLGMSAAVGAVVWTADAPCLPLLAGLFAMVGVSMCAVARSLRRRRDGDDGDGVALLGLNWFLLGVLASPMLPGAARAVFPRVARLAGPAVAWLSATVPVSS >LPERR01G39230.1 pep chromosome:Lperr_V1.4:1:32236015:32239152:-1 gene:LPERR01G39230 transcript:LPERR01G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRIPSLRLRNDFPSNPSRQRGDGDGDDSDSDKQRRRLRRRRVQKAAMDVSRRKKWVAWVAAITIFVVLMLVTPAIPQNEDYHDFADQRLLFLGIPNTLNVISNIPFFFVGVAGLILCHYKNYFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSIMAIFIIERVDERAGTKSLAPLVFAGALSIMFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAEDKPIYELTHQIVSGHTLKHLCAAMVPVFLALMLAKRTIEPERVSLFQQWKVKLVSVRESHRFNKDRNTVDYNYIAVSTTPEQ >LPERR01G39240.1 pep chromosome:Lperr_V1.4:1:32239753:32240470:1 gene:LPERR01G39240 transcript:LPERR01G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFNGDEVAPFFGFIGAASALVFSCMGAAYGTARSGVGVANMGIMRPELVMKSIVPVVMAGVLGIYGLIIAVIVTTGINPTATPYYLFDGYAHLAAGLATGLCSLAAGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAH >LPERR01G39250.1 pep chromosome:Lperr_V1.4:1:32242577:32244364:-1 gene:LPERR01G39250 transcript:LPERR01G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAGAAAGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAA >LPERR01G39260.1 pep chromosome:Lperr_V1.4:1:32245466:32248442:-1 gene:LPERR01G39260 transcript:LPERR01G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGGEPPVAEAGASSGGGGGGERKKKAKGDPCEECGEQPWKYRCPGCSILTCSLPCVQSHKRRTSCSGKRPRTDPVPIAQFDDNQLISDYNFLEETKQATESAHRLIGAFGRNFGGKFGGAQLPKWLFFLRKAAQRRGIWLTFLPRGMARREQNRSRHNHNIDEHTSLLSSLEKHLTPGPWKDQLTPYRNIDSRDLKLFIQKSAKVSVSPYRQLNIEEPLGPQLRSINIVEYPSINVFLPSDSCDFEVEKIVNKLPANEKPPGSSTDSPDLEGTEFHEEEIEEGELATETQVIDLKDSGTSHTSNLASAKDTSDVKTGSNRDSSVISYASSLALDGQQVVSKQIKITPNTTSGASKTKNCMKVHPADMEESGDGGLSLERQGINRKNHTASHPDNLTPVEGTTESKIDSITDSLVPSSVSILASDAFGCHQVEHNQQSKPTPNSTPEALKRKSCMKVYPLDTEKNPGLFSEVPNLSFEQEFGDAYSDLFGDINPDDFLNFDLEIMDEDDLVGATSPVKLWDDLEEGEIPTA >LPERR01G39270.1 pep chromosome:Lperr_V1.4:1:32249576:32251958:-1 gene:LPERR01G39270 transcript:LPERR01G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMVASIYEHIAKLGFLSPPRRFASRSQSRRRRRLPPPARALRAAAMIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >LPERR01G39280.1 pep chromosome:Lperr_V1.4:1:32253302:32257101:-1 gene:LPERR01G39280 transcript:LPERR01G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLVLAVCLIPAAAAAVKYPPVAKGLSFDYYKKSCPKAESIVRDFLTKAISKDVGLAAALIRLHFHDCFVKGCDGSILLTKTPGGPDSEQEQPPNVTLRPSALKAINDIRALLEKACGRVVSCSDILTIAARDSVKLVQDALPPPFFNVQQILPFLAKINLDATDLVALSGAHTVGIAHCTSFDNRLFPTQDTTMDKWFAGHLKLTCPVVNTTNTTVNDIRTPNVFDNKYYVDLQNRQGLFTSDQDLFVNSTTKPLVKKFAVDQSAFFDQFVYSVVKMGQIQVLTDSQGQIRGNCSVRNPGAGDDELPWSAAVETVVEVAESIAL >LPERR01G39290.1 pep chromosome:Lperr_V1.4:1:32260575:32260949:-1 gene:LPERR01G39290 transcript:LPERR01G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQNPNHVVVGGGGGITAAAEQDHFSGYCTVICTAVFAGALIAGGVLMVRLFHDAGSHHHSHVAVVAMEIVLIVLAVFFFLYACFCCGLAVDFGVHGNPLFSDHDRVAVPRVVVVQPVLDAV >LPERR01G39300.1 pep chromosome:Lperr_V1.4:1:32262486:32263811:1 gene:LPERR01G39300 transcript:LPERR01G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSFLLLFAPAISAGDYPPTVRGLSYSFYRRSCPQVEIIVRNFLRQAIRNDAGLAAGLIRLHFHDCFGCDASVLLKRTATEASELDAPPNETIRPSALTAVTKLRTLLNNACGAVVSCADILALAARDAVHLAGGPDYDVPLGRRDGVTIAAREHVVAAFPPPSSNVTALLNAVTKIGLDVTDLVALSGAHTLGISRCISFDDRLFPTQDSSMDSRFASNLKLSCPAKNTTNTTVIDVRTPNMFDNKYYVDLVNHQGLLTSDQVLFSDDRTRGIVARFAVDQSAFFRSFAFSMVKMAQIQVLTGVQGEIRVNCFVPNAGSGS >LPERR01G39320.1 pep chromosome:Lperr_V1.4:1:32270779:32273857:-1 gene:LPERR01G39320 transcript:LPERR01G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQTTFHRWEQTPSNTGEHVHLTKELNTSCESVDWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSVYSAHQDMGTSRSNHYTSQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >LPERR01G39330.1 pep chromosome:Lperr_V1.4:1:32274046:32277050:1 gene:LPERR01G39330 transcript:LPERR01G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFTTAAASPTPCGRRPTALAARSPTASGSSRWAPPPLRCAAPVVGLRRAAAPSGRGDTLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFACVS >LPERR01G39340.1 pep chromosome:Lperr_V1.4:1:32281454:32287495:1 gene:LPERR01G39340 transcript:LPERR01G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRINNNRWIREGKQYREEMEKMRNGGFTLQLLCLRRIGILVVVLPSLLFEAAAAADSSWHPNPSTRRVHHGILPPPFAAPSPAPTAGADELPTIGRAPKQSPHFGFPLQPSFGVAAAAPPVAGAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRGDAANDKVVGRAATPVRVQIAMIGLVATISGLERICAAVAALRRVWPAARSIGRQRTPSPSSSCSPSSLLPLSLFHRLF >LPERR01G39340.2 pep chromosome:Lperr_V1.4:1:32281454:32285959:1 gene:LPERR01G39340 transcript:LPERR01G39340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRINNNRWIREGKQYREEMEKMRNGGFTLQLLCLRRIGILVVVLPSLLFEAAAAADSSWHPNPSTRRVHHGILPPPFAAPSPAPTAGADELPTIGRAPKQSPHFGFPLQPSFGVAAAAPPVAGAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRGDAANDKVVGRAATPVRVQIAMIGLVATISGLERICAAVAALRRVWPAARSIGRQRTPSPSSSCSPSSLLPLSLFHRLF >LPERR01G39340.3 pep chromosome:Lperr_V1.4:1:32281454:32284910:1 gene:LPERR01G39340 transcript:LPERR01G39340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRINNNRWIREGKQYREEMEKMRNGGFTLQLLCLRRIGILVVVLPSLLFEAAAAADSSWHPNPSTRRVHHGILPPPFAAPSPAPTAGADELPTIGRAPKQSPHFGFPLQPSFGVAAAAPPVAGAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRGDAANDKVVGRAATPVRVQIAMIGLVATISGLFLWGR >LPERR01G39340.4 pep chromosome:Lperr_V1.4:1:32279811:32284910:1 gene:LPERR01G39340 transcript:LPERR01G39340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSTTVDECYETGRHGHGHGHGHGGMKVQSHTDDYYCQGGEDRGRRMNSMHSEEYLMRQQGGGYGGGQEYYKREEREHKQRERLGEVGALASGAFALWHPNPSTRRVHHGILPPPFAAPSPAPTAGADELPTIGRAPKQSPHFGFPLQPSFGVAAAAPPVAGAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRGDAANDKVVGRAATPVRVQIAMIGLVATISGLFLWGR >LPERR01G39340.5 pep chromosome:Lperr_V1.4:1:32285587:32287495:1 gene:LPERR01G39340 transcript:LPERR01G39340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAPWMVREAAAADGSKGGDGQRTSFLPSPSSPSGRRSAIAAVAAAGGQQRAWGARGVGYAHHEAMPPSTVCMEKGLCYKKQLTCPPKCFKSFSFKDKHGGGGCSFDCNKCVATC >LPERR01G39340.6 pep chromosome:Lperr_V1.4:1:32279811:32280753:1 gene:LPERR01G39340 transcript:LPERR01G39340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSTTVDECYETGRHGHGHGHGHGGMKVQSHTDDYYCQGGEDRGRRMNSMHSEEYLMRQQGGGYGGGQEYYKREEREHKQRERLGEVGALASGAFALLKSMKR >LPERR01G39350.1 pep chromosome:Lperr_V1.4:1:32295657:32300814:-1 gene:LPERR01G39350 transcript:LPERR01G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSSSTAGGEQQAVMLASACDLSQFGFFQRHYAGEFIFFVARTVALRAPAGIRHSVQHEGNLIRFPFSQYKAHCYKKQNGLCAIAFTDDHYPVRSAFSILNMDPAEADKLLRIQRDLDETKIILHKTIESVLARGERLDRLVEKSSDLSASAQVIVIDYIKEFLDHANSCC >LPERR01G39360.1 pep chromosome:Lperr_V1.4:1:32301919:32304576:-1 gene:LPERR01G39360 transcript:LPERR01G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKDITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >LPERR01G39370.1 pep chromosome:Lperr_V1.4:1:32309372:32315354:1 gene:LPERR01G39370 transcript:LPERR01G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVIRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLVESLTIGNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGTDVSHWIPKRISGALEGLQVGYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPREVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEARLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIMGENVVQVACGSYHVAVLTMKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALKDRSVRHIACGANFTAAICQHRWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNTVDSSTINKRKENVPRHSGESNSDARLTKAIVPSNMDMIRNLDTKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTSAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKNAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGSYDSNEPKQIHFPNGTELHAAIYSSTNGIHQLPTESISTLNTPSLNTGRSLHANGISSQHKSPGSISEYSEVSARSHQVSSPNDSELSNRRARISSDELFSASNKSDDNSSRDARSLQNGEDGYKPRGTISLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAEDWWNENREKVYERYNVRSSERVSSAASTRSAR >LPERR01G39380.1 pep chromosome:Lperr_V1.4:1:32315922:32317022:1 gene:LPERR01G39380 transcript:LPERR01G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNPQPHLDFPSLFSDLTSLLLLHPPPTHSASPGPVFSSSSLSIPTPPAQSPSTAVTTTTAQPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAGASAAAAKGSWQVFLDILRTDGPLGLYRGLSAVILGSATSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGSEGSRTVVGTMKEVVADEGLMGLSRGIGPRVLHSACFAALGYCAFETARVAILKWYIEDCERKAAAEMKDGVGGVA >LPERR01G39390.1 pep chromosome:Lperr_V1.4:1:32318098:32326357:-1 gene:LPERR01G39390 transcript:LPERR01G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRAHKPLPRQVKEMEGNPDPTPTPAAAAAAGMIAEASVRRIKLSVTSNEEILKAQPVNELEKPIPITHQSQLLDNPSLGLPLQVGSCQSCGSSAIQQCEGHFGFIELPVPIYHPSHVTELSKILNLICLSCLQFKNSKELQPLYVAEVKKSNGTCDLELRAPIQEELEEGFWSFLDQFGSCTTGTSHRHPLLPEEVQNIIKKIPGETRAKLSVRGYIPQDGFIIRYICVPPNCLRVFNVLDGNAFMCSSGASTNMLRKILRKIHQIDSSRIGSLNTQVNETDMEDLQVAVADYINQRGTAMGNVDVNFASQPISKQWQQKMKTLFISKSSSFSSRGVITGDPYIGLNVIGVPEEIAKRMSVEERVTDHNIAQFQEMMNKGLCLMYTDASSITYSLDVGKDKPNKKHIILKVGEIVNRRVLDGDIVFLNRPPSTDKHTVEAFYVQVHNKHTMKINPLICGPLGADFDGDCVQIFYSRSLSARAEATELFTVDNQLLSSHNRKLNFELKNDCSLALKIIYDREYSEREAKQITNAMFSSGMYPQKPLMGGPYWTFPQILENTNAITFADHLDRESVGALATGATISSVLSTKGPREAIEFMNLLQPLLMESLLIDGFSISLRDFTLPSSILKAIQNSSLELNKFREPIVDFITNYSAIGLLVDPESDSKMNKVVEQLGFLGPQLQQNGRLYSSRLVEDCLFMSPHRYCESTNCHDPLEAHGTVRSSIYYGLNPYEGLLHSIYERENIMHASNGLVEPGSLFKNMMARLRDVTACYDGTIRTSSSNLVLQFGSMDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNMTSWNSMKLVCLLVFDPTNVCIERALTVRACLRRIRVEDCTTEISIKYQQQTTQAAYRLVGHIHLDKKRLYQMETTMEDIIHKCQDVIRKNTIKRGRLCEILKTVTFISSESLCDQHTDEDNELQISCLQFFLTANITTKISEATEQVIHLMTNAIFPVILDMAIKGDPRVEDVILVRIEPESTCWVQSSGAEQKGEVALEITVEKAAVAESGNAWGVAMDACIPVMDLIDTTRSVPYNIQQVQHVFGISSAFEKVTQHLSKAVGMMTKSVLKEHMTTVASSMTCTGELHGFTSYGYKATCQSLKVQAPFMEATLSRPTQCFEKAAAKGYSDQLGSIVSSCSWGNSAEIGTNSAFEILWNDNNMSSSKSILGGYGLYDFLEAVKTTGATEDKEIVPQNSCLYDVDCIPDDDIHLDGNNQIWTDKPKAEFFMDSEVRRRGPPSAGQQHKRKQIKGSWQNDKPSLHKGNTWGSPSYTVAGPTSTAGWNKSNFSGQVYQRRQLNKTSNWNSEATQQDHKRSWYALNSTGTENFTVARSSNPSKINRKTGSRGRGRGSGNLDLPYSPYSNINNLGRGSGRAVWKPEASHHGGSSSSRNWKTQKNSSMRQVASCAFTPVEKKIFAQVEPITKNVKRIIRESRDGTKLPQDDEMFIVRNILMYHPEKEEKMAGNGNYITVSNLPS >LPERR01G39400.1 pep chromosome:Lperr_V1.4:1:32326888:32330512:1 gene:LPERR01G39400 transcript:LPERR01G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGMSTSFLLRVSPSPSASPHAPALPSSPASSARPRRASPSVVSLSTTPRACAAGPNSSSSSSFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKCNPNARLLESVSYHEVQTRDLLVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKEIVRIGHC >LPERR01G39410.1 pep chromosome:Lperr_V1.4:1:32332045:32337383:1 gene:LPERR01G39410 transcript:LPERR01G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDVCGSGERDEELMLCDGCDRGRHIFCLRPIAARVPTGPWFCPSCAPPRTSKPLKSFPMTQTKIVDFFRIQKGAEDVEAEKCGSFQDVKRRRKRPLVIHKKRRRILPYIPTEDKVQRLKQMASLATAMTSSKMRFSNELTYMPGMARRSSNQARLEKGGMQVLPKDDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFISEYTGDVDYLEKRVHDDCDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKQNIKCVRYDINGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFI >LPERR01G39420.1 pep chromosome:Lperr_V1.4:1:32338163:32340760:-1 gene:LPERR01G39420 transcript:LPERR01G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSENSPHASTSALSTRSVPFSVSDRRRPSRSPPATRFRGTRSGADRPLLQATSLSALGRNCRRAMGSKDSEVTASLDGNKEDEEDDWEAIADRGEHDETLALAQSLEQKVEVSPCTSSEKISTPSTSTGPKRRGRGSFLYDKSVLYSDQCGLENDVDEEESNDQNGSKGRVDEQKHKISAAVKKYGTSHVLVLYDFPPSTVSTDLERIFEKFGDHGVVIRWINDTVALAVFQTPSAANEAQSCIPPRYKVRVLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEARRSRIAARQAMRDDAWGSD >LPERR01G39420.2 pep chromosome:Lperr_V1.4:1:32338163:32340760:-1 gene:LPERR01G39420 transcript:LPERR01G39420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSENSPHASTSALSTRSVPFSVSDRRRPSRSPPATRFRGTRSGADRPLLQATSLSDSEVTASLDGNKEDEEDDWEAIADRGEHDETLALAQSLEQKVEVSPCTSSEKISTPSTSTGPKRRGRGSFLYDKSVLYSDQCGLENDVDEEESNDQNGSKGRVDEQKHKISAAVKKYGTSHVLVLYDFPPSTVSTDLERIFEKFGDHGVVIRWINDTVALAVFQTPSAANEAQSCIPPRYKVRVLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEARRSRIAARQAMRDDAWGSD >LPERR01G39420.3 pep chromosome:Lperr_V1.4:1:32338163:32340327:-1 gene:LPERR01G39420 transcript:LPERR01G39420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDSEVTASLDGNKEDEEDDWEAIADRGEHDETLALAQSLEQKVEVSPCTSSEKISTPSTSTGPKRRGRGSFLYDKSVLYSDQCGLENDVDEEESNDQNGSKGRVDEQKHKISAAVKKYGTSHVLVLYDFPPSTVSTDLERIFEKFGDHGVVIRWINDTVALAVFQTPSAANEAQSCIPPRYKVRVLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEARRSRIAARQAMRDDAWGSD >LPERR01G39420.4 pep chromosome:Lperr_V1.4:1:32339824:32340760:-1 gene:LPERR01G39420 transcript:LPERR01G39420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSENSPHASTSALSTRSVPFSVSDRRRPSRSPPATRFRGTRSGADRPLLQATSLSALGRNCRRAMGSKDSEVTASLDGNKEDEEDGTVILDLLLSIYGVGFVTIWVEETVTCVLALVHADWEAIADRGEHDETLALAQSLEQKVEVSPCTSSEKISTPSTSTGPKRRGRGSFLYDKSVLYSDQCGLENDVDEEESNDQNGSKGRVDEQKHKISAGMVRGSISMCPVIVHGE >LPERR01G39420.5 pep chromosome:Lperr_V1.4:1:32338163:32339823:-1 gene:LPERR01G39420 transcript:LPERR01G39420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPFMLGSVAVKKYGTSHVLVLYDFPPSTVSTDLERIFEKFGDHGVVIRWINDTVALAVFQTPSAANEAQSCIPPRYKVRVLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEARRSRIAARQAMRDDAWGSD >LPERR01G39430.1 pep chromosome:Lperr_V1.4:1:32344599:32348261:1 gene:LPERR01G39430 transcript:LPERR01G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPAKKEINAITASPSAAAGNTFRVLNSGSSAAANAAAAAPFILSPRAPPPPLRSPQLRGVANYGGFPATGGASPASRTPTPPHVIQQLMVLAGWSNRSPWMQPMSPLASPSPPSLFPSPSSSGRFSSPRGTPSPTFAAYRTLPPSPTVASNVAGARSSNNAPLSPAGGVRAINGGAVAAPVRLAPVLAMPSAGAVAAGGKGKAAAASGRGRKRAPAKASNDPAAAGSSDKKQPRKRAKNAAAAADVIVVDDDVTPPASSNPDTDHPNNSAAAAAASPEATTPSKSRTKRNTTAAASPSSGVAGRKKKNATATAAATATPAAAAAAPAKRKSKKKHTVLTWLIESTFLKERSKVFYVTPTAGEKVITGTVTKSGIRCGCCNKTIPISEIESHAGCEEKKNSAESQPWEKLLLMSGKPLSQCLREAWEHERVIAMRAQEKGKFSMEQEEMSSIAKKKQIAKTKKIMTPLLIDRVAASCSSSPARKNVAGGKDGSDDACGVCADGGQLICCDTCPSTFHPDCLSIHQVPEGSWNCHFCRCVICMANDVHGLSTCQHCTRKYHQYCRPLQSPGYEIGPYCTETCKKMSSQLSDMIGDMNHTEDGFSWTLLKIQKDELITSEDMLVVLECNVKLAVAHGVLNECFNPVQDRRTKIDMLHQAVYSLRSEFKRVSFEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEQVHNNLLILHLLIIY >LPERR01G39440.1 pep chromosome:Lperr_V1.4:1:32348659:32348874:1 gene:LPERR01G39440 transcript:LPERR01G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGGSKMKEEEQMTTATAARLTDDEMAFLEMVPLCSFTEFLTGSGVYSPRLCNNGGNMVSSVLDFRSKN >LPERR01G39450.1 pep chromosome:Lperr_V1.4:1:32350601:32351173:-1 gene:LPERR01G39450 transcript:LPERR01G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVAVELAAAVAAAGVLAASLLLLWRRHRRAAATNNQQQQQQSTSSVVVAPPPAVVVVSELPLRICPIKKQKPRRRRRGGALRQALRRFLLLICSRRHRRTRVEPAADSSSAAADTQGEGEEEVDTWRERWFGPTAASRALYTIDEESGGESEEEEEDEPETPFYTPPDSPLRIGSGEDHSPAPEATA >LPERR01G39460.1 pep chromosome:Lperr_V1.4:1:32352923:32354058:-1 gene:LPERR01G39460 transcript:LPERR01G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPLLPAACSIFISAAAATARARLLLLPSSAAAALLVIHARSSSSTAAKGTGGGGGMEEGGSHEKAAAGGGGAVSQRIMPHLLNIYGSCATARDFEIYAANATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIVEYTIQENETAPGKLEILIDNKQHYKFLGRGIDLTSLITLNVEDGKVVKHQDWWDKKPLKSRDTVSFPAVGRLAEVSRRGAMLLTHALMGCGKDPHS >LPERR01G39470.1 pep chromosome:Lperr_V1.4:1:32356271:32358727:1 gene:LPERR01G39470 transcript:LPERR01G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGLLKLWNEWGMQILVLVSFLLQVFLLTFGGMRRRSSSAVLRVSLWLAYLLADSTAIYTLGHLSVVSGSHKHKLVAFWAPFLLLHLGGPENITAYALEDNSLWLRHLQTLAVQVLGAAYVMYRYMASSGTLLLLASICMFAAGLLKYGERILALKRGNISSIRTNINVIHYAKNPCDYLLQDTSEERILRIAHSHLTICKGLLTNYWIVHPKIEQCPINVAMNIYKLIEMELSLMYDILYTKAAVIHTCEPAGKRLWKGSIGQYNFFHLCIHKRDTRNELGGRLAITMRLEYWWNKLHCSGTDSFSVHDLKNLVLQALPENSKDMYFKSRGRFILKEQMVYKDLARWSVNIEFDESIFVWHIATEVYISQSKHAEAEHDQEKLIEAAKVMSNYMMFLLAVKPDMLPGRMDEDTFIRESNKRDVDSTVSSLDVPSGSRMQQREEKLAQEFFDMYHTHPKGDDKSYRSPDVERGVLLAKELIDLGRHDTLELILGVWVEMVLYAAQQCRLDSHARQLSNGGEFMTIVWLLAHHFMPYDTVDIAIPKIED >LPERR01G39480.1 pep chromosome:Lperr_V1.4:1:32359111:32371276:1 gene:LPERR01G39480 transcript:LPERR01G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRNLLSSRRRTLAVVSGALLAGGTLAYVQSGRRQKRQEENSHNDANAQTNNTRSICQNGVDGKLGKTRKKKNGLKSLHFLAAILLKKLGPNGANYLLGLMITAVLRTAVGHRLAKVQGYLFRATFLRRVPTFTRLITENLLLCLLQSTIYQTSKYLKGSLGLHFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVIWILAYVLGAGGAIRKFSPSFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVGHLNVVIHENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLKPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDRSMNQYSSVGNYISEANYIEFSGVKVVTPSGNVLVNDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQNNRNGSFFCTEPEFDALNSSETERKSDALAVQRAFIANTKGNTLLGPKDHSYLTQLIAASPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLTGISVLQSAANSVVSPSLRNLTSKIALGWRIRMTNHLLQYYLKQNAFYKIFNMTGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQEFEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSIQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQASQSNATMPSNAIVGASNDSISFHHVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSRDEAELKILSLYKSGDKAIASGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYRIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >LPERR01G39490.1 pep chromosome:Lperr_V1.4:1:32372095:32372730:-1 gene:LPERR01G39490 transcript:LPERR01G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLVAPKPSMCMTRCIVASAGKDTDRNLIRRRTSRTNYAQQLAVAASTTAASATIQSQPAAASPEQLVVVGDLDPATAAAAAMGAIGPGLSAMGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPILAATRKVIPPVGGVDVTPVVWFAVVSFLNEILVGPQGLLVLLSQQKP >LPERR01G39500.1 pep chromosome:Lperr_V1.4:1:32373050:32376347:1 gene:LPERR01G39500 transcript:LPERR01G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRSSDRLLRELREASRSYGRRREWFSSDAATASAAARVTSLLRPLPGLDIPQCLPDQLAAQPTRITTLPNGVRIASEDVPGPSGCVGVFVNSGSVYETAETSGVSHVLEKLAFKDTAHRSHLQIVQDVEATGGNVTASASREQMVYSYDTLKAYLPQAVEVLIDCVRNPLFLQDEVERQLALVKEEVQGLPNDPERFLQESLARVGYRGALANPLIAPEKSLTRINGNIIQKFYHENFTADRLIVAASGVDHQYLLDVAEPLLSDWHKGSPVERPDYKYIGGDFRHKAESELTHVALAFEVPGWLEERDAAIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLSKYPTVESFTAFSNVFDRSGLFGIYFTTPSDFIVRAVVIAIKELIAIATPGQVTDIELARAKNSTISSVLMNLESRVIVTEDIGRQLLTYGCRKPVDHFLQCMDELTLDDITAYAKKMLSSPPTMACWGDVDEVPSYEFVRKWF >LPERR01G39510.1 pep chromosome:Lperr_V1.4:1:32376792:32379803:-1 gene:LPERR01G39510 transcript:LPERR01G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVEQAKREAGTAGTLATSLNLSHRALSDVSCLGSFMNLERLDLGYNCLVTLEGLSTCVNLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALMKAKAMKKLSLSHCQIEKIGSSLTGCVELKELRLAHNKITTIPSDLVKNAKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGVAKKVKKLAPNLRIFNSKPMEASSKSKSFREENLPNKDADMLDDGPTDIYKNKKGKGKHSKQEMKSPEEPAGQSTHPDVTIATTVKSELLDGKEKKEKAAVEHVKNKKSKRKDDNSSLDDTGKKGSKEAKRMISASKEEKNAEGIDDTEIPFAELVFSSEGNNPEPELKGKTQEIGPDGKFGGLVIDHIKKNKKAKGTVIGSLALQQLSSVPEVGAGALSGWD >LPERR01G39520.1 pep chromosome:Lperr_V1.4:1:32379931:32383002:1 gene:LPERR01G39520 transcript:LPERR01G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHKATLDEDW >LPERR01G39530.1 pep chromosome:Lperr_V1.4:1:32385149:32388323:1 gene:LPERR01G39530 transcript:LPERR01G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPQPWPHWPFLFLFLVLFSCESNLHYRNGVEATQRVFLYPQSPKVSSIVSKAYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSIWGNIVWGHSISTDLINWIRLEAAIEGDIPSDINGCWTGSATILNGDQPVIIYTGADTNKRQVQNIVLPKNKSDPYLREWTKPKKNPLIEPVGPGLNSDNFRDPTTGWIGPDGLWRIAIGAGLNGHSAALLYKSKDFMQWTRVDHPLYSSNASDMWECPDFFAVLPGKNIGLDLAAAIPNGAKHVLKMSLDHCDKYMIGFYDLKGDMFVPDTILDDRRLWLRIDYGNYYASKSFYDSKKGRRIIWGWTNETDSTSDDVAKGWAGIHAIPRTIWLDGDGKRLLQWPIEEVESLRRNEADVEIDFELASIDAADPFDPSWLLDTEKHCREADASVHGGLGPFGLIVLASDNMDEHTTVHFRVYKSEQKYMVLMCSDLTRSSLREGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRVCIMARVYPAAIVDGATHMYAFNNGSSTVKVQQVKAWSMRRAQVNNKKG >LPERR01G39530.2 pep chromosome:Lperr_V1.4:1:32385149:32388574:1 gene:LPERR01G39530 transcript:LPERR01G39530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPQPWPHWPFLFLFLVLFSCESNLHYRNGVEATQRVFLYPQSPKVSSIVSKAYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSIWGNIVWGHSISTDLINWIRLEAAIEGDIPSDINGCWTGSATILNGDQPVIIYTGADTNKRQVQNIVLPKNKSDPYLREWTKPKKNPLIEPVGPGLNSDNFRDPTTGWIGPDGLWRIAIGAGLNGHSAALLYKSKDFMQWTRVDHPLYSSNASDMWECPDFFAVLPGKNIGLDLAAAIPNGAKHVLKMSLDHCDKYMIGFYDLKGDMFVPDTILDDRRLWLRIDYGNYYASKSFYDSKKGRRIIWGWTNETDSTSDDVAKGWAGIHAIPRTIWLDGDGKRLLQWPIEEVESLRRNEADVEIDFELASIDAADPFDPSWLLDTEKHCREADASVHGGLGPFGLIVLASDNMDEHTTVHFRVYKSEQKYMVLMCSDLTRSSLREGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRVCIMARVYPAAIVDGATHMYAFNNGSSTVKVQQVKAWSMRRAQVNNKKG >LPERR01G39540.1 pep chromosome:Lperr_V1.4:1:32389710:32391769:-1 gene:LPERR01G39540 transcript:LPERR01G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >LPERR01G39550.1 pep chromosome:Lperr_V1.4:1:32393651:32403675:1 gene:LPERR01G39550 transcript:LPERR01G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLDVGGGGFREGPQLSGAGTPRALAEPPPLAQYLPLESFAVGDHKQSRAMELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGVVVDSSAKAKEKTKSLQDSLQKLDKYKNAVTRRRQRSDGGATERSSGTGSGSLRMGAQNSMENAGQRLEERAKSATTSKRVRSSLAADARLEGRGSVPTRQAPLVEAEKNSSLEKEKSSLRSSNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADIDSTSLPNERRERHAGIDKERVLVKGNKANASEDMQPGTLSPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPCTNKSSPLGGTSNRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDVAVRPAVESPGLLLPRGVASNNSQVAPRMDNISSPAGLSESEGSAATENKSKEKISNSGDFENEGANSAHNAADLIFSSKKSRIMLKEELEDGSIRRQGRSGRSTIHVKGCNTSTPREKPDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSDILNIGLTDIPGEPEDDREELLAAANAARTAIVNAYAGPFWKKIEPMLTFIRSEDSSFLKHQITFLEELEMGMSYTSDEHNLNTSTNYSGPLSMGQNSSQVLPPSNSCVLSEQSETNGLRARESIDILSHNDENHNTASQKTQGQELFADMTSLTQRIFSAFIVEDGDDISECNGGDVLLGFSNDYLPYAANMNLENEFEASAIKSNFGLSPDFKHSNYSSVHNSMSNGFTVSSNLRASYSPNSICSENVSDGIKFGVSPENGGMHEFVPHISHQYQNCAKSMPLPPYDYQYEQLPVHERALIELHSIELCPDMPKLDEGDDEDIDKVITELQKRLFDQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGGSSHKGGLSKAANKAAKQVALAFAKRTLARCQKFEETERSCFREPFLWNVLSAPLPKSDAIDGGVPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRSSSGNGRNERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFPAPAQRESNDWASTSSARPTQPVRNSTANVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >LPERR01G39550.2 pep chromosome:Lperr_V1.4:1:32393651:32403243:1 gene:LPERR01G39550 transcript:LPERR01G39550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLDVGGGGFREGPQLSGAGTPRALAEPPPLAQYLPLESFAVGDHKQSRAMELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGVVVDSSAKAKEKTKSLQDSLQKLDKYKNAVTRRRQRSDGGATERSSGTGSGSLRMGAQNSMENAGQRLEERAKSATTSKRVRSSLAADARLEGRGSVPTRQAPLVEAEKNSSLEKEKSSLRSSNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADIDSTSLPNERRERHAGIDKERVLVKGNKANASEDMQPGTLSPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPCTNKSSPLGGTSNRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDVAVRPAVESPGLLLPRGVASNNSQVAPRMDNISSPAGLSESEGSAATENKSKEKISNSGDFENEGANSAHNAADLIFSSKKSRIMLKEELEDGSIRRQGRSGRSTIHVKGCNTSTPREKPDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSDILNIGLTDIPGEPEDDREELLAAANAARTAIVNAYAGPFWKKIEPMLTFIRSEDSSFLKHQITFLEELEMGMSYTSDEHNLNTSTNYSGPLSMGQNSSQVLPPSNSCVLSEQSETNGLRARESIDILSHNDENHNTASQKTQGQELFADMTSLTQRIFSAFIVEDGDDISECNGGDVLLGFSNDYLPYAANMNLENEFEASAIKSNFGLSPDFKHSNYSSVHNSMSNGFTVSSNLRASYSPNSICSENVSDGIKFGVSPENGGMHEFVPHISHQYQNCAKSMPLPPYDYQYEQLPVHERALIELHSIELCPDMPKLDEGDDEDIDKVITELQKRLFDQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGGSSHKGGLSKAANKAAKQVALAFAKRTLARCQKFEETERSCFREPFLWNVLSAPLPKSDAIDGGVPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRSSSGNGRNERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFPAPAQRESNDWASTSSARPTQPVRNSTANVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >LPERR01G39560.1 pep chromosome:Lperr_V1.4:1:32408067:32411453:1 gene:LPERR01G39560 transcript:LPERR01G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVSNRAATNTIRHKRPNSSATAKLRSPTNSPCHKACGIKDSKQPATTNDGVVVPVTDNNDLQEADEAIKMLNELGLGENISSDELHTYMDQLPQGPEKVDTSTLVNDDAQLTSFYIRHARYCLRYYYKREDDYYHKKLLGKDDFSDKFIREMGYFTSFEKDGTLDWCFYPCYCCHAALNDYQRLVVGEYADWDDYHSYFNSYETELEYLKYCDELSKKLKWMEDYVLNELPSSKWGRICNRGAYQAIKIATNLSKITATLAYSAYFDCLQHMRFYVVYCKDMVGLYYEIWQRVNRQKMSFRDALEEVYNLNKFPSRQDKMKHALENGCSHMENVITEDKALEMIAKAVESRINKAKFYEQYILRKIDIACAIGLISTTNNIAGFYTC >LPERR01G39570.1 pep chromosome:Lperr_V1.4:1:32415732:32415989:-1 gene:LPERR01G39570 transcript:LPERR01G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVARGPLLLSLGLGAADGILRWIRLAGFRVSLADVGGHGDVHCDGEGFAIGMKEATSEQSPHPLAEKGKMTARRTGIRGDNGT >LPERR01G39580.1 pep chromosome:Lperr_V1.4:1:32417477:32419221:-1 gene:LPERR01G39580 transcript:LPERR01G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYRQKNREPDYNGIDNDDFVFFTCGGYTHENEIKGKLMRARPPDFDEVIQVKHPRLVYELSTMMKAEEALFKGITRFHNLPVQNSNPRARISVKLISEAAVGIVASNRFVMGLLSYCVEMQDQGLDMASDNKLIAL >LPERR01G39590.1 pep chromosome:Lperr_V1.4:1:32421781:32424046:-1 gene:LPERR01G39590 transcript:LPERR01G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDENIQAHVLKYSLSNPVLDIDTQKATFIFDLAANYGTPEAEQTPVVLSLGSQDDMHSLQIMKTHRHKNILQLRMIKQNVAYIKDQRTKAFVEPYSGRLSEYLQSAELASKNKMVPGGLLQDIIRYKC >LPERR01G39600.1 pep chromosome:Lperr_V1.4:1:32430054:32434584:-1 gene:LPERR01G39600 transcript:LPERR01G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKRNAVPRGWEGTRKKKIDETTEIDEGKKIRAGAPTPSLSPLQPNTPASSVDIDDLHTRPNSNASSSVHVWTRAWGNNMWLEHSGYSSSSSDITLVWTAAGAPPATTNLPLQSSHTTHKKKKGPIYASTYALPREGTQVYTSAEQMESAVRELSKLGMGEDITSEEFQAYKDQLSCGPPYHDPNVKFTPAQAYELELRHALYRLKAYKLHLFHLINYHHCVYICCLHLVHGSFNLMHAMLDATLYEQLSQGVSEDKLHYDTLKDYYPPDVLEQEEYFLRFQRDGTLDWSFYPDYCSIADLDDYQRLVPHNSLLDISFLSEYDEWDDYREFLHSYEVEQEYANFCDELSKKLKWLDAYVLVEMPLWDGISTRAYYQVVKIATGFPKIPIYLAHRAYYDYLYSVRFDIEWYNEKSFRDALDEVYKLNKFPLRQCRLKSALEVDSSWFEKEYYTCTANLTEEVAEDEARQFILESLKKLRVKPKYYEQYVKKKIEIARKIGLIPEVRSQGNILISGL >LPERR01G39610.1 pep chromosome:Lperr_V1.4:1:32437584:32439060:-1 gene:LPERR01G39610 transcript:LPERR01G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKGVYVMGPWEIPCLIKRGDKEHPINFQDYLLMKDFRHNNAVFIENFFMDDDAGCLILSNVSGSLEACLRKNASIAFVKECNEYKFSSVLRGLIIEICQVVESLMKLDLYPRNISMDNMCLIYNGNMPVMKVLIYDVENTKGFSDAVKSAKSKIVYDKVKLMVNKICEDLGRQPLHITTKCFIDYIGGDTTSKLNRYPDVWTDEDKEWYLYCIDSADRRRVGPPIRSLWEDSGWPKTPDGAVQPLLERLRAEERTYFRVYDTSDPIDYLRLARNVIKHWVKYKGLPADRAGFLRLLEEWTPGIWCKIYNAVGMP >LPERR01G39620.1 pep chromosome:Lperr_V1.4:1:32445832:32451116:-1 gene:LPERR01G39620 transcript:LPERR01G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSAAAVAVAALLFVVVCAAAQAPSPRLPPNYHMINPGKFGKRDQQLTCTDSEGHQAPCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGASCGDPRFTGGDGNNFYFHGKKDQDFCIVSDANLHINAHFIGKRNPLMTRDFTWIQALGIRFADHRLYMGALKTTKWNNDVDRLELSFDGTPINVPAEIGAHWESAIVPGLTITRTAMTNGVRVQLAGVFDIMANVVPITEQDSRIHNYGVTEEDSLAHLDIGFKFYDLTDNVHGVLGQTYRSDYINKLSVSANMPVMGGTPSYVASDIFSTDCAVARFGQRSGISMRDQQLTCTDSEGHQAPCMAKCDKRCQCIVMCPSCKTFCMCDFYPGASCGDPRFTGGDGNNFYFHGKKDQNFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIDPLCRSSPLHGSQENNQMEQRQLAFDGAPIDVPAELGAHWESTTVSGLAITRTTTTNAVRVQLAGVFDIMANVVPITEEDSRIHNYGVTEEDSLAHLDLGFKFYNLSEDVHGVLGQTYRSDYVNKLNVSANMPVMGGAPSYVASDIFSIDCAIARFGGRAGISMVTGRVN >LPERR01G39630.1 pep chromosome:Lperr_V1.4:1:32453757:32454196:-1 gene:LPERR01G39630 transcript:LPERR01G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIVSDADLYINVHFIGKRNPTLLRPPSTETTLSSHSPIDVLAALVSSWHNGVRVQLAVVFDIMANVVPITEHDSRIHNNGITKEDSLAHLDIGLKFYDLTIRICIAQTTSISLVSVQACRLWGSTIMSYVISMVTGMAS >LPERR01G39640.1 pep chromosome:Lperr_V1.4:1:32456617:32457947:1 gene:LPERR01G39640 transcript:LPERR01G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAHMGVAAAAVLLVAVVMVCVSEAAPPPPPRPSLPLPHNSHMITGPAGGVGKREQQLSCKDTKGKKKGCMAKCDSRCPDQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNATMSRDFTWIQALGIRFADHRLYMGALKTAKWNNDVDRLELAFDGTPIDVPAQLGARWESAIVPGLTLTRTAATNGVRVQLAGVFDIMANVVPITERDSRVHNYGVTKEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNRLSVSASMPLMGGAPSYVASDIFSTDCAVARFGRNINTGISMVTARAS >LPERR01G39650.1 pep chromosome:Lperr_V1.4:1:32459393:32463774:1 gene:LPERR01G39650 transcript:LPERR01G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAASSSSRKNQGKEKVVVHSRMSPCHHSSSNKQQTKPSSHNISCARRTGQHIRVRATKHLTTHNAGGDSAAKDSAVDPCVNSQGVHSIIQNLRDLGFGEDMTYDEYLCCLKHLPDAPPRVDTSRKLRLGSAQLCNIFECHALYRIKAYKLSQGVSKSDMEDDKWKEDYPPSVLKEMGYFLSFEKDGTLDWSFHTDHCYLAQLDDYQRLVPHNYFNKHGDIVYEKWDEYRQFFHSYEIEQEYVDYCAELSEKLKWIEDYVTIERPLWGAISTRAAYQALKIASGFSRISGDLAYTGYYELVSSMTFDICWYKELDSVHFEIWKRVANQKKCFRDALDEVCKLDKFPLRQDRMRYALDNDCSAIENEFYVCTAGITDEVPEDKAKEMIAVAVKELREKPKWYEQYVKRKIQIARDIGLIPVPKVSAPRVDETTILVLFSQWTILLI >LPERR01G39660.1 pep chromosome:Lperr_V1.4:1:32471819:32473265:1 gene:LPERR01G39660 transcript:LPERR01G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLVAVAVVALVFSVASAAPSPLVAPKPEPGKRSKIPAKTPLTTITFNPAAGHKRDYQVTCTNTGPHRRPCVVTCPSTCPNKCLVFCAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCVVTDTDLHINAHFIGNRNAATKREFTWIQALGITFGADNHRLYIGARKATEWDDDEDHIQITFDGEPVNVNAVKGAQWVSKALPALSVSRIDTVNAVTVDLDGVFTITANAVPITDDDDRVHRYGKTGKDSLVHLDLGYKFHALSDEVDGVLGQTYRPNFASMVNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRAGAGEEHVAVGFAS >LPERR01G39670.1 pep chromosome:Lperr_V1.4:1:32473566:32484387:1 gene:LPERR01G39670 transcript:LPERR01G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPTNLDASFKKRIGSPGAQVDYQNIILYNEVKKDVKTLSFGNVRMVDLSKCPNVHFSTAIDWLKQAFPELRIFRASFCLLFQFEHLLYMLLECPWINEIDLTIDTSIIAPKHLVMSSRFEVRATIKPKLTRYYLQDPSFDTTINSDFSNISKLVLEGRNDITDVDLLKISILKNSLCYINIKSCTLLTDVGISKLLLKCTKIHSMVLSYTSFGNQSIHTLCTPNPLDSMDECSHVMAFRMQELHLDGCKGIGYAAMSQLMSKVNMTNYLSLRETPLNDEALCNYVGSTLEYLDISETVVSMVSLAPVIQRNYNLRCLKAAGCRNLLFEHGEDLTSRNMYGDFLQEITSACCLEDVEMGWAFCPIRVTTLIPSFSKVRNMAIGLGTTLPENILCALPEICPFLESLVLRFQMISDKVVTNLLESSTKLRVLCLHSCLGSLTSLSFQMKAPALRILRLEWITPWMTNSDLAVLIQNYNLVELSLTGCKLLDSSSQELISSGWPNLTCLHLEECGHITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMIRTIRMSRCKSVRSCFDLQREGSSKPVHKETIVLEWSSRQLRTTIVKERL >LPERR01G39670.2 pep chromosome:Lperr_V1.4:1:32473566:32484387:1 gene:LPERR01G39670 transcript:LPERR01G39670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPTNLDASFKKRIGSPGAQVDYQNIILYNEVKKDVKTLSFGNVRMVDLSKCPNVHFSTAIDWLKQAFPELRIFRASFCLLFQFEHLLYMLLECPWINEIDLTIDTSIIAPKHLVMSSRFEVRATIKPKLTRYYLQDPSFDTTINSDFSNISKLVLEGRNDITDVDLLKISILKNSLCYINIKSCTLLTDVGISKLLLKCTKIHSMVLSYTSFGNQSIHTLCTPNPLDSMDECSHVMAFRMQELHLDGCKGIGYAAMSQLMSKVNMTNYLSLRETPLNDEALCNYVGSTLEYLDISETVVSMVSLAPVIQRNYNLRCLKAAGCRNLLFEHGEDLTSRNMYGDFLQEITSACCLEDVEMGWAFCPIRVTTLIPSFSKVRNMAIGLGTTLPENILCALPEICPFLESLVLRFQMISDKVVTNLLESSTKLRVLCLHSCLGSLTSLSFQMKAPALRILRLEWITPWMTNSDLAVLIQNYNLVELSLTGCKLLDSSSQELISSGWPNLTCLHLEECGHITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMIRTIRMSRCKSVRSCFDLQREGSSKPVHKETIVLEWSSRQLRTTIVKERL >LPERR01G39670.3 pep chromosome:Lperr_V1.4:1:32473746:32484505:1 gene:LPERR01G39670 transcript:LPERR01G39670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGSGGDDAGDEIVLEITDTTFAAGEADASPPPPVSVSDLAGLDPLPLIESSSYFRALLGGSFSESGRTHVQISCNLEAAVQVLLYLFEPSGSFSITHHSFLPLMEGGLFLAVEDLLTECERWFCTMSSQSSSLLIPLDFIIEICQFAQEQGIAYIQDICPGYLAQNFVIASGSFVKMPYDLLYSTIECPHLTVDSEKQLCKAILCWISENLRCCKKLVPSSVDGQLCLLNKVRICLLPLEFAAGTKRNWTEFGSKVESRILNLLKDGVQTVLDATADDDMESYRIRITEYSKKIVLSGCPQITTELLYMSVLPTNLDASFKKRIGSPGAQVDYQNIILYNEVKKDVKTLSFGNVRMVDLSKCPNVHFSTAIDWLKQAFPELRIFRASFCLLFQFEHLLYMLLECPWINEIDLTIDTSIIAPKHLVMSSRFEVRATIKPKLTRYYLQDPSFDTTINSDFSNISKLVLEGRNDITDVDLLKISILKNSLCYINIKSCTLLTDVGISKLLLKCTKIHSMVLSYTSFGNQSIHTLCTPNPLDSMDECSHVMAFRMQELHLDGCKGIGYAAMSQLMSKVNMTNYLSLRETPLNDEALCNYVGSTLEYLDISETVVSMVSLAPVIQRNYNLRCLKAAGCRNLLFEHGEDLTSRNMYGDFLQEITSACCLEDVEMGWAFCPIRVTTLIPSFSKVRNMAIGLGTTLPENILCALPEICPFLESLVLRFQMISDKVVTNLLESSTKLRVLCLHSCLGSLTSLSFQMKAPALRILRLEWITPWMTNSDLAVLIQNYNLVELSLTGCKLLDSSSQELISSGWPNLTCLHLEECGHITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMIRTIRMSRCKSVRSCFDLQREGSSKPVHKETIVLEWSSRQLRTTIVKERL >LPERR01G39680.1 pep chromosome:Lperr_V1.4:1:32486625:32491886:-1 gene:LPERR01G39680 transcript:LPERR01G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTSSSDVSASHVGRARQRRRPTEAIADGNRTSGPALLVNDHNKYRSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVNSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFKQYAWTHMILLMVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKDLSTGWLRCDPGPMFKPEHYSVGEWVPQWFPWKEAVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNFSVEIILDQILRNLTFEEQKFLYQQLGEIFHDRQLIQS >LPERR01G39690.1 pep chromosome:Lperr_V1.4:1:32503248:32505604:-1 gene:LPERR01G39690 transcript:LPERR01G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAHREKRREEEEKSRKREREKREAMGNAGSAPEQKKTKEKEEAAEARRPPPSTVRFYPTADQPKARQPPPIKLEEENVPPPPSADDEMAPRNLWQVYALGAFIVLRWAWVKWKESKDRDDSPDGRSPDGPS >LPERR01G39700.1 pep chromosome:Lperr_V1.4:1:32505627:32508366:-1 gene:LPERR01G39700 transcript:LPERR01G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAPATARVDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETSHVVYAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRELAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >LPERR01G39710.1 pep chromosome:Lperr_V1.4:1:32519196:32521462:1 gene:LPERR01G39710 transcript:LPERR01G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVFVSVYRAHDRPTFGECFIIDENKIHLDVNGNATELYEVTSTIGSSSVKCMVIKHTPSKPLWFESYDMLKMFRHPNIISIENYYEDYSGEPRFVLSWVDGGLLTWVKSMKGRQSAFQSSGRSIRPSSSFRQMIIVIAYLAINTMNFHYNSGICKGLECLLQHGMYPTKIDIKDVYVRVKGGVISAKILISEVYWITSINDRYNQELDLWEDMRSLVQVCKNSAADGKTIHPDSERFFQYVGIGNVEMLQDYPDNWTDERKVCYLMGLVTYPSFVRPKLTGACFTWPTTCEFLEKLIDHQETRLNTTFNRKEFYDYILVCRDLVKHWRNLPGIQQGSLFLSSKDGEMVSAYMV >LPERR01G39720.1 pep chromosome:Lperr_V1.4:1:32523999:32525367:-1 gene:LPERR01G39720 transcript:LPERR01G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGLGCLTMPSTYGPTMVEDLFMNFQVAEDINCLNVMRSCSHKNILHTRVIKEVTMDESTLNVAWVDSSTFKLSGYVEWLLSRDISDALNGQTLLLPSTPLQKVLCGIFDGMEQLFLQGHYHGNFSLDNTRCCIEDYSPVVKLTNFRKKGEKQSQHCKRSKGCLFSGIVVTGEGSLSMRCQQL >LPERR01G39730.1 pep chromosome:Lperr_V1.4:1:32528696:32532971:-1 gene:LPERR01G39730 transcript:LPERR01G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKHRRRQRARAVTTDYDQEPDQAAAHSHQQHHGDNSNNNNAVNTTQHDDDALMMKKNVEEADLVTQELNQLGLGEVISYEEFDGYFNLLLQEHPSVDTSTKLNFDQLEVLEAHHEFYRIKYHEWLHQVPGSKLDHDGLIKQCPFNLIDKGEIISGISDFLEKCGLMFFAGKFDWFFHPHYSELAALDDYQRLVPKNVGCRYADWEKYHQYLHTYEVEKDYVKYHQELSKKLKELVSGMNYDVCFFKELDGVYFEIWQRVTKQKMSFRDALNEVYELNRFPLRQNKMKYALENDCSKLEDEFHTCTACVTEQVAEDEVHGLIAEAVKKLISYYYAMFKGCMHDCFTVYSTDIHSIFRRIDHSSMKTI >LPERR01G39740.1 pep chromosome:Lperr_V1.4:1:32535512:32539200:1 gene:LPERR01G39740 transcript:LPERR01G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPASAGAGRGEDGDEREEGEIADDESGHAPPQGNPAAPHPLEHAWTFWFDNPQGKSKQAAWGSSIRPIHTFASVEDFWSLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTITCGRGKSDTVWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTV >LPERR01G39740.2 pep chromosome:Lperr_V1.4:1:32535635:32539200:1 gene:LPERR01G39740 transcript:LPERR01G39740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPASAGAGRGEDGDEREEGEIADDESGHAPPQGNPAAPHPLEHAWTFWFDNPQGKSKQAAWGSSIRPIHTFASVEDFWRLFLPILSNPFCPSFLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTITCGRGKSDTVWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTV >LPERR01G39750.1 pep chromosome:Lperr_V1.4:1:32539981:32543294:1 gene:LPERR01G39750 transcript:LPERR01G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGGLSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILKDATFKNEEITETINKVKIVACDSKLLEAKQE >LPERR01G39760.1 pep chromosome:Lperr_V1.4:1:32542523:32546109:-1 gene:LPERR01G39760 transcript:LPERR01G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMAAFSGRATPLSTTVRSGLTTRLIPFTLRRRALRASAATLREVCAGRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKTCTVMALLDGGMLRRQKSWVKAEPPAIIIATVASLCQMIEKRAFNLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTNVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDVPKSGIIFVAEQSEKSKKAGLPPSTTAVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSKSACSVTTLITEDEHFVLQRFQNELKFHCEEIPVESMFACNL >LPERR01G39770.1 pep chromosome:Lperr_V1.4:1:32546781:32549050:-1 gene:LPERR01G39770 transcript:LPERR01G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAPRLLLPPASSTSTIPRRLLRRQVISARAGAGAASVAARGLDADDFRHPLDKQNTLLLKAIPGLNDVGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLYQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTKKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYEKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQHKVIQLNQQQDAS >LPERR01G39780.1 pep chromosome:Lperr_V1.4:1:32552246:32554608:-1 gene:LPERR01G39780 transcript:LPERR01G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLAFSNLRRLFSAAAAAPTPESVLYTLRSLSKEPSKALAFFRKSEAAGHPLGSAAYNLMLRALASHPPSAQSHFWPFLRDMADAGYSIDQGTYLAALAAFKRSSRAADYASLTAHYAKSQEEAKAGTPISAAADAHQISNGAI >LPERR01G39790.1 pep chromosome:Lperr_V1.4:1:32557170:32562235:1 gene:LPERR01G39790 transcript:LPERR01G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYNYGFHPSGRHQPYAPPPPPHPADEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDIRNAVCPMCADNLGKDMSAHFRIQHSHLLKRRKPSRPSSSWPTPSNNPDPYFEGPTQYMMNRPYQDPAPDPLLSQFICSMAQTDSNSDSPHTEIAVSAISDGQRLSQRVTTTDDSPSSKLEPKERLQRIEFLREILMSTIL >LPERR01G39790.2 pep chromosome:Lperr_V1.4:1:32557751:32562235:1 gene:LPERR01G39790 transcript:LPERR01G39790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYNYGFHPSGRHQPYAPPPPPHPADEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDIRNAVCPMCADNLGKDMSAHFRIQHSHLLKRRKPSRPSSSWPTPSNNPDPYFEGPTQYMMNRPYQDPAPDPLLSQFICSMAQTDSNSDSPHTEIAVSAISDGQRLSQRVTTTDDSPSSKLEPKERLQRIEFLREILMSTIL >LPERR01G39800.1 pep chromosome:Lperr_V1.4:1:32557901:32564996:-1 gene:LPERR01G39800 transcript:LPERR01G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDRERETDSVAFQNSMAHRAQQGKAAWIGTRWHATTIGSSNSTIPLASPCRVETKRKPPCGFVFVRGSARDQPRHRRRRLRLRLRLPPRLASHRIHPHLDLVRLLLPTPTPFLPQSTAHYIPSLPSPLPPPPPRARPPQTPKDSTEKELLGFRRRARLHRDSIPPRLRSAFLPLLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNSKGNDTESISDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVTEWDEFEWSKRAIHISVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKHQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRMAEAEKSYYEMMGVPPPNGVEEGVVHKAGACLKDGSHSCRKPPPPWLNLNLNLKSTAVLLS >LPERR01G39800.2 pep chromosome:Lperr_V1.4:1:32560292:32564996:-1 gene:LPERR01G39800 transcript:LPERR01G39800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDRERETDSVAFQNSMAHRAQQGKAAWIGTRWHATTIGSSNSTIPLASPCRVETKRKPPCGFVFVRGSARDQPRHRRRRLRLRLRLPPRLASHRIHPHLDLVRLLLPTPTPFLPQSTAHYIPSLPSPLPPPPPRARPPQTPKDSTEKELLGFRRRARLHRDSIPPRLRSAFLPLLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNSKGNDTESISDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVTEWDEFEWSKRAIHISVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKHQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRMAEAEKSYYEMMGVPPPNVTFVH >LPERR01G39810.1 pep chromosome:Lperr_V1.4:1:32566952:32568725:1 gene:LPERR01G39810 transcript:LPERR01G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVKNLSLTLLLLMLFVCSCLALADHRPSEFSIVGYSEDDLTSHDKLIELFERFMAKYKKAYSSFEEKLKRFEVFKDNLNHIDDQNKKVSSYWLGLNEFADLTHDEFKSTYLGLSPARRNSGDDSGDEDGGVFRYDDVDVSSLPKEVDWRKKNAVTDVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIAANGGLHTEESYPYLMEEGTCRRSSSEGDDDEPAAAAVTISGYEDVPRNNEQALIKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTRLDHGVTAVGYGTATKGHDYIIVKNSWGSHWGEKGYIRMRRGTSKHEGLCGINKMASYPTKNA >LPERR01G39820.1 pep chromosome:Lperr_V1.4:1:32569823:32572874:1 gene:LPERR01G39820 transcript:LPERR01G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >LPERR01G39830.1 pep chromosome:Lperr_V1.4:1:32570584:32577481:-1 gene:LPERR01G39830 transcript:LPERR01G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKNRVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVEDIEGVEFCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDSTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDDLEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIISDVINDSFGLLKVLKKQTIHES >LPERR01G39830.2 pep chromosome:Lperr_V1.4:1:32569941:32577481:-1 gene:LPERR01G39830 transcript:LPERR01G39830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKNRVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVEDIEGVEFCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDSTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDDLEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSISSLVLCLETSSRVSTLLFDDIFFFLLLALLALLEMR >LPERR01G39830.3 pep chromosome:Lperr_V1.4:1:32570584:32577481:-1 gene:LPERR01G39830 transcript:LPERR01G39830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKNRVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVEDIEGVEFCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDSTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDDLEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIKQTIHES >LPERR01G39840.1 pep chromosome:Lperr_V1.4:1:32578362:32581914:-1 gene:LPERR01G39840 transcript:LPERR01G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLLSSSSSSSHGLLRLRLHHHPPLRLLAATSYSIRRLRVAVPTRRSLPSPISSSPDDVAAAHDDDEQEDESHKEERDERYGFEIEVRKLPKRNRRLVRARVSVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQRPTFARLYQVGEQDLALGFKFNAKGTIDCYEGELQVLPAGARRRDIAFNMIDGDFKVFQGKWSVQEVDGGEISAGQEFQTTLSYVVELEPKLWVPVRLLEGRICNEIKTNLVCIREEAQRIHRLQDEGNSQTQRKSC >LPERR01G39850.1 pep chromosome:Lperr_V1.4:1:32583763:32584464:-1 gene:LPERR01G39850 transcript:LPERR01G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEEYDLDMYGGGGGGGGEEERVMEWEAGLPGADELTPLSQPLVPAGLAAAFRIPPEPGRTLLDVHRASAATFSRLRRASSATSFPAFNPDEAESGVAAAEGGNNNGGGKKARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPLLHDHVPNAYASSNSYHQAHMYAHALSSSYAHHYHHADK >LPERR01G39860.1 pep chromosome:Lperr_V1.4:1:32585821:32591628:-1 gene:LPERR01G39860 transcript:LPERR01G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGEPWRRQEGEQAQAQAQAEADRERELEMDLFRSGSAPPTVEGSMAAAAAAADAFMEDELRADPAYHSYYYSNGNSINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGGAAVMGPASGDGLIGMPGLEIGRQNSLSGIFQDDSYQHDKDRLGANCNGTDMLGSSRVQYGLHRETGAIGGHHSDSKPSCLPENQNESSHQYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNGGSSSFNRSSPSIIESDLVSALSGMNLSSSRAMNGNTMDQSNLHQDVDDVRKFLFDQYMDQTNGSQRNSYMKHSEQGHVKVPQEYSGASMNPSVMRSQINAGNFTSFDNSSVGSGFASPRLGSRSPGGSLSSRQNITGASNLPNYIGIGSPTAASAHQMPVDPLYAQFLRAAEIAALAANFEDPLMDRANLGSSYMDLFGPQKAYISPLLQSQKQYGYYGNLGIGLGYAGSSLTSPVLPSSPGGPGSPLRHGDRNMCYPSGIRNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTQEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERTAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGGTAHLSSVQSVSYGYNKLCMTGTGI >LPERR01G39870.1 pep chromosome:Lperr_V1.4:1:32594056:32594730:1 gene:LPERR01G39870 transcript:LPERR01G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLMASGFDRLLAHIDAALRSSSTPASKSAVDSMPTLTIEPHHVAADAHCAVCTDPFELAAEAREMPCGHIYHHDCILPWLALRNSCPVCRHHMPAAAAGADDDDAAATVGLTIWRLPGGGFAVGRFAGGATREELPLVFTEMDGDFNGGGGSPRRISWSSSRGGRRSSRRGVIGRMIHSVFSCFRHGDAADYSSRASVFTRSSLRSRSTSWRSEDEHAAAA >LPERR01G39880.1 pep chromosome:Lperr_V1.4:1:32596395:32598691:-1 gene:LPERR01G39880 transcript:LPERR01G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSLIFTILLLLLATLTLCSGHGGIDDGDGPVDAPAPPAADLRSKGLVAVKVYCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGIFLGTALMHFLSDSTSTFKGLVKGNHYPFSFMLTCVGFLLTMLSDLVIAAVARRSGDKQVNEDHGEQEVAASRKEAHQQHPAMMVVRTSSFEDAVLLIVALCFHSVFEGIAIGVSSTKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATAEGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPQNPIAADKPQFKFLAVLLGVAVMAVVMIWD >LPERR01G39890.1 pep chromosome:Lperr_V1.4:1:32605859:32606254:-1 gene:LPERR01G39890 transcript:LPERR01G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERWKLSSSKGRSKSGRSCSSSSSNNYYYSSSDFTTAAIGSSSSASTLSRSYSASVTGSRHAHTQASNSNWSASGSSSSQQHQHHQQQSNSNNNSQRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >LPERR01G39900.1 pep chromosome:Lperr_V1.4:1:32610823:32611848:-1 gene:LPERR01G39900 transcript:LPERR01G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASVLSTDQAGDRTPSLLKYSPEVMTYVLPFSLTTDLGSGSIVGLAERGYYSVQGNSLAKDARDTSQT >LPERR01G39910.1 pep chromosome:Lperr_V1.4:1:32631866:32634739:1 gene:LPERR01G39910 transcript:LPERR01G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEYWRDDVDAGQELLRELLDETAAAQYNSNSNSNSNSNSKEEEEEEEVALAAEDEQQQQHQVGAPYCRRRESMVNKLISTVYSGPTISDIENALSFTGAGAGDHRLLADVHNYTNASCSPVVFSPEKTLSKSMENKYTLKMKSCGSNGGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERCLDDPDTLLVTYEGLHLHYTYSHFLHSSSTHNNHQQQPQQLQFGSTNCKKKPKLHLHDDPPLPDQLPPPAADMADMNSMIMHMSCFSQQQLTSDRIMTMDDCYSSSSSLMTSLDVDAGAGGLLEDVVPLLVRRPMIISSCNNTNNCSPATTCTSDNDGGGSSSASASPSPSISSSVSSWNTCTPMSPCIDMAILSNIF >LPERR01G39920.1 pep chromosome:Lperr_V1.4:1:32634869:32637721:1 gene:LPERR01G39920 transcript:LPERR01G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADASPKPAAPRLNVPPSMAGGLRLDAAAVGISPSRLHLDAPKTLSPSKTTYSDRFIPCRSSSRLHNFALLQDHHRAGDSAADDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAAAVAAAGQHDCTAGSGESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRDPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAISWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQLELPYVCIRLLFVILGYGHSQEATSGDHNRKQRRCICLCGTQFSEFVQFFNDL >LPERR01G39920.2 pep chromosome:Lperr_V1.4:1:32634869:32637984:1 gene:LPERR01G39920 transcript:LPERR01G39920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADASPKPAAPRLNVPPSMAGGLRLDAAAVGISPSRLHLDAPKTLSPSKTTYSDRFIPCRSSSRLHNFALLQDHHRAGDSAADDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAAAVAAAGQHDCTAGSGESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRDPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAISWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >LPERR01G39930.1 pep chromosome:Lperr_V1.4:1:32639325:32641627:1 gene:LPERR01G39930 transcript:LPERR01G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYENKYIKEQRLKCDCLVFDLDDTLYPVTSGIGADIVRNIQAYMIEKLGVEESISLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFEGVVCFETLNPTSTPLAAGEAEIFDIMKYLANPEPGVELPKSPILCKPNIDAMLQALKVASINPKTSILFDDSARNIQAAKQIGMHTVLVGTSERIKGADHALESLHNMKEALPELWEEAVKDEDVRNSSKVGIETSVIA >LPERR01G39940.1 pep chromosome:Lperr_V1.4:1:32647617:32652328:1 gene:LPERR01G39940 transcript:LPERR01G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAWLVAFLLLVAVFSSSRSSEEGEAEAGATLRRQVGSLLKRLNKPPLATFQSPDGDIIDCVHISKQPAFDHPLLKDHTIQHTNPKDQEEDVMRATSVETFGKKTHGSPHLDQLDAVTDDHHYGVAYAMGDAYYGTKVAINVWEPTIVTSGDFSLAQLWIVAGSYSNNDLNTIEAGWQVYPTIYGDGRTRLFIYWTRDAYSTTGCYNLACSGFIQTNNLFVLGGSISPVSSYGVTQYEYDYLVWKDPVGGNWWLQIGGNNIGYWPSSIFTHLRTGVADSVQWGGEVLSPKRTQPMGSGHFPMEGPGKAAYMRTIQVVDSSNNLKAPTGVGLIARLPDCYKVTTGSSSSWGTYIYHGGPGCP >LPERR01G39950.1 pep chromosome:Lperr_V1.4:1:32661170:32665085:1 gene:LPERR01G39950 transcript:LPERR01G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQELPHTARSIELKKKKKKKKQFNLIKQLVDRSTMAWRRRAWLVTLLLLVALFSSSRSSEVGGEAEFEAEAGPSLRQQVQSLLKRLNKPPLATFQSPDGDIIDCVHISKQPAFDHPLLKDHIIQMRPSMEPSGLYGEAAHPITQTWNQNGEECPDNSIPIRRIKEEDVMRATSVATFSKKTHGSPHLDHLKGPTDNHHYGVASATGDANYYGTKVTINVYPTIYGDGRTRLFIYWTRDAYNRTGCYNLACSGFIQTNKQFVLGGSISPVSSYGGTQYEYGYLVWKDRAGGNWWLQVQGINVGYWPSSIFTRLRTGVANSVQWGGEVYSPKITQPMGSGHFPVEGFGKAAYMRTIQVVDSTNHLNAPKGVGLIAPLPNCYKVTTGSSSSWGTYIYHGGPGCPQNSQVEVM >LPERR01G39960.1 pep chromosome:Lperr_V1.4:1:32666913:32667531:-1 gene:LPERR01G39960 transcript:LPERR01G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKLKYIGHKPLITPHLLTAIEALEAKNDATGKRNLEEVIMWYKKLLCFQVVGGEVLQFMPFLKDSEELVKDLNCSNDLFKFVRIMRERESERERERERFQAAAVNGTP >LPERR01G39970.1 pep chromosome:Lperr_V1.4:1:32668365:32671170:-1 gene:LPERR01G39970 transcript:LPERR01G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGEALDLHRRMAAQRVAFKQQIADVRGSTEAAASAFSAALLSARSLANHTLSQREKFNQLKDQLRRVEADFSEAMSIQVCKRTTYELTGESISSATATNEQLRSLVMDQRARRDEYAIVISNQLEAIEELEAKSDATGKKNIDEAILWYRKFLGFKVVGGEVLQCVPFMKDSEELVKDLNCSNDLFKFVRTMRERFQAATVIGLLPASSFCTDTSSITVSSSPALSTDTGSESTTTTNRSHSQNRAKNQDNPSKRGKQPSKLLSSTCRSRRVAAADSTNRY >LPERR01G39980.1 pep chromosome:Lperr_V1.4:1:32671322:32678344:1 gene:LPERR01G39980 transcript:LPERR01G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAASVIVSESRERRDPRRRRSPEPEMFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHLFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCATYALCKLQDLLPEESTSLEETVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKESSIFASNLPLEGHGSGDSAPNGNETCGTTSTITLFRHYIEEYSGCFDTEGNVINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYISDPDRRFVADTVAAIALCAQKLPSITTTCLEGLLALVFHESYISNSAQFDGEAAVLVQAILSIKAIVRTNPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYSSIGNLIPKITPAVLKYLAWSFAAEVLETKLQILNAAAKVIIHSPEEHLEEFKKIMAHVIKLATCDLNYDVRDRARFISRLLPYCTTCVNGNNSSCQSHNDDTFKELADHIFDGKMPSTFHSTSNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYSESEGGGSSDRNAAGSNKKENLEDPMACVYDASIDQGQTARDVEENFASLITTDLTELMSKSALETWLDEAPAEPVQASTQTSFARVSFTNRNFERKPKLHILLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDVVFENVSTDQLVDITIKSEEASGSEDALDETSHGSASVPTIVLDKEIQLLAPQQTAKVVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEQIGDEHTDKNLMIAQSLAAKVLSNANFHLVSMDMPVTFSIDDASGLCWRFSSEILSTSNPCLITIVAEGHISEPLELAAKVNSEDTAFGLNLLNRVVAIIE >LPERR01G39990.1 pep chromosome:Lperr_V1.4:1:32675070:32679650:-1 gene:LPERR01G39990 transcript:LPERR01G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQQVQVRAAPLARALRTRAAAARSPETSQRALLGLSEPELRQLAVDLGQQSYRGKQLHDLLYKSRAKQIQEFNYVPKVFREALLGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEEMFKHRVTNVVFMGMGEPMLNLKSVLEAHQCLNKELKIGQRMITISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKSYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDSLETRKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLAKRRIFRVHLSSEFQWFRDMPFRDDVARNLELHEEQPVTRNQEDKSGNCWLSGMLMASSHQIYDRPVP >LPERR01G39990.2 pep chromosome:Lperr_V1.4:1:32677367:32679650:-1 gene:LPERR01G39990 transcript:LPERR01G39990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQQVQVRAAPLARALRTRAAAARSPETSQRALLGLSEPELRQLAVDLGQQSYRGKQLHDLLYKSRAKQIQEFNYVPKVFREALLGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEEMFKHRVTNVVFMGMGEPMLNLKSVLEAHQCLNKELKIGQRMITISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKSYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDSLETRKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLVPA >LPERR01G40000.1 pep chromosome:Lperr_V1.4:1:32680262:32683294:-1 gene:LPERR01G40000 transcript:LPERR01G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTKISSDAISRTASPSGSRRRRSRSRRGAGLSASSSSRVSAATPTTVPRSEGDILQSANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFVPTRPGTGMVIAVKKLNHEGFQGHREWLAEVNYLGQLSHPNLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVMLEMLSGRRALDKNRPSGEHNLVEWARPYLTNKRRIFRILDARLGGQFSLAGAQKAAALALQCLSGDSRNRPTMQQVVTALEQLQDAKVDTSHSHGRGFNGNGSSRRSGSAAPAPAANGVGRFARPRRLMMSPALPE >LPERR01G40010.1 pep chromosome:Lperr_V1.4:1:32693475:32696455:1 gene:LPERR01G40010 transcript:LPERR01G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGKEFGLSPPPAVTPRRRAPARVAPWTGGSPVGDLWLRTRGASGGGGAAADGFGSHSHESDMDLAMLVSDFLENGSSGGADSRGSSDSESGLSDLAHLADMISMYKQGGDEKENELLSMVHSLLFSIHESELLAFNRGQCSASCIRHLLVKLLRYAGYDSAVCVSKWQGFDKIPGGDHEYIDVMMNSDSEDRLIIDIDFRSHFEIARAVDSYGSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHNGQDFHSTASDHALWIDLHNGQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDIKRRAKFERRRRSLLRF >LPERR01G40020.1 pep chromosome:Lperr_V1.4:1:32700381:32706879:1 gene:LPERR01G40020 transcript:LPERR01G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFLASLSSPTLHPPTLARRRRNSLVAICSASASGGDPSSPPPSNNPFLEVPRTLWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYLAVFEFTQASGICVGTPVRIRGVTVGSVVRVHSSLKSIDAYVEVDDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVNKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDEATRRNIKLLIKSLSRLL >LPERR01G40020.2 pep chromosome:Lperr_V1.4:1:32700381:32707057:1 gene:LPERR01G40020 transcript:LPERR01G40020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFLASLSSPTLHPPTLARRRRNSLVAICSASASGGDPSSPPPSNNPFLEVPRTLWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYLAVFEFTQASGICVGTPVRIRGVTVGSVVRVHSSLKSIDAYVEVDDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVNKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDEATRRNIKLLIKSLSRLL >LPERR01G40030.1 pep chromosome:Lperr_V1.4:1:32707614:32710193:1 gene:LPERR01G40030 transcript:LPERR01G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGEGGKMITLISSERERFQVSEAAASLSKTVANMIEDGCADDGVPLINVSSAVLAKVLEYCNQHATKASDEAEKLESFDAKFIDVDRTTLFDLILAANYMNIPCLLNLACQKGADLIKDKTTEEIREIFGIENDFTPEEEAEIRRENPWAFEI >LPERR01G40040.1 pep chromosome:Lperr_V1.4:1:32711719:32714232:1 gene:LPERR01G40040 transcript:LPERR01G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSGVQLPQCPTPASLPRWKWNALVADHSRSGRHAQALALLPPLLAASDGLVPDAFTLPPAARSCGALRDSSAGRQLHALAAKLGLDDDPFVGNSLVSMYGRCGRVEDAGKVFDGMPSRNLVSWNALMSAVSDAGLPRRGLELFRECLVLGMAPDEATLVTVMPMCTALGWLETGRAVHGLAAKSGLDWEPRVNNVLVDMYAKCGNVADAERAFSLYSSERNVVSWNVMLRGYARNGVVDAAFGLLQEMQIEHGVPADEITMLSILPACLGPSELARLREIHSFIVRRGLDLTADMVPNALIAAYGRCECLLYADRVFAGIQSKTISSWNAMISAHAQNGEANAAIQVFLGMVACGFKPDWFSIGSLLLACADLKHVLYCKAIHGFILRNGLEIDSFTTNSLLSVYIQCGRESYARILFDALGEEKDEVSWNTMIAGYAQNGLPDESLEMFREMQSSVGGHWPSLISATGALMACSQLSAVRLGKEMHCVALKGDYCKDSLLSSSIIDMYAKCGFIDDARAMFDRLEKKDAAPWTVMITGYAVNGLAKEAMALYEEMQSKGMEADEYTYLGLLMACSHAGMVEQGLRFFLELSSHPRLEAKLEHYGCLIGMLSRAGRFADALAIMEDMPEEADAKILSSLLSACHIHGETELGRELAERLLELEPDKAEHYVLASNMYAGSGQWDEMRKVRRRLKDAGVHKEPGCSWIDVAGKVYSFVAGGEDGEMRRMWCELEERIRRIGYVPDTTAVLHELEEGDKVEALRWHSEKKAMAFGLLRIAEPGKVRVFKNIRMCKDCHNAAKLISKATGREIVVRDKRRFHRFSHGLCSCADYW >LPERR01G40050.1 pep chromosome:Lperr_V1.4:1:32714438:32724476:-1 gene:LPERR01G40050 transcript:LPERR01G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLQDPHSSKRVASARHYAAQLPPHRPPRSVSLAASISSVSARKPPEPLRRAVADCLSPPAPHNHVPASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRSPAVVPKCVSLLKTYLIRYTPRVQTLRQIDLFCANTIAKCEPLGNQRSSSALPHSSVAAPPISNFASPSLVKSLNYVRSLVARHIPKLSFQPIVQSVAPTSTKQSLPSLQSFLTRSVVSQLTPEAIPNRDLVESKESHTPSDLISSASEKADLKNPGDDIKYISFDILNWRWHVYGERQASASAKESNDFAELQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVEDAQLIEKSETVNHAYMNSEYVPSMDEQRATESEQEQRTSPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGALDTHFLPDQIDRVGGVDYICLEYSRANSRDEKRDLFFVLFDYVFHQINETCLTGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISAALSQSTEYDQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKSFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLACSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVTDHTKNRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCEMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTRNIESHLETLASHQNVVNKNFCRSETMQDISVNQTAQSSLLCETSMSALLLKGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISRAQQWIKIWTRMVLSTMLITVEPVAAFLCSEVQTMKSY >LPERR01G40050.2 pep chromosome:Lperr_V1.4:1:32715066:32724476:-1 gene:LPERR01G40050 transcript:LPERR01G40050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLQDPHSSKRVASARHYAAQLPPHRPPRSVSLAASISSVSARKPPEPLRRAVADCLSPPAPHNHVPASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRSPAVVPKCVSLLKTYLIRYTPRVQTLRQIDLFCANTIAKCEPLGNQRSSSALPHSSVAAPPISNFASPSLVKSLNYVRSLVARHIPKLSFQPIVQSVAPTSTKQSLPSLQSFLTRSVVSQLTPEAIPNRDLVESKESHTPSDLISSASEKADLKNPGDDIKYISFDILNWRWHVYGERQASASAKESNDFAELQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVEDAQLIEKSETVNHAYMNSEYVPSMDEQRATESEQEQRTSPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGALDTHFLPDQIDRVGGVDYICLEYSRANSRDEKRDLFFVLFDYVFHQINETCLTGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISAALSQSTEYDQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKSFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLACSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVTDHTKNRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCEMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTRNIESHLETLASHQNVVNKNFCRSETMQDISVNQTAQSSLLCETSMSALLLKGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISRAQQWIKIWTRMVLSTMLITVEPVAAFLCSEVQTMKSGMWK >LPERR01G40060.1 pep chromosome:Lperr_V1.4:1:32730975:32732324:1 gene:LPERR01G40060 transcript:LPERR01G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCSRDTVEHDFFLAAKAGDVHALRAHLAADPSLARRATVYARSTALHIAAANGRVEAVSMLLDRGDVHPDALNRKKHTPLMLASKRGNTECVVRLLDAGANVLTFESRRARTCLHHAAYHGHADCLHAILAAADGHGVAASWGFARFVNVRDEQGATPLHLAARRGRSACVRLLLGRGAIVSASTSVYGFPGSTALHLAARGGDMECARELLAWGADRMQRDSAGRIAYVVALRRGHRACAALLNPAAAEPMVWPSPLKFIAELDADAKALLEKALVDANREREKAILRNLNHKQVMPSNTDVVVDADEDDQEVCNICFEQACSMEVKECGHEMCAACTLALCCHCKPNPKTLLLQPPACPFCRTTISRLVAKVSDTDRRRLSRRRSRTSRDGGGSSSFKGLSSAMGSFSRIGRGSGRLVVDDGAELTDKPTSDHDLSSVVGVL >LPERR01G40070.1 pep chromosome:Lperr_V1.4:1:32732428:32737022:-1 gene:LPERR01G40070 transcript:LPERR01G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLFSPPPLRLRHAAAFALVLAAAFALFFLAFSPSTTTSSPPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWMPGFGDGSDKWLLHLEGGSWCRNLTWCDQRKRTSLGSSAYMERAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGNVEEEEQHGTRFFFRGQRIWEAIMTELLPKGLARAKQAFLTGCSAGGLSTYIHCDEFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARLQHLRKRFPGCSSDMEPGQCFFPQQVAKSITTPMFILNPAYDVWQVEHVLSPDGSDPQNLWQNCRMDITKCDTKQLEILQGFRKSLLDAISEFKKKRDWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTIAEAVGDWFFDRREVKDIDCEYPCNPTCYNVELELVGGLID >LPERR01G40070.2 pep chromosome:Lperr_V1.4:1:32733764:32737022:-1 gene:LPERR01G40070 transcript:LPERR01G40070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLFSPPPLRLRHAAAFALVLAAAFALFFLAFSPSTTTSSPPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWMPGFGDGSDKWLLHLEGGSWCRNLTWCDQRKRTSLGSSAYMERAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGNVEEEEQHGTRFFFRGQRIWEAIMTELLPKGLARAKQAFLTGCSAGGLSTYIHCDEFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARLQHLRKRFPGCSSDMEPGQCFFPQQVAKSITTPMFILNPAYDVWQVEHVLSPDGSDPQNLWQNCRMDITKCDTKQLEILQGFRKSLLDAISEFKKKRDWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTIAEAVGDWFFDRREVKDIDCEYPCNPTCYNVVLEQPYPEE >LPERR01G40070.3 pep chromosome:Lperr_V1.4:1:32733764:32737022:-1 gene:LPERR01G40070 transcript:LPERR01G40070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLFSPPPLRLRHAAAFALVLAAAFALFFLAFSPSTTTSSPPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWMPGFGDGSDKWLLHLEGGSWCRNLTWCDQRKRTSLGSSAYMERAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGNVEEEEQHGTRFFFRGQRIWEAIMTELLPKGLARAKQAFLTGCSAGGLSTYIHCDEFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARLQHLRKRFPGCSSDMEPGQCFFPQQVAKSITTPMFILNPAYDVWQSMYCLLTDLTLKIYGKIAEWILPSVIRNSLKFYKSMKSLAWHSPSASRINNKTIAEAVGDWFFDRREVKDIDCEYPCNPTCYNVVLEQPYPEE >LPERR01G40080.1 pep chromosome:Lperr_V1.4:1:32737029:32737244:-1 gene:LPERR01G40080 transcript:LPERR01G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAALLWPSVGVGEDRPAETKRLFYSSSSTHRLCNLRFLYCTSSVCVASFKNDDDGDDALLRSSSTN >LPERR01G40090.1 pep chromosome:Lperr_V1.4:1:32737826:32744673:1 gene:LPERR01G40090 transcript:LPERR01G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTSSSLQRPRPPILCVTKSERARRPSSSLLLMEPQEMRCMSIAVARIRPPPITPAPWAVPVPAAAAEAEPILSSCCWVIMVVSSSGLLEDGGGDDISSMPISSAAGAPLPSL >LPERR01G40100.1 pep chromosome:Lperr_V1.4:1:32740304:32742332:-1 gene:LPERR01G40100 transcript:LPERR01G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQVQQGSTTVSSPRKGKTPALNERILSSMSKRSVAAHPWHDLEIGPEAPITFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATCAYETIRHSMDLYATYILEGLRR >LPERR01G40110.1 pep chromosome:Lperr_V1.4:1:32743349:32745094:-1 gene:LPERR01G40110 transcript:LPERR01G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARDTSGRRSGWWSPERTPVWTEPQPNKQHTASRSKKQQQQAVLYYLCRQDGQLDHPHFVQVPCVSDPPRLRLRDVIARLSELRGAAMAGAYSWSAKRTYRNGYVWQDLTADDVILPAHAHPFHEYVLKGSPLLMRIIPNNNNDAAADSHESQPASSGARRKKSRWTSFDLADYKLGNGAPAAELIGIDEISSPPPSSSSPDDDTTIITQQQLDKIGSASAAAAGTGTAQGAGVIGGGRMRATAMLMHLISCGSINNKLEDGRRARSDFVTHKIGGRGRCKEEEVEVETAMDRDYFSGSLLLETNRTTELRRSSSCNADSTTSCRMGEVDGVRTKLPPPPHAKSRNQGGRAERAEMAKGTAVSRVVKEKRFWIASFLVAWAAALQGHMMWMQRQDSFKQKFPNDNQDAADHDSS >LPERR01G40120.1 pep chromosome:Lperr_V1.4:1:32747475:32748996:1 gene:LPERR01G40120 transcript:LPERR01G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGKKVQASRQPGRSSSSSSSAVVVQQAEVAAAGKGGMRKGPWTEQEDVQLVWFVRLLGERRWDFLAKVSGLQRSGKSCRLRWVNYLHPGLKRGRMSPEEERMVVQLHAKHGNRWSRIAKSMPGRTDNEIKNYWRTHLRKQAAQKQQLNLQAASTPSSSSISDDDHNSSSSSSSSNGSSSNNNNNDDSMQQQQQLSSFHLPLWNDDNIDCWSSTQLVAPASPLWDIDDAFCSDYSLPLPLWGSAHDDADYIN >LPERR01G40130.1 pep chromosome:Lperr_V1.4:1:32760844:32761470:1 gene:LPERR01G40130 transcript:LPERR01G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVVAVVFSPAGKAYSFGHPSVDYLLERFMGPNTGNNNNDTSRPGVQVRRGRMVDKLNRQYGEVRAVVEAQRARQETLDELMDRERAARSRPAAWIDADVAHMSAEELVAFGARLMAVQDGVVARADQMLRDALLIGRPPTNTNSRARGGGFFHLHHF >LPERR01G40140.1 pep chromosome:Lperr_V1.4:1:32771527:32772356:-1 gene:LPERR01G40140 transcript:LPERR01G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVGAPGELSQPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGATTPAGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLLKALVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAIDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWDNHWVYWLGPFVGAAIAALVYDVIFIGQRPHEQLPTTEY >LPERR01G40150.1 pep chromosome:Lperr_V1.4:1:32772649:32779212:-1 gene:LPERR01G40150 transcript:LPERR01G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNPSPSHPPTDDSSPPPEKRLASLSLRTSDLPPDFAPAGEIEEYGDDDDEEGYLTAVSRVGSISSSAAAWKDDVEDPDVAPPSPSSSGYAGERGTSLASSAGANDDPEPQPDDWPRDKKHLDEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDTVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLANFILSSESFRTSESFSPICLPRYNSMAFLYAYVHFFDENTYLTLLTARSDAFYDLKDSRSRIQDVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDVHSQLLSSEMAIGGPAGLWHFVYKSIYLDQYVSSEFPLIISKPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWHLGIEKP >LPERR01G40160.1 pep chromosome:Lperr_V1.4:1:32780740:32788160:-1 gene:LPERR01G40160 transcript:LPERR01G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDGAPPPEDDAAAGLDDGEEEPDPPPAAVPFKRLFACADRLDWALMAAGGVAAAAHGVALVVYLHLFGRAINSLHGRHNHDLFDHIKQHALHFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLTSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATADQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDVNHNSHESPNIQSPPSEQMAEARLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHADESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILIISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSFVHGVGIGFAFGLSQFLLFACNAILLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPAAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQMNGLYVKLMQPHFTKGFRQRRLI >LPERR01G40170.1 pep chromosome:Lperr_V1.4:1:32789879:32790512:-1 gene:LPERR01G40170 transcript:LPERR01G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRNSSSAEAMTVDLSPKRPSKAYGGACYEWSPSELPMLRAASIGAAKLSLAAGGLALPSGIVKLSTNQRMPQAASDKGREGADLVVGMDGTRAEGGCLFIVPRFFADDTGMEWFSIIFSHLAGRTTPDMEKLFRSKRLDSEIFFNSLFKPAAALQPLVLSESLKTVQPYQ >LPERR01G40180.1 pep chromosome:Lperr_V1.4:1:32793742:32794410:1 gene:LPERR01G40180 transcript:LPERR01G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVFKDLNKRLLGLSCSSGASTSIVVSGGRAIDRHSPPHLRDPARSSSYAATTTTRSNSVGSSSSKKTKTKTKQRSEQQLVSPASSSRFLLNSSRMQPADESSLVRPVVAEEQERENDDIVAAAAASDSPAPPRQQVVVELRVSLHCKGCAGKVKKHISKMEGVTSLHIDIATKKVTVVGDITPLAVLSTVSKIKPAQFWPPAISDINMIMTPPRASASF >LPERR01G40190.1 pep chromosome:Lperr_V1.4:1:32795348:32804189:1 gene:LPERR01G40190 transcript:LPERR01G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWILEKVKEEETEEAGCCSGRLAMRIHGGGRATSAPSSPNGLMATSKMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGEQPAGSTKNAASAPIIDKENAREEISRLQKEILVMQTEKEFIKSSYETGIAKYWDLEKQINDMQEQACHFQDKFDESVVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQATGESERVKVLREKLRTIMNGHGKSLMDSPDPCDKNVRKNHGLEMEEVQHVKRGEFETQTVLEKIKEHFERDGDTSVAEITEQIDELVDKVVDLELMVSSQSSQIDRLCRENSELENALQSLEEENTSSSSSDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAIGRLSDISEMLQSAVCEHGGARAHVVADETASNTNSKSKEEEEEGEPPLQVDEKGEATATATQEAEGGQEKGSRERGSLVRLRHISSDNLGGCDEEEVEAQAAAAVDEGMAKQEEAGEENREVLVAKYRSLLEDAKKKLAETEKKNQECMHEIRSLRDKMEEAGGGRGRGHRRTPSYTVGAGHHRRQSLSSISRMIRIQECDESAAAVEEEEEDQLLRLRLPAVATASSPLEDKFRKDIDTLLEENLEFWMKFSSSLQRVQELERKHDELLLLPSEGDDEKQKQRLRALKTELQVWSEQNAMLRGELQCRFASLCDVQEEITAAQQQQEQDFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQLLLPPDSDSGAGPPPLTRVASKSKVPLQSFLFPAKTKKPSLLARVTPVLQKQQPDLKFLAKLQPK >LPERR01G40200.1 pep chromosome:Lperr_V1.4:1:32805857:32812421:-1 gene:LPERR01G40200 transcript:LPERR01G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPSPPGDNLAGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQLEAGPLVSASCSTGTFVGIYDGHGGPETANFIADHFLPNLKKFATEQQTISVDVIRKSYAATEEGFLNLVRKQWLIKPLLASVGSCCLVGIINEGVLYVANTGDSRAVLGRFEKGVASDVKAVQLSAEHNASIESVREELRRLHPHDPRIVLLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLPEPFYKPILCPEPSIEAHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDDTYLCMSSRMRIFITLTLCRWQNLGAAWFQSLPFWLLESLHGTREQCFGGSTRRLLPDPAAPTHTDMAFLHSHATSFGYKQVPEEEKSKLVGNVFTSVASTYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVMERINSMNHRTMQAALADIEETKIYVCDINPNMLNVGKKRASERGYKEGHCLSWIEGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFGQMIQEAGFERVQYENLVGGVVAIHSGLKL >LPERR01G40200.2 pep chromosome:Lperr_V1.4:1:32805857:32808906:-1 gene:LPERR01G40200 transcript:LPERR01G40200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSASTRLRLLLASSSSRSRSTRRLLPDPAAPTHTDMAFLHSHATSFGYKQVPEEEKSKLVGNVFTSVASTYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVMERINSMNHRTMQAALADIEETKIYVCDINPNMLNVGKKRASERGYKEGHCLSWIEGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFGQMIQEAGFERVQYENLVGGVVAIHSGLKL >LPERR01G40200.3 pep chromosome:Lperr_V1.4:1:32805857:32808906:-1 gene:LPERR01G40200 transcript:LPERR01G40200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSASTRLRLLLASSSSRSRSTRRLLPDPAAPTHTDMAFLHSHATSFGSSLPFPNSPSFLESSYSNRIISGYKQVPEEEKSKLVGNVFTSVASTYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVMERINSMNHRTMQAALADIEETKIYVCDINPNMLNVGKKRASERGYKEGHCLSWIEGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFGQMIQEAGFERVQYENLVGGVVAIHSGLKL >LPERR01G40200.4 pep chromosome:Lperr_V1.4:1:32809819:32812421:-1 gene:LPERR01G40200 transcript:LPERR01G40200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPSPPGDNLAGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQLEAGPLVSASCSTGTFVGIYDGHGGPETANFIADHFLPNLKKFATEQQTISVDVIRKSYAATEEGFLNLVRKQWLIKPLLASVGSCCLVGIINEGVLYVANTGDSRAVLGRFEKGVASDVKAVQLSAEHNASIESVREELRRLHPHDPRIVLLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLPEPFYKPILCPEPSIEAHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDDTYLCMSSRMRIFITLTLCRWQNLGAAWFQSLPFWLLESLHGTREQCFGGYEAGGTVE >LPERR01G40210.1 pep chromosome:Lperr_V1.4:1:32815118:32819108:-1 gene:LPERR01G40210 transcript:LPERR01G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCWLLLCFLLVSSSVSVAQMPGFESIDCGGSGNYTDKLGLEWRGDEQYVVGGVTADLQMQGEGERQRQYRRVRYFPAVEGRKYCYRVGVRARTRYLVRASFLYGNFDGSMVFPEFGLYLGASRWSTIKVMQTAVVGTLGALTYRLDLHGFPANGWAATYLAEIEDDAPATARRFKLYIPGLPEVSKPTVDIGENAPGKYRVYQPGYDNITLPFVLPFAFRKTDDSARGPILNAMEIYAYVPIIPASPDAAAMDALAARYPQLAWAREGGDPCVPSPWSCLRCSASASRVLAINLSGKNLTGGIPPELAALPCLQEIRLDNNMLTGPIPDLSASASLSVIHFENNQLDGIVPSYLSDLPKLTELYVQNNELSGVIPSALLSKSIVFQYSGNAHLRVGRQEQRNVIIGISALLGTSLLLAAALCCYCYVLTRRSTSVGSDKKYSQQHGADDDDTASHASVGSRSKNKIKRMKKVEDEESTTIPAAAAKMRMRSTTMTIKKEMMSRAGPFELSELEEATNKFARRIGSGGFGVVYYGRLRDGREIAVKVARGNEESLQGQGQGRKQLANEVALLSRIHHRNLVAFLGYCCSSGDGSYMLVYEFMHNGSLKEQLHRRPPSEEEEGDDIGIGRSWVRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDEHMRAKVSDLGLSKATSGLSMSMTTHVRGTLGYLDPHYYVSQQLTEKSDLYSFGIILLELISGRPPILIDKGGGGGSLGPWAKSHYESGDIEAIVDPSLRGRCRDVHSVWKVAETAVRCIDADPRRRPSMPEVVKDIQEAIALERPAPLLPSASCFSPAAGALSSSATVRSHDLIMDNLMYGDSSFFCDSLNLHRTPI >LPERR01G40220.1 pep chromosome:Lperr_V1.4:1:32821396:32823071:1 gene:LPERR01G40220 transcript:LPERR01G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSRRAWLPAADRWHVSNTVVGILNLVTLVASVPMIGAGLWLQQGGHGACGSALQAPLLAIGFVTLLVSLAGFAGACYHIVYALWMYLAAMLVLVLALLGVTVLGLAVTAGGGGTQVPGRPYREFRLDDYSAWLQRQVRADKFWRPALACVVAARACDSVASWTPTDYLSHDLTPIQSGCCKPPTSCTYDDYAQQQPQPQPADCFRWSNSPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVILLLLLIALYSCACCAFRNAATALPKNNFFHLHPRWSRWLHGRS >LPERR01G40230.1 pep chromosome:Lperr_V1.4:1:32824781:32825500:-1 gene:LPERR01G40230 transcript:LPERR01G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRGRASEKKGSWREEEDGLLRRLVAEHGAQRWSVISGGIPGRSGKSCRLRWCNQLSPGVEHRPFTAQEEAVLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRKTLRRQAKAKAKAAASLQEQSTAATCNKSPPPPLQLRRPSSDPTNYIVLDDDAPPPDPEPSLTLSLSLSLPLPAGAAAAHHQDVDDENKMLGLLVMRQLVREEVQRHTPQLAYSLMAMAACCRPPNAPN >LPERR01G40240.1 pep chromosome:Lperr_V1.4:1:32843188:32857508:-1 gene:LPERR01G40240 transcript:LPERR01G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKGRTASSGLAASLLPHAQAAVPTVGFGGYHGASRVEQPAAAALPSSSADTDASIRLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRGTHETMSSLVKTVKKGLAPHLKSLMGPWWFSQFDPSLEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCDRDSSSSENKSLSKVLSATLSSAESAFSIHKYFLDFLKSKCAIIRSASYTLLTSYIKHVSHVFNEETMRVLSPALLGAFHEKDPSCHSSMWDAFLAFSGRFPEAWSYCNIQKFVFNRFWHFLRNGCYGSKQISYPLLVQFLESIPSEAVTAEQFVFDFLHNLWAGRNQRQLSAADSLAYFTAFKHSFLWLLKVLSRHSECGSSDAIPIKLITSILAKFIWHDYLLVSSSKNYDISLSGLSDEANSGVCQLSHKESLLPSNTRYPTYYLHDLGKCIIEILDEISAMENHLLKFACETLSKDCLDIILQRERLSNFEDHVQQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPILVKLLSVLVEIFRPVPLFLKNSQRESEESLQAYLDVFNDEFVPWCLDGKYSTCSSKIDLLLSLIIDEGFFDQWCLIIKYTIAKQKRPVDDKNSHDDDRFELLALILRKVRERITGGKLRNLQKNGSLPEHWWHKLLDSAAESVFCDFPATDSHVHFVCSTRRQGSRSWSAAKQALDVPELDSKQHRSRRRDAALGGSAQDDRICFLSADTVHKMLGSILKCLTSVLIVSTFEWARFAYAVLLPTEREQLEVIGAQSFSPNIEMAHFAFKVLEGSLFALKMIEDDSLFPSILAALFIIEWECSMALTLDEGRDLEGYKEDFDVESSAYGSSADHLDEKMHLKANLAESIHTFCQNLSPSFWSELHPCTLNSLLNILAHSVRCALFQTVQLQTKSTSVLCSEWVVDMLKLIRLNSTKLQSFFDLLLSEGEFWPLWVKPSLQNENAPVKIQFETVITDETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTSTVPSFSRAWVAAEILCTWKWKGGSVFGTFLPSLIQHLKLESCAEVSILSLLLDTLLEGAFHECSQWVLFNAWHICDNEIEKIEDRFLRALVALLFSINNINDSIWRESDALEFFKKLLSNLFISSTVNRKCVKTLPFVMSTIIKPHSGKLKLNETSCYTDLVGKSILNWLDVAISCLSSNPREVVQQDIVDWMQVVLSCFPLNITGGAQKLEVKIEREISDAERSLLLTLFQKYQTFCVEAPSLSTSGTTQSTMVELLGVKLTAVMVGYCWTRLQENDLHFVFHAVQKWVESAILLVEEMTDAINDAVINHKSNEDTLEKLKLLVSTIDELTLSFGESALVTLCHLKHLVDIQETENFQSLQIIRSGDYAERNNKMMESMLRLFLASGVSEAIARSCCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSHPISHLQFAAYSLLLSEPLCQFSLVKDCSLGLNRPLTQESNMGQSVELMPDSEKTLDLREELSSLIEMPTSELLQTDLLARDRVDAFIAWSLLLSHLQLLPPASIAREKHIPLRTGAPCGKKKDVELMPEAEVAAIASKNAIITCSLLPCIEFLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGVSEVKCRKWLLSLTAFVRNQNGAIAEAINTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >LPERR01G40250.1 pep chromosome:Lperr_V1.4:1:32865481:32866335:1 gene:LPERR01G40250 transcript:LPERR01G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRATLRMARQELEDLYLGLGVPDGSVDLTFKDLPSSAGDGNDDDDKKQQQQQQEAGLLVRSSTNIFAYKLEEEDEQQQQQVPDLLRLSPAPTANDYQQQQQQQKRHRRRPGIPHSNICALCSSYVFRFRRHRCLVCGRVYCRRCVGQGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTTPCCCFFGSSSADAQAVKAQELFWAEKGPAPRRRPRQSSSSSASISASTSASYAAAASISMTMSINNNTTSGFHYSTRPPVVAPPSSSTSFVASSPNPHAFPL >LPERR01G40260.1 pep chromosome:Lperr_V1.4:1:32868105:32882019:-1 gene:LPERR01G40260 transcript:LPERR01G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESRGAKSTITNPNLLRAFPLPFQCCVLTAPVTRGELYSGFGKLLRFCCDRRKSGTWKRSIQLDGRSIRIMLRSRLFYLGSIVFTFYLMQPTDAQITAPWEVDALKAIKGRLIDPQGNLKSWNRGDPCMGNWSRVLCYNATASDGYFHVLELQLLQLNLSGTLAPELGRLSRMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLSGSLPEEIGFLPDLDRIQIDQNHISGPIPKSFANLNKTKHFQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSGRLSTNITTIDLSHNLLNGSIPTSFSGLSNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLTLDFQNNSLTNLSNPLSPPANVTILLSGNPICTSQNQLNITQYCQAKSVLVPRGPANNSTVCPRCSTDLPYENIPMSPIPCLCAIPLYVEYRLKSPGFWDFVPYEDQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLKMYLKLFPNNTAYFNDSEVYRLRGMFTGWLIRDSDIFGPYELLKFNPGWYNKLLPDGAKSSLSTGAIVGIVVATFAAAAFLSSLITLVILKRRSRHSSSKKRSVKRIPMKIDGVKDFTFEELSHGTNDFSDSALIGQGGYGKVYRGILSDGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSVRSKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKATNILLDSKFIAKVADFGLSRLAPEPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPTECVEKFAALALRCCRDETDARPSMMEVMRELETIWEMTPETGKSVASLSVTTLGSSNTATPSLGSRMVSSSGYDHHQYMSSSDVSGSNLLSGVAAIGWLIKPLADQPKPIGITYSNEVSNTT >LPERR01G40260.2 pep chromosome:Lperr_V1.4:1:32868105:32882222:-1 gene:LPERR01G40260 transcript:LPERR01G40260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSTVASMAVEFDLFFFLRLPLHLLPIMLRSRLFYLGSIVFTFYLMQPTDAQITAPWEVDALKAIKGRLIDPQGNLKSWNRGDPCMGNWSRVLCYNATASDGYFHVLELQLLQLNLSGTLAPELGRLSRMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLSGSLPEEIGFLPDLDRIQIDQNHISGPIPKSFANLNKTKHFQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSGRLSTNITTIDLSHNLLNGSIPTSFSGLSNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLTLDFQNNSLTNLSNPLSPPANVTILLSGNPICTSQNQLNITQYCQAKSVLVPRGPANNSTVCPRCSTDLPYENIPMSPIPCLCAIPLYVEYRLKSPGFWDFVPYEDQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLKMYLKLFPNNTAYFNDSEVYRLRGMFTGWLIRDSDIFGPYELLKFNPGWYNKLLPDGAKSSLSTGAIVGIVVATFAAAAFLSSLITLVILKRRSRHSSSKKRSVKRIPMKIDGVKDFTFEELSHGTNDFSDSALIGQGGYGKVYRGILSDGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSVRSKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKATNILLDSKFIAKVADFGLSRLAPEPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPTECVEKFAALALRCCRDETDARPSMMEVMRELETIWEMTPETGKSVASLSVTTLGSSNTATPSLGSRMVSSSGYDHHQYMSSSDVSGSNLLSGVAAIGWLIKPLADQPKPIGITYSNEVSNTT >LPERR01G40260.3 pep chromosome:Lperr_V1.4:1:32869095:32882019:-1 gene:LPERR01G40260 transcript:LPERR01G40260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESESRGAKSTITNPNLLRAFPLPFQCCVLTAPVTRGELYSGFGKLLRFCCDRRKSGTWKRSIQLDGRSIRIMLRSRLFYLGSIVFTFYLMQPTDAQITAPWEVDALKAIKGRLIDPQGNLKSWNRGDPCMGNWSRVLCYNATASDGYFHVLELQLLQLNLSGTLAPELGRLSRMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLSGSLPEEIGFLPDLDRIQIDQNHISGPIPKSFANLNKTKHFQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSGRLSTNITTIDLSHNLLNGSIPTSFSGLSNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLTLDFQNNSLTNLSNPLSPPANVTILLSGNPICTSQNQLNITQYCQAKSVLVPRGPANNSTVCPRCSTDLPYENIPMSPIPCLCAIPLYVEYRLKSPGFWDFVPYEDQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLKMYLKLFPNNTAYFNDSEVYRLRGMFTGWLIRDSDIFGPYELLKFNPGWYNKLLPDGAKSSLSTGAIVGIVVATFAAAAFLSSLITLVILKRRSRHSSSKKRSVKRIPMKIDGVKDFTFEELSHGTNDFSDSALIGQGGYGKVYRGILSDGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSVRSKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKATNILLDSKFIAKVADFGLSRLAPEPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPTECVEKFAALALRCCRDETDARPSMMEVMRELETIWEMTPETGKSVASLSVTTLGSSNTATPSLGSRMVSSSGYDHHQYMSSSDVSGSNLLSGVVPSINPR >LPERR01G40260.4 pep chromosome:Lperr_V1.4:1:32868105:32880843:-1 gene:LPERR01G40260 transcript:LPERR01G40260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRLFYLGSIVFTFYLMQPTDAQITAPWEVDALKAIKGRLIDPQGNLKSWNRGDPCMGNWSRVLCYNATASDGYFHVLELQLLQLNLSGTLAPELGRLSRMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLSGSLPEEIGFLPDLDRIQIDQNHISGPIPKSFANLNKTKHFQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSGRLSTNITTIDLSHNLLNGSIPTSFSGLSNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLTLDFQNNSLTNLSNPLSPPANVTILLSGNPICTSQNQLNITQYCQAKSVLVPRGPANNSTVCPRCSTDLPYENIPMSPIPCLCAIPLYVEYRLKSPGFWDFVPYEDQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLKMYLKLFPNNTAYFNDSEVYRLRGMFTGWLIRDSDIFGPYELLKFNPGWYNKLLPDGAKSSLSTGAIVGIVVATFAAAAFLSSLITLVILKRRSRHSSSKKRSVKRIPMKIDGVKDFTFEELSHGTNDFSDSALIGQGGYGKVYRGILSDGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSVRSKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKATNILLDSKFIAKVADFGLSRLAPEPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPTECVEKFAALALRCCRDETDARPSMMEVMRELETIWEMTPETGKSVASLSVTTLGSSNTATPSLGSRMVSSSGYDHHQYMSSSDVSGSNLLSGVAAIGWLIKPLADQPKPIGITYSNEVSNTT >LPERR02G00010.1 pep chromosome:Lperr_V1.4:2:439:2222:-1 gene:LPERR02G00010 transcript:LPERR02G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPRERSLLLSLAALLLLASASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDLFHHHQEEAFDESHVFLLSASNFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLAPLSHEVALAKVDATEDTDLAQKYHVQGFPTILFFIDGVPKDYTGPRTREAIVSWINKKLGPGVHNITTVDEAEKILTGEDKALLAFLHSLSGAHSNEISAASRLEDAVNFYQTSNPDVAKLFHIDPAANRPTLVLLKKQEDDKLTFYDGQFKASAIADFVSANKLPSVITLTQETAPSIFDNPIKKQACLIILLFVVANESVKFLPSFKEAAKSFKGKLLFVFVERDNEEVGGPVANYFGITGQETTVLAYTGNEDARKFFLDGEMSVENIKVIVEICPCTVPD >LPERR02G00020.1 pep chromosome:Lperr_V1.4:2:2892:6838:-1 gene:LPERR02G00020 transcript:LPERR02G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAGSEGIRVPSPSPEVPMPHVGARRSTRVFVPKTPRSPPAQPSSHPARVLRSGKRLAFSQESPHWFHWDTTTPNNSCFQLHDGKPPPPLSWPRTRSFGIVYSRKRRRRPPEPKLDTRFALVFTRKRPKVSPFHPNDLATIPCSSSRDFASRTGFFDSHSFTLVDSIPDDLMLLVLVDSSCPGSSHHFLRLLLPLLRWMRRPRRGKLRNLASFLSSGDVATAFALHGLHFVHLQRPRDCSLSQRTFVQCGWCQLRGAKHFEPLVSVNFLAVPSYFQMLHSLIALQSMYLPSVIRRRMHLVGGTEEIYPHNRFEEDSESLNTGDAEPAADLSSNKLCTMVNDYVPLEEIAGVVVHGMRLKKHQRKRSSMRHPLSRQRLAARFPDKVVAMKQIDVASQTEADLPPSASQEHTLEPVKPKVPLEISLDLLEDMEDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQSDRCWRQEGAEVMLEPSDSNEWCIAVKIHGANRASLKPSEQRFYVVNRFTHAYILAIDDGLKLEFPDKWDWLLFKELQIEGRDRNSQGKTIPIPGVHEVSDYIGVIVPSPFSRPMPEYIRTVDDEVGRALSRDSIYDLDSDDEMWLVQFNHSDSDRKSSHLNHISYEDFEKIITTFEKDAFNNPEGTSNVDQILSRCPALEKDHNVLAVYKYWINKRHKKGAPLLRILQGARRGQLSQRSIKKKRSFKRQRSQVGRGKPETILQDSAAEEEALRRVAEAERAAKQAGETAVGLRSRAQCLMAKAELVAYKSVMALRIAEAARISESSRDLVLTTLE >LPERR02G00030.1 pep chromosome:Lperr_V1.4:2:4606:11091:1 gene:LPERR02G00030 transcript:LPERR02G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISRADSRWMRIGRSTRVSTGYATDCEPHLKEGSGSLPSLSICSFLLPIDPSRSVQGEGKSGKTLVAMDASRVGELRVFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAKAPKPSSMDDIDDDDEDDLNMRDATPEPEELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIEVTEENRDASQEAKSKAMEAMSEGSLLSPPRWPYLISSCVLLVYLIPQSLCHYLSGKLEEAIEHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHKASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDQLRRRAEAQAAYEKAKRKEQSSSRSSGGASPRGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGGAMPGGVPGNVDMSQILNMATLTQLNYAFPLQQDPDLMAAFGDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFNGSQ >LPERR02G00030.2 pep chromosome:Lperr_V1.4:2:4606:11544:1 gene:LPERR02G00030 transcript:LPERR02G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISRADSRWMRIGRSTRVSTGYATDCEPHLKEGSGSLPSLSICSFLLPIDPSRSVQGEGKSGKTLVAMDASRVGELRVFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAKAPKPSSMDDIDDDDEDDLNMRDATPEPEELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIEVTEENRDASQEAKSKAMEAMSEGSLLSPPRWPYLISSCVLLVYLIPQSLCHYLSGKLEEAIEHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHKASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDQLRRRAEAQAAYEKAKRKEQSSSRSSGGASPRGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGGAMPGGVPGNVDMSQILNMATLTQLNYAFPLQQDPDLMAAFGDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFNGSQ >LPERR02G00040.1 pep chromosome:Lperr_V1.4:2:12549:13172:1 gene:LPERR02G00040 transcript:LPERR02G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPNESPRDCSRKIRHHQYQHGPRWWRRRGVVAAASAVASMASLSLILWLVLRPSNPRFTLLAATSTSNASVAIINAAFAARNPNAHAAALYDGLQARASYAGLPLTAPSPLPPLDQPPQQGDAVLSASLSSPPAAAAVAGGRALVRLRLEGQLRWKVAAWVTARRALTVDCIAVLELQQPTPTAVVGLLQPQPQGCATTLA >LPERR02G00050.1 pep chromosome:Lperr_V1.4:2:14813:20378:1 gene:LPERR02G00050 transcript:LPERR02G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHEGDLSFEEAWYHLSDADYPIKHDADRLPSPIVADLNGDGKPEVLIPTHDAKIQVLQPHPRPTPDASFHEARLMADLSLLPSNVRLSSGRRPVAMAVGSVDRHFTPIPNARAPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNNTLRHGDAGLIIVGGRMEMQHHSAELFDDFMMPEHNRDDLRRSATEKQASETATADLRHFSLYAFAGRTGELRWSRKHENIPSQPSDASVLIPQHNYKLDAHALNSRQPGQSHRMRYVPTITNYTQVWWVPNVIVAHEKEGIEAVHLASGRTLCKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSKSFGRPFDPTGLEVATPILLERDDGHRHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKALSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFSGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVAIGVIFVSLHLNSSNNSKPRASTDYR >LPERR02G00060.1 pep chromosome:Lperr_V1.4:2:20075:22285:-1 gene:LPERR02G00060 transcript:LPERR02G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNGGGAGDLLPSSRCPKHPSHPPFTGFCSACLLERLSAARLPSPPVAAAGAEIISPEIHHHEQQPTRVRTTLLYLFQLDDDDSSEQAAAQAQGPQLQRKRSLRQSCEWIVCCDTTADSRQSWDGSASASAAAAPNPPTTTTTTTTAAKPIIRPAQMLRRSLSESWRHARARPATASVPINGPGSRSVSSAGMDSEISLGDSIHADTAHNAAARHSSLFKRLYRLGRSRSVHCSSPQITGTLRFHLTPLRSSSSRRGIANKGTQGRRLNFFAGVLVDCEMDNTRH >LPERR02G00070.1 pep chromosome:Lperr_V1.4:2:24776:25117:-1 gene:LPERR02G00070 transcript:LPERR02G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGRMKEEAWRWQYNRSRCRWPCGFCKREFGSAQALGGHMNVHRRDRAILTLSSQSHQQPPLPLLLPNLNFPPPLQPLPGATTTTTSPADDGGLDLELRLATFYSSTSPP >LPERR02G00080.1 pep chromosome:Lperr_V1.4:2:28158:29009:1 gene:LPERR02G00080 transcript:LPERR02G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEFTVCDVLYLYSDARTAYDRFIGIGGNPEQARNAVALLLWLDQCNVSAIKHLPGLSPAAVNLVAAEANLVLDCLREPTPMVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGLLIFDDHLNKMLRRYQTGLVGNPPELAATYNCLPVAVPEDCRSMFITFSKGAPIEREEIFDYFRQKWGDCVVRVLMEKTTGASSPMYGRIIFRSEAFVQLVLNGERLVKTNIRHRQIWLRKYVPRPAATQN >LPERR02G00090.1 pep chromosome:Lperr_V1.4:2:29496:35108:-1 gene:LPERR02G00090 transcript:LPERR02G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVSEERSSFLIESDDDDEEANPHPIRKEEEDDDDDSDSSSCATPRAHPSSYNTHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEIISNLVKPLLRPSTSEDQQQQQHDDTRKSSQYLLPSRKPSLQQIPEDQKPLVVGHDVPAYQQCSYAQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLFWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPIGLSSILFAGAAVMGYKMFGESTQSQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVASTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKTKVTWHQIAACSFIIVVGVCCACVGTYSSLAKIIQNYT >LPERR02G00100.1 pep chromosome:Lperr_V1.4:2:36199:41048:1 gene:LPERR02G00100 transcript:LPERR02G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKDKERLKTKSAIVLRGGDNKEVRFKAKTELKDLKVKADGIARLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAMEDVGKGARKNASELSTVTADSGDETTECENGAIPAYQSSEFPTQEDAHDRGSRRELNFSKSDLGNYHENMQNVDAGRPRISAGQRGAQTSEGGLGSKNVKSDVGKQEKTNEDVVPSETNRYANRRQQIRGDNQGLSQDRSPQGHRRNENEIRHPVNDYQRPLQQHNRQSPRFNNGKLPQEPGRNGGPIPLNNKQGQFQQMNHPAESAGNSAGNATPTTKSFGIFSARKPATSELKKTNGACTSKAANPDAPKSYGIFSSPRRESSDKSS >LPERR02G00110.1 pep chromosome:Lperr_V1.4:2:45579:47224:1 gene:LPERR02G00110 transcript:LPERR02G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPNTSRRASLATAAVVLVLVVVLSAESAKGGSTRAAPALIVFGDSIVDPGNNNAINTIIKANFPPYGHDFGQNHHPTGRFCNGRIPTDFIASRLGIKELLPAYLSPELETQDLLTGVSFASGGTGFDPLTPRLASVISMSDQLSMFQQYKDKVRAAAGDARVNELMDKGIFAICAGSDDVANTYFTMRARPGYDHASYASLLVHHAAAFVDDLVNAGARRVAMIGMPPIGCVPSQRTLSGGIERGCSEGHNEIAEAYNAGMKRRMEELERRHPRTKLVFMDIYGFLLDMMMRPAVYGFSESTMGCCGTGLLEVSVLCNGVTSSVCDRVTDYLFWDSYHPTEKAYKILTDFVFQKYVKKLLLD >LPERR02G00120.1 pep chromosome:Lperr_V1.4:2:47941:50277:-1 gene:LPERR02G00120 transcript:LPERR02G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTEKKTILSVDDILALIGDRCDGLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFFLKANGEEPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIACHRQNCKKRSSVDLFYWVN >LPERR02G00130.1 pep chromosome:Lperr_V1.4:2:50835:53409:-1 gene:LPERR02G00130 transcript:LPERR02G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHVAASKPSDDAAAATANNSTSTDDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >LPERR02G00140.1 pep chromosome:Lperr_V1.4:2:54537:63297:-1 gene:LPERR02G00140 transcript:LPERR02G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCEMLSIGTEVSLAAFPVDAFVPILVGLLGPGEDGAGGATPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSRLVAGASVPPALSRPADQMFEIVSLADDLLPHLPPGIISLPTYFHVLVKGSSTKKSASSKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEVMMEKLPETFSKLFVREGVVHAVELLICPESSDMVLSHDKDNDSVMPSRSRRQRRRGGAAPTENSSLDESNTSNPGITSSAPCSTEVPNTSLRFAVSDRAKLFKDKYFPSDHDSRDLGITDDLLKLRTLCAKLNTASENVVTKSKGKSKALSATHFDISHDVEAQFDLIITQILAELSKFNGVSTFEFVRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSINHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRTEAASKPTVPSGNNSESGISGAAAGASSTAAQAPSGRRPTTRSKSSAVSSGVSKKDSQEESTSSAKGKGKAVAKPNSDEPKGPSTRNATRRKATSEKDMEMKRSHGDSSSEDEELDASPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICDEDGVHDVKLGDTDENIGSASDSQVQPSSGSSTRNIMSRGVDANTFGSRGAMSFVAATMAGLASVGGRGVRGNRDRRGLSVGGSMNEHNKLIFTAGGKQLSKHLTVYQALQRQLMFDEDDEEKFNGSDLSNDGNRFWGDVFTITYQKADSQVEKGSQGGSTSLNSKSDPSRSIAESKGVSLLDSILQGELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQKQQGDNQNSAGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSARLGLWRSSSPDSGMQIDRSGFQSPEDDLAAKELNSDLSDNGNHLIQAPFGLFPRPWPPTVDASEGSRFYKVIEHFRLAGRVMAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIISFDAEFGKTLQELQVLVERKRFLESTYGMNQLEVADLRFHGAPIEDLCFDFTLPGYPDYVLKDGEESTTVNIYNLEEYVNLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALSPKLTIVRKHPSSAVNTSNIAGVTESADDDLPSVMTCANYLKLPPYSTKEVMRKKLLYAILEGRYRPLWTNLEGPDHPSDRSEMKFHICGQVSLTSTDKNEELL >LPERR02G00150.1 pep chromosome:Lperr_V1.4:2:66022:79473:-1 gene:LPERR02G00150 transcript:LPERR02G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTAGAGAASPPAPTTLRRNPPRSARPPPTPLTKQQPSSLSRLLDEEAAAAPSASATMDRRLKVFLRIRPLPPPSPLRKSKAPKPKQPPNVCLVANGANSVALTVPNSKLLDPKRARTEVFDGFSAIFSPDSSQHDVFSKVMNPLVDEFLGGKSALLVAMGPTGSGKTHTVFGSPRNPGLLPLTLQHIFSATDQSSKGICQSQRSFCFSMFEILSEGKGERILDLLSDATDIVFQQSTIKGLKEVFIENFADAKRLVLSGMLKRSTAATNANSNRSQCIITIRAIHKNTDVESEKSLNNAVLTIADLAGAEREKKTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLKDYLEGRKKMTLILNVKPGDDDYLDSSFLLRQASPYMKIKYTNLENFSEMVSQKRSNVSLICQENIKKRKVHKNEVVAVAGKNAIDKDASIKVSEQDESHDKILDSELRRVSRNEEIMTKFARALWTVLKQYKQKLLESENAAESTKKLLRCKDIKIMELEKKLMALSCSCKKFPTVEDTSVEQSHDVSSGQVAESSVSLSSQTNLGSSDSALNDFHSKRANLSPQFIGASKGSPIEQSEEERDELHIIAVEEIEHNVDITGVEHRSTPSCSQQVNSEALDVSSSHSSLQLQGMAGSRRRALGGERREFFFRAMEGALQQDPQVLSAQSERFEPTVEKTIVEYGCIQPPQLVDDHGGMYPRGLNGKSCPTKAPIAPIKDSQSERPTDKTEDLPTSNPCNRKNTRRRLRPVSAMMLKEFTAPDIFLDTRKEETVKLSSDAIGRSDKLIRLLKAHPPRGRA >LPERR02G00150.2 pep chromosome:Lperr_V1.4:2:66022:79473:-1 gene:LPERR02G00150 transcript:LPERR02G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTAGAGAASPPAPTTLRRNPPRSARPPPTPLTKQQPSSLSRLLDEEAAAAPSASATMDRRLKVFLRIRPLPPPSPLRKSKAPKPKQPPNVCLVANGANSVALTVPNSKLLDPKRARTEVFDGFSAIFSPDSSQHDVFSKVMNPLVDEFLGGKSALLVAMGPTGSGKTHTVFGSPRNPGLLPLTLQHIFSATDQSSKGICQSQRSFCFSMFEILSEGKGERILDLLSDATDIVFQQSTIKGLKEVFIENFADAKRLVLSGMLKRSTAATNANSNRSQCIITIRAIHKNTDVESEKSLNNAVLTIADLAGAEREKKTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLKDYLEGRKKMTLILNVKPGDDDYLDSSFLLRQASPYMKIKYTNLENFSEMVSQKRSNVSLICQENIKKRKVHKNEVVAVAGKNAIDKDASIKVSEQDESHDKILDSELRRVSRNEEIMTKFARALWTVLKQYKQKLLESENAAESTKKLLRCKDIKIMELEKKLMALSCSCKKFPTVEDTSVEQSHDVSSGQVAESSVSLSSQTNLGSSDSALNDFHSKRANLSPQFIGASKGSPIEQSEEERDELHIIAVEEIEHNVDITGVEHRSTPSCSQQVNSEALDVSSSHSSLQLQGMGALQQDPQVLSAQSERFEPTVEKTIVEYGCIQPPQLVDDHGGMYPRGLNGKSCPTKAPIAPIKDSQSERPTDKTEDLPTSNPCNRKNTRRRLRPVSAMMLKEFTAPDIFLDTRKEETVKLSSDAIGRSDKLIRLLKAHPPRGRA >LPERR02G00160.1 pep chromosome:Lperr_V1.4:2:80920:82459:-1 gene:LPERR02G00160 transcript:LPERR02G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPIYVEKSLASLHESPSPDTDDGDDVYMAMPKPEFDHWSRSDTAVTPSRFPRVIKTFKKIADIPEPEEPGRTIVRKLNAVLRFRYKRIRWFVIELYQHLKLGTYDEINERHLANKSPRMEFLSDQISIVRDGLPGGNVIVDEHNFRVYPVQALWQEIKRAGGQPIPMPTELERVVTKLIGEPPERIYEPIVPWWVESSNLRTPPIEKKKLEVPIELSHVRLNVTLSKMYKMMSLMLNEISERVLREDWGPPTLTVETNGESHSNPCLDKL >LPERR02G00170.1 pep chromosome:Lperr_V1.4:2:89444:91609:1 gene:LPERR02G00170 transcript:LPERR02G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGSEMEREEWTTAITSAGKMKKLVEKLVRERVDDLLAERVDELVGKHLREKKRAKGEEEEALDDGGGITKAKRAKKTDALHLQMVREGGGSSSSKERRRGKREKRRRGREAEASLHKEPEEKTVVAEVGSEEVNKTVLMSDAESEKTVAADAGKPKKKKKMKMMMLDKKAVRDALSLPHLPCNPLPDWMVAMAPEHVRNDETLLDAFSDDVYKQYFLKGYVELDQEITDEEEEEEKGKEGKGTESAETKSMSKENKTRWLRLNHQAAGDALGCCLPCLPYDPLPDWMVPKHVRADANLLNTYTEDVYKQYHLKGYLELEIDNVITDDDQEERKRKEAKEISRRDKRNATKKHHTDKAEASCGDKKVQMGSEDGKIFAKDAKKRRKKAKVQMRLGEGKPAVDAKKANLEAYKQSLANWYLTNPIPRLPDWVLDKMPEGFRAEENRVTAIADRIWEGKRRKYRRCLKAYQQHMEEQEPLTDDEKCFFFVSMIKYPELEYCRVYRAISLLPMI >LPERR02G00180.1 pep chromosome:Lperr_V1.4:2:98370:101194:-1 gene:LPERR02G00180 transcript:LPERR02G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSLKYFALLGEGSADFESLIATLLSVIFLGCRLILNRKHIWKLEHNATISSVEEDMIEANSSILVPSLKR >LPERR02G00190.1 pep chromosome:Lperr_V1.4:2:104111:107488:1 gene:LPERR02G00190 transcript:LPERR02G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEKKEKKEKKKKKEKKKEKKEKKKEKEEKKKEKEKEKKKEKEKKKEKEKEKEKKEKEKEKNKEKEKEEKKKKEKKKKKEKEKEKEKKKKEKKKEKKEKEKKKEEKEKEKEDEKKKKKKEKEKEKEKEKEKEKRHRQAADGGDGDCKVDEAKPKKHCCSEKQKTDRIKPVQDEEEVVRMLKDERTKTQEGDIVVNMSGLLATAKEAKKYVQYGLELNEDLLKAKQMIEEEDDDDGDDDYRADDGEDDEEAKEKKHHAKKVVAAEEIDLNDNLAKQTELSDDQACKQQQDKAGVIPVAILLIPVPKGWAEVREEYVQRAKTRSETYPLDPPDFSEEDFQGLENEERDRMYLAPSSDLYIVLTLTKYLHGVLDQDKTNILQGGKIDDNNVAHSDGGKTEQHDETNPNRRQHDEAKNATDENNEKDKSTEGTMKKKDEATVNHLDKDASTAKSSHLIDFSQDPLIKECDPLHRCVIENKKFIACLKVPGEGDFIASYFSFNLS >LPERR02G00200.1 pep chromosome:Lperr_V1.4:2:113115:115256:1 gene:LPERR02G00200 transcript:LPERR02G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMARHVLNAQVAVVLMLVVAVKEAAAAAPSTTSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDSPLTVRECNTNWLGGFSVRMEGTPEMNRCTARVVQATGHCGAGTPSAARELTLAFRMLGLALYTVPPLLSQPLHAMDFCPPAVALAPAASSSIAAGAPPPVSSPAPPLPPLWRRRPRYLPPIWRHTLPQPVPAPVETTPMPPPPPPQGSACTYDKWADLGSHGCNWKVVTPNTTVGMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNEPSTSSSFLYPTTASVIDHMNAALLSPNQRPVLLEGARFRRANSKLPCHLIPCN >LPERR02G00210.1 pep chromosome:Lperr_V1.4:2:114943:115836:-1 gene:LPERR02G00210 transcript:LPERR02G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFLDDDDDDHHGRRRRIIGVSASQHIVSLTSSTYGILDNIVSSQSQSTTNDDTSCTSIPPPPPPPPPTSTSIPPPSAARPEPETEPAEVINSWELMAGLLDPATPQKAGRKRDTAPAPGVVLYTTTLRGVRATFEACNTVRAALESHGVAFRERDISMDRGFRDELRHRISANDLSLSGGRAPLVPRLFVRGKHVGGAAEVARLEEEGKLAALLEGLPRARPGGWCCDGCGSMRFLPCFDCNGSRKLCFSLPTPAAAAGIEKSKIRRGGAVVMVRCGECNENGLVLCPICS >LPERR02G00220.1 pep chromosome:Lperr_V1.4:2:118791:120958:1 gene:LPERR02G00220 transcript:LPERR02G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDSVVVVEDVRRYQQLPQVETTTKRQQQPHLQLEKKISEEDDDGRAPKRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWIVGPTVMLLFAVVIYFTSNLLADCYRTGDPSTGRRNYTYMDAVKANLGGGKVKLCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHHAAVTNTKNPCHASSNVYMIVFGVVQVFFSQIPDFDQVWWLSILAAIMSFTYSVVGLALGAARVADNGGKFGGSAMGVAVGFVTSSGAMVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAESRTMRKATAISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYEPYWLLDIANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLRGGDCSIGSVRLSVFRVVWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYISHRRIRKWSTTWVGLQALSMACLVVSIAAAVGSIAGVLLDLKSYRPFTSTS >LPERR02G00220.2 pep chromosome:Lperr_V1.4:2:118929:120958:1 gene:LPERR02G00220 transcript:LPERR02G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDSVVVVEDVRRYQQLPQVETTTKRQQQPHLQLEKKISEEDDDGRAPKRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWIVGPTVMLLFAVVIYFTSNLLADCYRTGDPSTGRRNYTYMDAVKANLGGGKVKLCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHHAAVTNTKNPCHASSNVYMIVFGVVQVFFSQIPDFDQVWWLSILAAIMSFTYSVVGLALGAARVADNGGKFGGSAMGVAVGFVTSSGAMVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAESRTMRKATAISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYEPYWLLDIANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLRGGDCSIGSVRLSVFRVVWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYISHRRIRKWSTTWVGLQALSMACLVVSIAAAVGSIAGVLLDLKSYRPFTSTS >LPERR02G00230.1 pep chromosome:Lperr_V1.4:2:123577:126455:1 gene:LPERR02G00230 transcript:LPERR02G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYGTTSATDSESPVPVPVTVDVTAASDLITSAGHRYVDVREKNPLFVEQFSSLVSKEEDVVVGCQSGKRSELACIDLLNAGFKNVKNMGGGYIAWLDKGFPINTPTTM >LPERR02G00240.1 pep chromosome:Lperr_V1.4:2:127688:135262:1 gene:LPERR02G00240 transcript:LPERR02G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHRSMFSPSEVEFVAEDEIVEIVPNIRMEALNMICGYFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMFRSSLSMSRLRTLDGDDRGWNQLHVASRKGDLKQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSES >LPERR02G00250.1 pep chromosome:Lperr_V1.4:2:132129:132308:-1 gene:LPERR02G00250 transcript:LPERR02G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKGFKPGPSARRFLGSIGGGGDGFNTIVCRASEILRIPPYYATMEGKIFEICGFI >LPERR02G00260.1 pep chromosome:Lperr_V1.4:2:134943:136538:-1 gene:LPERR02G00260 transcript:LPERR02G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMLECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >LPERR02G00270.1 pep chromosome:Lperr_V1.4:2:139076:144240:1 gene:LPERR02G00270 transcript:LPERR02G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREAAASNNNNNSRSGELGGLSLSKAASVAIRPTHESKSSESLLPRASTMAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNLELIIDQQQENMTAKNRKAFASMDFDMELGGQYLFSDHDSAAEDEEERPTLIRRTTIGNGLRIFEELLEFDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAILYHRLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQRGFVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWILNYSPSFQYHSLLQILNCVAGLREYFSQTDETHTTPRIPVMENMVDTSSVKKDDKTEEVDTKNKTADRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKTDNDAKRADEPPEKIDLSCFSSILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNIPGSTHYSLVMYFVTKTLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANSYEELPEQVIGAARLAHVEPSAAIVPQDLSLPDDDNAAAASSSEDDHNLSKKTN >LPERR02G00280.1 pep chromosome:Lperr_V1.4:2:145935:149032:1 gene:LPERR02G00280 transcript:LPERR02G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKAEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPHSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSSGYLCSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFETVMELAIEGCKAIANYIREVLLENTKRLECQRG >LPERR02G00290.1 pep chromosome:Lperr_V1.4:2:150041:170432:1 gene:LPERR02G00290 transcript:LPERR02G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALGSTSTFGIKVSPTGFATKKQLCLVPPPVVSLPQRTRPQRKCNFRVNAAKELYFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIIAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADSNVLGTAAKVVLTKDSTTIVGDGTTQDEVNKRVTQIKNQIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEAEEAPAANPMGGSGYRCRGGGGQGGGGGGCWRAHRRESRLLAVGTVQGCAAGRRLRLNISIISLSAKEEDAAAAPTYRSLAAPVAKPIDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANSRIEARDNPSIFLRQLTFVPLLYPSLHFHYLFQSHILHPNLYSRYSAPVMVDIEYTVGKQYELKMKQDFIIGYLPIMLRSHACVLSRKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGTGNQKEGRSKSILRDVFVAHVPVNNGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELLNKSSERIHSSPLDLSLHIKENIITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLVNLCYSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQRFANVMRKLRRSGNIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRDGIRLFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGLSDRIVKPQRDKDGSLLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPKNTNRESGARLTDRDYKDTPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISHTLVRHGFSYNGKDLLYSGILGHPCQAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >LPERR02G00300.1 pep chromosome:Lperr_V1.4:2:155056:155409:-1 gene:LPERR02G00300 transcript:LPERR02G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSSSCLVVPREQPQTPPQADDADIEPQTATCCTALHRADGQQPRFAPVRTPASAAAAALASSSSAPITYDDKAKKSQKQVRKCKSTVQDAPCLRRSGAVRRDWSFEDLRANNAA >LPERR02G00310.1 pep chromosome:Lperr_V1.4:2:169698:170222:-1 gene:LPERR02G00310 transcript:LPERR02G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLVMMMMLVADTTTATDMVEETCGRCRRSNPNVNYTLCVASLSSYPGSRAADLRGLALISAMPLRSALAAISSSATDLRDTASPGSPVRSCLDACLGLFRHAALELRSAVAAVESWRYGDARTAMSAAVDAPVTCEDEFKDQAMDPPPAIKDKSNPLFQQGVISLAIISLL >LPERR02G00320.1 pep chromosome:Lperr_V1.4:2:171199:171786:-1 gene:LPERR02G00320 transcript:LPERR02G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSTSVWVTSSLIICLIVVAAAPAVAAADEEEYCASPTSVEAACRGASETHHGVAYDHCVASLAGDPRSKEKEASSIHGLAMLATRMAIDHAASTEAKINDLAELDGSSSDDRFNHCLEQYGGAADLLRDALDNLKARIYGTAMEQLSAALGAAESCEDAWKDAGVNPPVAAHDREYGRMAHIAIGFTHAAA >LPERR02G00330.1 pep chromosome:Lperr_V1.4:2:174604:181612:-1 gene:LPERR02G00330 transcript:LPERR02G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWVKFLKPVVAMLVFDMLFALMTALVKKALADGLNHVVFIALRQFVAAVLLAPIAYFKERHTRPRFTKEIFAYLFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKIIGTLVSVGGAMLLSLYKGAALTHTASSVQDLTANGIASSSSISKGRWMLGSVLLILNCISFSLWMLLQGKLTKKYPAVISSNAFMTLFSSMQAGVVALTTQRRLSVWLLRGNIQIIAVVFAGVAVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAAVIDLFVLHEQLFLGSAIGAALVIGGLYLLLWGKSKEASATALVAKGAEGLRTVMAMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLGPIAYFKERSTRPKLTPEILVYLFFSALLGAGLAQYTFFYGLQYTTATYAITFANLSPVLTFLIAITLRIEFLNMKSKAGGAKILGTLTSMAGMLVLSLYKGVALTNRSEAMDAAASANATTTVNHNNKQWTLGTVVLLGNCLCFSLWLLLQTKLTKKYPAIYSSTAIMFFISTLQAGALTLATDRLSASAWALTKNIEIVTVLYSGVVASGVGYLIMTWCVSKRGPVFTAAFIPVIQIMVAFIDFFFLREPLHLGSVLGSVLMILGLYLLLWGKKKDAASSLVVCCPDQPKQLVLVDEEAPNTTTKPQQQPASLLKL >LPERR02G00340.1 pep chromosome:Lperr_V1.4:2:185971:187449:-1 gene:LPERR02G00340 transcript:LPERR02G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVEVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDQ >LPERR02G00350.1 pep chromosome:Lperr_V1.4:2:187939:189298:-1 gene:LPERR02G00350 transcript:LPERR02G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTTLSSLPACRSKTAAGSPSSCSVFCRWPSKSSRNGGVRVQVSTTEAEAEVKKAEKVSKKQDEGVVTNKYRPKEPYTGRCLLNTRITGDNAPGETWHMVFSTDGEVPYREGQSIGVIADGVDAKTGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCQFFFLFRINACDLKPGSDVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHDDYKFEGLAWLFLGVPTSDTLLYREEFERMKEIAPENFRLDFAVSREQTNAAGEKMYIQTRMAEYKNELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGIDWLDYKKQLKKGEQWNVEVY >LPERR02G00360.1 pep chromosome:Lperr_V1.4:2:193134:194748:1 gene:LPERR02G00360 transcript:LPERR02G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACRKTRPKLTAEILVYLFFSAVLGQVLASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPYGLEKVDLKAAAGVAKVGGTVLGFSGAMILALYQGPSLTKLGDGSSLYSSSSTAAVGHHHRWAIGSVALLGGSACWSLWFILQSKIATKYPALYSGNALMFLLSFLQMAAVALAIDGVTLSPWILTTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGIVLGSALVIMGLYFVLWGKSKEASSSSSSSSTGKEEAAMPRQLYSQDQETTVQMQTV >LPERR02G00370.1 pep chromosome:Lperr_V1.4:2:200463:206678:1 gene:LPERR02G00370 transcript:LPERR02G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLQQQQQGGGALTAAKGRRGRREMRRIEDTTSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPDLQRTIDRYLNHTKNTSSREQGDRLCAQQKWKSEAITLGKKIESIERYKSKLLGEGLGSCSMQELQELEVQLEKSLSSIRQKKQKMLMDQILELREKETNLLKENRALRDQCKAALSSSAMELNNEDSNNAGVGNDDDDRHYMDVETELVIGRPGTS >LPERR02G00370.2 pep chromosome:Lperr_V1.4:2:200463:206678:1 gene:LPERR02G00370 transcript:LPERR02G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLQQQQQGGGALTAAKGRRGRREMRRIEDTTSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPDLQRTIDRYLNHTKNTSSREQGDRLCAQQKWKSEAITLGKKIESIERYKSKLLGEGLGSCSMQELQELEVQLEKSLSSIRQKKETNLLKENRALRDQCKAALSSSAMELNNEDSNNAGVGNDDDDRHYMDVETELVIGRPGTS >LPERR02G00370.3 pep chromosome:Lperr_V1.4:2:206597:208924:1 gene:LPERR02G00370 transcript:LPERR02G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRARSAGLDKSLLSIAGTVVMEKVQPGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDQSAGFDFTVDTQGADIYPPDEDGLMCADNVYAPKGRCIDWGIAQALPTWGEVRDMQLQAPCYQGMFHQSVTCPGFIAAQAVSSLQIRGDSSDITSPSQGGTVVNRMLGGTNRLNLYREI >LPERR02G00380.1 pep chromosome:Lperr_V1.4:2:221422:223878:-1 gene:LPERR02G00380 transcript:LPERR02G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGHHHQNKQQHQPPPPARWAPQQHHHHHLGLDVEAAVPESSGAGAGSAASGAAAPPGVPPFLAAAMRFKLDVDGGGGSGATGGTDDALNDGGGAGSGMMLHGGGGGGGDDEAATESRLRRWPGEEEARPLDIDYIHSASSSKRTGGKDKAPTPESPAPPAPANYFKNKPDDNAAAAAASSGAVNYKLFSELEAIYKPGSGGAQTGSGSGLTGDDNAMLAPPMADLPAAAAATGPPLNTSETSAGEDAAAVMQPAPRLMEHQESLHRQFLDTMERRERDRAARDEAWRRQEADKFSREAAARAADRASAAAREAAIISYLEKISGETITLPVAPPVPPPAAAGDDVMMTSSQDVGKELVSYDGGEGMHHLSSSRWPKHEVEALIRVRTGLEERFQEPGLKGPLWEEVSARMAAAGYRRNSKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSKNAAGDDSGSKGSELLDAVVKNPEVRCGRPPGFPFDDEGGNEEGRKAEEDGDVAAGHDDGDGEKDGVGTSVTGRATDQAEEDDEMDESHHDDH >LPERR02G00390.1 pep chromosome:Lperr_V1.4:2:235330:246353:1 gene:LPERR02G00390 transcript:LPERR02G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLRLLFPSSPAPPPLAPKLASSCSAVRAASNADGGGAGPAAPSRGDRFLGSQLAAEAAARVLAPEDAERRRRRREKRQALARKPSGASCYGCGAPLQTAEEAAPGYVDPATYELKKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDFNCIGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKKLYDTPGVHLHHRQGAVIHADDLPSLAPQSRLRARCFPANDTDVELSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYKKEVGVTLTPPAGKEKAEGWIGLQGVRELPIKYEEPDRPACDIAISGLGWIAVEPLGVPSSNPDESAEEEDSFRPIGSDPRKTTTMPDVPTSSGDSPPPPEGGSISSMVASSAASAAAAAADLTRRGEAFGADMAAAARAAIDTAIAHSQSTVAVAAEAASSAKADALAALPGLTLTAKEELEWIKNEYAVHEQMVFGKIKDIEFSLTMFAEGVIMAIENPGIAAGTTAVAGIVLFKRPRSYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMGRRACHSKMEFASSRICHMMSCVLLGLKSILDQLPRAHASEFRSEISGLASQVKKEKRVLNNALSKIVNHGVPI >LPERR02G00390.2 pep chromosome:Lperr_V1.4:2:243460:246353:1 gene:LPERR02G00390 transcript:LPERR02G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDAAAVRVYTVCDESKCAAAYPTLHLTHAPLFQIDFLIRSHRRCTPMDAEDCDPYTDVFFIKFSHVSNARFAKRKLDEYVFLGNRLQVSYAPQFETLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHYSPGQGSSAGNSHHQMSSNKSLYVSVCIFQTQHYHVPTKIWEYTKTLHASQIEDPIFSRVSSNKDYFPSKSMNATVNLVREKLDKIQSSTDNSNAVVTSKKPRTDNRRRI >LPERR02G00400.1 pep chromosome:Lperr_V1.4:2:248139:255197:1 gene:LPERR02G00400 transcript:LPERR02G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVADDQTDADFFDKLVDDDDDLSPAPAPAPLPVPVPTNDGDKDQHAAAESAAAALLPAVSGLSLDDDDDDEPSPAPPAVEVAAPPEGGSPDSGKGAVHTTVKQVQWASFGGAGDDGVDPFSDLSGGPADDGFLGTMAGNQSFQSSVIGSIGASDHGIFGGSQSLDAEVTDQDFFGCSSDQNAAAHQSQQQQQQQLEQSGTGVVDSTDPKYLESMYPGWKFDEATQQWYQVDNSDTHGKAAQVDTTSENVHQQQQQPQQLGASYTHNSTQSALETIAEEGTATGSISTWGQQGSTSEYPPNMVFYAEYPGWYFDTNTQEWYSLESYQQAVTASTVQDGANQGVTESSADTIHSVKQTEDLLSDNQVAQHNSLSNSYSYQSQWQTNSFSNSTQPESATASLADSFHGSGQHANAESFNSSTNSQVSFNTASETATSHYGNMNLEPSSIQGGYSTFGGQQTSYNGFETFTGHQAGYKGFEPSTGHQTSHKSFESSGGNQSSYKPFQPSSDHYQGGYKGFEPSTNRQGDYKAFEPSAHSQGGYKGFNPSSVQQAGYKGFDVSTGHQTNFNGFEPSSGQQAGYMGSLPSTGHQSSYMGFETSSNQSYGDANNVANTQGFAPMESMYGNHNQAHASPQVPLSNSYLNTDNSKNFAQQHFLGANASHLQFGQSLHEERSSAGRPPHALVAFGFGGKLIVMKETNSMSTSYDTGNQGNSSGTVSVLNLSEVVMDKIDALSVNNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLIISLLKILCHHYGKLRSPFASDPSQEDTDGPEMAVTKLFSSCKRSSIHMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAILLALQLGDKFYVDTVKKMAHCHFISGSPLRTLCLLIAGQPADVFNADNNINSNYGSQQPMEPSTNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEVWKQLFSTLEDRIRTHQQGGYVTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGTASDRESYTAPAATKFANSQSEMTMSSLMPSTSVQSMSEMADNGVNGRKMAHNRSVSEPDFGRTPKQGAASDSTQNSAPGAGGSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAAIPAAEEPPLAPPPTKPSFQNGVADYTLNGPISVSHPPNGVTEWNTSTSSEHGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGVSRGAVPSYNKPTVASMTPPSGAKFFVPTAAVVAAEQTPNQTAEAHGETFHPDERSSSPPAETSFSSPPPATQFSAPPMVPTIQRYPSMDNITTPYQGSGVLGSNNSSFSKSRAASWSGTYSEQINSVAGARSPDRQTMPSPLMPGKTSHSRSNSNSSVQFNSLTEDLHEVEL >LPERR02G00410.1 pep chromosome:Lperr_V1.4:2:260066:263924:1 gene:LPERR02G00410 transcript:LPERR02G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASHPFDDAIIHPFLFLLPIRPLHHLLRPSPSRPLPPPLPHPPRLRSRARRPTCPPPRLKYTYESESAGRKIKCTTHHRPHGDRTINDDGFDRNCKWESKTKLKYAKEVKGKGWLHPCSHAYSVEEVFGGDDDEDHHHKADKKVNSKDYAIANKEEHKKNSYSSAGVHIVEIDDNTAGCVAIKKAFASTYGKGKRKELSPLDAALLIQMNYRAHLAHRSQVLRCLRDLALKEIRSLFYNISYRRRIAHDTEERQRFAEKIIVLLLTVDALEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGRAISSEMRSGVKNVVKIVEEGKYHNVNINVSARTDDGLFVPVIRSTNYHFLDNGKNFINE >LPERR02G00410.2 pep chromosome:Lperr_V1.4:2:257553:260150:1 gene:LPERR02G00410 transcript:LPERR02G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDADGAASGFFRPVAESSSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRVRLVSDTDMGVTAGSDLVIVTAGARQIPGETRLNLLQRNVALFRKIVPAVAEASPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVAGLAASLLRDQHRIHPVSVLASGFHGIPDEHQVFLSLPARLGCAGVVGVAEMELTEEEARRLRRSAKTLWENCQLLDL >LPERR02G00420.1 pep chromosome:Lperr_V1.4:2:266790:270786:-1 gene:LPERR02G00420 transcript:LPERR02G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRAAAHALRPAASPSPAIPTPERNGSPFERVGDGDSQHGETLICSAPYLPEDIWRHIHSLMPMTDAACAACLSHAFLSFWRCHPNLTLDWGTVCTMTRRGKLRRKIDDILRNHSGTVKILKLHLSDEDYTYPYIDRWLEIAVTPGIEELDLSLCKKYRFPCSLLSDAVRDSIRCLRLECCSFYPMAELGPLRSLTNLYLDSAYYGGRIRVPSFQLPCFGAVEPLWMLLYKVPEDTVHAAAAQQPDCSLMLEAERVCEAPNLSSVNLFGGMKLSFGESLRMKSLIMFRSNAVVVNVPMVPTKFLYLKHLTIQIMRGTYDHLFLVYFLDASPSLVTFCLDVSQQDMSQESILESSSHLRQLPEHSHDCLKRVEIIGFNSAKSLIELTCCIINNAVSLEHLIINTLDSRRKCPWEGNDNNLRCLRVSNSLLKESSRALIAVRRFIEGIVAPTTYLTLLGPCTRCHPIPLDDPMLVDSE >LPERR02G00430.1 pep chromosome:Lperr_V1.4:2:272234:274289:-1 gene:LPERR02G00430 transcript:LPERR02G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSIKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >LPERR02G00440.1 pep chromosome:Lperr_V1.4:2:282248:286851:1 gene:LPERR02G00440 transcript:LPERR02G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDKDGASPLPYSYAPLPSGDGAAAGRRRRPVLASVAVLLAVAVLSGVRLAARTRRPMAEEVVESRGPESGVSEKTSGVEREAVRVMGTEAENAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPEGAIWGTNIAWGHAVSRDLVHWRHLPLAMVPDQWYDINGVWTGSATILPDGNLAMLYTGSTNASVQVQCLAFPSDPSDPLLTNWAKSPSNPVLYPPPRIGDRDFRDPTTAWRDPSDGQWRIVIGSKDDHHAGIALVYKTADFVNYELLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYARNKGVVHVMKASMDDDRHDYYALGHYDAAKNAWTPMDADNDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRKNSTDFSGITIDTASVFPLNLHRSTQLDILAEFHLDPLAVDAVLEADVAYNCSTSGGASSRGALGPFGLLVLADKRAAGAGEQTAVYFYVAKGVDGEVRAHFCQDESRSSHANDIVKRVVGNVVPVLDGEDFAVRVIVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATAARVTAKKLVVHEMDSSYNQAYMA >LPERR02G00450.1 pep chromosome:Lperr_V1.4:2:287835:290480:-1 gene:LPERR02G00450 transcript:LPERR02G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAHALCNHYAAILSSAAAAGGARVAGAVHCRILRTFSQNPPTYLLNQLLTAYAKSGRLARARRVFDGMPDPNLFTRNALLSALAHARLVPDMERLFASMPERDAVSYNAVISGFSGSGSPARSADAYRALLREENVRPTRITLSAMVMVASALADRALGRQVHCQALRLGFGAYAFVGSPLVDMYAKMGLVGDARRVFEEMENKTVVMYNTLITGLLRCKMVDDAKGLFEMMMDRDSITWTTMVTGLTQNGLQSEALDVFRRMRVEGVSIDQYTFGSILTACGALAALEEGRQIHAYITRTWYEDNLFVGSALVDMYSKCRNIRSAEAVFRRMTCRNIISWTAMIVGYGQNACSEDAVRVFSEMQRDGIKPDDFTLGSVISSCANLASMEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHCLFDDMSFRDQVSWTALVSGYAQFGKAKETIDLFEKMLENGLSPDGVTFIGVLSACSRAGLVEKGRDYFESMQKDHGVVPIEDHYTCMIDLYSRSGRLKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGEWTEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSRRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPEEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAISVEKAVQFSVERNLKEW >LPERR02G00460.1 pep chromosome:Lperr_V1.4:2:291701:296431:-1 gene:LPERR02G00460 transcript:LPERR02G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGPRDVCVVGVARTPMGAFLGALSSLPATKLGSIAIQAALKRANVDPALVQEVIFGNVLSANLGQAPARQAALGAGIPDTVVCSAVNKVCASGMKATMLAAQLILLGTHDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDAYGDCAMGNCAEICAENHALTREDQDAYAIQSNERGIVAHNSGAFAWEIVPIEVPVGRGRPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLIGVLREKGGKIGVAGVCNGGGGASALVLELV >LPERR02G00470.1 pep chromosome:Lperr_V1.4:2:298431:298997:-1 gene:LPERR02G00470 transcript:LPERR02G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPHDHEPEHGRSPPNATAAAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHRRRRSARLGGNTGVDDPEKPPVAEAEPPPPPPALVYSAAGTKLAGAAECAICLAEFVDGDTVRVMPVCGHGFHARCIERWLAGGRRSSCPTCRAPAATPSSEPAPAPATATAAS >LPERR02G00480.1 pep chromosome:Lperr_V1.4:2:304113:308141:1 gene:LPERR02G00480 transcript:LPERR02G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISACPICNVQVLTAELQWHANTHFEDDQLQTDMELARQIALTESTANPLDGPKECTNPLASDPHAQGASSSFSASSSCHASVLDEQISCLIGAQIRSNVQEIQGGIMNLLRSCLESEANSSTSIISGHVDHHQSLSSEDQGWGCGWRNIQMLSSHLLNQRPEAREALFGGAGFVPDIPSLQRWLEIAWDKNFDTLGSIHFHNKVYGVKQWIGTAECAALLRSFGLRARVVDFDSTESSSLHSKNGKHAPKQVQGPIDKFVTKNVSPKSTSSELCQEDAENMRGQQVLVDWVWNYFASKHSDKLGNSRRVVVSDKTPLYFQHQGHSRTIVGIQKQHGQCGNQDRYTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIAGSEEREELKIIDGILHLNIYHLIFLRMEVMDIHCRLGMFFPAWRYMDWFLHYMVLVAEPGKTDLMSFI >LPERR02G00490.1 pep chromosome:Lperr_V1.4:2:312368:316581:1 gene:LPERR02G00490 transcript:LPERR02G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALQRHLSSMQPRRRRRHRQQTLARTNKSIAPLKKRNDSPFERVDDGDSQHGETLRYSTPYLPEDIWRHIHSLMPMTDAARAACLSRAFLISWRCHPNLTLDWETVCKTAYRGKFRRKIDDILRNHSGTVKILKLHLSDEDYTYPCIDRWLEIAVTPGIEELDLLLYKKYRFPCSLLSDGVRDSIRCLRLECCSFHPMAELGPLRSLTNLYLNSVRITGDELECLITNSLALEQLSLYGCFYIRFLKIPCMLQQLSSLTVRSCWRLKEVVCEAPNLSCVNLFGGMKLSFGESLRMKSLIMFRSNAVCYAHAELPLIMPNLETMILCSFPEVVVNVPMVPTKFLYLKHLTIQIMRGTYDHLFLVYFLDASPSLVTFCLDVSQQDMSQESILESSSHLRQLPEHSHDCLKRVEIIGFNSAKSLIELTCCIINNAVSLEHLIINTLDSRRKCPWEGNDNNLRCLRVSNSLLKESSRALIAVRRFIEGIVAPTTYLTLLGPCTRCHPIPLDDPMLVDSE >LPERR02G00490.2 pep chromosome:Lperr_V1.4:2:312368:316581:1 gene:LPERR02G00490 transcript:LPERR02G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALQRHLSSMQPRRRRRHRQQTLARTNKSIAPLKKRNDSPFERVDDGDSQHGETLRYSTPYLPEDIWRHIHSLMPMTDAARAACLSRAFLISWRCHPNLTLDWETVCKTAYRGKFRRKIDDILRNHSGTVKILKLHLSDEDYTYPCIDRWLEIAVTPGIEELDLLLYKKYRFPCSLLSDGVRDSIRCLRLECCSFHPMAELGPLRSLTNLYLNSVRITGDELECLITNSLALEQLSLYGCFYIRFLKIPCMLQQLSSLTVRSCWRLKEVVCEAPNLSCVNLFGGMKLSFGESLRMKSLIMFRSNAVCYAHAELPLIMPNLETMILCSFPEVVVNVPMVPTKFLYLKHLTIQIMRGTYDHLFLVYFLDASPSLVTFCLDVSQQDMSQESILESSSHLRQLPEHSHDCLKRVEIIGFNSAKSLIELTCCIINNAVSLEHLIINTLDSRRKCPWEGNDNNLRCLRVSNSLLKESSRALIAVRRFIEGIVAPTTYLTLLGPCTRCHPIPLDDPMLVDSE >LPERR02G00500.1 pep chromosome:Lperr_V1.4:2:318920:319546:-1 gene:LPERR02G00500 transcript:LPERR02G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVLRSGVSVCSLTEALSKLFVAVSDDFVAIERIDDDGEEVVLLVPKPGAAATAGHGILVEKVRVDEAAALTEVFKQEKRLIKRNEVDNADMVKAVAAASKYYIVLAALLPAMSDSPTASAAIVLLFKLQKKIMDKSKIAGGSFHKESRALCVRIRAYVNEAVKVPQTMRRAHFWGLLNDIKADADSFLQRRCTLRHHPMDDK >LPERR02G00510.1 pep chromosome:Lperr_V1.4:2:321232:327102:1 gene:LPERR02G00510 transcript:LPERR02G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVFEGYVGVLLLRTAFHGLASEWQARIINYVVFAVGCSLWDLADGDGSRQLCQHYGDFDVKIEVQSKNEKSKEPAGS >LPERR02G00520.1 pep chromosome:Lperr_V1.4:2:326305:328524:-1 gene:LPERR02G00520 transcript:LPERR02G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALAVLFFCLLLLCSAAIVFLLLRHLFRPRRRPRGADPEAISSSVRQAPIPSPPSSPPQQEQPLMQLQQLLSWAGEEKKELKEKEMKEEEAEGPRKLTWREVEALTGGFDEAAVVGRGGSSTVYLAGVSPSSSPVAVKVHRWCGGGERRLRAFRQELDLLRRLRHPHIVSLLAYSDNHEEGGALVLEYLAGGTLAERLHGGASSSPLPWRHRMRILHDVAAALEHLHDGSPPVVHGDVSASNVLLGAGAGSVRLCDLGSACEGFSAAVAPTRAAVGSPGYVDPFFLRTGIVSKKSDVYSFGVLLLEAITGSPAAGSVSGNLTARLLPRVRAEGVEMGVVVDRRLGDEYDVAEAGDVARIAVECVAAQPGLRPTMAHVRKAIAEKAASSISIAAAADHGLHGSNST >LPERR02G00530.1 pep chromosome:Lperr_V1.4:2:332162:340294:1 gene:LPERR02G00530 transcript:LPERR02G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKARDAASADPDDLPRKRRRRAAAAHREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQLVSIGKLITDFHDAAAGDSAATPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDDDDDLPESHAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMDNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENAGVDGPRDRRAVDRDTESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKARPYESGEKIVKISDMPQWAQPAFAKMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNSKYKIVYVAPMKALVAEVVGNLSSRLSEYNVTVRELSGDNNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMACAGKHQVLIFVHSRKETAKTARAIRDAALANDTLSRFLKDESASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADFMETDETLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKIHGYVEPFWVIVEDNDGENVLHHEFFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNSRYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAVLRNHQKAVSGESNMRVVYIAPIEALAKERYRDWESKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGASFLLGSEDEMNTFTGGVSDETLKYTLKSGVGYLHEGLSDLDQELVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNFYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYVELPSRPGEEDFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTIVGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNTMELSQMVTQGMWDRDSVLLQIPHFTKELARRCQENEGRPIESIFDLAEMSIDEMRDLLQLSNSQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKDYMIYLMCDSYLGCDQEYEFTVDVKDAGGD >LPERR02G00540.1 pep chromosome:Lperr_V1.4:2:354909:359249:1 gene:LPERR02G00540 transcript:LPERR02G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGEPSPRGNEKEKKKGEVNPLLPAPPSRDPAPPRREDELSGKCISHANLEYLRDAMRTVTRISAIISFIFTIFPIVSKLQAS >LPERR02G00540.2 pep chromosome:Lperr_V1.4:2:355264:359807:1 gene:LPERR02G00540 transcript:LPERR02G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDSGGERAAAAAYKLYRVAASSIFSSPRPPPRPPRRLDQLDLSDERRRPATSSGYHPSSLLGPGNVDFIPIGSHGDGGDDTSLRMLAFDIDGRALLYGAGAAAAAGVSLRHIPDPCKPKVAPIPFLTGDGRLFLIETAPFCGNPSCFEVLSYGNQPLDGGHGSVLSFSRNFSGWYWRTLPPPPFAKLGYDDVIGGGIRRRDYDIVASAQAPDDSELWITAHGAGTFKFNTKTCEWRKLGDWAMPFHGRGEFIDFRSLWLGLSTGNTWRAYPGLHLCLSDLGCMDSGGYSTPPPTFCLLDGLDRLPNRSSFVMQAYLVHLGYGRICIARFIQEEEDKYGGFNNPFSQNNSSSSRYLLLTGVEMLRDYSTVVHTSRRYNFHNGDFIHGTALVAGAVTTLRSTVIWIQLSSGTGAGGQGCTCHQPTLD >LPERR02G00550.1 pep chromosome:Lperr_V1.4:2:358766:359224:-1 gene:LPERR02G00550 transcript:LPERR02G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVKMKLMMALILVTVLIASQNAMMQKCMVAADKCNMPFPTCVGVCYKRGKCNACCKQLGYLGGKCKILEGMACYCCHEDHDQPPPAVVVDDDHTAVDVMP >LPERR02G00560.1 pep chromosome:Lperr_V1.4:2:360234:363613:-1 gene:LPERR02G00560 transcript:LPERR02G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEGQWVVMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGVKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGQNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPNRVLKMEEAIKSRNFESFARIISLVEKWNHSEGTPQVAYTFDAGPNAVLIAPNRKNAALLLKKLLYCFPPQANDLSSYMVGDKSILSDAGIESIEDIEALPAPAEVKIPNQKFKGDVSYFICSRLGAGPKVVTDESLALIDPVTGLPKGV >LPERR02G00570.1 pep chromosome:Lperr_V1.4:2:366233:367408:-1 gene:LPERR02G00570 transcript:LPERR02G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLDALQAHVADRLAAAAAGEAPILSLGFLSKLLDAVLSSDEAFREVLQIGPVAAALSRPPSDRLAADLLDRAVKALDVLNAVSLTLASLRGSHRAALAAASCLLLSPSPHAHFARARRAISRLFXXXXXVSSRNWSSSSGARHAVAAHLAPPPPQSPSAATAGAGCGLGLALYTMSSVLVFSTWALVAAVPCQERASAAGIPPVAPPKQLQWAAAMASLQERIAEEWRRKEKKGSSLTGSTTGGLLAEMQAMERAARELSCLLEEVAEEEEEDEVSEERARGVVQHAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVLRVMEHNARSSSAAAAAASGSGAPSHHHSF >LPERR02G00580.1 pep chromosome:Lperr_V1.4:2:388253:398964:-1 gene:LPERR02G00580 transcript:LPERR02G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENGAGKTEASRRKRSTEPPPRQLRVRRNIPAVDYNENKLDSSKNTKKTDGTSTMCHQCQRNDKGRVVRCRNGSENNKRHRYCVKCIERWYPHLTEEDFEKICPADKGIKFDDENKIKSSLRITHLLLPWLKQLYQEQMLEKSVEASIREIHVCDMEVPLAQTSIVDFHRSCKNGQYDLCLSCCQELRRGLTPGSIVTCDTVVDVPEIEGKECLQVGSSRNNTLRQGVSDQQSEMLIGGTSSPEDCTPSLRQWTANSNGSIPCPPKALGGCGDCLLELRCLFKEKNVSGLLDEANSVVNNGTDLELGGSRCSCFTESGEVNSDTSWKLACRENSNDNYIYCPTAREVQSGALNHFQQHWLKGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKKRERLSVIALDCLTWSEVDINTHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFLEYTDPKSGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDQVKLKAERITAIEKKKESLHSGNLHVPRPDRKNDMSKALGESTEEPRPQGLGSGSSIDQPAPDIAVMEGGLHTDMVTDDAERNTSLSNGQSPIQSDANMDITSSNGKADHSVCTIDGGEKVGNGFGRGDKCKSSDDVGPSESAGGQRRSRRRGTHSSSVTGEINKTSTEINTFSISIEPKDDHSFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKKKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENLQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALNQAIEDITGKKCLECGRGCGGVGEKARRRRRGSERVWREAAEEREEGGGGGGKNRQEMALRALASVLGRSCSRATVRAVESYDRVARTEGALLTSRVLSAMGFYGGGGGAAAGPLASAATLSAMMGLWISEKAQAKGVPVKAGESTIFLSLLTGKLKVL >LPERR02G00590.1 pep chromosome:Lperr_V1.4:2:403120:405970:-1 gene:LPERR02G00590 transcript:LPERR02G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAADNSPPVAAPAPRRLSSPLPRRAPPSPSPSTSSRAKPRKPSPQPETDEAALDNPDLGPFLLKQARDAMVSGEGGGRALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTAAPPQPPAGEGEVPAEEQPSAEEQQKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMGALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKHRLAELLKEAGRSRNRKQKSLENLFVTNSARVKKEAGRRWSNFGFRS >LPERR02G00600.1 pep chromosome:Lperr_V1.4:2:408613:416630:1 gene:LPERR02G00600 transcript:LPERR02G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHLHHHFHKHHHRLSPRFSPSPKTLSLTRSPPLRLRLRLHARPPRASARGGGAPAPDHGVVRSTSIPIARCYEASLARLELSGAARREQAVAAAAAADGGAAAEAHLAAGADAMVMEAFLPGPDGARRSAMSTRLILQANEVAEKASKIKKDFGAEFFSENEPDSESILAMAFKQVVMDRLTNFRVQVFSPGSEIDLQDLSKPRKVSADFSIRSSDEKLLSSLAEAIFSCVTEDARNNYLGGTGGLFHKWNSNCSLDSSVCIHRISETEAVHRAKRFLESFDLAQYSQVADKAKDGWWPAPKSERLAKIGGHDFMLWASEFVYTYKLQIDAKAFNNTELGGRHELANNKWEVLLSHSQMVELANILDMYFEDQFTLPGKTFYSNWNTETSKIKKNNGYLNSLFALFAGSCIILFVGTVAQLCWPQSLKYKRLATVSSCVLSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSCGCPGDIMVDANIGAWVGELPDFFKGINHDSHAASVDIQGTGGDQPSLVSIPSKMSSHLEQNDDNQGSLQDIASFEVVMSEIGKVVGFQPKSRLAVNHWATNPLTKLLYEGRKISPAIMEPRLRISRPAKVVPVELLMSVNPESFFALARPIRDPC >LPERR02G00600.2 pep chromosome:Lperr_V1.4:2:408613:415848:1 gene:LPERR02G00600 transcript:LPERR02G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHLHHHFHKHHHRLSPRFSPSPKTLSLTRSPPLRLRLRLHARPPRASARGGGAPAPDHGVVRSTSIPIARCYEASLARLELSGAARREQAVAAAAAADGGAAAEAHLAAGADAMVMEAFLPGPDGARRSAMSTRLILQANEVAEKASKIKKDFGAEFFSENEPDSESILAMAFKQVVMDRLTNFRVQVFSPGSEIDLQDLSKPRKVSADFSIRSSDEKLLSSLAEAIFSCVTEDARNNYLGGTGGLFHKWNSNCSLDSSVCIHRISETEAVHRAKRFLESFDLAQYSQVADKAKDGWWPAPKSERLAKIGGHDFMLWASEFVYTYKLQIDAKAFNNTELGGRHELANNKWEVLLSHSQMVELANILDMYFEDQFTLPGKTFYSNWNTETSKIKKNNGYLNSLFALFAGSCIILFVGTVAQLCWPQSLKYKRLATVSSCVLSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSCGCPGDIMVDANIGAWVGELPDFFKGINHDSHAASVDIQGTGGDQPSLVSIPSKMSSHLEQNDDNQGSLQDIASFEVVMSEIGKVVGFQPKSRLAVNHWATNPLTKLLYEGRKISPAIMEPRLRISRPAKVVPVELLMSVNPESFFALARPIRDPC >LPERR02G00600.3 pep chromosome:Lperr_V1.4:2:408613:413789:1 gene:LPERR02G00600 transcript:LPERR02G00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHLHHHFHKHHHRLSPRFSPSPKTLSLTRSPPLRLRLRLHARPPRASARGGGAPAPDHGVVRSTSIPIARCYEASLARLELSGAARREQAVAAAAAADGGAAAEAHLAAGADAMVMEAFLPGPDGARRSAMSTRLILQANEVAEKASKIKKDFGAEFFSENEPDSESILAMAFKQVVMDRLTNFRVQVFSPGSEIDLQDLSKPRKVSADFSIRSSDEKLLSSLAEAIFSCVTEDARNNYLGGTGGLFHKWNSNCSLDSSVCIHRISETEAVHRAKRFLESFDLAQYSQVADKAKDGWWPAPKSERLAKIGGHDFMLWASEFVYTYKLQIDAKAFNNTELGGRHELANNKWEVLLSHSQMVELANILDMYFEDQFTLPGKTFYSNWNTETSKIKKNNGYLNSLFALFAGSCIILFVGTVAQLCWPQSLKYKRLATVSSCVLSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSCGCPGDIMVDANIGAWVGELPDFFKGINHDSHAASVDIQGTGGDQPSLVSIPSKMSSHLEQNDDNQGSLQDIASFEVVMSEIGKVVGFQPKSRLAVNHWATNPLTKLLYEGRKISPAIMEPRLRISRPAKVVPVELLMSVNPESFFALARPIRDPC >LPERR02G00610.1 pep chromosome:Lperr_V1.4:2:414247:416133:-1 gene:LPERR02G00610 transcript:LPERR02G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAVAAAALLVVAWQLSPATMAAAQFSPPAPPDDQYDDPPMTGLPVSPPSPGEFDSPVSPMPDSPPEPETPEPAMPAPPRQPWQQAPLPPKREPAPPRTVVPPQEPNWSSTPPPPAINYTTTGYTTMLVFGDSTVDPGNNNRLQTTMKANFLPYGADFIGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDPGLRSGQFRRGVSFASAGSGYDEATARRSNALSFPNQIEDLWRYKRNLQRLMGQRRAEQLVRRATFVISAGTTDLLYHHLAPNQSAADNGPEEYGIQLIAQIANYTQMMATLGGRRFVFVGVPPIGCLPVVRTLLSIGSTRCHDQMNLLATSFNERLVELVRLIKSQPNIRATYVDAYTIIGKATVDPNNYGLTETSRGCCGTGAIEVGQTCRGRKTCTHPNKYMYWDAAHHTERMNQIITDDVIMNSIGEIYV >LPERR02G00620.1 pep chromosome:Lperr_V1.4:2:417270:419405:-1 gene:LPERR02G00620 transcript:LPERR02G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDGDGHGDGDGDAAPCDSCRARRAIVHCAEHRARLCLLCDLAVHRHVQAYAHRRAPLCDACLAAPAVARRDGDLCALCAACASAGGEQCCHRPATTYTGVPGPDEMAQILSLPPPPIADDDTIEHDDIDMMNYLNYYPEVYYPEVVVQSHDANLGIDGKEMTGTTTTTTITGKEEALLVDNANQQDYFQTWTNSFDSGAFMGPGALQEPSYFDLESYLDPDQKIQPDASLLQPLNTNNTPYVQFPMMDTNINNDIKATHSSSDNIELMQQSSLLQPPLTMNDMSYDQLQMIDTNTSNDASSEFPGVNFQSSSNTGLLGGSSMFDCQDQQVSNVLLPEKSCPDPEKRKRAKDRYLLKRLNRRFDKQIMYASRKATADTRKRVRGRFVKASSEQASSPSDNKQLKHETN >LPERR02G00620.2 pep chromosome:Lperr_V1.4:2:417270:418799:-1 gene:LPERR02G00620 transcript:LPERR02G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDANLGIDGKEMTGTTTTTTITGKEEALLVDNANQQDYFQTWTNSFDSGAFMGPGALQEPSYFDLESYLDPDQKIQPDASLLQPLNTNNTPYVQFPMMDTNINNDIKATHSSSDNIELMQQSSLLQPPLTMNDMSYDQLQMIDTNTSNDASSEFPGVNFQSSSNTGLLGGSSMFDCQDQQVSNVLLPEKSCPDPEKRKRAKDRYLLKRLNRRFDKQIMYASRKATADTRKRVRGRFVKASSEQASSPSDNKQLKHETN >LPERR02G00620.3 pep chromosome:Lperr_V1.4:2:418864:419405:-1 gene:LPERR02G00620 transcript:LPERR02G00620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDGDGHGDGDGDAAPCDSCRARRAIVHCAEHRARLCLLCDLAVHRHVQAYAHRRAPLCDACLAAPAVARRDGDLCALCAACASAGGEQCCHRPATTYTGVPGPDEMAQILSLPPPPIADDDTIEHDDIDMMNYLNYYPEEG >LPERR02G00630.1 pep chromosome:Lperr_V1.4:2:421507:422985:1 gene:LPERR02G00630 transcript:LPERR02G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFPQPATAAAARPIPGSYGPPLLGPLRDRLDYFWFQGPEEFFRRRAMEHKSTVFRANIPPTFPFFVGVDPRVVAVVDAAAFTALFDPSLVDKGDVLIGPYVPSLSFTGGTRVGVYLDTDDPLHAQTKSFSIDLLRRAARNWAAEFRAAVEDMLVVVESDLDNSTSDSPSANYIIPLQNCIFRFLCKALVGADPAAEGIVDRFGVYILDVWLGLQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGYDILYRFVEKHGADAVSVAEKEHGISREDAINNILFVLGFNAFGGFSVFLPFLIMEIGKPGRDGLRRRLRDEVRRVLTNSGGNSGEAGFAAVREMAMVRSTVYEVLRMQPPVPLQFGRARRSFALRSHGGVNYEVEKGELLCGYQPMAMRDPAVFDRPDEFLPERFLGDDGEKLLQFVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLEKKELTPPSPSPS >LPERR02G00640.1 pep chromosome:Lperr_V1.4:2:429862:432138:-1 gene:LPERR02G00640 transcript:LPERR02G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHLQIPLRRLLLSRTNTNPPLLRHAFSTSTLDSTPEPPPGVASPETEAAAAVAESEAAATATATAEASPNPPRREEPLHETILYMIRRRPWTTRLENSIRLLSPTLSAPLVHDVISGAAAAGRADLALQFFRFAYRRAGFTPEHSTFALLVPILASRSMLNHARCLLLETMPSFSITPDEPTVSALIAAYGKANIPQESVKLFRLMPELGITRTALSYNAVLKAILCRGREAMARRIYNAMIADGVVPELSTYNTLIWGFGLCKKMEAALRVFGDMKGHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVVEARKAMDDMAERRLTPKDKSVFLRLVTTLCRAGDLDGALEVHRKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGKTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMKRRDVRTDPESHALLVDSFLKKNESADAKTALDSMMEQGHVPSPSLFMSVMEALFNNGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKLLVALCQNDKVMEAHKLADFALDRDFDVSFSTYDRVLESLYTEDKALPAYSMLCKIKNKGGVVDQKGCDALMESLKAGGYSKQADILSRILAENASSTSKRGKRVAMGA >LPERR02G00650.1 pep chromosome:Lperr_V1.4:2:435805:436885:-1 gene:LPERR02G00650 transcript:LPERR02G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKLAGVGPRAPTNIQAVIGSSSRPATAAATAIEEGQRRLPALASCCFYPSRRFSSGPRPKFSAFDNYLRHHEGPDPPYVDKAELEALRMEVEQKKEELFYKIALLDWQWESRRRSKEAKMDRDVLCLLVDHVKPNPDDQAQE >LPERR02G00650.2 pep chromosome:Lperr_V1.4:2:435805:436885:-1 gene:LPERR02G00650 transcript:LPERR02G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKLAGVGPRAPTNIQAVIGSSSRPATAAATAIEEGQRRLPALASCCFYPSRRFSSGPRPKFSAFDAQE >LPERR02G00660.1 pep chromosome:Lperr_V1.4:2:438183:439657:-1 gene:LPERR02G00660 transcript:LPERR02G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLVARKLAVVGPRASMNIQPVIGSSSRPATATAAAAATGIEEGQRRLLSISSCSNPIRRFSSGASDPPPEPEEADLFGIARYMHHHKGPDPPPYVEQAELEALKTEVEQKKEELFYKIAQLDYQWKSRRCSQEAKMDRSVLRVLIDHVKPNPDDQLWRRYTYIKTLNTGLRVVLFTFTATMLVALSKGGGA >LPERR02G00670.1 pep chromosome:Lperr_V1.4:2:440443:446439:-1 gene:LPERR02G00670 transcript:LPERR02G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQSEAVSCVSRERLRWGQSDGFSPILQLMDLHMKLVLASLSCLLLIQVAFCDGTAEGTGATNWTCVCTSHPLGETNSNSSLSSNCSSSCHCLQDDDGGTGSWNCTCASDKAHQKEHAVIHDRSCFTSCNCTSGISQEGKKHVSNKTVIITLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRITVLKEFLCSCNPICGSEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDTKVIAVKKLRPIGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFEIMANGNLRDCLDLKQGRKPMDWATRVGVALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPSLQGKFPHEEMQIMAHLARECLQWEPESRPTMSEVVQILSTIAPSRKVTRIGRSGSGAGAGDAMHHRLAVRCSVNGGGDGRGNWRSRELEMEEEEETVVDLTEPRLEPAIFS >LPERR02G00680.1 pep chromosome:Lperr_V1.4:2:447400:462710:-1 gene:LPERR02G00680 transcript:LPERR02G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQPEGASRDGGGGSSNAPRTANTGTRYPTRARTAGSPAPSALRNDANKRAMDAPRLPARGGPDTSSYRRCSPRLNNMPPWSSDKQQHQVVEATHVGMSKVINNNASNSSTSRGPGRSSSTAEEGAKEHISGIGSVSSSSKKRKRMTAKSYRALFKLSTKANSTDAVVVTSGRVGKENTSSGNVAESNTGMLCESGRLIEKDKKHSNDTACKDSRSPVSGLHETSETRIDRSTTQLSELHQYKSTDACPQNKVAESEHAVEGRVRSTGDARQDSMSSLQCAPISHIHHEESKSGLGDGEPLSFQKEVIASRPFKLTPSNEVEGNSNRCIACGTPGDLKSCDGKGCKRSYHISCLDHWLVYLSPGIWFCTVCTEKRLLVGIHSVADVIESVWNVKEGTQNGKQYFVKYKNLGHVHNRWVPEGVINDTPGGRDLLSLFNKRDHKEKKNWKKEWTEPHRLLRKRPLMPRKEAEDFFCSTGANIEHCNVEWLVKWRDLGYEQATWELETSCFMCTPQVDELKRKYENRCKAAKQSSIPMETKVPKTLQKLQRLPDEWPPGFDNDHLFSTNQLLEFWHKSHCAVLVDDKEYVIKTILFMLAILPDVCQPFLIVTTPASLSAWEVQFNHLAPFINVVVYDGQKDTLKLIQDLEFYENRSCMMLQVLLSHPDAILEDIETIQGIHWEAVIVDYCENSSLKYLEQLKKPSADFRMVLLGSPIKDNLTEYMNLLAFLNPEERDYNDYVDADDALVTSKARFTHHIAYERKENSSKFMEYWVPSHISRAQLEIYCSILLSKSSVLQSEMKTDSVGALHDIYLSLKKCCDHPYLVDEFSPLSNNSVVTENMDFVVHASGKLLLLNKMLKEIKKKKLRVILLFQSDRAGGNRMGNILEGFVRHRFGPESYERVEYNAVLSRKQAAINKFNDKTNGRFIFLIENRACLPSIKLSSIDAIIIYGIDNIPLNDLKALQKVKIESQSEHVSIFRLYTPFTVEERSLVLVKQGILIDNNIQGLRTSLQHSLLSWGASFLFARLDEVQQDNHASKSFEMETLVINEVIVEFLTKLSTNVEDSANVHRWTISKANMSGELYSRNITLMGEKKGISVLEEDPAKFWLNLLDGRSPCMSYVSELLQSRVRKFQTMVEVKAPAEETDEARKKRRKAGEVMGSSSKVLSDNSNDASPKICTTSTPSFQLVDDKQQKLGSKSLMSTPKNLHAQLNQGLSKLIKLLQLPDNVSLLVEQLLEYLLKNHLVAQEPKSMLHALNIALCWRAASFLNYKVDHRESLALAEKSLKYECNEELASFVFKGLRELKKKFPQKTGATGSNCQSTSVEKTRSSQPEISNIFRNDHIFPRQSMDLHDNFRNGALQEGSCGAAQMVSEEQELIVVPGTHVECHLSTDELPDIVEKEIDLIDKVFCLRENHILDKQQSQVLELDRHTENKITRLKRVCNIVLEHIRRSHIDVETRNDTMKRTVHWFTMLIYAFLEHMRLQYSKLKALQSNTWAKERQLKEKLCQEAKSGQLDHSFDQQIALSDSNFVMEEFIHLKEQTSNSLVSGSPVSDCQQLCHDRLNMVITLVRNVVPSEPISSWAVTDQPTSEVVGFPDNNICSSPDGIGLQKASCSPSTMPSNDDSIHQESFASEYTSTENVERDNANPITLLGGATSPFTGVDANNYVAGAADPANLESTVLASTQSLMTLSSSKEVATKSNLSTLPVSQAVETSQHPPTEAELAWDVQPEMQTMTSTLDSPFQRMCPDDNNQMVHQPDTATGPLQEGATSCHLALVDTSAGVTAKVDDSAPADPLDSEAQSYTAAHNPGALLVAREDGVQTDHPRTLEQQSTGLPPKQSSVPSQHPTAEAELTTILNMETAQDLQLEMRQSASALEASLQRMHPDDGSQTKHQPETAPDQSQRGGTSHHFGVAQGGVDANNGNTVFAVQAHLESPTVATPQSLPVLREVETAEVERTDLLVTQPDSNFQPEMQPSTALLDASLESNNRSQTDHQPDHIVVSQEGATTQQHLVDGRVAVKAKNGDSVAIDTSHSESPISIVHETASLAVSAEVETQTCQLGMSAEQNTSQPAQQSPPTLRHLTGSPVGMETTQRFQPKMQTSISGNQSAEAEQEDVSSSLVIQDLEPAVQPSISGHVQPADAEQEKMPSSAAIHDLEPEMQQLSTSGQDQSAEVEQEGMPSSAAVQDLDPGIQPPNSVQNQYAEREEMLSTAAAEDLQPAMQPPTSVQDQLAEANQEGMLSAAAAWHFQPEMQQSTSMHDAPFERADQSGISMPQSITVNQSVVSSSDLHTGVEPTGALCMGTTHESLSESQPSCSMQERSAETGVGVSCAMAACDLQPQMEPQSTMQHVPLERIHREDRNQIVFPINTAPQQSTQPLPVAPVVFNYQRVNDEPLKNELERLNFCRNHLKQNHEQKRKEILVEYNQELEKVKNKYDLLLQKEDSSYTQKEAELEDVFRKVSKHQSLAENFRRKFILSSAAQGGSMRPTMWQPVQSSQQLSPRILPEQVTASPVSLSSAPRSQVLHSSGPYAQPPLVVRPSTSRATQLEPILPGNIYRAISSPFSTIPVLHAPPPHLQQLRMPSPYAMSRINQQQLPVNPGVTSLRQLVPGIMESSASTSPHEGSLLTSLAPNAVNQTMQTILSASNSDPAILASSLPLPDFMANFVPPCSSNPSIPAFQSSNMVVHSTSSPLNAAAGSQHTAAQISGVNHSGSPSASLNAWLTDGLRLTGNTEVVCLSDDES >LPERR02G00690.1 pep chromosome:Lperr_V1.4:2:467195:471200:1 gene:LPERR02G00690 transcript:LPERR02G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPRKSVGPTRATTSAKPFSKRTYSIISSIAVVRQITHPPVCCFCGSASGEVASSPRPDEPPQET >LPERR02G00700.1 pep chromosome:Lperr_V1.4:2:468974:471821:-1 gene:LPERR02G00700 transcript:LPERR02G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSPWRILAATLLILGAIKSTMASRMAKAGCQETCGNLTIPYPFGIGDGCFYYSGFDVSCEDSRTYMGDSSSQMVIYDFSLLGGQARVSTLIANKCTETDGNWTDSWVSTGTDRFFTLSSRANKLTAIGCNTLAFLRGYNKQKVGAGCFSMCPNDKSVGNSSQCSGMGCCQTSIAPDLTFFNVTFDERFNNSEVKNFNPCSYAFVAEQDWFRFEPAYLEGHKLTEKYKEGVPAVLDWVAGRESCAEAPKNRSSYACVSTHSHCINSQNTTGYLCACKNGFAGNPYLEDGCQDINECKFPDQYPCHGDCTNTIGSYSCNCPSGTHTTDPKREICSPNTTSERARLTKMFIGISLCAILLLSCIFALLIKCQKRKLMKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTKQELENATDNFDSSRELGRGGHGTVYKGILKDNRVVAIKRSKIMNMIQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFDHIHGKYRTTSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYSINDEEKKSLASSFLLALKENKLQSILDRNILGVGTELFQDVAQLAKCCLSTKGEERLLMTEVTERLKAIRSTWRGQLIESANEETVCLLENTSQYDPSITTGQHRSLMTLDLETGR >LPERR02G00700.2 pep chromosome:Lperr_V1.4:2:468974:471821:-1 gene:LPERR02G00700 transcript:LPERR02G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSPWRILAATLLILGAIKSTMASRMAKAGCQETCGNLTIPYPFGIGDGCFYYSGFDVSCEDSRTYMGDSSSQMVIYDFSLLGGQARVSTLIANKCTETDGNWTDSWVSTGTDRFFTLSSRANKLTAIGCNTLAFLRGYNKQKVGAGCFSMCPNDKSVGNSSQCSGMGCCQTSIAPDLTFFNVTFDERFNNSEVKNFNPCSYAFVAEQDWFRFEPAYLEGHKLTEKYKEGVPAVLDWVAGRESCAEAPKNRSSYACVSTHSHCINSQNTTGYLCACKNGFAGNPYLEDGCQGISLCAILLLSCIFALLIKCQKRKLMKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTKQELENATDNFDSSRELGRGGHGTVYKGILKDNRVVAIKRSKIMNMIQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFDHIHGKYRTTSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYSINDEEKKSLASSFLLALKENKLQSILDRNILGVGTELFQDVAQLAKCCLSTKGEERLLMTEVTERLKAIRSTWRGQLIESANEETVCLLENTSQYDPSITTGQHRSLMTLDLETGR >LPERR02G00710.1 pep chromosome:Lperr_V1.4:2:473596:480904:1 gene:LPERR02G00710 transcript:LPERR02G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGLQCPDATACFSFQTHRNEASVWADGCLGWADGNAAAWADFCLGPKCISEWQLVPFLPPKTLPFSSGGSVMAAAATAAAARSRAAAAWARLLSLRPNPPLASSTHHHHHLVSSSSRRHFAFSASASSSSSGGGSSKLQQIQSERVIHDLLAELERERQRDRQDAAPAAAGDGKKEEEDEEEEEEDFLGVKPLIEKLERRRAKAEDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCKRHSDLLRSFAESETLDDAHKWMTKIDKFEERHLKLPLEYRVIGDMMNRLKDATGKERFVLLQKLNRAVRIMECKEAYDPSNPANFGLIQHQQVGSPEDLVLNAGFDREKQMIQGEGGEEEDEEFNEDKEKDDLLIEKLNAIEKKIEGKLEELDHTFGKKGRVLEEEIKDLVEERNSLTDQKRRPMYRKGFDVKVIDVNRTCKVTKGGQIARFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >LPERR02G00720.1 pep chromosome:Lperr_V1.4:2:481025:488629:1 gene:LPERR02G00720 transcript:LPERR02G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAVPPPPPEGVGGSAATESTSSTEKERRDLPWVFGSERRVLALAMAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRGYLHPLIFAALYKILALLHLDTPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESSKGTSVVSKQKSAIKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQIKSKAWFLFLEVIPIGMLPMASFLLVGPLKNTLRISDSQDVFPHIAPLLRKPFTIVIPNDNQTHDAG >LPERR02G00730.1 pep chromosome:Lperr_V1.4:2:484967:487849:-1 gene:LPERR02G00730 transcript:LPERR02G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLILASILLLGVIKITMASRMAKPGCRETCGNLTITYPFGIGDGCFYSPGFDVSCEDSRTYMHNSSSQMEIYNISLLTGQVRVSSLIASECYSTTGRIERGWASVNTATLFTISSKANKLTAIGCNTLAFLNGYNEQEVGAGCFSMCLENQTVDSGRQCSGMGCCQTSIAPNLTSFNVTFDSRFNNSQVLNFNPCSYAFVVEQDWFRFEAAYLEGNKLTEKYNDGVPAVLDWVAGYESCDEAQKNRSSYACVSANSRCISSPDATGYLCVCKNGFAGNPYLEEGCQDIDECKFPDQYPCNGICSNAIGDYRCNCQPGTHSIDPKKETCSPNTTSERARLTKMFIGISVCAILLLVCIFALVIECQKRKLTKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTKQELESATDNFDSSRELGRGGHGTVYKGILKDNRVVAIKRSKIMNMVQKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYEFIPNGTLFELIHGKYRTSSISMDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYIAKVTDFGASRMLPKDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYSDSVEEKKSLASSFLLALKENRLQTILDGDILVVGTELLQDVAQLAKRCLSMKGEERPFMTEVAEGLKAIRSTWREQLIESANEETVCLLQNTLQYDPSITGQHGSLMTLDLETGR >LPERR02G00740.1 pep chromosome:Lperr_V1.4:2:489213:493009:1 gene:LPERR02G00740 transcript:LPERR02G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRKTAAAAN >LPERR02G00750.1 pep chromosome:Lperr_V1.4:2:491859:492507:-1 gene:LPERR02G00750 transcript:LPERR02G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNIQQSFESGKAHAEGECQARHAAETVKDAVGAAVDSAQQQQHRAADAVHQTGEQVAQATQNAATAAKDAVAGAGSAATGSH >LPERR02G00760.1 pep chromosome:Lperr_V1.4:2:492943:496056:-1 gene:LPERR02G00760 transcript:LPERR02G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTVSLLLLVTVLSLVLPTGIAVPGDERSALLALKAGIVDTVGALADWNDGGKASPHCKWTGVGCNAAGLVDRLDISGKNLTGKFAGDVFRLPALAVLNISNNGFSTTLPKSVSPLTTLKVFDVSQNSFEGAFPSGLGGCADLVVVNASGNNFVGDLPVDLANATSLEIIDMRGSFFGGAIPAAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGQIPPEIGKLPALTSLYLYKNNLVGKIPPEIGNISTLIFLDLSDNLLAGEIPDEVAQLGNLRLLNLMCNHLAGVVPAAIGEMKSLEVLELWNNSLTGSLPPSLGRSSPLQWVDVSSNALTGGVPAGICDGKALTKLIMFNNGFTGGIPSSLASCASLVRVRIHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPGDLASSSSLSFVDVSRNRLQYSIPSTIFNIPSLQSFLASDNSISGDLPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRRNKLAGEIPKSLANMPALAILDLSSNFLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNPGLCGGVLPPCSGSRSTAAAGARSRGGARLRHIAVGWLVGMLAVVAAFAALFAGHYAYRRYYVDGAGFCDDGGGNLAGESGSWPWRLTAFQRLGFTCAEILACVKEANVVGMGATGVVYKAELPRAVIAVKKLWRPAAADIAAGARDVTADVLKEVGLLGRLRHRNIVRLLGYIHNECDAMMLYEFMPNGSLWEALHGPVEKRTLLLDWASRYDVAAGVAQGLAYLHHDCHPAVIHRDIKSNNILLDANMEARIADFGLARALARAGDESLSVVAGSYGYIAPEYGYTMKVDQKSDIYSFGVVLMELITGRRAVESAFGEGQDIVGWVRDKIRSNTVEEHLDQVVGGGCSHVREEMLLVLRIAVLCTAKLPRDRPSMRDVITMLGEAKPRRKSGSSAGSGSGKGAAVAAPAVVDKDKPVFSTTPDSDYA >LPERR02G00770.1 pep chromosome:Lperr_V1.4:2:501846:504623:1 gene:LPERR02G00770 transcript:LPERR02G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQQQRVIRVRAHDGRSTAVTLAAAATVQDLKTALRSSFAPALASRDFHLFLKGVNLLADAKVGNLPIAHGDSLSFIPVAAAKPTPPSTTAPPNIFSGKKRKFSWRGGEDIYAKIAKPTPPPPPPPSPAAISCHGMLPLNPTQMVEHLREGMGKSGGQITHSEEIPGREAMFSDLPIHLSSAMRNALRSIGITKLYTHQSLAVKSAVDGEHVVVSTSTSSGKSLCYNIPVLESISQSSSPCALYIFPTKALAQDQLKTLLEMKPAFSQSEFDVSIYDGDTAMKDRTRIRNNARLLITNPDMLHMSILPCHGQFKRILSNLRYIVVDEAHYYKGAFGCHAALILRRLKRICSSVYGCNPTFIFCTATLANPREHVMELAKLDRVVLVENDASPCGSKKFLLWNPPITAQERRSNPVLEVSRLFAEMVQHGLRCIAFCKTRKMCEQVLIQTRQILQKTASELVDSICVYRGGYVASDRRQIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSMASFWQQAGRSGRRSKQSIDVYVAFDGALDQYFMRSPHKLFGKPVEHCQVDSHNHKVLEQQLACAASEYSLRSEYDECYFGSSMNSVITTLKDKGCLINNSSGGNSGVWKYIGHDKKPSQNVSIRAIEHHRYKVIDRKSNRVLEEIEESKAFFQVYEGAIYMHQGVSYLVDKIDLCSRIAYCKVFDLDYYTKVKDYTEISFIGGDVDEHPASQCKPDITRTTAQANACKVTTRWVGFDRILKSINQKSDTITFNHLPPYSFETQAVWVQIPQSVRATVEQRGSQFLHGVHAASHALLSILPLHMMCNGSDLGTQCAEPQGNSETSDRILLYDKHSGGIGLASQAKLLFGELLASALELVETCSCTNFDGCPSCIQSFACSDCIKNLDKEAAILILKGLIQYEKLYF >LPERR02G00780.1 pep chromosome:Lperr_V1.4:2:505721:506666:-1 gene:LPERR02G00780 transcript:LPERR02G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSGVEPACRVLPIIDEESESEMGEMSSPERKVDERRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLRNSRIASLRKHEQQQLRTMSRQSDGGMSSSPMSSKSNSFSWDIAGAGAESCSSSPAQSPLWPAFRHFSPSPVTTHGGAMRLRRDSSGSVADDDRMSLSSMDGDDYGGSSRMCQWITTDSDFVVLEL >LPERR02G00790.1 pep chromosome:Lperr_V1.4:2:510092:511696:1 gene:LPERR02G00790 transcript:LPERR02G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTVGAPGSSLHGVTGREPAFAFSTEAGGEDTSAAGKFSLPVDSEHKAKTIRLLSFAKPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLSLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSKVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRATSLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMLLPPSAGAEEEHYYGSEWSDEEKSKGLHGPSLKFAENARSERGRRNAVNVAAGGATSTNGTPEHA >LPERR02G00800.1 pep chromosome:Lperr_V1.4:2:514737:516341:-1 gene:LPERR02G00800 transcript:LPERR02G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTVGAPGSSLHGVTGREPAFAFSTEAGGEDTSAAGKFSLPVDSEHKAKTIRLLSFAKPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLSLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSKVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRATSLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMLLPPSAGAEEEHYYGSEWSDEEKSKGLHGPSLKFAENARSERGRRNAVNVAAGGATSTNGTPEHA >LPERR02G00810.1 pep chromosome:Lperr_V1.4:2:526732:531296:1 gene:LPERR02G00810 transcript:LPERR02G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHGGGGGGGKKKKKKGNGRKRPEGGVEAEGSGEVANARWGDCSPALRMEAENVLSCLRELERLENPGPGHLANTLAKKHKGSPLALHVAGYVQAKLGEMDRALEHLVAAKVLAPMCLDIAYSIASTHADMERFELVVEECNRALSIENPTDPALHAIGDHYKLQETVPYRVFAAKERLQALLARASDTKAAEIQRNTIKPKSYASALADRKEDSKLEAEFLHFLKSFKVDYKPDPFYVGELKSMVYLKNKTLCVILGLLRRAVKRVILDENLEKQWEKISYDPPELHIEGLPPPGNILPLIDFLAQPHNKIVETIRVHDPKGELVACTTDLGRRLFLGFLMCVINEHKKGHSWCGEFTIHDLIVKYSSSFEISKIPSANASPHAMSEDMKQLADILQKHIRTSEGYLPGYFGTLYSDMRNCIGELSSFNSVKTIEFYKYLSSHLALKSAMTRGHLFLDLYRVHQAIGKSARKDLLFLLKAMSPEEDWIGLTRKHPLLKKVSEYGVVEDKTEASGSETQDDGSDDNTEEMMTRTSYSPHLSHLLMFIRHVTEHGPDHTKDDDKEQKLKSLVELDLIIAKYIPNSALYLIKALVMNDQLKEMC >LPERR02G00820.1 pep chromosome:Lperr_V1.4:2:531817:533945:-1 gene:LPERR02G00820 transcript:LPERR02G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMDDDDDDDGVPFTSTFDKDLLLPLLVPPPLPAADGGVSPIWVYLDSRAYIAPEHITNATTASSTTRNGIDIHVSFCLATPPRLSYLCVHCPRPGGGGYQIVLDPQVISTHADLALLRVPLPNDGLHCGFRSYDFFVYTARPRPGASSLHRLPNPHASPFSSNAVSILRCSANRYVIAALMHTLRGDMEFNLQRYDSDIGHWTSTPVLVDKPQRDTVLPIPDTATELLFHDTTKVITLGGPRGTIGWVDLWRGILLCDVLDKVPVLRDLPLPKPARRNRSSFCRGCPHSYRDITVVLQDASSCIKYVEMETRPGDPPPSQRRQPQHSDDDSGSEEKEQKQVAYYWKANIWSMPIPVGSWEDWHKEFKLDVTDIAVDKVKYSELLPKIGIDPEETLRRLMTGQPTLAMDGSAISFLSKINYMDDKGWIVYVGLKNKALQNVVELDHRKNSSFMRYYITTDISKYLIKATGETGTLVKTGVMIQGRRKNKSGKKKEQIRQTAMGRGKEMR >LPERR02G00830.1 pep chromosome:Lperr_V1.4:2:534953:545164:-1 gene:LPERR02G00830 transcript:LPERR02G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGDAPPSSAGGSPATSPRPPTPASTQGQQQQQQLGFRNQAMMHHHEQQQQGFPSGMPHGMMGGGGGSGSFPPSSGPMPPFQGQRNLAQPGGPQGMVGGQQHMPNAMQQAYLQYMIQQQQQQQQQKSHGMLLQQQQQAKMNMAGPSARDQDVAANTAKMQELMSMQAQMFKRQQSEHLQQAAEKQAEQGQPSNSEQRSGDMRPPMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNLDLSNPANVSLISQILPMLQSNRMAAMQKQNEAGMAPQQQSVPSQMNSDAPGHGNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNVSNMQMQQQLAAHNRDSSNDRAVRPGMSMGNGGQMMHMPQGSGQANKIPEQPNPKNANSEAMQMQYARQMQQANRATTPSTNSGETGGSQTPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLELIMSSEPPSDSQAQQVSGPPVANRERPATSNADEHGRPVESGGTAPERSSVLKCLPKVEVSAPEDKTISASGPMQAMKASPKDPLRIVPVSMPEQPSTTLIKSEQDPERVIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSAPTPREVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLVQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARLRDEVEQEQQEIMAMPDRIYRKFVRQCERQRLELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNILVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARAQRNSMYQIKTYKNLNNKCMELRKDYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQQVNRMIARTDEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELGAVVASLSKKPLRNMASGGIALDTNEKLEKRRGRPVGSGKYSIYREIDDEDDEESDEDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRRPNAEKLDDRSGDGSVPQRGAHLAFQGDGDYDSQFKSEQAFADPASRQQDPVQRTVKQKRNMPSRKASPATKAGKMTQLSGSGEGSVEHSKEHWSNKMVESAGPDSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKSAMSFSNPGGGASGAAAQSTKQAVSGQKRRSSNSEAEQHGSSSSRHNQHATVGEVSGRVKSEDSRHSGPGGRDQFTDSTGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPVPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPAKRSRTDSGKRRPSHL >LPERR02G00830.2 pep chromosome:Lperr_V1.4:2:534953:545164:-1 gene:LPERR02G00830 transcript:LPERR02G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGDAPPSSAGGSPATSPRPPTPASTQGQQQQQQLGFRNQAMMHHHEQQQQGFPSGMPHGMMGGGGGSGSFPPSSGPMPPFQGQRNLAQPGGPQGMVGGQQHMPNAMQQAYLQYMIQQQQQQQQQKSHGMLLQQQQQAKMNMAGPSARDQDVAANTAKMQELMSMQAQMFKRQQSEHLQQAAEKQAEQGQPSNSEQRSGDMRPPMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNLDLSNPANVSLISQILPMLQSNRMAAMQKQNEAGMAPQQQSVPSQMNSDAPGHGNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNVSNMQMQQQLAAHNRDSSNDRAVRPGMSMGNGGQMMHMPQGSGQANKIPEQPNPKNANSEAMQMQYARQMQQANRATTPSTNSGETGGSQTPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLELIMSSEPPSDSQAQQVSGPPVANRERPATSNADEHGRPVESGGTAPERSSVLKCLPKVEVSAPEDKTISASGPMQAMKASPKDPLRIVPVSMPEQPSTTLIKSEQDPERVIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSAPTPREVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLVQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARLRDEVEQEQQEIMAMPDRIYRKFVRQCERQRLELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNILVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARAQRNSMYQIKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQQVNRMIARTDEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELGAVVASLSKKPLRNMASGGIALDTNEKLEKRRGRPVGSGKYSIYREIDDEDDEESDEDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRRPNAEKLDDRSGDGSVPQRGAHLAFQGDGDYDSQFKSEQAFADPASRQQDPVQRTVKQKRNMPSRKASPATKAGKMTQLSGSGEGSVEHSKEHWSNKMVESAGPDSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLAGSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKSAMSFSNPGGGASGAAAQSTKQAVSGQKRRSSNSEAEQHGSSSSRHNQHATVGEVSGRVKSEDSRHSGPGGRDQFTDSTGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPVPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPAKRSRTDSGKRRPSHL >LPERR02G00840.1 pep chromosome:Lperr_V1.4:2:545799:546054:-1 gene:LPERR02G00840 transcript:LPERR02G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTHPPGVHKITTTMVDGTIRDRSPQMSAGKSRKTIARKHKHDFNTSQHLSPRQLRKNAIIKCSINIVTLGQM >LPERR02G00850.1 pep chromosome:Lperr_V1.4:2:549807:551326:-1 gene:LPERR02G00850 transcript:LPERR02G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAASGGARLHVAMLTLQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPAMTARLAAQFFFLALCGITANQGFYLLGLQHTSPTFASAIQNSVPAITFAMAAALRIEPLNLSSRHGLAKLSGTLLSVAGASLITLFKGPQIHTLSSSTAAVAGEGNNNNSNWGMGCVYLMGHCVAWSGWLVMQAPVLRRYPARLTVTSYTCLFGLLQFLAIAAFAERDAAAWAVSSRSELLAVLYAGFVASGVAFAVQTWCIHRGGPVFVAVIIGAVLIVAGLYLVLWGKSQERAEASAAAAIADQQQQPSASAAAAADDDDSCLKQPLLLPTSTAGSENV >LPERR02G00860.1 pep chromosome:Lperr_V1.4:2:555929:560709:-1 gene:LPERR02G00860 transcript:LPERR02G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRLLVAVVFLLAVAAAAEGIRLPLDASFPKAQAERLIRSLNLLPKEAGPTGAGDVPSVAPGELLERRVRLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDSISNIIFVDQPTGTGFSYSSDDRDTRHDESGVSNDLYSFLQVFFKKHPELAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMKLIEKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMRLVGTKNYYDIRKECEGKLCYDFSNLDKFFADKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGTEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEESLPEQSMYAVM >LPERR02G00870.1 pep chromosome:Lperr_V1.4:2:564029:568809:1 gene:LPERR02G00870 transcript:LPERR02G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETAPGSGGSTPVGAKPPRHHLTSIRHCASSARIAAATAESNLDSGTLSLISPKDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEYLGMRTHVMPAPGAFYGVFDGHSGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVKADYAIADSHSLDRNSGTTALTSLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPTCRSEKIRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTNQCAVTMVRKELMTHNDPKKCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHVLRGALDSNA >LPERR02G00870.2 pep chromosome:Lperr_V1.4:2:564029:569837:1 gene:LPERR02G00870 transcript:LPERR02G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETAPGSGGSTPVGAKPPRHHLTSIRHCASSARIAAATAESNLDSGTLSLISPKDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEYLGMRTHVMPAPGAFYGVFDGHSGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVKADYAIADSHSLDRNSGTTALTSLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPTCRSEKIRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTNQCAVTMVRKELMTHNDPKKCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHVLRGALDSNA >LPERR02G00880.1 pep chromosome:Lperr_V1.4:2:567877:570167:-1 gene:LPERR02G00880 transcript:LPERR02G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKGRIFPPVTEFDAAARERRTAAADLDGTLLTSSSAFPYYFLVALEAGGYLRAAALLLLSPLLLVLYTGVSEAAAISLLVFATFAGLRVGDVEAVARGVLPRHYAADVRADAWRVFRGCGAARRVVVTASPAVMVGAFVREFLGAEVAGTELETFAFASGRRFTGRIKAVLVGERKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPKNKKAPRAAAGELLSRAIFHDGRLVRRPDPAAAAFALAYLPIGFFLALLRVFLNLPIPPHLVRHTYALTGIRLSIRGSPPPPPNPNSGDLSNSGGSLLVCNHRTALDPIIISIALGRPVTCVTYSVSRLSTAISPIPAVALTRVDRESDKARIAALLEKGDVVVCPEGTTCREPYLLRFSALFAELTERIVPVAVEARQSTYYGSTARGWKFLDPYFFYMNPRPAYEVTFLPALRKEETCVAGGRSAVDVANHVQRVIAKELGFECTALTRKDKYMKLAGNDGRVAAADGDKDKKTKAN >LPERR02G00890.1 pep chromosome:Lperr_V1.4:2:572021:573202:-1 gene:LPERR02G00890 transcript:LPERR02G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIKHSGKLSCSSMDSDAPHTSLIHGLPDEIALLCLARVPRRYHHALRCVSRRWRALLSSEEWHCCRKRNNLDESWIYVICRGTGIKCYVLAPDPTTRSLKIMQVMEPPCSSREGISIEALDRRLFLLGGCSWLNDANDEVYCYDASSNCWSIAAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDSVTNSWTAHKNPMLTPDIVKFVALDGKLVTVHKAAWNRMYFAGKYDPLCRTWRGTENEIALCWSGSTVVVDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVAIGRKIYVIGRGLSVVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >LPERR02G00900.1 pep chromosome:Lperr_V1.4:2:576737:580219:1 gene:LPERR02G00900 transcript:LPERR02G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPALAPSPAAATDAAFPPPPRPDAPVAAATGGGGAWGAAAVAQRKAVAEDSESAAAKAVSRLVASCANSSGVAVAVVDANAVISGGAALSSSAGRLVTVPEVLEEVRDASARRRLGLLAVPVETIEPAPEFVKKVVKFARETGDLQTLSDVDMKIIALAYMLEAEVHGTNHLREHPPPLRVVSVKNLKEAPLPGWGSNVPNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPSSETNSISENQGDEEHQPAKKDAGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDSLKESEQSFETSSVAPSIDDDKILSENGLNPVGGPSADPEKMTSTTDVLEHQEVTEPEIVGDHLHSDDKDNGVGNVEETGGTDACIEELDILGIKSDSEEGVNSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACNKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVDDIFTHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >LPERR02G00910.1 pep chromosome:Lperr_V1.4:2:580636:582468:-1 gene:LPERR02G00910 transcript:LPERR02G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLAQVCYCNHSSYSEFQTNIQEEQQKEEEKFREGRKKRRAMGCRSCDKPKMNYRKGLWSPEEDQRLRDYIVKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDIVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSIPNNLSANEVTSMSTTDPSSLHHHHHHQIKNSSGSTTTSHDQDANLSSGGSHGGCISAPALAVTTAANEPFDHQHQQHKSFVFSGDWMAAAAAAPESYSMSAQHWPASTASSGNVTPSHAGAFGDQMSGSYGALQQQNSAAATMAGGGYFDLLNMGDIYGGFAATNDDLLF >LPERR02G00920.1 pep chromosome:Lperr_V1.4:2:586442:587458:-1 gene:LPERR02G00920 transcript:LPERR02G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPANSTAGEQQDFQDQLPPDEHLAGIIVPGDEEEEEDDKLSPARCEWEFRLAATVPSPAMAGASDSIGSVDFDHSGRHLATGGIARKIRIYSLSDPSSPSSCICVPAKLSSVRWRPDSSPAAAHVGCGDYDGVVTEYDVERGVPVWERDEHDGRRVWALDYSAAGGMVASGSDDRTAHARRADVAREVRSYSGHVSGRSFVGMGVWRGAGLVASGSESGHVFVYDLRWSKPIWVHPFAAVGAGDGDGDAAFASAVAWRQNGDGDGEGKLVAGGSDGVLKLFTCHRRLDGGDDVERLLY >LPERR02G00930.1 pep chromosome:Lperr_V1.4:2:594569:595597:-1 gene:LPERR02G00930 transcript:LPERR02G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLALLGERLVRATRGSPRAPRSPTSFFSARSSFSSFSARFPKSMRRRFQLRRRRRRRFPSTPAAASPRPRCSTCPCAPPCPRPLPPSVDGDLATLFPRRRRASPRLEDVTTY >LPERR02G00940.1 pep chromosome:Lperr_V1.4:2:597076:602871:1 gene:LPERR02G00940 transcript:LPERR02G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAAAAAAAGVFAGAGAAARPVVLRRSRGVSRSGSWAGRVRLLRAPPRAGGDGGGELPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLVANKKDKLDDILREFMDANRQEQTSGTPDETNKTMDDKGFSSMSRPVRSNPKQDDPALTLLRPAGSKLNQDDPPLTLLRPTGSKPKQDAPSLAPLRPAGSKPKENKPSLTLVRPAGSRPIVRGKPVQDSWPSKESLAARRESSDAGGTSRKNNVDVSLRKPTVHESADDDLKSKLKMKPNIELKMRKDMDEDLANISLLQKPDFSIDNTNSNQENSDAGPSSVAVGEDNDALDAETNGLEERVVIGSINGSESSMLVDDLNAELQPSEQSLNKEDDPSSNNFSMQAFLQGKPKREDLVVETFPSQVDAEKIDASEDNRSYVDDGGNVLSSKLEDITESDWTRLEHYASTGEKVEVELINCSTKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLYKQSVSIEESFEVNDRNIESVSSSDSELAAQDQDSLPSKEKIEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQAVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALIEEMKNIEEVRDIYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLREAVLACTNRVS >LPERR02G00950.1 pep chromosome:Lperr_V1.4:2:603773:609695:1 gene:LPERR02G00950 transcript:LPERR02G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYICKSKVVASEAGGITQGIGAYHVLVPVDGKPQTYKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALSGDGVDELLETVVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKGDIVVCGEAFGKVVFQVLPQENVSLRVLLQAPGDVSVSDVDLAVALEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCVITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKGTVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR02G00960.1 pep chromosome:Lperr_V1.4:2:613106:615575:-1 gene:LPERR02G00960 transcript:LPERR02G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKIAHFARERIPERVVHARGASAKGFFECTHDVSDLTCADFLRNPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTREGKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPDEEERYDFDPLDDTKTWPEDEVPLRPVGRLVLNRNIDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHSPPTPVPPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFVRRFADALAHPKVSPELRAIWIDLISKCDESCGMKVANRLNVKPSM >LPERR02G00970.1 pep chromosome:Lperr_V1.4:2:621784:625251:-1 gene:LPERR02G00970 transcript:LPERR02G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEISAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDEHDEL >LPERR02G00980.1 pep chromosome:Lperr_V1.4:2:626716:631808:-1 gene:LPERR02G00980 transcript:LPERR02G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLTQIKTTRELQRIHAQALIHGLHPNNQSISCKIFRSYAEFGRPADAHRLFDEIPHPDIISYTSLMSLHLQLDYHQNAISVFSRAIASGHRPDGFAAVGALSASGGLGDQRIGRVVHGLIFRCGLDSELVVCNALIDMYCRCGSFGSARAVFDRMLQKDEITWGSMLYGYMKCAGADSALSFFYQMPKKNTVSWTTLITGHVQDKQPIQALELFGRMLLEGHRPTHFTIVGVLSACADIGALDLGRAIHGYGSKCNATTNIIVTNSLMDMYAKSGSIAMAFSVFEEAQAKDTFTWTTMISSFTVQGDGRKAVELFQDMLRSGILPNSVTFVSVLSACNHAGLIQEGRDFFDKMREVYQINPRLEHYGCMVDLLGRAGLLEEAEALIDHMDMEPDVVIWRSLLSSCLAQGNNRLAEIAGKEVIKKEPGDDGVYVLLWNMYASSNRWKEALDMRKQMLSRKIYKKPGCSWIEVDGVVHEFLMCSGDEIDGDTSVEATHYRDIRRYKCEFCPIVRSKKCLIRAHMVAHHKEELDKSEIYDSNGEKMVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYRRKDHLNRHMLKHEGKLFTCTMEGCGRKFSYKANIQRHVKEIHEDENAAKSNQQFICKEEGCNKAFKYASRLKKHEESHVKLDYVEVVCCEPDCMQMFTNVECLRAHNQACHQYVECDICGEKYLKKNIKRHLRAHEGALPTERTRCSFEGCECSFSNKSNLTKHMKASHDQVKPFACRFTGCDKVFPYKHVRDNHEKSSAHVYTQANFVEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDVSSLDNGTEYLRWLLSGGNDDSSHTD >LPERR02G00990.1 pep chromosome:Lperr_V1.4:2:633925:636585:1 gene:LPERR02G00990 transcript:LPERR02G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSDFQEGISTEHAFEAEPVPSLSETITLRSLVVSFIMCATLSVVAMKVTLSSGFIPSFSIPAGLLGFCVSRAWIRILDYFMVSHLPFTRQENTIIQTCVVACTSITYTGGFGTYILAMTKKAAGGDVKDDNNIEEPSIARMVTFLFLISFAGMFIIMPFRKVMIIRHRLTFPNGTATAHLINSFHTPQGVKQARKQVMLLFKSFGGTIAWSLFQWFFSSGRGCGFKFFPTFGLEAYKHGFFFDFSMANVGIGMMCPYMIMLSMFIGTIVSWGMLWPYIETKEGIWYPSHLGRNSLSGIRGYKVFIGLSMILADCLFVFLSIMVRTTCAMAKQHRHPVFFALALALSVFREVANRNQWRIRHYIPSTIGMAVAFFMPPRMAIGMCIGSLAVYLWEKMNASRSRMLSPALASGLICGDGLGSLLLSMLTLVDATAPICIKFLSRGDNVRLDTFLAKLPMT >LPERR02G01000.1 pep chromosome:Lperr_V1.4:2:637491:640395:-1 gene:LPERR02G01000 transcript:LPERR02G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESSSAVATAAAAGGALREVISVEKAFEGKALPAWSEQITVRSIVVSAWLGLFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSCLERCGIFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKQQVSYLFKSFVGSFLWSLFQWFYAGGIGCGFSSFPTFGMAAYNRRFYFDFSATYIGVGMICPYIINFSLLIGSVLSWGIMWPYIESKKGSWYEAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFAIVMGTGLGCIISPVVFWMFYKANNVGQEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQKRWWIQDYIPSALGMAVPFFLGSFFTIDMCVGSLVLYLWSRSDPLRAQTFAPAVASGLICGDGIWSLPSSILSLANINPPMCMRVFSTATNEKVQLFLRTLPVPP >LPERR02G01010.1 pep chromosome:Lperr_V1.4:2:641692:647958:1 gene:LPERR02G01010 transcript:LPERR02G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLRQRRPAAAADGKGNVSAAGPPSGGGGGEERKEALGWMEWGRGWMSIVGEFLFQRIAASNLSNPLELPPLEGISFIVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAHELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVNFANAWNARMAPLHVLINNAGIFSIGESQRFSKDGYEEHLQVNHLAPALLSVLLLPSLLRGSPGRIVNVSSVMHTIGFVDADDMNMTSGKRKFTSMMGYSNSKLAQIKFSSMLHRRIPAEAGINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDPQEGSRGTLFAATDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPADAVDKFIEGESVQCRYGQQKA >LPERR02G01010.2 pep chromosome:Lperr_V1.4:2:641413:647958:1 gene:LPERR02G01010 transcript:LPERR02G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLRQRRPAAAADGKGNVSAAGPPSGGGGGEERKEALGWMEWGRGWMSIVGEFLFQRIAASNLSNPLELPPLEGISFIVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAHELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVNFANAWNARMAPLHVLINNAGIFSIGESQRFSKDGYEEHLQVNHLAPALLSVLLLPSLLRGSPGRIVNVSSVMHTIGFVDADDMNMTSGKRKFTSMMGYSNSKLAQIKFSSMLHRRIPAEAGINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDPQEGSRGTLFAATDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPADAVDKFIEGESVQCRYGQQKA >LPERR02G01020.1 pep chromosome:Lperr_V1.4:2:645845:647823:-1 gene:LPERR02G01020 transcript:LPERR02G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGGGGGGEDGDFGIFCDGVPTMAGDGGLGIDDVSGDACCLDQSILGKRGRDESSSAGPKSKACREKIRRDRLNDRFLELSSVINPDKEAKLDKASILSDAARLLAELRGEAEKLKESNEKLRETIKDLKAEKNELRDEKVGLKAEKERLEQQVKALSVAPTGFVPHLPHPAAFHPAAFPPFIPPYQAPGNKNAPTPTAFPGMAMWQWLPPTVVDTTQDPKLWPPNA >LPERR02G01030.1 pep chromosome:Lperr_V1.4:2:651412:653742:1 gene:LPERR02G01030 transcript:LPERR02G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFQEFNSWVIGLVLPNRTLRGELAGAGGDSLAGLAALRVLNLSGNSLRGAFPAPILRLRSLQLLDVSSNSLDGPVVNAAGVDLPVLRVFNVSCNALTGAHPVLAGAGLLTSYDVSGNGFVGPIDAAGLCAASPELRIIRMSTNGFSGEFPVGFGQCPSLIELSLDGNSIAGDLPDDVFGLSSLEILILHTNSLSGDLSPRMRNLTRLLRFDVSFNFFSGELPDVFDSIPNLTEFSAASNNLSGELPATLSRCSRLRVLNLRNNSLAGEIGLEFRALQSLVYLDLGVNRFIGEIPASLPECKAMTALNLGRNSLAGEIPATFAAFSSLSFLSLTGNSFVNVSSALRILQKLPNLTSLVLTKNFHGGESMPDGDVIIAGGFASMEVLVIANCELSGKIPAWLAGLRKLKVLDLSWNHLAGEIPPWIGDFDRLFYLDISNNSLTGDIPAKIAMMPALMAGGDGGDEAHVQDFPFFLRPNSSARGRQYNQVSSFPPSLVLGRNNLTGGIPPAIGALTRVHVVDFSWNALTGSIAPKMAGMTSVETLDLSRNRLSGEIPPELTRLSFLSRFDVSFNNLSGEVPVGGQFSTFSHADFEGNPLLCGIHVSRCAPPPSSPEX >LPERR02G01040.1 pep chromosome:Lperr_V1.4:2:653757:659130:1 gene:LPERR02G01040 transcript:LPERR02G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKYMSLLLSNYGAAQSRCSAGDLAALRGFAAGLDAAVAGWPPATAAANASNNCCAWPGDRDGVVAAIVAGTAIVAGTAILLAATVFATWLAWTRRRKDDNARVAADEDVESPSSSASARSTLVLLFSNSDDSDSDDRTTTMTLDDVLKATGNFDESRIVGCGGFGMVYRATLADGRDVAVKRLSGDFYQMEREFRAEVETLSRVRHRNLVTLQGYCRVGKDRLLIYPYMSNGSLDHWLHGDVPEQQLTWPARLRIARGAARGLAHLHDTSEPRVLHRDIKSSNILLDGDLEPRLADFGLARLVRSTYDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSFGVVLLELVTGRRPVDMTKPVGGRDVTSWAVRMRREGKGDDVVDINVSGERRHREEAVRVLHVACACVSENPKSRPTAQQLVEWLDAIAAAPDTSPAPANDTEQQVSR >LPERR02G01050.1 pep chromosome:Lperr_V1.4:2:660609:664500:1 gene:LPERR02G01050 transcript:LPERR02G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEDEGDAGEVDDEYGAVPKAAVRSDADADGRRGNSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRARVPEEDSCDSESVASNFEFHKERGASARSAASAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGMPQRKMEKTTLGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAANWAPPDPYPEVEPCAKSALPEEITVADSAVTFSRHDSSTPLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVISHGECSNMELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKAVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVEVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >LPERR02G01050.2 pep chromosome:Lperr_V1.4:2:660609:664974:1 gene:LPERR02G01050 transcript:LPERR02G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEDEGDAGEVDDEYGAVPKAAVRSDADADGRRGNSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRARVPEEDSCDSESVASNFEFHKERGASARSAASAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGMPQRKMEKTTLGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAANWAPPDPYPEVEPCAKSALPEEITVADSAVTFSRHDSSTPLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVISHGECSNMELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKAVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVEVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >LPERR02G01060.1 pep chromosome:Lperr_V1.4:2:665837:666366:-1 gene:LPERR02G01060 transcript:LPERR02G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVSIILEHKYEQLQHMADGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTIGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >LPERR02G01070.1 pep chromosome:Lperr_V1.4:2:667033:671226:1 gene:LPERR02G01070 transcript:LPERR02G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPPLSGAATTRLLLHHHSPPLVSRRLGVVFPRRRRGGGGATRCSPRAEAGEVESVRAGVSVYKPRSYEVLVSDAARSLACAIDEGKTRLEIEFPPLPSNISSYKGSSDEFIDANIQLALAVARNLKELKGTRSCIVFPDAPEKRRASELFRTAIDSIESVTISSLDDVSTGSVNNFFRLLRDTLDFDAAEDNEDRWKSDEPPSLYIFINCSTRDLSTIEKYVEQFASSAPVVLFNLELDTLRSDLGLLGFPPKDLHYRFLSEFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEAGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >LPERR02G01080.1 pep chromosome:Lperr_V1.4:2:671531:674872:1 gene:LPERR02G01080 transcript:LPERR02G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGATCRSGVLFLLLAAILTASTSASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKLASGEKGWVKIADWSSFKTENKAAARAHSKNLSSFCGRLLEETEDELSEWIKTSSTESGNVSTALCEDISKHCQSTSATIEIDDEL >LPERR02G01090.1 pep chromosome:Lperr_V1.4:2:676907:682108:1 gene:LPERR02G01090 transcript:LPERR02G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYSLDFLSFIDCYTNTSIEKDQIVITQKNKCKDRKGIHIRGGAVEIDRRGRRRRALDAQTLAAAAAAAAAAAGERGDQIDRSIHLSVLPKEHSFLIVSVTMKSHERAANLALAGLSLAPLVVPVNPNVNVILTACLAVYVGCYRSVKSTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSMKRFLPKEWNDNAIVWHAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEAVEEDTDSKQNKKEE >LPERR02G01100.1 pep chromosome:Lperr_V1.4:2:685949:691213:1 gene:LPERR02G01100 transcript:LPERR02G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLLILFVLFLVLPPDGIHKSLAARPSVVHIGSILRFNSTIGGASVVAIQAALEDINSDPTVLNGTTLKVDMRDTNCDDGFLGMVQALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAALVDYYRWKIVTAIYLDDDYGRNGIAALDDALTERRCKISYKVGFSSSAKKGDLINLLVSVSYMESRVIILHTGAGPGFKLLSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPKSKMKSDLISKWSRVSKQYNHSDLRTSSYAFYVYDSVWTVARALDAFFDDGGKITFSNDSRLRDEVGGTLHLEAMSVFDMGNNLLDKIRKVNFTGASGQVQFAVTGDLIHPAYDIININGNGMRTVGYWSNYSRLLSTVLPEVLYSKPPNSSLANQRLYDVIWPGQTAQKPRGWAFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMVEDNEFDAAIGDIAITMSRTITNDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLQMWCVTGLFFLIVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHKEYMVRELNISRSRLRALGSPEEYAKALKSGPKRGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTGECSADNTEFVDSDQLRLESFWGLFLICGVACVIALLIYFVTIIRKYLRHEHPEEPIPPEEPSPKKGGSKYNCRKFFSYLDHKEPPKKKQTLSSLSSTPTTPVTNFTVLEIERPVRTVRNGSVVDIED >LPERR02G01100.2 pep chromosome:Lperr_V1.4:2:685949:691213:1 gene:LPERR02G01100 transcript:LPERR02G01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLLILFVLFLVLPPDGIHKSLAARPSVVHIGSILRFNSTIGGASVVAIQAALEDINSDPTVLNGTTLKVDMRDTNCDDGFLGMVQALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAALVDYYRWKIVTAIYLDDDYGRNGIAALDDALTERRCKISYKVGFSSSAKKGDLINLLVSVSYMESRVIILHTGAGPGFKLLSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPKSKMKSDLISKWSRVSKQYNHSDLRTSSYAFYVYDSVWTVARALDAFFDDGGKITFSNDSRLRDEVGGTLHLEAMSVFDMGNNLLDKIRKVNFTGASGQVQFAVTGDLIHPAYDIININGNGMRTVGYWSNYSRLLSTVLPEVLYSKPPNSSLANQRLYDVIWPGQTAQKPRGWAFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMVEDNEFDAAIGDIAITMSRTITNDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLQMWCVTGLFFLIVGAVVWVLEHRINDEFRGSPREQIITIFWYGADSNILELNISRSRLRALGSPEEYAKALKSGPKRGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTGECSADNTEFVDSDQLRLESFWGLFLICGVACVIALLIYFVTIIRKYLRHEHPEEPIPPEEPSPKKGGSKYNCRKFFSYLDHKEPPKKKQTLSSLSSTPTTPVTNFTVLEIERPVRTVRNGSVVDIED >LPERR02G01100.3 pep chromosome:Lperr_V1.4:2:685949:691213:1 gene:LPERR02G01100 transcript:LPERR02G01100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLLILFVLFLVLPPDGIHKSLAARPSVVHIGSILRFNSTIGGASVVAIQAALEDINSDPTVLNGTTLKVDMRDTNCDDGFLGMVQALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAALVDYYRWKIVTAIYLDDDYGRNGIAALDDALTERRCKISYKVGFSSSAKKGDLINLLVSVSYMESRVIILHTGAGPGFKLLSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPKSKMKSDLISKWSRVSKQYNHSDLRTSSYAFYVYDSVWTVARALDAFFDDGGKITFSNDSRLRDEVGGTLHLEAMSVFDMGNNLLDKIRKVNFTGASGQVQFAVTGDLIHPAYDIININGNGMRTVGYWSNYSRLLSTVLPEVLYSKPPNSSLANQRLYDVIWPGQTAQKPRGWAFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMVEDNEFDAAIGDIAITMSRTITNDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLQMWCVTGLFFLIVGAVVWVLEHRINDEFRGSPREQIITIFWELNISRSRLRALGSPEEYAKALKSGPKRGGVMAIVDERPYVELFLSTNCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTGECSADNTEFVDSDQLRLESFWGLFLICGVACVIALLIYFVTIIRKYLRHEHPEEPIPPEEPSPKKGGSKYNCRKFFSYLDHKEPPKKKQTLSSLSSTPTTPVTNFTVLEIERPVRTVRNGSVVDIED >LPERR02G01110.1 pep chromosome:Lperr_V1.4:2:691620:693447:1 gene:LPERR02G01110 transcript:LPERR02G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLPSRTFHRHPLPSAAPPPRPRESRVPCAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRRVVPPEEYVTAPDGLKYYDLEEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFTVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMSEIPPDAPFELDIELLEVVPPAEK >LPERR02G01120.1 pep chromosome:Lperr_V1.4:2:693363:697002:-1 gene:LPERR02G01120 transcript:LPERR02G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLTQISENEKSGFISLVSRYLSGEEEHVEWGKIHTPTDEVVVPYDALEAAPEDIEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSSITLKGKVTIAAKPGVKLEIPDGAVIENKDINGPEDL >LPERR02G01120.2 pep chromosome:Lperr_V1.4:2:693363:696043:-1 gene:LPERR02G01120 transcript:LPERR02G01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCRLLRNTPIQTSTSILSIRQSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSSITLKGKVTIAAKPGVKLEIPDGAVIENKDINGPEDL >LPERR02G01120.3 pep chromosome:Lperr_V1.4:2:696049:697002:-1 gene:LPERR02G01120 transcript:LPERR02G01120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLTQISENEKSGFISLVSRYLSGEEEHVEWGKIHTPTDEVVVPYDALEAAPEDIEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKAILSCQTSLTEQISEH >LPERR02G01130.1 pep chromosome:Lperr_V1.4:2:697529:703677:-1 gene:LPERR02G01130 transcript:LPERR02G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRLPLLLLLPITLTLFLLFPSSPPSPPPPPLPCGAAPSDATAGRWIPTPKPSPPPLYSPTCPFHRNAWNCLRNGRPPVAALSWAPNRCAGGVVPRIDAAAFLAAARGRRIGLVGDSLSENLVVALLCALRSADSGARKWKRRGAWRGGYFPRDDVIVAYHRAVLLAKYTWQPVENSKELHKDGIKGTYRVDVDIPADEWVNVTKFYDVLIFNTGHWWGLDKFPKETPLVFYRGGKPIEPPLDICDGLKVVLKSMASYIKKEVPRKTLKLWRTQSPRHFYGGEWDRNGSCVSDRLLQENELDSWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHYIKQANGVILSIHKSGLQSFSDKLLLQSSIKKLISIYSQIRLVRISMKDWTVDVLPPDSSNVPKRDSMRKKASESDSLVKQAKAERLGGGGSPPTGEIFPAAAAAAMAAARGDEEEWAAEAAGRVWGGAVPLQVDLHHADVTTLPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDLLCADPERPWNLTVHFRRYPAEILTPCDGEDSVKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSVMKGNLDGYMNISNRLKLGPFEEDCLLRTSSVEGQQGSDEPESPGSGKPCRVPVRLYVRSVEEDLYDLEDAMPVGDWESISYINRPFEVRKEEGRSYITLEHALNMLLPEFFSSKASHTPDDSEDAQTLDSSPDDGDANLRSFEKVESASSSWQEADVANKGKVKLVRVQGVELDMDIPFLWVANNLKNPECYLHICVYVGTRKQQPKDGR >LPERR02G01140.1 pep chromosome:Lperr_V1.4:2:704212:705381:-1 gene:LPERR02G01140 transcript:LPERR02G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKPPKPPNPVRHRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVYKNPEVLIGIARDIAALPPESDVSIVLDRWARFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLACFDQLKMEDALDQCVPSASRAVLEAMVIGFIRAGKVDLARKLLEFAKINKRTLNPSVHVKLMLEAIRSPEGYGLAAALLDELGERPELHLRQQDCTAVMKVCVKLRRYAAVESLFSWFRESGGSPTVVMYTTVIHSRHRDGRHREALALAWEMEQNAGCLLDLPAYRVLVKLCVALRDPERGLRYLARMKEAGFVPTGDMYGDLIGAYAAEGRMVRCRKLIREAELAGVMLERRLLYRLSDMGVEHSQL >LPERR02G01150.1 pep chromosome:Lperr_V1.4:2:705660:707790:-1 gene:LPERR02G01150 transcript:LPERR02G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTAIDWAGPGHLVTWRAGSIQRNARIFSLLLSTPSLASSPSTPTAAAPALRRRAAALRLLPSQLVLGQKGDAKGTKQRATGGGSDGDALSTPAATEALSPAAAVFSPVACHWLPGRSLHSMEFAWPR >LPERR02G01160.1 pep chromosome:Lperr_V1.4:2:717810:722099:1 gene:LPERR02G01160 transcript:LPERR02G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDFKSSISGTTTPKTSSSITTSNSTTGKLSSVGSSFMASSGSGGTSSGFEDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFKKGCPPLTWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPKFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLEHIESMKSRARDARGSGSSRDRNHGRTTAHQRLSPRPDARRGSRANGQAVKAR >LPERR02G01170.1 pep chromosome:Lperr_V1.4:2:723608:728080:1 gene:LPERR02G01170 transcript:LPERR02G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAALFSGVTAILVYLSGLSSYGGARVSYADLAALGALQSGFSKCVDANGLGLQAIPGEDYCRVVIQYPSDTDSKWVDPKTGQPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGILLNKCKNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKSKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIRDIPITNPVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVIKWLPTKDTIEAARVASEKLLKRPGAGRDGPLSTCTMIKKREKGKTPKPFVVRDAAMKHMEYMKDAIRYPLERNVGGVAGGHLLEGNAFIDAFLNAGEPRQVQPTIGNFDMAATCCISPEIRMRMQQRNLYLQRLV >LPERR02G01170.2 pep chromosome:Lperr_V1.4:2:723608:727960:1 gene:LPERR02G01170 transcript:LPERR02G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAALFSGVTAILVYLSGLSSYGGARVSYADLAALGALQSGFSKCVDANGLGLQAIPGEDYCRVVIQYPSDTDSKWVDPKTGQPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGILLNKCKNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKSKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIRDIPITNPVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVIKWLPTKDTIEAARVASEKLLKRPGAGRDGPLSTCTMIKKREKGKTPKPFVVRDAAMKHMEYMKDAIRYPLERNVGGGYLCIINDR >LPERR02G01180.1 pep chromosome:Lperr_V1.4:2:727284:728503:-1 gene:LPERR02G01180 transcript:LPERR02G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEASPSSKTGMHTDNFIHHDEMESKRAAAVTLCCILILISGEMQQVAAMSKFCRCYKQCYPDCRLDLPRFTCIQECINKCIPFKKVAASDCNRNCLFKICGTALEGQDDAASCVDDCTKNTSLNTKYP >LPERR02G01190.1 pep chromosome:Lperr_V1.4:2:730579:747461:1 gene:LPERR02G01190 transcript:LPERR02G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGTVVDAAIEWMVQSILGSFFHEEMKVWTHKVGLAENVATLESEMKSVQMVLAAAEGRRIDNRPLSDSLDELNELLYDAEDVMDELDYYRLQQQIEGKGCNAAACIKPDGSHVSSYSPSLFQQVSSKMNEIIVWAIHGRKRKREELTHGIMLPPEIKHKISERIDGIANCLRIKSKSVQEVLQLEISRPIVVSKQSQSVTRSARLTTSIPIERKVYGRDAEKENIIELLTKGNSSDLGVLPLVGIGGVGKTTLARFVYHDQRIKNHFDLRMWVCVSDNFNEKSITYEMLELVCKGRQRYGNITSFDALQETLLEKIRRKRFLLVLDDVWEDEDRSGWDKLLAPLRFNEANGCMILATTRRTSVARMIGTMSKVEVNGLNDTEFWLLFKACAFFGNENQEHDSTMQSIGQHIAKVLKGNPLAARSVGALLNRNVNFEHWRKVQYKWRSLIEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKFRGEKLVRAWIAQNFVECECHTERLEETGKQYLDNLVDWGFFEEVESHYIMHDLMHDLAGKVSANECATIDGVGSKKVPSNVRHLSIITTAYDDKPSCSFPSEKFDNILNKIMSLQKLRTLMFFGESSVMLLRSLHTLCKESKSLRLLRIYVTADDISATHNLLNPCHLRYVEFIVVPNENISGCVDFVNTSVPQALTQFYHLQVLDASSRANIVVPAGMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELAFKVQDDSTFNIGQLRSLNNLVILAISQLENVKTKEEAKGARLIDKEHLQKLSLSWNDNSMSSEPTVEKTRDDVFEGLEPHQNLKNLELIRYGGATSPTWLASKVKALQVLHLENCREWQIVNSLEMLPVLRKLKLTRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGQDLTSGLRVLVVKDCPCLNEFTPFHSDYFHTNQKSWFPSLNKLTIGHCHRIISWKKLPLEKMGALKELELMDMPVVEELSAPSLEKILPFHNLKGLMSMHLQNCPNLIYVSSEVFSQLIALERLVIEHCPKLFLPHVMSKPVHGNSKSNTDHIVLPSLKSLRIDSCGIAGRWLTQMLPCLQSIEELLLSDCPQIKLLLINHPTETEAANSLASTEITTYRDEQLLKIPCNLFHTLKWLHIGRCPDLEFSRVNEGFAGFTSLLKLHIWKCPKLVSSLVTETNDTNGLLPMSLEDLEISPLPVNLESYSPKGLPCLKKLSLSSIPVRLWSICRSWGVLEGLQHLSSLRSLDSEMNAELSAAWNLKLFPLSPVQLWIRKLEGSFRSFSLPCPPSIRNLNLWDSPELVSLQLGYCTALEELDIRRCKSLASIEGFESIRNLRSLVVLFSPSLPPYLHGPYYPHPYANSSHLYGILQEQGYDCEPMVTYVCIAAVRSYVCNAEWQKLISSGSAGDIPGPDGIHHHRKLGARRDGPLSTYTMIKKREKGKKPKRSVVRYAAMKHLEYMKDTTSFLKKYSKNFGDAVSIWAFITRREEIEWETKATVAAAGGGDKSDSDWDSLGWELVQYIGSSFQTVHAALPKMIIAQLQANAASLQLRHDELPVLMSRDELREILRLADRVLRFADRLRDMEEDLRSEILLWKKKEEDDEEEIIDLRDALDGLQHLSFLRELNIEMNHELSAAWDLKLREQERSGNQADSIQASLKTLWINDSPELVSLQLGFCPALEELSIFYCRSLASIEGFQFIRNLRALKVHHSPAFLPYLEQQEGPFLSPLAMALENALGCNGKPMVSLTEEQERALQLLTSLKQLQFCNCKELESLPANLRSLDSLETLTIWACPNIPRLPELGLPPEELRMQCKMAETEQLRGEEWNGRSRLAAPAVYCMIILLPEQQQMSATASITMLCGCPESGRNMPGVSVLWTAPSDSLCLFSICSTAAIYGDCPTDAAAAVAACVRDCTKNWRRQQGAKPAVRDGFKPLHTVKKCTFQRDEHNYRTVYLDCMYGASAMQVSSRMWRC >LPERR02G01190.2 pep chromosome:Lperr_V1.4:2:730579:747461:1 gene:LPERR02G01190 transcript:LPERR02G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGTVVDAAIEWMVQSILGSFFHEEMKVWTHKVGLAENVATLESEMKSVQMVLAAAEGRRIDNRPLSDSLDELNELLYDAEDVMDELDYYRLQQQIEGKGCNAAACIKPDGSHVSSYSPSLFQQVSSKMNEIIVWAIHGRKRKREELTHGIMLPPEIKHKISERIDGIANCLRIKSKSVQEVLQLEISRPIVVSKQSQSVTRSARLTTSIPIERKVYGRDAEKENIIELLTKGNSSDLGVLPLVGIGGVGKTTLARFVYHDQRIKNHFDLRMWVCVSDNFNEKSITYEMLELVCKGRQRYGNITSFDALQETLLEKIRRKRFLLVLDDVWEDEDRSGWDKLLAPLRFNEANGCMILATTRRTSVARMIGTMSKVEVNGLNDTEFWLLFKACAFFGNENQEHDSTMQSIGQHIAKVLKGNPLAARSVGALLNRNVNFEHWRKVQYKWRSLIEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKFRGEKLVRAWIAQNFVECECHTERLEETGKQYLDNLVDWGFFEEVESHYIMHDLMHDLAGKVSANECATIDGVGSKKVPSNVRHLSIITTAYDDKPSCSFPSEKFDNILNKIMSLQKLRTLMFFGESSVMLLRSLHTLCKESKSLRLLRIYVTADDISATHNLLNPCHLRYVEFIVVPNENISGCVDFVNTSVPQALTQFYHLQVLDASSRANIVVPAGMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELAFKVQDDSTFNIGQLRSLNNLVILAISQLENVKTKEEAKGARLIDKEHLQKLSLSWNDNSMSSEPTVEKTRDDVFEGLEPHQNLKNLELIRYGGATSPTWLASKVKALQVLHLENCREWQIVNSLEMLPVLRKLKLTRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGQDLTSGLRVLVVKDCPCLNEFTPFHSDYFHTNQKSWFPSLNKLTIGHCHRIISWKKLPLEKMGALKELELMDMPVVEELSAPSLEKILPFHNLKGLMSMHLQNCPNLIYVSSEVFSQLIALERLVIEHCPKLFLPHVMSKPVHGNSKSNTDHIVLPSLKSLRIDSCGIAGRWLTQMLPCLQSIEELLLSDCPQIKLLLINHPTETEAANSLASTEITTYRDEQLLKIPCNLFHTLKWLHIGRCPDLEFSRVNEGFAGFTSLLKLHIWKCPKLVSSLVTETNDTNGLLPMSLEDLEISPLPVNLESYSPKGLPCLKKLSLSSIPVRLWSICRSWGVLEGLQHLSSLRSLDSEMNAELSAAWNLKLFPLSPVQLWIRKLEGSFRSFSLPCPPSIRNLNLWDSPELVSLQLGYCTALEELDIRRCKSLASIEGFESIRNLRSLVVLFSPSLPPYLHGPYYPHPYANSSHLYGILQEQGYDCEPMVTYVCIAAVRSYVCNAEWQKLISSGSAGDIPGPDGIHHHRKLGARRDGPLSTYTMIKKREKGKKPKRSVVRYAAMKHLEYMKDTTSFLKKYSKNFGDAVSIWAFITRREEIEWETKATVAAAGGGDKSDSDWDSLGWELVQYIGSSFQTVHAALPKMIIAQLQANAASLQLRHDELPVLMSRDELREILRLADRVLRFADRLRDMEEDLRSEILLWKKKEEDDEEEIIDLRDALDGLQHLSFLRELNIEMNHELSAAWDLKLREQERSGNQLGFCPALEELSIFYCRSLASIEGFQFIRNLRALKVHHSPAFLPYLEQQEGPFLSPLAMALENALGCNGKPMVSLTEEQERALQLLTSLKQLQFCNCKELESLPANLRSLDSLETLTIWACPNIPRLPELGLPPEELRMQCKMAETEQLRGEEWNGRSRLAAPAVYCMIILLPEQQQMSATASITMLCGCPESGRNMPGVSVLWTAPSDSLCLFSICSTAAIYGDCPTDAAAAVAACVRDCTKNWRRQQGAKPAVRDGFKPLHTVKKCTFQRDEHNYRTVYLDCMYGASAMQVSSRMWRC >LPERR02G01190.3 pep chromosome:Lperr_V1.4:2:730579:747461:1 gene:LPERR02G01190 transcript:LPERR02G01190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGTVVDAAIEWMVQSILGSFFHEEMKVWTHKVGLAENVATLESEMKSVQMVLAAAEGRRIDNRPLSDSLDELNELLYDAEDVMDELDYYRLQQQIEGKGCNAAACIKPDGSHVSSYSPSLFQQVSSKMNEIIVWAIHGRKRKREELTHGIMLPPEIKHKISERIDGIANCLRIKSKSVQEVLQLEISRPIVVSKQSQSVTRSARLTTSIPIERKVYGRDAEKENIIELLTKGNSSDLGVLPLVGIGGVGKTTLARFVYHDQRIKNHFDLRMWVCVSDNFNEKSITYEMLELVCKGRQRYGNITSFDALQETLLEKIRRKRFLLVLDDVWEDEDRSGWDKLLAPLRFNEANGCMILATTRRTSVARMIGTMSKVEVNGLNDTEFWLLFKACAFFGNENQEHDSTMQSIGQHIAKVLKGNPLAARSVGALLNRNVNFEHWRKVQYKWRSLIEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKFRGEKLVRAWIAQNFVECECHTERLEETGKQYLDNLVDWGFFEEVESHYIMHDLMHDLAGKVSANECATIDGVGSKKVPSNVRHLSIITTAYDDKPSCSFPSEKFDNILNKIMSLQKLRTLMFFGESSVMLLRSLHTLCKESKSLRLLRIYVTADDISATHNLLNPCHLRYVEFIVVPNENISGCVDFVNTSVPQALTQFYHLQVLDASSRANIVVPAGMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELAFKVQDDSTFNIGQLRSLNNLVILAISQLENVKTKEEAKGARLIDKEHLQKLSLSWNDNSMSSEPTVEKTRDDVFEGLEPHQNLKNLELIRYGGATSPTWLASKVKALQVLHLENCREWQIVNSLEMLPVLRKLKLTRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGQDLTSGLRVLVVKDCPCLNEFTPFHSDYFHTNQKSWFPSLNKLTIGHCHRIISWKKLPLEKMGALKELELMDMPVVEELSAPSLEKILPFHNLKGLMSMHLQNCPNLIYVSSEVFSQLIALERLVIEHCPKLFLPHVMSKPVHGNSKSNTDHIVLPSLKSLRIDSCGIAGRWLTQMLPCLQSIEELLLSDCPQIKLLLINHPTETEAANSLASTEITTYRDEQLLKIPCNLFHTLKWLHIGRCPDLEFSRVNEGFAGFTSLLKLHIWKCPKLVSSLVTETNDTNGLLPMSLEDLEISPLPVNLESYSPKGLPCLKKLSLSSIPVRLWSICRSWGVLEGLQHLSSLRSLDSEMNAELSAAWNLKLFPLSPVQLWIRKLEGSFRSFSLPCPPSIRNLNLWDSPELVSLQLGYCTALEELDIRRCKSLASIEGFESIRNLRSLVVLFSPSLPPYLHGPYYPHPYANSSHLYGILQEQGYDCEPMVTYVCIAAVRSYVCNAEWQKLISSGSAGDIPGPDGIHHHRKLGARRDGPLSTYTMIKKREKGKKPKRSVVRYAAMKHLEYMKDTTSFLKKYSKNFGDAVSIWAFITRREEIEWETKATVAAAGGGDKSDSDWDSLGWELVQYIGSSFQTVHAALPKMIIAQLQANAASLQLRHDELPVLMSRDELREILRLADRVLRFADRLRDMEEDLRSEILLWKKKEEDDEEEIIDLRDALDGLQHLSFLRELNIEMNHELSAAWDLKLREQERSGNQLGFCPALEELSIFYCRSLASIEGFQFIRNLRALKVHHSPAFLPYLEQQEGPFLSPLAMALENALGCNGKPMSFVCNAKWLKRSNSGYQGEEWNGRSRLAAPAVYCMIILLPEQQQMSATASITMLCGCPESGRNMPGVSVLWTAPSDSLCLFSICSTAAIYGDCPTDAAAAVAACVRDCTKNWRRQQGAKPAVRDGFKPLHTVKKCTFQRDEHNYRTVYLDCMYGASAMQVSSRMWRC >LPERR02G01200.1 pep chromosome:Lperr_V1.4:2:747772:750910:-1 gene:LPERR02G01200 transcript:LPERR02G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAGAASLPPPPPEVAHLVEQLQRHHLAPDASLLSNSAHSDLLQAREEVASERARYLEALAVYAEAMAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTLTSAAPSSSSISTSYNNYSSTASAATVAAAVTGTGGTEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVEYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHEHAGV >LPERR02G01210.1 pep chromosome:Lperr_V1.4:2:755395:762900:1 gene:LPERR02G01210 transcript:LPERR02G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELHFGIPMAGGVICTLNSRLDAAMAAVLLRHSESKLIFVDLALLPIALQTLTLISQSGASPPTLILISELLHDDEIKPLTPPQNPQSHHHDYEHLIARSPAAGDESIRWPEDENEPIALNYTSGTTSRPKGVIYSHRGAYLNTLAAVLLNDMVSNPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCLRRVTAGAIFAAVSRHGVTHMGGAPTVLNIIVNATADEQRLLISSPETRKTKKITVMTGGAPPPPPVLHKMEEQGFLVIHSYGLTETYGPATVCTWKPEWDTLSPEDRAKIKSRQGLHHHGLIVDVKNPTTMHTVPNDGVTMGEVMFRGNTVMSGYYKDTAATTESLAGGWFRSGDLAVRHEDGYIKILDRSKDIIISGGENISTIEVEAVVFGHPAVEEAAVVGRPDEYWGETPCAFVKVRDGWVGKKKVEEEVMEYCRGRLPRYMVPRTVVVVEEGLPKTATGKVQKFELRARAKAMGSLPPPVTATAMVKKTAGSKRSKL >LPERR02G01210.2 pep chromosome:Lperr_V1.4:2:754974:757028:1 gene:LPERR02G01210 transcript:LPERR02G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPISFLERAALVYPDRPAIIAGEVTRTWRESRDRCLRLAAALASLGIRRHDVVAVFAQNIPAMYELHFGIPMAGGVICTLNSRLDAAMAAVLLRHSESKLIFVDLALLPIALQTLTLISQSGASPPTLILISELLHDDEIKPLTPPQNPQSHHHDYEHLIARSPAAGDESIRWPEDENEPIALNYTSGTTSRPKGVIYSHRGAYLNTLAAVLLNDMVSNPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCLRRVTAGAIFAAVSRHGVTHMGGAPTVLNIIVNATADEQRLLISSPETRKTKKITVMTGGAPPPPPVLHKMEEQGFLVIHSYGLTETYGPATVCTWKPEWDTLSPEDRAKIKSRQGLHHHGLIVDVKNPTTMHTVPNDGVTMGEVMFRGNTVMSGYYKDTAATTESLAGGWFRSGDLAVRHEDGYIKILDRSKDIIISGGENISTIEVEAVVFGHPAVEEAAVVGRPDEYWGETPCAFVKVRDGWVGKKKVEEEVMEYCRGRLPRYMVPRTVVVVEEGLPKTATGKVQKFELRARAKAMGSLPPPVTATAMVKKTAGSKRSKL >LPERR02G01220.1 pep chromosome:Lperr_V1.4:2:762426:764486:-1 gene:LPERR02G01220 transcript:LPERR02G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYTEASKIADNVTSMIADRGSLPQSGPEIMRHTSSIRRMITILGTRLDSLEALLSRIPPKSITDKELHKRQDNLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGLVSLQRQAIKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMSLMLSVVAILFLAVIAWLLIKHL >LPERR02G01230.1 pep chromosome:Lperr_V1.4:2:767904:773140:1 gene:LPERR02G01230 transcript:LPERR02G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATTPPPISVRRCPRLAAVIAPLLLFLAAALSFPSSLLHFPSLISLHSTPPPPPRVAVCLVGGARRFELTGPSIARHVIAALVSYQRKDGGEDGPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRIFRPERIEETPERARVLTASNSPNGIQGLLQYFRLVEGCLDMIRERESRGNFTYEWIIRTRVDGFWSAPLAAGDAFPSGGAYVVPEGSRFGGLNDRLGAGGRAPSAVALSRLSLIPRLDVAGYQELNSEAAFMAQLKVAGVTAAERRLPFCVLSDRRYSFPPRPYGVPVASLSSPGPLSGAKCRPCRPACGGDGEDGDGDECEYAARRLVRGWSWTEWRNGTMEMCDASGGWGIGWEEVFDEVAGEEAAAVRRRVAAMGVEECVAEMEAFKAVADRWDAPSPAKICHAARLRLRLASSSPATATTASSNATTATAEAKQN >LPERR02G01240.1 pep chromosome:Lperr_V1.4:2:774158:778264:-1 gene:LPERR02G01240 transcript:LPERR02G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPHAMRAAKLPLAVLPKPNPSSASASPSQSPSPPPPRPTNSRPRKTLAKVTKTLAPAATPPPVEKRPIRNSTDLAAAIRAAVDADVDAAVDLARAAAPTIPLPPQSLALLLRRLARHRSVAAARDLIAELHTPTTSPPPRSALLALSDAVCRRGEPREIAQLLPVLAEHGVKADAPVYNALMKSHIAAADPGGVLAVLRRMKDDGVEPDLVTYNTLVYGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDALGALALLEEMEAKGCEPNARTYNTLLMGLCKNKKLDKAVDVYKSMVDAEMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSLAQVTLYTELENSLKWLHRMKS >LPERR02G01250.1 pep chromosome:Lperr_V1.4:2:780244:781711:-1 gene:LPERR02G01250 transcript:LPERR02G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPPNMKQSPSRSDARAYSQFCHKSPNVEQSPSRSDTRLPFLKQCDGASQNKARIAGINMKRSPPNMKHSPTRSDARAHSQFHCKSPNVEQSPSRSDTQVPFLKQCAGASQKQAGIPGINMKQKAQSGATVPMLHPCSTSRGVIGKVDPQIQNDQREKKVLNADKVTMNHQSQDGPRKKSGSNATDNGIGRGSEMSPGNNIDLPVVINSSVQYTRRPPPENCWMGCFFDGSNCNLGDFKAYFPSKVSSKVLNVIKSLPIKLKLEILPRMDDWPKSFETTPPVYEDIGLFFFPTELNR >LPERR02G01260.1 pep chromosome:Lperr_V1.4:2:785005:794611:1 gene:LPERR02G01260 transcript:LPERR02G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIAHFCTYIAALAALFTMSLATAMAMQRAAELRLLLVATAAVAVAAGGGAAKVPAIFVFGDSTADVGNNNYLLGSSARADFPHNGVDFPSGKPTGRFSNGLIGVDFIAAAMGFSRSPPPYLSLISIAANSSSSSSSSGEVMSNRAMMAAASGMKGANFASGGSGVLDSTGATISMTKQIEYFSDLRDQISTTLSAEKASTLLSKSIFLISAGGNDAFDFFSQNLSPDSTATKEFCEAMISTYDSHVKTLYNLGARKFAVINVPLLGCCPYWRSQSPTGECIQPLNQLAKRLNDEIWDLFSDLSSEMQGMKYSIASSYELVSSIIENPQAAGLVEVKSACCGGGKFNAEIPCIPSSSCCTDRSKFLFWDLLHPTQATSKLVGLAFYDGAARFVNPITFKQLGEA >LPERR02G01260.2 pep chromosome:Lperr_V1.4:2:785005:794611:1 gene:LPERR02G01260 transcript:LPERR02G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIAHFCTYIAALAALFTMSLATAMAMQRAAELRLLLVATAAVAVAAGGGAAKVPAIFVFGDSTADVGNNNYLLGSSARADFPHNGVDFPSGKPTGRFSNGLIGVDFIAAAMGFSRSPPPYLSLISIAANSSSSSSSSGEVMSNRAMMAAASGMKGANFASGGSGVLDSTGATISMTKQIEYFSDLRDQISTTLSAEKASTLLSKSIFLISAGGNDAFDFFSQNLSPDSTATKEFCEAMISTYDSHVKTLYNLGARKFAVINVPLLGCCPYWRSQSPTGECIQPLNQLAKRLNDEIWDLFSDLSSEMQGMKYSIASSYELVSSIIENPQAAGLVEVKSACCGGGKFNAEIPCIPSSSCCTDRSKFLFWDLLHPTQATSKLVGLAFYDGAARFVNPITFKQLGEA >LPERR02G01260.3 pep chromosome:Lperr_V1.4:2:785005:794611:1 gene:LPERR02G01260 transcript:LPERR02G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIAHFCTYIAALAALFTMSLATAMAMQRAAELRLLLVATAAVAVAAGGGAAKVPAIFVFGDSTADVGNNNYLLGSSARADFPHNGVDFPSGKPTGRFSNGLIGVDFIAAAMGFSRSPPPYLSLISIAANSSSSSSSSGEVMSNRAMMAAASGMKGANFASGGSGVLDSTGATISMTKQIEYFSDLRDQISTTLSAEKASTLLSKSIFLISAGGNDAFDFFSQNLSPDSTATKEFCEAMISTYDSHVKTLYNLGARKFAVINVPLLGCCPYWRSQSPTGECIQPLNQLAKRLNDEIWDLFSDLSSEMQGMKYSIASSYELVSSIIENPQAAGLVEVKSACCGGGKFNAEIPCIPSSSCCTDRSKFLFWDLLHPTQATSKLVGLAFYDGAARFVNPITFKQLGEA >LPERR02G01270.1 pep chromosome:Lperr_V1.4:2:793291:794856:-1 gene:LPERR02G01270 transcript:LPERR02G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLSTPAMEAGGRGRRMRNTAASASLRRAAAGGGSSWRSERRLMSELERTVTAGAAERLIRGYVGNKSERAALAALSRLLMDSHPLAIPFYEAVTQARWFKWSSIHAAAVAALLEINGSKEESRSLISDSISRLDSTTTEEVALFYCDLMAAFSSRGMRDRAMDFYAQLKTMPLSGAKTYTAMIKSLCLMGLAGEAEAALRKMVSEGYKPEAFQFGLVAKCYGKAGSLVEMERVISSMSDAGIRLGTGAANIVLSCYSSCRDHSRMLAWLKKMRKLRVAPTTKAYNFVLNSCPAVGSMAQELGPLLPLSTAELVKKVRSASPWPEEPELVQELLSSSSVLDKAMDWSVSEVKLNLHGFSTIAAYVLMLQWVDVMKARRELPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGRLVAKRDRVKQWLDTEWTSPVADESTDQSPNGGKQQPFVVTLLRKLGQALSPFLQFSKGEA >LPERR02G01280.1 pep chromosome:Lperr_V1.4:2:796076:801002:-1 gene:LPERR02G01280 transcript:LPERR02G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESPPPADASLPRPQPAAEMGAGGRRTDKSGRRLEVYNEVVARLRGGGAGVEISPAYEDALWAHFHRLPARYALDVNAERAEDVVTHHRLLEEARDARRPALSVRVVQVSRILDGDVDDSSEPGMDQQLVDSNNLASQMVHPPPAFGSCSNLEALALEASENDVSNSNNDADNSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDDETQTWPASNPSAQSLEGPSSGESMPSTSVEIPSDGTNVWELDLKLLKFGSKVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMSGGSLHDYLHKKNNSFKIPDVLRVATDISKGMNYLHQNNIIHRDLKTANLLMDENKVVKVADFGVARVQDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLAELLQKCWHRDPAERPDFSQILEILHRLPKEVGVDAEGRQKSKSGFLSALKRNH >LPERR02G01290.1 pep chromosome:Lperr_V1.4:2:807107:808570:1 gene:LPERR02G01290 transcript:LPERR02G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDPPPPPVLLHGDVDLTIHEARGLPNMDFLSTLLRRLCLRPSSAPAPARSVPGDGDDRHQPHGHHILPTSDPYAAVVVAVAGGGNITVARTHVVRNSEDPTWSTRVLLHLAHVSPGLSFHVKDADPFGSDLIGVALLPSSLLLSPNPIISQWLPLLRPDGRGRPKPNSAIRISASFFPAADHRIAGGGGIPIPAYFPARRGCEVRLYQDAHVAGGGETAARCWEEMCMAILGAQHLVYVVGWSVNTRVRMLREERSPEMERKLEELRELAGGGDGGKAVEEMTLGELLKYKSQEGVRVCLLVWDDKTSHDNFFLKTGGVMQTGDEETKKFFKHSSVICVLSPRYPSSKLSMAKQKAICNAERGV >LPERR02G01300.1 pep chromosome:Lperr_V1.4:2:808577:813425:1 gene:LPERR02G01300 transcript:LPERR02G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVGTLYTHHQKCVLVDTPASETTRRITAFLGGLDLAAGRYDTPSHRLFDDLHTVFSGDVYNPSLSTEAAAGGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATRVKRHWKDDSLLKLDRISWILSPSAAGAGEEDDRHLYALPEGDPECWHTQVFRSVDSGAGNLVPMEIALKVASKIAAGERFAVYIVIPMWPEGNPNSGPVQEILFWQRQTMQTMYEVIAAAIRDSPAIAAGAAYPQDYLNFYCLGKREAAATATRSPDGGGAASSAAALARWHRRFMIYVHSKGMIVDDDYVIIGSANINQRSLAGSRDTEIAVGAYQPHHRHRHRRGGGKVYGYRLSLWEEHLGDGVGRWPEMSSPESKACVDRVNEIADENWRRYTDDERVVELEGHLMRYPVAVDRDGKAEAAAGGSAAADVADHPPRMTTVSKHYFGGASSERDHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQNKSRFTGSRVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCSLNNVNCTVLGLTWGEWDEPIFDLHPDVILGADVFYDSAKFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPLCKAASLQGNIQLVEIALDKENPTCSLAEQEIL >LPERR02G01310.1 pep chromosome:Lperr_V1.4:2:812253:815428:-1 gene:LPERR02G01310 transcript:LPERR02G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESATIDAPPPPERSPQLESAVDEETRALIVPDPSDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLAPAHIALKEEGGITSVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTNGNSFVVRCCVKGSLLEINDRLIKQPDLLNTSWLSAP >LPERR02G01310.2 pep chromosome:Lperr_V1.4:2:812956:815428:-1 gene:LPERR02G01310 transcript:LPERR02G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESATIDAPPPPERSPQLESAVDEETRALIVPDPSDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLAPAHIALKEEGGITSVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTNGNSFVVRCCVKGSLLEINDRLIKQPDLLNTSADREGYIAIFMPKPADWLKIKDKFLSYDDYKNLRGTC >LPERR02G01320.1 pep chromosome:Lperr_V1.4:2:815730:819709:-1 gene:LPERR02G01320 transcript:LPERR02G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHLLMKSSNAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMMGFCCSSELEAQVSALCDLPSSIQLDSSSIGMHAQALLSNQPIWQSISQQQEEDADAAVAGAKTRLLVPVAGGLVELFASRFMAEDQQMAELVMAQCGGGVAGAGEDDGGVQAWPPPPPVPETPGFQWDGVADAQRMMYGGGSSSLNLFDGDAAVAGDQFLAGGGEAAAGWQYAAAVSEPSVAVQEQMHGGGGGGGESGSEGREMNGGGGGDGEEDGEGRSGGAKRQQCKNLEAERKRRKKLNDHLYKLRSLVPNITKDLQDELEDNHNPHAGGGDMSKPPDVLIDHPPPASLVGLDNDADAASPPNNSHHNHHKEKQHRMEPQLEVRQVHGNEMFVQILWEPKPGEAGFVRLMEAMDALSLHVINVRDNEAAPVQADRVRDSLLEVTREPYPPGLWSPSPPEEQDDG >LPERR02G01320.2 pep chromosome:Lperr_V1.4:2:816192:819709:-1 gene:LPERR02G01320 transcript:LPERR02G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHLLMKSSNAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMMGFCCSSELEAQVSALCDLPSSIQLDSSSIGMHAQALLSNQPIWQSISQQQEEDADAAVAGAKTRLLVPVAGGLVELFASRFMAEDQQMAELVMAQCGGGVAGAGEDDGGVQAWPPPPPVPETPGFQWDGVADAQRMMYGGGSSSLNLFDGDAAVAGDQFLAGGGEAAAGWQYAAAVSEPSVAVQEQMHGGGGGGGESGSEGREMNGGGGGDGEEDGEGRSGGAKRQQCKNLEAERKRRKKLNDHLYKLRSLVPNITKDLQDELEDNHNPHAGGGDMSKPPDVLIDHPPPASLVGLDNDADAASPPNNSHHNHHKEKQHRMEPQLEVRQVHGNEMFVQILWEPKPGEAGFVRLMEAMDALSLHVINVRDNEAAPVQADRVRDSLLEVTREPYPPGLWSPSPPEEQDDPQL >LPERR02G01330.1 pep chromosome:Lperr_V1.4:2:822022:824950:1 gene:LPERR02G01330 transcript:LPERR02G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRIICRLDFYYHVGIYNPVITGTQTIETNILQFDLEIKALPHSISRTNKERKTMALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARSWTQKYAMG >LPERR02G01340.1 pep chromosome:Lperr_V1.4:2:824441:827342:-1 gene:LPERR02G01340 transcript:LPERR02G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKTQRGACSIL >LPERR02G01350.1 pep chromosome:Lperr_V1.4:2:830229:833029:-1 gene:LPERR02G01350 transcript:LPERR02G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSSATSRLLPLRRALLAPPSRFRPTTAPPRRPLLAAAAAAPLPRARAMASVSSARASSTDSATVSADACSKIIDGKLVAKQIREEIAVEIAKMKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIDKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLRRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >LPERR02G01360.1 pep chromosome:Lperr_V1.4:2:833458:839105:-1 gene:LPERR02G01360 transcript:LPERR02G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTLMGSPWLRIRLLPEVPPRLLLRPHLHRRTFSARAAAAADGGAGGAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSLYKQHAEKLMESGAVYRCFCSNEELEQMKETAKQRQLPPVYMGKWATASDAEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMHISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDAGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKPVVQDKLYEVVSGLISAYDSGELGEALAEGRDGWQKWVKNFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTDQSGFVNLDERFRVLKEMDWESQRKEQESPAETAELSFVP >LPERR02G01360.2 pep chromosome:Lperr_V1.4:2:833878:839105:-1 gene:LPERR02G01360 transcript:LPERR02G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTLMGSPWLRIRLLPEVPPRLLLRPHLHRRTFSARAAAAADGGAGGAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSLYKQHAEKLMESGAVYRCFCSNEELEQMKETAKQRQLPPVYMGKWATASDAEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMHISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDAGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKPVVQDKLYEVVSGLISAYDSGELGEALAEGRDGWQKWVKNFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTDQSGFVNLDERFRVLKEMDWESQRKEQESPAETAVSASP >LPERR02G01370.1 pep chromosome:Lperr_V1.4:2:847734:848450:-1 gene:LPERR02G01370 transcript:LPERR02G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARHRGTVKWFNDTKGFGFISPDDGTEDLFVHQSSIKADGFRSLAEGEQVEFAISESEDGRTKAVDVTGPDGSFVKGGAGGGGGGGGGGFGSRGGGGSGGRSYGGSWGGGRRSGGGGGGGGCYKCGESGHIARDCFNGGGGGGVGGGGGGGGGCFKCGEMGHLARDCFNGGGGGGGGGGGGGGACYNCGETGHLARDCFNGGGGGGGRFGGGGGGGDRSCYNCGEAGHIARDCHK >LPERR02G01380.1 pep chromosome:Lperr_V1.4:2:849847:855916:-1 gene:LPERR02G01380 transcript:LPERR02G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSESEAVVAEAARENSLEPVDGVVRSKPSILLGVVKDSEIFDFCMCNPPFFESIEEAGLNPKTSCGGTSEEMVCPGGEQAFITRIIEDSVLLKNSFRWFTSMVGRKANLKILVSKVREAGASVVKTTEFVQGQTARWGLAWSFIAPRKMVIRSSTPGKNNHSFMLQGLRREYGAFQVLKSAETFFHASNLSCKTDSSLFSIDVTLSDEQAQAAMLHDESGSVEGSSAMLHSAVTGTSFCISVFEQMPGTLLVRGSLSNKALSGIFSSTFSQLEDTLKMEFQSKAR >LPERR02G01390.1 pep chromosome:Lperr_V1.4:2:859933:860451:1 gene:LPERR02G01390 transcript:LPERR02G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFEDEVFKYKHDGPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKSIEKVGSRGGSTAKPVVIADCGQIS >LPERR02G01400.1 pep chromosome:Lperr_V1.4:2:867160:868595:-1 gene:LPERR02G01400 transcript:LPERR02G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAEAFTEVEHEVAGILSELADLVRARSPRRRRERERKRREEGIPSWGCRRRRSLIPAAAEKPDSTPPAHVSVVEVAVASPDTPLVFLPDESSGGDDGDDAPPTPTPALAAEADRRAAPPSHAEWVQEQRAVVASLSEENSHLYKQIEEYKIRLQSSRSTNDDLKLMMHGKLKRQREEEEKQLKRKLPATAIDRPAPEFVLDLNEPARAPEEEDNESAAAAAVAEWYQQQAAIVYKAAMTAEARQRRREIRRAKTAPSRIRRGGS >LPERR02G01410.1 pep chromosome:Lperr_V1.4:2:870137:876251:-1 gene:LPERR02G01410 transcript:LPERR02G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAAAAGKTFWMVDMEKKREKLVAGLTRRHMIRSGSSSSSSPTGAGADSNKNMSEGGDHHNLIRRQNKGKDKALKWRLSNTDMDRKGEQVGSGGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRANQTVSTSPRHVKKRRHLSAITSQTSLMDCETRCDAISLANHFSKEEEVVVDALLSLSQIPLLCELPPDRAMAEHSLDVNVASVSCSPGATKEDEKITALPTAGNEVANQPAPNHEPVERTANVPQINHVPSDATCNNISPILSKDGQKHDISLKVVTNLLSPSNDDNNSSRKQLKVQFDNCTVYPAKTVAPRSLVNSKKPDFLVHDRKHVKNNIAQEIVPLVQSSQPCTSHRPSTNTLASCNNNATETAKGTGKHESLSLVNKNGAPSKTWKRSITHVYMCHLIQMHLDKEKASQNQVKPEEICHNHISRSPNGSTVSKNGAQDEKFYAVHFDVRLPVQPSSGVCDPTAVRQKMVAGNFLNLPTSAALPAVQHVQYLHPQIAPRGAMPYPIQHLPYSRGNLTHTALLQQQMPQYMCNPSLPASPAVMKIQQLMPSQHQQQMWQFQFPQYHPRPDPAAMSAAAWQQSSRLHDVSSLRPVPLLPAPPPPPQMEVFCAPFHGGSRQPPQLRLI >LPERR02G01410.2 pep chromosome:Lperr_V1.4:2:870137:873617:-1 gene:LPERR02G01410 transcript:LPERR02G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGDHHNLIRRQNKGKDKALKWRLSNTDMDRKGEQVGSGGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRANQTVSTSPRHVKKRRHLSAITSQTSLMDCETRCDAISLANHFSKEEEVVVDALLSLSQIPLLCELPPDRAMAEHSLDVNVASVSCSPGATKEDEKITALPTAGNEVANQPAPNHEPVERTANVPQINHVPSDATCNNISPILSKDGQKHDISLKVVTNLLSPSNDDNNSSRKQLKVQFDNCTVYPAKTVAPRSLVNSKKPDFLVHDRKHVKNNIAQEIVPLVQSSQPCTSHRPSTNTLASCNNNATETAKGTGKHESLSLVNKNGAPSKTWKRSITHVYMCHLIQMHLDKEKASQNQVKPEEICHNHISRSPNGSTVSKNGAQDEKFYAVHFDVRLPVQPSSGVCDPTAVRQKMVAGNFLNLPTSAALPAVQHVQYLHPQIAPRGAMPYPIQHLPYSRGNLTHTALLQQQMPQYMCNPSLPASPAVMKIQQLMPSQHQQQMWQFQFPQYHPRPDPAAMSAAAWQQSSRLHDVSSLRPVPLLPAPPPPPQMEVFCAPFHGGSRQPPQLRLI >LPERR02G01420.1 pep chromosome:Lperr_V1.4:2:877679:884024:1 gene:LPERR02G01420 transcript:LPERR02G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFVTRALILILGYAYPAYDCYKTVELNRPEVAQLRFWCQYWILLAVLTVFERVGDNFVSWCLQFGRKTFMLADDDFFFPYRLPMYSEAKLAFIVYLWYPKTQRFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSQTRFYEILQYIASQSETQRSRSQYTDNDKGSTPIIAVDWCMIEFEAQQNQQRPPPPRTRQVNPGPPPVPSPSAPPLPPQPAQPPPPRTQVQADKAPIPVPPPGAATESPAEPQPPQPGPESVTTATNAGETQAAIPPAVSSANHSAAPVIPDEETLIQEAIRMTRSRLRRRMGGAA >LPERR02G01420.2 pep chromosome:Lperr_V1.4:2:877679:882558:1 gene:LPERR02G01420 transcript:LPERR02G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFVTRALILILGYAYPAYDCYKTVELNRPEVAQLRFWCQYWILLAVLTVFERVGDNFVSWCLQFGRKTFMLADDDFFFPYRLPMYSEAKLAFIVYLWYPKTQRFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSQTRFYEILQYIASQSETQRSRSQYTDNDKGSTPIIAVDWCMIEFEAQQNQQRPPPPRTRQVNPGPPPVPSPSAPPLPPQPAQPPPPRTQVQADKAPIPVPPPGAATESPAEPQPPQPGPESVTTATNAGETQAAIPPAVSSANHSAAPVIPDEETLIQEAIRMTRSRLRRRMGGAA >LPERR02G01430.1 pep chromosome:Lperr_V1.4:2:885382:889667:1 gene:LPERR02G01430 transcript:LPERR02G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWQVFSSSLRLEPFVLSPAADATAAGCRSTGGRRAVRPSPAIYPGLQELSSHSSMLSTDFDIQVLMERHERLTNDVKEMLQQQRWRHYQKTASGRGRKRMTTIDHLKRLCIDHYFPDEVDRDDATLSMLEELSHGGDLLDATLALRLMREAGHNISADEVLERFTDDNGNFRLDYSKDIRGLLSLQDISHMNMGEEVSLCKAKEFSSRNLKSAINYLEPNLARYVRHTLDHPYHVSLMQYKARHHLSYLQILPTRCTAMEELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWWDLAVADSLPRCMRSCYRALYTVTNNIGHIVEREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQSPASEDYLRNGVVTSGLPLVFVHLLCMLGQDLGKDATEFVDHIPSVISCPAKILRLWDDLGSAKDEAQEGLDGSYKEIYLKENPGLSASEAEEHVRGLILGEWEQLNCECFSSLKRSGFSNGFTQAALNIARMVGVMYGYDGEQRLPVLDDYIRNLLF >LPERR02G01440.1 pep chromosome:Lperr_V1.4:2:890918:892156:1 gene:LPERR02G01440 transcript:LPERR02G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMSVLSWTHPKFQRPPTSAVDRYPAPNTTSGDLPHRRPPQSPHPPRSKDDHYCNGLTVEEE >LPERR02G01450.1 pep chromosome:Lperr_V1.4:2:892726:893140:1 gene:LPERR02G01450 transcript:LPERR02G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSTPGHKDRPLDTSAAKPAASTTVSPAQAQPPQSGPESVTTATNADETQAAVPPVIPDEETLIRMTRSRLRRRMGGAA >LPERR02G01460.1 pep chromosome:Lperr_V1.4:2:898774:903029:1 gene:LPERR02G01460 transcript:LPERR02G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWHLFSSSLRLEPFVLLPPPAADAASSPATAGCRSTGRRRAVRPLSTIYPGRQELSSHSSMLSTDFDIQVLMERHEILTNDVKEMLQQQRWHQKTASGSGRERMAIIDHLKRLCIDHYFQEEVNSDDASSMLEELAHGGDLLDATLALRLMREAGHNISADEVLGRFIDDNGDFKLDYSKDIRGLLSLQDISHMNMGEEALLYKAKEFSSRNLESAINHLEPNLARYVRQALDHPYHVSLMQYKARHHLNYLQTLPTRCTAMEELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWYVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTHDELSCFTQAIKMWDLTAADSLPRCMRWCYRALYTVTNNIADIVEREHGVNPINHLKNAWAMLFDGFMTETKWLSTGQNPASEDYLQNGVVTSGVPLVFVHLLFMLGHGLGKDATEFVNHIPSVISCPAKILRLWDDLGCAKDEAQEGLDGSYKEIYLKENPGLTADEAEEHVRGLIMGEWEQLNRECFSSLKRSGFSNNFTQAALNAARMVGVMYGYDEEQRLPVLDDYIRNLLF >LPERR02G01470.1 pep chromosome:Lperr_V1.4:2:906063:917815:1 gene:LPERR02G01470 transcript:LPERR02G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCSTRPDTISATSRHCLVRCTALEELAIADFQLNKLLHQKEMQEIKRWWMDLGLAQEIPAARDEVQKWYVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDIVGTHDELSCFTQAIKMWDLVAADSLPRYMRSCYSAMYTVTNSIAHIVTREHGVNPINHLKKAWAMLFDGCMTETKWLSAGQVPDSEEYLRNGVVT >LPERR02G01480.1 pep chromosome:Lperr_V1.4:2:919633:921241:1 gene:LPERR02G01480 transcript:LPERR02G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDILEEEWRSIRTTLDLLPSEMLHLLSVFISRNKEFLKFVVFIFSGALGSSSSSFTSIDGLRHPSSLEKARGYTSSTIGTVRPKMLSSGCRPLHTSGRLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMFDV >LPERR02G01490.1 pep chromosome:Lperr_V1.4:2:921867:923744:1 gene:LPERR02G01490 transcript:LPERR02G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAARASSPEDAASTAAARKLHLLLRSRDLRPAISYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAASLFRRIPSPTPHSFNSLLAALLRRGRTRAASALFAALLRSPSASPDAATLNTLLHGLSTASSPRASPPALLRLFRFLPETYAFAPDAISYNSLLSALCRTGDMVTARKLFDGMRVGEEGMGVAFPNVITYTTMIKAYCAKRLVDEALAIFKLMVADGVEPNKITYNTMVQGFCDASRMELVKEVLEMDSFKPDTCTFNTLISAHCKEARIEDAMKVFTQMVELRVKRDSASYSMVIRALCENGEFGRAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCDHGKTRKARMLFGQLLDRRSKVDFPAFKTLILGHCREGDFEEGYELVLSMLKRDLVPDDECYISVIEGFAQKGRMKFAWEALHRMLNSGLRPSTSTFHSVLLGLLNKDGCAKEAADLIEIMLVRKIRQNVDLSTNLIDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIPSLCEEKKFIDAADFTLFSLEKHQNLGVAIPSMVLDGLCMTGKASEAFRLFYELIENRSSSAAVAEPRSLVALHHALEESGKMKEADFVAKQMRRASARIRERI >LPERR02G01500.1 pep chromosome:Lperr_V1.4:2:924117:927097:-1 gene:LPERR02G01500 transcript:LPERR02G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEMPMRPARPGPPMQYRGPPPMARARMEPIDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVLKEVGSTLSYGHGRGDDAKTLAELGFQRRRLQQLLLFKV >LPERR02G01500.2 pep chromosome:Lperr_V1.4:2:925203:927097:-1 gene:LPERR02G01500 transcript:LPERR02G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEMPMRPARPGPPMQYRGPPPMARARMEPIDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVLKEVGSTLSYGHGRGDDAKTLAELGFQIGDYLSVAIF >LPERR02G01510.1 pep chromosome:Lperr_V1.4:2:927534:932216:1 gene:LPERR02G01510 transcript:LPERR02G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPVRRRRPLADDGIFRFLLPAPKPAPEAAAATSSPPVLLAPPHRLIAPPVPLLRPRPDERLFIVPPTRPSWLPPLSPPETAATTTMKMKTNRCPPRRGGIELPRSARNAGGRSGGVGRRWRGLGFERRRVGGGFVGGGNGGEAAAGVGRERERRAVAKRGNKKVWVAVEKKGEDGGGGGDEEEKAAAMGAGYAGGDERDDQVDDVDDEHDDGDGDINDPFDDIAADHELPDDGDDDGGENLLELLGSLPPPDQPPPPRQKVGTQRRWCEEIRHDIDTFTPGLLSIYESLKPSEEHKAKQRQLIDSLAKCVRREWPNAQLHLYGSCANSFGTCHSDVDVCLEIDIAADGIAEVLLTLAEALRKDGFDNVEAITSARVPIVRMADSGSGFSCDICINNLFAVANTKLLKDYAQIDERCPQLSFIVKHWAKLRGVNETYHGTLSSYAYVLMCISFLQQREPKILPCLQLQDFGAENKESIAKLLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRFLREEFERAATILQYDDDPCVALFEPFDYEL >LPERR02G01520.1 pep chromosome:Lperr_V1.4:2:947736:953829:-1 gene:LPERR02G01520 transcript:LPERR02G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLLLSAAPSPLLPTRPRASSLRRVATTVPSPLPPPVVAPAPAPARARRLLARSRGVAVAGADGGGNELELESESSAAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKIYGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGFGDVLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSASELMQIFEIAAPVFVTMTSKVAFYALLTYSATAMGAITLAAHQVMLNVLVMCTIWGEPLSQTAQSFMPELLYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDLMVVQQMHKVLIPYFCAILVTPSVHSLEGTLMAGRDLRFLSQSMGVCFGIGTFLLMGRFGSALQRLLSPTGLLYNEKFHNHQDDEYVKVKAT >LPERR02G01530.1 pep chromosome:Lperr_V1.4:2:954757:957029:-1 gene:LPERR02G01530 transcript:LPERR02G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWEDEDFQPAAPSVVKVEALKSKWADEDVEEDDVKESWEEEEEEKPKPQPVEKATPKPSGKAAAKKGKQIASTSTEVQDETLDDPALEKLRQQRLVEESDFKATTELFGKKDGSEKSLDTFIPKSESDFVEYAELIANKLRPYEKSFHYMGLLKDVMRLSMTSLKGADAKDISSSVAAIANEKIKAEREAAAGKKKQGAKKKQLHIENKDDDFIPGRGNNFDDPDDFDFM >LPERR02G01540.1 pep chromosome:Lperr_V1.4:2:958122:961699:-1 gene:LPERR02G01540 transcript:LPERR02G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHNLLARPNSAPFAFSLPPPPPRPRRRPPPVLDSSSACRAASRWADRLFADFHLLPTSDPPAEAPSASPFVPLFPDAAERSLPLQVDFYKVLGAEPHFLADGIRRAFEARIAKPPLYGFSTDALLGRRQMLQIAHDTLTNQIPRTEYDRALSENREEALTMDVTWDKVPGVLCALQECGEALAVLAVGEQLLQDRPPKRFKQDVVLAMSLAYVDISRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDEEHHKRRQEGLQGARNILWSVGRGGIATVGGGFSHEAFMNEAFLRMTSAEQMDFFSKTPNSIPPEWFEIYNVALAHIAQAIISKRPQFIMMADDLFEQLQKFNICSQYAYDNEMDLALERALCSLLVGDIMKCRMWLGIDNESSPYRDPKILEFIVTNSSIDQENDLLPGLCKLLETWLVFEVFPRSRDTRGMQFKLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDRSAMENPKDGPEGSLQKFDQENAAGNVIHDSRNAALKIISAGTLFVLFAVIGAKYLPRRRALPAIRSEHGSVAVADSVNSIDDPALDEGPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISQDGRRATVEATIEEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSNLGGWKITEGAVLKS >LPERR02G01550.1 pep chromosome:Lperr_V1.4:2:963957:964850:-1 gene:LPERR02G01550 transcript:LPERR02G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAPLTAAVRATLHHPITCSASTSKHHHNHQPNPKQQTPPQLVPSLTTAAVTATALLAPDAALAVGGEFGILEGRSVALLHPLVMGGLFAFTLWAGYLGWQWRRVRTIQDEINELKKQLTPAAAAPVAAGDSSSSSSPPPPPSPKSPVEIKIDQLTEERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVAKVFEFTTWP >LPERR02G01560.1 pep chromosome:Lperr_V1.4:2:965707:966189:-1 gene:LPERR02G01560 transcript:LPERR02G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHHQQLRSTTTTATTDSSSTASPAAALAAAGGGGGEEYEDLMPVMAGRLGAEGLLSELRAGFRLLADPARGAITADSLRRSAATVLGLAGGGAGGMMTAEEAAAMVREGDQDGDGVLSEAEFCVLMVRLSPGIMGDAEGWLEVAFADELLRSPPAAV >LPERR02G01570.1 pep chromosome:Lperr_V1.4:2:968787:970767:1 gene:LPERR02G01570 transcript:LPERR02G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATPLRRRTQARPAARADDGDDDDVRCEACGSGDSAAELLLCDGCDRGLHIFCLRPILPRVPSGDWFCPSCSSSSSPSKKSPIAKKPKQFPLVQTKIVDFFKIQRNPAMSAAAAESSETKKRKRKQGGIRVVCKKKRKLLPFNPSDDPARRLRQMASLATALTATGAVFSNELTYAAGMAPRSANRAALESGGMQVLPREDAETLNQCKKMMERGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLKKREHDDGDSMMTLLSASTPSRSLVICPDKRSNIARFINGINNHTPDGRKKQNLKCVRFDVDGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >LPERR02G01580.1 pep chromosome:Lperr_V1.4:2:971708:979799:-1 gene:LPERR02G01580 transcript:LPERR02G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSLSPPLPHPSPSPAKPRRRPPPPPPPPHFTPSPRGPIRPATIVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVGEGDVVLEIGPGTGSLTDALLAAGATVFAVEKDKHMATLVKDRFGSTERLKIIEEDITKFNVHSHFLPFLEEKSHHSTKHAKVVSNLPFNISTDVVKLLLPMGDVFSIMVLLLQDETALRLADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNAGEYPPVGSHKSSRRVACPKMRPVFCGNLDYDARQSEIERLFGKYGRVERVDMKSGFAFVYMEEERDADEAIHRLDRVEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLLDRVISVEYALRDDDEKRNGYSPDRRGRDRSPDRREYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPNYGRGASPQGGNRVDERVSPPKYDRERREASPGYDRPRSRSPARYERE >LPERR02G01580.2 pep chromosome:Lperr_V1.4:2:974066:979799:-1 gene:LPERR02G01580 transcript:LPERR02G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSLSPPLPHPSPSPAKPRRRPPPPPPPPHFTPSPRGPIRPATIVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVGEGDVVLEIGPGTGSLTDALLAAGATVFAVEKDKHMATLVKDRFGSTERLKIIEEDITKFNVHSHFLPFLEEKSHHSTKHAKVVSNLPFNISTDVVKLLLPMGDVFSIMVLLLQDETALRLADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNAGEYPPVGSHKSSVSCQCQYLCQCSPDFLQWLHGLQASVATIVVVMRHPREKISLSSTSSPIKTPFFLHGPIPSVSVAAAAPIDLALLLLLPPVRRERGGRGIPSHPLSPLCAALSCLS >LPERR02G01580.3 pep chromosome:Lperr_V1.4:2:974066:979799:-1 gene:LPERR02G01580 transcript:LPERR02G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSLSPPLPHPSPSPAKPRRRPPPPPPPPHFTPSPRGPIRPATIVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVGEGDVVLEIGPGTGSLTDALLAAGATVFAVEKIIEEDITKFNVHSHFLPFLEEKSHHSTKHAKVVSNLPFNISTDVVKLLLPMGDVFSIMVLLLQDETALRLADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNAGEYPPVGSHKSSVSCQCQYLCQCSPDFLQWLHGLQASVATIVVVMRHPREKISLSSTSSPIKTPFFLHGPIPSVSVAAAAPIDLALLLLLPPVRRERGGRGIPSHPLSPLCAALSCLS >LPERR02G01580.4 pep chromosome:Lperr_V1.4:2:971708:974064:-1 gene:LPERR02G01580 transcript:LPERR02G01580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYDARQSEIERLFGKYGRVERVDMKSGFAFVYMEEERDADEAIHRLDRVEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLLDRVISVEYALRDDDEKRNGYSPDRRGRDRSPDRREYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPNYGRGASPQGGNRVDERVSPPKYDRERREASPGYDRPRSRSPARYERE >LPERR02G01590.1 pep chromosome:Lperr_V1.4:2:982543:986728:1 gene:LPERR02G01590 transcript:LPERR02G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPHTSQIHSPTQTKTLPFPKVHHHTHHTSPPTHPPHHTHSAAAAAAAPDPATAAAAMEQYEKVEKIGEGTYGVVYKGKDRQTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVKLQDVVHNEKCIYLVFEYLDLDLKKHMDSSSDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPNEETWPGVASLPDFKSTFPKWPSVDLATVVPTLDASGLDLLSKMLRLDPSRRIDARAALEHEYFKDLEVA >LPERR02G01600.1 pep chromosome:Lperr_V1.4:2:988487:995789:1 gene:LPERR02G01600 transcript:LPERR02G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITLVRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNIQKLRRHLAAPRFAEYHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIHNNHVYMLPTVVDPPGMQSFCDRAVDGIAAVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAVAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQSLLFQTMEGIVKARLRDTDYPLVGNHFQPNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLKMLRVDPSRRINARAALEHEYFKDLEVA >LPERR02G01600.2 pep chromosome:Lperr_V1.4:2:988487:995396:1 gene:LPERR02G01600 transcript:LPERR02G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITLVRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNIQKLRRHLAAPRFAEYHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIHNNHVYMLPTVVDPPGMQSFCDRAVDGIAAVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAVAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQSLLFQTMEGIVKARLRDTDYPLVGNHFQPNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKSFYASVALLADCCAHLMQKMLRVDPSRRINARAALEHEYFKDLEVA >LPERR02G01600.3 pep chromosome:Lperr_V1.4:2:988487:999035:1 gene:LPERR02G01600 transcript:LPERR02G01600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITLVRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNIQKLRRHLAAPRFAEYHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIHNNHVYMLPTVVDPPGMQSFCDRAVDGIAAVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAVAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQSLLFQTMEGIVKARLRDTDYPLVGNHFQPNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKSTLTKVSTSHGKNGGNI >LPERR02G01610.1 pep chromosome:Lperr_V1.4:2:1000689:1005002:1 gene:LPERR02G01610 transcript:LPERR02G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKVWVRKNRDLVRSLESLANGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIDTPTDSQTLASKEQSIPWSLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVAHEEEISVLEENFGAKSNGVPVIYPMNGNFQNGHGVASNNGLNGKAGFVSKSLEGRAVAALSKFGQNAKMMSDPMWMKKSLPPPDPPVMVVEKPTLASIWSAKGVSGRLFFLGEVVHIFRPLVYVLLIKKFGIRSWTPWLVSFAVEITSLGIHSRATDLHQRGGKLKRRKMMWALYVMRDPFFARYTRRHLQKAEKVLNPVPLIGFLTGKLVELVEGAQSRYTYTSGS >LPERR02G01620.1 pep chromosome:Lperr_V1.4:2:1006389:1011795:1 gene:LPERR02G01620 transcript:LPERR02G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSGNQTNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQEGFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDEDVEDKDDDDDTDDDDDVSDADIEDPEKMAMSESEEDGDDDEGDKQGGWETKLSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILHQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNYKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDEDAEGDEERQVADDNRGPAPFVVIPEIVPRKPTFPDSGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAANTYDKRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDYQKAFDVINSLEIWKLLRNKDHVLDMLKLKIKEEALRTYLFSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLNVLVESNERAYEAKTGGTLEGAPPRRRGDAQDSSNLGKWQENFLSSQGRQGGGRSGYAGRGGGSGRGGYQRDRNNQGSRGGYGGGSRFQDRSRTQSGSMARGGDGGARMVSLNRAGRG >LPERR02G01630.1 pep chromosome:Lperr_V1.4:2:1012416:1015533:-1 gene:LPERR02G01630 transcript:LPERR02G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPATAAAVALADLLESNTFAPPRPPPPPPAPSTILSAWSRLRDPSSSPDAAAAALETLHHHRRSLRLSSAHVALLLPQLPLHPRLISPLLATSPHLLPSSASPLPASLPVSPRLLLLGALASATSGKDLSGGPNSENLGNGGGESGDGRDGDPVVAVCRILDDMEKGIESCHDLDHLGLAGIGCVLASADELQFRRIVGSLLRICGRIGDLSVGVRMLKLVEWLLLGFIETRKMRKVQVLFEMISPETCESQGYVLFAVVMVACGALRALRVASARYRLDFDPRLKEAPEPTIRFAADKAVLDGKHVDDRRLLVQCVALGLTQCGQVTPHESVLLSVCMALLEELLPLLDFLKMSVQSSDGDSAEVIKNRVKQHLDSVLFKEAGPVTGILCNQYSFASDKAKTSIETCVWEYAQVLYRHLRAAVVLHQGKQDDLLMDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEGFQPEVAVQILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENATVASLFIESIPSYAELSSKPDLLTLDGTRYIWYSDVVQTSRILFYLRVIPTCIGLIPAHMIQDKYIQHLNEKVTRASHSVVVSFLSSGNDTDQDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALVRHLPAGSPAILFCIHSLVVKAKDLCLNSKVQDKSLWRSWEGSTEPCKKMMDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHSHVAESDDVTRKPVLVSWLQSLSYISSQSTRRGFVDKDATDCSVGSDELTLNRTMARL >LPERR02G01640.1 pep chromosome:Lperr_V1.4:2:1016439:1019457:-1 gene:LPERR02G01640 transcript:LPERR02G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGNAVALSSGHEMPTVGLGVWRMEPSAIRGLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKVSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAIFDFAISDEDMEKMKSIDRKYRTNQPAKFWGIDLFA >LPERR02G01640.2 pep chromosome:Lperr_V1.4:2:1016439:1019457:-1 gene:LPERR02G01640 transcript:LPERR02G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGNAVALSSGHEMPTVGLGVWRMEPSAIRGLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKVVCHSKSPVHHPPLSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAIFDFAISDEDMEKMKSIDRKYRTNQPAKFWGIDLFA >LPERR02G01650.1 pep chromosome:Lperr_V1.4:2:1020717:1022786:-1 gene:LPERR02G01650 transcript:LPERR02G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSEKKPSVYLYIPNIIGYFRIIINFIAFAVCYSHRALFAILYFFSFFCDGLDGWFARKFNQVKNLGLSHSLSDHLMPFYVSASTFGAVLDMGNILQSELILVFALHFLRVSTACLLALLSQFYSSFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVCRGLLHQSPLIVFVFISTLVGWALKQVINVIQVSKDNYKISCRYRSLCICKY >LPERR02G01660.1 pep chromosome:Lperr_V1.4:2:1025456:1030024:1 gene:LPERR02G01660 transcript:LPERR02G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKSRSSCLLLLLVATILCLAVHEAAAFNYADALDKAILFFEAQRSGKLPSNQRATWRADSGLSDGSADQVDLVGGYYDAGDNIKFGLPMAFTVTMLSWSVIEFGAMMPPPPSRWLPPPFFFGFNLTGGGQLDNARAAVRWGADYLLKAATARPNTLYVQVADPYQDHRCWERPEDMDTPRNVYKVTPERPGSDVAGETAAALAAASLVFRASDVAYSAKLLSAARLVFDFADRYRGSYSDSLSSVVCPFYCSYSGYHDELLWAASWLHLASPSSDKDVYMSYISSNGHALGAEQDDFTFSWDDKRVATKGFLQRKVDGLQLYKAHTDNYICSLVPGANGFQSYYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLASNALAAGAATVSCGSTTVTPSTLISIAKKQVDYILGANPAGMSYMVGFGSKYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEQDRNVLVGAVVGGPDAGDAFADSRDNYAQAEPSTYTNAPLVGVLAFFAGGGAHKITTP >LPERR02G01670.1 pep chromosome:Lperr_V1.4:2:1032029:1033742:1 gene:LPERR02G01670 transcript:LPERR02G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKQSSFTLCLMLLAVATMLCTMNTISVEAGRGGYNSVNPDGRGAYNSVNPNGRGGYNSVNPDGRSGYNSVNPDGRSGYNSVPTNGGGNP >LPERR02G01680.1 pep chromosome:Lperr_V1.4:2:1037052:1043600:1 gene:LPERR02G01680 transcript:LPERR02G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPKRTSWPELVGIPATPAVMRINHDRPELVVEVLPAGVKLPGNGREFNPNRVRVFFNPRDSQGLVVQIPVRSRSMGRAVAAATAGDGGKLKTAWPEVVGWVQLNAAFQINRDRPDVSVAFFQQGTPLPTDSDDSRVIIVSDVGSVVVQTPVLVREDHRRLKSSWPEVVGWPEFWAALKILNERPDVTVLMFHDGDDLPPPEHDPKRVAIFVNDDIIVSRTPFVIKTEWPEVVGWAGFLAAIKIHDDRPDVHFEAHDVGESVPPGFDGHRVRLFLNNDVSRTVAQTPVVG >LPERR02G01690.1 pep chromosome:Lperr_V1.4:2:1046351:1046716:1 gene:LPERR02G01690 transcript:LPERR02G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRLLAVVTIIAVCVAAIVPGATAYTLIGHWRHIQNISDPHIQKLGQWAITEVNEVSPSGPLTFIKVTSGLQPAIALMEVEEYLLHINASRNDVINSYAAMLIEEDNTRKLDFFERDHS >LPERR02G01700.1 pep chromosome:Lperr_V1.4:2:1051534:1053891:1 gene:LPERR02G01700 transcript:LPERR02G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAGNRGTRRDHHCISNGVPVLFLVAVVAVQLMILAGPSPPAGPVRCAPGTTTNCTVTNAYGAFPDRSTCHVAAVEYPASEDELVAVVAAAARSKTKMKVTTRYGHSVPKLACPGDGGGGGIAISTDALNRVVGVDAERREITVEGGVLLSDLIDATADAELALPHSPYWLGLTIGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGYAKVRVLADGDPELDAAKVSLGVLGVISQVTLSLQKMFKRSVAFEKRDDDDIAERVVSFADESEFGDIIWFPGHGKAIYRVDNRVPDNTSDDDAVYDFFGFQSSPTLALQAQRLVEDTQEVMANASGKCVSASVTIARFAATNYGVMKRGSVLPPLPGKPLVGYQNQIQSGGSCLTSPEDGLRTACLWDPRVKHGTFFFQVGISVPLDNVSDFIRDVQRLRDLNPDAFCGVEIYNGIMFRYAKTSTAYLGKSEDSVDLDMTYYRSRDPMALRVHENVLEEIEQMALHKYGGKVHWGKNMNAAFNGAIAKHPKAAEFLKVKDMYDPEGLFSSEWTLSGPTRCSSATEVTVSVPLAGIGDVSVVRGGCAQEGCVCSKDADCQPGYYCEPGSGNEEARVCRGIFGFQ >LPERR02G01710.1 pep chromosome:Lperr_V1.4:2:1057072:1059402:-1 gene:LPERR02G01710 transcript:LPERR02G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHQTGHVNRQLITRRGGVLLLAAVHLMIVCVNYVHFAGANPPAGPVRCAPGTFTNCTVSNAYGAFPDRSTCHVAAVAYPKSEHDLVAVVAAAARSKTKVKVTTRYGHSEPKLSCPGDGGGGGIAISTDALSRVVGVDEARREITVEGGVLLSDLVDAAAGAGLALPHSPYWLGLTIGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGYAKVRVLAAGDPEMDAAKVSLGVLGVISQVTLALQPMFKRSVAFQMLDDSDLAERIVAFANEHEFGDILWLPGHHKAVYRIDDRVPHDEHHNDDAIYDLSAARSTPTRTIQSKRLEEETQEATRNATGKCVSSSAFIAQLAADNYGVKIHNVLPPPQPGAALIGYQNKIQASGTCLDSPDDDGLATACPWDPRVERGAFYYNDAIGVPASRAAAFVRDAQRLRAVSPHAALCGVELYYSVLVRYVKASTAYLGKPEDSVEFDFTFYRSRGVSPRLNEDVIDEIELMAWRKYGATPHWGKNRNIAFDGVAGKFPKIDEFLKVKDAYDPEGLFSSEWSDQVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCAPKKGYLCRPGRVYHEARVCRLVANNV >LPERR02G01720.1 pep chromosome:Lperr_V1.4:2:1066260:1074089:-1 gene:LPERR02G01720 transcript:LPERR02G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFWGRQEDSDSEDEEHEIESEAGSDSEDEDNGTRGLPGKKNPYLNTNASDSDESDSGQRVIRSLKDKRNEEMRTIVDQMRNAMKINDCINLQESFERLNKQLEKVARVNESTTLPNMYIKALVLLEDFLADALANKETKKKMSSSNAKALIAMKQKVKKNNKQYENLIQECREHPERFEDNVMADKDLNEEEEDEDEDSDADIEDPDTVAVSESEEERDDGPWVPNLNQKDKLMAMLFLKDPSEITWDIVDKKFKEIVASRGKKGTGRIERVEQLSFMTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPINMWKKCVNNMLLVLDILHLYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQYTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNYKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDEYAEGDEERQVADDNRGPAPFVVIPEVVPRKPTFPDSGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERIERRRQMPYHMHINHELLEATHLICAMLIEVPNMAASTYDKRKPMNRTFRRLLEASERQTHVGPPENVRDLVMSATRALRQGDYQKAFDVINSLEIWKLLRNKEQVLEMLKLKIKEEALRTYLFSYSPCYESLSLNQLTMMFDLSEPHAHSIVSKMMMHEELHASWDQPTKCIVFHNVDQTRLQGLLFHMADKLSVLVESNERAYESKTGGTLEGAPPKRRGEVQDSSYLGKWQENFVSSQGRQGGGRFGYSGRVGGTGSGRGGGYRGGQGSRGGYGGGSRSYDGRIRTPSSSMVMLAGASPPAGPVRCEPGTATNCTVTNAYGAFPDRSTCHVAAVEYPASEEELVAVVAAAARSKTKVKVTTRYGHSVPKLACPGDGGGGGIVVSTDALNRVVAVDAVRREITVESGVTMTDLIAAAADARLALPHSPYWLGLTIGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGYAKVRVLTAGDPELDAVKVSLGVLGVISQITLSLQPMFMRSVEFVKRDDDDLAERVVPFADESEFGDIIWFPGHGKAIYRIDNRVPNNTSNDDAFYDYFGFRSSPTLALQAQRLVQDTQEATASASGKCVSASATIARFATTNYGVMKRGSVLPPLPGKLLVGYQNRIQSGGSCLTSPEDGLRTACLWDPRVKHGTFFFQDGISVPLANVSDFVRDVQRLRDLNPDAFCGVENYNGIVFRYVKTSTAYLGKSEDCVDLDMTYYRSRDPMAPRVYEDVLEEIEQMALHKYGGKAHWGKNMNAAFIGAIDKYPKATEFLKVKDTYDPEGLFSGEWSDKVLGIAGTGGVSVVRDGCALDGLCVCSEDAHCSPEKGYYCEPGKVYEDARVCRAAFTYH >LPERR02G01730.1 pep chromosome:Lperr_V1.4:2:1075682:1077588:1 gene:LPERR02G01730 transcript:LPERR02G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVINGLLAAIVVAQCLLAAGASPPPDTVQCASSSTRNCTVTNAYGVFPDRSTCRVAAAAYPASERELIAVVAAAVKSNTKMKVATRYGHSVPKLSCPGAGDGHGGGLAISTNALNRVVAVDAAAMTITVESGVTLTDLIGAAAGAGLALPHSPYWLGLTIGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAAASEGYAKVRVLTAGDAELDAAKVSLGVLGVISQVTLALQPMFKRSVAFRHCGDGDLAERVVAFAAEHEFADVVWYPGHRKAVYRVDDRVPINTPGDGVYDFIGFRSTPALAIQANRLVEEGLEAIGNAAGKCLTASATTSILAARNYGLTSSRHAGLLSPPVFPGTAVVGYQNGIQASGSCLAGADDDDALLTACPWDPRVKHGTFQFQAGISVPLTNAAAFIRDVQRLRDAAGRGALCGVELYDGVLLRYVRASTAHLGKPVDSVDFDLTYYRSRDPASPRLDADVLDEVEQMALRKYGGVPHWGKNQNAAFVGAVSKYGAARVAAFMRVKDTYDPEGLFSSEWSDQVLGVAGAGGVSVVRDGCALEGLCVCSKDAHCSPEKGYFCRPGRVYRDARVCRRVADDL >LPERR02G01740.1 pep chromosome:Lperr_V1.4:2:1078173:1089615:1 gene:LPERR02G01740 transcript:LPERR02G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPSSELDLDAFLPTSPTSSEADGDGDADHRRAVDDLLLLLSSSDSDADEPPPARPSPIAHLKTLASIRAPAPSPKPSSPSPSASASPGRSTSASPSATLSSLVSRTFSNNAASSSSAKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIERLLDEGSGSGSEASEEFPPAGNSEAEVAERVIAEVDADATEEAAIGSVDEELEADNHAEEVQLEEKSELTESVEEVTADSSVAENVNEHEQFGGENLAEIDRTADQIDLVDEEYVDDRIVDQNLVEFGDDEDRVGAVFKEDVEDEQEFDRSETSVEEQLESESIIDKVIEERLEISRKAETAEKKPKVSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSSSFGQDHGSPQVLIVHKSYIALGMSKGSVIVIPSKYSIHQADDTNAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKGTAAKVIYGEHTAAVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLATSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPMEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSLSDSPSVDEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTVFVLDGFVFDDSILYHTHFSNRFGNPERHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMLYLVELLLSYIHYVFEYISIALSSHTGKGGTADGLVDADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAKSGEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVVQNTTRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLRSVREELLQFLLEESKTFTTDVYKNFKSSCGKCPNICYLLWMDTEAALEVLKCAFAHEGFEPRDSPFSDAFVSEDGDNTNIGSLESENVMLQNLVDTIVDIVGLENEAIHSIVLGVDESVIWPSEKDFGNLIEFVSFFVSHKRAKASQRVVRHILRCLTSSNALSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHLCLDAHFHQACGLIYTTRKQNLAALESYMKDTLEPFHAFIFINKKLSELADDEALSFRSTVISHFPGLVKLSRECAFVLVIDHFHNEFQKILSELHSDHHGLFLFLKTAIEVQLSGKLNFSELSARNNRTVQLQYSSRELELYIQRLSNLPKLLDRNPVIMTDELVELYLELLCQYEQRSVLKFLETFDSYRLERCLRLCLDYGVTDAAAFLQERVGDVGSALALILEGLDEKISLFIASVENAFSGIASKSISRIEQPDILNMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKRLYVSKDVKQIGVRPSGNETSNRLPKDKGLRRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFSTLSLLKRGVCHGFAPHNFVCCICNCSLSKESAISAVRVFSCGHATHLQCESEQSKSSNSDSKDGCPVCLSTSNTQAQNKSPISENGVGKHFGAESDISHGTYHTHETDHVERSRGLQQMSRYEILNHLQRTQNSLHIETVPPLRLSPPAIYHEKIQKRTTLVGESSKHSVRTGKPQKIWQMEPRSKKSGNRFLPKFSEESSAVMFRMSSTSLTLGDEFQISRQCGSREGRPGDPERL >LPERR02G01740.2 pep chromosome:Lperr_V1.4:2:1078173:1089615:1 gene:LPERR02G01740 transcript:LPERR02G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPSSELDLDAFLPTSPTSSEADGDGDADHRRAVDDLLLLLSSSDSDADEPPPARPSPIAHLKTLASIRAPAPSPKPSSPSPSASASPGRSTSASPSATLSSLVSRTFSNNAASSSSAKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIERLLDEGSGSGSEASEEFPPAGNSEAEVAERVIAEVDADATEEAAIGSVDEELEADNHAEEVQLEEKSELTESVEEVTADSSVAENVNEHEQFGGENLAEIDRTADQIDLVDEEYVDDRIVDQNLVEFGDDEDRVGAVFKEDVEDEQEFDRSETSVEEQLESESIIDKVIEERLEISRKAETAEKKPKVSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSSSFGQDHGSPQVLIVHKSYIALGMSKGSVIVIPSKYSIHQADDTNAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKGTAAKVIYGEHTAAVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLATSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPMEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSLSDSPSVDEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTVFVLDGFVFDDSILYHTHFSNRFGNPERHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMLYLVELLLSYIHYVFEYISIALSSHTGKGGTADGLVDADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAKSGEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVVQNTTRKEGHGTIPQSRLRSVREELLQFLLEESKTFTTDVYKNFKSSCGKCPNICYLLWMDTEAALEVLKCAFAHEGFEPRDSPFSDAFVSEDGDNTNIGSLESENVMLQNLVDTIVDIVGLENEAIHSIVLGVDESVIWPSEKDFGNLIEFVSFFVSHKRAKASQRVVRHILRCLTSSNALSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHLCLDAHFHQACGLIYTTRKQNLAALESYMKDTLEPFHAFIFINKKLSELADDEALSFRSTVISHFPGLVKLSRECAFVLVIDHFHNEFQKILSELHSDHHGLFLFLKTAIEVQLSGKLNFSELSARNNRTVQLQYSSRELELYIQRLSNLPKLLDRNPVIMTDELVELYLELLCQYEQRSVLKFLETFDSYRLERCLRLCLDYGVTDAAAFLQERVGDVGSALALILEGLDEKISLFIASVENAFSGIASKSISRIEQPDILNMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKRLYVSKDVKQIGVRPSGNETSNRLPKDKGLRRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFSTLSLLKRGVCHGFAPHNFVCCICNCSLSKESAISAVRVFSCGHATHLQCESEQSKSSNSDSKDGCPVCLSTSNTQAQNKSPISENGVGKHFGAESDISHGTYHTHETDHVERSRGLQQMSRYEILNHLQRTQNSLHIETVPPLRLSPPAIYHEKIQKRTTLVGESSKHSVRTGKPQKIWQMEPRSKKSGNRFLPKFSEESSAVMFRMSSTSLTLGDEFQISRQCGSREGRPGDPERL >LPERR02G01750.1 pep chromosome:Lperr_V1.4:2:1090225:1093146:-1 gene:LPERR02G01750 transcript:LPERR02G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMAVLAGPLWAAALLGLLLGWAWRPRWAAGLVATADSHHPSPPLPFATLDYWRSQLPARILAPLGAAGAEQQQRQEDDASLLGSSEMANEELAVGKDDLVNLWKLVEGRDGGPAWIKMMEKSLPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIGRRIWKLGGAYYCVTKGVPCSSIPRRNKPRRVDVYYSSWCIRAVESRRGNGGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSHSALMAHINTKVGDNFVRGLESNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNAL >LPERR02G01760.1 pep chromosome:Lperr_V1.4:2:1096740:1100187:1 gene:LPERR02G01760 transcript:LPERR02G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACTSAAFLAYPTSLGVGPRPLRLFRAFAASSGGKKSRKSKSNKGDGGGGKGKEKALEPPPAVIRRAPAGSASVFQQPGSSPAGGGGKGPTEEELRQRQANENAFLLAWLGLGLIILFEGLALAASGFLPEEWDNFFVKFLYPSFTPTVILFLGGTVGYGVIKYFEGEKSKS >LPERR02G01770.1 pep chromosome:Lperr_V1.4:2:1100022:1105404:-1 gene:LPERR02G01770 transcript:LPERR02G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAAVKASSAASFAHKVRILSAHSPPTLPWGIRFPHRFSTLTPSLAAVVVSQNDLAAVAAPAQQQQKQRSGRRARSGRVRAVATPARAPRSPASTGSVKGAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKIIGEISVSGATYKSMEFVGSTVDSLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEFEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >LPERR02G01780.1 pep chromosome:Lperr_V1.4:2:1109691:1114375:1 gene:LPERR02G01780 transcript:LPERR02G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETETTAPSPASPAAAAQPEEPEPEAESAAPVAAMAVAAVSSQPPAPALVPAMAAAAPATAAAAGGRGEGKRKRGRPRKYGPDGSLLRPPLKATPISASVPDDSGGGHYTPAAAVGAVMKRGRGRPVGFVSRASPVAVAVTAATPTAAVVVSSPAATHTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNAKKPVIEITTVPPPPPTIGFTISSGDHMEDSYSGSQQRSATTTTAKANSTSAFRVENWTAPVPEVARKTPSSEAKVPVPGA >LPERR02G01790.1 pep chromosome:Lperr_V1.4:2:1118632:1124977:1 gene:LPERR02G01790 transcript:LPERR02G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRMRRMGSNTNASSSAGAAAAAPAPKVVAVLAGLLERAAARGDADEGTPAAAAAATAFRGRARPEISVRRYAERIYRYAGCSSACFVVARVYLDRLAGRRPEEEEDRESSSSSPAAAAASVVVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFAAYCAALEGEMVAADCPLPPPISSPSPEEEEENFQASRRNDGGAKNVAVADSVGRSAMLAAAHHRVVVEITH >LPERR02G01790.2 pep chromosome:Lperr_V1.4:2:1118601:1124977:1 gene:LPERR02G01790 transcript:LPERR02G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRMRRMGSNTNASSSAGAAAAAPAPKVVAVLAGLLERAAARGDADEGTPAAAAAATAFRGRARPEISVRRYAERIYRYAGCSSACFVVARVYLDRLAGRRPEEEEDRESSSSSPAAAAASVVVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFAAYCAALEGEMVAADCPLPPPISSPSPEEEEENFQASRRNDGGAKNVAVADSVGRSAMLAAAHHRVVVEITH >LPERR02G01800.1 pep chromosome:Lperr_V1.4:2:1126402:1140106:1 gene:LPERR02G01800 transcript:LPERR02G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCADPPLPIKPPESKITELANKTTYESSVMEQKTRRHIIERYRSCEVSQVILSEVENRHQHQTLEPVKSPISGCSPSVESTTDTNTLHKHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFIPSALAFLMKGEPSIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSQHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMVDRRMGIYGYPIEIQALFFMALRCAVTLLKEDRNDDFVYQISKRIKALSYHLHTYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGKQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGSDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARKAVEVVEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKLLLDDPSNLRAVSLSDDGHIRAPVLKRSNSFP >LPERR02G01800.2 pep chromosome:Lperr_V1.4:2:1126402:1140106:1 gene:LPERR02G01800 transcript:LPERR02G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCADPPLPIKPPESKITELANKTTYESSVMEQKTRRHIIERYRSCEVSQVILSEVENRHQHQTLEPVKSPISGCSPSVESTTDTNTLHKHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFIPSALAFLMKGEPSIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSQHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMVDRRMGIYGYPIEIQALFFMALRCAVTLLKEDRNDDFVYQISKRIKALSYHLHTYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGKQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGSDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARKAVEVVEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKLLLDDPSNLRAVSLSDDGHIRAPVLKRSNSFP >LPERR02G01810.1 pep chromosome:Lperr_V1.4:2:1139704:1143200:-1 gene:LPERR02G01810 transcript:LPERR02G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLYSDDVSLAVVVVDTNPFFWAGAALPFSEFFAHLIHYVNSILLLNHLNHVVVIAAGLSSCTYIFDSSDAGASGAADVAATFGKASRRMDEFIEQDARATTSNGSVADGNAASLLSAQLLISANVQAVFATDLHSRTFLRLPKTLGVDFRASIAGTHIQAF >LPERR02G01820.1 pep chromosome:Lperr_V1.4:2:1144487:1147464:1 gene:LPERR02G01820 transcript:LPERR02G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSARRPLSAQWSAASGDQPPKSALDKLDTLFDEVMRKGVCSMDQDMRMEIMESIHRARLEISREQVGKSWKICRVVTVGGLIGYIIRKCLGPLPGEKDGKAGRQEMRKGTDYLLE >LPERR02G01830.1 pep chromosome:Lperr_V1.4:2:1148484:1154185:1 gene:LPERR02G01830 transcript:LPERR02G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRQQLTPPAEVLRSWPCCVAISAPPPLREEEEEDNVVVVPAALVVCPSRSFRWAFPPPCHLSRSHPPRPRSPDHAAPRSPAVFFQFQRLAEESKPHNLFVHWSLGFGYIIAMGAYGKALKAWLEPAKNNSREGSVLIY >LPERR02G01840.1 pep chromosome:Lperr_V1.4:2:1154539:1157529:1 gene:LPERR02G01840 transcript:LPERR02G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRRLAAASLTPRIPRILRPDRTSAAYSTWVPHPTQADPTPIYLRVVDKIEEMKTVPLTKDALRALQRAQEKAKTHHKFMHQHDIDRLPMKGLLRNDGERLLYYTVAVSFPSFLLLVDTIVVNISTKIKKHSHLEVGI >LPERR02G01850.1 pep chromosome:Lperr_V1.4:2:1158339:1159142:1 gene:LPERR02G01850 transcript:LPERR02G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALRIPPILFPDGTSAAYSTCVVNKIEEMRTIPLTKDALQALQRAEEKAKTHHKFMHKHDIERY >LPERR02G01860.1 pep chromosome:Lperr_V1.4:2:1163509:1167250:-1 gene:LPERR02G01860 transcript:LPERR02G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMATDRSAQNLKLEQSINGDRSTDFLQEMWDEIGEGEEERREMLQSLEEECVNVYRTKVSQVKQQKAMLQREIADSVAELAAICATIGDPPATVQTACSSLSTGNLKEELGSITPELEEMRRRKEERRRQFIDVTQLINRMQHEMKPIEQLRLAMDESDLSMRRLEELRACLQSLQQEKESRIRKMTELMSSLHSSSLVLGIDFRDTNLHHDDEQATDVSDDAIARLVSEIERLREIKRNRMQKLQDLVATMLELWNLMDTPSEEQKRFQSVACNIAASEDEIIEPDALSMEFINNVGADHHLIPKIFSSMVEAEVVRLESLKECRMKDLVLKKYDELNEIRRRAHIPVENEGDTMIMFDAIDSDAERCLILERLEVQISEAKDDEFSRKDVLERMEKWQAALEEESWLEEYSRNENRYNVGKGTHLVLKRAEKARTLVGKMPAMAEALITKIVAWEKERGVKFEYDGDGLLEMLEEYNNTRKEKEQERKRQRDQRRMLGQGTVESPVAKPPPKNIKNVTRTLSMGGSSASSKKASASALSRPSTPSFLKSPMSARRTDEGQMLLSRAVEEDVLL >LPERR02G01870.1 pep chromosome:Lperr_V1.4:2:1178701:1185154:1 gene:LPERR02G01870 transcript:LPERR02G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSSQSPTTTTAAAAANGNHQQRRSPKGKGVPDGKEAAAARVEFGYERDFEGRYEVGRLLGHGQFGYTFAATDRDSGERVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSLCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVNNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPDELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPNPQPL >LPERR02G01880.1 pep chromosome:Lperr_V1.4:2:1186327:1187619:1 gene:LPERR02G01880 transcript:LPERR02G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPPLPRSPLAPNPAAIIHALLLKSSPSLLPPRLSLASLLAAAAASPHRRLRSVVLPALALAHRFPTAAVGPIESYALCSALRHATAAEAEPLHALAARSGWLGSVFVSCAIAAAYGGSGRCVDARRLFDESPNRNGVFGNAVLAGYVSAGKWVPLLEFARGFPELGLRVDGHTMTAVVRACGALANADLGGQAHGHAIRRVGVVESDVFLTSALVDMYAKCGLVSHAKRVFGLAQRVNAVGGDVVLWTALLNAYGRHGQCKEVIQMYDQMVASGVRPDKLAILAVLSACQHAGEVVKGLHYFESMREDYGLTPTPDHYSCVVNMLCRAGMVTKAWEIVTTEGCGGEFGISTWCGLLSACCDCGNVELGRMAAQRAIKLEPDNVTIYVELSNLYARAGLWEEIDQLREVMKNNGFEKDVGLTWVERGS >LPERR02G01890.1 pep chromosome:Lperr_V1.4:2:1188637:1190365:1 gene:LPERR02G01890 transcript:LPERR02G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHLISIQSVCAICWTNKSIMGLAFSAFGLPGLSSIPTGQVYDQYFKDKKTDTFTDFHLAYVEFCKDFNTVLPGQDFDTPSLDRIKRFYEETWEPQKDHAKRKEEFMNYIKQNVMEATVDDSLFIMAGLAAPAGAIVLKRTGESIPPLKRFRLDLLPNVVFVPLFTLGAIMGATALQMNKKGKHMHP >LPERR02G01900.1 pep chromosome:Lperr_V1.4:2:1190820:1192454:-1 gene:LPERR02G01900 transcript:LPERR02G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >LPERR02G01910.1 pep chromosome:Lperr_V1.4:2:1193353:1195471:1 gene:LPERR02G01910 transcript:LPERR02G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSASDAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSAADASSSSSSASPSDRSSWKSMEFEIQSLLDKLQDVNEAMSRCASSTAPTTSVSQKLARHRDILHEFAQEFRRTRGNLSSIREHADLLSSVRDDITEAKASGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRAALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >LPERR02G01920.1 pep chromosome:Lperr_V1.4:2:1196258:1199690:-1 gene:LPERR02G01920 transcript:LPERR02G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLAGAREYFGLVRGSAGGGGSTPPPRYVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSTLLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFIKVALPLYGV >LPERR02G01930.1 pep chromosome:Lperr_V1.4:2:1200406:1204542:1 gene:LPERR02G01930 transcript:LPERR02G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPEPVLRLGLALLNDSSARSRLGSEQWTLYEQVAVAAMDCQRVDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWADAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSAAINQLTKGRNKEEESSELQNLAAEALLKEYKQRAPSKEALVTSLLKNMKLS >LPERR02G01940.1 pep chromosome:Lperr_V1.4:2:1204889:1205122:1 gene:LPERR02G01940 transcript:LPERR02G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMMSRTAVAALVVAAVVIAAAWVPAASAYGCYDDCYERCANGKTDTACTTMCNEACGGVGKSTTGGGVGAADKV >LPERR02G01950.1 pep chromosome:Lperr_V1.4:2:1205634:1205819:-1 gene:LPERR02G01950 transcript:LPERR02G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLGEFYSDDGEDDDDDEVFISLVAGEGDEMKRGLFVQGIEIRFQKWRSRPNARHHEKS >LPERR02G01960.1 pep chromosome:Lperr_V1.4:2:1207718:1212491:1 gene:LPERR02G01960 transcript:LPERR02G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLLLRSIRRLRRKSRRHCPYAVHLPLPPNPRIPPAPPPADSDLEHNKKASARRNTTAAAWNTATKRTAVAALLVAAVVIVDAGGKRVRLLRALRKRQD >LPERR02G01970.1 pep chromosome:Lperr_V1.4:2:1213986:1214582:-1 gene:LPERR02G01970 transcript:LPERR02G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMLTHGRSNRPSTQESSTYSEAATYAAYMVFKLATGSTGMDHPHRAKIRFGGYKASHQVFLQIHGNKSATQNKQLVDHNEDELVPNSHSLSGTSTITRRRTGNHKAQIDEIKPGLDQIDPPCHGGNAYYPDKRADGWMEIQLGEFYSDDGEDDEVFISLVASEGDEMKRGLFVQGIEIRFQKWRTSPNARHHEKS >LPERR02G01980.1 pep chromosome:Lperr_V1.4:2:1217187:1217477:1 gene:LPERR02G01980 transcript:LPERR02G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQMMMTRTALVALLIAAAVVASANAYGCYDDCFERCANGIPSPECTPMCDEACGVQGKVVAGVGTVLEAATAGASVGAAAPAEAPDAEAPAEA >LPERR02G01990.1 pep chromosome:Lperr_V1.4:2:1219273:1223634:1 gene:LPERR02G01990 transcript:LPERR02G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKIDASTALTPAATAIADSFRDWFLSRDRRAAPSSSAAALDAIYAAVAADDTAALDALPLSEELVLAVLRHRPRGASGGGDALLLLRLRFFDWSGNRRRYSHTRAVYHAVFRLLSRARRAAVVVDWLRLFSNASASSPARSRFHDTLVVGYAVAGDPQRGLSILGRMRFQGLNLDAFSSHVLLNSLVEASLHEYADSFARHLVSSPVTTCIRIKSFCRQARFSDAVDLLDTLPFDQASSGPAAGSIITELCRRGRFSEAARLVERFPSSGVYGAWIHGLVEAGRVDATLQFLSDKKENEGYIPEGHRYDKLMCRLLRKNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAAHLYRSRMELGVNPNKDVYNNLIRALCRAGDTEEACLVLEQAMMKGYFPGCQTFTMFANVLCQEGKLDKVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAAIAVPQMAGSKSPKGLYRYESTYKSLIRALILIKRVDVLPRLILEMQDMGHVPSRSLYLSVVCAMCEVSRYAEVLELLNIQLQRTELHPRLCYNYFISGAGHAKKADMAREVYNQMERAGIEPSGESNMLLLMSYLRSKRIGDALNFFNLIRDKKALGTKLYNTFISGLCEARKPEQAMVFWREAREKGLVPSICCYEQLVLLLCSVQDYDNVVKIVDDFRETGRPVSAFLCNVLLLHTLKGVDLVKAWKRSRDQSEQATVKPEEIKGRGVGRYLIGELIMMFAGGIRNLNDLEDLEEDLERHFPVDIFTYNMLLRGLSVVGRMDSASNMFERLCRKGYEPNRWTFDIMIHGFCKNGDIDEAERWMEAMHRNGYYPTWYTMRLYNNLVLRTGYRKDISFV >LPERR02G02000.1 pep chromosome:Lperr_V1.4:2:1227835:1231351:-1 gene:LPERR02G02000 transcript:LPERR02G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAPPCPLAARSRSLLHRPRPGPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLTPVTLPIIRDANVKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >LPERR02G02000.2 pep chromosome:Lperr_V1.4:2:1227835:1231351:-1 gene:LPERR02G02000 transcript:LPERR02G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAPPCPLAARSRSLLHRPRPGPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLTPVTLPIIRDANVKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >LPERR02G02010.1 pep chromosome:Lperr_V1.4:2:1234971:1237670:1 gene:LPERR02G02010 transcript:LPERR02G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLLAAMAAVAMMTATAAAALQAMNVTTMAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNADVFEKRHDELDFEFLGNIRGKPWRVQTNLYGNGSVHRGREERYLLPFDPTTEFHRYSILYTRSTVIFFVDDVPIREIRRNPAISAIAAGADFPSKPMSLYATVWDASNWATSGGRYRVNYRYGPFVASFTDLSLVGCRVDPVQMLGDFSGECAAAEERLVASDLAVMTVEKQQAMRRFREQNMVYSYCYDVVRYPVRLPECEVVESEKRRFKDSGHLRLAFRRRRRGGGGRPGSRPGRSATRAAEM >LPERR02G02010.2 pep chromosome:Lperr_V1.4:2:1235546:1237670:1 gene:LPERR02G02010 transcript:LPERR02G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLLAAMAAVAMMTATAAAALQAMNVTTMAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNADVFEKRHDELDFEFLGNIRGKPWRVQTNLYGNGSVHRGREERYLLPFDPTTEFHRYSILYTRSTVIFFVDDVPIREIRRNPAISAIAAGADFPSKPMSLYATVWDASNWATSGGRYRVNYRYGPFVASFTDLSLVGCRVDPVQMLGDFSGECAAAEERLVASDLAVMTVEKQQAMRRFREQNMVYSYCYDVVRYPVRLPECEVVESEKRRFKDSGHLRLAFRRRRRGGGGRPGSRPGRSATRAAEM >LPERR02G02020.1 pep chromosome:Lperr_V1.4:2:1239224:1241967:1 gene:LPERR02G02020 transcript:LPERR02G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRLQRRLIGPNHPRIRNFVHRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHSPGPPNGRSEQFRHLSSTSKSIGTQKPASKTSQRDSGKMLFSPLNIRPKSFPVLPTMMQPNKISASSQCRRISYTSFPSATAKRKDFMEGVFRKDIAQLMV >LPERR02G02030.1 pep chromosome:Lperr_V1.4:2:1242896:1243444:1 gene:LPERR02G02030 transcript:LPERR02G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRRPQNQHQQQQKGNNNGNGRNAGAGEIIEPVSVDIMEPSFMDAISLTAFAAAPAMGMGISPSPFSTASMDWKETAAAHVFMADLPGVRREEVKVEVEEEKVLRITGHRVRAATDGDRWHRLERSSERFVRTVRLPPNANTDGVVAALENGVLTITIPKDNDRKAQGRLIPITN >LPERR02G02040.1 pep chromosome:Lperr_V1.4:2:1245487:1248561:1 gene:LPERR02G02040 transcript:LPERR02G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRRFVGLLGLSRDDADHHHHHHHDGGSAAAVAAGGEVPQDKVAAAAAAAAAAGNVQRRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVAHEFLEEVVPESSINNDATPAGRFQVKYNTKSAALAMRKQISVVDGDIRHSLEYQGQLRLV >LPERR02G02050.1 pep chromosome:Lperr_V1.4:2:1248970:1255186:1 gene:LPERR02G02050 transcript:LPERR02G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAMPPHGFFPPPVAASPQAHPYMWGAQDSHSKENDVKEDGSSQNGMSHSASQGVLNQNMSMAPTQTGVVIGGVAPATNLNIGMDYWGATGSSPVPAMHGKAPSGSVRGEQWDEREIKKQKRKQSNRESARRSRLRKQEKLGGNSDSIPDMNKQNDTNGNCQKQQDSDAQPDDAP >LPERR02G02060.1 pep chromosome:Lperr_V1.4:2:1254006:1259651:-1 gene:LPERR02G02060 transcript:LPERR02G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDEEWTNENFLVELFRIQDEALASRNPNPTPASPPPPPPVPIASAPPHAAAAPVHFSPPRELSQHPQPGLGSDIGVRGFSPPRELSQRPPPAAGEMAEASGREIVAVSGSGLAAAAAGADRFRGGGGGVRRERDGRGEADRREVERLKKELNRVSKQMNEMKNECSELKKDRTRKELEIKAKEAEIQSLKRANVGCANKNVGSVAMDIDQSAHAPANGPLHAEGSCRASTRRAETLNGKNRELSSPQDGHCLNQMNQTDASGVLEESVRFEPKSSKCKEIKTPGVQTDLPGNNEHLEHKKVLVGRISSNLCAVWGMPPNNLLGRNLMSKILVSCSEEILTLLQSMGSLEKSETSSEASSSVRNAISHVYDIIIKVNNDTIHIQSLLEALLNLAAVGNDAIVSRALRMLHSILQHLLNNRMMSNQRNNVSLEPCVNSTVHMEQNSLKCSFAPLNTPDAVNLSRSHDGLHTGNMQLPFTFWTSLFTAILQIGEKHSEESIRVDALSIMILIARTTDPKVEREKFGFTSVIEKLHQLLQKENGLLVKKHSVDLLFLLLNCPATLKLLCNGGKDSPEKIEAKGCENDRSQQAISSIFKDLSECLSCKATSSAEIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVHGANFLELTTEVLASQMEYDADHSSGENELLKERYFLMREVLILLNRLVSHANFSKPTLEVLTSSKLCATLTIDIANRLPQRSKYPLRELGEINIQMANDLAELAQKFRTRIHSFLEEQHSTVDHSNPSTLHKSLQLTG >LPERR02G02060.2 pep chromosome:Lperr_V1.4:2:1254006:1259651:-1 gene:LPERR02G02060 transcript:LPERR02G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDEEWTNENFLVELFRIQDEALASRNPNPTPASPPPPPPVPIASAPPHAAAAPVHFSPPRELSQHPQPGLGSDIGVRGFSPPRELSQRPPPAAGEMAEASGREIVAVSGSGLAAAAAGADRFRGGGGGVRRERDGRGEADRREVERLKKELNRVSKQMNEMKNECSELKKDRTRKELEIKAKEAEIQSLKRANVGCANKNVGSVAMDIDQSAHAPANGPLHAEGSCRASTRRAETLNGKNRELSSPQDGHCLNQMNQTDASGVLEESVRFEPKSSKCKEIKTPGVQTDLPGNNEHLEHKKVLVGRISSNLCAVWGMPPNNLLGRNLMSKILVSCSEEILTLLQSMGSLEKSETSSEASSSVRNAISHVYDIIIKVNNDTIHIQSLLEALLNLAAVGNCNIRNNVSLEPCVNSTVHMEQNSLKCSFAPLNTPDAVNLSRSHDGLHTGNMQLPFTFWTSLFTAILQIGEKHSEESIRVDALSIMILIARTTDPKVEREKFGFTSVIEKLHQLLQKENGLLVKKHSVDLLFLLLNCPATLKLLCNGGKDSPEKIEAKGCENDRSQQAISSIFKDLSECLSCKATSSAEIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVHGANFLELTTEVLASQMEYDADHSSGENELLKERYFLMREVLILLNRLVSHANFSKPTLEVLTSSKLCATLTIDIANRLPQRSKYPLRELGEINIQMANDLAELAQKFRTRIHSFLEEQHSTVDHSNPSTLHKSLQLTG >LPERR02G02070.1 pep chromosome:Lperr_V1.4:2:1260753:1264745:-1 gene:LPERR02G02070 transcript:LPERR02G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEEKRAIYDRYGEEGLKGMPPPGSQSRTSTAAGPSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRPQWKAGNTRGEASSASQTESSTSTSQLEKPPAVEKTLVCTLEELYNGTKRKMKITRNVAKTDGKLEIETEVLPVEVFPGWKKGTKITFPNKGDRLYGQLPQDLTFVIDVKPHDVYLLEGNNLVVNQMIPLVDALAGTTVHLKTLDGRNLPIRVEDIVCPGHEIVIANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRVAIRQIMGG >LPERR02G02080.1 pep chromosome:Lperr_V1.4:2:1266036:1270344:1 gene:LPERR02G02080 transcript:LPERR02G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDTFFSTREMRVVMLGLDAAGKTTVLYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPDEVSEGLGLHDLKNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSVAGPSI >LPERR02G02090.1 pep chromosome:Lperr_V1.4:2:1271075:1274322:-1 gene:LPERR02G02090 transcript:LPERR02G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITGKDGVVGVVIFCSVPNVKEAFAMAPGSSIVTEVPESDCVSDGLSEALTSIRLDSDSTCKPWASSLVTVGLSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVENHCPTCRQELGNIRCLALEKVADSIQLPCKYQSLGCTEIHPYQKKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >LPERR02G02100.1 pep chromosome:Lperr_V1.4:2:1314813:1317125:1 gene:LPERR02G02100 transcript:LPERR02G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRPLLSPASKAPTPTRASPLLPLGVPFPPSHILSPCPRTVSLLLDPLQETGSKIKDKCCAELDHEEKNRETTLRRFTVCQYTPTGH >LPERR02G02110.1 pep chromosome:Lperr_V1.4:2:1319534:1323061:-1 gene:LPERR02G02110 transcript:LPERR02G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTTFLNKQVPSWVFRTISKGNFGIYTTKKRNLRTGFHVRAVTGGQGTRNASGAEFPSDYTQLLVQAKEAVESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKMLVVEELYKEAVVGTDRKLIIFNGELDRIRNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPNPWKVLRNIGGSFFCLHEQEEMPSLKEPKDHQAKHCSHIIEKEDLAAMENTEQIRCAGVVAEAI >LPERR02G02110.2 pep chromosome:Lperr_V1.4:2:1319534:1323061:-1 gene:LPERR02G02110 transcript:LPERR02G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTTFLNKQVPSWVFRTISKGNFGIYTTKKRNLRTGFHVRAVTGGQGTRNASGAEFPSDYTQLLVQAKEAVESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKLCLPLQQYDYMRCFLEMLVVEELYKEAVVGTDRKLIIFNGELDRIRNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPNPWKVLRNIGGSFFCLHEQEEMPSLKEPKDHQAKHCSHIIEKEDLAAMENTEQIRCAGVVAEAI >LPERR02G02110.3 pep chromosome:Lperr_V1.4:2:1319534:1323061:-1 gene:LPERR02G02110 transcript:LPERR02G02110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTTFLNKQVPSWVFRTISKGNFGIYTTKKRNLRTGFHVRAVTGGQGTRNASGAEFPSDYTQLLVQAKEAVESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNDYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPNPWKVLRNIGGSFFCLHEQEEMPSLKEPKDHQAKHCSHIIEKEDLAAMENTEQIRCAGVVAEAI >LPERR02G02110.4 pep chromosome:Lperr_V1.4:2:1319534:1323061:-1 gene:LPERR02G02110 transcript:LPERR02G02110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTTFLNKQVPSWVFRTISKGNFGIYTTKKRNLRTGFHVRAVTGGQGTRNASGAEFPSDYTQLLVQAKEAVESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNVVLLYFLETLTIKDYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPNPWKVLRNIGGSFFCLHEQEEMPSLKEPKDHQAKHCSHIIEKEDLAAMENTEQIRCAGVVAEAI >LPERR02G02110.5 pep chromosome:Lperr_V1.4:2:1319534:1323061:-1 gene:LPERR02G02110 transcript:LPERR02G02110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTTFLNKQVPSWVFRTISKGNFGIYTTKKRNLRTGFHVRAVTGGQGTRNASGAEFPSDYTQLLVQAKEAVESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKTLTIKDYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPNPWKVLRNIGGSFFCLHEQEEMPSLKEPKDHQAKHCSHIIEKEDLAAMENTEQIRCAGVVAEAI >LPERR02G02120.1 pep chromosome:Lperr_V1.4:2:1324938:1328573:-1 gene:LPERR02G02120 transcript:LPERR02G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTRSRSKTLAQSEGQSLGKQQQQMADGGNNQRESIVETSILAAEQFQLASSTREGEWKRHEIGEDARTNWAEGGGRGCGEERKVVPSACTVHPAARARAARTSFMSARAGSGKLPCCRSPASIRLVMARRCRSLSFVLSFSLSRSLSPRRRGAAVAAAGEVGWSPAAAGDGEEAKPRGRRCGGGGGGASPSSIGECGCFVVIAGAPPPPPSSRSAARWRGDLCEETETEEWAREGSTNTAQANSELSIPRIPPYPGRINTMEIKQLIQTRLGQERANRYFTYFKMFLSARMEKSVFDRLIIQTIGRENIRLHNHLLRSILRNASLPMQRPGAPAAK >LPERR02G02130.1 pep chromosome:Lperr_V1.4:2:1325762:1334546:1 gene:LPERR02G02130 transcript:LPERR02G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPYHRLNQTKLASPLATPAFLYLPSRAHSSVSVSSHKSPLHLAADRLDGGGGGAPAMTTKHPHSPMLDGEAPPPPPPHRRPRGFASSPSPAAAGDHPTSPAAATAAPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQADGTTFRSSPQPLPPPSAQLPGIFHGNSVETPSFTSVLNSYAIGTPLDSQASILQTDDSLSPSSLDSVVVAGQSIKSEKYGNSDSVSSLNCLENHQLIRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGVRAELMHLKSLNVDGVVVDCWWGIVEAWTPHKYEWSGYRDLFGIIKEFKLTVQVVLSFHGSRETGSGGGAMSISLPKWVMEIAQENQDIFFTDREGRRNTECLSWGIDKERVLQGRTGIEAYFDFMRSFHMEFRNLTEEGLISSIEIGLGVSGELRYPSCPERMGWRYPGIGEYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGFLIDHVDQVLSLATLAFNGVETVVKIPSIYWWYRTVSHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEYTIQQNNEEFADPEGLTWQVMNAAWDHGLSISIESALPCLDGEMYSKILETAKPRNDPDRHHVSFLAYRHLPPFLLQRDACFSELGNFVKTALLLSSPLSQLGQMLIETPMGGRMDPSKT >LPERR02G02130.2 pep chromosome:Lperr_V1.4:2:1325762:1332759:1 gene:LPERR02G02130 transcript:LPERR02G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPYHRLNQTKLASPLATPAFLYLPSRAHSSVSVSSHKSPLHLAADRLDGGGGGAPAMTTKHPHSPMLDGEAPPPPPPHRRPRGFASSPSPAAAGDHPTSPAAATAAPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQADGTTFRSSPQPLPPPSAQLPGIFHGNSVETPSFTSVLNSYAIGTPLDSQASILQTDDSLSPSSLDSVVVAGQSIKSEKYGNSDSVSSLNCLENHQLIRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGVRAELMHLKSLNVDGVVVDCWWGIVEAWTPHKYEWSGYRDLFGIIKEFKLTVQVVLSFHGSRETGSGGGAMSISLPKWVMEIAQENQDIFFTDREGRRNTECLSWGIDKERVLQGRTGIEAYFDFMRSFHMEFRNLTEEGLISSIEIGLGVSGELRYPSCPERMGWRYPGIGEYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGFLIDHVDQVLSLATLAFNGVETVVKIPSIYWWYRTVSHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEYTIQQNNEEFADPEGLTWQVMNAAWDHGLSISIESALPCLDGEMYSKILETAKPRNDPDRHHVSFLAYRHLPPFLLQRDACFSELGNFVKCMHGEATQIMEG >LPERR02G02140.1 pep chromosome:Lperr_V1.4:2:1334092:1338390:-1 gene:LPERR02G02140 transcript:LPERR02G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDSLGADRDLEPAPPGPDPALHQVQVYKITGQLGRRIHERAATSLVDLGIFCKRSEISIGCIMIHSEFRPGSSPGKKQQAVTATIFSCSEVKES >LPERR02G02140.2 pep chromosome:Lperr_V1.4:2:1334092:1338390:-1 gene:LPERR02G02140 transcript:LPERR02G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDSLGADRDLEPAPPGPDPALHQVQVYKITGQLGRRIHERAATSLVDLGIFCKVGWEIILRDCALFFCCGAVMDSVSVAGLCGWLPRSEISIGCIMIHSEFRPGSSPGKKQQAVTATIFSCSEVKES >LPERR02G02150.1 pep chromosome:Lperr_V1.4:2:1342774:1343070:-1 gene:LPERR02G02150 transcript:LPERR02G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFVGIAAGGRKEATEAEMLRRRNEELEREVAALRAEVEAARRRAETAEEAEERLCVQLGEAEVETVELVREYQCRVHDLAVELAAARAAAASRS >LPERR02G02160.1 pep chromosome:Lperr_V1.4:2:1344218:1350568:1 gene:LPERR02G02160 transcript:LPERR02G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTLTTQITGRLLRSQAGNGQGLGNNAADYARLAAALRDDHGVPAVAVARVSRPDWLRNAAGLLDPDYWRCNLRPRPVLDWYLKRVEEAVSEARELCSPNEGISLIGHSAGGWLARVYMEEFDASDIRLLLTLGTPHLPPPEGVSGVIDQTRGLLTYVEKNCAPAVYTPELRYVCIAGRYIQGAPLTGNTVATADEILAVDTPSDVAEAVMVSTNDKSTPSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILTQWVHHLLS >LPERR02G02160.2 pep chromosome:Lperr_V1.4:2:1344218:1349785:1 gene:LPERR02G02160 transcript:LPERR02G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTLTTQITGRLLRSQAGNGQGLGNNAADYARLAAALRDDHGVPAVAVARVSRPDWLRNAAGLLDPDYWRCNLRPRPVLDWYLKRVEEAVSEARELCSPNEGISLIGHSAGGWLARVYMEEFDASDIRLLLTLGTPHLPPPEGVSGVIDQTRGLLTYVEKNCAPAVYTPELRYVCIAGRYIQGAPLTGNTVATADEILAVDTPSDVAEAVMVSTNDKSTPSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILTQWVHHLLS >LPERR02G02170.1 pep chromosome:Lperr_V1.4:2:1348332:1350679:-1 gene:LPERR02G02170 transcript:LPERR02G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVFRGCRFLMSPAASSAAGGKRPASASAAAAAGVGKVTKADAAEAKEKRGIMRPVPVSDALRRFAGVPEISRAGAVKLIWNHIKANGLQNPANKKEINCDEKLKVLFAGRDKIGSFSASKPSTEEMMYPLRQDRR >LPERR02G02180.1 pep chromosome:Lperr_V1.4:2:1351152:1354795:-1 gene:LPERR02G02180 transcript:LPERR02G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHMAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGAGKPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGNNTCRGVFIRAPAILDVGSDVEVLADCPVPADRPSITISSGEGVEEEVYSKDTVIVAVKQGNILATAFHPELTSDSRWHRFFLDMDKESHTKAFSALSLSSSSRDVQDGSKNRMANNCGHYYRHLKM >LPERR02G02190.1 pep chromosome:Lperr_V1.4:2:1355849:1359717:-1 gene:LPERR02G02190 transcript:LPERR02G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGTRTRGSRTMLFLVLVLMMIMAAAKAASGEGDVGDHDHEQFLKLWTERDGDIGKDYLNWDGDEEEEDGDDDEELEQVMSSAAKCRPTGRNVVNVDSFGAAGDGCSDDTEAFLDAWKKACSLNNAVFLVPGGRRYKVGAARFIGPCKNRMIIQIQGTIVAPEEPSEWDPKSPRLWLLFSGLSGVRIQGGGVIDGSGSKWWANSCKIDRSKPCKGAPTALTIDSCRGVRIHNLHLQNAQQMHLTVSRSRDIRLAGIHVDSPEDSPNTDGIHVADSTAVTILSSRISTGDDCISISNGSFAVKMKGIDCGPGHGISIGSLGQGGTFAAVEAVSLDGAWIHGAMNGVRIKTWQGGAGYVRNVRFANVVVDDVDHPIVIDQFYCDSRNPCHNQTSNVKVSNVMYRNITGTSRRAEAIRLACSDAVPCVDIVLSDINLLREDGAEVEMVCNCAMGFDYGRVRPAADCLRTSPCGGGVSPDVHPDDEDDDKIRHTEL >LPERR02G02200.1 pep chromosome:Lperr_V1.4:2:1360931:1363848:-1 gene:LPERR02G02200 transcript:LPERR02G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDMAGGGNWGSFPYASIPKESQFMFDANAAPLQLQLFGNGAVPAVGGTGYYNYTANSHLSAVNQERNTNNNGCLVKPLNLQMSLNNFPVENIDRLTRLSNPNAVSTGLRLSYDNNEHTSSITSGSGSMSSLPPMASFVDEVMTELDKENKEFNYYFGLQVEQLAKCMKEVKQRQIIDFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSLALQNQSVANSLKTKLMQLVAQNTILTREGSGDSEVENTASSQNVNAAPGSFFQSGFLSGNSMADGGLGACRLCRVKDAAVLVMPCRHLCLCADCEKNADVCPICRFPKSCSVEINISKGIQDEQKHRDADDVALQLLKQK >LPERR02G02210.1 pep chromosome:Lperr_V1.4:2:1376545:1381900:1 gene:LPERR02G02210 transcript:LPERR02G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGSPAMRFTAGGSSTRAWEPAMTADTSDIHYWMQWRVAACAVSVLACMAVAAYLIWRHEGPAAGRRPGGAGSDDAGDGVLYDDEAWRPCLREIHPAWLLGYRLISFFVLLSLLIVIVISDGGTIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVVTARTDMEIGSYVAHGAATKPNLGGDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLNFPWFRVAYFFLWTALYVIFQWILHASTPLWWPYPFLDVSANLSPVLSGCNHAVTVLRSIQTGDQTETSLADKVVPRFGCKRVIYTISQVVILLFHCKQFIQKGNGEQQKKE >LPERR02G02220.1 pep chromosome:Lperr_V1.4:2:1383200:1383771:1 gene:LPERR02G02220 transcript:LPERR02G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAVIAVCCMVILLFSGQKQQVTAKPKICRCYHECLPNCGLRKSRSFCKMFCGGCCVMIPTHNCTSIDAIPITGDDCRMICLNSFCGEAATSQWQNDADAAGCVDDCNNYRRGYGKIT >LPERR02G02230.1 pep chromosome:Lperr_V1.4:2:1384534:1384731:1 gene:LPERR02G02230 transcript:LPERR02G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSDMHPSASPQLDTTNTTQPTERNLTPKYQTDRRYAGPIAVSSTTTPQQRLLKRRLQQDYDT >LPERR02G02240.1 pep chromosome:Lperr_V1.4:2:1384774:1385149:-1 gene:LPERR02G02240 transcript:LPERR02G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVFYGRIWHGDRWATWHTWIELAHEGVAVVWWTLTTGLVAVLFQQDWESIGGGASIRCGGDVVLPMRNPSSVFCWANSGYAFGRRNPLGAAVEVPTSYFP >LPERR02G02250.1 pep chromosome:Lperr_V1.4:2:1389372:1389736:1 gene:LPERR02G02250 transcript:LPERR02G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLCEGENRYLDRVPNKVTTLEGVAAAGPDRTILILKIIVHQLRNHHPTIGSTRSPPHQIDAPSSIDFQFYQNRTTTKPVVNAHYTVVAPSCASLIHVRHTIHRSPCRI >LPERR02G02260.1 pep chromosome:Lperr_V1.4:2:1389384:1389615:-1 gene:LPERR02G02260 transcript:LPERR02G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWWFLSWWTIIFRMRMVRRKPSSVFYWAGCCYAFERRNLIRDAVEVPVFSFT >LPERR02G02270.1 pep chromosome:Lperr_V1.4:2:1389901:1396369:1 gene:LPERR02G02270 transcript:LPERR02G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEMREIGREAHHGGVVRSGSETDEHKEKTVDSEKDEPFRVQPKWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMIFALLIQTLAANLGVKTGRHLAELCREEYPRYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADASTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMRMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLLHNNLPKYANGLVSVVVFALMAAYLLAVVYLTFRKDTVTTYVPVPERAQAQAEAGAAPPVAVAADEDQPAPFRKDLADASM >LPERR02G02280.1 pep chromosome:Lperr_V1.4:2:1398830:1404527:1 gene:LPERR02G02280 transcript:LPERR02G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRGSGGGRPAIAAAMLVMLCVIGCIAATPAPGGGECPKYKDSKQPLNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPSAQASPAAWVAMVNEMQRGAMSTRLGIPMMYGIDAVHGHGNVYKATIFPHNVGIGCTRDPDLAKRIGAAVAAEVRATGIPYVFAPCVAVCRDPRWGRCYESFTCSKHYVGDGGTTRGMNENNTVATLRELMTIHMPPYYSAVVQGVSTVMVSFSSWNGVKMHANHFLITDFLKSKLRFRGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFIDDLTTLVQNGTIPMSRIDDAVRRILRVKFTMGLFENPYSDLSLVGELGKQEHRDLAREAVRKSLVLLKNGKPNDSPLLPLPKNPKSILVAGSHADDLGNQCGGWTITWQGLSGNNLTAGGTTILDGIKKSVDPNTEVVFEKNPDDDFMAKNHGKFDFAIVVVGEAPYAETFGDNLNLTIPSPGPEVIRSVCGGGGVRCVVVIVSGRPLVVEPFVDEVDALVAAWLPGSEGEGVSDVLFGDYGFCGKLGRTWFRRVEQLPMNVGDEDYDPLFPFGFGLETQPAYKG >LPERR02G02290.1 pep chromosome:Lperr_V1.4:2:1405529:1408508:-1 gene:LPERR02G02290 transcript:LPERR02G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLVSRSRQLYSAQAALANGGATQVRFYAKETAPADRPPVNGDDLLKGIFFEVKKKFETAIGVLKKEKITIDPDDPAAVSRYAQVMRTVRQKAGLLSDSERIKYTIETFTKGIPDARTYLNTLQEIRIKSGLIDDFGVEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGICKEDLPKIEAELEMDIAKSELTELKKECVEAMELQLKREEFKDEEMPDVRKLDIRNWL >LPERR02G02300.1 pep chromosome:Lperr_V1.4:2:1408854:1413901:1 gene:LPERR02G02300 transcript:LPERR02G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLLRPQLPRASASPSRWGSPEPRRVLLGSVVGFGGRGGGRCRRGVATAASSWMEEAGVAVLEEGVRRNPAVSDSYRPPEMPRPNAAVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSAMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPAEVKFIADPEGTIMGANGLTGPRYIGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVDLASANSTIVSEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSPLQAKTLLEDENAGFAYLSLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVKGEEGALSMTTKERSAHASKGLPVNHCSGFWTPNSTSFSDGISREGFRVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGNALSRLMNYIKISHKVS >LPERR02G02300.2 pep chromosome:Lperr_V1.4:2:1408854:1413901:1 gene:LPERR02G02300 transcript:LPERR02G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLLRPQLPRASASPSRWGSPEPRRVLLGSVVGFGGRGGGRCRRGVATAASSWMEEAGVAVLEEGVRRNPAVSDSYRPPEMPRPNAAVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSAMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPAEVKFIADPEGTIMGANGLTGPRYIGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVDLASANSTIVSEALLAAFLIGQRMNRETDRELKGYCLAFDDELVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSPLQAKTLLEDENAGFAYLSLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVKGEEGALSMTTKERSAHASKGLPVNHCSGFWTPNSTSFSDGISREGFRVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGNALSRLMNYIKISHKVS >LPERR02G02300.3 pep chromosome:Lperr_V1.4:2:1408854:1414505:1 gene:LPERR02G02300 transcript:LPERR02G02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLLRPQLPRASASPSRWGSPEPRRVLLGSVVGFGGRGGGRCRRGVATAASSWMEEAGVAVLEEGVRRNPAVSDSYRPPEMPRPNAAVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSAMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPAEVKFIADPEGTIMGANGLTGPRYIGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVDLASANSTIVSEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSPLQAKTLLEDENAGFAYLSLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVKGEEGALSMTTKERSAHASKGLPVNHCSGFWTPNSTSFSDGISREGFRVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGNALSRLMNYIKISHKVS >LPERR02G02310.1 pep chromosome:Lperr_V1.4:2:1416042:1427518:-1 gene:LPERR02G02310 transcript:LPERR02G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEDANDTQTQLDSKLSSIKEIKGEAHTTDSTEGILKIPEDQVLVEAPSETKIPPEHNLNGVSSSLNGHVDKEENISNEQPHEINQESPEQVETSPDGTSTHPTNRSREEDITESLSQGESASEDTTLLNCENVEAKDHDQQDFEGGNMMQEENSTIDNAVEQTVDAQDVDSSKETKDTQPAITANIPDDKVLVEAPSGIQSPLETNVDDSDAIPDTIDGNKETDELAKEDDISYIDHKESFPKDEVIAEHENEEVKVEDQQSKKADDIDAEVSQEEIPESKESDLPKTEQANEAPIDYQEALNQEPALENNDTLSVKAEETCDQSNVATCGERTPEDDVTTRVPTVSFDEAIQDHAATTDPSSDVPHIQNIEPAETKAPNAVEAEELSSQSNVAFAEDAVQDKVIPSEPSEDIQPEQELEQEETKKADEASNQMNPATFSNLNQEDVIVASETHATELAEEAAAFSNLDQEGGVADIEPHVTELEEAKETEVTETEEATYQSPAAISTEVPIEDNSTASEPHNDDIQHSLEHDSIGVEDTEAADIQGTFHERTIATSKEDIVEDNGTAEGPTSVSPEAQDVESAEETKDSKADDLVEASNVTTVDVADQENNVLMSENIAEQKLQVLESEEINSPEPVETEEDLSKSHAAPFNDPAEEASTANVESAEEIKDNKADNVVEEASDLTTVDDADQENNVLTSENIAEQQLQGLESEEISRLKPVETETDLDKRHAAPLNDPSEEVNTTDTESAEEIKDNKAGNVVEEASDVTPANDADQENNVLTSENISEQQLQGLETEVDFGKSHTAPSNDPAEEVNTTACETENMESAVELKETEDTKTESIPQESNAELESIQDMKDTEATEPELISQEDIVYSSEVSVPVPAFDDREIQNDEPELTKEHDGVKAEEIPNQSYNWTRSEVEMSESSEQMGDTEPTVAEPTIHDSNVANIEEIETHGNEAIEQNVGYQQLQEQESVEFKETEVLEPQGVVPSHNTSSSEESNQQETVTKEEPSSDTQIEESSVVIKDTEDVNNSAALSEEAAPKEHILATVDTAPVQEPELEEIKNIESIEAEDSIEASDLPGEEMATEAIETELIPHENTTPGVKELNGDLKSNDALADEAASEEHILETEVTIDKSSAQEPELEEIKNIEPAEQEHNVTETALPEEKDSEAMETEAVPHDSNIESIKELSEEDIITASAQDVDSQQVPGQESVEDMKCTDTTEHPGETPEIILSTSDELNKKGEIAAVTERTFDTQQVQSFTDQETELSYFPIPEEVVQESDLPKSEPETDVQEKQELDSAEETNGTENYQQNVVSTFEESVTEVEPNVDDQHVQEDKSAVEVKENEDTETEEIPEQINLTTSDNAAQESSESVTDQTFCVQPVEQIELTKDSKDNQLVEEEETSRQSSIVTPEVPTAEDTVENESLQDYPVEPNEENLGNGIDNVISAHEKIEDNIHESAELKDNSLVYFIQETSELGEKTQISVNRTEEDDKISTEDTEETSDNINLVKERPNEHGSSQISNVQDNEQLHDVALQTQVRERSVDTIVIGQQDEAVKNVNLDQQQKEDEEIEKQKETLQIDEQKHDDNRADPIIDTQVESIDAFEAEQTEPVITEMLNDEVTQSKDSIPRTQDTMVENIAEIKEETEEENGPNNVGALEVPAKNYSEDVEENTDKDATVAKTSSIEQNETTGEVRNEEVKPCLASSLERDLEADSDLSNDQMLENNDEYRLHGVEEEYSNKVNIDMHAIQESDKVIEDEEKQGMQTEDNVVCHDESLITTQKEETAQLHTDEQDNVDTKMDDATISYAEMTHGNTGRQPKEVEDVEEKKGLDAFPEFAVETSNQNDVDQDFSIHQQVEDEKSAATENNDAVGETVESNLDMSIPEINNDSHINENRQYQEATIEDASDNIETGQIEKTETSYTATTEVDTLNEDICDKASGTDAAPSEESLKTSEDTRRDLDVSSVVTESKGENMNKEMEDHKLAPPVHPTQDENTIKQGFGLDDTEEESMSPEKALPAEPEQEENQINKKQNEEDRHDPELGDAQKEVEQDYLPVSSFLMNLILGKESDDPKRDSETEVEKKQEETMQDDSSLITSQQEESLMPLPTEDKVDENPTFDQEEKKLEESEETKETVQEQRHDVELDVQKSLEADEELKRNNPDLEEPLYQDNGQDEISSRLISTKAADLIEKMEARHFELDEKSHTVCQENVEVATETEEGSLNKNQDDKTSTKASHEDASEEVGTELPHESLHESTQDAKAEEALSLIEPDVGNTAKFPNDADRVQSPPCTEQEESIESSYVEVRSTDAQEESEVVEMKEENQHTIGGGYTEEQIGNLHDDKLKGTCSEATTDVQTPEITGPVSHTDRSFAYEKEIPACSACMDKKENTISNNKVLNSEKALDIHSDSPNLHDNQDKSVDNPIVMEHNTVLDKLEDSNLHEEQEIVAQKLPKETEENREFMAITEPVIKEENAHETVESNMQTMKTKSNEEQELFDSHVQERGLDAISPKATSEAEENFVEITKPEFSTDEEHSPKTNESNMPEKNTHDEKTKVEEETNNITDDATVKVEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >LPERR02G02320.1 pep chromosome:Lperr_V1.4:2:1432345:1432713:1 gene:LPERR02G02320 transcript:LPERR02G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEVKKKLHLGSIQVSAGEITLPVSVKPVKVGRRRRHVSSDDGEEEEEEVTTPRGEEWRIPAEATTCPPAPKKPRTAAVAIIAGGNRRCFCDVDGGDSPEFFRVPADLEAVFVNRVAKAN >LPERR02G02330.1 pep chromosome:Lperr_V1.4:2:1436332:1439650:-1 gene:LPERR02G02330 transcript:LPERR02G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVVAVAGDNARRRKWRYTWETLAHLPLLRLYLFRSASPLLLPAADLSADLRLDESLLLVSFSLAGEPVVLRIPVPRVLVDPSAPVECRAAGDHVEVRLALVLPVDHPVVATAFPPPPGEELPAPLSLRDDLKNLSSRDVHLYCKACSTRLTKQPLRDIVEMPSLNWEDVADNWFGGCCTSFGGAGEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLVSVLVSSVPGNDFVALQEAISDVHVGKVHTTDKMEFNSSEEKAYYKKKIDSSHVQSLVRVSNSENNGVTLCTDQSGIAEVNSEKSRNEGIEKPTKETDSLLIDPCSCSCDGGSSRKSKDDHSNMPSGNLGMQITLETQRDYKLTKSISLGCSFIVKACNLQNDVDWLELFCAHCSSPIGSYPSQCLHAPADGRVRLFKCYTSSDLHVRGPRDLFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPALQIVLLSSKAWLFSGYCYENDMDGSHGTAHLQPAVKILYFNCSNALEEDLRIVEEWSSRYRAEELYMMRRQIDELIGNLSSARFIVLSVNSLTISKLKCKRLGLSPAVKRQCHQNGVTETDSDTLTGANHSDSRVPYIHIGLT >LPERR02G02340.1 pep chromosome:Lperr_V1.4:2:1448759:1451215:1 gene:LPERR02G02340 transcript:LPERR02G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRPTSPRREKLGCGLLTLKPYGFTMPHYTDSPQIGLRHLRQRDRRRPPSCGGGRRGGAAVVGEERVVRIAAGEATWWYNDDDEGNDGEDVVILFMADTAGAVVAGEISYFILATATGWSRRSRRPPRSVASRYPFSLGCAPSSCKASAHASTTVNAVATTGTLKLVTAAELPMLEEIRISGGHLLYVAKGSGRVQLAGAGNGGASILLDAPVEEGSLIVVPPYAVALVGADAGGIEFVSLIKSPRPAVERFTGKGSILGGLTPEIVKAALNVLSELAEDQVSKQVNFRRTFHLSGDNVCLRPCSVSTYYT >LPERR02G02350.1 pep chromosome:Lperr_V1.4:2:1454353:1459190:-1 gene:LPERR02G02350 transcript:LPERR02G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATAAPLLALLRRNAASPSISLPLFLHLTSPSSPPPPHTTAFLSRILLSPSSSSAAAAAVLVRHILTFPDPSPHLLALLLLPPHGLPLAVALPAFRSLRALPSPPPTAVYNRLLLAALREGEFELVESLYKDLLLSGASPDVFTRNILMEALCSAGRVELARRVFDAMPVRNDFSFGIMARGYCRVGRSGDALGVIDSMPRVNLVVCNTVVAGFCREGRVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQENWERGLPRPDQVTFDVMLSGFCDAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNGYTYNIIVDGLCKEGKAFDVRKVEDFMKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEQGYNLDTASCNIIIDGLCRNNKLDLAMDIVDGMWNEGCLALGRLGYSFLSLLTDSSSSKRCLPDRISYSTLISALCKGGRFDEAKKKLLEMIGKDISPDSVIYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPNTRTYNLLVWGFREKHNSSEILKLMSEMKEKGIVPDVITYNSLIKSFCERGMVNKAMPLLDEMLHNEIVPNVTSFDLLIKAFCKASDFSAAQRVFDASLSTCGQKEVLYSLMCTQFSTYGRWMEAMNILETALELRISIHRFPYKQIIEGLCRVNEVDHAYRLLKLLMVKGYSFDPAAFMPVIDTLSERGKKQDVDTLSEKMMEMAERDDGLAAPSGGYKPRSQKHVQSKYAESDWHALLHRDDSARTVLKITKRVKTGWGQRGNIYDNKQQQQNDDIYLPALKIIF >LPERR02G02360.1 pep chromosome:Lperr_V1.4:2:1459901:1463049:-1 gene:LPERR02G02360 transcript:LPERR02G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRAASDPAGSGAPSPPPTTKELCGTVDAGACGSEAAVRLGDMRARISESIDQAKSCLHPFYKKDTPQVTAEGATRSEDEKPSLPEAGSFLQPEAACLTLGRASDAAPVDNSLVCDKENIRTDLQHKPDAIHGENRTTPAPLGLDLNIVDSSDAAELNPFFPYKKLGQSKVSDPSECGSTTGAAGESESHRKWREMKQNGFLSSSQGTAVGSRPRGRPAKRKRDDDSKRRAFTQNEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQPLFASQTGERGREFSERVHDHRYGGSLMDCHFTLKGSNMPFPHGIPTASQFLPEDGDNLKLQLSSAVTMASDRTCSTSADDVASNHDYMTLLSLKAANVASQWLELLQQDIRGRLAALKRSRKRVRNALQTELPYLISTEFSSNQENEPSVVHSSEGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGIHLENRLKEVQEMQMNCEKGLRYMTCEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENVPCF >LPERR02G02370.1 pep chromosome:Lperr_V1.4:2:1465400:1475113:1 gene:LPERR02G02370 transcript:LPERR02G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLFIMQLASHATQADEATELASRCDCAEVPDSQQIISEPSTAGSSTEYLVSCEIKPLDVEEDGEIIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLIRANVPPDRWWLRLKFQLLVVRDHTLIFVIVQLVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLERTDGVPDLDPSHVTELRILGLY >LPERR02G02380.1 pep chromosome:Lperr_V1.4:2:1480707:1481183:-1 gene:LPERR02G02380 transcript:LPERR02G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGALSPPASATATADQDELRAVMEQRRAKRMQSNRESARRSRMRKQRHLDELTAQVSQLRRENAQLATALGVTAQGLLAVDADNAVLRTQAAELAARLRSLDDILSCISNTTAAAAAITVAGDHLIGFDAASAAAGFDDLFRSSSEMYQLC >LPERR02G02390.1 pep chromosome:Lperr_V1.4:2:1481438:1481691:-1 gene:LPERR02G02390 transcript:LPERR02G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYPSPPNNLLVFVFVFVFLIVVVVVFV >LPERR02G02400.1 pep chromosome:Lperr_V1.4:2:1491787:1500351:-1 gene:LPERR02G02400 transcript:LPERR02G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAATSAAAAAAAAPQLATRKSPASSRLKAVTPATPVSPAVLLRLKQATSSKRANLPNNLPDAQDVAAAEADNPSGGFTQEILSILNGPDDAEELRAMEAALGDSEDAEEAVVNKILDTEWFAAPPPSNPLATWRKEVAREKKKRYIFKNTESHRFTRLMQKCADKLGAESALEFFGKLGRDTGAKEFNALIKICLTKAKACRDIDSAVEHIFRSFRLYEMMRDRGFEIVENSYRPFLLYLVDVGMSEEFEMFSAFFKDANPKSSSRIAYYEMLLWIRAQDEKRIQELRHSVEDNDEGGYEMAESYMLAFAESDRKLDFICLLESLDPTKILLSKYLSSIFQSLARLELKDHAEKLLQQMRLKESNAGKLSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASAKIISACCKSSKINLALDVAECLCKSNPNAAVELFHPIIHACDQGSEFHMFEGAYRILTDAEESGDLSTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETFSYLIFNCESEEKISEYHNQLRQDGIHMSKHIYMSVVGAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALVGALASNGKVLDGLIMYDEIKQSGCHLEPKAAVALIENTQTKGELDRLYQLLEELSDSSIWFDGCSRVLMYCVQHNHPNAAINLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDIGMEILQAVKELGFNVSRTSLDFLLSACVKAKDSNRAQLIWSEYESAGLPHNVLTSLRMYQALLLSQKKKAAKKLLRKMPKEDVHPESYTAFCQKVQFKKKSCFEGRRTRGVSK >LPERR02G02400.2 pep chromosome:Lperr_V1.4:2:1491759:1500351:-1 gene:LPERR02G02400 transcript:LPERR02G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAATSAAAAAAAAPQLATRKSPASSRLKAVTPATPVSPAVLLRLKQATSSKRANLPNNLPDAQDVAAAEADNPSGGFTQEILSILNGPDDAEELRAMEAALGDSEDAEEAVVNKILDTEWFAAPPPSNPLATWRKEVAREKKKRYIFKNTESHRFTRLMQKCADKLGAESALEFFGKLGRDTGAKEFNALIKICLTKAKACRDIDSAVEHIFRSFRLYEMMRDRGFEIVENSYRPFLLYLVDVGMSEEFEMFSAFFKDANPKSSSRIAYYEMLLWIRAQDEKRIQELRHSVEDNDEGGYEMAESYMLAFAESDRKLDFICLLESLDPTKILLSKYLSSIFQSLARLELKDHAEKLLQQMRLKESNAGKLSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASAKIISACCKSSKINLALDVAECLCKSNPNAAVELFHPIIHACDQGSEFHMFEGAYRILTDAEESGDLSTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETFSYLIFNCESEEKISEYHNQLRQDGIHMSKHIYMSVVGAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALVGALASNGKVLDGLIMYDEIKQSGCHLEPKAAVALIENTQTKGELDRLYQLLEELSDSSIWFDGCSRVLMYCVQHNHPNAAINLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDIGMEILQAVKELGFNVSRTSLDFLLSACVKAKDSNRAQLIWSEYESAGLPHNVLTSLRMYQALLLSQKKKAAKKLLRKMPKEDVHVRYVIDSCQLTYCSQNLTLPSARRSSSKKRAASKAVRPCEPTASGTLSTIFIQDEGQEAFQNEAEEAQTAP >LPERR02G02410.1 pep chromosome:Lperr_V1.4:2:1501988:1505167:-1 gene:LPERR02G02410 transcript:LPERR02G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGGEGSWYVSREEVERGSPSRRDGVGAAKEAELRATYCYFIRDVGMRLQLPQVTIATATVLCHRFYLRQSHARNEWQMFLEVKTSSFFVRWILRLPDYVPSIGTVATVCVFLASKIEDTPCSLQHVAIVAYETMYRKDCNAAQRIYQKEILEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKNLGIPQLEMKQVAVNLINDSLRTTLVLQFKPHYIAAGSLYLAAKFNNFRLPSEKNGKVWWHEFDVAPKQLEAVIQQMTELFMGRNPCSMGPVIRPPPTPTPMERQQIIRSPLTPTPTLMEGRQIIRPLPTPSMMENQHIRSSLDTVMRHTHSSRISLSNNFDREASRRFPVDIPASRKPTVLLARNEGNQSLRMHMGHSNGGDARFDKQFSRGALKADHVYHVINGPKDLHVAGIRGFIGQKRPFHEVGEHPAPIDKSDRGAWIRKQHGRNLIVVDTKSSSWKKQKL >LPERR02G02420.1 pep chromosome:Lperr_V1.4:2:1506299:1507016:1 gene:LPERR02G02420 transcript:LPERR02G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRVSLGDSNLQFTTAILSLVVLVVECVLLISGTKKCGFMNGHALEIGGFLCFVHGGIIVVHYIPTIVAGRRGEVSSVANRHGSTS >LPERR02G02430.1 pep chromosome:Lperr_V1.4:2:1507660:1509993:1 gene:LPERR02G02430 transcript:LPERR02G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPAKSQLYPIRAISLFFVAGCSNSITAYDLDDSKQWKKYLFELGQHEFMWKPGKFSLILECCYCPLAVITFTNLCRIIACWMATFSDPSKIVADYMKDQADSREEAQGYDTVRMKGYRYLVRWYGHFVNIHGANAPKYRIKLEEEDIITIDMIWEKCNDEMFSNSNVCLSFALFQLLKCRYFGINCYEADLSETYEFVTNGLLLSENGNGYARAFRIIEVELGFLYDFFFTKYASIYESEIFFFVMFILKIILTVILAISVKSLSIKTVSLIIPGEAAKIDTIITLVILGALIIVEILQIMLYLASDWALVSLACYHVRDVRCSGFMYSLLWKPIYILKKLRLFKYWQNKIGQYSVIEGSRWYKASPEVNKPKVNAFFFFIMMKIQMLINEIAWKILTCQYCRSIVQFVRLPETVKREIASSLKFTTTNGYLTSGEVSLQRNGMLSQFRRTLNSEDILETILVWHIATSYCEIMEDQTTEADVNSGHGDDELRKQYSEVATRLSKYSAYLMAFVPELLPGNPADTSFIVEKVKQEATVELYPGEENDTRGRAIVGRDKLKIILESSRSSSSSDQENNGSGTIFFKGLDLGDKLMVGIQDVVIRWKVLAEFWAEQMLYIAPSDNARGHIEQLANGGEFITHIWALLSHAGILTRRSNQQ >LPERR02G02440.1 pep chromosome:Lperr_V1.4:2:1514900:1520532:1 gene:LPERR02G02440 transcript:LPERR02G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDRRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPAKHDDYHMLLRFLKARRFDVEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELETVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDCSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDFSELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKYHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREMPRKTAFSSKDSSLANAERSSNGSLDRIIPVLLAVFMAIISLVRSVKDLATKRLPDKKESEQQYSTYPDSMPKEEFRPPSPTPEFVEAQLFSSVLQRLSELEEKFVMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKTMFCY >LPERR02G02440.2 pep chromosome:Lperr_V1.4:2:1514934:1520532:1 gene:LPERR02G02440 transcript:LPERR02G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDRRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPAKHDDYHMLLRFLKARRFDVEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELETVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDCSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDFSELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKYHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREMPRKTAFSSKDSSLANAERSSNGSLDRIIPVLLAVFMAIISLVRSVKDLATKRLPDKKESEQQYSTYPDSMPKEEFRPPSPTPEFVEAQLFSSVLQRLSELEEKFVMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKTMFCY >LPERR02G02440.3 pep chromosome:Lperr_V1.4:2:1515292:1520532:1 gene:LPERR02G02440 transcript:LPERR02G02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDRRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPAKHDDYHMLLRFLKARRFDVEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELETVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDCSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDFSELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKYHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREMPRKTAFSSKDSSLANAERSSNGSLDRIIPVLLAVFMAIISLVRSVKDLATKRLPDKKESEQQYSTYPDSMPKEEFRPPSPTPEFVEAQLFSSVLQRLSELEEKFVMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKTMFCY >LPERR02G02450.1 pep chromosome:Lperr_V1.4:2:1520469:1524785:-1 gene:LPERR02G02450 transcript:LPERR02G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSISSSSTSAADGGEDVDRRAGSVAAARTLSSSSSSLRHRAMSASSKLLRTSLSRSSKNNSNNSMRQQRSSKVMSVSIEDVRDAEEMRAVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFDIDKSKQMWGDMLQWRKEFGSDAILDEFVFEEMDQVLEHYPQGHHGVDREGRPVYIEKLGQIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCNCEAGCMRSDKGPWKDPEIFKMVQCGMGRCGMNSSDPIEADEKMITEDDIVPIPKKQESFRRDSVDSPKIPREKIEHPQMSPLHEMSTSDRKAPSGPQGTSSRYDDLFPMVEKNMEFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQRQHEQLAGPDTVTISAAQYEALVKRLGELEEKVAAIGSRPPEMPSEKEEVLKAAVTRVEALETELESTKKDAAKKKKR >LPERR02G02460.1 pep chromosome:Lperr_V1.4:2:1549560:1550675:1 gene:LPERR02G02460 transcript:LPERR02G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEILNDDVVGEILAWLPAKAVLRCRAVCRLWRSLTTTPYFIAAHSRRRPLELLGYVEPADRSSSPFTAYIMSCVPTTAVPAVYDCDDELTAAEHYFRRLLRRDYRLLVSASGDGLLLLCSHRSLLVCNPATRQLVKLPLAAVNRTEYYYPSAFYFHRPSGHYRVLMCCRRLGLYYVVSTGGGGEPRRRRDIPEPYSGRLIHSVTIGERVYWARSYYNRAQMMLAFDTAAETFWPVAPPPVEPSDEGPMFEMDGELAVTAMSVEPYLDVWTTQDLTGERWVRRFRIELPCGYYHKRIKPYGTGLAIFDNTTRFLVVAMNYRWAFLYDTKHKRMVSCSHRQRNDRWCVFYRESLVTLTPSTPFNDKPWLKLY >LPERR02G02470.1 pep chromosome:Lperr_V1.4:2:1555370:1556288:-1 gene:LPERR02G02470 transcript:LPERR02G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYSQQRLGGAVAVAVAGVDGRRAIAVTDEDFVCATVDWWPPEKYDYGTCGWAVQDKVVYDTGSGGGPCTPFVKNSSEMLGFTQGCLPMHRWDELNAFFQKSGARIVFELNALNGRVPLPDGSMGTKVLSVTFSGTNMIRAYAHCARNSNTPKDGNLQSQVMLLNGKALVTDANGGIPRLKPVKVDAPMQPIQ >LPERR02G02470.2 pep chromosome:Lperr_V1.4:2:1555368:1556288:-1 gene:LPERR02G02470 transcript:LPERR02G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYSQQRLGGAVAVAVAGVDGRRAIAVTDEDFVCATVDWWPPEKYDYGTCGWAVQDKVVYDTGSGGGPCTPFVKNSSEMLGFTQGCLPMHRWDELNAFFQKSGARIVFELNALNGRVPLPDGSMGTKVLSVTFSGTNMIRAYAHCARNSNTPKDGNLQSQVMLLNGKALVTDANGGIPRLKPVKVDAPMQPIQ >LPERR02G02480.1 pep chromosome:Lperr_V1.4:2:1565793:1569294:-1 gene:LPERR02G02480 transcript:LPERR02G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIASRRSSPSYLAFSPELHYLAAGSASKKGAVDELLLFSACEGAVGVDFRCEGEFGLSSPACRISWSFPFGDRSMGLVSAGLEDGSVAVYDPRPHDDWAYGRFDDDVLLLRDGKPPPFFVCNSSSKIDVVRGDVVDGDWEFIEKPKPEEEESTWEIVGSENLIASFSDHTAPVRGLVFSPHMPSVLASGAESGTVRLFDVSHLSGEVVPSLVLWDTRKLANPVLSFCMNSGGVGTMAWSSHNNSILMTSTNSDKLTFWNIDGINKKVQVIQEFDSPGICFDAQWSRAGIAVPR >LPERR02G02490.1 pep chromosome:Lperr_V1.4:2:1570634:1572698:-1 gene:LPERR02G02490 transcript:LPERR02G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQLMRSRRMHLDKQEECMAKIRDLGSLPAGAFATYKLENKKQLQKMLYDCNNNVNIYREEGLHLMLVIRKDESIERTFNGVARHFREVFSELVQGGHGHLVIMRKKDGHAADDDNDEDGPCEPDPEGRIEKYIGVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQFRTAVDMIRRLADTADTQFTATTFRPEIVKVADKIYGVTHKNRVSYVTLVSKQQALHFIGHDQTRNAS >LPERR02G02500.1 pep chromosome:Lperr_V1.4:2:1572717:1592019:-1 gene:LPERR02G02500 transcript:LPERR02G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVSYSGLLAVPCRVSPLLTLLLSPAGLPPFPFLGYYCSSTRAAECRLRPALSIFAREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRQISERMSHADNEVVDVREKIKSFDKEIKYSTKGINDTKAQKEGVEKKRTEALKGVAQIELDLRDIKDRILNEKRAKDEAARDLQSVRMESDKSKSELAEIIKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNMNSYIESRKGESSKLESALAKRHNDYNDLRKQRDELQEERKSFWKEEADVNAEIDRLRDDLVKAQKSLDHATPGDIRRGLNSVSRIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLDNQQMDAERDHAKSELEQYKADIASAMKQIASLEKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKTLEANLEQTVQDGAKDLEQLMSSRSMHLAKQDECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDAADDDNDEDGPREPDPEGRIEKYIGVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRADANEEIVEQDDASHLLDVVIEGFKSYREEISTQPFSPKVNVVVGANGSGKSNFFHAIRFVLNDMFQNLHNEDRAVLLHEGDGPSVFSAFVEIVFDNSDYRIPVDKKEVRLCRTVAAKKDEYYLDGKRVSKTEVMNLLESAGFSHSNPYYIVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKVKLKKYEQLDKQRRSLEYTILDHEPNDARKELASMDDSRRKISERISHADNEAVDVREKIKSIDKEIKFLAKRINDTKAQNEGAEKERTEALNVVAQIELDLKDINDRILNEKWAKDEAARDLQSVRMESEKSKSELAVISKVHQAKLKEEEEVSKSIMDCEKQLSILYQKQDWADQFANKATRDKWLRKEIGGRECLLLLNKKQEALLQEEIQKLKDETNNMNSYIESHKSESNKLESALVKKHNDYNGLRKQRNELQEERKSLWKEEADVTAEKHRLKEDLVKAQKKLDHAIPGDIRRVLNSAIRIIRDHGIKGVFGPLLELVNCEDKFFTAVEVTAGSSLFHVVVENDDTATKILHILIQQGGGVVTFIALNRVKVPDVRVPQSPDIVFVPLLNKLEYRADHRLAFELVFGRTVICKDLETATKVARANGLDCITLDGDQVARKGDMRGGFYDSTCSKLKIVKIIRDNTMAIQIKEAHLKDVGSKLRDMTDLITKQQQMGSKRDRAKLELEQFNKNIASAMKLMGSLERALGTKLTSEEQDLLSRLNPEITELKERLKQEELETNLSINLMMHQKELEAIISSTDSKTLPLEAESKEQELESSKMNLDNLTAMLEDNMNIINNFTRKMEELKRKRDDLKTLQASLE >LPERR02G02500.2 pep chromosome:Lperr_V1.4:2:1572717:1592019:-1 gene:LPERR02G02500 transcript:LPERR02G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVSYSGLLAVPCRVSPLLTLLLSPAGLPPFPFLGYYCSSTRAAECRLRPALSIFAREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRQISERMSHADNEVVDVREKIKSFDKEIKYSTKGINDTKAQKEGVEKKRTEALKGVAQIELDLRDIKDRILNEKRAKDEAARDLQSVRMESDKSKSELAEIIKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNMNSYIESRKGESSKLESALAKRHNDYNDLRKQRDELQEERKSFWKEEADVNAEIDRLRDDLVKAQKSLDHATPGDIRRGLNSVSRIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLDNQQMDAERDHAKSELEQYKADIASAMKQIASLEKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKTLEANLEQTVQDGAKDLEQLMSSRSMHLAKQDECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDAADDDNDEDGPREPDPEGRIEKYIGVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRADANEEIVEQDDASHLLDVVIEGFKSYREEISTQPFSPKVNVVVGANGSGKSNFFHAIRFVLNDMFQNLHNEDRAVLLHEGDGPSVFSAFVEIVFDNSDYRIPVDKKEVRLCRTVAAKKDEYYLDGKRVSKTEVMNLLESAGFSHSNPYYIVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKVKLKKYEQLDKQRRSLEYTILDHEPNDARKELASMDDSRRKISERISHADNEAVDVREKIKSIDKEIKFLAKRINDTKAQNEGAEKERTEALNVVAQIELDLKDINDRILNEKWAKDEAARDLQSVRMESEKSKSELAVISKVHQAKLKEEEEVSKSIMDCEKQLSILYQKQDWADQFANKATRDKWLRKEIGGRECLLLLNKKQEALLQEEIQKLKDETNNMNSYIESHKSESNKLESALVKKHNDYNGLRKQRNELQEERKSLWKEEADVTAEKHRLKEDLVKAQKKLDHAIPGDIRRVLNSAIRIIRDHGIKGVFGPLLELVNCEDNLFHVVVENDDTATKILHILIQQGGGVVTFIALNRVKVPDVRVPQSPDIVFVPLLNKLEYRADHRLAFELVFGRTVICKDLETATKVARANGLDCITLDGDQVARKGDMRGGFYDSTCSKLKIVKIIRDNTMAIQIKEAHLKDVGSKLRDMTDLITKQQQMGSKRDRAKLELEQFNKNIASAMKLMGSLERALGTKLTSEEQDLLSRLNPEITELKERLKQEELETNLSINLMMHQKELEAIISSTDSKTLPLEAESKEQELESSKMNLDNLTAMLEDNMNIINNFTRKMEELKRKRDDLKTLQASLE >LPERR02G02500.3 pep chromosome:Lperr_V1.4:2:1572717:1592019:-1 gene:LPERR02G02500 transcript:LPERR02G02500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVSYSGLLAVPCRVSPLLTLLLSPAGLPPFPFLGYYCSSTRAAECRLRPALSIFAREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRQISERMSHADNEVVDVREKIKSFDKEIKYSTKGINDTKAQKEGVEKKRTEALKGVAQIELDLRDIKDRILNEKRAKDEAARDLQSVRMESDKSKSELAEIIKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNMNSYIESRKGESSKLESALAKRHNDYNDLRKQRDELQEERKSFWKEEADVNAEIDRLRDDLVKAQKSLDHATPGDIRRGLNSVSRIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLDNQQMDAERDHAKSELEQYKADIASAMKQIASLEKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKGMDAFTLEANLEQTVQDGAKDLEQLMSSRSMHLAKQDECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDAADDDNDEDGPREPDPEGRIEKYIGVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRADANEEIVEQDDASHLLDVVIEGFKSYREEISTQPFSPKVNVVVGANGSGKSNFFHAIRFVLNDMFQNLHNEDRAVLLHEGDGPSVFSAFVEIVFDNSDYRIPVDKKEVRLCRTVAAKKDEYYLDGKRVSKTEVMNLLESAGFSHSNPYYIVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKVKLKKYEQLDKQRRSLEYTILDHEPNDARKELASMDDSRRKISERISHADNEAVDVREKIKSIDKEIKFLAKRINDTKAQNEGAEKERTEALNVVAQIELDLKDINDRILNEKWAKDEAARDLQSVRMESEKSKSELAVISKVHQAKLKEEEEVSKSIMDCEKQLSILYQKQDWADQFANKATRDKWLRKEIGGRECLLLLNKKQEALLQEEIQKLKDETNNMNSYIESHKSESNKLESALVKKHNDYNGLRKQRNELQEERKSLWKEEADVTAEKHRLKEDLVKAQKKLDHAIPGDIRRVLNSAIRIIRDHGIKGVFGPLLELVNCEDKFFTAVEVTAGSSLFHVVVENDDTATKILHILIQQGGGVVTFIALNRVKVPDVRVPQSPDIVFVPLLNKLEYRADHRLAFELVFGRTVICKDLETATKVARANGLDCITLDGDQVARKGDMRGGFYDSTCSKLKIVKIIRDNTMAIQIKEAHLKDVGSKLRDMTDLITKQQQMGSKRDRAKLELEQFNKNIASAMKLMGSLERALGTKLTSEEQDLLSRLNPEITELKERLKQEELETNLSINLMMHQKELEAIISSTDSKTLPLEAESKEQELESSKMNLDNLTAMLEDNMNIINNFTRKMEELKRKRDDLKTLQASLE >LPERR02G02510.1 pep chromosome:Lperr_V1.4:2:1594168:1598239:1 gene:LPERR02G02510 transcript:LPERR02G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPDQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDAKRIQEIIDSMEATEEAPASAAEAESSSMQEDKGTDAGTGTDAAPMDI >LPERR02G02520.1 pep chromosome:Lperr_V1.4:2:1598442:1607992:1 gene:LPERR02G02520 transcript:LPERR02G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAHHHTHVGPRRQWENKHLRRYADLYRERGAGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADLIDRIKGCIIDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSESPDGYTSIGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVERFIDMQKSQGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSTIRDATSPPSGDPASGELNAGGDGGGGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGICDGPMLTKKQYAYLIQPIEKRMENVKEYIKSIKPDLEVQVEPIMDPFGPSIVDEALEAIIVSSKETLPGGLAVNRKRADRGLTQLEIEVVELVPEKSTGNKISSTAFRKMEAERELHKQQQETQAVELECRI >LPERR02G02520.2 pep chromosome:Lperr_V1.4:2:1603246:1607992:1 gene:LPERR02G02520 transcript:LPERR02G02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNLSISRNLLSPSSTHHATRATSTFLLLLLLIEFIPFTRLSLVAMEDATSPPSGDPASGELNAGGDGGGGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGICDGPMLTKKQYAYLIQPIEKRMENVKEYIKSIKPDLEVQVEPIMDPFGPSIVDEALEAIIVRLGFSTQQTVSTLLLPSEYSKETLPGGLAVNRKRADRGLTQLEIEVVELVPEKSTGNKISSTAFRKMEAERELHKQQQETQAVELECRI >LPERR02G02520.3 pep chromosome:Lperr_V1.4:2:1603246:1607992:1 gene:LPERR02G02520 transcript:LPERR02G02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNLSISRNLLSPSSTHHATRATSTFLLLLLLIEFIPFTRLSLVAMEDATSPPSGDPASGELNAGGDGGGGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGICDGPMLTKKQYAYLIQPIEKRMENVKEYIKSIKPDLEVQVEPIMDPFGPSIVDEALEAIIVSSKETLPGGLAVNRKRADRGLTQLEIEVVELVPEKSTGNKISSTAFRKMEAERELHKQQQETQAVELECRI >LPERR02G02520.4 pep chromosome:Lperr_V1.4:2:1598442:1603237:1 gene:LPERR02G02520 transcript:LPERR02G02520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAHHHTHVGPRRQWENKHLRRYADLYRERGAGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADLIDRIKGCIIDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSESPDGYTSIGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVERFIDMQKSQGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSTIRCFAKTSVDLFT >LPERR02G02530.1 pep chromosome:Lperr_V1.4:2:1609621:1610463:-1 gene:LPERR02G02530 transcript:LPERR02G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSADIAVAAAAMPPQSPRLHALLSFDALAAADEVEDATPPPSSSEDDGLMGGAGFDLDFAFAPPVSSAAGGGDMAPADDIFAHGRIVPTYPVFDRRLLDLTTTSPSADEQPPSADADTYCAWTPRSAPGSPRRDARFPKSASTGSDSSSSSSSASTSRRWRLRDLVGVTGGRSRSDGKDKFGSLHHAAAAAAAPASSKLSSSTEKKQSTVKKAAAAAGKKGVATEMDMATAHKLFYSKNGGAVAGGERRPLHQASYMTYRPAFAGIFAIGRSQHHTAY >LPERR02G02540.1 pep chromosome:Lperr_V1.4:2:1612294:1613626:-1 gene:LPERR02G02540 transcript:LPERR02G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLVGEASCRICLESFSTTANALTEPIDIRTAVGYMLKSIEYSDHRYSEWIDECERVNNLEEDNANHFEDDNDNRYEEE >LPERR02G02550.1 pep chromosome:Lperr_V1.4:2:1615144:1616094:-1 gene:LPERR02G02550 transcript:LPERR02G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGNRMATASSDNTIKIIGIRGNSHKQLATLTGHQGPVWQVAWANHPKYGSLLASCSCDGSVIIWKEGSKHNEWMQAHTFTEHKNSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWDTTRIDQAHPGGVTSVSWAPAISPGALINSGVSNYQSEYYYVQKLVSGGCDNSVKIWKLYNGIWKMDCFPALEMHKDWVRDVAWAPNLGGLLPKSTIASASQDGTVVIWTSQMKQSEQWEGRVLCDFKTPVWRLAWSLTGNVLVVSDGNNNVTLWNEAVDGEWQQVTTVETWVF >LPERR02G02560.1 pep chromosome:Lperr_V1.4:2:1619041:1624575:1 gene:LPERR02G02560 transcript:LPERR02G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMINGDVAPRDVSPPLLLRWLRLRRNKNSSRPITSSSAAAASAQPDVLRCFGDPKAAFDLRPPPGCLRSSPAAAASSREEAGHQLLRRTKIDLVVSANSAIARVGMAALMNGFASLQCRAMLHVDKGHMQASGMAFFNLDRHTTQLHISSAVLCPSDSVESHMQDTIVAGAYLCDEEIVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDTETHKAVRFISKVTLLASGGVGHIYPTTTNPLVATGDGIAMSHRAQAVISNMEFVQFHPTALSDQGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMLSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECMRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSLATKWARPMLSVSLRDSILSDIIDKTKQTRMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFKRGWKSTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPFLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >LPERR02G02560.2 pep chromosome:Lperr_V1.4:2:1618971:1624575:1 gene:LPERR02G02560 transcript:LPERR02G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMNGFASLQCRAMLHVDKGHMQASGMAFFNLDRHTTQLHISSNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEEIVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDTETHKAVRFISKVTLLASGGVGHIYPTTTNPLVATGDGIAMSHRAQAVISNMEFVQFHPTALSDQGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMLSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECMRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSLATKWARPMLSVSLRDSILSDIIDKTKQTRMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFKRGWKSTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPFLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >LPERR02G02560.3 pep chromosome:Lperr_V1.4:2:1619287:1624575:1 gene:LPERR02G02560 transcript:LPERR02G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMNGFASLQCRAMLHVDKGHMQASGMAFFNLDRHTTQLHISSNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEEIVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDTETHKAVRFISKVTLLASGGVGHIYPTTTNPLVATGDGIAMSHRAQAVISNMEFVQFHPTALSDQGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMLSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECMRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSLATKWARPMLSVSLRDSILSDIIDKTKQTRMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFKRGWKSTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPFLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >LPERR02G02570.1 pep chromosome:Lperr_V1.4:2:1625460:1628002:-1 gene:LPERR02G02570 transcript:LPERR02G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSPSPSPPHRSPSPEAAIAGAGDHQPLTPMHSAGDGDWAEERSPTPSPHPQPSSPQSTAIVVASEPQVAAAKYVPPRPATHTGGGGDGRNWYSWNGGRTPKPSRPPPSSRQKPQRQPPPPQQPWAATPQPQPPPPPPPAPARVPSPAPPPAPMPAPAPRPTGRSVEQGHRVVPDILSRKRRAATLQRTALVARGAAAGLCLAALAILAADQRKGFALDSYSNYSQLRYSEAVNVIGFVYSVFQFFVLADLMRRNKHLNPRRKGDYFDFFMDQALAYLLISSSSSATARVGDWIDNWGSDPFPKMANSSIAISFLAFLVFAISALISAYNLFRRDI >LPERR02G02580.1 pep chromosome:Lperr_V1.4:2:1634487:1637481:1 gene:LPERR02G02580 transcript:LPERR02G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVDGHGLAEYGCVSKLRRRRLITYLSLQGYPKSFAAYAVPGDMMDELFDATQLDRLVIEGRWEEALRYLLRFLPQHPAPMSLNAKVLYLLVQMHGVLAKIVAGKRDADTHRLASKFRYYRRLGHLTPADIKLRSIILAILHCDTRTVMDWGKVRTSAAECISSLADRTPELRRQVLRKRTAHMMPHHVLPIGFSVLKLTTPAPFFASFRQRRRLVKKQGRRPSKRALANAFEFIKDTRMLFPRNSEGPTIGHSTEATGLVADYLDQSFAFVTSRECDEQTLGYPLEWPSEQSDKGDKPRDLRVSMPLPLFGTTTPAKNFTVSALRNAGTANHDDGHDPERQRETVVFSKGNELCELPIMKTDWLGAI >LPERR02G02590.1 pep chromosome:Lperr_V1.4:2:1638667:1642292:1 gene:LPERR02G02590 transcript:LPERR02G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFNVRHLECLVREGNLDQAYCYLYRFLPYQPQSMSIQAVTLLCFVHTHRFFAKIVAGKHHQIMPDKYKQYQRQSSGYTHADLRIRAITLSVLCDTIRASLDWKKLPSCEETRETAKPSSQAMLKTGGSEEVGKPCPNKHQMEESTSIEKGPDPKRQIKTGAFDEGNQLN >LPERR02G02590.2 pep chromosome:Lperr_V1.4:2:1638667:1642292:1 gene:LPERR02G02590 transcript:LPERR02G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFNVRHLECLVREGNLDQAYCYLYRFLPYQPQSMSIQAVTLLCFVHTHRFFAKIVAGKHHQIMPDKYKQYQRQSSGYTHADLRIRAITLSVLCDTIRASLDWKKKRGRRPSPNKHQMEESTSIEKGPDPKRQIKTGAFDEGNQLN >LPERR02G02600.1 pep chromosome:Lperr_V1.4:2:1642082:1642594:-1 gene:LPERR02G02600 transcript:LPERR02G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDCEEDTPRATCKILCFICCVLNPNHSCTQGRSSSSAAAAVFAGDGSRKIGVSPSISGVDAATGESEGPTDGDAADCVHGCKKN >LPERR02G02610.1 pep chromosome:Lperr_V1.4:2:1643818:1644326:-1 gene:LPERR02G02610 transcript:LPERR02G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKGVAATAAVCIMLILLSSGQIQQACAKSAISRCYDDCVPDCAREKIRIFCKVFCFTCCIAKPNCTGGREATAASAFAGDGFTKIGEYKIDAHLITGDAGPTDADSADCVDGCNNN >LPERR02G02620.1 pep chromosome:Lperr_V1.4:2:1647217:1647465:1 gene:LPERR02G02620 transcript:LPERR02G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRRPCVAVVSALRVAFLVFVLLLMMLCVSCDGAGAGDLHRRTFDSFLPPSGPSERHNARLDSDDVERGQISSPSPASP >LPERR02G02630.1 pep chromosome:Lperr_V1.4:2:1648803:1652060:-1 gene:LPERR02G02630 transcript:LPERR02G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFGHVSDAIRILREIKLLRLLRHTDIVEIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILVNSDSKLKICDFGLARVAFNDSPSTIFWTVYYTVVYNLKDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLMTDLLGTPSSETLSRIRNENARRYLIGMQRKHPISFSHKFHNVDPLALRLLERLLAFDPKDRPTAEEALADPYFRGLSKLNREPSRLPISKFEFEFERRKLTKDDIREMIYQEILEYHPQMLQEYIRGEEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSTESNKQEPENEERRAVSSIARTMISPPRSQGEGKKHVSACLNGIIKLNFSPQSYLKSASISASACIVRGKKGPKVLPTADMMIL >LPERR02G02640.1 pep chromosome:Lperr_V1.4:2:1657947:1661116:-1 gene:LPERR02G02640 transcript:LPERR02G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKTPRPRGGKSRRATAAAAAVKERSPSGQLSLQLEHVSLFSFLADRTPFEGGGAGRTPFEELLEGSCDPTPPPPPPVEKPPLPMQLQAIPMEADEVVEEKDCGILSQDFFCTPDYITPDAPQLASGFDADKENILCPNSPEKSVCRSKRYKRDCSPKGLGPADIFDNQWIAPVQFDGLDDSEEEHLKESTSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDTQIDDNVFGGRQCKLSGFSPSVGGDGLSRYRTDFHEIDQIGRGNFRSHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLAFIHERGVAHLDVKPDNIYVRNGVYKLGDFGCATLTDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTPLPDSGPQFTSLREGKLALLPGCPMQFQSLIKSMMDPDPVRRPSAKDILKQPIFEKLHKAPAKK >LPERR02G02650.1 pep chromosome:Lperr_V1.4:2:1664848:1668840:1 gene:LPERR02G02650 transcript:LPERR02G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATKAAGGVEQEEEEEEEESSAAVGGAGEPAVSTTTTTTVPVSGNGGGGGGGKKKPTCRMTSKRSERCEARGDIRVEGNASTIYIAGIDKERKTKPYARYHDPVAMAVVREFTLKPVTDSSPECTKNHTVPAFMFSNGGFSGNLYHDYTDVLVPLFLSTHQFKREVRFLLSGLKPWWVNKFTPFFKQLTKYEIIDIDNDMDVHCFPRIVVGATFHKDMGIDPAKSPGHVSVVDFKRALRKAFGLERVAASRGGVTGNGKPRLLIISRKNSRRFLNEREMAQTAAAMGFDVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAILIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKTTLQEALDKLPPV >LPERR02G02660.1 pep chromosome:Lperr_V1.4:2:1670978:1673572:-1 gene:LPERR02G02660 transcript:LPERR02G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPTASGGGGGGNHLDAFASLASCGFLSLRTPPPSGGGEGKCDSTILELAQLLDVYDDLFPAKNEETGGEIAQLDPQQLAVPKLSESKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRIHVSVILSYGSTVENKNGFSISNSSTETDSDLNLLRPRQSYTMKAGNILDNVRNHHPMLLAQWQEGVTRSDLTKGFTFEEFIKRGGNLAMLAERFLHEVAFKLWKAPKYGA >LPERR02G02660.2 pep chromosome:Lperr_V1.4:2:1670978:1673572:-1 gene:LPERR02G02660 transcript:LPERR02G02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPTASGGGGGGNHLDAFASLASCGFLSLRTPPPSGGGEGKCDSTILELAQLLDVYDDLFPAKNEETGGEIAQLDPQQLAVPKLSERFMGIRAAMVTNSPLISSFAANLGFHVFGTEEFVGQSGSSTASKETGMISRSLSLLGFSEGNVQESSEFDLVFLHVAMESTNSKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRIHVSVILSYGSTVENKNGFSISNSSTETDSDLNLLRPRQSYTMKAGNILDNVRNHHPMLLAQWQEGVTRSDLTKGFTFEEFIKRGGNLAMLAERFLHEVAFKLWKAPKYGA >LPERR02G02670.1 pep chromosome:Lperr_V1.4:2:1673686:1682197:1 gene:LPERR02G02670 transcript:LPERR02G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKKRPPTALAEPESPAADSQEEEAAKAAPATAATEAPAKKKKLAMERKKQRKEIDKERHRHSAESDAAKPKPPPAEEPQQQPPPPPQVPVVVSGPGLHMNVFRDLASPEASQREAAAEALVAELRQVQTAYEKSGGGKGESEGGGGDGSSQMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMKGPEAKDNLLGRLFGFGSIVRSGRVSGQWARENGSPIVKDFVNEVVKLGGKKRYLTEPAVAVILDLVGKLPEEAILSEIIEAPGVQDWFNRAAGAGDPDALYLALKLQEKTNAQNEIFGKLLPYPFSPENFFAEEHLLSIAACFKESTFCLPRIHSLWLVISDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPRLSPSSIQVILSAKVVHGLMDVLSNESSWLHNAGQHFLKELVSLVSDDNDRRVAVIINLQKYSGGRFDCMTKTKTVKDLITKFRSGQDCLCLVQSLMSLFVDESSLTDEPSDQSQTTDENSEVGSIEDKDLFGQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFQVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTAIRNECIEQLQFLIEDAQKDEALLVSEVKSNDLGFYFTRFIDTLCNIPSVSLFRTLSSNDDNAFKKLLSVESLLFQEERKVGTGLDSTKMHAMRYLLIQLLLQVLLHPDEYWEAAIDVTICCKKSFPSIDQNDNSGGQPTNENVVEQFNEERPGKSNKDGPEDSNDDASEHSSDDGPLEFMDVLVQTFLSVLPHVSGAVFRVFCDEITETGLLDMLRVVKIDLKGSRHQSGSDDDEDDACVDIEDDDETVMEDAEVGDTDSAADGLDEDSVDEVDEDQDDLKETVDHEAKDGDGAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGKHLVLEVYSFLMQAFVKSHSAGGSEQFKQRICGILQKKIFRAKDYPKGDDVELSTLESLLEKALKLASRSRYNTVASVAQNATFWLLKIINSKSTSKKELARVVDKFHNMLTDYFNNKKSRLKLGFVKEVVRRNPWIGEDLFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKERFQKKLNPETLTLCEAQLGSAFREATNRSPNFPSDHPDLRLPPLRISEFAADARDLPLLAPSSRRRLRNMPPHKIETGHQDVVHDVAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLTGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWLQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSSGQFEYVQKLASGGCDNTVKVWKLYNGGWRMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGTVVLWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNVLAVADGNNNVTLWKESVDGEWQQVTSVEP >LPERR02G02680.1 pep chromosome:Lperr_V1.4:2:1683281:1686015:1 gene:LPERR02G02680 transcript:LPERR02G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFQLNPHATPFVPSPRSSFEGNPKEKKASEKQVDDAEKDETADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATSSAPDPSLYEENGFGSHLAVVESLSKMFPDVSADFILEALKAHDFDTELTIDMLIDLCEGDDYGHSAEVSGK >LPERR02G02690.1 pep chromosome:Lperr_V1.4:2:1686518:1691796:1 gene:LPERR02G02690 transcript:LPERR02G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPASTKVGRRSNWRSFAASLNLEDGPAPSDSTPSPSEQTSDVGAVVYGDSSDNLLSRKLQSDELKTLLADSERSKLLKKLSEANQYNRFLKRQLQMKDDAVLEFKSELAVLELELQALVGLAEEIASFDVPSGSRRINGKYIQSHLLTRLEAVHDKVMEQIKDVDCLKPQEISVYWVGMAENVQIMGSFDGWSEGETMSMEYSGDYARFSATLNLRPGRYEVKFLVDGEWRVSSEYPIAGEGLTQNNILVVN >LPERR02G02690.2 pep chromosome:Lperr_V1.4:2:1686546:1691796:1 gene:LPERR02G02690 transcript:LPERR02G02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPASTKVGRRSNWRSFAASLNLEDGPAPSDSTPSPSEQTSDVGAVVYGDSSDNLLSRKLQSDELKTLLADSERSKLLKKLSEANQYNRFLKRQLQMKDDAVLEFKSELAVLELELQALVGLAEEIASFDVPSGSRRINGKYIQSHLLTRLEAVHDKVMEQIKDVDCLKPQEISVYWVGMAENVQIMGSFDGWSEGETMSMEYSGDYARFSATLNLRPGRYEVKFLVDGEWRVSSEYPIAGEGLTQNNILVVN >LPERR02G02690.3 pep chromosome:Lperr_V1.4:2:1686546:1691796:1 gene:LPERR02G02690 transcript:LPERR02G02690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPASTKVGRRSNWRSFAASLNLEDGPAPSDSTPSPSEQTSDVGAVVYGDSSDNLLSRKLQSDELKTLLADSERSKLLKKLSEANQYNRFLKRQLQMKDDAVLEFKSELAVLELELQALVGLAEEIASFDVPSGSRRINGKYIQSHLLTRLEAVHDKVMEQIKDVDCLKPQEISVYWVGMAENVQIMGSFDGWSEGETMSMEYSGDYARFSATLNLRPGRYEVKFLVDGEWRVSSEYPIAGEGLTQNNILVVN >LPERR02G02700.1 pep chromosome:Lperr_V1.4:2:1690584:1693321:-1 gene:LPERR02G02700 transcript:LPERR02G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVEADMDRVLDHFNLLAAGGSGRIEEASSSLKERIARALRLYKDAAAENAAAAGDDGGGWLIQGSLALPILDSGGGDGAGAGGCLAVLELVTTKPLLRVSGEIASLCNALQAVSLRGAGICNAPAEVRPHEISPKNKPFCAKIRSSTDLMPPAMNQITNRNATRAAMAEVSVLLTTVCEAHNLPLAQTWVRCTSCSRGGGGGDGEAILMTTAGAPFHLAAGAGADDARGFRDACVEHHLRCGAGAGAAVFCADVARCSKDDYPLAHYAGMYGLAGCLVLRADIAGAGSEEEEHAVLELFLPPDCAGVAEQKAAVDAITATIKDCSGDLRAIVIGNLEDLVMETMADGDQCDELRHEMDELGDDDQKCSDEEDQQLAVENADIGELKIQSVDQIIGGEDPRSQGGKNKTRRGKAEKSVTLEELQKYFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAVPAHPALLLPPPAAAPPLPRPCLSSTLGEASSHGSCQAPPLTKESLQKPPNCGNGDGVVTIKASYRGDIVRFRVPCSSGVAAVKAEVAKRLSLEAGAFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSRLAMAKSGTGMAAQVVRLRVQEVADNIGSSCASSD >LPERR02G02710.1 pep chromosome:Lperr_V1.4:2:1700045:1704632:-1 gene:LPERR02G02710 transcript:LPERR02G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRRLQIVSKSMMAIARSVEGASEIGMVPNHHRVFASSAAAGPRRCGPSDLRAGSSFVRHGDLLVGRDVGGGNFLWRRHAAAVLPPPRGFESGSIIVFGSDGGFGILYNESDTILRHAGPGIDDAEGWRLQPLTVRALAEVESASILDDIAARARIIKKLLQPASQLASCSDDELISAPAPALYLNGIFQSAARHQKPLCSQRSDLAAAKRFCSSMDCDIDGLADTSDLRDGKFSIGLRVALDIRRPRQHRSDHRTAFLRPSSSHSYTIRRCLSSWGPQPPPHPPKPDQHNFDDWHVNVPPALNANKTNTNTIDEILLSMKFDPIQFAMANKLVETFTVLGETWEFCKLREPTKYLYTSLLTLVKSYHEMDSCFTQISEATVLITSDWKFVLLEGTFALTKWTATGADRNYRDIAALFRKLIWVSAGSKISFLEDFNMLLHAMEFNASRKKIVIHQHTSLLPIGNFSAVYLRLYELVRKILPAEGRAQVACQRIARARQRPASGAGGRLGDGSGGNLADGGPKGSPE >LPERR02G02720.1 pep chromosome:Lperr_V1.4:2:1713307:1720643:1 gene:LPERR02G02720 transcript:LPERR02G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFAPFSPSALDWIPAAVRVVFRKSQQLHSAAHGHVALVSPNTLATPLDAVAHSRNQLPCPVISFSPPLPMLGESQPMQFMLLGGRHNKLVATDRTGHNILYDPNENLVRSMHTLSMPKVSPVSVTVGDDDLCIFDNIQGPFTSGHDNSFHALIHDPCLVDDWRCRDLPPAPYEVVKSCHGGQIDAYAVVDGVEIWVSKREFGTYKFDMEIGEWGTIDVDWTLPFTWRAVYVPEHDLFYGLSSDPAVDNVLSASDLSGCEPERIILFPREYTAELFPDDDGMMQVSSHLVHLGSAKFCIARFFDNDDEDYQQLFVVFTAVEVERCDGGVPRLVKHKSEMYKLPNGVMYWCPKRSNMQFRLVIFVLVVFCSLQLLLAFPLPIPFFGPYTNQQDVDAINELYASLGSPNLHGWASSGGDPCMEEWQGVQCIGPNITEIELRDAGLGGKLSETLGKFRAITALDLSNNRIGGVIPQSLPPASQRQTPGFDGEAEFAFDNNNQLTGTLDVLGDLPLKDLNVENNIFSGPIPDKLLSIPKFLRNGNHFSIPSIPGSSPTPATTTPSIPGSPSTPAAAAPQYASNASHPPIYVIPATPHDSAHDDHRRHGKKVSPAKAAGFSVLAAGSLTIAVLVIVFAVSKRRRRGSFLDGEFLRGIEMSTPDWKGKMPLRQESAVVKADKEQSTVAEEKTVKGSISSHQNVQESLQSHLLQHRFTFFTVASLQQYTDSFSEQNLIRQTLFGKIYLAEHEDKKFAVLKLDDANARMPVDEFLMMVQRISELQHPNIEELEGCCVQHGQRLLVYKHFSDETLDDMLHIKQQLVSSNDHDHAKITLPWDARVAIAFEAAKALEYLHKGDQRQVVHQHFRPEHVLVDGEMRARVSGCGLAAATAAAWTAKGDVYSLGVVMLQLLTGRKPYESSRPRGERQLVPWASSRLHDLTALEKMADPRLGLSPPPATVRSMSRFADQEAEFRPAMSQVVQDLRRALQDAVDAGGGEQSGIEFSFKCK >LPERR02G02720.2 pep chromosome:Lperr_V1.4:2:1713307:1720643:1 gene:LPERR02G02720 transcript:LPERR02G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFAPFSPSALDWIPAAVRVVFRKSQQLHSAAHGHVALVSPNTLATPLDAVAHSRNQLPCPVISFSPPLPMLGESQPMQFMLLGGRHNKLVATDRTGHNILYDPNENLVRSMHTLSMPKVSPVSVTVGDDDLCIFDNIQGPFTSGHDNSFHALIHDPCLVDDWRCRDLPPAPYEVVKSCHGGQIDAYAVVDGVEIWVSKREFGTYKFDMEIGEWGTIDVDWTLPFTWRAVYVPEHDLFYGLSSDPAVDNVLSASDLSGCEPERIILFPREYTAELFPDDDGMMQVSSHLVHLGSAKFCIARFFDNDDEDYQQLFVVFTAVEVERCDGGVPRLVKHKSEMYKLPNGVMYWCPKRSNMQFRLVIFVLVVFCSLQLLLAFPLPIPFFGPYTNQQDVDAINELYASLGSPNLHGWASSGGDPCMEEWQGVQCIGPNITEIELRDAGLGGKLSETLGKFRAITALDLSNNRIGGVIPQSLPPAVRQLDLSSNSLSGKLPDSMAKLNSLSTIRNGNHFSIPSIPGSSPTPATTTPSIPGSPSTPAAAAPQYASNASHPPIYVIPATPHDSAHDDHRRHGKKVSPAKAAGFSVLAAGSLTIAVLVIVFAVSKRRRRGSFLDGEFLRGIEMSTPDWKGKMPLRQESAVVKADKEQSTVAEEKTVKGSISSHQNVQESLQSHLLQHRFTFFTVASLQQYTDSFSEQNLIRQTLFGKIYLAEHEDKKFAVLKLDDANARMPVDEFLMMVQRISELQHPNIEELEGCCVQHGQRLLVYKHFSDETLDDMLHIKQQLVSSNDHDHAKITLPWDARVAIAFEAAKALEYLHKGDQRQVVHQHFRPEHVLVDGEMRARVSGCGLAAATAAAWTAKGDVYSLGVVMLQLLTGRKPYESSRPRGERQLVPWASSRLHDLTALEKMADPRLGLSPPPATVRSMSRFADQEAEFRPAMSQVVQDLRRALQDAVDAGGGEQSGIEFSFKCK >LPERR02G02730.1 pep chromosome:Lperr_V1.4:2:1722615:1732402:1 gene:LPERR02G02730 transcript:LPERR02G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASASSRSHGNAAAGGGGSATAMMLPGPPGRGNGGCIDLSAAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSTLASFVTSVRWAPPSPPSLAAAAAGGDGDDDDRRPLRLAAGDRHGRIAVWDARARHVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASVHGPSLLCIWETSNNPRVLWMFDASPEYLCCLRRDPFDSRHLVAVGLRGFLLSAIPRPDSDISLQEHRVTCGASDIAELQRLEKEIAAPPPSPALAAFPGFAAKLCFSPLWRHILYVTFPRELIVYDLNYSTALSVTPLPRGFGKFSDVMADPDLDLLCCTHLDGKLSIWKRKEGEQVHLLCAVEELMPSIGTIVPPPAVLATTIWQSESIFRNIDKQSQDFRQIQSTHSVISDTNTHKNMYQGIMTYLTSISEDGKIWSWHLKFDKSACSNKVKLGTSNHSDAGNSNPRSNGLDFTVKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTVEVVDVLANAVSVSFAVHGSTVRGLRWLGNSRLVSFSYTQVNDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPASKQSATSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDAKANSTLRPVITREKFRSMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLTSSSFKAASATFGDLRSYMIETTLPPVGDSVVAELLLKVLEPYRKEGCILDDGRAKLYSAIVNKGTCARFAFAAAVFGDFEEALFWLQLPQALRHYLDKSTSKSTEKGTGSSVHPNSEQASTLNRASSSTERSISGNMTEAAENCGQLGSMAFTQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLCGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALETLRKNQRPDTAAMFLLACHEIYSQIATESEPLEDTSGSTTLEQSPKLRFPSKNVDDEDLIAVSEVFGQYQQKLIHVCMDAEPNVD >LPERR02G02730.2 pep chromosome:Lperr_V1.4:2:1722615:1732402:1 gene:LPERR02G02730 transcript:LPERR02G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASASSRSHGNAAAGGGGSATAMMLPGPPGRGNGGCIDLSAAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSTLASFVTSVRWAPPSPPSLAAAAAGGDGDDDDRRPLRLAAGDRHGRIAVWDARARHVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASVHGPSLLCIWETSNNPRVLWMFDASPEYLCCLRRDPFDSRHLVAVGLRGFLLSAIPRPDSDISLQEHRVTCGASDIAELQRLEKEIAAPPPSPALAAFPGFAAKLCFSPLWRHILYVTFPRELIVYDLNYSTALSVTPLPRGFGKFSDVMADPDLDLLCCTHLDGKLSIWKRKEGEQVHLLCAVEELMPSIGTIVPPPAVLATTIWQSESIFRNIDKQSQDFRQIQSTHSVISDTNTHKNMYQGIMTYLTSISEDGKIWSWHLKFDKSACSNKVKLGTSNHSDAGNSNPRSNGLDFTVKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTVEVVDVLANAVSVSFAVHGSTVRGLRWLGNSRLVSFSYTQVNDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPASKQSATSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDAKANSTLRPVITREKFRSMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLTSSSFKAASATFGDLRSYMIETTLPPVGDSVVAELLLKVLEPYRKEGCILDDGRAKLYSAIVNKGTCARFAFAAAVFGDFEEALFWLQLPQALRHYLDKSTSKSTEKGTGSSVHPNSEQASTLNRASSSTERSISGNMTEAAENCGQLGSMAFTQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLCGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALETLRKNQRPDTAAMFLLACHEIYSQIATESEPLEDTSGSTTLEQSPKLRFPSKNVDDEDLIAVSEVFGQYQQKLIHVCMDAEPNVD >LPERR02G02740.1 pep chromosome:Lperr_V1.4:2:1732920:1737089:1 gene:LPERR02G02740 transcript:LPERR02G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGEEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSYQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQITVFNKLLQDQYRLMCKEQPTGVPSMPPTTANGSNVGTLNQNACFLPDTTHSAAMPDSLMHNGSSSGIVNGAPSSDQFIYDGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEAGYSGNSDFAFCNESAFLEPCQSLGDASGGSFSSSELNGQPLGDPILDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPPSEANNFSESAPGEQAGLIIPPPLQLPIPF >LPERR02G02750.1 pep chromosome:Lperr_V1.4:2:1738921:1740435:-1 gene:LPERR02G02750 transcript:LPERR02G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVGMMTYFDPATGKPVPVTIVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLQSIDGYDPGQPLDFAEMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVLMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >LPERR02G02760.1 pep chromosome:Lperr_V1.4:2:1744356:1749763:1 gene:LPERR02G02760 transcript:LPERR02G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGVVCAVIGSFSGRDSKKSGGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKNLFFFLLQTEYGDVFKVDLEYNNDTVSELRIKYFDTIPVASAICVLRSGFLFSASEFGNHALYQFRDIGRDVDVESSSATLMETEEGFQPVFFQPRALKNLFRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGNVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >LPERR02G02760.2 pep chromosome:Lperr_V1.4:2:1744356:1749763:1 gene:LPERR02G02760 transcript:LPERR02G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGVVCAVIGSFSGRDSKKSGGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKNLFFFLLQTEYGDVFKVDLEYNNDTVSELRIKYFDTIPVASAICVLRSGFLFSASEFGNHALYQFRDIGRDVDVESSSATLMETEEGFQPVFFQPRALKNLFRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGNVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >LPERR02G02770.1 pep chromosome:Lperr_V1.4:2:1751928:1764760:1 gene:LPERR02G02770 transcript:LPERR02G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAPQINQVGGSGVGGADGLPQQMPDVAGLGGLDNQFLIMRGNMRDKIYEYIGRKQSSTDWRRRLPELAKRLEEILYRKFPNKNDYYNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQMPRQMASSSSYGTMIPTPGITQGATGNSRMPYATDNTGLPSSGATMVPQNANTGSMSNGYQHLATSVPLNSTTSSIPSTVGPVGIQRQVTHMIPTPGFNNQQNMPANPDFSNGAGYFNGESTVTPQMQQQKQFPSNQNSHQIQHMGGHSNSGMHSNMLDNSSAYGLSDGHVNGGMGLHGSNMQLTNRSVAQEAYINISPYGNSPKPVQQQFNQHPPQRIPTPVDMSGSGNFYTTGSSALTATNNHSMGATNLPSRSRMNSMLLTNQLNMQSIQPQPQIKAEVLDQPEKMNFQSSQLNHEQLLRQQHTMQQHQMQPNAQFVQNQYHLNQQQPNSQHQQSILRSNSLKQSQLSSSHSMQLSEQGTLPHTELISSQATEHSDIPNYQGQFSQRNAHDNAKGGQMFGHLSSSQNFHSTSSHDSQQLLPSNQLLDDSSNDVSYVLKGSQQEQMHQAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVMSVDPSLPKLPSGGLEQAGGNVYYFRQMKWLLLLFHAKSCLTPIGSCKFHRCFQVQELVKHFENCKRKDCSYRDCRRSRMVTEHYKTCADEQCPVCSNAKKFLQRSTELANKQNPPEPRKISQQNTAQGIMKEGDIMDIDPVVEIFDGQPSVPKRMKMQPVSPSAAEREVSMPSNVGLILQETHPELPDQNNKVGHLKMDVKIDPRPLQKPAKIGFGTDANVPTARHNVAPGGSNEIKTHVKQEIMSIDKETSENALEIKNEANDSTDVTVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDSIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRANHERKGIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMYSCSHCCNLLVSGKRWVCHQCRSFYICDKCYDTEQQLEDRERHPSNSRDTHMLHPVDIVGVPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACYQKGAVNHAHKLTNHPSAADRDAQNKEARQMRLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >LPERR02G02780.1 pep chromosome:Lperr_V1.4:2:1766231:1766987:1 gene:LPERR02G02780 transcript:LPERR02G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDFDLCNAYQKGAVNDHPSAADRDAQNNEARQMRVSEAKNQAAVSSWDAVHNSCFRRVCSLQDNVVHAPAPCPDHLSFFVLAFAHSVNYNLEYITPDHLRK >LPERR02G02790.1 pep chromosome:Lperr_V1.4:2:1770123:1772933:1 gene:LPERR02G02790 transcript:LPERR02G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAARRLLAFHLRPPPTPAPAHPLAAPHRRGKHDAVACRAAAGRKGKAKDGEKRRQQRRALDEHLKRRTRSGAAFDSGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDIDPSALEIGRSRIEAFLAGREANEGDGKDVSQGILCAYTHEKNFKYIKHVLGSVDEDFAVGSSGVDGVLIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARGSGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHGDEADDDEEDLPSADTDTEDEPWFKQRVQGKNGTILTKRPISPSQEEEKLNQRCRSAKLRVIQKA >LPERR02G02790.2 pep chromosome:Lperr_V1.4:2:1770123:1773303:1 gene:LPERR02G02790 transcript:LPERR02G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAARRLLAFHLRPPPTPAPAHPLAAPHRRGKHDAVACRAAAGRKGKAKDGEKRRQQRRALDEHLKRRTRSGAAFDSGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDIDPSALEIGRSRIEAFLAGREANEGDGKDVSQGILCAYTHEKNFKYIKHVLGSVDEDFAVGSSGVDGVLIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARGSGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHGDEADDDEEDLPSADTDTEDEPWFKQRVQGKNGTILTKRPISPSQEEEKLNQRCRSAKLRVIQKA >LPERR02G02790.3 pep chromosome:Lperr_V1.4:2:1773220:1775200:1 gene:LPERR02G02790 transcript:LPERR02G02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLGLGRSAPLFFRDLSSRVRSCMLEDSSCEEVRAASADLTTRSGGSGSDHVRWLEKRCVAGVLGMGAGGTAESWQRKREKTQAAVDGDRLIDQRRDWGIRLDFEQFHRQSGCR >LPERR02G02800.1 pep chromosome:Lperr_V1.4:2:1785872:1786078:-1 gene:LPERR02G02800 transcript:LPERR02G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGKEDGDGLEVLIRGLTLSEEEKGGLKGTWRSESSSAGKMQQAVGKLFSSKLVFVDGMVQTLGKI >LPERR02G02810.1 pep chromosome:Lperr_V1.4:2:1791283:1793848:-1 gene:LPERR02G02810 transcript:LPERR02G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDASYGPSSSIGPIKSDMAGGSCFHDTGTKVGDRDKDNVTGTSRQGNAKASSSSTQ >LPERR02G02820.1 pep chromosome:Lperr_V1.4:2:1793875:1795032:1 gene:LPERR02G02820 transcript:LPERR02G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPLPACDRTEVPRPREQISAAAPALAHPIPNPIQIQPRRGHLHLHAMSFGRRFIYLVVDGGCNRRRASFAFHVHRINASRFFCPEQEADVTVRDLPRPSMKFHAPSPETDFTLLGGDMVLAADHKGRATIYDPVSNAVRAAPALAQPKSLPAVSVSVGHHTLYVLDPLRSHDRCFESLVYNKPRADHDWHWESLPPPPYKPTSHGFVRSYAVVGDAPAVAIIWVSTNDGATYSFDTARREWGKQGDWALPFRGLAQYVPDYNLWFALNDAGRLCAFDLAAATSSSSPRPRNVWPQEVKPPKGWRSLTSFLVYLGSGRFCVARFFWKEVEIPGGYDMEKETKTQAVFTGVEVQPFGKAGRGLRIVKHTSECYRLDDVLQQWVL >LPERR02G02830.1 pep chromosome:Lperr_V1.4:2:1811926:1813693:-1 gene:LPERR02G02830 transcript:LPERR02G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNVQARYWINPKRFREGGQHRRRPETCRQRPATGDQPAATGGTWQRQQGVAPTTTCKEQRRGTAPAGGGSDPPVATASGPPVAAPGSDGLRSVVDSSGQQQPAARSSTGLMKTPQQGSKNE >LPERR02G02840.1 pep chromosome:Lperr_V1.4:2:1823960:1826235:1 gene:LPERR02G02840 transcript:LPERR02G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSVGLGRQVFDVPGAQDTTVLIVGATNRIVVRKLMLRGYNVTRNDQHMLPISVDIMFGVLAMYCWRFVFSSSSRVAVLRPTWLKRVDNQGVRNVAKAFPLAQSRAGTSSKSKLLIAKFKSTKSLLGWEAKQGSYFQNIYILLFQTMHPSRFDEGTDASFEFSENGQAVFSGFVLREVDMLKYDGLLLSVGGNGRPYVLSSRLVHLLTHHFARKNTK >LPERR02G02850.1 pep chromosome:Lperr_V1.4:2:1832601:1836289:1 gene:LPERR02G02850 transcript:LPERR02G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMASHRATSSSPFLGAPLPTGRRHHHSCCFVARGDKTTTAARITAALDTETMAARKDGNGGGDGGGGGRGLVGIDEVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSTAAAEEQGEEEGIQFLRGGGPMCEFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPTSVDIVVGDAGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVAKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSIQGWEVKQGSYFQDIYPSRFDEGTDASFEFSENKQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPHDPPLDPFLVHTLTIRFEPKKQRPGDSSQGAASDPRNFQLIMEFIKALPTGQETDIILVSCTGSGIEPNRREQVLKAKKAGEDGLRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGEELYELVAHLPDKANNYLAPALSVLEKNT >LPERR02G02860.1 pep chromosome:Lperr_V1.4:2:1837337:1843158:1 gene:LPERR02G02860 transcript:LPERR02G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNLAADLAGTMGQSEKNGAAAASCDNEDAQGCHAYGGGGGQVEISVSNGGRCGCAVDAEGDGGSCGGAERLTFTKLLHRRELLLCRPQQLLPRRWSEPCSTMDGTSKFRSNNMQLKIGDVTTYLSSMPIMSIPLCYVM >LPERR02G02870.1 pep chromosome:Lperr_V1.4:2:1843627:1847253:1 gene:LPERR02G02870 transcript:LPERR02G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEDLKTIILPQLDLIVASVESQSQNVKNRVQKYLQRLKVELYQAEDLLDEHEYDLLNNKMKQKKLFAFSVPDQCQDPISKPFIWIFSNLSSLSPHNIKMLNHLKKVKSILAKAIKFLGVLSDVRQVSNETTGSGLIQVTTTFREEKVFGRDKDRDSIISLLFDPAISIAIVGAGGAGKTTLVQYICSDDRVKNSFHVTWVCMSHKLDIHKHTTEVIESLASDEIPKIQNLDTLQRKLKNLLLEKREKELILVLDDVWYEQRQDSDWEQFLAPLSSAEFNKAIRVLVTSRSKELPSVLNCRKTIALEDIEENDFLTFFRYYALGSVIVRNELEEELQGIGDDIAKKLRRSPLEAKAVASRLSRMLDVEIWKHVRDSKQLDGNIMENLLWSYERLAPQVQRCFLYCSIFPKGYMFHIDEIILLWEAEGFVSSNDRFERAEGIARQYFYELWLSGYFGKQSHGKDSHVGYRMHDLFHDLAEDLSIDDGYRLESEENTEIPQFVRHLSISVPRLERHVASICKLEQLHTLIFFNPVADIGKFIKPMLKKLKKLRVLSLCFFSSYTLPKQIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNASMSKTLPKKTNNLISLRRVNVPLKDVCNVGMLASLEDLKEFQVKKEKGYEIGQLGSLTKLRGHLHIMNLENVRNRSAAKDVKLQAKGNLDALRLVWKHDTYKNNNVDLEVLEGLEPSSRLKELAIEGYRSNSYPTWLNSCSESLRSLELSNCTFLENLPLNLRCFAHCRTLALLNLTGLKKLSPLPENLTSLKFGGCSSLCFISKDEEEHGVNLADSTGKEALQPRMSSTEVETLTAILGLEGSELEQFQACFQEHLYPITTVSTRHRDVAQLVLPLTLQRFELTICNITDHALSDCLRSLNSLKELALFQITTLSALPSKQVMENLAMLSSVDIASCQSLCSVTGLGSVPSLEKLAVSFCPSLELLDSSSLSSQLKEPTIRGCTIHDGFLHDDLPMLASLEIFKCRTPSVLQVGAWPSLKCLKLLDCLDVGFLEGLHALGSLQEVQLVLPNLGADSFAGCRGNWRSLRVRTPSLLQVLFSNEGFVPPMLLTIEGCQELEFSLEGIPNLSSLVGLSFMNCKVQSILSMKDLTSLESLAFFDCPHLKSLPELPPSLLCLDIFSCQILEKGCRSRRGEDRRKISQIPHVVMYD >LPERR02G02880.1 pep chromosome:Lperr_V1.4:2:1855681:1857750:-1 gene:LPERR02G02880 transcript:LPERR02G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPGAAPSATPPPLLPLLLLASLALVTAQEGNVGEAYAASLATRYAAPPSWAFPNPRLRAAYAALQAWKQTAIFSDPTNFTSNWAGPNVCSYTGIFCAPSPIPNYGLVVAGIDLNHADIAGYIPASLPLGLPDLALIHLNSNRFCGIIPDTFRHLRLLHELDLSTNRFVGEFPEIVLTLPSLKYLDLRFNDFEGSIPPKLFDIPLDAIFLNSNRLRNPIPSNLGNSPASVVVLANNKLGGCIPSSIGKMAGTLNEIVLINDELTGCVPVEVGLLKKVTVFDVSGNHLQGGIPASVGGMVAVEQLDFAGNLFEGAVPAGVCGLAGSLRNFTYSDNFITSRPGCGVVVADGLGNCIPGAVGQRPPAQCAAAAARGPFDCSKAQCQAPPPSSSYTPTPTTPGGGGGRGRRGGGQAPPTPASQPPRRRSRRPPSSSTPTPSYPSPPSQGPTTPSYPSPPSSGNTPSYPSPPGGSTTPSHPSPPSSGNKPSHPSPPSSGNTPSSHSPPGGSTTPSYPSPPAGSATPPGYNSPPAESTPPVAHVPPPPTSADRPDVRFGPPPGSYGPNPSTPPSHSSGYQPPASPSTGGYQPPSGHPGASPPTEHPGYVLPPPHAPGSGTPTTTPTSPSGPSFHPPPTATTPPTSPHNCSPPSQGGSSGGGGHPPSAPPGGKLPFPPVYGVSYASPPPPVKPYN >LPERR02G02890.1 pep chromosome:Lperr_V1.4:2:1863873:1865610:1 gene:LPERR02G02890 transcript:LPERR02G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSERDAVRAGNSLAVGPTGSPVGPARRTSSFFSSSSFASLRIPSHGRRVGAEASSGQKLSLTMPPKLLPPPMTSKPSNKWMARSGAVIIRMLQAPAVVALSAMLAVSTSPSPAVTPQQQEAVAATADEEDGGGGASTLCNVPPTLSGEDKQAEKIKHPKSASAARCTSKCVSTCILGGAGSPGVDGPFNIRRPLVVFKEDFRSRQYCLLECSDICNLIKDGEDGQ >LPERR02G02900.1 pep chromosome:Lperr_V1.4:2:1865879:1870656:1 gene:LPERR02G02900 transcript:LPERR02G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAEEAAGGGAGAVARIFVGGISEGVAASDLEAMFASVGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAAAAAQEIPASGDVKSKEKLELNKAVLDSTKINMYFPKLRKLKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLNAAAYEKERSIMNSVMTKLFEKENDHFDSLEIQNHDTDFDATEPSNARNDLQMEETEETSEEDSDGLQMEETKESSEEDSDDPSEEESDDLVLNIVTRKSSSSVAQSNREKHAADKDSRFKKRQQLEESSLQKRHKSSDFSEPRKKKQALPAISGAFQNEQKHSGLSGKGAHQFSSKLDRDKSSASVHGVEALGEASTRNGRGQNALASEPKKGSLWTQKSAWRDLVGGMGSSSFSISQILPNSNPAAPKVSNATDASASHAESRRKVKPNGKSLKPSEAATKLLPGQKMSSSMPMQSSETTVVSGDHGASERKENNNKLVKERVVPKITIGEVCPFMRNADSEKQWSKAKKVLTGFIKKGSESGSNIRKGKPTARR >LPERR02G02910.1 pep chromosome:Lperr_V1.4:2:1869819:1876517:-1 gene:LPERR02G02910 transcript:LPERR02G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRRFVYLVLNGDCRRRAGCHHHRMADESRWFHLRRINISRFFFYPQSHSQSPPSPPVAAALPRPCMSFFSPSAMEFMLLARDKVLAVDHKGRAAIYDPASTAIRVAPALIKPKRIGFVSFAVGDDALYALDPRNSNEHCFEALVYKYDDWHWQPLPSPPYRPFAAINAYAVVGGEDDAAMIWVSTNEATYSFDTARRAWDKQGDWALPFRGVAQYVSDYNLWFAINDAGRLCAYDLAAAANSPSPPPPPLNVWPEEVRPPCKEWMPTTSHLLHLGSGSFCIARFFKHNVRINGGCGCCTPTETHAVFTGVEVAPWGKSGRGLRMVKHRSECYSLGHGIIEQLIVPLARLRRINISRFFFYPQSQSQSPPSPPVAAALPRPCMSFFSPSAMEFMLLARDKVLAVDPKGRTAIYDPSSTAIRVAPALIMPKWNRFVSFAIGDDALDPTNSNEHCFEAFVYKRRRPGDLDKYDDWHWHPLPPPPYPPHSAIDAYAVLGGGGEDDAAAMIWVSTNEGDNGATYSFDTARRGWDKQGDWALPFRGVAEYVPDYKLWFAINDAGRLCAFDLAAAQCVAGRGEAALQGVEADDVTPRAPEIRQHRVRIIGGCGCGCCAPAPTEMHAVFTGVEVVPWGKSGRGLLMIKHRSECYSLGDGIIQEWVL >LPERR02G02920.1 pep chromosome:Lperr_V1.4:2:1873542:1874553:1 gene:LPERR02G02920 transcript:LPERR02G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFVYVVVGGCYSRRAVVDGESFRMHRIDMSRFFRPPDSPPRKPNDIAAAEAMVAGRLPRPSMTFRAPSSEHHDGSMHFMLLDGRDKVLTTDQTGRAAIYDARDHAMRTAPTLAKPKTGLPVAVGGGHSLYVLDTTTRPEEHSFEALVYERGLRHRWLGKCYDDWRCHPLPPPPRRQRCRLRGDSTNNHQICALDLAGAAKPPALCNLFEQDLKPPKDWMSTTSYLVHLGSARFCVARFFHKLGKISCYGGMDTRPRVHAPFSLAWRLVRLVQGLG >LPERR02G02930.1 pep chromosome:Lperr_V1.4:2:1899569:1900763:-1 gene:LPERR02G02930 transcript:LPERR02G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEAKTRPDPKVEIQEKGEIFFFYRPKVGKDGAHSPDDVQRMYIVLRPDATGGDRAAVEEKQSPDSGKEGHKQQHQKNNTESGAGEGGHGKEVEVNIEEKPLLRLIVMGKKSLPDPSKRSRPYWGYVELVTTNVDHIKDALKEEEYSTATRGTRRRAAARAMGEGVYRILKHDAPRGVHTHLVYKLELPSARHDAGDIAGEPPPQEAMINVEPEASYLIQIKNPEQPPPQSSGGGGFRGIPSKRRAAFPAHLQGRFGNSRRYAAADPPDLLNYEGCELLLIAASDDVEEELGLELELETETETAAGCSPRIW >LPERR02G02940.1 pep chromosome:Lperr_V1.4:2:1912442:1913041:-1 gene:LPERR02G02940 transcript:LPERR02G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDELPAPAAPEVAAAAEEEDLVEILEEGTGRLDIARYVDHVRDLAAGAIATFEGTTRDAFEGRRVVELRYEAYAAMARRRLAAILREARASHSLRRLAVAHRLGAVPASEASVFVAASAVHRADAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFLDRVAADGAVTASPAAATAKAKSGGCCGSKVRVLES >LPERR02G02950.1 pep chromosome:Lperr_V1.4:2:1925146:1933848:1 gene:LPERR02G02950 transcript:LPERR02G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEDDGTTLLRSTNGFLGRAVWEFDPDHGTPEDHAAVERVRREFADHRLGRRESADLLMRMQFAKQNEDQRRERIPPVKLEENEQVTEETAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTLILSSSTMFGTCSNYITLRLLGEEPSNEQLSRGHEWIISRGGATLVPQWGKIWLSILGVYEWSGNNPIFPELWLAPQFLSFYPGKFWCLARMVYLPMAYLYGKKFVGPITPTILALREEIYPAHYHTIEWAQARNACAKEDLLCPRTLLQNAVWSSLYKWVEPVVSRWPMSKLRGRALDKLMEHIHYEDENTQDLCICSVNKALNMVCCWVEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNDYASTVERAHKFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSNVVGDPIERERLHDAVDCLLSFVILNPCESFPNMVVDYPYPECTSSVLQALVLFKELYPGYRTKEIEKCVRNAAMFIENTQGEDGSWLGTWGVCFTYGAFFSVKGLISAGRTYGNSSSIRKACDFILSKQLNTGGWGESHISNETKVYVNIKGDRAHAVNTAWAMLTLIYAGQMERDPTPLHRAAKELIHMQLETGEFPQQVNTLEMRSANRRAAQMVCSLCCYGQSWQMYFWPLLQNSRRVSMLKLFHGSTMNLSKLLEAGYSFQPFIAWRAIHNNVIFSSNDHFVSG >LPERR02G02960.1 pep chromosome:Lperr_V1.4:2:1935113:1947413:1 gene:LPERR02G02960 transcript:LPERR02G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIADGGGDPWLRTKNGHVGRQVWEFDPTADDPDDLAAVDAARRGFAARRHESKHSADLLMRLQFAKANPLKLDIPPIKLEEHEAVTGEAVLSTLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYYLPIHPGRMWCHCRMVYLPMCYIYGKRFVAPVTPIILELRKELYNVPYNEVDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVLDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHNYIKKAQVIDDCPGNLSHWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEALEVNRLYDSVNCLMSYMKVRILETVLQLVLKIKKLWLTDTCLTINTCKYPYNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRSEIDNCISKASSFIESIQKNDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRAHAVNTGWAMLALIDAGQAERDPMPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >LPERR02G02960.2 pep chromosome:Lperr_V1.4:2:1935113:1947413:1 gene:LPERR02G02960 transcript:LPERR02G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIADGGGDPWLRTKNGHVGRQVWEFDPTADDPDDLAAVDAARRGFAARRHESKHSADLLMRLQFAKANPLKLDIPPIKLEEHEAVTGEAVLSTLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYYLPIHPGRMWCHCRMVYLPMCYIYGKRFVAPVTPIILELRKELYNVPYNEVDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVLDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHNYIKKAQVIDDCPGNLSHWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEALEVNRLYDSVNCLMSYMKVRILETVLQLVLKIKKLWLTDTCLTINTCKYPYNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRSEIDNCISKASSFIESIQKNDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRAHAVNTGWAMLALIDAGQAERDPMPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >LPERR02G02960.3 pep chromosome:Lperr_V1.4:2:1935113:1945769:1 gene:LPERR02G02960 transcript:LPERR02G02960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIADGGGDPWLRTKNGHVGRQVWEFDPTADDPDDLAAVDAARRGFAARRHESKHSADLLMRLQFAKANPLKLDIPPIKLEEHEAVTGEAVLSTLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYYLPIHPGRMWCHCRMVYLPMCYIYGKRFVAPVTPIILELRKELYNVPYNEVDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVLDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHNYIKKAQVIDDCPGNLSHWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEALEVNRLYDSVNCLMSYMKVRILETVLQLVLKIKKLWLTDTCLTINTCKYPYNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRSEIDNCISKASSFIESIQKNDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRAHAVNTGWAMLALIDAGQAERDPMPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >LPERR02G02960.4 pep chromosome:Lperr_V1.4:2:1935113:1945836:1 gene:LPERR02G02960 transcript:LPERR02G02960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIADGGGDPWLRTKNGHVGRQVWEFDPTADDPDDLAAVDAARRGFAARRHESKHSADLLMRLQFAKANPLKLDIPPIKLEEHEAVTGEAVLSTLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYYLPIHPGRMWCHCRMVYLPMCYIYGKRFVAPVTPIILELRKELYNVPYNEVDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVLDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHNYIKKAQVIDDCPGNLSHWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEALEVNRLYDSVNCLMSYMKVRILETVLQLVLKIKKLWLTDTCLTINTCKYPYNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRSEIDNCISKASSFIESIQKNDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRAHAVNTGWAMLALIDAGQAERDPMPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >LPERR02G02970.1 pep chromosome:Lperr_V1.4:2:1945203:1947722:-1 gene:LPERR02G02970 transcript:LPERR02G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADENHGDAPPPPQPEKDNYGDFTGGELFPIPGYEWAEVAELEPAMAAGRAVRVRGAAHAVRALSRLAFLTLRQGSSTVQCVIPAAGGMARFAGGLSRESVVDVAGVVSPPREHVRGATQQVEIQVEKLYCINRATPNLPISVDDAARSDEDVTRAKAAGEQLVHVGQDKRLDNRVIDLRTLANQAIFSIESEVEHIFRNVLRSEGFKSIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAVCGGLQRVYEVGPVFRAESSNTHRHLCEFTGLDMEMAIRESYFEVCDVVDRVFVAIFDHLNKNCAKELEAINRQFPFKPLKYLRKTPRIDYEEGIRMLKEAGVHVEPMGDLNTEAEKKLGELVNAKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVNEYELLKQQAEARGIDVGSIAAYLESFKNGAPPHGGFGAGLERVVMLFCGLGNIRKTSLFPRDPKRLNP >LPERR02G02980.1 pep chromosome:Lperr_V1.4:2:1949880:1954561:-1 gene:LPERR02G02980 transcript:LPERR02G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMLPVGNNTNENPKNVMQAESKLAGVGVDIGHESAHSSGGTFSSSSEIGYGSSKSSISVSIDSPSKVGNTIELNFASVKEPGRNMGKGKELCKVDDTGTSRSPVVAASQAEPLIGLKLGKRTYFEDVCGGQNVKGSPSSGVSVATPSPGQAKKAKVAQQNASNTYCQVEGCNVDLSSAKPYHRKHRVCEPHSKTPKVIVAGLERRFCQQCSRFHGLNEFDQKKKSCRRRLNDHNARRRKPQPEAISLSSSRLSTILYGDARQQTGLLFGQAPYGQMGSYASSLWDNPAPGGFKFTATKAPWSKPTRAAGVDGTRVSNHQASSNVLPHEPHHSFDSLMAFKETNAKVLNQGMEASAVASSSAGGPDFERALSPLSINSVGAANLQPGSQMHPGVTAIAGTSNPAMMPSPAIWQGNLSLDQQAQFQAFDRLSNDDTAAPHQLQLPKPSYDNSHYDQMN >LPERR02G02990.1 pep chromosome:Lperr_V1.4:2:1955580:1958354:1 gene:LPERR02G02990 transcript:LPERR02G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSDGERGGNDRGGRRRRRRRRRGEHIDQGRSANFRSHAGDGGTNFFAVGDEYEISSRGGRLPAAAVWQLCPNISDQMSINGSSMILITMELVDQGYTNNTNKNPSI >LPERR02G03000.1 pep chromosome:Lperr_V1.4:2:1956668:1959810:-1 gene:LPERR02G03000 transcript:LPERR02G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWRLWVVVVVCWAAAAMGVEAIGANWGTQASHPLPPDTVVQLLKDNGFSKVKLFDAEDGTMGALRKSGLEVMVGIPNDMLLTMATNMKAANKWVDRNVSSFLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQTALVNAGLGDKVKVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDPNFPVDYAFFEGGSTPIVDGSATYTNMFDANYDTLIWALKKNGYGNLPVIIGEIGWPTDGDMNANAQMAQRFNQGFLKHIATGQGTPMRPGPVDVYLFSLIDEDMKSIQPGNFERHWGIFTYDGLPKYQLDLGQSRNLVKAKNVKYLEKKWCVLKPSVSLNEPKLSDSVSYACAMADCTSLGYKTSCGGLDIRSNISYAFNSFYQKSDQDDVACGFSNLATITGQDPSTGNCRFGIMIEVDSAFSWKLHHVRSNSFLMLLLVLLQLCLSFS >LPERR02G03010.1 pep chromosome:Lperr_V1.4:2:1963227:1968642:1 gene:LPERR02G03010 transcript:LPERR02G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSESRYCGMEVLDFPAGEELPAVLSQSLSSAFDFLLAPLVDPGYRPAPGAVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDEQLRLDSEVTLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNMQLWLRIPLEKSEPMDEEHDEAKNNSNMTVTVDSWVWWNSFRLLCEHSSQLCVALDVLSTLPSMNSLGRWFGEPVRAAILQTNAFLTNSRGYPCLSKRHQMLLTGFFNHSVQVIISGRSNHNISQVGLLSGDENHTEDTSVRHALGPYLEYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYSQYQRAIAKALVDRVSDDEVSTIKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPTQKVFTFDHPNTSSNPSNQRYTKLQFEIPEDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIHVPSKSPIEVHFWRCCGSTKVWYEWAVTAPSPSPIHNSNGRSYWVGL >LPERR02G03010.2 pep chromosome:Lperr_V1.4:2:1963228:1968642:1 gene:LPERR02G03010 transcript:LPERR02G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSESRYCGMEVLDFPAGEELPAVLSQSLSSAFDFLLAPLVDPGYRPAPGAVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDEQLRLDSEVTLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNMQLWLRIPLEKSEPMDEEHDEAKNNSNMTLGYGGIHFDYYVGCSLIRSTLPSMNSLGRWFGEPVRAAILQTNAFLTNSRGYPCLSKRHQMLLTGFFNHSVQVIISGRSNHNISQVGLLSGDENHTEDTSVRHALGPYLEYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYSQYQRAIAKALVDRVSDDEVSTIKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPTQKVFTFDHPNTSSNPSNQRYTKLQFEIPEDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIHVPSKSPIEVHFWRCCGSTKVWYEWAVTAPSPSPIHNSNGRSYWVGL >LPERR02G03020.1 pep chromosome:Lperr_V1.4:2:1969088:1972024:1 gene:LPERR02G03020 transcript:LPERR02G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATATAPAPAPAAVTEKASSYRYWVREATGDAAPLPAPRKLDPSAAVNGNGNPPPLGSVWNQAGTWEEKNLNSWANGRIKELLGSSDSLEFPTGKASLDGVSKCTGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEENMKIKGHLDIPEFSFGELEDLEAEVRFSDDKGLTSDDRRQICKDLKSFLSPIREKLRVFEEELKDR >LPERR02G03030.1 pep chromosome:Lperr_V1.4:2:1973903:1978005:1 gene:LPERR02G03030 transcript:LPERR02G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSVIAVKQFSGPDKMAHICAVPQPSAHNLSNANSDRSGSRNNTPLPCAIQSSNLKTELINSSGLPKILPFSTDSNTESSLSCISQADLSDPVLSSSSTFCTSLYTSSLKNSDPCRKTSDLPFLPHPPKCEQQQSSPGLSSSSLLLFDADLRNSSHGNDEHTDFIKDFLNLSSDGSFHGENSVIAYNEQMEFQFLSEQLGIAITNNEESPRLDDIYDSALQLPSLPMSYCSDQEDLHDAGSPVKVQLSSSRSSSGTPSCNKPRLRWTQELHERFVEAVNKLEGPESRVITLVHSEEFDHEKINITVLLMFYLIHLVEATPKSVLKIMKVEGLTIYHIKSHLQKYRLAKYLPETKEDKKPYSEEKKAKSVANGDYHAKKKRAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILENQQKTRESISSMTSTTEGESSEFAQIEKTEYKAETSSPPLSKCITSTTDAECNSQVDNKKTASG >LPERR02G03040.1 pep chromosome:Lperr_V1.4:2:1976296:1980902:-1 gene:LPERR02G03040 transcript:LPERR02G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINGTIPESTNQVSSLASRADEFEDEALVTPEELSTRTGGFQRNAGSMNWGTFDSMMKTVFLKSKLNVLIVCGLLAILLNYVTKRHDWVFLLSMLGIIPLTERLGFATKQLALFTSPIVGGLLNAAFSNATELIISIHALRSGKLRVVQQCLLGSILSNLLLVLGSAFFSGGLACGKIMQTFNKADAVVNSGLLLMAVMGLLIPAALHYTHSEIHSGKSELALSRFSSCIMLVAYASYLYFQLSNNRHRNEANVRVIHNDEDDNGDEVPEISKWEAMAWLAVFTACISVLSYYLVDAIHGASKGWNIPVAFISVVLLPIVANSAGHACAVMFAMKDKLGISIGVAIGSSIQISMFGIPFCVAMGWVIGKPMDLNFHLFETASLLTTVLVVAFLMQILRKPILLHCGK >LPERR02G03040.2 pep chromosome:Lperr_V1.4:2:1976296:1980902:-1 gene:LPERR02G03040 transcript:LPERR02G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINGTIPESTNQVSSLASRADEFEDEALVTPEELSTRTGGFQRNAGSMNWGTFDSMMKTVFLKSKLNVLIVCGLLAILLNYVTKRHDWVFLLSMLGIIPLTERLGFATKQLALFTSPIVGGLLNAAFSNATELIISIHALRSGKLRVVQQCLLGSILSNLLLVLGSAFFSGGLACGKIMQTFNKADAVVNSGLLLMAVMGLLIPAALHYTHSEIHSGKSELALSRFSSCIMLVAYASYLYFQLSNNRHRNEANVGASKGWNIPVAFISVVLLPIVANSAGHACAVMFAMKDKLGISIGVAIGSSIQISMFGIPFCVAMGWVIGKPMDLNFHLFETASLLTTVLVVAFLMQILRKPILLHCGK >LPERR02G03050.1 pep chromosome:Lperr_V1.4:2:1989182:1992245:-1 gene:LPERR02G03050 transcript:LPERR02G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEEPGGDEAHSHDDVQRMYIVLHPEAAAPSRRSKRQIPARRDTSSSSRKTLTTAANRSTSPSSSPTLFATNVVVDQHSKVSS >LPERR02G03060.1 pep chromosome:Lperr_V1.4:2:1993536:1993964:-1 gene:LPERR02G03060 transcript:LPERR02G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRRVTISTVGAETTLHCTRVRNLGVVATVTCTVYFVLGFFAIWAFSSDKWPMQTGEGIFRLSVILQKVNN >LPERR02G03070.1 pep chromosome:Lperr_V1.4:2:1998030:2000351:1 gene:LPERR02G03070 transcript:LPERR02G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKEVCSPAQPRGGGGRGVERDENETREKSRIRPPPPELVGATLPYLFLRRRKVAAYQLVGAASPTPITAAAKECSRSRDYYTLRNIDMWRFFLPRPSAAAAAGAHSAVEYGSLPPHAMSFYSPTDRMGLMLLGGKHNMVLAADQTGGGVLYDPAEHAVRTIPDLPPCLNNSPDYLTAGDGDLYLFSTSRDGGCFHGLIYDNYVDDWFPSALPPPPIEPVSYAAVGDTEIWASGSGKTYRFDTARREWSSSGGGFTLPFLGLAEYVPKHGLWFGLSLAMDGKALVLSATDLHHAGDGEPRPLRRTLLPLEYTPPDALNCVGVDLVNLGSGRFCIARFFETDDYEELFVVFTAVEVDADAGGLRMLKHRSEMYKLCSETPYWVL >LPERR02G03080.1 pep chromosome:Lperr_V1.4:2:2001769:2004827:1 gene:LPERR02G03080 transcript:LPERR02G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQGTMVPSAALALTATSAAAKFTPLPADGDGDVAGGGDTVGGGEAAGGGAISSPGPISGSAGVWFSPHLQQPTQLSPATRPVIAFSPQPLVHDVAKKNSAPADELLCFRPSPFLTKLMSEEELRGSPARRARSPTPSLTPPTGEDANAGWGGPVLDPCGARSPMRVLRDGRNRSGPIHATSLSFDDPDQDPRSGALMAEMMGDFTLSVSAGLGGSFPASVLTPDGSTGGPLLQAQEEATLVAQEEPTVMAQKEPIDDQPEFHSGLAVAKQAQQPEAGSLSTLEARSSVKKNREWQQEPLVEGTDCLLELSVLRLLQSYIDLPFLWQALDLFSDKCN >LPERR02G03090.1 pep chromosome:Lperr_V1.4:2:2005448:2012844:1 gene:LPERR02G03090 transcript:LPERR02G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAASHRVFVYGTLMAEEVVRVLIGRLPSSSPAVLPNHQRFSTKGRVYPAILPVDGKEVSGKVFMGITDSELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNRDDPDLYDEWNFEEWRRVHLEDYVSMTQEFKEELEQLEQKIET >LPERR02G03090.2 pep chromosome:Lperr_V1.4:2:2005448:2009329:1 gene:LPERR02G03090 transcript:LPERR02G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAASHRVFVYGTLMAEEVVRVLIGRLPSSSPAVLPNHQRFSTKGRVYPAILPVDGKEVSGKVFMGITDSELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNRDDPDLYDEWNFEEWRRVHLEDYVSMTQEFKEELEQLEQKIET >LPERR02G03090.3 pep chromosome:Lperr_V1.4:2:2005448:2012526:1 gene:LPERR02G03090 transcript:LPERR02G03090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAASHRVFVYGTLMAEEVVRVLIGRLPSSSPAVLPNHQRFSTKGRVYPAILPVDGKEVSGKVFMGITDSELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNRDDPDLYDEWNFEEWRRVHLEDYVSMTQEFKEELEQLEQKIET >LPERR02G03100.1 pep chromosome:Lperr_V1.4:2:2007838:2014605:-1 gene:LPERR02G03100 transcript:LPERR02G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLAVAERTKAPAMNSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDAQNQNSTAPGLSLVQWMNMNRQQSSSIANTSAQSEYLQALGNPAMQNLSADDLARQLYVQNNLLQQNCIQFNSPKLPPQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVNHQRQQNSIQVIPLSQAQSNLVQAQMQILQKLQQQSLMTQSTSTLSQPALIQEQQKLLIDMQKQLSNSHSFAQQQMMTQQESKPTLQATSLISTIQQEQQQKLLQKQVSLAEVSEVAFQPISSTNVIPKTGGAMIISGATQSALTEEIPSCSTSPSTANANQLAQSTKNRYYINTEKVQQSTAPMLIPTSIDAVTATPLMTKELPKPNNSAKQSVINSKLPNVAPGTQNCMNNALQTDTLETSSSATSLCPSRTDGLVHQGFPSSNFNQHQMFKDALPDVEIECVDPSNSGLFGINNDNPLGFPIETEDLLINALDSVKYQNHMSTDVENNYLIQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGALLNKNSWPAAPPLQRMRTFTKVYKRGAVGRSIDIGRYSGYDELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVHCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWKPRCDQNSGNPSIGPYDQFE >LPERR02G03110.1 pep chromosome:Lperr_V1.4:2:2023228:2031132:-1 gene:LPERR02G03110 transcript:LPERR02G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGGDEEDSAAAMSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEIKSPNLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQKIIRIANSYYDSLDTALVRKELGIKDDVKVVIFNFGGQPAGWKLKEEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAITLQPCYDGSINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPAPAYHEVNGSAESSFEDFEILHGDMQGLTDTMAFLTSLSGLVVNDPRSPEKQSRERVAASVFFDWEEEIHVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSNPIKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASLNIAPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSSPPMQSGDTNSDEYEEHGLDLLKSEASLEYEAVYARDIPEIITGDEFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTGGHSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSTSSSA >LPERR02G03110.2 pep chromosome:Lperr_V1.4:2:2023228:2031132:-1 gene:LPERR02G03110 transcript:LPERR02G03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGGDEEDSAAAMSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEIKSPNLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQKIIRIANSYYDSLDTALVRKELGIKDDVKVVIFNFGGQPAGWKLKEEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAITLQPCYDGSINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPAPAYHEVNGSAESSFEDFEILHGDMQGLTDTMAFLTSLSGLVVNDPRSPEKQSRERVAASVFFDWEEEIHVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSNPIKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSSPPMQSGDTNSDEYEEHGLDLLKSEASLEYEAVYARDIPEIITGDEFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTGGHSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSTSSSA >LPERR02G03110.3 pep chromosome:Lperr_V1.4:2:2023228:2031132:-1 gene:LPERR02G03110 transcript:LPERR02G03110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGGDEEDSAAAMSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEIKSPNLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQKIIRIANSYYDSLDTALVRKELGIKDDVKVVIFNFGGQPAGWKLKEEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAITLQPCYDGSINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPAPAYHEVNGSAESSFEDFEILHGDMQGLTDTMAFLTSLSGLVVNDPRSPEKQSRERVAASVFFDWEEEIHVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSNPIKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYVGRSLVTIMQVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSSPPMQSGDTNSDEYEEHGLDLLKSEASLEYLCNLPPHRYEAVYARDIPEIITGDEFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTGGHSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSTSSSA >LPERR02G03110.4 pep chromosome:Lperr_V1.4:2:2023228:2031132:-1 gene:LPERR02G03110 transcript:LPERR02G03110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGGDEEDSAAAMSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEIKSPNLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQKIIRIANSYYDSLDTALVRKELGIKDDVKVVIFNFGGQPAGWKLKEEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAITLQPCYDGSINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPAPAYHEVNGSAESSFEDFEILHGDMQGLTDTMAFLTSLSGLVVNDPRSPEKQSRERVAASVFFDWEEEIHVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSNPIKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSSPPMQSGDTNSDEYEEHGLDLLKSEASLEYLCNLPPHRSHSLAIDSKFYFVPAYCMFYDFLWQYFRYEAVYARDIPEIITGDEFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTGGHSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSTSSSA >LPERR02G03120.1 pep chromosome:Lperr_V1.4:2:2033484:2036186:-1 gene:LPERR02G03120 transcript:LPERR02G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELEQVVDGLSDFSLSGPAAKSRRLYLTFPDIGLPPIMEEETPGPSMSFGHEMLGEEINSAVSMHIMEDMVGGDMESHPSGEDKALVLYKPVDNTVHIGMGTLNPSIIISPDLIRGLKNQAFNQRNYHGLEDRSPGRSNSLALVPWTPPQIATISDWSACKSGSREFIEVPMESDETGVDSMDVEESPEATVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSR >LPERR02G03120.2 pep chromosome:Lperr_V1.4:2:2033484:2036186:-1 gene:LPERR02G03120 transcript:LPERR02G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELEQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMSFGHEMLGEEINSAVSMHIMEDMVGGDMESHPSGEDKALVLYKPVDNTVHIGMGTLNPSIIISPDLIRGLKNQAFNQRNYHGLEDRSPGRSNSLALVPWTPPQIATISDWSACKSGSREFIEVPMESDETGVDSMDVEESPEATVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSR >LPERR02G03130.1 pep chromosome:Lperr_V1.4:2:2036542:2049922:1 gene:LPERR02G03130 transcript:LPERR02G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAQEKKSPALLTISLPQVPNPRRGLKFIGAFFPTSPPKTRATPKPSAAAAAAVVAVAVAGEASMAAATAAARTIVGTEVPIPGSDRIRWIDLTVPSTPSPSPEGDPDPFVLVPPRAASGFHVVSFGDSQSYLAWRFHEEHQNVLEVIDFCASKEFPSSGLRLAFQEALCPFSFLCACEGGRRDTPVYLLYVLTVSGVALLCHLRSPNSYTSGSILPQDDVVEFNLQTQAQSAKVTAVTAKSGCLVIGRQDGSICCYSLGKTKAVGPVQDIVSATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVHSNDIEGQPSRIWVGDPDDDQELIFLAVLHQGTVGNLIDLKISIDKLCILKEVGSMLYEIVQYDFETEKMCSFVLQEDSISDQLFQSSENTLDDLVWTVDSEQTFSFISSMFLRRLLQPGVNHCSTLRETLLEHKRFLSDSDFQSLTTSGLRKEILSTIEQETASTTAYHWKKFSAQYLHNWCRNNRPYGLLLDTSGEFFGLIRKGSFSLFRSLEGMEQFIYGSSDDLCNLNGLGLDNISESEILIEVLRCMDHISHLLGRSAAAIYHESLINSVISSDEIVSQILKILDTGFSPQSPSALITLLGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLTTNVTIQEYELKRVCNVNTVLLVQATSQVARTMFESTFDLFLFLCYLVSIGGQVSLSQNDVARIKLKLFPMVQDILGQWIVLHFIGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGRSDFTLACLLDFPKSADGDVLSPWFPNPSELVNLVRRFTGSIMSGNSAENVDSFLSSTINLAAVLVRHGQYEAAQSLLGILETHMNYEKASQADWDTDLACSSCLHLNGFCLLMLAHDEANIILKESKVREAIRCFFRAASGQEAPKALQKFSVETGFQISGECKSFSSWRLHYYEWAMQIFEQHSMSEGACQFALAALEQIDSTVDLDNGSEAEDVPETVTMIKGRLWANVFKYKLDLKNFREAYCAIVSNPDDDSKYVCLRRFIIVLCELRETKVLCNGEIPFTGLVEKVEQELFWKAERSDIFSTPNLYKVLYSFEAYRNNWRKAAAYMYRESNDGGARQLSHALQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPTAFGTDSELSRLRFCVDIEILEKEYTLTQALYMLSTVNSRFNFSENQSIEALTDILINENMYDLVFTIVLKFWKASGMKRELERVFAAIAQQCCPHRVGSSGNTLTSNQHLLLLPSSEDDARDGNENSIAMAHQSQGSCHWETLELYLEKYKDLHPRLPIIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPAALFRLYINYGRHTEATNLLIEYLESFASSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGALMSHLQQVVVDSDDVLSSVGGQGMGSQRN >LPERR02G03140.1 pep chromosome:Lperr_V1.4:2:2050442:2054442:1 gene:LPERR02G03140 transcript:LPERR02G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITCCPVAVMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYETEQRPHKVSFYVDKKNAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLGSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVEFPYVKEDPIKPADGVVKFYVLYEKWRRAEVPKSDSVIQYFKNITHANGVIIHPAGLECSLHSSVDALGSCYGDKQGKKYRAWVDRLIVSQSGPDSCLVRFDLWESEGDARLCCLTSLALNVKPESPEGFVITHIHKTWLKGYSSADEQSSKL >LPERR02G03150.1 pep chromosome:Lperr_V1.4:2:2055379:2062392:1 gene:LPERR02G03150 transcript:LPERR02G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDAVSGVVSRKVLPACGGLCYLCPALRARSRQPVKRYKKILADIFPATQEEEPNERRIGKLCEYVARNPHRVPKITTYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKEQMSLLASSVLNIICTLLDQTRRDDMRIIGCETLFDFTISQVDGTYQFNLEELVPRICELAQIVKVEEKDNMLRASALQALSAMIWFMGEFSHISSEFDNVIQVVLESYNPQKMQNDNTDNEAPGSGWVEQVLKTEGRSYPSTFTISKIPSWKSIVSDKGEIHLPVEDAKYPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQSTLAFCILLDMQMFMENQGQNINLMISILVKHLEHKSLLKQPEMQLSVVEVIASLAEQSRAQASAATISAISDLVRHMKKALHVTLGSRDLEVIKWNDKLRQAVDECIVQLSKKVGDAGPVLDMMSVMLENISRTSLVAIATTSAVYRTAQIIASIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVLVPSSVSPFPKSTSPNHDIQRTLSRAVSVFSSSAALFDKLRRDKDSFREKTQDENVNRTLYTIDDGNSPLKDPPRSQSRRHSFKVPSLSMKKAPSFSLRQNSLPNSCSETESTLLRLSNRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMRHSLEGADSLPPSRRRSLFTLATSMIVFSSRAFNVAPLVPICKSMLNERTVDPFLHLVHDTKLQAVMDCSEETYGSPEDDDNALNSLSAVELTESHSREFMASTIMNSIINLPDSELQNIRSQLLSDFSPDDMCPTSAPFFELPVGNPGSDEDSCNQEAALINMANDNDTFGEVYESTSATTASAPTANLLGIDELLETVVIDTSAQNGRCSVSTAPDIPFKEMTNQCEVLSIEKQHKMSVLLGFKHKKHAPALTISRVNNTEAAHISSDDQLPENTNPFLQQSLDGYPKSDGGSEPQVAADDEFQQQLLKLPTSSPYDTFLKAAGC >LPERR02G03160.1 pep chromosome:Lperr_V1.4:2:2065265:2065878:-1 gene:LPERR02G03160 transcript:LPERR02G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVSIDGCVSCRRAYKAIEERRDQSM >LPERR02G03170.1 pep chromosome:Lperr_V1.4:2:2065468:2065878:1 gene:LPERR02G03170 transcript:LPERR02G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRAPRRKSSSSAAAAAAAAEREALLVGGEEAAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRDLMERAAEEFGFAQAAGIRLPCREEDFQATVAALDAAAASADRRRRRPAGKRRAGAGAGGVVNAMVKARSL >LPERR02G03180.1 pep chromosome:Lperr_V1.4:2:2067843:2068223:-1 gene:LPERR02G03180 transcript:LPERR02G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGGRASSNKIRDIVRLHQLLKKWKRLALAPKASKNGATAVPKGFFAVCVGEEMRRFVIPTEYLGHWAFEQLLRKAEEEFGFQHKGALRIPCDVEVFEGILRLVGRKEEKAMYYCSSEHEILCR >LPERR02G03190.1 pep chromosome:Lperr_V1.4:2:2071856:2074576:1 gene:LPERR02G03190 transcript:LPERR02G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNDVVTIDDTGRRAVLYDPATRVAGDEIPQILGHLARRRRRSLRHEQDGDFSFEALLRYGGADDDDRRRRCGIRDNSDDCYWRPLPPPPYVHDAGGGYRRSAFDYTRAYAAAAGDGDPPPPRIVMSSPNHGTYSFDTGSATPATGRSRSPAAASSSRIVGGAWNISSSSSPPPPAHRGCKGFAVPEPETTASPPPPRVAARTAASCVVDLGVKSKVYSSHFVSLCVAKLYKVSRRGTCSEYCCEFERDERNFAILTGVEVSRGGGKLRIVKHKSCRYSFGGRYKPFSVTRLRCRGAMRRKDDKIVGVDQSSRRAILYDPAANTVRALPSMVATKFWTQSISVGDDLYLIETVPWPDEGDQGGERPPSRSFEGLIHHRERRPHNGGRPEDECYWPPLPPPPCVHAAGYRGDGKITGYAVLNDGSHILMSTQSYGTYSFDTASAAWSKAGDWKLPFRGHAEYVPDHGLWFGLSSSKPPAPAAHFVGCEGFLVPPETVLPYTSYVVHLGSGKLCVALLFAVLHQQTQSSYDFDCAKRRNFAVLTGVEVARDQGGKLHTVKHKSYRYSFGEKYIPTYLL >LPERR02G03200.1 pep chromosome:Lperr_V1.4:2:2085821:2087212:-1 gene:LPERR02G03200 transcript:LPERR02G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALVAAAARRWFRAPPLRSNTTASLAANPPPQPRLPAPATETMVWWSFPSWASRSTAARRLAAGWRWWRQWPDSTQLRGLEAPGLGHAVTVAGDASGHAGAMATAVVLAKLRSGGGVLLLHHDDDDGGSLPFPWASAQRGAVNRSAWRLCAFTVVAGSYVSSRGDGPEEWQRLSVD >LPERR02G03210.1 pep chromosome:Lperr_V1.4:2:2086867:2087297:1 gene:LPERR02G03210 transcript:LPERR02G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQAAKFPVRWPRASLPKLRPGPGSGQEEVRPKKKILTRANSCEANPLLPMEGETGAEDATARNRGIPVAGAGKRGCGGGFAARDAVVLLRSGGARNHRRAAAATRALTIAASPASGMIGAERLPWMRFCNLHLGII >LPERR02G03220.1 pep chromosome:Lperr_V1.4:2:2087465:2087893:-1 gene:LPERR02G03220 transcript:LPERR02G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGDDALQTAATVVVLPAVVLGGAGDVGGGDGVAGVGGEAAGGGVDGDGFVLGGVVLGGRDGGVVAGEAVGVGAAAAVEVGVEGDGGDGHGRAGRQGLLHLLPLLLRARELRVDGVHGVGEAATARGRGG >LPERR02G03230.1 pep chromosome:Lperr_V1.4:2:2087507:2092955:1 gene:LPERR02G03230 transcript:LPERR02G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDESVAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLQRIISPERHDPFAAGEATPDPSVRTYADAMRENDLQRQKEQLLRDIAQKKKEEEEKAKEKKAAAPEQPVAAAAATTKRRNRWDQSQDGDAAAAAGSKKAKSSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSVTPGGTGAATPAGYTPGPTPFGGDNLATPTPSQIARGPMTPEQYQLLRWERDIEERNRPLTDEELDTMFPPEGYKILDPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALDDEGDNIYSRPELSMLVASEGGQCLGYFPLGILAWFAEEFP >LPERR02G03230.2 pep chromosome:Lperr_V1.4:2:2087507:2092955:1 gene:LPERR02G03230 transcript:LPERR02G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDESVAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLQRIISPERHDPFAAGEATPDPSVRTYADAMRENDLQRQKEQLLRDIAQKKKEEEEKAKEKKAAAPEQPVAAAAATTKRRNRWDQSQDGDAAAAAGSKKAKSSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSVTPGGTGAATPAGYTPGPTPFGGDNLATPTPSQIARGPMTPEQYQLLRWERDIEERNRPLTDEELDTMFPPEGYKILDPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALDDEGDNIYSRPELSMFGILAWFAEEFP >LPERR02G03240.1 pep chromosome:Lperr_V1.4:2:2093212:2098020:1 gene:LPERR02G03240 transcript:LPERR02G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVSGERLVVFLFVARVALAAPAVRLAAPLAVLAAAALAVDGSASAAVASSSSQLRRFRTRPGASSGILLGATTLPSVMLSRLIQLSRVLKADPNGHEEYAYVEMQYWAVSISCLSMLAFFVWHLRQSPNNGISNASKYGLVLIILYPLAYLFFFLLKTDGGLPAMSNLAYLLCHGVAAVILIQHILEKFPSCSSFGEATLVSSGLVLYCGDMLAHTLSKVMEFSMSSEAFIRTPGTQSKIGTIIQGLLLGLFLLPLLYKSSLQVLAYCRKLERQRTQTVEEYTQKRIDCVPSWTRLVQGFEVHPFVWVFNYIFTDSRARLVLCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMIRVWEIYPLGHIVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWLSLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >LPERR02G03240.2 pep chromosome:Lperr_V1.4:2:2093212:2098020:1 gene:LPERR02G03240 transcript:LPERR02G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVSGERLVVFLFVARVALAAPAVRLAAPLAVLAAAALAVDGSASAAVASSSSQLRRFRTRPGASSGILLGATTLPSVMLSRLIQLSRVLKADPNGHEEYAYVEMQYWAVSISCLSMLAFFVWHLRQSPNNGISNASKYGLVLIILYPLAYLFFFLLKTDGGTCIFYLHAKMITPLLFKTWWELMRRKELQTNLGFVLIGLPAMSNLAYLLCHGVAAVILIQHILEKFPSCSSFGEATLVSSGLVLYCGDMLAHTLSKVMEFSMSSEAFIRTPGTQSKIGTIIQGLLLGLFLLPLLYKSSLQVLAYCRKLERQRTQTVEEYTQKRIDCVPSWTRLVQGFEVHPFVWVFNYIFTDSRARLVLCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMIRVWEIYPLGHIVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWLSLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >LPERR02G03240.3 pep chromosome:Lperr_V1.4:2:2093212:2097246:1 gene:LPERR02G03240 transcript:LPERR02G03240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVSGERLVVFLFVARVALAAPAVRLAAPLAVLAAAALAVDGSASAAVASSSSQLRRFRTRPGASSGILLGATTLPSVMLSRLIQLSRVLKADPNGHEEYAYVEMQYWAVSISCLSMLAFFVWHLRQSPNNGISNASKYGLVLIILYPLAYLFFFLLKTDGGLPAMSNLAYLLCHGVAAVILIQHILEKFPSCSSFGEATLVSSGLVLYCGDMLAHTLSKVMEFSMSSEAFIRTPGTQSKIGTIIQGLLLGLFLLPLLYKSSLQVLAYCRKLERQRTQTVEEYTQKRIDCVPSWTRLVQGFEVHPFVWVFNYIFTDSRARLVLCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMIRVWEIYPLGHIVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMAYTAQLDNAFIPLVFYSLLCL >LPERR02G03250.1 pep chromosome:Lperr_V1.4:2:2098456:2101961:1 gene:LPERR02G03250 transcript:LPERR02G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVEHQFARTKGFLLAACMLLLAHLDVCLICYAGNLSAQTTLRCLCWMKLMRCSPVIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLL >LPERR02G03250.2 pep chromosome:Lperr_V1.4:2:2098456:2101684:1 gene:LPERR02G03250 transcript:LPERR02G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKQYDSKMQELLHQGDNEEFFTSYDEAFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLL >LPERR02G03250.3 pep chromosome:Lperr_V1.4:2:2099721:2101961:1 gene:LPERR02G03250 transcript:LPERR02G03250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVEHQFARTKGFLLAACMLLLAHLDVCLICYAGNLSAQTTLRCLCWMKLMRCSPVIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLL >LPERR02G03260.1 pep chromosome:Lperr_V1.4:2:2105020:2112726:1 gene:LPERR02G03260 transcript:LPERR02G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENPNGAGPAAPSDPAQPSQPQPPAKSKGKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSRYLPAPDDMLALDIAYTIYMKFEDHTNALRIALLLDNKSMQYVKQVYTGTDDLSKKKQFSFMIARHGLPMEIDDDIATDDNDREILQELVNNTKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYVNKDDTNIRIGAILGLGIAYAGSQKEELKTYLSTVLGDNQSTLEILIFSAISLGLVFVGSCNEEIAQSIILALMERSETDLAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLSSVLEGFVILKKNPEYNE >LPERR02G03270.1 pep chromosome:Lperr_V1.4:2:2117757:2126126:1 gene:LPERR02G03270 transcript:LPERR02G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEDPDWLRAFQAPTTSTVMLSSGSDDSPENSPTRTTPAAEEQKGGSKASSEHAGDGVAAAAQNKGKKATVTRRKIPSGQEGKKPKVAGPKATPDQLDDALEQLEDGVAEEDVHDKPTEQSVSQRLPLIIPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNNPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDEDKPKSKNKRKAEKPAGKGQKKAKVAGKAAKKGTRKTQTTKRTKKAKK >LPERR02G03280.1 pep chromosome:Lperr_V1.4:2:2126237:2132846:1 gene:LPERR02G03280 transcript:LPERR02G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPEKKPVCYTSRRSLQQKTESSSELISVSKRVTRQNTSLKPDSPPRRTTRSSANLAKCIKNKHHRSPLKQRRGSDAASGKSATGPIRRRHKQNRKNDDCDEVTRIERRARYLLLKIKQEQNLLDAYSGDGWNGHSREKIKPEKELHRAKRQIIKYKIAIRDIINQLDSYTSSGSKDDSVMPPDGCHESVNPEHTICSRCNSHESFPDNNIILCEGSCKLACHQKCLEHPSDKILSTTRYGKLCKYCFSKTRILEAVNAHLGTSFTVKCPSNDIFKEATEHLDSDEGLGEDWLSEYSGDEDYDPDENEASSSNGEENKSADSNCSGSPLYSPNDDIPDFISADFNEAEGFCHDSSNLGIDFGEDGLAEVLTYQRPRRDVDYTQLNEQMFGEPIGNEEQSEDEDWGLNRRKKRRTGSTGVGTNSRGGVSDVVSNEKAQRQKRKLFRIPPEAVEVLRKAFAENELPARNVKENLSTELGISFEKIDKWFKNTRCAALRDRKAGQSSGSSKRSRTSIEKAENSTKVDQMDNSCFLPLSEIINVPTRLQKKSTSSPPRPQDNEACSSPTDKIKESTPPTIKPSMADSSQVMNNNISTGETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSSIDNNVAAENGLQNQVVLVPAAELKDKAS >LPERR02G03280.2 pep chromosome:Lperr_V1.4:2:2126237:2132846:1 gene:LPERR02G03280 transcript:LPERR02G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPEKKPVCYTSRRSLQQKTESSSELISVSKRVTRQNTSLKPDSPPRRTTRSSANLAKCIKNKHHRSPLKQRRGSDAASGKSATGPIRRRHKQNRKNDDCDEVTRIERRARYLLLKIKQEQNLLDAYSGDGWNGHSREKIKPEKELHRAKRQIIKYKIAIRDIINQLDSYTSSGSKDDSVMPPDGCHESVNPEHTICSRCNSHESFPDNNIILCEGSCKLACHQKCLEHPSDKILSTTRYGKLCKYCFSKTRILEAVNAHLGTSFTVKCPSNDIFKEATEHLDSDEGLGEDWLSEYSGDEDYDPDENEASSSNGEENKSADSNCSGSPLYSPNDDIPDFISADFNEAEGFCHDSSNLGIDFGEDGLAEVLTYQRPRRDVDYTQLNEQMFGEPIGNEEQSEDEDWGLNRRKKRRTGSTGVGTNSRGGVSDVVSNEKAQRQKRKLFRIPPEAVEIDKWFKNTRCAALRDRKAGQSSGSSKRSRTSIEKAENSTKVDQMDNSCFLPLSEIINVPTRLQKKSTSSPPRPQDNEACSSPTDKIKESTPPTIKPSMADSSQVMNNNISTGETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSSIDNNVAAENGLQNQVVLVPAAELKDKAS >LPERR02G03280.3 pep chromosome:Lperr_V1.4:2:2126368:2132846:1 gene:LPERR02G03280 transcript:LPERR02G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPEKKPVCYTSRRSLQQKTESSSELISVSKRVTRQNTSLKPDSPPRRTTRSSANLAKCIKNKHHRSPLKQRRGSDAASGKSATGPIRRRHKQNRKNDDCDEVTRIERRARYLLLKIKQEQNLLDAYSGDGWNGHSREKIKPEKELHRAKRQIIKYKIAIRDIINQLDSYTSSGSKDDSVMPPDGCHESVNPEHTICSRCNSHESFPDNNIILCEGSCKLACHQKCLEHPSDKILSTTRYGKLCKYCFSKTRILEAVNAHLGTSFTVKCPSNDIFKEATEHLDSDEGLGEDWLSEYSGDEDYDPDENEASSSNGEENKSADSNCSGSPLYSPNDDIPDFISADFNEAEGFCHDSSNLGIDFGEDGLAEVLTYQRPRRDVDYTQLNEQMFGEPIGNEEQSEDEDWGLNRRKKRRTGSTGVGTNSRGGVSDVVSNEKAQRQKRKLFRIPPEAVEVLRKAFAENELPARNVKENLSTELGISFEKAGQSSGSSKRSRTSIEKAENSTKVDQMDNSCFLPLSEIINVPTRLQKKSTSSPPRPQDNEACSSPTDKIKESTPPTIKPSMADSSQVMNNNISTGETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSSIDNNVAAENGLQNQVVLVPAAELKDKAS >LPERR02G03290.1 pep chromosome:Lperr_V1.4:2:2140621:2143489:1 gene:LPERR02G03290 transcript:LPERR02G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPPLRQIHSSPSSTLSEATSNTTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTTSLPPPATRHHVFHAAGDLLPPFPVLSDAAFLLRDASTPATPPSQKCSSPAPVSSAFREFRDAAAPESPASTTDEPGEIDFDDDCSILDIDDDDDGAAEGIDGIMGSLTVETNAAARSASDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSCHGGAARPNLNRALKRRDDDGAWWMWPAVPVKDITVSPSPPPPPPPTTTPSNTSTAMPAPAPEKKKSKKKKVVKVEKVMARVDKEKEEEDSPNSKCKEELGDGTVDADDGDMTTAKAPKTGLGLKLDADDVLKAWSAKGSMFADGGAPESPKSAAEVRAKLAEIDLFPENGSGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQVLEEEN >LPERR02G03290.2 pep chromosome:Lperr_V1.4:2:2140621:2143925:1 gene:LPERR02G03290 transcript:LPERR02G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPPLRQIHSSPSSTLSEATSNTTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTTSLPPPATRHHVFHAAGDLLPPFPVLSDAAFLLRDASTPATPPSQKCSSPAPVSSAFREFRDAAAPESPASTTDEPGEIDFDDDCSILDIDDDDDGAAEGIDGIMGSLTVETNAAARSASDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSCHGGAARPNLNRALKRRDDDGAWWMWPAVPVKDITVSPSPPPPPPPTTTPSNTSTAMPAPAPEKKKSKKKKVVKVEKVMARVDKEKEEEDSPNSKCKEELGDGTVDADDGDMTTAKAPKTGLGLKLDADDVLKAWSAKGSMFADGGAPESPKSAAEVRAKLAEIDLFPENGSGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >LPERR02G03300.1 pep chromosome:Lperr_V1.4:2:2145854:2148144:-1 gene:LPERR02G03300 transcript:LPERR02G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDIEFIDNPKARRYIKSLPYTPGVSLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >LPERR02G03310.1 pep chromosome:Lperr_V1.4:2:2151448:2161348:1 gene:LPERR02G03310 transcript:LPERR02G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQQFRKKKDKKGPGKKAEAKAGAEAAEEGSSKSAADAEEVVSEPKSPVGLKLLAGVGGGSRYTPFEEASRLPVEQCNGLGPGTTAESGTADNAEALHVQENGDGCNAQDVGVSEHGSLENESPGPGDSEGPIATGVVCSGDLIEGAQPAEVNVDEKLPDFSLKENIELCTSSQGDIADDNGDNQLGEHQQVEINPVERPVTFDSKETAEIIVHPQDIGSDNTNEGEGDKEMEVEVSEKPPGAVAEHEEELNVRASNEASESNAREDSDKEADALSKEAVQEDQGAANDIEEVVVADALSLQAKSIGAVTIPLHEKIDQALLVSDISQGMVPYHSEDIQMHLYLAMLSRDFLQLQLDEDADLNPDVTQRSSDEVINLKVLLEETEKSKLAVCEELKQCRHELLDTNTVKEELELTITSLKDGISTSNDKCERLEFELHSSKENTQQVLNELAGCRATLEALQKENLELTANLAFEKEARKEVEEQREHLSSENKKILSNLSDLELSLASLKEEMNEGSNKCANLECELRSSKENMELTLVELASCRASLETLQKDNLELSKNASFEKEANRKLEEDNLCLSNEKQSLLLDMSEIKEKLHISYAKHKQLESHTRDMETYFGQLTEQLIEEGLYTSLSVDVYQTVIKELNAKCTNLLDQAQNAHQEVEPCLDSSEIIVGKLERETTSPEFVGHDDNQRSLHLVIENESCNSTALQSLKSHLKMAKGDVHDLQKLVERISSRSDGRILVSKLIQSFESKGNQEDIGMSEGEHDNLQKLTHEMICRLIEKLNAMTSDLAKTEEYVAELCNRIEFSVKSMVQHEAEREQTAVLVAKMDGFAEKLSNYKDTIDQLLSQVANVHQGADNYAGRLIDQAELLQNDVTERISTLEKERTSLTAVLIEITNKLSALSKNVLPDDLGDSEGLGFIALSSVECAAKLVQNLQEKLEDAQSYNAKLNASLVELKTSHSDVQERSEHAHGIVKKMYSSLQELLFNSLGNPNESGVEYNVEESIEALFGQYGDIVDHLMSLLHERQCLLSKNTDLESRLLSKCEETEALNSSLTKSMNDISLLNEELKTVSVSRAAAQDELHGRCLAIAQKMVHRSASHPSTVLLSMAHSEMETSSKENHILTTLLPCIEEGVASYIEEFENMAEEIHLSKICLQESNITDQSSSEKWSVPLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQAEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGEIEKLSHELQSKDALMVELEAKIKSYADADRIEALESELSYVRNSATALRDSFLQKDSVLQRIEEVLEDLDLPEHFHFRDIVEKIELLSKMAVGTSLTVSDGNKQSSVDGNSESGVAIDSTTDEQNQNMNSGLEEIKVKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEGVLGKIIIPPQFRMLEPEDRIAWLGNRLLEVEHERDALQLKIEHLEDSSEMLISDLEESHKRISELSAEIAAIKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKHYHDMEMEINKLLDLVRDALQDDTEIPSDAGAGSAVLCLGGLLRKILDGYRTRLTESTVGSPEMENHLETKLSKDASTSETATEDKEIALYTLSDELDHARNSLALVEQHRDEAMEKTQSLTTELETLRAQINQLQGDGAEQMNRNQSLMLELESLTKQRDDLQEKLTQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTIEEMNTMIEKLKDERKQHIESLDSERSSLMGRLAENEKSLHDATQYLSRLLNSLSSVDIGREFDTDPIAKVENISKFCIDLQNELNKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNNVVESARADAVRHLEHIMHMQSQTRRKQIDHLIELNSTSSQLREIFSELLHHLLNTFSKDVHVINYMENFVKCSDRWMDSMSMVEIPITSNHLLSNSISSKKAYIPNVPLEITLDNADDTQILHHLATACHDVADCVNYCNDLKRRIHEHGSSVDQKSTDLVDVMSNLQNKFTSQNNELESLRKNVVELQSDIKQRDEEILSMRRNMSLLYEACTSSIAEIEGMTGIESGDQSCSIVQNHLSADDHIKSVVNQLVAAIKTTQNNNEGNTKELKATVLELQQELQEKHIQISTISAELASQVREAESSAKQLSVELENARMEVHNLEKQSEMFLNQKKDLETQVSKLKDMEAVAHEQHGRIQELSDELSRKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKTLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINNFIKWLETVLLQFGVHCESTNDYECTRVPVYMDTLDKKIGSLISESADLKVTLQSKDSLLQVERTKMEELLRKSEALESSLSQKDSQIGLLRRDRASGQPSRFINLPGTSEIEQVNDKVSPAAVVTQIRGARKVNTDQVAIDVEVEKEKLLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWPYASQKYS >LPERR02G03310.2 pep chromosome:Lperr_V1.4:2:2151448:2160599:1 gene:LPERR02G03310 transcript:LPERR02G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQQFRKKKDKKGPGKKAEAKAGAEAAEEGSSKSAADAEEVVSEPKSPVGLKLLAGVGGGSRYTPFEEASRLPVEQCNGLGPGTTAESGTADNAEALHVQENGDGCNAQDVGVSEHGSLENESPGPGDSEGPIATGVVCSGDLIEGAQPAEVNVDEKLPDFSLKENIELCTSSQGDIADDNGDNQLGEHQQVEINPVERPVTFDSKETAEIIVHPQDIGSDNTNEGEGDKEMEVEVSEKPPGAVAEHEEELNVRASNEASESNAREDSDKEADALSKEAVQEDQGAANDIEEVVVADALSLQAKSIGAVTIPLHEKIDQALLVSDISQGMVPYHSEDIQMHLYLAMLSRDFLQLQLDEDADLNPDVTQRSSDEVINLKVLLEETEKSKLAVCEELKQCRHELLDTNTVKEELELTITSLKDGISTSNDKCERLEFELHSSKENTQQVLNELAGCRATLEALQKENLELTANLAFEKEARKEVEEQREHLSSENKKILSNLSDLELSLASLKEEMNEGSNKCANLECELRSSKENMELTLVELASCRASLETLQKDNLELSKNASFEKEANRKLEEDNLCLSNEKQSLLLDMSEIKEKLHISYAKHKQLESHTRDMETYFGQLTEQLIEEGLYTSLSVDVYQTVIKELNAKCTNLLDQAQNAHQEVEPCLDSSEIIVGKLERETTSPEFVGHDDNQRSLHLVIENESCNSTALQSLKSHLKMAKGDVHDLQKLVERISSRSDGRILVSKLIQSFESKGNQEDIGMSEGEHDNLQKLTHEMICRLIEKLNAMTSDLAKTEEYVAELCNRIEFSVKSMVQHEAEREQTAVLVAKMDGFAEKLSNYKDTIDQLLSQVANVHQGADNYAGRLIDQAELLQNDVTERISTLEKERTSLTAVLIEITNKLSALSKNVLPDDLGDSEGLGFIALSSVECAAKLVQNLQEKLEDAQSYNAKLNASLVELKTSHSDVQERSEHAHGIVKKMYSSLQELLFNSLGNPNESGVEYNVEESIEALFGQYGDIVDHLMSLLHERQCLLSKNTDLESRLLSKCEETEALNSSLTKSMNDISLLNEELKTVSVSRAAAQDELHGRCLAIAQKMVHRSASHPSTVLLSMAHSEMETSSKENHILTTLLPCIEEGVASYIEEFENMAEEIHLSKICLQESNITDQSSSEKWSVPLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQAEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGEIEKLSHELQSKDALMVELEAKIKSYADADRIEALESELSYVRNSATALRDSFLQKDSVLQRIEEVLEDLDLPEHFHFRDIVEKIELLSKMAVGTSLTVSDGNKQSSVDGNSESGVAIDSTTDEQNQNMNSGLEEIKVKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEGVLGKIIIPPQFRMLEPEDRIAWLGNRLLEVEHERDALQLKIEHLEDSSEMLISDLEESHKRISELSAEIAAIKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKHYHDMEMEINKLLDLVRDALQDDTEIPSDAGAGSAVLCLGGLLRKILDGYRTRLTESTVGSPEMENHLETKLSKDASTSETATEDKEIALYTLSDELDHARNSLALVEQHRDEAMEKTQSLTTELETLRAQINQLQGDGAEQMNRNQSLMLELESLTKQRDDLQEKLTQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTIEEMNTMIEKLKDERKQHIESLDSERSSLMGRLAENEKSLHDATQYLSRLLNSLSSVDIGREFDTDPIAKVENISKFCIDLQNELNKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNNVVESARADAVRHLEHIMHMQSQTRRKQIDHLIELNSTSSQLREIFSELLHHLLNTFSKDVHVINYMENFVKCSDRWMDSMSMVEIPITSNHLLSNSISSKKAYIPNVPLEITLDNADDTQILHHLATACHDVADCVNYCNDLKRRIHEHGSSVDQKSTDLVDVMSNLQNKFTSQNNELESLRKNVVELQSDIKQRDEEILSMRRNMSLLYEACTSSIAEIEGMTGIESGDQSCSIVQNHLSADDHIKSVVNQLVAAIKTTQNNNEGNTKELKATVLELQQELQEKHIQISTISAELASQVREAESSAKQLSVELENARMEVHNLEKQSEMFLNQKKDLETQVSKLKDMEAVAHEQHGRIQELSDELSRKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKTLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINNFIKWLETVLLQFGVHCESTNDYECTRVPVYMDTLDKKIGSLISESADLKVTLQSKDSLLQVERTKMEELLRKSEALESSLSQKDSQIGLLRRDRASGQPSRFINLPGTSEIEQVNDKVSPAAVVTQIRGARKVNTDQVAIDVEVEKEKLLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWPYASQKYS >LPERR02G03320.1 pep chromosome:Lperr_V1.4:2:2161695:2165028:1 gene:LPERR02G03320 transcript:LPERR02G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVRSSAVTSPPAIAGAASPPYPSLSRRRIPPDSAAFPKPRMTIPFAAGLQLQARAAPRRRRARFVAGAIGGRGEDESPVSSGDADGYAQGLPTSESHDVQTSKHGETGGNSGSNSGGSRPGLFRTPISGGVHTATAVHDLPPPARAVRNLMEQARFAHLCTVMSRMHHRRAGYPFGSLVDFVPDPMGYPIFSLSPLAIHTRNLLEDPRCTIVVQVPGWSALSNARVTIFGDVVPLPADMQEWAHQQYVSKHQQWASHQWGNFYYYKMQTISDIYFIGGFGTVAWVDVKEYEALKPDKIATDGGEQSLKELNAMYSKPLKELLSTDGEVDDAALISIDSKGIDIRVRQGAQFNIQRIAFELDHSVETLEEAKEAIRRILGKSRWRAKF >LPERR02G03330.1 pep chromosome:Lperr_V1.4:2:2166700:2187623:1 gene:LPERR02G03330 transcript:LPERR02G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAAPKFPPLLPVDKMDDLRAAMAAAAGGDEELESLLRNFHRFSQGYKDALMEAQGLRVNYTSESERRQALESHIADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKAKTSLLSMEEEYKREIEQLKLSSEMNCNALENKLNCALVQQATNEAVIKQLNLELEAHKAHIDMLSSRLEEVTADVHQHYKHEVQDLKDVIIVEQEEKNDMHRKLQNAENELRILKTKQAEQQRDSISVQHVETLKQKVMKLRKENETATTAAAAGGRLLRNFHCFSQGYKDALMEAQVLRVSYISESDKRQALESHMKFHTESRNLKEELEKANTRLLSMEEKNKREIEQLKLGSEMNSNALENKLSCALVQQAINEAVINQLNMELEAHKAHIDMLSSRLEEDLKDVIIVEQEEKNDMHRKLDNAENECKSNLTALLTKSILKMKLAEQQRDSVSIQHVETLKQKVMKLRKENESLKRRLASSELDCS >LPERR02G03330.2 pep chromosome:Lperr_V1.4:2:2166700:2178769:1 gene:LPERR02G03330 transcript:LPERR02G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03330.3 pep chromosome:Lperr_V1.4:2:2166700:2178769:1 gene:LPERR02G03330 transcript:LPERR02G03330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03330.4 pep chromosome:Lperr_V1.4:2:2166700:2178919:1 gene:LPERR02G03330 transcript:LPERR02G03330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03330.5 pep chromosome:Lperr_V1.4:2:2166700:2187623:1 gene:LPERR02G03330 transcript:LPERR02G03330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03330.6 pep chromosome:Lperr_V1.4:2:2166700:2187623:1 gene:LPERR02G03330 transcript:LPERR02G03330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03330.7 pep chromosome:Lperr_V1.4:2:2166700:2178918:1 gene:LPERR02G03330 transcript:LPERR02G03330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPERPPPPPAAEEAAAAAEAEAAAPPAAAEAEAEVEAMEPYEQQHQQEEEYEEGEEEGEEEEYEGAEAVPMDADASAMAAAAGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSSVTSPEGLPNWGAVEGRPPSAAECHHCGISATSTPMMRRGPDGPRTLCNACGLMWANKGMLREVTKSSPVPLQVVPAGTNDVQNGIVEAPGLDQQNSASKAVEEAIPAANGHESQSGVA >LPERR02G03340.1 pep chromosome:Lperr_V1.4:2:2183977:2185100:-1 gene:LPERR02G03340 transcript:LPERR02G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWTNYWNTHPCPAQIDGKKSPTIKEEKGFSFRGRLARRPTHARRFLFPSVSLPVSRRNAAATHGARPTQVALLQGPRRRPSPGRPAAVIAAGPLQLSSVHPQTPIEVREF >LPERR02G03350.1 pep chromosome:Lperr_V1.4:2:2185912:2192216:-1 gene:LPERR02G03350 transcript:LPERR02G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCNGILLFYERIANPATRQWMRIPTMPPSPWMDESAAYFPWGFCIPFCNWGITSRFFMSHWLPMPFSMAAEANWVMMNHSRPRRARRMSSHRRDGGGRRGRLFATEEGISLIRISFPGHSNFMLSIQREQSIVNLSKDKYQMIKSPVRNKIVEDNGAFHLGKSEKGVYFALLWNDNNLPQFQVWLLNESLSCGGRMEWSKIRAPEAEMQQ >LPERR02G03360.1 pep chromosome:Lperr_V1.4:2:2194074:2195845:1 gene:LPERR02G03360 transcript:LPERR02G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALPDDLLANILSRLPPCSLAVSRCVRKNWCSLIDGRRLLRADLLSLRLDAFFFRSQLGLVTHPYFFSPPLETRRISGRLDFIDGTNYLEYLLIGDHCNGLLLFDDLVANPATRQLVHIPESPLPPLSPWWTHLRMDYCLVYDPMAVSPHHFEIFCVPLYPDLDSNSDDGRMLSGLLPLPSTCTTLVFSSRRWRWEKRSFVREGGGDQHAGETTADLRFHPGPFQRPAVYSKGAIYVHCKNNSLMRITLSNDKYQMIESPVSNDNVWLLNGSSSCGGPIEWVLKTNANLEAVMDNFPPNCDCDKSFSTPWIVFNYVTKEARQKAHNAEELEWDFENGIILETNGEAKENYHNIIFFLGFHPYKEIAFFWVPHSRAVSYHLNTSKVQDRVGFLRTRN >LPERR02G03370.1 pep chromosome:Lperr_V1.4:2:2200731:2206186:1 gene:LPERR02G03370 transcript:LPERR02G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFGSSGLEIRRGRGGRRGNDDDSRRAPDYLDSGYDASYNVQGNTQRKGMMTFKQFAKELEDDVTPGEAENRLKDMYHPTNLPSVIERRNEFCKAAAKELILDLRTGILDLGPGMTASAVSKSRNDNDEIPVDDEDFHYKRRHHRGPLKEIESISVAPKAHPISSHYRRILIDIDQTLALVQKLDEEKGIVGNILSTADHRKPDGDKSHAGSAGPIVIVRALSTVKGLEGVELLDTLLIYLWRIHGVDYYGMSESKNAKGLRHVRADNKRPNTSKSSAADWEKMLDSFWQERLTNGKDPLVALTAKDKIAASADKILESYVTKVKDDKYGWTYGCGAKGCVKVFHAPDFVLKHINLKHPDLISKLTLRVQDDIYFQNYMNDPNAPGGTPVMQQPAPEQQDRIQQIPTPIEQTGSFGGPGSFGFEIPTPPVLIPVPGAGPLGPFVPAPPEVAMQMMQGQGSQHGMNNEMLAPTMPTYPSRPPDPRRLRSYKDIDAPAEDEVTPIDYRSL >LPERR02G03380.1 pep chromosome:Lperr_V1.4:2:2211445:2212860:1 gene:LPERR02G03380 transcript:LPERR02G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQNIGASNKGDAFYRYKMPKMITTIESRGNGIKTKIVNMVDIAKALARPASYITKYFSCVVGTRHTYDEKTGDSVVYGAHDTAKLSALLDNFIKKYVQCYGCGNPETEIVVTAISKSDKKVSLKCAACGYVSQVVDKKNDQFMKFVSKVPSEKGGKDNKAMRRAEKERQREGEAADEEQRRLKKESAKNKDAERQRKGEAADEEQRRPKKESTKSKGASSSSSSHSQDDDFVDDFAVAADNDDNDDDDDVQWQTDTSMEAAKQRMQAQLSTATAQMVMLSTDETTDKTKKGKQVLVHQDQHVPNLNLPNKATLYDKLVEEIKSKVVNKSAKPSHLKIILSSSTLPPQDTMNALLHALFDNIIFVGKGFAKEVMKRKDYLAAAVGKNDNDAQEILLLAIEAFCDKCSSSNAVREIPIVLKVLYDGDVLEEEAIGKWYEKAVAAGRRSEVVEKAKPFVEWLQSADSEDEED >LPERR02G03390.1 pep chromosome:Lperr_V1.4:2:2214843:2216789:-1 gene:LPERR02G03390 transcript:LPERR02G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAAAMGQEAAAEAEAESIAAAARSLXXXXXXXXXXXXXPHIDRAVGPAAAVLKVFDAVHGLEPPLLDAGAVSGGDLAGYLAVLARLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLSELAVTLEGLKKPSCDDLDGGLLAAALDMLEAEFRRLLADHSAPLPLAMQQMNTASAVSTAPPSLIPAATVHKLSLILDRLIANGRKDRCLSVYADVRGGVVSASLRALGLDYLRNPVDDAQALGPGVELWGRHLEFVVRCLLESERQLCNKVFGQRKDDASACFAEVAAHAGILDFLIFGRATADAKKDPIKLLRLLEVFDYLNKLRLDFNRLFGGKKACAEIQNQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPADGGVPRLVSFVVEYCNRILSEKYRPVLLQTLTIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNVKATKLGELLGDVWLQEHEQFKDYYLTVFMRDSWGVISPLLNREGLILFSKGRATARDLVKQRLKTFNATFDEMFRKQSAWVIPDKDLREKTCGLVVQAIVPSYRSYMQNYGPLVEQDVSASKYVKYTVDALEKMLSALFIPRPRRAGSFQIRGSSDKITSPMTGFYRSASTVK >LPERR02G03400.1 pep chromosome:Lperr_V1.4:2:2220809:2224814:1 gene:LPERR02G03400 transcript:LPERR02G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLASSSLFFFFLPAILVGFLVAVVFLPRRVSSCLWLDRTEEEMGFAGDCSPVSGGGLSENGRFSYGYASAPGKRASMEDFYETRIHGVDGETVGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDTKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVGMVKPIQDPEEAAKRLLQEAYQRGSADNITVVIVRFLEGTTTGDGPSKEATSNQNS >LPERR02G03410.1 pep chromosome:Lperr_V1.4:2:2233907:2235675:1 gene:LPERR02G03410 transcript:LPERR02G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSLTTTTTSSNMEADSAVEFSDTRLSLAIGCSPSAPPPPPAAEQRRAVVLFGELFPAPPRESSSPATAAAGGHTISVGKRDQRGETAATTRNNSSSKKARTAVRGGGGDDDDGVDRWSPSGGGGDDEGANRKKLRLSREQATLLEESFSAHNILSHAQKHELAGKLGLSARQVEVWFQNRRARTKLKQTEADCEILRRWCERLADENARLRHDLADLRSSSFYSSLSAAAVCSSCAGAAAGEDTRRQ >LPERR02G03420.1 pep chromosome:Lperr_V1.4:2:2241810:2244884:-1 gene:LPERR02G03420 transcript:LPERR02G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATSSAPTAAAGGANSDSDDNYEEYIPVAKRRAMEADRLRRLHLSKPAAPAPSAAATEPSSDLPPPPPPPPNAAAVAGGGSDAASAKPSLLVKATQLKRAAPEVTHTEQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLQTGWKPPLRLRRMPRAKADELRRKWHILVDGDDVPPPARDFRELRLPEPMLRKLREKGIIQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVAVQEETIMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIESFKNGRKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >LPERR02G03430.1 pep chromosome:Lperr_V1.4:2:2246864:2248422:-1 gene:LPERR02G03430 transcript:LPERR02G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMTPYFKPMVPSSSSPAAAAAAAPSSSPPPFISSTSRRHHQPAPHRRSLSISCMAIRTSDDDSPAPAPAAGRQQPSLSYYSSMMSPRKLMQRASRAFRRGKSSSRRRKSKDDGENSVSVNGKAAASESASLTFHDDEVNGGRTQDQQQQQIDDEIVPEKIIHEANPPPPPATIPLPPIDEDEDLKNTKPPTPTPEEKIPIAAVEQEDANNNDLKKDNVKIDGEVEADEKKNSAATEEEETVVMRIEGSKVKTAMEKRSSSEQPRRREVARSNDVIEEARSKLLERPQCSRVKALVGAFETVMDNAGAGAGAGKPKQLYRRHTHR >LPERR02G03440.1 pep chromosome:Lperr_V1.4:2:2249236:2252401:1 gene:LPERR02G03440 transcript:LPERR02G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGKARVVVVGGGIAGSLLAKTMEPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHKDYLTSATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRNERIKEFQRDHGKIESSESVLIIGGGPTGVELAGEIAVDYPQKKVTLVHRGSRLLEFIGNKASKKCLDWLTSKKVDVLFQQSVDLGSLSDTEKLYKTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDSKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAQKHALLVAKNLCVLIKGLPNSKLETYSTGYPLALVSLGRKEGLAQLPFITLSGFVPGMIKSRDLFVSKTRKQMGLNA >LPERR02G03450.1 pep chromosome:Lperr_V1.4:2:2253999:2256655:1 gene:LPERR02G03450 transcript:LPERR02G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMTDLKVALSYADKRSRETDDDALVLRHWLNKYKAVAYDMEDTLDELLANAMIWKNSQCTVKLFFSSINPLIVHITMSSKMRNIRVKLDKIAEDQKKSPLLLLPTPTRQDSNEKWSETFVGQTDEIEMVGRGREKKQILAKVLQKDGGQESSIIPVVGLGGMGKTTLAKAVYTDKETAMFNVKAWVHVSMEFKLNKIVSAIISHVEGSIPANDADLQYLKSQLDRILRDKLYLIVLLDDLWEDGRSKLENLMNMLQSGKKGIKIIVTTRSEKVVDTLSSICSPYFHTVDSIKLVGMSIDECWFIMKPRNMEDYQFPGLVDIGKEIAQQCSGVPLVAKALGYVMQKYCTKDEWLEIRNSNILDTTKDNDEGVLKGLLLSYYQMPPQLKLCFMYCSMFPKSHVIDHDYLIQQWIASGFIQDTNGQPLQKVAAEYVNELLGMSFLSIFTTPTHATLFNNLKLTNLVLSWQPVVEHANETDHHKTVLEMLMPPRSLHHLSIHGYYEIGFPEWMLEIRSYLPHLTTIFLVNLMHCYRLPPLGACQT >LPERR02G03460.1 pep chromosome:Lperr_V1.4:2:2256929:2263359:1 gene:LPERR02G03460 transcript:LPERR02G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGARICCYSIWSFYHMYNGDMWPRAQHLSTIDLPHNPAGGLSVLQALDIEEFGELETLPEWIGNYFTCLEEIRISTCPMLSSLPESIQRLHRVEVGTWYYLSATALHCQTSTKERTATKFLIFQRSYSSNRSSHINRRIAWLADTHVARMLGDIAWERIQLLWNFQEYVQEMESKMTGLKDALINADKPTIETDGDTLMRRQWLNTYKSVAFDMEDTLDELMRNIMVKLDKIVEDEKKLHLLPLSTPTRQYSNKKWRETFVGHRDEIEMVGREREKKEILAKVLQKDGGQESSIIAVVGLGGMGKTTLAKVVYTDKETTIFNVKAWVHVSMVFNLNKIVSAIISQVEGSIPANNADLQFLKSQLDRILHDKLYLIVLDDLWEEGRSELENLVNMLQSGKKGSKIIVTTRSEKVVDTLSTICSPYFHTAGSIKLVGMSNDDCWLIMKPRNMENYQFPGLVDIGKEIAQQCSGVPLVAKAIGYVMQKKCTKEEWLGIKNSNMLDTTKGNDERVLKGLLLSYYHMPSQLKTLFHSHVIDHDYLIQQWIASGFIQDTNGQPLQKVATEYVNELLGMSFLSIYTSPTVPSTRMIFNPTLRLHMHDMVHELARHVAGNEFSYTNGSSNRNTKGDKLDCHYHLVLNQNETSSVYKSLATKIRALHFRGCDTMHLPKQAFSHTLYLRVLDFGGCHVSELPSSVYKLKLLRYLDASSLRISILPKSLNHLLNLQL >LPERR02G03470.1 pep chromosome:Lperr_V1.4:2:2270386:2271780:1 gene:LPERR02G03470 transcript:LPERR02G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSKIQALPESLCKLMMLRHLNLSYCVRLECLPSCFGDLQLQSLNIEGCFFNEDVADSILNMSTLKIFETTLAFDDSAYKVKELRENLKLEGFCELNGGSDDLCSRITELEETPCHEINIQGLEDFNILEGIKHAMLFNNLKLTKLDLGWRSVEHSNKVVQHHKAVLGMLMPPRSLRHLAIGGYYDIEFPKWMLEIRSYLPHLTTIKLVNLMECNRLPPLGCLPNLRTLFIANLPKLKSVGPEFYGDYGSCQKLRNIGFYRMENLEEWWTTRSSKQDNELFLIPNLHALYADDCPKLKFLPYPPRSMIWHVENSDHVLPEHGFGSLASFAISLLVLFITRATNSAETWRRAQHLSSIENLRLESIAGLTTLPEAFQCFTSLQSLEIYECGELETLPEWLGDYFTSLEKIFIRTCPLLSFLPESIQRLTELESLWITDCPALSDKCRGEDRHKISHIPAVIFN >LPERR02G03490.1 pep chromosome:Lperr_V1.4:2:2279005:2283595:1 gene:LPERR02G03490 transcript:LPERR02G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSSRRPKTAVGFLRRGSGISSRNRSPEDRTIQNCDGPSSTTRIDHMKTRLADNEERPRYLRDSFKSSTSNIMPGSSSRVPLRRFGEDKRRQSLFSGVNIAESSSRSAGAKHLEGSKRIIVEDKSSDILHTEQEDVANEQGQFIAPDPGVPDTASSSHISEYAVESLVRSTAPSSRTHRQKDKELNLGQSVASSSSCTNRPTLPRYSATDVKRPCNHVSGVQRHGVKNLGATSVSNSNFLPSGCSSDYAYSRRFDAMRKRTSDGGSSSRPRGLSGPSSLGNSPPTYPSIAGPRIRTTTTEQAVSQQTARSSRRTFQDSAVSVRTRRPPWDARFRISEERDDGMSSLHDSDIVNQQSDQVHSSLEESSSESSSRPFSAELPHAIYSSRRDGSNTCTARRRRSSLYEESPSQTFHDHLLIERNGHRRIAIEGIAEVLLALDRIEQAAEMTYEQLLVMETNLLLGAFASHDQHSDMRMDIDNMSYEELLALGERIGSVNTALSEEQFVKCLRRIIYRPVATEANARVVDDIKCSICQEEYMEGEEVGSLACEHQYHVCCIHQWLRQKNWCPICKASAEPSTTS >LPERR02G03500.1 pep chromosome:Lperr_V1.4:2:2286684:2291178:1 gene:LPERR02G03500 transcript:LPERR02G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGSGDEEEEEGVEVGDGGGGGGGGGGGGFALAIEGVLGACGLVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDATVVTEIRRCLDEGIVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSDVDLGPLSGSTTKEIVRSALITPDNTIRPSPMGKGFCSEYSDLFLLSDEVLCQKILARLSPRDIASVNSVCKRLYHLTKNDDLWRMVCQNAWGSRATRVLETVAGTRSLAWGRLARELTTLEAVTWRKLTIGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNANKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQHPTWREIPGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLDLSETKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRSPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLMFPTLKWSANQKIRKCIVGSSDATCMLFKGQNDSDELMILY >LPERR02G03510.1 pep chromosome:Lperr_V1.4:2:2290443:2294439:-1 gene:LPERR02G03510 transcript:LPERR02G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMVKKKVVKASSFDLDIKLDKSWMEDVTCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISPNTTSRPACPLCRGDVIGWVAIDEARLHLNQKKRCCEESRCTYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVAEVEQEQGKGEAVEGGAAIGLVKKALLLRSNAWHCSIQEDSQSLQTI >LPERR02G03510.2 pep chromosome:Lperr_V1.4:2:2290409:2293265:-1 gene:LPERR02G03510 transcript:LPERR02G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKVVKASSFDLDIKLDKSWMEDVTCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISPNTTSRPACPLCRGDVIGWVAIDEARLHLNQKKRCCEESRCTYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCSRSRARARERRGSGRRSSNRSSQESFTIEVPTGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRPFERRKHLTRTNR >LPERR02G03520.1 pep chromosome:Lperr_V1.4:2:2302940:2306746:1 gene:LPERR02G03520 transcript:LPERR02G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLRRRLSAAVASAAAASPPARIPVRKPHGDIDVSGCSARIRELGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCQNGMPDAARTLFDAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMVSCYVQNGDITMARRLFDAMPSKDISSWNSMLTGYCHSLQMVDARNLFEKMPERNLISWTVMMSGYGRIENHGKAWDMFRMMHREGLVPDQSNFASALSAVKGLGNLDVLESLRALALKTGFERDVVIGTAVLNVYTRDASALDTAIKFFESMIERNEYTWSTMIAALSHGGRIDAAIAVYQNDPVKSIACRTALITGLSQCGRVDDARILFEQIPEPIIVSWNAMITGYMQNGMVEEAKELFDKMPLRNTISWAGMIAGYAQNGRSEEALGLLQELRRSGMLPSLSSLTSIFFACSNIGALEIGTQVHSLAVKVGCQFNSFACNALITMYGKCRNIEQARQVFSRMVTKDIVSWNSFLAALVQNDLLDEAINTFENMLSRDVVSWTTIISAYAQAEQSNEAMGVFKTMLCEHELPNSPILTILLGVCGSIGASKLGQQIHTVAFKLGMDSELIVANALISMYFKCGSADSRRIFDLMDERDIFTWNSIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKIFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAENFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFTIEPSNAGNYVMLSNIYSSLGMWGEVAELRKIMKQEGVIKEPGCSWTQIKDKMHSFVTGDKQHEQIEDIVATLEELYTSLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLVTPKGIPIQILKNLRICGDCHTFIKFVSDITKREIDIRDGNRFHHFRNGSCSCEDFWPFRKNSQRVVHCTFYVIDLISHRVGPGAVGLGIGMLLG >LPERR02G03530.1 pep chromosome:Lperr_V1.4:2:2314645:2315697:-1 gene:LPERR02G03530 transcript:LPERR02G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIQKARVGMKCLSYLILFLLTWTNCFTEAFSKGKSWNEMFELCDFRVILGHVQPSKQPGYYGDAGMTNDMTKFVQKIRNIFWVEDNSLISKFPPYFTNFTNLLGRLKITNGVLLPWYKLLLDTHMCMMPSYQRRFFLIFIYREFKNGRNEDKWNAALQNAGLPSNWLTKLKKVIVFKDVIQKAIKDGRSYAPTSDDAFRLARDVAEHGAEHRFAQGGAERYRDDSGIELMIPCYMEDFIPEIVAEVLKEGVNITNEYGLKSYYLILANACGMLEYHVAVADAVYLSGQDQQVLLNLLHETF >LPERR02G03540.1 pep chromosome:Lperr_V1.4:2:2319711:2323774:1 gene:LPERR02G03540 transcript:LPERR02G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRKTANQQRRPGWLVLDRFVYCRDGYEGLEDDVTASEMAYTCSGYSLRVAEPPAVSRLYIHRHGWPEDIDDLKSDEVYAEVVTAHRGSILFKTTVPFVDADFCIPGAFPEDYFVSAAAHASAPLLHRRCHPLPAGGGSLQAVPVQAAACYAHGGDGEFTVGCVPKEPQWRIEHLELNPTLIDMAHYLYDWNNDAVLPLHDCYLCCVDYFKGIILIDVNKLSYFKYIPLPEEAMRGCRIDEDLLDHDPSRCVSVTTSGIITLVCIDKYNPRSSSTRRRTAGRPPDFFINSWSLASIHKITGVHNFTMESDQFWSLCTAQHQRLPFVQPTFPFVKKYFNTEECSGAPYWRILTCQIRCCPFCPPAAADEVAHEPEIALEDDEQETPSSSLPPSVNRWESDTLLRLQDNEKKTPEDDTVIKKASEENDDDSWTPVLSKKKKNRRG >LPERR02G03550.1 pep chromosome:Lperr_V1.4:2:2331702:2332598:-1 gene:LPERR02G03550 transcript:LPERR02G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVEEKHLTSNQRTVLEKFRSNAEYYVCSCMNLNPGGAAHNAGRTPAGLLFIRPWNNLQYVSNAAFLLAVHSDTLSSLPFPLLLCPGDQSTSNSTSNSTNSTSDSTNSTPNSTNSTADSTNSDPDFTSSPPDSTNSGPDSTNSGVDIAATAEEVMEFAKSQADYILGTNPMNTSYLVGYGEKYPRRVHHRAASSASYRVDKEFIGCLQGFDSWYSAGVDNPNDLVGAVVGGPNARDVFTDHRGAYMQTEACTYNTAPMVGVFSKLMEMERRRRRWRPEGGDAPPSSGSPAAEEDDL >LPERR02G03560.1 pep chromosome:Lperr_V1.4:2:2333927:2336923:-1 gene:LPERR02G03560 transcript:LPERR02G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVLVAAVFMATATAMAAAVHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVLEYGEEIAGAGELAHALHAIKWGTDYFIKAHTHPTVLWTQVGDGDSDHYCWQRPEDMTTSRRAYKVDAENPGSEVAAETAAAMAAASIVFRRAGGGAGDAHYAHLLLHHAQQARRRSRSLPLARKKGKSLLFEFGDKYRGRYDESVEVVKNYYPSSSGYNDELLWAALWLHRATGRREYLDYTDDIIGVL >LPERR02G03570.1 pep chromosome:Lperr_V1.4:2:2344745:2349474:1 gene:LPERR02G03570 transcript:LPERR02G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFPAYPPFPVSATKKKEDDGGGVGKKEAAAAMRRLAEMDLRDGGGDGGKAVVAVAVDGDRGSQHALKWAADHVLLSRAHPFFLLHVRRKHASLHAAGGKQFSILHVQDDVAAPFLDQMDHQTKDLMLPFQCFCNRRGLQCREIILDGTDVWKAIVDFVVEKKVDKLVLGASSRNAFTRTIKKLDVPTSVTKCAPSFCSVYVISKGKLSSFRPASRANANDTSKEELESNVLENQPLTAKSLCSSNTIPLYDIHSIILVSCELPPKIHTEGQNLSKPISVGAAMPIHASCDESTEGSLTSPDHQGQANSSYLKASSCPNEFLRNTNKQGGYLSREYAGYHRDILILQKEDNEQACPEHTKYLGFDESNIYNSALSPGYNVCDPLSPTLSEDCTESTSKYEDMEAVARQLKLEVKQKNDDIHIWNYKELLSGIEGGTENSYAIEHEDEHLQEFRTCLNHPCSERQNAEPSSAALGPKHKLLKLENFSSDQCRETTIQEFKDRSSQDVVHPILRRLPPKFYSPRNDIKHGSASEEAYNLELKSKPLPRPIETNRLLEGLPTRFQCKTYTTEEVANATGHFSLELKIGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKIAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKIGDVGLARIIPRSMDMDDTVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEQLLDPNVTGWPVKEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVSSSDDSSSIDQGHQRSASDKELLLDNDLAEILNDGLVKGASFAV >LPERR02G03580.1 pep chromosome:Lperr_V1.4:2:2349986:2355055:1 gene:LPERR02G03580 transcript:LPERR02G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTISFVAGAGAGAGVARPEQPAKLVNDQRRVSGFCRARRSAASNGFRTYCMKTWNPFTNRRYEAMSYLPPLSEESIAKEVEFIMSKGWVPCLEFDKEGVIHRSNSRMPGYYDGRYWTLWKLPMFGCSDAAAVLRELADCRSEYPDAFIRLIAFDSSRQCQCISFLVHKPPQ >LPERR02G03590.1 pep chromosome:Lperr_V1.4:2:2352282:2357320:-1 gene:LPERR02G03590 transcript:LPERR02G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVIIDPAKCRLMSVDEKRELVRELSKRPEIAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLDYLFRVVTGKSSGALEHVQEKEPTPEPNPINHQSPAKRQRKSENPSRLPIVPNSPTTDVPRPTSNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCGSDQPLQKDSCVFSCHLECALKDGRTGIMQIGQCKKLDGGYYCTRCLKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILASTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIQVMEALFGGSASISQFQQSRMTPSNFIKFEAITQTSVTIVLALDPALAKEVTCFNVWHRVADIGSFSSSPTGIILAPLKTLVVTQLAPATSYIFKVVAFSNSKEFGSWEAQMKTSCQKGDDLKGLMPGGSRIDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSVKACHHLNEPANNSKNIPMVVARVTEVSDLVEAPGLSASVLDEEPISTVQTPLLRESSNSMEQNQRSEVPRSQDASNAPAGNDLVIVPPRYSSSIPPTAPRCMENGKEINGRSLKAKPADNNILQNGSSKPEREPGNSSNKRASGKSEDIGNKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSDAIYGKKPPSVRSGFCMELWH >LPERR02G03590.2 pep chromosome:Lperr_V1.4:2:2352282:2357320:-1 gene:LPERR02G03590 transcript:LPERR02G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVIIDPAKCRLMSVDEKRELVRELSKRPEIAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLDYLFRVVTGKSSGALEHVQEKEPTPEPNPINHQSPAKRQRKSENPSRLPIVPNSPTTDVPRPTSNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCGSDQPLQKDSCVFSCHLECALKDGRTGIMQIGQCKKLDGGYYCTRCLKQNDLLGCLSSETIALFRSWKKQLVIAKDARRLDVLCHRIFLSHKILASTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIQVMEALFGGSASISQFQQSRMTPSNFIKFEAITQTSVTIVLALDPALAKEVTCFNVWHRVADIGSFSSSPTGIILAPLKTLVVTQLAPATSYIFKVVAFSNSKEFGSWEAQMKTSCQKGDDLKGLMPGGSRIDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSVKACHHLNEPANNSKNIPMVVARVTEVSDLVEAPGLSASVLDEEPISTVQTPLLRESSNSMEQNQRSEVPRSQDASNAPAGNDLVIVPPRYSSSIPPTAPRCMENGKEINGRSLKAKPADNNILQNGSSKPEREPGNSSNKRASGKSEDIGNKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSDAIYGKKPPSVRSGFCMELWH >LPERR02G03600.1 pep chromosome:Lperr_V1.4:2:2362536:2366432:-1 gene:LPERR02G03600 transcript:LPERR02G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSRRRRRRSGTYGAEYPVGDARRRRTRRPSSTSSRTSLGLKLLSRVRPSEVLLKSVTKDVSMLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPITSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDRSDQWKIHIERQKDMSSRKDGSPCENTQHAPWNLQPSKKLDRFLERRNLNEGLDCDTISSICQAYNLDRIDVLKYRDLE >LPERR02G03610.1 pep chromosome:Lperr_V1.4:2:2368243:2381365:-1 gene:LPERR02G03610 transcript:LPERR02G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQPAIPVAEGAIKSIKISLSTEDEIRTFSINDCPVTHPSQLNNPFLGLPLETGKCESCGASETGQCEGHFGYIELPVPIYHPCHVTELRQILNMICLKCLRVKKGKVKQTEGKDNVSASSCYYCRDLPALSVKEDKTADGAFRLELKAPPRKFMTEGSWNFLDKYGFHHGGTSHSRTLLPEEALNILKKIPDETKRKLAARGYVAQTGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFASHELESSELQLSIAQYINLRGTTRGPQDNTKRFSIGTDSSGLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDININRLQDVVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFHVYVHEDHTVKINPLICYPFAADFDGDCMHIYYPQSLAAKAEALELFSVENQLTSSHSGKVNLQLANDSLLALKHMSSRTILSKEAADQLAMFVTISLPDPAVIKSKPYWTIAQIVQGALPTDFTSQGDKHLVRDSNIIKLDLDKESVEMSFSDLVYSTLSVKGPGGALQFLNVLQPLLMELMLLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRCAENQFVEMRVDNNLKDIKQQISDFVVKRSRLGLMIDPKSDSKVSKVVQQLGFVGLQLYREGKFYSRRLVEDCFSNFVNKHPIARKEQSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLKYMEDDSSDFPGAVPPGEPVGVLAATAISNPAYKAVLDASQNNNTSWERMKEILQTPNRYKNNMKDRKVILFLNDCCCAKNFCKERAAIVVQGCLRKITLEDCATDICIEYQKQISLDGTSEVAPSLIGHVHLDRAHLERIDINIEDILQKCQDVSGKYGKKKGHLSHLDCSFTQKLTEGKLQKVPCLRFFFSDSNQMLSESVERAVNVLADSVCGVLLSTIIKGDPRIQEAKIVWVGSDAISWVNNTQKVSRGEPAVEIIVEEEAALHNGDTWRTTMDACIPVLDLIDTRRSIPYDIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNTGGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQSSSDWGGWGTEKKENLSEERAKNVAWSDQGTTSATDGGWNDAAAGADIGVTKPAEQENSCWDVPATVDKNSSDWGGWGSEKKDNSSEPAEHVAWSDQGTKGATDGGDGFNKNVDRDSWGNMSASPSNTSWGKRKSDEVHNTWEKRKPDGGDATWKKQSSTRNYGNGTWEKQPSSWKENKGRGSNGGSWEKSNAQKGSWGNDQTQNNNNDMQQDTWGNVTTHNNDTENKSWENVASNAHASTQKSWGNDAASPADNAWNVAPVSQGNENSDGWNSARAVDSSNKDKDSCGNVAGSPSFNAWNAAQVSQDNEGSDAKQSGSWDGWNSAQADDSSNKGKESWDNVAASPSDSAWNAAPVSQDNKNSDTKEPGSWGGWDSAQAEEPSNKDWKSEGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDDRGPPRRHFDLTAEEEKILGEIEPIVLSIRRIIRESIDGVKLSSEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQIFQDSRCLFVVSSDGTRSDFSYLKCMENHVRKTYTEHGDSFCKKYFKRRRDQPPAIDGATTPGTPAGAAQSSAAVETAQGTSQQTQPEDATPPGATPQETPAPPSATLQETPASPADAGLLGKGP >LPERR02G03620.1 pep chromosome:Lperr_V1.4:2:2383489:2385126:-1 gene:LPERR02G03620 transcript:LPERR02G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGSLLLLPPAAYQGIGRNRGGQSQDGPSISCSRSRRTKLSATISARADSSCEASMRITFCANQTQTAQRKSFSGPTSPPSGGAVKEKVKPKLDDGGTGFPPFRFGGGGGGGGGGGSNSSGGFILFVIVLLLDYVREFERNLQNGTRRGSDYDSGLAPQ >LPERR02G03630.1 pep chromosome:Lperr_V1.4:2:2387335:2391833:1 gene:LPERR02G03630 transcript:LPERR02G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAVDALPSAAIAAVEMPSTTAAAAAPAGGLIQKVAGGGGGGGGRRSGGGAGKALQRSAHLSLEEDGASCSAKDDKISGGGKRELREESQRGRMRKYRSQLEQEVKKLQRQLEKEVDLHVALADAVTQNAAPLLKSSVKLPNKAQELLINIASLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDSKLGGSESMRSTQQDLFPEVEYEQDVGEDSEESQMVSLNRLFEKHQDVSLNRLLEKHRDEEMHESCSTDKEGEEDEKVDNLSFEQSILKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKTSPDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEVTTEVRNFDPYKVYGKERRRDIGIYHSAAEVSWMSVGKDQLAYASEALKKFRFLVEQLSKVHPNTMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDEAEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGVSDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDNSSSPKPEPKRTS >LPERR02G03630.2 pep chromosome:Lperr_V1.4:2:2387335:2392126:1 gene:LPERR02G03630 transcript:LPERR02G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAVDALPSAAIAAVEMPSTTAAAAAPAGGLIQKVAGGGGGGGGRRSGGGAGKALQRSAHLSLEEDGASCSAKDDKISGGGKRELREESQRGRMRKYRSQLEQEVKKLQRQLEKEVDLHVALADAVTQNAAPLLKSSVKLPNKAQELLINIASLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDSKLGGSESMRSTQQDLFPEVEYEQDVGEDSEESQMVSLNRLFEKHQDVSLNRLLEKHRDEEMHESCSTDKEGEEDEKVDNLSFEQSILKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKTSPDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEVTTEVRNFDPYKVYGKERRRDIGIYHSAAEVSWMSVGKDQLAYASEALKKFRFLVEQLSKVHPNTMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDEAEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGVSDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDNSSSPKPEPKRTS >LPERR02G03640.1 pep chromosome:Lperr_V1.4:2:2393508:2393795:-1 gene:LPERR02G03640 transcript:LPERR02G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFGVVLLELLTGRRPVPILSASKELIKWVKEMRSGGKQIEILDPTLKGTGYEEQMLKVLEIAWQCVNHNPGMRPTIQEVVSCLDDIGAEMETR >LPERR02G03650.1 pep chromosome:Lperr_V1.4:2:2393817:2395328:-1 gene:LPERR02G03650 transcript:LPERR02G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDDSIDGFENLQVLSLNGCSLSGKMPRWLSKLKNLEMLFLYDNQLVGPIPVWISSLNSLFYLDITNNSLSGEIPTSLMEMPMLKTDNVAPKVFELPIFTAQSLQYRITSAFPEVLNLGINNFTEVIPKEIGQLKALLLLNLSSNKLSGEIPESICNLTNLQVLDLSNNNLTGTIPKALNELHFLSAFNVSNNDLEGQVPTVGQLSTFPSSSFGGNPKLCAPSLANRCSSAQTSYISKKRHKTAILAVAFGVFFGGIAILLLLARLLALLKSKSFMIKNRRHGNGDTEAALSNLNSEQSLVMAPQGNFKQNKLTFTDLLKATNNFDKENIIGCGGYGLVYKAELSDGSMVAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRENDGSSFLDWPIQGLSYIHNVCKPNIVHRDIKCSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQG >LPERR02G03660.1 pep chromosome:Lperr_V1.4:2:2396248:2401110:1 gene:LPERR02G03660 transcript:LPERR02G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLDDTNSNGKPPDSELWDKLRRIKPVRLPREGEMIPSRPLEARNTSLTVLFGLQVTPSHAQQPVPFFHDMPRPPSFESPPRNWMRELLSCSVQELVGGTKKSSSARARPKENEVGICPVKLLLLALSATRLFMTPHVVDGKIPVNKLLEMFNTCSGRSGVEDGRSLRPPVR >LPERR02G03670.1 pep chromosome:Lperr_V1.4:2:2401135:2407579:1 gene:LPERR02G03670 transcript:LPERR02G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDETNSSGKPPDSELYDKLRCIKPVRLPREGEMLPSRPLDARNTTVTILFGLQVIPSHAQHSVPFFHDLPKPPSFESPARNWMREFLSCSVQELMGEAKKSSNTRARPKEDIAGLDVTMDDMWLAGIMDIRKSPACSLGNFEPHWPVKKCACIIIPVMKPIVQAPILHAGEVHFAKITTEVVFLESASTAKDGELLQILLGIFPVKPLLLALSATKLFIISHVANGNCPVKKLLEIGRTEVEDGSSCISPLRPLKLTSRTTMLLDTINSSGKPPDNELWDRLSRNRPVRLPRDGEICPSRPLEASETSVTVPSVLQVIPSHVQQSVAFRHETARPPLLERLERNWRREFFSCSVHELVGETRESNSSSAKARLSPTKSMGNPLLFFLHEEWSFCCFCMAT >LPERR02G03690.1 pep chromosome:Lperr_V1.4:2:2434399:2437536:-1 gene:LPERR02G03690 transcript:LPERR02G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFPYKYSSKLPISPLGLALVLLISLSSLASSCTEQEKGSLRRFLAELSQDGGLSMSWRNDRNCCAWEGITCNGTGSVTEVSLQSRGLEGQISPSLGNLTGLLRLNLSHNSLSGHLPWELVSSSSINVLDVSFNRLSGKLQDLPSPTAVQPLQVLNISSNLFTGQFPSTTWNAMKNLAALNASNNSFTGQIPTHFCSSSPSLRVLDLCYNLFSGGIPPGLGACAMLKVLNIGQNKLSGSLPDELFNATSLEYLSFPNNGLQGTLDSANIVKLSNLVILDLGGNYFSGRIPESIGELKKLEELHLGYNSMYGEVPSTLSNCTTLKTIDIKSNYFSGELSKVDFSTLPELQTLDLMHNNFNGTIPQSIYSCSNLIALRMSSNKFYGQLPKGIGNLKSLSFLSIANNSFKNITDALQILKNSRNLTTLLMGYNFKGEVMPEYETIDGFENLQILAFDNCSLLGKIPFWLSKLTHLQMLELSNNQLTGQIPVWINRLNFLFYLDISNNSLTGEIPTALMEMPRLISTSYTPYFDPGILELPVYLGPSLQYRGLRAFPAALNLARNHLIGAIPQEIGQLKMLRSLNISFNNISGEIPQPLCNLTDLQVLDLSNNHLIGKIPAALNNLHFLSKFNVSNNDLEGPIPTGGQFSTFQNFSFDGNPKLCGSIIFRSCYSSKAPPESRKQHNKKAILAISLGMFVGGIIILLLLGGLLVSFRSTKFMKEGEYDNNENVETASFNSNSDHSLVVMPRGKGEKKKLTFADIMKTTNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNTEMCLMDREFTAEIEALTMAQHDNLVPLWGYCIHRNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGISYIHDICKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLILPSKTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVPFLSTSKELVPWVQEMRSEGKQIEVLDPTVRGMGCDEQMLKVLETACKCVNYNPLMRPTIMEVVANLNSIDAD >LPERR02G03700.1 pep chromosome:Lperr_V1.4:2:2441856:2444737:1 gene:LPERR02G03700 transcript:LPERR02G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSGGEVERIAAFPLCGAAHSGCSAKCSGALSTPVPSRLVGTVVVDATVEVEDSMLRERVLQCRSLLADSLRPPPSEKLKQDHSETDVATFDVTVDNTRLADMVDVGETDLDEISTKIIALEVKGYQTCAVAQG >LPERR02G03710.1 pep chromosome:Lperr_V1.4:2:2442539:2445691:-1 gene:LPERR02G03710 transcript:LPERR02G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPHSSYKTHSNRLPIPVFSLALVLLLNFTSPTSSCTEQEESSLLNFLTGLSKDGGLGKSWKSSMDCCDWEGINCSPDRIVTDVSLASRRLEGYISPSLGNLTGLLRLNLSYNLLSGVLPQKLVSSSSLIVIDVSFNRLNGELDELPSSTPSRPLQVLNISSNLLTGIFPSSTWVVMKNLVALDVSNNSLSGNIPTNFCTISPSLTVLELSYNQFSGSIPPELGNCSKLRVLKVGHNNLSGTLPDELFNSTSLECLSLANNNLEGTLEGTNVVKLSKLATLDLGWNSFNGKIPESIGQLKRLEELLLNNNKMYGDIPSTLSNCTSLITLDLKSNNFSGDLIQVSFSNLPNLQTLDLMSNNFSGKIPESIYLCSNLTALRLSNNTFHGQLSKGLGNLKSLSFLSLAINNLTNITNALQILRSSSNLTTLLIGHNFMNERMPDDESIDGFENLQVLSLSDCSFSGKIPQWLSKLSRLEMLFLESNQLTGPIPDWISSLNFLFYLDVSNNTLTGEIPAALLQMSMLRSDRVAAQLGPRAFQLPIYIAPSLLQYRKATAFPRVLNLGNNEFTGLIPPEIGRLKMLLSLNLSFNKLYGDIPQSICNLTDLLMLDLSSNNLTGTIPAALTNLTFLTKFNISYNDLEGPIPIGGQFSTFTNSSFYGNPKLCGPMLIRHCSSADRHLVSKKQENKKFMLAIVFCVFFGAIVILLLSGYLLLLIRGKSFMTKNKCNNDYTEAPSSNINSDNLLVMMQQGKEQENKLTFTGIMQATNNFNQEHVIGCGGYGLVYKAELPDGSKIAIKKLNGEMCLMEREFGAEVETLSIARHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNKDDDTSIVLDWPRRLKIAKGASHGLSYIHHVCKPRIIHRDIKCSNILLDKEFKAYIADFGLSRLIHPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMRLKGKQIEVLDSTLQGTGCEEQMLKVLEIACKCVEGEPLLRPTMMEVVASLSSIDPDLKMQ >LPERR02G03720.1 pep chromosome:Lperr_V1.4:2:2446607:2462291:1 gene:LPERR02G03720 transcript:LPERR02G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGRYVPSKTVVACIDCNQAPHFLPAVDENSPVNLLLEIFSTCSGLPATDEFSSCRFPLRRLKLTSRMTMLPEDSSSAGTPPENELCDRLRRDKLVSSPRDGEICPSRPLEASNSSITLPSVPQIIPSQVQQFVLFCHDTGRPPFCDSPVRNLRRECFSCSVHEPTREMKDARPSLTPRDSVEAVGYDALEIFSTWSGRSGDEEFSSCSSPSRQLKLTSRMIMLLMDISSDGSPPESELYDRLSRDKLVQQSVLFFHDAARPPSCDSPVRNRRRECLSCSVHELTGEMKQQKSRAIKIYATPAGFVAAQRMWLHGLGETGRYGRVPLRLFIPTLSTLSMEQSAIAGETSPVSRLSERSSTDNEDVEARQRVAAGISPVKLLLLPSITTKFSMPSKEGRSPERALLERFRRVSPAMPVRDDGEMRPWSPRAGSETSVTVPCSSQVIPSHPRQQSVPGCHDAARPPSCDSPARNRRRELLSCSVQEMAGEMKVISSMRK >LPERR02G03730.1 pep chromosome:Lperr_V1.4:2:2450900:2453049:-1 gene:LPERR02G03730 transcript:LPERR02G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPRSSGRLRINFLGPALVLLLLTFISLVGSCTEHEKHSLLRFLTGLSQNGGLPVSWQNSTNCCTWEGIICGTEGKVIELLLASRGLEGQISPSLGELTSLSRLNLSHNSFSGGVPAELLSSGSIVILDVSFNRLNGNLQELNSSVAGRPLQVLNISSNSSPSFGLLDVSYNRFSGNIPSGIGKCTALRMFKAGRNNISGALPDELFRVKSLKHLSLANNGLQGTIDSAPMINLSNLVFLDLGGNRFSGKIPDSIGQLKRLEELHMDHNNLSGELPSSLGYCTNLEIIILTDNKFTGELAKVNFSNLPNLKNLELCKNYFTGSIPDSIYSCSNLTSLRLSFNKLHGQLTEKIENMKALIYLSFSYKNFKNITGALHILKSLRKLNTLLIGANFMHEAMPDDETIAGLENLEVLGINGCALTGKIPNWLSKLKQLKLLLLYNNQLSGPIPTWINSLNFLRYVDISNNSLTGEIPTALTEMPMLKSDKIAADRSDLRNFLMPVYVDSSLQYRTSIQFPRLLNLGHNKLSGVVPDQIGQLKALLSLNLSFNNLNGDIPQSVSNLTNLMVLDLSSNHLTGAIPSALVKLHFLSKFNVSYNDLKGSVPTGGQFSTFPSSSFAGNPKLCSPIHVVHCNSAEATPTFPISTIQYIDKVIFAIAFGVFFGIGALYDQIIISKHFG >LPERR02G03740.1 pep chromosome:Lperr_V1.4:2:2468770:2470219:1 gene:LPERR02G03740 transcript:LPERR02G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVALQFPVSLRRMRFWVALACRGATGRAAAAGFRRRVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEDRFEIIINNDTIQMLDLSPIQEVLGDLNSLTAGPQ >LPERR02G03750.1 pep chromosome:Lperr_V1.4:2:2477333:2479134:1 gene:LPERR02G03750 transcript:LPERR02G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMYSFVEIGTDRAASAELQWGNVATELVVRNIKNSKGWRAAAEGGSTCKGLSDTDGSNSFRSPSMRLKLTSRTTMLSEDISSAGRPPERELYDRLRRDKAVSSPSNGEI >LPERR02G03760.1 pep chromosome:Lperr_V1.4:2:2479237:2480514:1 gene:LPERR02G03760 transcript:LPERR02G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPSCDSPARNRRRELISCWMQAMLEVAMENSSTTTNNAPAARPAKGITGLLLHGECVYSVEVGPRLPVRQKDDNGKGNESNSSGTLRL >LPERR02G03770.1 pep chromosome:Lperr_V1.4:2:2482395:2484479:-1 gene:LPERR02G03770 transcript:LPERR02G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTIACLEQEKSSLLRFLAGLSQDGGISKSWGNGTDCCVWEGITCSGDGVVIEVSLASKGLKGQISPSLGDLTSLSHLNLSYNSLSGVFPEELMSPSSITVLDVSFNHLKGHLQELNSSVSDRPLHVLNISSNRFIGELTSITWEKMRNLVTINASNNSFTGQIPSSFCISSPSFAVLDLGYNQFFGSIPPGIGKCSNLRILKAGHNNINGTLPDDIFSATSLEYLSFPVNRLQGTIDDALMMKLSNLVFLHLGGNRFSGKIPDSIGQLKRLEELHMGHNNISGELPSSLGDSTNLVIINLHVNKITGELAKVNFSNIPNLKILDLSANYFTGTIPESIYSCSNLTWLGLSFNKLHGQLTEKIENVKSLTFISLSHNNFTNITRALYILKRLRKLNTVLIGGNFMHEAMPEDEAFAGLENIQVLGINDCALTGKIPNWLSKLKKLELLLLRNNKLSGPIPTWINSLNFLRYVDISNNSLTGVIPTSLTKMPMLKSDKNSVHMDQIVFQMPFFLPPSLHYRTSTAVPKMLNLGNNKFTGAIPMEIGDLKELLSLNLSFNNLNGEIPQSLSNLRNLMTLDLSSNHLTGEIPSTLENLHFLSKFNVSYNDLKGPVPIGGQFSTFLSSSFAGNPKLCSPMLVHHCNLAEAALSSPFSTKEYVDKVMFIIAFSLFFVIGVLYDQTILSRYFLLNRLR >LPERR02G03780.1 pep chromosome:Lperr_V1.4:2:2483749:2485065:1 gene:LPERR02G03780 transcript:LPERR02G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSIVPCKRLTGKERGYATKELVVSKIKNSKGWRADAERGRCPLRWLKLTSRTVMLLGDISSSGKTPESELYDKLRCDKLVRSPSDGEICPLRPLEARETSMTTPSPLQVIPSHTQQSVPFPHDLEMPPSCESPARNRRRELFSCSRHAMVDVAMASSSSKASLLLHGEWGAFFMTNLDEFCENDLSFFLEGRNGKFVAPGANTQIKYYQSLDHHKLALNKLNFLLELNLEGPMPKGGQTSTFSSSSFHGNPKLCGSMFGAGDHTTPTNISEKECNSKVIISAIASCVFFGIGMLYDQLVLSTYFR >LPERR02G03780.2 pep chromosome:Lperr_V1.4:2:2483749:2485065:1 gene:LPERR02G03780 transcript:LPERR02G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSIVPCKRLTGKERYSRGMLPKNWLYPRSRTAKDGELMQNEEGIWPVKLLLLALIVTRFLIFSHVMDVIPSHTQQSVPFPHDLEMPPSCESPARNRRRELFSCSRHAMVDVAMASSSSKASLLLHGEWGAFFMTNLDEFCENDLSFFLEGRNGKFVAPGANTQIKYYQSLDHHKLALNKLNFLLELNLEGPMPKGGQTSTFSSSSFHGNPKLCGSMFGAGDHTTPTNISEKECNSKVIISAIASCVFFGIGMLYDQLVLSTYFR >LPERR02G03780.3 pep chromosome:Lperr_V1.4:2:2483749:2484805:1 gene:LPERR02G03780 transcript:LPERR02G03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSIVPCKRLTGKERYSRGMLPKNWLYPRSRTAKDGELMQNEEGIWPVKLLLLALIVTRFLIFSHVMDLTSRTVMLLGDISSSGKTPESELYDKLRCDKLVRSPSDGEICPLRPLEARETSMTTPSPLQVIPSHTQQSVPFPHDLEMPPSCESPARNRRRELFSCSRHAMVDVAMASSSSKASLLLHGEWGAFFMTNLDEFCENDLSFFLEGRNGKFVAPDRTNLDLLPDVLHRVNFNRLTGPILEASTKQAQLSLGA >LPERR02G03790.1 pep chromosome:Lperr_V1.4:2:2487366:2489528:1 gene:LPERR02G03790 transcript:LPERR02G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHNARAPLLLLAIFLLFAGASPAISCTAQDSRSLLRFLAGLSHSGGRLAASWRPGTDCRHGGGWEGVTCDENGTVTEVSLPSRGLHGRISSSLADMAGLTRLNLSHNALSGDLPSNLISSMTTLLVLDVSFNNLDGFRPPPSSLITNNLQVLDISSNKFAGELLLLSSPIWNPYAMGNLIALNASNNSFTGLMPAATLCRASPSLSVLDLSYNKFTGEISPAIAGCSMLKVFMAGYNKLSGTLPIELFNMRSLEHISFTNNGGLEGELDGSHIAKLSNLVTLDLGWNSFSGEIPESIGQLKKLEVLRLSNNTMSGDLPSSLSNCTRLTVIDLKINNFSGDLHKVDFSAMHNLKTLDLLCNNFSGTIPESIYSCSNLIALRFATNHIHGEISSRIGDLKHLSFLSLTENSFTNIAKVFHALKSSKNIVSLFIGQNFLNEAIPETIDGFENLQHLAMQECSLTGKIPLWISKLKNLKVLDLSGNRLTGSIPSWISSLNNLFYLDVSNNNLTGQIPVTLMEMPMLKLDDIEPYLSRPFDLPLYRIKLMRQYRALTSFPALFNLSTNNFTGMILPEIGMLKALTELDFSRNKLLGEIPLSICNLTKLHVLDLSSNHLTGPIPSALSKLNFLAKFNISNNDLEGTVPTGGQMSTFSNSSFDGNPKLCGSVLAKNCDSSVKAAPTVPAISEKEFSNKAIFGIAFGVFFGIGVLYDQLVFSRYFG >LPERR02G03800.1 pep chromosome:Lperr_V1.4:2:2491071:2493168:1 gene:LPERR02G03800 transcript:LPERR02G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAPPTPVAESSCLGRPDPSRSPSLHILSNPSDAAQSVSSRGMRLVKNNHLPVSPIAKWGKSANPRRRSSSRPQHILDSEEAWVEAAFRGSKLLLLSREIVGMGREINLAKVSTKVVDLEINGCETSAVAQA >LPERR02G03810.1 pep chromosome:Lperr_V1.4:2:2492638:2504234:-1 gene:LPERR02G03810 transcript:LPERR02G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDNKTKNFCMLLRFGTALVLPLLTLVIPVGSCTKQEQSSLLKFLTGLSQGGSLTTSWQNNTNCCTWEGIICSMDGAVTELLLSSKGLDGKISPSLGELTSLLHLNLSFNSLSGGLPQELVSSNSLIIVDVSFNRLDGSLSELPSSTPSRPLQVLNISSNLFAGNFPSSTWVVMKNLVALHVSNNSLSGHIPTNFCTNSPSLTVLELSCNQFSGSIPPGLGSCSWLRVLKAGHNNLSGTLPNELFSATSLEHLSLPNNDLQGQVEWENLVKLSKLAILDLGENNFTGNIPESIGQLKRLEELHLNNNKMFGDIPSTLSNCTSLKEIDLKSNNFSGELINVDFSNLSNLKTIDLMRNNFNGTIPETIYSCSNLTALRLSSNKFEGQLSKGLGHLKSLCFLSLARNHLTNITNAIQILQSSSSLTTLLIGRNFINEKMPDDDSIDSFKNLQVLTLDNCSLSGKIPRWLSKLSSLKVLSLDNNQLTGQIPDWISSLNFLFYLDISNNNLTGEIPTALIHTPMLRSDRAAAQSDPGAFQLPIYVDESLQYRKTTAFPKVLNLGNNEFSGLIPPDIGQWKELLALNLSFNKLYGDIPQSICNITGLLMLDLSSNNLTGTIPVALNRLNFLTKFNISYNDLEGPIPIGGQLSTFTDSSFYGNPKLCGPMLSHPCTEKAVPAPASTLSTDEFIDKVIFGILIGLFFALGVLLDQMQNEHYERWPLLKFVKILDSKHEYRILRAMVNIARNYFTGVIPPEISQLKALDTLDLSFNSFSGEIPQEICSLTNLETLDLSNNHLTGTIPLGLTKLHFLSAFNVSNNNLEGPIPTGGQFNTFDNSSFIGNAKLCGAMLSHHCSSSKALSMPASTLSTKQYTDNIFFGIAIGLFFALGMLLDQIRSTKLLTDLLGFALVIVCLASSTSSCTDQEKRFLLQFLAGLSNDGGLAVSWQNDTNCCTWEGITCSADMKITEVSLASKGLEGHISPSLGNLSGLLHLNLSHNSLSGELPLEQFISSSIVILDVSFNRLNGALHEFSAQNTIQSLQVLNISSNLFTGQFPSTIWKVMNNLVALNVSNNSFTGKVSSFFCSSAPYITELDLSFNQFGGSVPRDIGNCSMLRVLKGGHNNFNGALPDELFNASLLEYLSFPNNGLNGVLHDANIIKLSKLSVLDLRQNVLRGNIPKSIGQLKRLEELHLGQNDFYGELPSTLSNCTNLKIVDLTFNNLSGDLGNINFSSLSNLTTIDLLTNNFIGTIPESIYACTKLTALRLSKNNFHGELSQNMNRLRSLSFLSIDGNNFTNIRNVLHITKSFINLTMLSLGENFMHEILPEDETIDGFENLHHLSITGKLTNLVRLRLSDNKLTGSVPVWINNLNFLFYLDISNNSFTGEILRTLVWMPMLKSDQCVDHIEAIDTRVLKLSTYWDSKYEYHMLRATLNIARNGFTGVIPPEISELKALGVLDLSFNSFSGEIPQAVCSLTNLEVLHLSNNNLTGKIPLELNNLHFLSSFNVSNNDLEGPIPTGGHNNRNSSFCKPIFGHPLVPLLLISLVYSANSCNEQERSSLLQFLAELSNDGGLGLSWQNGRDCCTWEGIICSSSSSSSSMDSKVVTVTDVLLASKKLEGNISPALGSLPGLLHLNLSHNFLSSSLPSEILSSGSITVLDVSFNSLDGVLPPPQPQLSTGIKQPLRLQVLKISSNKFTAEFPSMDAMENLIALNGSNNSFTGMIPVATLCRASSSLALLDLSDNKFTGKVLKVAMNNLNGTLPAELFEVTSLEHLSLANNGLQGELDRALANLSNLVTLDLGGNNFVAEIPESIGHLKKLEKLNLGNNKMFGNLPSSLSNCASLTAIDLKINNFSGDLGKVDFSTLHNLKILDLLVNNFSGVIPESIYSCSNMTALRLSANHIHGEISSRISDLKHLSFLSLANNSLVDIAKVFHALQSSQNLTSLFIQQNFLDEAIPQTVDGFESLQCLAIRACSLTGKIPLRLSKLNKLAVLDLSNNPLIGPCRVGSTP >LPERR02G03820.1 pep chromosome:Lperr_V1.4:2:2496951:2509739:1 gene:LPERR02G03820 transcript:LPERR02G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLEMNCSSGSSPDSELWERLRCSRPLRLPRDGDMCPSRPLEASETSVIFMSALQVMPSHVQQFVSFCHDTARPPSFERPARNWRRNLFSWSVQELVEEAKHTITRANPRRLKKRRNRDTTSSMASTAVSSDKKELEALPTIDAGEVREFMSSGHHYLDVRLAKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVAALFSKDEHLIVGCNTGVRSRLATKDLLDAGFKNVRNLKGGYQSFLRSESQQSASDQQ >LPERR02G03830.1 pep chromosome:Lperr_V1.4:2:2509254:2517659:-1 gene:LPERR02G03830 transcript:LPERR02G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRLAVPISNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYIMNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKDTAKSPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGEKICFMASGKEYVADEIGVLSPTQMQVSELYAGEVGYLSASIRSVADARVGDTITHASKKAECALPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETIEMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKESST >LPERR02G03840.1 pep chromosome:Lperr_V1.4:2:2519046:2521006:1 gene:LPERR02G03840 transcript:LPERR02G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRLNPSARPFHSTRHHVFLAPPPPMPLFPPPAGAPPPPFPFVAAYSSCAAAPFPFQYYHHHHCGGGGYQVMQMASAPPPPFSKGLLTAPPPPHGRPPHKLMLCKGGGGGAPLTVTDEKHHAQLAWRRPAPTTVAAAPRRMLVMHPAAATPARVVARRGTIREYRPAKQRGGGGERERSPSAAALTTGPVSPLPPMEKLKPKQTTVMVRNIPNKLTRMDMVRLLDDHCARENRRRGGGEARVAYDLVYVRMDFGMCNEQRSSNMGYAFVNFTTAAAARGLQRALHGCRWKRPVFDSSKIIDIRAARIQGKEALVRHFGQTTYFDCVTDEYLPAVFSPPRDGSAAGEAKTVGIRVPPRGVKLLAH >LPERR02G03850.1 pep chromosome:Lperr_V1.4:2:2526265:2526867:-1 gene:LPERR02G03850 transcript:LPERR02G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARLPPAPTPAMVGEGEVRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGAAARTNFPPTSPPPPPPQQLPPSAAAAAAATSSHSSTVESWGATAPALAAVSVRGGGAMGAADEDCRSYCGSSSSVLCEDGASGAGDEAAAPRCSPLPFDLNLPAPAPAVAAAADADDEMDWRCDTLLHL >LPERR02G03860.1 pep chromosome:Lperr_V1.4:2:2528918:2533444:-1 gene:LPERR02G03860 transcript:LPERR02G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRSTPRGRSRKRGAPHPHPLRFLRLRLCLCSSPPPRRAMELPRSACSKEHHKIYAAWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADSKRQGFLGFGEFVSSMQLVSLAQAGEEISQDSLKREDLSSLDPPVMEGLDELLARSKAVVKRVHPEENGTPQVQVPSSNGWFSSKSAKKTHDPLTAVTSVIDGLKRLYIEKLKPLEVAYRFNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLETSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYEFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNETAVGPIGKDLFEKEQEDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKQVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >LPERR02G03860.2 pep chromosome:Lperr_V1.4:2:2528918:2533444:-1 gene:LPERR02G03860 transcript:LPERR02G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRSTPRGRSRKRGAPHPHPLRFLRLRLCLCSSPPPRRAMELPRSACSKEHHKIYAAWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADSKRQGFLGFGEFVSSMQLVSLAQAGEEISQDSLKREDLSSLDPPVMEGLDELLARSKAVTHDPLTAVTSVIDGLKRLYIEKLKPLEVAYRFNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLETSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYEFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNETAVGPIGKDLFEKEQEDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKQVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >LPERR02G03870.1 pep chromosome:Lperr_V1.4:2:2535671:2538714:1 gene:LPERR02G03870 transcript:LPERR02G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYTINAAIEAACLILSVDGAAKNPKRAHRAKQLLLVQWLAVVEGQFKVVVVREGHVQTFWDHGDVCCGCNLLGNFWCRKPDGIADK >LPERR02G03880.1 pep chromosome:Lperr_V1.4:2:2539485:2543368:1 gene:LPERR02G03880 transcript:LPERR02G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVECAPQKRVKVAESDLAALFDAQRRHLDHFFDRLDMSQAAAFAQALVDATGAVFFTGVGKSGIVAMKLAQTLASLGFTRAGFLSPVDALHGDIGSVFPGDVLVLLSKSGASDELLALAPCARAKGAYLISLTSAASGADCPLAAACDLNVHLPLQAEICPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKHNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITSDAMAVEAMEKMESPPSPVQFLPVVDSNNVVCGIITLHGLVSAGL >LPERR02G03890.1 pep chromosome:Lperr_V1.4:2:2543180:2547124:-1 gene:LPERR02G03890 transcript:LPERR02G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRHKRRVSWCSLSPSSLPFHSLAALATQRNASARSGGRKAKAMMQRLSRFAPSSSRRVTDLKDALWSGSLTFQHALSTFAPDENTSGRKFASYTVFKGKAALSMHPILPSFSKLESGGSRVNKNGSVMMTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNVQKTTERLSLPITKAEFTVMRTALSFALPHILGWDQALTVDHPRPSSASKPRVERPHPDSEWER >LPERR02G03900.1 pep chromosome:Lperr_V1.4:2:2548187:2549880:-1 gene:LPERR02G03900 transcript:LPERR02G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISGPKLLVVHPASSAYNGVVSLSGATSPPPSSSARRRCLCLCLAAFLALLTTASLLTLFSTARAASQRVSPPPRHHHLATLAAAASRRDADATDAANGLPAYVFDALVQYAAAAGATTANATSPAAAASMSMPEEDVRAIASVLRRRAPCRLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGVHVGLEAYDVSYATAVRDFGELLRDARDAVGGDCRPVQNLLFSDCRLAINDLPNRLYDVSWDVILVDGPSGFTEGSPGRMAAIFSAAVMAKTKGTETEVLVHDYQREVERTCAREFLCPENRVESTTTPSLGHFLVRGAAANQNAFCGATATKKAN >LPERR02G03910.1 pep chromosome:Lperr_V1.4:2:2551516:2554078:-1 gene:LPERR02G03910 transcript:LPERR02G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDVEMEAAEVEPTPQQPPPPPAVAAAGEEGWSLLSRARVLLEEGKPSLALQAILLAIRSQGGELALMQTLNRARELYRQRSQPSSPSVDDLASLLAQCAIAESQSTNTNPQHAPGSDPVMMLDSDEACILSESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >LPERR02G03920.1 pep chromosome:Lperr_V1.4:2:2557136:2563350:1 gene:LPERR02G03920 transcript:LPERR02G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTAMATAAARSSNSPAKRDSDASSASSPFASTSARVRGGGGGADAGDDDDGKDAHRSYPLLPHQHHHHRRIPLPSPLRSLLALEDPRSPSASSSYRILLAILAFLLLAALFFATSVWSRLNAPYLCQKDGITLHCPETKEPPSLWENPRAATTSWKPYVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVHIIRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLASRMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRKKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMEPFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIMGARRYSGRHRLKSIKPDKGLMSKSLGDPHMGWASFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPAS >LPERR02G03930.1 pep chromosome:Lperr_V1.4:2:2564683:2566471:1 gene:LPERR02G03930 transcript:LPERR02G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSAPADDHLHQESLRGHAGDKDATGGDLAAGESADVSSKRARAGLCGVLRERKVAELASGRRLVEVPYTATLAHTANALLAAGVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIADAGDDGDGGEGVDDIDRRMAVPVSSVIGHSLEGLTLWTLHPNTSLLDCMETFSKGVHRALVPVESSADDNVVVAAVELVESSPGYRMLTQMDVIRFLHAHAHDAELRHAMSRPIRHAAAAGADAAVFAVSSTTKVFDAVRAMRAASLAAVPVVSGAADAGGGEILVDGRGKRVEETFSATDLRDCHVSELRSWLGISVAEFKENVAAARRRCTVGEVIEKAVGRHVHRVWVVDEEGLLAGVVSLTDLIRVVREAAIGEEMELHGIDAAA >LPERR02G03940.1 pep chromosome:Lperr_V1.4:2:2569578:2574574:1 gene:LPERR02G03940 transcript:LPERR02G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIANRLESHAFGRMEALIIEMNQASCYDMIEQYCEYIVKQLNNLQKQSECPQEALEAVSTLIFATARFPDLPELCDLRHMFMERYGSFVEPFVSSEFVQKLQDKSFTNEEKLQVMQSIAEEFSIPFNVKALEQKIYGIPQNKHDLQNKSSFKRVEAEASARDELNVDRHERKSKVSPEVHERKHEMQIKPKDIHVIPDAIGQLGEKSRKNHSDVPYDMPRSDLKRINDQELKKDNKHSHHRRELISEDKLVPPYAELKEAEKKDVAGRSDGKGHHGHRSCMAGGLDHNWGHADLGLKTLGLEKQGIEPASSLNAKALNKAPPYSKPYKAVNEKSAEEDDSLYNRPQHVAEYGQSAQDKQKMPGKAVNMRPPYVKPSPTNQAVNGYKHDGIGEIGKQRDGLADADTLQPVSVRRKHGKPPTYGDRYDDDERVKNHIPDGQRRHSSRRNGSDDDYDLRGGYRQPLDDDVVNNARHFKRTSERRKHGSKQSGSSSCNDYESEEDETDNAIDFGNLLPRAPSSHWKHRSRSAHPRGGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTSRPRDDQPADGVKERSNRDGAHQHPPERTVSLPSESGNLGAKPKVPARSISMQPDKSRGNVHPSMPDFDELAARISALRKE >LPERR02G03950.1 pep chromosome:Lperr_V1.4:2:2576157:2577019:1 gene:LPERR02G03950 transcript:LPERR02G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGFKNGGKQKPLKAPKAAKKEYDETDLENIKKKKEEEKALKELRAKAAQKGALGGAGLKKRGKK >LPERR02G03960.1 pep chromosome:Lperr_V1.4:2:2578434:2583767:-1 gene:LPERR02G03960 transcript:LPERR02G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALLPGDSPDHGQDDQDGGGGGQKNSRKRRRRVIFEEDDDDEEAASAPARPSLEMTADLSCTRGGSAGVSTAKTPGDEALQGWQDKQQNKRLNTVMKLRSREIGFCRSIGRPLGSGSFMPRPPSFSQEVFSAIENQTVFDIYGLKGDIRRFERPIKKRSAVLQILPLKDIVAVVLCSGLGFVLSRVTNKLVAILNNHEDEVIASIFWKKEDKSLIMNSTRLSVDPMIHRLTSKPLKFLGSNDKNSGHQIFETENIKWPDNVEFCAPNARALIKQKSTYRVFDLTDYSLLYQIPELEQVHNAHPFRIYSAESFEEMHSFMLINISKRLEIEPILHDKIIIKEKFANENGHMQILGLHSSNMVKVPIGKFHFHALHGRNLFLSFQEKSTELRDLQGNVVRNFEDHVLSEMNNVNDKLCVTEDEDVVISQCKSEGFGAVHISSIETRKCITTINNKVVVSALAYNPDLNEIYIGTSRGELQIWSWKAILNKPEQECWSK >LPERR02G03970.1 pep chromosome:Lperr_V1.4:2:2585170:2585610:1 gene:LPERR02G03970 transcript:LPERR02G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRAQTTSASWQDLPVDIAGELLRRLPSYTDRICFSATWRSTSRHHPTPPPTSPCLSLADGSFFPDDARPFRLPASSSWLASCNGLYLVHHLAAAAGEYVLVDPFSNSTTAMLPLPAASRVRVVDEPIVRRTRSRVEQAHVAAT >LPERR02G03980.1 pep chromosome:Lperr_V1.4:2:2585642:2586253:1 gene:LPERR02G03980 transcript:LPERR02G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKLVLSPAGDVAAAVIGEGRHGKFAVCRPGAAAWTVNGGEGWRRIKDMVFHNGKLYAVDHNEDLLAVDVTLAAAGDGDGEPPGVDAFLRVTLLYLVDVDDDSGGDGDLLLVRREVLRSRSGSPEIQDRFAVFKADFGSSRWRQVRTVGDDSGNRTLFVGRCASPATGGRSIMSSSSRTAPATSGIPGSSDVLSKGAASGG >LPERR02G03990.1 pep chromosome:Lperr_V1.4:2:2586025:2586527:-1 gene:LPERR02G03990 transcript:LPERR02G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKELIRPHPPEAAPFERTSLLPGMPLVAGAVLEEEDMIDRPPVAGDAHRPTNSVRLPESSPTVLTCLHRDDPKSALNTANRS >LPERR02G04000.1 pep chromosome:Lperr_V1.4:2:2586617:2590965:-1 gene:LPERR02G04000 transcript:LPERR02G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTHYTKSPTGPIIPDFCIGSGAGGHSRPTPSPLRYHHLASEEREGRNLDSSPQKPTGAAASRRRRRPRTLSPHLAAHPPPADQPYLQLRSGEVVEAAAAEAKTMRRHLHGLLSRALAFGLPAPPRPSSHLQGITEPGAASRFGAAFLARALAGPGLPAPRRPRTPLQRITEPGAASRFGAVFLAHGGFSTDASAAAQDSVKPAAGTGGEGGDGKSGKSEQGDAGKSVRGGPVSWLSFFLLLLTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPPVGTAAIGGPFNLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKMEIVPVFITVDPERDTVEQVRDYINEFHPDLIGLTGTSDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNHDADSLADGIIKEIKEHRSR >LPERR02G04010.1 pep chromosome:Lperr_V1.4:2:2591739:2595329:1 gene:LPERR02G04010 transcript:LPERR02G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMKDQGIALVRKAVEEDDAGNYARAFPLYVHALEYFAAHLKYERNPRVRGAITAKVAGYIARAEEIRASLLAAAAPAPAAAAPAATEAKGKDRSKAKYGGGDGDDSERAKLWAGIHSAIVSEKPNVRWNDVAGLDGAKQALQEAVVLPVKFPQFFTGKRRPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGKRGEGNESEASRRVKTEFLVQMQGVDHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKIHLGDTPHSLTNADFENLARRTDGFSGSDVAVCVKDVLFEPVRKTQDAMFFLKTAGDSGGGETWTPCGPAQPGAVQTTMQELAAKGLATKITPPPISRTDFDKVLARQRPTVTKKELEVHTRFTREFGEEG >LPERR02G04020.1 pep chromosome:Lperr_V1.4:2:2591921:2605722:-1 gene:LPERR02G04020 transcript:LPERR02G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTTTSPASEVPFRIRFSGHGGHLRLDPTPNPPSPIPDFVLPPAFPPETPGSVKEYLEANYLNPELYLPSAADGAARVWDLDWFDMARPPLEPSAPRTMLAPAWVPPFRRRRPPLSSAAPEETQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLRDDAAGAAALEKAFPEGARSGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYRSQWKCYKDGESVDEQPASSSNDTMDMYSVQFDDLFKIAWEEDADNKVSREDDVQQPVGDEESNDVDKQNIDKLQDASESVENLDIEKQKDSARGDVSEAQTDLDQMLSSSVKDTSKDSSGSGDDSLAKEGKVWALVGGDEDIVTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEAFKKKNSNKLGMKSGSKAGTPAVRAGRNPDASSRGKDQKNPKHHQANSAAAAAQQSTSGSRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEICLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLKKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALEAEAHREFITEAIMQLPSCQQFLTPGRLVVVKSKSDDDHLLGVIVKNPSAALKQYVVLVLTGDCTSSALAPDSSNQSEKEPGDFKQGYFVIPKGKRGMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGYEVRAIENKEIMSICTSKIKIDQVRLLEDPNKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYHAYQRLLQKMSENKCHGCIKLKEHIALMKDQKVYKDQLNELKYQMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPFLTPKLADARKRIYDTAIRLGQLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYPSSPNSLVNLVCTSSSFFVTVGLCLASTLSKSVLEIGGGTATSEPEKPSVRRAKFSKSALNCGNLTGSTTASCSACLAPSSPATSFHLTFGFSDTIAEWIPAQSLARSESSPSPPPYLALLRSFPFASVAAGAAAAGAGAAAARREARISSARAM >LPERR02G04020.2 pep chromosome:Lperr_V1.4:2:2591921:2605722:-1 gene:LPERR02G04020 transcript:LPERR02G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTTTSPASEVPFRIRFSGHGGHLRLDPTPNPPSPIPDFVLPPAFPPETPGSVKEYLEANYLNPELYLPSAADGAARVWDLDWFDMARPPLEPSAPRTMLAPAWVPPFRRRRPPLSSAAPEETQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLRDDAAGAAALEKAFPEGARSGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYRSQWKCYKDGESVDEQPASSSNDTMDMYSVQFDDLFKIAWEEDADNKVSREDDVQQPVGDEESNDVDKQNIDKLQDASESVENLDIEKQKDSARGDVSEAQTDLDQMLSSSVKDTSKDSSGSGDDSLAKEGKVWALVGGDEDIVTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEAFKKKNSNKLGMKSGSKAGTPAVRAGRNPDASSRGKDQKNPKHHQANSAAAAAQQSTSGSRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEICLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLKKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALEAEAHREFITEAIMQLPSCQQFLTPGRLVVVKSKSDDDHLLGVIVKNPSAALKQYVVLVLTGDCTSSALAPDSSNQSEKEPGDFKQGYFVIPKGKRGMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGYEVRAIENKEIMSICTSKIKIDQVRLLEDPNKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYHAYQRLLQKMSENKCHGCIKLKEHIALMKDQKVYKDQLNELKYQMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPFLTPKLADARKRIYDTAIRLGQLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYPSSPNSLVNLVCTSSSFFVTVGLCLASTLSKSVLEIGGGNCGNLTGSTTASCSACLAPSSPATSFHLTFGFSDTIAEWIPAQSLARSESSPSPPPYLALLRSFPFASVAAGAAAAGAGAAAARREARISSARAM >LPERR02G04020.3 pep chromosome:Lperr_V1.4:2:2593967:2605722:-1 gene:LPERR02G04020 transcript:LPERR02G04020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTTTSPASEVPFRIRFSGHGGHLRLDPTPNPPSPIPDFVLPPAFPPETPGSVKEYLEANYLNPELYLPSAADGAARVWDLDWFDMARPPLEPSAPRTMLAPAWVPPFRRRRPPLSSAAPEETQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLRDDAAGAAALEKAFPEGARSGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYRSQWKCYKDGESVDEQPASSSNDTMDMYSVQFDDLFKIAWEEDADNKVSREDDVQQPVGDEESNDVDKQNIDKLQDASESVENLDIEKQKDSARGDVSEAQTDLDQMLSSSVKDTSKDSSGSGDDSLAKEGKVWALVGGDEDIVTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEAFKKKNSNKLGMKSGSKAGTPAVRAGRNPDASSRGKDQKNPKHHQANSAAAAAQQSTSGSRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEICLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLKKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALEAEAHREFITEAIMQLPSCQQFLTPGRLVVVKSKSDDDHLLGVIVKNPSAALKQYVVLVLTGDCTSSALAPDSSNQSEKEPGDFKQGYFVIPKGKRGMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGYEVRAIENKEIMSICTSKIKIDQVRLLEDPNKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYHAYQRLLQKMSENKCHGCIKLKEHIALMKDQKVYKDQLNELKYQMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPFLTPKLADARKRIYDTAIRLGQLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYPSSPNSLVNLVCTSSSFFVTVGLCLASTLSKSVLEIGGGVI >LPERR02G04020.4 pep chromosome:Lperr_V1.4:2:2591921:2593505:-1 gene:LPERR02G04020 transcript:LPERR02G04020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMWSAWRTQTATSEPEKPSVRRAKFSKSALNCGNLTGSTTASCSACLAPSSPATSFHLTFGFSDTIAEWIPAQSLARSESSPSPPPYLALLRSFPFASVAAGAAAAGAGAAAARREARISSARAM >LPERR02G04030.1 pep chromosome:Lperr_V1.4:2:2609468:2611127:1 gene:LPERR02G04030 transcript:LPERR02G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALSSLETMLNSLMGNSGGEDNNKLVVNDDDALDSPPPPPLPARPTPRCRRHPSRLHNIVTIRDSTHKEEEEDEGKKVDDVLVEELEKKAAMAEARLRQKEEENSVLRRKIESYHVRWLQYEIELSSLKETIDEQMASLQMAQESSAENRPRKMLPSSHDDRRREYSESHMKMSDESRSRKTLPSYDDRRDEEFAESHMKMSEEMSARLRRHGGGRGVDAVVVRRNPAPWQPRAPGGNSIDDLKKLKSEFRSWKKDYKARLRKAAAVEIDCERRGRNNCW >LPERR02G04040.1 pep chromosome:Lperr_V1.4:2:2615216:2617435:1 gene:LPERR02G04040 transcript:LPERR02G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAEGRMRRRMGAWGEDGEDRISDLPDALRLQILSLLPLKSAIRTGVLSSRWRGLWEMRWPDPSSLDVRLPSGGGGAASAAAAVAAVRGECLAVVDRRGRRRMDRLSLAFHAGQLASPELKRLIEYAAACDVEEVRLRLDGGGGRGARGGTRRPPGALAVHFPIGSKLLARMSVRGLHLTASANAMVATLEVIHLHSVSLTDAALRRVVAACPRLRELELRYCRHLRRIDFTVGVANLRSLTVVDCSRATELRVPSAPHLRSFRFSGAFLFSNVFGSTVGCLEHLYLCSGGPETGLPRTNLPAAVPRLSNLTVLTLCSIALQYVSATTTVERSMNSLRELQLLMFGMANSNLADIYSFLKVCRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLLLVKMTNFKGYRNEMRLVDFLLRKASCLNKMFLIAPKEIHPQGLRKVHSEALPHFLKTDVLHLERASATAQIIFDESVSPQTQPLHSEVFVRI >LPERR02G04050.1 pep chromosome:Lperr_V1.4:2:2621742:2629198:1 gene:LPERR02G04050 transcript:LPERR02G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPRTSIDVKGSAEMEVAECSTGEKVQILKASASASMDYSIAQFPVKWQGIKYKLQQLCSNLNAPGDGGSDEHIMLVQFLQTAMATVSHIQAIASQCSDESYIGGRLRLRSDLDNISSKLDVHLKDLQEMASSRISVHSQAVVATRPGNGASLINKRLYINDLFMRVRIGDLAQKNQALITIGELLCEDIEYVKIVALDIDGSITLLIGFLESRDACIQEQAARIVSIIAGYDSYRGMLVKAGVIAPLVQLLDSASTSTVSSRERATQALMELTSNSDNVWAVCAQGGITMLLTICADVKNGGKLISSAFAVLKNLSRVEEVKMFMVEEGAILELVKLSQQKEEERKVGSVELLHFMALTDANVRQAAISMGMIQSLTQLINPDLPYSSKAREVALSAIPFFCFPSKALTDDLISSSFLTWLLSYLNNGDCAVLECTLNILLRLTRISEENNKMVGRAGFMTALGSLLEPKFCQVREMSAQVLCNLLLLHSNRVMFIQDGDNVNRLLQSLDPGDSKTLAKDLAISCLMSLAETNVGRKKITSSEHFGSLKGLADSGDLYAKKIMKKLCSNRFQSILTRIRIT >LPERR02G04060.1 pep chromosome:Lperr_V1.4:2:2632467:2634468:1 gene:LPERR02G04060 transcript:LPERR02G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPITQNKYGKKAARNEF >LPERR02G04070.1 pep chromosome:Lperr_V1.4:2:2636734:2637045:1 gene:LPERR02G04070 transcript:LPERR02G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLHHLGSNKRASARCVEFVSKRLSIGMKAPSLVVAASMGAVEALKDQAGLCRWGYALRAAAASAPTLSKPKPNPASSPAAKEADLRRLRKAHHLICWGPN >LPERR02G04080.1 pep chromosome:Lperr_V1.4:2:2639700:2641169:-1 gene:LPERR02G04080 transcript:LPERR02G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVRHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARRYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKIARAEYKCPRSFSVELKDLLYKILDPDPSNRISISKIKRSAWYRKPIDVNAVKGKHEIGEKVYKGEATTSNSTECSISEGNQVLSRDKVYNGEATTSESPECSNSEGKQASSSLPNMNAFDIISLSTGFDLSNLFEERYGWREERFTSRQPAATIFARLNELARRFKLKIKKKENGVLRLVAPKEGIKGFLELDAEVFELAPSFHLVEFKKSNGDTIEYQKIMKEDIRPALKDIVWAWQGDRHQQPEQLMQGGQQPSPLSSQQPQG >LPERR02G04090.1 pep chromosome:Lperr_V1.4:2:2642587:2647432:1 gene:LPERR02G04090 transcript:LPERR02G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVWFLLLLVAASALAESREEGGGEENKFLAGWRHYFYSAPPPSSFVVSGDLVDKIWSVCVQDIVGPEDTLGFGESFAWDELSSHSTEDELKTALLMELMVLLPPEKSSITYDCIHANYFSLGIPQVYSVALSNYIQSQQLLIGSNFYPRRRLVHNVVAHAPSMAPAFAPSMSSGSEVHSPLSVTEAPVTPLNSLNMEPPTPHYPSKHAHKHRGVPPPVSPSEKHHDYVKIVLIAVLPTAALSFLAAFLCFYCCGCNKSKVSVGEQRDDHPLLHLQFANLPGSSPDVRVPVSPLHKDDNGVRPSNAGVSISQCFPCCFKTSSHATTQENNATSDAPKSMPPPPPPPPPPPPPPPPPPRPPPPPPPPMKKGAPPPPAPPKGTLARFPKVSPAESSRSEESSASEIASESSETEVNAPRTKLRPFYWDKVLSNPDQSMAWHDIKFGSFHVNEEMIEELFGYGASNKNNAKDKEIPIADPSPHHVSLLDVKKSCNLAVVFKAMNVRAEDIHDALIQGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALTDIPFAFKRIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGRSPPCSSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVSSLRHELLKAKEFLNSDMAALEENSGFHRSLESFIKHADTETNFLLKEDKRLRMLVKRTIRYFHGNDEKDDGFRLFVIVRDFLVMLEKACKEVGASQKKATNRSQSNGNSNPSSQSNPQEQQFSAILDHHFDSSDSND >LPERR02G04100.1 pep chromosome:Lperr_V1.4:2:2647787:2654539:1 gene:LPERR02G04100 transcript:LPERR02G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKASNPRGARASDTPTPPPQTRTLVLRVAILQTLTLALAGDRNSPIRERGIHRRSSAAEMLGPPRRGPAYKTKLCALWQRGNCSRDTCSFAHGTNELRRATASRNAFPHHPGRRDYRGDDFRGRIDRRFSPRRRHSPGRESRGHRPLFDRRPTSRERESSYSRSPSRKSERRHEKKTDDVETNSSRSLSLSDDNDDKKKDKFSSGDEKQDHEKQLKQIRLDMEALRDDKTHLEVILDEKIDEVRHISSKVNDLEAQLRTEKEECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLAVDILKRPTNEEGSSVNVDEDLNGSYERSPNVAATKKRSIPRSTSEDAKPVKKRRERDSDTITKSDKYRSDGTDFDKTSKGTEATKSLYLKKKLWEDEKSKLGANLFTDKAKDSPVNHVLPSTGMAAHAIDDLNEALELEDIHEPIDALLENDADDKIRSSVIPLQPLPVAQNAYEQYEGDDEEVDVE >LPERR02G04100.2 pep chromosome:Lperr_V1.4:2:2647787:2654539:1 gene:LPERR02G04100 transcript:LPERR02G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKASNPRGARASDTPTPPPQTRTLVLRVAILQTLTLALAGDRNSPIRERGIHRRSSAAEMLGPPRRGPAYKTKLCALWQRGNCSRDTCSFAHGTNELRRATASRNAFPHHPGRRDYRGDDFRGRIDRRFSPRRRHSPGRESRGHRPLFDRRPTSRERESSYSRSPSRKSERRHEKKTDDVETNSSRSLSLSDDNDDKKKDKFSSGDEKQDHEKQLKQIRLDMEALRDDKTHLEVILDEKIDEVRHISSKVNDLEAQLRTEKEECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLAVDILKRPTNEEGSSVNVDEDLNGSYERSPNVAATKKRSIPRSTSEDAKPVKKRRERDSDTITKSDKYRSDGTDFDKTSKGTEATKSLYLKKKLWEDEKSKLGANLFTDKYEGDDEEVDVE >LPERR02G04120.1 pep chromosome:Lperr_V1.4:2:2658320:2662179:1 gene:LPERR02G04120 transcript:LPERR02G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLETLVLSNNHLNGTFPQFLLSNTSLRMKYLDLSGNAFSGPIPNSLPEMAPNLRYLDLSANGFSGSIPHSLSRLHKLWKLSVAMNNLTGGIPEELGMMSGLEELDLGSNPLGGSIPTSLGQLQRLQILNVSDAGLVSTLPAELGNLTGLQGMDLSRNQFIGSLPPSYARMKGIRQFSINKNYINGSIPPEILSNWTDIQAFDVANNMLHGNIPSQISNWTGLEYLILFGNNFTGSIPADMVGLPLLLTLEMSKNHLTGTIPLNICNVTFMGYLAISDNHLEGELPECLWKLKNLFLMDLSSNALSGEVPTSSDHYESSMRSLHLSNNNFSGSFPSVLKNLNKLVVLDLGYNKISGMIPSWIAENNPLLGVLRLRSNMFNGSIPWQLSQLSHLQLLDLAENNFTGPIPGSLGNLSSMQRGITDMSIFIDLYPGVESLSNPYYIDIVWKGREHTFLEIYQHVVGIDLSCNILSGEIPSELANLRSLQFLNVSRNYLTGGIPKDIGNLKFLESLDLSWNKLSGPIPPSTSNLMSLSKLNLSNNLLSGEIPRGNQLQTLDDPSIYSNNLGLCGFPLKVPCSNDSNPKITLEGVKEHHEDLETVWLYYSVTAGTVFGFWLWFGVLFFCSAWRFAFFSHIDAMQQKVMQNMKNI >LPERR02G04130.1 pep chromosome:Lperr_V1.4:2:2662688:2669207:-1 gene:LPERR02G04130 transcript:LPERR02G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVEIQEEYIKEEQKNLKRELLRAQEEVKRIQSVPVAIGQFMEMVDGGSGIVGSTTGSNYLVRILSTVDRELLRPSASVALHRHSNALVGATPPSLFLLRRSGRTSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVVHHTTAAFIRVVGSEFVQKYLGEQFRFSDLPNKSHGEQCFVTLGYFQLSDLRQTHEIDRYSSSEQESGSLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAIRQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPRL >LPERR02G04140.1 pep chromosome:Lperr_V1.4:2:2672979:2674857:-1 gene:LPERR02G04140 transcript:LPERR02G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLRLVAAIVVFLGAGVVIGGARAQLRMGFYEESCPAAERIVGEYVRQHVRRVPTVAAALLRLHYHDCFVRGGPTWRVATGRRDGTVSRMEEALAEIPSPAMSFQELAALFATKGLTVRDLVWLSGAHTIGIAHCSSFADRLYAGATDPNANTTTDPPPLDAAFAANLRDRKCRTAGDGVVEMDPGSHLTFDLSYYRGVLKHRCLLRSDAALVTDAAARADIAGVVDSPPEVFFQVFGRSMARLGMVQVKTGSEGEIRRNCAVVNS >LPERR02G04150.1 pep chromosome:Lperr_V1.4:2:2680274:2680576:-1 gene:LPERR02G04150 transcript:LPERR02G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGPFLPRERLFKQQQYFQNLTKHTHLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGIGKKE >LPERR02G04160.1 pep chromosome:Lperr_V1.4:2:2687016:2692698:1 gene:LPERR02G04160 transcript:LPERR02G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVPKKSQRIIDREREDDYPSSRRSDMTRSPPGRPPRRDPSMLSSCDLELLSSRPFPSSKESTKYWNWHGYSLMCLLPWIFLPVWDGNGNAHPIFPSNGPTPTNALQSMTSTPTGKRKKKRAVTTGRHATQFAKTRARECTTATTTTHSHRQHTYLGVVSDTTTPAASSGILFGATTLPSVMLSRLIQLSRVLKVDPNGHEVYAYLDMQYWASPNNGISNASKYGWLLIILYPLAYLFNFLLKADGGEAILVSSGLVLYCGDMLSHTLSKGVLLGLFLLPLLYKNSLQVLTYGRKLERQSTQTVGECTQKRIYCVPSWTHLVQGFEVHPFVWVFNYIFTDSRERISKQSKTERILLRKYYHLAAVLIFSPAVVFQVWEIYPLGHIVHQFMNAFTDHRDSEILVVSHFSLFLGCTLPKWMSSGFNDRPLIPFAGILSLGIGDTMASMIGYKYCVLRWSKTGTLAIAFGRVTVSCLLEAYTAQLDNAFIPLVVIPVSPDEQLGKNWKCKRPRVNHAVV >LPERR02G04160.2 pep chromosome:Lperr_V1.4:2:2687016:2692698:1 gene:LPERR02G04160 transcript:LPERR02G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVPKKSQRIIDREREDDYPSSRRSDMTRSPPGRPPRRDPSMLSSCDLELLSSRPFPSSKESTKYWNWHGYSLMCLLPWIFLPVWDGNGNAHPIFPSNGPTPTNALQSMTSTPTGKRKKKRAVTTGRHATQFAKTRARECTTATTTTHSHRQHTYLGVVSDTTTPAASSGILFGATTLPSVMLSRLIQLSRVLKVDPNGHEVYAYLDMQYWASPNNGISNASKYGWLLIILYPLAYLFNFLLKADGGEAILVSSGLVLYCGDMLSHTLSKGVLLGLFLLPLLYKNSLQVLTYGRKLERQSTQTVGECTQKRIYCVPSWTHLVQGFEVHPFVWVFNYIFTDSRERISKQSKTERILLRKYYHLAAVLIFSPAVVFQVWEIYPLGHIVHQFMNAFTDHRDSEILVVSHFSLFLGCTLPKWMSSGFNDRPLIPFAGILSLGIGDTMVVIPVSPDEQLGKNWKCKRPRVNHAVV >LPERR02G04160.3 pep chromosome:Lperr_V1.4:2:2687016:2693192:1 gene:LPERR02G04160 transcript:LPERR02G04160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVPKKSQRIIDREREDDYPSSRRSDMTRSPPGRPPRRDPSMLSSCDLELLSSRPFPSSKESTKYWNWHGYSLMCLLPWIFLPVWDGNGNAHPIFPSNGPTPTNALQSMTSTPTGKRKKKRAVTTGRHATQFAKTRARECTTATTTTHSHRQHTYLGVVSDTTTPAASSGILFGATTLPSVMLSRLIQLSRVLKVDPNGHEVYAYLDMQYWASPNNGISNASKYGWLLIILYPLAYLFNFLLKADGGEAILVSSGLVLYCGDMLSHTLSKGVLLGLFLLPLLYKNSLQVLTYGRKLERQSTQTVGECTQKRIYCVPSWTHLVQGFEVHPFVWVFNYIFTDSRERISKQSKTERILLRKYYHLAAVLIFSPAVVFQVWEIYPLGHIVHQFMNAFTDHRDSEILVVSHFSLFLGCTLPKWMSSGFNDRPLIPFAGILSLGIGDTMGYSKLSIGGVYCTAR >LPERR02G04170.1 pep chromosome:Lperr_V1.4:2:2700222:2701265:-1 gene:LPERR02G04170 transcript:LPERR02G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFVYMVDLCLGDPISHRLRRFNPSHLFYPKDMPPGDRATVEDARLPPPIMTFCSPGPRASSVSMEFMRRNDGKIVGVDHTGRSILYDDDAHTVRTLPNITMPKVWTMSVPIGDEDVYLMEMTPRRDGGSDEKPERSFEALVRRREGNGEDYFWRPLPPPPPCANAPKYFHGSGVMVSGYAAVGDSHILVSTMSHGTYAFDTAAAAWSKAGDWGLPFRGRAVHVPEHGLWFGISDADDTLLAAWDLSSSPITQPPPPPSLQSMGLSIPSWDGLPMPVVDVSHVVNLGDGKLCVAKLFTVDDRGLYSFAMLTGVEVVRRHGGKICVIKHKSRRYSFGEDKTPAFVL >LPERR02G04180.1 pep chromosome:Lperr_V1.4:2:2705256:2706468:1 gene:LPERR02G04180 transcript:LPERR02G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFTGTDDLSTGSLSTTAQSRQTSWASLDFEQPRSILPIEILPEKSGQEAPSYPDIPRGPRKTRRKKTKTSSPTSSARSSSSRSRASFATAYDAFNESQRGFRVA >LPERR02G04190.1 pep chromosome:Lperr_V1.4:2:2708327:2713815:1 gene:LPERR02G04190 transcript:LPERR02G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGYIHGTLGLACFALVIAMAGATQFNVGGANGWSVPGANAESYNDWAEKTRFQVGDKLVFVYPKDKDSVLLVEPADYNACNTSSYVKRFADGNTVFDLDRSGAFFFISGVDANCLANEKLIVMVLAARNATAASPCAIARLFACCFVVMSVAAMAGATQYKVGGDGGWAVPGAGAESYNTWAEKTSFQIGDQLLFVYPKDKDSVLEVEPADYNSCNTASYDKKFADGSTAFDLDRAGAFFFISGVDDNCRAGEKLIVMVANSTTTTSSPPPSSSSSSPSGNNPPAGKPAGTANGGGGSKSGAAGITAATSGIAGVVVAAVAYVAAIAI >LPERR02G04190.2 pep chromosome:Lperr_V1.4:2:2708327:2713815:1 gene:LPERR02G04190 transcript:LPERR02G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGYIHGTLGLACFALVIAMAGATQFNVGGANGWSVPGANAESYNDWAEKTRFQVGDKLVFVYPKDKDSVLEVEPADYNSCNTASYDKKFADGSTAFDLDRAGAFFFISGVDDNCRAGEKLIVMVANSTTTTSSPPPSSSSSSPSGNNPPAGKPAGTANGGGGSKSGAAGITAATSGIAGVVVAAVAYVAAIAI >LPERR02G04200.1 pep chromosome:Lperr_V1.4:2:2713742:2715899:-1 gene:LPERR02G04200 transcript:LPERR02G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRICGFDARLSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGDSSEKQYR >LPERR02G04200.2 pep chromosome:Lperr_V1.4:2:2714372:2715247:-1 gene:LPERR02G04200 transcript:LPERR02G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >LPERR02G04210.1 pep chromosome:Lperr_V1.4:2:2717743:2718117:1 gene:LPERR02G04210 transcript:LPERR02G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQATARIMTEVAPPQVVSVMRRRKHVARSLDTIAEDDDCRELIYGGDKKQINAATSKATASTFATAPFAVDRQPVPAARGYMKELSKWFSNNNGVHGHEGWPEDHRRAVYGITTGLSSRAG >LPERR02G04220.1 pep chromosome:Lperr_V1.4:2:2718126:2741466:-1 gene:LPERR02G04220 transcript:LPERR02G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGSGGGGGGGGGGGDGGGGGGGGSPSAPDRLAAAPSPRVRFSDSGDEGVLNVLWQKYENAIDKAEKKESLQIFVMHFIQAFKEWEPHYIEQSADQESISDDTVVGCSSGHPSEVILILVQEVSQITSFITESSSCSESSPNISEQSSSGLVLSTEGLTVLECLTIVSHSVHNCRVFSYYGGVQKVTALLKVVKLKTLTSLLAADEQLSNKAIENMKMMQKILLYIVTIISNFMNLEPTVTRLTQFVNTTEHTLSSEFLATITPRYAKNTVHDRNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDMLLHFITLHALRSTISQNTRAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVSNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSPVQNFKLEESTGTLLTSESSTSPIDILDTAEWTEYCVKLSIALCSFLLPPNEIKNFCGTADVNVNQISLSISLAYWEQCARWIIKVLSTVFPCIKACASESEFPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWNLIFSEKFFYFGSSMESIHHIVRDTQNATGPAGSQGLNLADINILQSEAISFLEFAATLNENTNNLPECSALLDVLEQCTYDPGLAGTILKSFHVILQLATEQTFSSFKSLDVLSRVLKVACLQAQHLRKLSHPRDGLSGNVFQSENVQMSSSDERIKSTIACVELAFNLFKEYATISELGRILVLHNTNCIESLFDLFQEESLRKDVLEQVLALFRLPSSSAKDHTAKLQLCSKYLEAFTRAKEKENFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAIGEQLVLNVLQTLTVLLSENDVSKASFKMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDINEETTIKNEDVIILFLNVLQKSSTTLQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTIVKIAELIQIIGGHSICGKDIRKMFALLRDEKIDVKQKHNSLLLSSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNRGLSFCCWLRVESFPENGMMGLFSFFTENGKGCLAMLGKDTLIYESISQKHQCVLLPLSLPTKKWIFLSVTHTVGRAFSAGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDTLSSEQIKGIYNLGPSYMYSFLGDQNLLMNDDTLYKGILDARDGISSKMIFGLNAQASKNRNLFNVSAAHDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAAIHSGEPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSEILLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKLLPLLCGLPRIIDIVIQFYSEKTDLRSSKPSFHLVTKEVIADSPNTEEIHKLRLLLLSLAEMSIKLKVSQHDIRALVSFFERSQDVACIEDVLYMIIRALSHNPLLSSFLEKVNTLGGCYIFINLLKREFEPIRLLGLQFLGKLLVGVPSEKKGPKLFGLPVGRPRPVSENPRQGITAAPQLFFYSISERLFKFPLSDHLCATLFDVLLGGASPKQILVCIFKYMQSCQDMLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSCSKAKDNTLETNELILVRNMYSLVLTYCLCSVKGGWHQLEDTTNFLLLKIEQGQVPNFCLLRDMFEDIVGSLLETSSEENLFISQPCRDNILYLLKLSHELFIDQIGIKLLFPSHELTAQSSSDNSLVEDINIAVVEILNAEGSGQLTSLPWSNSTSTDGGKPSDDWWSFYDKIWTLLYNMNGRGQNRLTPKGSNAAAPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKSGLENASKSLLRVRSQYGGLDDGARSDVLSQLIFETLIHGKSVLTTTMVARDDSTEANSNKESGFALNFVQKERVLAAAVDEVKYMKDAKADRLRQLQELHSKIDESLIEDVEQLQSFEDDIQFAKNAAISADDSRKTALKLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSIAAYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNECNASSADPSIGAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDTSESSPNTVSKTQGSSDAADLVDSSDYSTIVQNRKEPVSTGGDDDYAEVLSSVQCVLVTPKRKLAGQLTITQNALHFSFEFLVEGTGGTSVFNRFQEKKDPDSKNDLVGSEKQKSNLDGGRGNATESSDALMKDTSNKIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQHDAKNIGSLLVSLRNDALFPKGSTKDKSSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSDKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSTNGSLSSVLFVSLLDSSIVVMNDGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKYADKKSRNANYDQSTKDHHPSGSGLSKLVASQHGRIVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCASDHGQIVLRSMLSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKGTVQRNKIKPSVVEQADSYRVIGSKCTREQFVTLIYLYIHHMEEIDSNRTDDKPKLMYELA >LPERR02G04220.2 pep chromosome:Lperr_V1.4:2:2718126:2741466:-1 gene:LPERR02G04220 transcript:LPERR02G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGSGGGGGGGGGGGDGGGGGGGGSPSAPDRLAAAPSPRVRFSDSGDEGVLNVLWQKYENAIDKAEKKESLQIFVMHFIQAFKEWEPHYIEQSADQESISDDTVVGCSSGHPSEVILILVQEVSQITSFITESSSCSESSPNISEQSSSGLVLSTEGLTVLECLTIVSHSVHNCRVFSYYGGVQKVTALLKVVKLKTLTSLLAADEQLSNKAIENMKMMQKILLYIVTIISNFMNLEPTVTRLTQFVNTTEHTLSSEFLATITPRYAKNTVHDRNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDMLLHFITLHALRSTISQNTRAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVSNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSPVQNFKLEESTGTLLTSESSTSPIDILDTAEWTEYCVKLSIALCSFLLPPNEIKNFCGTADVNVNQISLSISLAYWEQCARWIIKVLSTVFPCIKACASESEFPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWNLIFSEKFFYFGSSMESIHHIVRDTQNATGPAGSQGLNLADINILQSEAISFLEFAATLNENTNNLPECSALLDVLEQCTYDPGLAGTILKSFHVILQLATEQTFSSFKSLDVLSRVLKVACLQAQHLRKLSHPRDGLSGNVFQSENVQMSSSDERIKSTIACVELAFNLFKEYATISELGRILVLHNTNCIESLFDLFQEESLRKDVLEQVLALFRLPSSSAKDHTAKLQLCSKYLEAFTRAKEKENFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAIGEQLVLNVLQTLTVLLSENDVSKASFKMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDINEETTIKNEDVIILFLNVLQKSSTTLQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTIVKIAELIQIIGGHSICGKDIRKMFALLRDEKIDVKQKHNSLLLSSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNRGLSFCCWLRVESFPENGMMGLFSFFTENGKGCLAMLGKDTLIYESISQKHQCVLLPLSLPTKKWIFLSVTHTVGRAFSAGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDTLSSEQIKGIYNLGPSYMYSFLGDQNLLMNDDTLYKGILDARDGISSKMIFGLNAQASKNRNLFNVSAAHDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAAIHSGEPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSEILLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKLLPLLCGLPRIIDIVIQFYSEKTDLRSSKPSFHLVTKEVIADSPNTEEIHKLRLLLLSLAEMSIKLKVSQHDIRALVSFFERSQDVACIEDVLYMIIRALSHNPLLSSFLEKVNTLGGCYIFINLLKREFEPIRLLGLQFLGKLLVGVPSEKKGPKLFGLPVGRPRPVSENPRQGITAAPQLFFYSISERLFKFPLSDHLCATLFDVLLGGASPKQILVCIFKYMQSCQDMLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSCSKAKDNTLETNELILVRNMYSLVLTYCLCSVKGGWHQLEDTTNFLLLKIEQGQVPNFCLLRDMFEDIVGSLLETSSEENLFISQPCRDNILYLLKLSHELFIDQIGIKLLFPSHELTAQSSSDNSLVEDINIAVVEILNAEGSGQLTSLPWSNSTSTDGGKPSDDWWSFYDKIWTLLYNMNGRGQNRLTPKGSNAAAPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKSGLENASKSLLRVRSQYGGLDDGARSDVLSQLIFETLIHGKSVLTTTMVARDDSTEANSNKESGFALNFVQKERVLAAAVDEVKYMKDAKADRLRQLQELHSKIDESLIEDVEQLQSFEDDIQFAKNAAISADDSRKTALKLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSIAAYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNECNASSADPSIGAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDTSESSPNTVSKTQGSSDAADLVDSSDYSTIVQNRKEPVSTGGDDDYAEVLSSVQCVLVTPKRKLAGQLTITQNALHFSFEFLVEGTGGTSVFNRFQEKKDPDSKNDLVGSEKQKSNLDGGRGNATESSDALMKDTSNKIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQHDAKNIGSLLVSLRNDALFPKGSTKDKSSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSDKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSTNGSLSSVLFVSLLDSSIVVMNDGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKYADKKSRNANYDQSTKDHHPSGSGLSKLVASQHGRIVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCASDHGQIVLRSMLSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKGTVQRNKIKPSVVIGSKCTREQFVTLIYLYIHHMEEIDSNRTDDKPKLMYELA >LPERR02G04220.3 pep chromosome:Lperr_V1.4:2:2718126:2741466:-1 gene:LPERR02G04220 transcript:LPERR02G04220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGSGGGGGGGGGGGDGGGGGGGGSPSAPDRLAAAPSPRVRFSDSGDEGVLNVLWQKYENAIDKAEKKESLQIFVMHFIQAFKEWEPHYIEQSADQESISDDTVVGCSSGHPSEVILILVQEVSQITSFITESSSCSESSPNISEQSSSGLVLSTEGLTVLECLTIVSHSVHNCRVFSYYGGVQKVTALLKVVKLKTLTSLLAADEQLSNKAIENMKMMQKILLYIVTIISNFMNLEPTVTRLTQFVNTTEHTLSSEFLATITPRYAKNTVHDRNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDMLLHFITLHALRSTISQNTRAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVSNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSPVQNFKLEESTGTLLTSESSTSPIDILDTAEWTEYCVKLSIALCSFLLPPNEIKNFCGTADVNVNQISLSISLAYWEQCARWIIKVLSTVFPCIKACASESEFPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWNLIFSEKFFYFGSSMESIHHIVRDTQNATGPAGSQGLNLADINILQSEAISFLEFAATLNENTNNLPECSALLDVLEQCTYDPGLAGTILKSFHVILQLATEQTFSSFKSLDVLSRVLKVACLQAQHLRKLSHPRDGLSGNVFQSENVQMSSSDERIKSTIACVELAFNLFKEYATISELGRILVLHNTNCIESLFDLFQEESLRKDVLEQVLALFRLPSSSAKDHTAKLQLCSKYLEAFTRAKEKENFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAIGEQLVLNVLQTLTVLLSENDVSKASFKMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDINEETTIKNEDVIILFLNVLQKSSTTLQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTIVKIAELIQIIGGHSICGKDIRKMFALLRDEKIDVKQKHNSLLLSSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNRGLSFCCWLRVESFPENGMMGLFSFFTENGKGCLAMLGKDTLIYESISQKHQCVLLPLSLPTKKWIFLSVTHTVGRAFSAGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDTLSSEQIKGIYNLGPSYMYSFLGDQNLLMNDDTLYKGILDARDGISSKMIFGLNAQASKNRNLFNVSAAHDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAAIHSGEPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSEILLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKLLPLLCGLPRIIDIVIQFYSEKTDLRSSKPSFHLVTKEVIADSPNTEEIHKLRLLLLSLAEMSIKLKVSQHDIRALVSFFERSQDVACIEDVLYMIIRALSHNPLLSSFLEKVNTLGGCYIFINLLKREFEPIRLLGLQFLGKLLVGVPSEKKGPKLFGLPVGRPRPVSENPRQGITAAPQLFFYSISERLFKFPLSDHLCATLFDVLLGGASPKQEYGWSSWLETSVKLDVFRDYKSCSKAKDNTLETNELILVRNMYSLVLTYCLCSVKGGWHQLEDTTNFLLLKIEQGQVPNFCLLRDMFEDIVGSLLETSSEENLFISQPCRDNILYLLKLSHELFIDQIGIKLLFPSHELTAQSSSDNSLVEDINIAVVEILNAEGSGQLTSLPWSNSTSTDGGKPSDDWWSFYDKIWTLLYNMNGRGQNRLTPKGSNAAAPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKSGLENASKSLLRVRSQYGGLDDGARSDVLSQLIFETLIHGKSVLTTTMVARDDSTEANSNKESGFALNFVQKERVLAAAVDEVKYMKDAKADRLRQLQELHSKIDESLIEDVEQLQSFEDDIQFAKNAAISADDSRKTALKLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSIAAYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNECNASSADPSIGAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDTSESSPNTVSKTQGSSDAADLVDSSDYSTIVQNRKEPVSTGGDDDYAEVLSSVQCVLVTPKRKLAGQLTITQNALHFSFEFLVEGTGGTSVFNRFQEKKDPDSKNDLVGSEKQKSNLDGGRGNATESSDALMKDTSNKIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQHDAKNIGSLLVSLRNDALFPKGSTKDKSSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSDKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSTNGSLSSVLFVSLLDSSIVVMNDGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKYADKKSRNANYDQSTKDHHPSGSGLSKLVASQHGRIVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCASDHGQIVLRSMLSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKGTVQRNKIKPSVVEQADSYRVIGSKCTREQFVTLIYLYIHHMEEIDSNRTDDKPKLMYELA >LPERR02G04230.1 pep chromosome:Lperr_V1.4:2:2750413:2754882:1 gene:LPERR02G04230 transcript:LPERR02G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNANVEEGSTPPAAECGPKKVQQHDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKISESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQEKKTENSPFIGMNSLTNNRENTGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHNDFMRIDHVEGYLALSGKTKTYFSAAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNSTF >LPERR02G04230.2 pep chromosome:Lperr_V1.4:2:2750413:2754882:1 gene:LPERR02G04230 transcript:LPERR02G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNANVEEGSTPPAAECGPKKVQQHDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKISESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHNDFMRIDHVEGYLALSGKTKTYFSAAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNSTF >LPERR02G04240.1 pep chromosome:Lperr_V1.4:2:2756451:2756813:1 gene:LPERR02G04240 transcript:LPERR02G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLHRATSHREEEERLHRFLRPGALARLRDSRIVARSLRSAAAATRLLPTSLPPPPEAAAEQQVGTPQFLGPARGIGGVGRYPLRRRLCAARSVVFRPPSPVAVEAFSGAFSPAAALS >LPERR02G04250.1 pep chromosome:Lperr_V1.4:2:2757809:2758493:1 gene:LPERR02G04250 transcript:LPERR02G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEDKNARQVCAGRDGVYKKASELSALCGAHFAVVFFSPAGNPHSFAHPSLRSVSDRFLSLSAAPETTTRQEEMVVREFNQAEERLTEARRRDALDEVDDGDDVRRAGVVELVSVAAALEGIRVEVAERVREIVAAAAVAEETMLLHLQYGAAAAAMEDDKLLIGGDFVHAPPSLHPHFERDGFGYSGFAGGGYGYDFEVLDGWTMAMAAMAPATASTMKQK >LPERR02G04260.1 pep chromosome:Lperr_V1.4:2:2758779:2762208:1 gene:LPERR02G04260 transcript:LPERR02G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSTPPPHAAALPAWAANNALFRRHRRLLPLLLPFPSLRAFLPLLSHCIVSGLAGNPFVASRLLLASSPLSLQFSLLLLSHLPASSLSPFSFNSLIRASPPRVALQLFDQMRHRGVTTDRYTLPFLIRACSSGDRVLCESLHGLSVHLGYCSVLFTQTALMNAYFGCWLEVAARRVFDEMLVKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRGCEAAVRPNVVTMVSVASACAGLGSLEYAKGLHAYVEKAGLEGELIVTNALIDMYGKCGGIELARGLFSLMPQKDVHSWTAMISGLASHGHGKEAVSLFFSMREAGVLPDSTTFIVVLSACSHAGLVDEGISIFNSMENEYRVTPDIKHYGCMVDLFSRAGLITRAYQFIESMPFEPNLAILAALLSACSINSELDIGELVIKRIESVCSHKGGASVLLSNIYANQNLWHEVDSLRRKIRNDTTICRKPPAKFVAE >LPERR02G04260.2 pep chromosome:Lperr_V1.4:2:2758779:2762105:1 gene:LPERR02G04260 transcript:LPERR02G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSTPPPHAAALPAWAANNALFRRHRRLLPLLLPFPSLRAFLPLLSHCIVSGLAGNPFVASRLLLASSPLSLQFSLLLLSHLPASSLSPFSFNSLIRASPPRVALQLFDQMRHRGVTTDRYTLPFLIRACSSGDRVLCESLHGLSVHLGYCSVLFTQTALMNAYFGCWLEVAARRVFDEMLVKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRGCEAAVRPNVVTMVSVASACAGLGSLEYAKGLHAYVEKAGLEGELIVTNALIDMYGKCGGIELARGLFSLMPQKDVHSWTAMISGLASHGHGKEAVSLFFSMREAGVLPDSTTFIVVLSACSHAGLVDEGISIFNSMENEYRVTPDIKHYGCMVDLFSRAGLITRAYQFIESMPFEPNLAILAALLSACSINSELDIGELVIKRIESVCSHKGGASVLLSNIYANQNLWHEVDSLRRKIRNDTTICRKPPEINMYNGCLMLDTA >LPERR02G04270.1 pep chromosome:Lperr_V1.4:2:2766447:2769764:1 gene:LPERR02G04270 transcript:LPERR02G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYNEVFLGKPNEAYCAWILDPEKWGEYYGREIAAYDIQTTRCDLYGQMSPFESAPEEFDQTIFPVDYKRSIGPVEGLALNLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >LPERR02G04280.1 pep chromosome:Lperr_V1.4:2:2782399:2789224:1 gene:LPERR02G04280 transcript:LPERR02G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSAATGGGGSLPDQPASPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLTSSLMWLRDGQNSGFQSLNFGGLGMSPWMQPRLDTSLLGLQPDMYQTIAAAAALQNTTKQVSPAMLQFQQPQNIVGRSLLSSQVLQQAQPQFQQMYHQNINDNTIQGHGQPEYLQQSLQHCQSFNEQKPQLQPQQQHQESHQQQPQCVQTPQHQQMQQQKHLSNFHPVPNALSVFSQLSSTPQSAPSTLQTVSPFSQQHNFPDTNISCLSPSNVSSMHNALRPFPSEAASDLPGVPRITPVPVSDPWSSKRVAMESAITSRPHAISSQMENFDLTPSSIPQNSTLAPLPGRECLVDQDGGSDPQNHFLFGVNIDSQSLLMQDGIPSLHNDNVSNTIPYSTSNFLNPSQNDYQLNQTLTSPGCLDESEYAPCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMSRQESRSLSTGIASVGSVEF >LPERR02G04290.1 pep chromosome:Lperr_V1.4:2:2791381:2795217:-1 gene:LPERR02G04290 transcript:LPERR02G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAEPSPSASPAAAPRLLLLSCSPPPLTTESSDSTSSLSLAVSELVVEPRMEAAPESGNRAGEDVKKDGVSCQNSRRPNLSLQIPNRALDDVVPTSTRINILPSPGSARSGLPPRPNSTRAKSSTRGIIPQRSFKAKPTTSRSFSFTKVISSLSAKRTHSLPVTPVATSGPSSHEVHADNLPSSVKNEVETQIRRSLSAPGNHKSKDLRRTASSGLIRVIPTTPRPAPVETASNDAIEEAVDGIPEDGGEDIPEEEAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRIQIRAVNRRPPNGVQQRAAQPHRFWKETPVLVMVSTLAYFCFLEQLLVTDMKTRALAISLPFSCLLGIFSSIVASTMATENYLWAFASFQFAFVILFAHVFYNLLKMGAVLAILLATFTGFGVAISLNAMLIEYSRWRSLRIQRLSRTGNNRRGQSGNSVSNENSAISGPQGSGSAKR >LPERR02G04290.2 pep chromosome:Lperr_V1.4:2:2791381:2795217:-1 gene:LPERR02G04290 transcript:LPERR02G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAEPSPSASPAAAPRLLLLSCSPPPLTTESSDSTSSLSLAVSELVVEPRMEAAPESGNRAGEDVKKDGVSCQNSRRPNLSLQIPNRALDDVVPTSTRINILPSPGSARSGLPPRPNSTRAKSSTRGIIPQRSFKVRSSFQDGDQTILLIPDTPSSSGQQAKPTTSRSFSFTKVISSLSAKRTHSLPVTPVATSGPSSHEVHADNLPSSVKNEVETQIRRSLSAPGNHKSKDLRRTASSGLIRVIPTTPRPAPVETASNDAIEEAVDGIPEDGGEDIPEEEAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRIQIRAVNRRPPNGVQQRAAQPHRFWKETPVLVMVSTLAYFCFLEQLLVTDMKTRALAISLPFSCLLGIFSSIVASTMATENYLWAFASFQFAFVILFAHVFYNLLKMGAVLAILLATFTGFGVAISLNAMLIEYSRWRSLRIQRLSRTGNNRRGQSGNSVSNENSAISGPQGSGSAKR >LPERR02G04300.1 pep chromosome:Lperr_V1.4:2:2796484:2802402:1 gene:LPERR02G04300 transcript:LPERR02G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCIFGKRRATRQQSSQHNDDPSGDINITKFTYKELARVTENFNPSNKIGEGGFGSVYKGKLKNGKFVAVKVLSLESRQGVKEFLNELMAISNVSHENLVRLYGYCVEGNQRILVYNYLENNSLAQTLLGHGYSNIQFDWATRVNICVGIARGLAYLHHVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLEKFPEITNGVLLLQTWVHYEEGDLEKIIDTFLGDDLDVAQACRFLKIGLLCTQDVTKHRPPMSQVVRMLTGEMDVDVEKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSEETTEASMTFTAISDRE >LPERR02G04300.2 pep chromosome:Lperr_V1.4:2:2796484:2802402:1 gene:LPERR02G04300 transcript:LPERR02G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAVTFDIICTDPSGDINITKFTYKELARVTENFNPSNKIGEGGFGSVYKGKLKNGKFVAVKVLSLESRQGVKEFLNELMAISNVSHENLVRLYGYCVEGNQRILVYNYLENNSLAQTLLGHGYSNIQFDWATRVNICVGIARGLAYLHHVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLEKFPEITNGVLLLQTWVHYEEGDLEKIIDTFLGDDLDVAQACRFLKIGLLCTQDVTKHRPPMSQVVRMLTGEMDVDVEKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSEETTEASMTFTAISDRE >LPERR02G04310.1 pep chromosome:Lperr_V1.4:2:2808986:2812027:-1 gene:LPERR02G04310 transcript:LPERR02G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSLSMVEARLPAGFRFHPRDDELVIDYLLACKLHGDPLQRQGCNIPTLIDVDLNKCEPWDLPEIACIGGKEWYFYNLKDRRYATGKRTNRATESGYWKATGRDREITRKELLVGMRKTLVFYRGRAPRGERTDWVMHEFRVENHHGNHHHNQHLKEDWVLCRVFYKSRAELAAAPTMEHPHPPRYISGTTSRPPLPPPINSSISFNPDVLNDDHQVTRNDDLEVIKREPSSLQEKGLAHDQDEGIEGYYSFTDNASSETWNLFRQ >LPERR02G04320.1 pep chromosome:Lperr_V1.4:2:2817985:2819232:1 gene:LPERR02G04320 transcript:LPERR02G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASSMPSPPPAVSFGWLGPPSLLPSPSSSPAAAKVEPAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPLPVATAREMGRESVSSLPPEKSFPSPELVKTTRPATATATAAEVFDPYVFSPKAPTCSSRWRELLRLKKVQTPPPPPQKPSASPSPVNTAVTQSRNSSSSSAARSLKLLLLQRNAGGGGGGRASSSDIAVAPLLRDSSDSETSLSLASSRFSLSSSSSSAGHDHDEFPRHSLDSPRPRIRLVRSSIPQSPSIASAASKPRAAIQSPARRRPSPSPPAPTAVATAAQVDSPRMNSSGKIVFQGLERSSSSPAGPVRTRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDRKETPAKDSSAARPRSALGRKLTYASAGNGVGGGASTVSCRDLGSGK >LPERR02G04330.1 pep chromosome:Lperr_V1.4:2:2825005:2831867:1 gene:LPERR02G04330 transcript:LPERR02G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDDYDGHRMAALELGGAAAADQPPLIPFFRSRTIRAAVFFIMWGFASITLGAVPELSIGLAHLILCFFFLIAGIALLTLAVAGPRSGVAARAAAALESWLRFDPIDQNPRLIVQIKLAYFKGKKYAGSS >LPERR02G04340.1 pep chromosome:Lperr_V1.4:2:2834658:2835170:-1 gene:LPERR02G04340 transcript:LPERR02G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKLICKLRKKVDKSDADAGQSRLQLTHILAKNFLDSGELTADELTSIHAAADHRDGGLLLDGYDRDGNRYEGIHGRRGNGVYRIKGFGRFVRCKGLQVGHTVVAWVFRLPEKEAARYAVMMLNYGTSDEEEMVESEEDAMRDLGGMRGLLKLADDDGGGDGQVKLEK >LPERR02G04350.1 pep chromosome:Lperr_V1.4:2:2836472:2836882:1 gene:LPERR02G04350 transcript:LPERR02G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSAVAGDGVDDMELGRSCDAVAPPPARALAVAATAAVELTNLFFFFLLPGGDGDRRCCVTGTFLHPMEAVTVILAFAAFLSAAGVVLLRHHGNGIVVSPASSAAVLAVSTAALFLASIGTVFLLLHDDVHGVL >LPERR02G04360.1 pep chromosome:Lperr_V1.4:2:2841739:2842763:1 gene:LPERR02G04360 transcript:LPERR02G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHRQRQNIIIINIYVCLLSSSHTPTCLSNSSRNKLNLRCRFKCCDGELRSSSSIRRRSRNCPILIAKWELLVRGPYICLHD >LPERR02G04370.1 pep chromosome:Lperr_V1.4:2:2852219:2852965:1 gene:LPERR02G04370 transcript:LPERR02G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIIGMTSSPATTAAETTTAISGAGASAAARPSRYESQKRRDWQTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPDSNPFAARAVRLFLRDVRDTQSKARGIAYEKKRRKRGSSSSQKPTPAPVVAEPHFLFPHFLHGHYFMAAPVTAGEQGGGGGDVAATVAAGGGEDLVLAMAAAAEAHAAGCMMPALSVFN >LPERR02G04380.1 pep chromosome:Lperr_V1.4:2:2859917:2863737:1 gene:LPERR02G04380 transcript:LPERR02G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLTTAQGPSPSASKPNNKTNTTSAKRRLLDPPPLPPSNSGRDPVASMSPPLALTLVPISNLQQPSKALHPAGCP >LPERR02G04390.1 pep chromosome:Lperr_V1.4:2:2871082:2880240:1 gene:LPERR02G04390 transcript:LPERR02G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPIRLSPPATSAAAAAAAVLAAFAIRRYLHPRSSMATSAHRRITTIFVAGKSTEDKQLLAAAVDGVLELGGEGGDSVEEVTVSLDSGAAGGAGFDAAAYMGALRASRFGRWMLWSPRMASTHDLVTHNFAKLPVGVVCVADVQLKGRGRSKNVWESPPGCLMFSFTSQMSDAQKLPLMQYVVCLAMTEAIKELCRAKGLPELDVKIKWPNDLYLERLKIGGILCTSSYQPKVYNICTGIGLNVDNENPTTCLNAALKELKVNSPMLTREDILASFFNKFEVLFEIFSNEGFQALEEQYYNSWLHSGQKVTVQDGHGTQRADSVVTIQGLTPSGYLYAIGEDGKSYELHPDGNSSSAIRQL >LPERR02G04390.2 pep chromosome:Lperr_V1.4:2:2871082:2877529:1 gene:LPERR02G04390 transcript:LPERR02G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPIRLSPPATSAAAAAAAVLAAFAIRRYLHPRSSMATSAHRRITTIFVAGKSTEDKQLLAAAVDGVLELGGEGGDSVEEVTVSLDSGAAGGAGFDAAAYMGALRASRFGRWMLWSPRMASTHDLVTHNFAKLPVGVVCVADVQLKGRGRSKNVWESPPGCLMFSFTSQMSDAQKLPLMQYVVCLAMTEAIKELCRAKGLPELDVKIKWPNDLYLERLKIGGILCTSSYQPKVYNICTGIGLNVDNENPTTCLNAALKELKVNSPMLTREDILASFFNKFEVLFEIFSNEGFQALEEQYYNSWLHSGQKVTVQDGHGTQRADSVVTIQGLTPSGYLYAIGEDGKSYELHPDGNSSSAIRQL >LPERR02G04390.3 pep chromosome:Lperr_V1.4:2:2874822:2880240:1 gene:LPERR02G04390 transcript:LPERR02G04390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDSVVSAQWLREHLGQPDIKVLDASWYMPVENRDPWQEYQVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALDIKNHDKIIVYDGKGFFSAPRVWWMFRVYGHNKVWVLDGGLPRWRTSGFDLESSSHGETIMKSKAANSVVEMIYNGQLASAVTFETEFQPQLFWTLDKVKHNTAAQTHQVVDARAKGRFDGVAPEPREGVRSGHIPGAKCVPFPEMFDSAPMLLPADEIRNKFEQAGISLDHPIVVSCGSGVTACILALGLYRIGKHHVPVYDGSWTEWEAQPDHDYPKVTSIGS >LPERR02G04400.1 pep chromosome:Lperr_V1.4:2:2878581:2881022:-1 gene:LPERR02G04400 transcript:LPERR02G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAQLVGLVEALINRGPKPSRAHAKAAHARAVAAGLDADDTFLGNRLVELYSRSGLPRHALLAFRRLRRPNGYSYNAALSALCRGSGEGDLDAARHLLDEMPRRDRNAVSWNTVISALARSDRAGEAIGLYGRMRAEGMLPTHVTLASVLSACGAVGAIGDGRRCHGVAVKVGLDENQFVENALLGMYTKCGRVGDAVRLFDGMARPNEVSFTAMMGGLAQAGSVDDALRLFARMCGSGVAVDPVSVSSVLGACAQACVGGDYSVARALWLGQSVHALVVRKGFGSDQHVGNSLIDMYAKCMEMDEAVRVFELLPSVSIVSWNILITGFGQVGFCVKAMELLNLMQEAGFEPNEVTYSNLLASCIKARDVLSARAMFDKIPRPSVTTWNTILSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSISRLGILDLGRQVHSASVRSLLHNDMFVASGLVDMYSKCGQIGIARSIFSRMAERDVVCWNSIISGLAIHSLNKEAFDFFKQMRGNGIMPTESSYASMINSCARLSSIPQGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDCMIMKNIVAWNEMIHGYAQNGFGDKAVELFEYMLTTEQKPDTVTFIAVITGCSHSGLVDKAMSFFNSMESSYGIIPIAEHYTCLIDALGRAGRFAEVESLVDKMPCKDDPIIWEVLLAACVVHHNAELGECAAEHLFRIDPKNPSPYVLLSNIYASWGRHNDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGTDGGEFTMFSNKDSIPQATEAHQDENCVG >LPERR02G04410.1 pep chromosome:Lperr_V1.4:2:2883205:2886534:1 gene:LPERR02G04410 transcript:LPERR02G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVHFHVLLMIILVAVSMSHSLVAGGICRESCGGIPVRYPLSIDDGCGSPYYRNMLSCSADNATLRLRTPSGTYAVAGADYADPHLVVTDPSMWTCDRPFTSVRSAPFSLDTSTRFSLSTRNDYLFFDCDEERVIVAPRPASCDRYPDRCDSAPRAAESVRLMLRHCESYTSVYWRALGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPATRDFVCICDDGRNSTTDCADGPASRHRASAGVVAASVVFSISAAIGITGLVWYIRKIKSMRVVTCGVQSNENRFF >LPERR02G04420.1 pep chromosome:Lperr_V1.4:2:2894778:2897906:1 gene:LPERR02G04420 transcript:LPERR02G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEDVYDDEEEEEVAA >LPERR02G04420.2 pep chromosome:Lperr_V1.4:2:2894778:2898144:1 gene:LPERR02G04420 transcript:LPERR02G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEDVYDDEEEEEVAA >LPERR02G04420.3 pep chromosome:Lperr_V1.4:2:2894817:2897906:1 gene:LPERR02G04420 transcript:LPERR02G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEDVYDDEEEEEVAA >LPERR02G04430.1 pep chromosome:Lperr_V1.4:2:2905416:2913587:-1 gene:LPERR02G04430 transcript:LPERR02G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFAFIDFPTVEAARRMMEGTGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEESFTRYNYGHRTAAAPCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEDATKALEATNGIALEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAVGWAPKEYNPDDKLNSNSEPQNDGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYIPCNEQNNNKAAGDVANASTKTSESTSGKNVVISAPAATIKQREKTSLPEAVQAAASAALAAEKKEKEKAKEIKLASKGILLANKKKMNDVLAVWKQRTQEGQPGRVVLDDKEPSNSADDKLSNVHSATGFPVKGKPKSDFGKSKHANSPASYNSLGRTSASTEIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTALHASSDSGGTDPSSNMATGRSGLTANAGAASSAPFKTELSALASYNPSGVTGSAKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGDYTSRKGSSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRMLRTMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKPDPSLEAQAGDSYKTIIQKKAIARFREMS >LPERR02G04430.2 pep chromosome:Lperr_V1.4:2:2905416:2913587:-1 gene:LPERR02G04430 transcript:LPERR02G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFAFIDFPTVEAARRMMEGTGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEESFTRYNYGHRTAAAPCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEDATKALEATNGIALEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAVGWAPKEYNPDDKLNSNSEPQNDGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYIPCNEQNNNKAAGDVANASTKTSESTSGKNVVISAPAATIKQREKTSLPEAVQAAASAALAAEKKEKEKAKEIKLASKGILLANKKKMNDVLAVWKQRTQEGQPGRVVLDDKEPSNSADDKLSNVHSATGFPVKGKPKSDFGKSKHANSPASYNSLGRTSASTEIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTALHASSDSGGTDPSSNMATGRSGLTANAGAASSAPFKTELSALASYNPSGVTGSAKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGDYTSRKGSSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRMLRTMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKPDPSLEAQAGDSYKTIIQKKAIARFREMS >LPERR02G04430.3 pep chromosome:Lperr_V1.4:2:2905416:2913587:-1 gene:LPERR02G04430 transcript:LPERR02G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQPRRFHDDEYSNPRDYRRHGIYCDGKHHECESFGGVDNYCAADNYESGSYRYFGFDRNKRLGSRDRGEFHGDFEDRYRGSHQSREDSYERDRDYDHYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHEGDRSPYVRHSRSRSRGREDRSRSRSRSRSPRGKSRSRNQRDAFYDDNSFGRRREYDWDERRHGDSVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGTGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEESFTRYNYGHRTAAAPCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEDATKALEATNGIALEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAVGWAPKEYNPDDKLNSNSEPQNDGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYIPCNEQNNNKAAGDVANASTKTSESTSGKNVVISAPAATIKQREKTSLPEAVQAAASAALAAEKKEKEKAKEIKLASKGILLANKKKMNDVLAVWKQRTQEGQPGRVVLDDKEPSNSADDKLSNVHSATGFPVKGKPKSDFGKSKHANSPASYNSLGRTSASTEIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTALHASSDSGGTDPSSNMATGRSGLTANAGAASSAPFKTELSALASYNPSGVTGSAKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGDYTSRKGSSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRMLRTMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKPDPSLEAQAGDSYKTIIQKKAIARFREMS >LPERR02G04430.4 pep chromosome:Lperr_V1.4:2:2905416:2913587:-1 gene:LPERR02G04430 transcript:LPERR02G04430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQPRRFHDDEYSNPRDYRRHGIYCDGKHHECESFGGVDNYCAADNYESGSYRYFGFDRNKRLGSRDRGEFHGDFEDRYRGSHQSREDSYERDRDYDHYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHEGDRSPYVRHSRSRSRGREDRSRSRSRSRSPRGKSRSRNQRDAFYDDNSFGRRREYDWDERRHGDSVAPSATVVVKGLSQKTNEDDLNQILVQVPLHKLLNWLQAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGTGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEESFTRYNYGHRTAAAPCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEDATKALEATNGIALEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAVGWAPKEYNPDDKLNSNSEPQNDGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYIPCNEQNNNKAAGDVANASTKTSESTSGKNVVISAPAATIKQREKTSLPEAVQAAASAALAAEKKEKEKAKEIKLASKGILLANKKKMNDVLAVWKQRTQEGQPGRVVLDDKEPSNSADDKLSNVHSATGFPVKGKPKSDFGKSKHANSPASYNSLGRTSASTEIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTALHASSDSGGTDPSSNMATGRSGLTANAGAASSAPFKTELSALASYNPSGVTGSAKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGDYTSRKGSSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRMLRTMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKPDPSLEAQAGDSYKTIIQKKAIARFREMS >LPERR02G04440.1 pep chromosome:Lperr_V1.4:2:2923662:2924003:1 gene:LPERR02G04440 transcript:LPERR02G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRLLKRISLRVADDSSPGAAEAYQQLRPKSSASPGKVPQGHVPVCVGEEGGAVERFAVRAELLGRPPFAALLRRAAQEYGYGHPGALRIPCPVADFRRLLLRLSDASDDF >LPERR02G04450.1 pep chromosome:Lperr_V1.4:2:2927292:2935838:-1 gene:LPERR02G04450 transcript:LPERR02G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEQKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILADVLHRFGNLITKDHDSMLVSLLSQLSSSQASVRKKSVSCIASLAACLSDDLLAKATFEVVELLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTTASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEVQEEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPELLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKGQGDIDESSPRWLLKQEVPKVVRSINRQFREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEAPSLDFRPYIGPIYNAILARLANQDQDQEVKECAISCMSLVVSTFGDSLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRHKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDALLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMKFKNLMNKIMASSPLADKYNSVRSE >LPERR02G04460.1 pep chromosome:Lperr_V1.4:2:2939987:2940762:1 gene:LPERR02G04460 transcript:LPERR02G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDHPASKKNKLVHPVDCTVNEDPTNAPSINQQSLWSQWQLLDSILPTGGFAHSYGLEAAMQSRMVNNPEELRSFIVQVLENTGSLLLPFVYCASKSPDAAMWVKLDQLLEAMLTNEVSRKASMSQGSALLRVAVSVFTEIQSLQDLRQKFLGSKAVSFHHAPIFGVICGLVGFDSETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAADAERMVQKWKDRGVEEATQTAPLLDALQGCHAYMFSRLFCS >LPERR02G04470.1 pep chromosome:Lperr_V1.4:2:2941571:2943527:-1 gene:LPERR02G04470 transcript:LPERR02G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAGAVSPAATAGDTAAGFRLVGHRRFVRANPRTDRFHALAFHHVELWCADAASAAGRFSFALGAPLAAKSDLSTGNSSHASLLLRSNSVAFLFTAPYSIPTAAAGGAASIPSFSAAAARKFAADHGLAVRAVAIRVADAGDAYRASVAAGARPAFAPADLGDGFALAEVELYGDVVLRFLSYPDSDSTPFMPGFEAVPTTEAAVNYGLRRFDHVVGNVPEIAPVASYIAGFTGFHEFAEFTAEDVGTAESGLNSVVLANNGENVLLPLNEPVHGTKRRSQIQTYLDHHGGAGVQHIALASDDVLSTLREMRARSAMGGFEFMPAPPPNYYDGVRRRAGDVLTEEQIRQCQELGVLVDRDDQGVLLQIFTKPVGDSGLRFSQCSTNFCYFLSVMSNLTGSAISLRPTFFLEMIQRIGCMEKDDSGQEYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKQPATVQGS >LPERR02G04480.1 pep chromosome:Lperr_V1.4:2:2948742:2953030:1 gene:LPERR02G04480 transcript:LPERR02G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAAGDLRDPLVRGASRIGDAFLDDDETKVEASGKVDGGCGNGGSVDEELVREANYLKSCGAITETPPEILQGSNQITEEENYLKSCGAITETPPEILQGSKQITEEANCLKSCGTISETPPEILQGSNQITEEVIKETVLSEENFSEGFNYDKHNVLEHEQYADAGSAHLLEVESVPQSLLQDVSSRQNIRNQRLDSNDSPYPTPLVLRGDMQTPGTLNTAYKGTLRSGKRTRANKQFIYPVLRPIENKLQWMELRDDSSPVLSSNPPKRRYLSTDSSEKPQKTLTSSMAKWSEPITSASFSVHDITAVQDQGVLSPEEPKNCNPKLLVDDIEQLKYNADSVKKGVASLSCWLKPPSSDGVSQSDTEDKVAKQRCYEHNVFTDLPIFTASGLYWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRRISGKLIQLDEEAD >LPERR02G04490.1 pep chromosome:Lperr_V1.4:2:2956017:2961039:1 gene:LPERR02G04490 transcript:LPERR02G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPPPPPPISMSMPMPMPLPSSSSSSLRDLLAQERFDASPRRATSPPSPPLHRGGGEAAVGAVVAMLSGYVGRYARDEAFRRAVRDKCAAACLAAPHRGGAGHAVLANLELAVESIERLAADAAHHRDAKIRSLRNSIRLLSVVASLHSPPAPAPAPPSDAHTCGVPNSHLAACAQLYLAVVYKLERNDAVSARHLLQVFVDAPYLARKSLLPDLWDHVFLPHLLHLKVWFTAELDLAGGGGDRVKALRRLYNDHLNTGTAQFANYYKDWLKSGAQAPPPPSVPLPSSISMAGEFDVWEKNSASSLRRNSININPGLYNAVFGTAMMEEEDVKDAKLDDDLSQLVIETDVELEDNISGCLKMGKLAHSNMGLQEKNSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEVVAVEKELENSELTMTLERAVSMVSTSNSLTKCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDVVLECSILIMGELVLSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAVVLFLMKPKAKQMLSLDWLPVVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPLVQLLVGNQKKASAAALNLMSELVCLNRTTHILEFLKELKNGGCLNTMHILLVYLQQAPISQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHSSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVLCSINLHAVYQEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILASKLVDSLNYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDTVESLQDLAEVTWTAKELLFACCR >LPERR02G04490.2 pep chromosome:Lperr_V1.4:2:2956017:2961170:1 gene:LPERR02G04490 transcript:LPERR02G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPPPPPPISMSMPMPMPLPSSSSSSLRDLLAQERFDASPRRATSPPSPPLHRGGGEAAVGAVVAMLSGYVGRYARDEAFRRAVRDKCAAACLAAPHRGGAGHAVLANLELAVESIERLAADAAHHRDAKIRSLRNSIRLLSVVASLHSPPAPAPAPPSDAHTCGVPNSHLAACAQLYLAVVYKLERNDAVSARHLLQVFVDAPYLARKSLLPDLWDHVFLPHLLHLKVWFTAELDLAGGGGDRVKALRRLYNDHLNTGTAQFANYYKDWLKSGAQAPPPPSVPLPSSISMAGEFDVWEKNSASSLRRNSININPGLYNAVFGTAMMEEEDVKDAKLDDDLSQLVIETDVELEDNISGCLKMGKLAHSNMGLQEKNSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEVVAVEKELENSELTMTLERAVSMVSTSNSLTKCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDVVLECSILIMGELVLSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAVVLFLMKPKAKQMLSLDWLPVVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPLVQLLVGNQKKASAAALNLMSELVCLNRTTHILEFLKELKNGGCLNTMHILLVYLQQAPISQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHSSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVLCSINLHAVYQEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILASKLVDSLNYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDTVESLQDLAEVTWTAKELLFACCR >LPERR02G04490.3 pep chromosome:Lperr_V1.4:2:2956017:2961170:1 gene:LPERR02G04490 transcript:LPERR02G04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPPPPPPISMSMPMPMPLPSSSSSSLRDLLAQERFDASPRRATSPPSPPLHRGGGEAAVGAVVAMLSGYVGRYARDEAFRRAVRDKCAAACLAAPHRGGAGHAVLANLELAVESIERLAADAAHHRDAKIRSLRNSIRLLSVVASLHSPPAPAPAPPSDAHTCGVPNSHLAACAQLYLAVVYKLERNDAVSARHLLQVFVDAPYLARKSLLPDLWDHVFLPHLLHLKVWFTAELDLAGGGGDRVKALRRLYNDHLNTGTAQFANYYKDWLKSGAQAPPPPSVPLPSSISMAGEFDVWEKNSASSLRRNSININPGLYNAVFGTAMMEEEDVKDAKLDDDLSQLVIETDVELEDNISGCLKMGKLAHSNMGLQEKNSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEVVAVEKELENSELTMTLERAVSMVSTSNSLTKCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDVVLECSILIMGELVLSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAVVLFLMKPKAKQMLSLDWLPVVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPLVQLLVGNQKKASAAALNLMSELVCLNRTTHILEFLKELKNGGCLNTMHILLVYLQQAPISQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHSSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILASKLVDSLNYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDTVESLQDLAEVTWTAKELLFACCR >LPERR02G04490.4 pep chromosome:Lperr_V1.4:2:2956017:2961170:1 gene:LPERR02G04490 transcript:LPERR02G04490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPPPPPPISMSMPMPMPLPSSSSSSLRDLLAQERFDASPRRATSPPSPPLHRGGGEAAVGAVVAMLSGYVGRYARDEAFRRAVRDKCAAACLAAPHRGGAGHAVLANLELAVESIERLAADAAHHRDAKIRSLRNSIRLLSVVASLHSPPAPAPAPPSDAHTCGVPNSHLAACAQLYLAVVYKLERNDAVSARHLLQVFVDAPYLARKSLLPDLWDHVFLPHLLHLKVWFTAELDLAGGGGDRVKALRRLYNDHLNTGTAQFANYYKDWLKSGAQAPPPPSVPLPSSISMAGEFDVWEKNSASSYNAVFGTAMMEEEDVKDAKLDDDLSQLVIETDVELEDNISGCLKMGKLAHSNMGLQEKNSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEVVAVEKELENSELTMTLERAVSMVSTSNSLTKCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDVVLECSILIMGELVLSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAVVLFLMKPKAKQMLSLDWLPVVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPLVQLLVGNQKKASAAALNLMSELVCLNRTTHILEFLKELKNGGCLNTMHILLVYLQQAPISQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHSSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILASKLVDSLNYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDTVESLQDLAEVTWTAKELLFACCR >LPERR02G04500.1 pep chromosome:Lperr_V1.4:2:2963600:2965972:1 gene:LPERR02G04500 transcript:LPERR02G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLELKHLKHVSLPTRPVICKGLVIVIVLIVLRAIVSPFLAGNSSEKEGFYDSASADLVPGVRRDKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFAKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEAEDSEVIEIIGKHPFLWPDHWPVKDYAKIFDCLALVPEIETEVVKVISKIREAGVKARHDAGLSHNKHAKDGTINPPVPYIAVHMRIEKDWMMHCKKWEQRSNSNEICSSKEEIIHKVSQISDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYNMGEASSCGENVGLSCYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNVSCH >LPERR02G04510.1 pep chromosome:Lperr_V1.4:2:2966908:2969355:-1 gene:LPERR02G04510 transcript:LPERR02G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDHVSKSSCSSISSSTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSIVKQLKDFKKHYEGYVPMEYKEYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEGENLFPVDRSLPIQARKVSCLPFS >LPERR02G04520.1 pep chromosome:Lperr_V1.4:2:2972904:2974329:-1 gene:LPERR02G04520 transcript:LPERR02G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSATVAPAAGVVPPPPPKIQAATIAEAHAPTVSRRHLLLASAASSTLPTAAASAAAAAPPFAEIPGSGGVKALDLRESSGDVPSDGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGNVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >LPERR02G04530.1 pep chromosome:Lperr_V1.4:2:2975897:2979118:1 gene:LPERR02G04530 transcript:LPERR02G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRCAAAITTTANHSLLGSPTCLARPRRRCCPVSVRAAVAVQAEAGATVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLSANSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVQATLLALAGLKRLNMAETATSVLSVEEMLPAVAQGAIGIACRSNDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSIVYETSRTGPYDFDVMVEMGKDAGHELKAKAGPGFFDSLQ >LPERR02G04540.1 pep chromosome:Lperr_V1.4:2:2979956:2981278:-1 gene:LPERR02G04540 transcript:LPERR02G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDAAATAAGVTAAVDLSPAASDLRRVHLLPCGIKQNGAAAVFDYFKPKDTGVEVDGVRVEEAFFRGRKLQGATIALPDGYRGYILDKRSGGKGMQNLEGEVTNFKSRAEFQDITYWNHDTTPSAEDSLPRCFHFLTVANAMHKPVTAEEMANMSAMQNQSS >LPERR02G04550.1 pep chromosome:Lperr_V1.4:2:2982343:2984733:-1 gene:LPERR02G04550 transcript:LPERR02G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSPPLASPPFSSSSSRRFLPSPRRRLPRLRVHAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDSATPEAAEELSVNGAVARVGVPVPPGRDWEVLDACIDADDMRLVGRAYQFLSDRGVLASFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLTQGVDLRPNLAAVLGLVTADAMFLGGTCVAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDR >LPERR02G04550.2 pep chromosome:Lperr_V1.4:2:2982343:2984733:-1 gene:LPERR02G04550 transcript:LPERR02G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSPPLASPPFSSSSSRRFLPSPRRRLPRLRVHAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDSATPEAAEELSVNGAVARVGVPVPPGRDWEVLDACIDADDMRLVGRAYQFLSDRGVLASFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLTQGVDLRPNLAAVLGLVTADAMFLGGTCVAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSKALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDR >LPERR02G04560.1 pep chromosome:Lperr_V1.4:2:2986940:2991201:1 gene:LPERR02G04560 transcript:LPERR02G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIPLPSSSSPLYKETHLVTCVATSQSHPKLQAFVFLAPISDPIQGRREKSMATKRSVGTLDEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLFQSICSHDGLTGRPKGVTPKFSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVPATAIPDGWMGLDVGPDAIKTFSEALDTCNTVIWNGPMGVFEFEKFAAGTDAIAKKLAELTTTKSVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEAFRIPLMTPLLFIVDIAEYAVEYTEGPPPHYMALRVKERPTHWRN >LPERR02G04570.1 pep chromosome:Lperr_V1.4:2:3000424:3004407:1 gene:LPERR02G04570 transcript:LPERR02G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLRPPTAAAAAPLRRGNGANSPRPLVLGLGALASTRSSSKAARTVTMAVASEQSSAAATGKYPKVAAPTTGPIPAAELLAVIQDAAKAGAEVVMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRGGAYCNGQKIHVSQTNKVEQSLLVTGFGYEHDDAWLTNINLFKEFTDVSRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVSRLDGGEFTVFDRSVLVSNGAVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >LPERR02G04580.1 pep chromosome:Lperr_V1.4:2:3005297:3009064:1 gene:LPERR02G04580 transcript:LPERR02G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIEALLYYPITRPRWKIYACQNATQETDSLDAEINSYTYRERKNRKYNGAFIDKDGVERTFERKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWDSLDNIPSTHNLFDDLVNVAVQFRMNKKWDLIISVFEWILYRSSFRPDIICYNLLIESYGQKRQLNKAESIYMALLEAHCVPTEDTYALLLRAYCKAGLLHRAEGVISEMREHGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKREKCRTSTETYTLMINVYGKAKQPMSSMKIFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGFPQGASEIFNLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAAFEELKQQGMTPTMKSHMLLLSAHAKSGNVARCEELMAQLHKSGLTPDTFALNAMLNAYGRAGRFDDMERLLAAMXXXXXXXXXXXADVSTYNVVVNAYGRAGYVERMEAKFASMAAKGVTADVVTWTSRMGAYSRKKEYVRCVEIFEEMVDAGCYPDAGTARVLLAACSDERQVEQVSNIVRSMHKEAKTLFAV >LPERR02G04590.1 pep chromosome:Lperr_V1.4:2:3011912:3014286:1 gene:LPERR02G04590 transcript:LPERR02G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGTKNVFFLSICILQFATLPTFSAKREQKPPPPNPRTRRGEREIRERRRGAIQFLRRLIMAMATSRLLWASRAASYLKISTFPRAFSTVLKDLKYADTHEWVKVEGGSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDINSPVSGEVVAVNDELSNEPGLVNTSPYESGWIIKVKVSDSGELNSLMDEEKYSKFCEEEDKH >LPERR02G04600.1 pep chromosome:Lperr_V1.4:2:3014864:3016376:-1 gene:LPERR02G04600 transcript:LPERR02G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDDEDDDDEERRRRRKKKHGDGGKDKDRSSKEKHSKEKEKSKRKHKDDGFKEISKDDYFSKNNEFATWLKDEKGKYFSDLSSESARELFEKFVKTWNKGKLPSQYYEGISSGPRSAHRWNIKA >LPERR02G04610.1 pep chromosome:Lperr_V1.4:2:3016561:3020105:-1 gene:LPERR02G04610 transcript:LPERR02G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFDEINHDQQIFVEMTRMRNEMEKLDSGIRRFTGDDLSNLTLADINDLEQQLEFSVTKHQLLNQQLDNLRRKEHILDDQNSFLCRMINENHQAAAVGGGGGDVKMVEMAPVLSMLTAAPTAYYGGEDSSSTALQLTPPLQHVVDGGFRLQPTQPNLQLLQADHPGCSSSSFHGLQLCKG >LPERR02G04620.1 pep chromosome:Lperr_V1.4:2:3025151:3025907:1 gene:LPERR02G04620 transcript:LPERR02G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTMKLFFVLLLALPLVAHYSDMSIEVLADGVTPQDCQTIKIPCLCSPKPCFDNCHSQIGEGAVGECTPDGCTDGEKVCSKRSYDADTKFDSSNHD >LPERR02G04630.1 pep chromosome:Lperr_V1.4:2:3029886:3030327:1 gene:LPERR02G04630 transcript:LPERR02G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVLLLVLPLVAHFSGVGGWCNPTGLPKPSKYPAPVLQNRASITATTKLVKEM >LPERR02G04640.1 pep chromosome:Lperr_V1.4:2:3032852:3034646:1 gene:LPERR02G04640 transcript:LPERR02G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVDGSVGTELPNRQNMGRTLCSECVLACLPNSNRSSNCKRVHEARRMPMHLLPAASNEKLIINSI >LPERR02G04650.1 pep chromosome:Lperr_V1.4:2:3034865:3040051:-1 gene:LPERR02G04650 transcript:LPERR02G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPTCTHQAHVLVSLSLLALTLLLPRVVLTEGDLVSSLSLRRRELDCSSRVESRREGSAAWGGEPSTRASVLRAGDPAVSRVFGSGRTRPDMEPSSQPQPVMGVAAGGSQAYPPGAAYPPQGMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNMGAAADTYPYYYVPPQQGPGSGMMYGGQQGHPVTYVWQQPQEQQDEPPEEQQQSLPEIIKVEADVESVRTAAAEA >LPERR02G04650.2 pep chromosome:Lperr_V1.4:2:3035296:3040051:-1 gene:LPERR02G04650 transcript:LPERR02G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPTCTHQAHVLVSLSLLALTLLLPRVVLTEGDLVSSLSLRRRELDCSSRVESRREGSAAWGGEPSTRASVLRAGDPAVSRVFGSGRTRPDMEPSSQPQPVMGVAAGGSQAYPPGAAYPPQGMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNMGAAADTYPYYYVPPQQGPGSGMMYGGQQGHPVTYVWQQPQEQQDEPPEEQQQSLPESS >LPERR02G04660.1 pep chromosome:Lperr_V1.4:2:3040495:3040833:-1 gene:LPERR02G04660 transcript:LPERR02G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGGVVAKSSSSSAVARRCGCGLGRLVRRLRRQAALCAARPATASRLRRGSYCQYDPLSYARNFDGGGDDGAAAAQLYYSYTFSSRFVLPSSSSAARASTGRAPAAASH >LPERR02G04670.1 pep chromosome:Lperr_V1.4:2:3042690:3049080:-1 gene:LPERR02G04670 transcript:LPERR02G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFKYWDDCLDPEDMELMWADPQVSKEWVDAGEEQGQKVHLSRDPDGEAYLTQTEMIAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKETKVGMMQVTAEVAQWLGRELGYKYYDIEEDVNLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKAGKKKAAHKSTSPIFQRYLYVKETLLSMRQPESLHELTPNLLANSSSTEGLLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEITISRHLNSRGVTPEALAALAEVCSMRFVHAYLGWLSQYEGRERSHEFIVQAYLGGPENVNLQETGPFWNKFLEALRLYQDPKKLIAYGS >LPERR02G04670.2 pep chromosome:Lperr_V1.4:2:3042690:3049080:-1 gene:LPERR02G04670 transcript:LPERR02G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFKYWDDCLDPEDMELMWADPQVSKEWVDAGEEQGQKVHLSRDPDGEAYLTQTEMIAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKETKVGMMQVTAEVAQWLGRELGYKYYDIEEDVNLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKAGKKKAAHKSTSPIFQRYLYVKETLLSMRQPESLHELTPNLLANSSSTEGLLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEITISRHLNSRGVTPEALAALAEAYLGGPENVNLQETGPFWNKFLEALRLYQDPKKLIAYGS >LPERR02G04670.3 pep chromosome:Lperr_V1.4:2:3042690:3049080:-1 gene:LPERR02G04670 transcript:LPERR02G04670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFKYWDDCLDPEDMELMWADPQVSKEWVDAGEEQGQKVHLSRDPDGEAYLTQTEMIAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKETKVGMMQVTAEVAQWLGRELGYKYYDIEEDVNLLYWPFVNVYFGAAYAKWLFSCDDKQKEGCSQINFTHISTLSLCEGDLAVYEISCNIQADILSFESEGLLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEITISRHLNSRGVTPEALAALAEVCSMRFVHAYLGWLSQYEGRERSHEFIVQAYLGGPENVNLQETGPFWNKFLEALRLYQDPKKLIAYGS >LPERR02G04680.1 pep chromosome:Lperr_V1.4:2:3053679:3069549:1 gene:LPERR02G04680 transcript:LPERR02G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLSAPFRAATAAGAAGSRAATAADPAKSNKSRVQLFSLGVDWSVLRMRSTGSAHFASVASSSSFARNIEPLRAIATQAPPAVPQYSSGKKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASSHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKRASYDDVKAAIKAASVGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSTTQDGNKDPNPDNRWGKTLLGLVRLGPGQAEIPVVFTPACQRPAQKKIRVRLSPAGILPRHFPLVSAREEIISLIGVEKIHRDARGEGDEAAGGDGGSLPCGSYLLSGLTFAPCAVASVMESVGIVTSRPDYPRQHLNEQSAVIQAVPRSTRHLKLSLEGIVDHVRKKKGLVGESCVMRTNSFSVHLESLDDQSIYGYDVCITPKDGNNRAIITELVKKHKDTALGGRLPAYDGMKRLYTSGPLPFDSHTFIVLVDNIEHGPEESRHMRVRHFFVTLKFSAKLSLQTLCEFHDGKQNGERQAALRALDVVLQELPYARYTQFAGSFYSPDLGERQQLCEFLESWRGFHQRIQATPMGIQLNIDVSSSVFIKPVPVVVYIVQLLNKDILDRPLSSAEFLKVSDSSPINISIKYPYLPCLKVARFAEITLLPLEACKIAEGQCYPKQLNAKQMTALLQATRVRPYERDRDILQIVDQNKYQEDPHAKEFGIKIEERLVSVKARILPAPWLKFHNSGKTTEFWPQLGIWNMMHKKMVNGGRVKSWACINFCWSVREYTARNFCHDLGFMCRVSGMDFSVKPVLPLVTAKPKCVESALRTLHHDVGNILRPQGRKLDLLIVILPDNNGSLYGDVKRICETDIGLISQCCLAKHVLKMKKWYLASVALKINAKVGGRNTVLVDALTMRLPHVRDVPTIVFGAHVSHQHPGKANSSSIAAVVASQDWPEVTKYAGLISEQACHQELIQDLFKIHDDPGFRSRGEKSPSTTTLGFRHLMERRILNPGWIALSDLLGRTHHGGGAPGITHPGGCSRLVVPAACASMGHNYNPSVTYVVLQKCHNTRLFPDYYEANTHDRTENIRAVAPVHYARLMSARARCYIKPRVIDSSISSSSSEEDNSAASEIGNLTAIRDNLKRTMFFC >LPERR02G04690.1 pep chromosome:Lperr_V1.4:2:3069080:3073867:-1 gene:LPERR02G04690 transcript:LPERR02G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHFIRMLQRDCKVEDLINHPLLQGFDSISNLIRITWRERKNVTTEMELLLNSTVRSMRNNTLWSSVTTTDPVVSDYISKTSEHNSFGLFTVIKKIAAHYVENHRKLNQGKAMFNVCRRHPVELIEETWPGAC >LPERR02G04690.2 pep chromosome:Lperr_V1.4:2:3069080:3073867:-1 gene:LPERR02G04690 transcript:LPERR02G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPIFALYEKKIDRMILCYPGFNQHLSSWLPNQSFKGRFISPLWQHLIRDVLSSPVTELKNADLGNIDTYALETKPDRIKIILLPFESAEVQWRTDFASFLIEHLQSKSKLTMLDHFIRMLQRDCKVEDLINHPLLQGFDSISNLIRITWRERKNVTTEMELLLNSTVRSMRNNTLWSSVTTTDPVVSDYISKTSEHNSFGLFTVIKKIAAHYVENHRKLNQGKAMFNVCRRHPVELIEETWPGAC >LPERR02G04700.1 pep chromosome:Lperr_V1.4:2:3074720:3082583:-1 gene:LPERR02G04700 transcript:LPERR02G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFDYLKQRYDYTPPDDFLDDVLPPEAFGGDSCGIPSDPPAAYLLPMYELHAAVDKELLRRLIEEQRQQEEEQQEERVRLQRYDDDDLVQHLLLICSVRLPRMAQMLWGKASRRARKRGKWPHVKRARTTDAEIQEDLSELELELEETRRRIYECNCNNVPRHTLFRSNANHLNPEWLRPSTLEGDLQYIIFFHKLMDTVEHGNTEDEVLAKAITIARKSFQKILYFQIEAAAQDCLKLIEHMERMNDRYDFYHFFVSEILFNNKSYEDVLKLIRDGHVELHFTLNEFNSPGVDHRVDDLVNRLVDMARVDMPTDAQREGGMFKDWVCQLVDEEYGSCTYLYMDFLKNKMRVWLAPSHEVLKDLKYAETHEWVNVVGDYATIGISDYAEDHLGYVEYVELPEVGSSVSQGKNFAAVRSVEGTTSRIHSPVSGDVVEVNNELGDVPGLVNASPYKKGWIIKVKMSDPGELNSLMDGENYSKFYKQEEDKY >LPERR02G04700.2 pep chromosome:Lperr_V1.4:2:3074720:3082583:-1 gene:LPERR02G04700 transcript:LPERR02G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFDYLKQRYDYTPPDDFLDDVLPPEAFGGDSCGIPSDPPAAYLLPMYELHAAVDKELLRRLIEEQRQQEEEQQEERVRLQRYDDDDLVQHLLLICSVRLPRMAQMLWGKASRRARKRGKWPHVKRARTTDAEIQEDLSELELELEETRRRIYECNCNNVPRHTLFRSNANHLNPEWLRPSTLEGDLQYIIFFHKLMDTVEHGNTEDEVLAKAITIARKSFQKILYFQIEAAAQDCLKLIEHMERMNDRYDFYHFFVSEILFNNKSYEDVLKLIRDGHVELHFTLNEFNSPGVDHRVDDLVNRLVDMARVDMPTDAQREGGMFKDWVCQLVDEEYGSCTYLYMDFLKNKMRDHLGYVEYVELPEVGSSVSQGKNFAAVRSVEGTTSRIHSPVSGDVVEVNNELGDVPGLVNASPYKKGWIIKVKMSDPGELNSLMDGENYSKFYKQEEDKY >LPERR02G04710.1 pep chromosome:Lperr_V1.4:2:3089373:3095561:-1 gene:LPERR02G04710 transcript:LPERR02G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAGYGNNCMGETRGFAYGQDGLLKLVHTDPLLCVDARAKKSQRVSYCAFLENIGAKRTHEYPAFKVLIQHSDDVGAVMRHPYVLTSDRERVAVIEPLNEKLHELPRRKLLDMDTLLRQKFPDWKWRSMVKRSLKFSRFLHSLNIIWEDYDDTLVSLVRFLRNLIEHQRDIATGQHIDYSAVSKAIDELFPGFISWAYTYSHIVVL >LPERR02G04720.1 pep chromosome:Lperr_V1.4:2:3103747:3105767:-1 gene:LPERR02G04720 transcript:LPERR02G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELPQAGVEHVWKTQIELQEQREGEENTGRRQHVHTMAKGIHHHQQQQQQQQQQLEEEDGSDHQQEGGSAPTTDRQQHVGSVAARTHHQEEASHAHKEIGERVIRVVDISGIKDLPICLLVVWIRYVPKKLFIFRF >LPERR02G04730.1 pep chromosome:Lperr_V1.4:2:3122971:3123276:1 gene:LPERR02G04730 transcript:LPERR02G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVPPKTTRHSRQYQDGEERSTSDRFVEAIISDLEASTTQDDQDGYSASESDNSRAVYAVDEDDALAFASMTPAQQLATMQHILDEAPTDVAAGAEIVS >LPERR02G04740.1 pep chromosome:Lperr_V1.4:2:3130843:3141970:1 gene:LPERR02G04740 transcript:LPERR02G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYSVDANAVLLDHAYATEVLDELSNRTQSAAARSAPLLDVPKLHTNTRVSRLPSIPCHAGCIEEPDGAFRHFSMASKVTSIFSSILILSLLVMSSDAAESCPTVIAPHPTCKSPQECANQCASYGYLIGYCEVYDGEFGDCVCVKCPNAVPAGIPALAPASSTIAVAILVYFLLATNGSGNGGGGNCSAISRSNTFKGDCNNKGSSVGCAGACRGEGYADGYCFTDVADPDHRVCTCTRPCSSSAAVRRMARNAANAPAPCPTFPAPDTNCKSPQTCANQCADNGYLIGFCEVHTWRLGDCVCVKCPNAQLTHADHLALQSAVGEAKTCEYRSQTFKGICIHDDLCDSATSTASGRSSPAVTAPASTLAIACAPRSVTERGTICHRRRDPDGPLVTEGHI >LPERR02G04740.2 pep chromosome:Lperr_V1.4:2:3130843:3141970:1 gene:LPERR02G04740 transcript:LPERR02G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYSVDANAVLLDHAYATEVLDELSNRTQSAAARSAPLLDVPKLHTNTRVSRLPSIPCHAGCIEEPDGDAAESCPTVIAPHPTCKSPQECANQCASYGYLIGYCEVYDGEFGDCVCVKCPNAVPAGIPALAPASSTIAVAILVYFLLATNGSGNGGGGNCSAISRSNTFKGDCNNKGSSVGCAGACRGEGYADGYCFTDVADPDHRVCTCTRPCSSSAAVRRMARNAANAPAPCPTFPAPDTNCKSPQTCANQCADNGYLIGFCEVHTWRLGDCVCVKCPNAQLTHADHLALQSAVGEAKTCEYRSQTFKGICIHDDLCDSATSTASGRSSPAVTAPASTLAIACAPRSVTERGTICHRRRDPDGPLVTEGHI >LPERR02G04750.1 pep chromosome:Lperr_V1.4:2:3143248:3153207:1 gene:LPERR02G04750 transcript:LPERR02G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKVAVTALVLLLLTFGCEAKICQEHSRTFKGLCWNNNNCVSSCVAEQFTGGFCSGIVDRKCICTKQCDDQPPMDLAQPPPKKKRPPTRAATTVLVLLLLILGGEAGKMCHDPSQTFKGMCFHTMNCISSCTNEGYTGGYCTYLKHKCICTKPCVGEGPPDEPPDKICQQHSGTFKGICFNNNNCVSYCVAEQFTSGFCSGVVDRGEAVKMCHDPSQNFKGMCFQNLNCISSCTNEGYTGGHCTYITHKCICTKPCGGEGGEAGKMCHDPSQTFKGMCFRNMNCISCCTNEGYTGGYCSYLKHKCICTKPCGGEGPPDEPPATASRA >LPERR02G04760.1 pep chromosome:Lperr_V1.4:2:3153682:3158866:-1 gene:LPERR02G04760 transcript:LPERR02G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLMPLAAGGGDDEMEEVALLTGGDEEAGPEEGMRRVQVRVTGMTCSACTGAVEAAVSGRRGVRGVAVSLLQSRARVVFDPDLAKEEDIVEAIEDAGFEAELLPDSTVSQTKPHKSLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSAITKDEIIEAIEDAGFEAALLQSNEQDKVLLGLTGLHTEIDVDVLHDILKNMGGLRQFNVNLALSEAEVVFDPEVVGLRSIVDTIEMESNGRLKAHVQNPYIRAASNDAQEVSKMLHLLRSSLILSIPVFFMRMVCPHIHFMRSLLLMHCGPFRIGDLLKWILVSMVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATAVLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGIVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAKNVKYVIFDKTGTLTQGKATITSTKIFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDMKKRKQAIFSQWLLEVADFSALPGRGVQCLISGKKILVGNRSLITENGINIPEDAENFLVDLELNAKTGVLVAYDKKLIGLIGMTDPLKREAVVVVEGLKKMGISPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVDFVDR >LPERR02G04770.1 pep chromosome:Lperr_V1.4:2:3166029:3168646:1 gene:LPERR02G04770 transcript:LPERR02G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGWVGALVEESFFVPCPLHEAAKKNEKNIFCLSCCASICPHCSPSHHHHPLLQVRRYVYNDVVRLDDLDKLVDCSYVQPYTINSAKVVFLKPRPQSRPFKGSGNVCLTCDRVLQEPHHFCSLSCKLDHVIAHGDINLSDILFIPPHQQHQHRHDNPRVDTGACMSTATSSDGSGGSGERGEATKRRKAAEMGSKKKGGFLSQILSLGRRRKGAPHRAPLC >LPERR02G04780.1 pep chromosome:Lperr_V1.4:2:3179601:3182718:-1 gene:LPERR02G04780 transcript:LPERR02G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVEAAMAAAAEEEATGVVRVEKGRGGRSSVTRCFAKYPLKLIAPTKVGPASSGAAWLYAITYGGGIVSGDRICCAVAVGDGCTAAMTTQASTKVYKAVDSKCSEQVLEARVGKDALFALIPDPVTCFSTARYHQKQVFHVFPDSNLVVVDWFTSGRYESGEKWSFSFYKSINRILLEDQPLFIDSVLLEQSSSCSIADRMQEYNVVAMVVLVGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPQHPQRPPLIASCSPFGRLGTGMVARITAVSTESVYSFLRHHLAALESFLGACPYSAS >LPERR02G04790.1 pep chromosome:Lperr_V1.4:2:3183136:3189083:1 gene:LPERR02G04790 transcript:LPERR02G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAATRLPWPADVAPRHAHVAASTSRGGSRRRHLPVVRCQSTSVDDKPKPKRSLLDNASNLLTNLLSGGSLGEMPVAEGAVTDLFGRPLFFSLYDWFIEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIETMVGVFTKCSERTIFKLEELIEKGVHEEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHNDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPTKMRKAQAEVDSVLSNGTITVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKIPGGYNGPKEGYEIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFSVPKMDESIEGWAGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDKVEMVTGATIHTKSGLWCRVRRRT >LPERR02G04800.1 pep chromosome:Lperr_V1.4:2:3194861:3195151:1 gene:LPERR02G04800 transcript:LPERR02G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPSPTPASLMSFDHLHGRHNAAAAASLQSQMFRPQPQHQYGGGEVGYGGGGGEMFLHGFDSTAEDRMLLQSIQAAQMMPRPASANNANGYNFG >LPERR02G04810.1 pep chromosome:Lperr_V1.4:2:3200323:3204739:1 gene:LPERR02G04810 transcript:LPERR02G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTLLLPSHVLASLEAFQKLPRPPSSSFPSSSPRIFSTSTSSLPRMAGDHVAAAEAAAATATQPQSSQEEEEDWKEAEGGDMEVSDRDRGAAAAANGGGGEGDRPIRVYADGIYDLFHFGHAKSLEQAKKSFPNTYLLVGCCNDELTHAYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETKRTEGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYEDEDADEDEDEDEDVRE >LPERR02G04820.1 pep chromosome:Lperr_V1.4:2:3205209:3207953:1 gene:LPERR02G04820 transcript:LPERR02G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPNYKRMHEGFKAAYTEMTVKYPCFGHASKMPNIDWWKMCVKDSFMRAGYEYDDATFERIFRRIYSTFGSSAPYTVFPDAQQFLRWLRNNGCIVGIISNAESRYKDVVLPALGLIQGSEWDFGVFSGIAGVEKPDRRIYEAALEIAAGGGGGVAAAEALHIGDSMRKDYAPARAVGMHALLLDRFRTPEAEGWRRAGAAVLPDLVAAREWLIAGAGEEEEPPVEAGSG >LPERR02G04830.1 pep chromosome:Lperr_V1.4:2:3209264:3212920:1 gene:LPERR02G04830 transcript:LPERR02G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACGGAAPATAAVPALVRARLARQASSAAYAAAASSSSSNTALEELAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPACALNMEKLLEARILSAVDLRRSLGFPSVHTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYRHEIQFLINKVSDVNHIKWRSSTDILKEEGLDVSEQKELEPSSHCGTVEVMENDVLYLVSLEGQKTGFYADQRENRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNIVLNKLDTQRISFVKEDVTAFMKGSISRNDVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLKTVQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVT >LPERR02G04840.1 pep chromosome:Lperr_V1.4:2:3213165:3216941:-1 gene:LPERR02G04840 transcript:LPERR02G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQAAGSSSGGSGDGGAAPRRNTRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLMASRKVVEIVDRYDDACVPPNTTDKLAYIQNPTISKNCTRTLTVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDTQLRDASKANDTSTCDPESVANGTAIVPCGLIAWSTFNDTYGFVHNSKNLTVDKKDISWKSDREHKFGSDVFPKNFQKGPLIGGKTLDPNIPLSQQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDSITVALENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNRNPAGR >LPERR02G04850.1 pep chromosome:Lperr_V1.4:2:3220357:3223168:1 gene:LPERR02G04850 transcript:LPERR02G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLRLLTEDESASSAVELELRDPGLAFSWPCARLREVFFPTDSDSAAAGEPRPCSPDLLAAIARLLEEQEIPEAKIWLSSGLSAFLFLYTSILGCRAGKVTVSSDLPMGAGLGSSAAFCVSMSGALLTAAGVVAVGGGGGKGWELVRKDDLELVNRWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTSLKSSNPVKMLITDTRVGRNTKALVAGVSERSSRHPDAMASVFHAVNSISEELSSIVELTANDEIAITSREEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTLLSNLVLDKVIAELESHSFRCFKVEVGGQGLQVCQGDC >LPERR02G04850.2 pep chromosome:Lperr_V1.4:2:3220357:3222870:1 gene:LPERR02G04850 transcript:LPERR02G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLRLLTEDESASSAVELELRDPGLAFSWPCARLREVFFPTDSDSAAAGEPRPCSPDLLAAIARLLEEQEIPEAKIWLSSGLSAFLFLYTSILGCRAGKVTVSSDLPMGAGLGSSAAFCVSMSGALLTAAGVVAVGGGGGKGWELVRKDDLELVNRWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTSLKSSNPVKMLITDTRVGRNTKALVAGVSERSSRHPDAMASVFHAVNSISEELSSIVELTANDEIAITSREEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTRILFMPKSSSRIVFAALKLRLVDRVFKFAKETADVLMEMCTTFFFF >LPERR02G04860.1 pep chromosome:Lperr_V1.4:2:3224043:3237177:1 gene:LPERR02G04860 transcript:LPERR02G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAEEIPPAIRRLGRHFRLTEVHLWDDWYCSAGAAEVGGHTSWRSSVDTTGSGDHLTDKIQNKAPKQTDEGHPSVEDLELANLMGSLGLPVSFSTSKEVSVDNNTSFYSKVSIAEALLPLQKKNAPNKVKKNRRKVYYEAENITGDDLRTFTGTKEIESAVQLMACIEQTNPCSSSRSTVGCNEVCQGDSEKMGKDNGYANEQEESGCGYLCSAKVLSSSEAESKCELNQLTSQSHANTNNPLKADSPVQDNQTGEVVLQLNKEMLEQNSIDNECRISSGRLSTIEDQLSGGTPSTSHDNSDVNHETCLSSEEPSPVDNNPTQMSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPRGLEDFASHSSTHIPDGLDELGSQSKSIPAQEHDQAGGDKHLDGQGQDCYSILSNLSDITDEERINQCMVSFTDEACHTDSIQNDSSMSEISEMHLEVARTKKKKRVRRSKSYHSCQGLAENVSNDIAKYWTQRYSLFSLFDSGIKMDGEGWFSVTPELIAKHHASRIGAGVVIDCFTGVGGNAIHFAMKCKHVIAIDIDQQKIDCAQHNATVYGVNDYIDFITADFIDTAPHLKGETAFMSPPWGGPDYAKVDAYDINTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDDSDLQLASDSVNMTVDAGAAVERVRAAGLLKTQGLIGGKWVDAYDGKMLEVQNPATGEILANVSCMGSKETSDAIASAHSTFYSWSKLTASERSKVLRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPPGALNVVMGNAPEIGDSLLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASVFVKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMTFYEPTVVGNVSNDMLLFRDEVFGPVAPLIPFKTEEDAIHMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNLN >LPERR02G04870.1 pep chromosome:Lperr_V1.4:2:3242325:3244496:-1 gene:LPERR02G04870 transcript:LPERR02G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSKKGGGAAVSAASNDGGRAAAAAAAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSDHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAAGYKSLGGNNHQSAAMLDVCSSMGFPSLQDLHMYGAAAGGHHQIDHLQQHHHQHQQSSAAATMESFFACGGDIGKTAATAKRFSAAGKSPMMWGGEEGDDGDGDDDDDFVGKLAPPPMMDGGGGGGGIDVMDSIIGDVFEPKPMMSGDSTGSKGGGGYDAAAAAAAGNLKLERPSPRRPAQQMGAQTRNLSYG >LPERR02G04880.1 pep chromosome:Lperr_V1.4:2:3258159:3261452:1 gene:LPERR02G04880 transcript:LPERR02G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMAPPKPPTSPSSPPLLWDWADAAVPGSSSGETPPAAVPAAAPGRRRKDKRARAEESSAGAGEVRCQVEGCGVELVGVKDYHRKHRVCEAHAKFPRVVVAGQERRFCQQCSRFHALSEFDQTKRSCRRRLYDHNARRRKPQTEAFSFAPARLSSSLLFDDSQQISFVWNKTPHSPSHVRPYAVSPWDSSSEVGTADGHIYLDKSHLSKSVPTINPDIHELLQLKGPDASLTASKLDGAPDLQHALSLLSASSCGLPDPVPVQQASCLIQFTGTSHSNRELPPLYGGNSSASCAYVQPMAQLSQLVRFSMDASSNACGSNFFGLNKIN >LPERR02G04890.1 pep chromosome:Lperr_V1.4:2:3262212:3264060:-1 gene:LPERR02G04890 transcript:LPERR02G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMMGGGGKGMQDNEINGLFNMPSYHKFVESSQMSVDSTDGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCISEPMTTD >LPERR02G04900.1 pep chromosome:Lperr_V1.4:2:3264113:3264953:-1 gene:LPERR02G04900 transcript:LPERR02G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTAEGPSLHSPRAEQSKAKGRGPNRKTPQPQGAKRENSQEDDTNDLFLSLSSSLSHSSRRLCSGRSPEKQKGGERSKQSTRNPSPFSLGFPPPPPSSPAAAARGASAAAAAVVCVVGASISWLPAAEVAGERARAFLV >LPERR02G04910.1 pep chromosome:Lperr_V1.4:2:3265833:3269105:1 gene:LPERR02G04910 transcript:LPERR02G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSTERPCIIQALPALPSSPSPSFSDLPTMVQALPAATDPPPTLAAAATPGPRPRRGPDPPSPRRTRSGGLPEWTAAETLALVAEVAAVDDGWSRSVSAFQKWAIVAENLASAASSSRGRASARGRAASECRRRWEALVGEYSAVRRRGGGGGGYWEMSAAARRKAGLPADFDAEVYGAMEALTLVEEALLTGGEEDKGEGGEEDEGDGDGEIGEEGDGGEVGEDGEEEDGDEKVEEEDDGNGGEDAEADGGNGTASDDLEVGKMEANNEAMKSQTNACQLANKLQENAQHIHTLLKEEVGEDQNQNLAISSDAMETTRQKADELIKSLSGLVSYLNQFTDLIKEHGFENVVGMS >LPERR02G04920.1 pep chromosome:Lperr_V1.4:2:3269156:3272116:1 gene:LPERR02G04920 transcript:LPERR02G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPNHHHPLLLLAAILVASGEAASSAAGAEGDVLLAFRDTLHAADGSPPPPLRAWGTPGPCAGNISQWHGVTCHGNGSVHGLHLDHLRLAGAAPDVTPLSSLPGLRVLSLADNALAGAFPTTVSAIPALKMLYLSNNRLDGVIPDATFAAMRGLRKLHLDNNDFSGKIPSSITSPRLLELSLANNRFDGSLPDFSQPELRFVDVSGNNLSGPIPDGLSRFNASMFAGNEFLCGKPLDVACDAAASPAGMSTFMTVAIVLIIVGVILAAAGIATCVSGRRNRSRRPPRHPSTTNDNNQTPSSPKLQTTPAVNIENTNNNNHKSAAAASTSSASGGGAAARRAARREEHGRLVFMEEGRKRFEIEDLLRASAEVLGSGNFGSSYKATMVDGAAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPIVAYLYKKDEKLLITDYSLNGSLAHLLHGNRGKGLDWGRRLRIIKGTVRGLDHLYDELPMMTVPHGHLKSSNVLVNGEFEAALTDYALVPVVTPPVAAQVMVAYKSPECVSSPATAKGNKPSKKSDVWSLGILILEVLTGKFPPASCGRVQGRQGTAPDLAGWVASVVGEERTGEVFDKDMAGAGVAGVGDDMLKLLHVGLACCEADVDKRWDIKTALARIEEIRDPDSSAAAAAAGGGEPSTSSTTTNSGEARS >LPERR02G04930.1 pep chromosome:Lperr_V1.4:2:3277365:3281326:1 gene:LPERR02G04930 transcript:LPERR02G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQATERKAPPPARLGSITKLTAASSSFANLVSAFLGSSPVSHSEPRPKRSFDGDGGIGLGIVAAMSRAFLTTEAEPIAIGAAARRRAREQAELSESYTCVITHVAGVDGAGGSVRKRVYFGFGDGGGGSWLEEADEAAQAAAADFLSRCCLCDKRLDGLDIYMYRGDKAFCSSECRCHQMLMDDHAENCGSEALKANDYSASPHSAPLPFSLSVAAA >LPERR02G04940.1 pep chromosome:Lperr_V1.4:2:3284426:3287798:1 gene:LPERR02G04940 transcript:LPERR02G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCLAVSQTFCAFAASKFSSFTKSAQKSIKNSFQFICQNNPLFVHVTYFAVISFTGYSALKVLKPRDKSNTLKDLDVLFTSVSASTVSSMATVEMEDFSSAQLWVLTILMLIGGEVFTSMLGIHFMKAKFGTKESVNIRDHSPCIDIESITSTKFDPRTQGTKVTVSFAELHMENGAHVEPKTIDFLRLVVMGYLLVTNLGGSILIYLYLNLVTGAQQVLKRKGIGIVIFSVFTAISSVGNCGFTPVNENMVIFQKNSVLLLLIIPQILAGNTLFAPCLKLMVWSLKKITGKKYCRYILEHPKAIGYKHLMSTRESVYLILTVVSLIIVQTILFLSLEWSSVALQEMSNYQRIVCAIFQSVNARHAGESVTDLSTLSSAILVLYTIMMYLPGYTSFLPGHDHEDSKTEMKNKRKRLLENWIFSQMCYLAIFVMLICITERKSMATDPLNFNVFSILFEVVSAYGNVGFSFGYSCKRSLIYDAHCKDASYGFAGKWSDKGKAILIIVMLFGRLKSFNMKGGRAWKLR >LPERR02G04950.1 pep chromosome:Lperr_V1.4:2:3291549:3295348:1 gene:LPERR02G04950 transcript:LPERR02G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELDFAAFLGEDDAGAGAEQKPGGGGQQPPFAMFSAADLSGFGALADSVTSTITGGIPNHIWPQSQSLNARHPVVSTTIESQSSICAAASPTSATTLTMKESQTLGGTSGSDSDSDSLLDTEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLNDANQTFTTAVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHHLGGLSPVLNPRQACRVPDVLTGLDFPGDDAFTGLSPPEQVQMPEVIDAGWNWDSHSNGGMSK >LPERR02G04960.1 pep chromosome:Lperr_V1.4:2:3295935:3297931:-1 gene:LPERR02G04960 transcript:LPERR02G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISISTPSEFRSKFPRPIPIYSINLMGCSSSKKVEEEEAVKSCHDRRSFVKKAIAERNLLASSHVAYVHSLRRVSLALFYYLAEDNHLYFLQDSSPSLTSAAAACQHRPCSPETKKVAFVNCLRSGGGGGGAPVYPLHWESDDSAAAGETATVEGFFVFDPIPASPPAMTTTTKWDFISWDPFSSIHSDHQQFVNYDEEDEQMPELEEESDDDGNGGEEEEDSPPPAAAAAEAEEEEEVVEEELGDCVSKELRVVASAEIEQQSRPGFTVYVDRPPASVAEAMKDIHGHFMKVVDVAGEVSALLEVVPYQRKVRPPAPMDDGDDEGGGGGGEVSPEPFEIFRSHKESLDRLYEWEKRLYEEVKAGERVRLSYEKKCAQLRSQDANGAEPFAIEKTRAAIRDLRAKLDISITSVNAISMRIAAVRDDELFPQLMQLIRGWVLTNLAARLISLISQQEQLRCIDRF >LPERR02G04970.1 pep chromosome:Lperr_V1.4:2:3300340:3307897:1 gene:LPERR02G04970 transcript:LPERR02G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSRDVYIPRGVSVPALDKDALWHFEPNKIDVGDVITGGDLYAKVIENSLMEHHVALPPGSMGKVSYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVARKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTTKDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKREGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALEPYYGDVDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVEQAAGADGQKITYNVIKEHMKDLLYQLVSQKFEDPAEGEEVLVGRFQKLYDDLTTGFRNLEDEAR >LPERR02G04980.1 pep chromosome:Lperr_V1.4:2:3310667:3316618:1 gene:LPERR02G04980 transcript:LPERR02G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSQLWQRRSKDMTAMPPPRQRGAAKRRPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPAVSRRRERTDDEIVSSVVMRDLLTMPMAVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHYSSLFVGREIRSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHSFDYIYNYLMGTNVSFVDCFLDPGPHGSGRYSAEMLPEIEQRDFRKGFQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAADVTYELLKNITAVKENFHITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKLFSSYTST >LPERR02G04990.1 pep chromosome:Lperr_V1.4:2:3316167:3319565:-1 gene:LPERR02G04990 transcript:LPERR02G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFFATSINRPRADVQYCIYALARRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYTYRGNVLQIANFKDDSSPLAWDCSAWVRTYALFLEERLQCFRVLKFDIETERLMRSPQCSSKAHSRTRTLPCLDLMEQLPALQQLLFRLMGCQPEGAAGTNYIIQYALALALKESFKIYCAINDGIINLFFDMPKYDAINALAIYKRAGQQAESLADFYDFCKQLELARTFQFPTLRQPPPSFLATMEEYIRETPRPSIKGVENGERKLLTYDQEAPKEPENPEEEKKDEPTEPEQEAEPQPESEQEPEPAQTTGDLLNLDEEVNPLVAELEENNALALAIVAPGDQSKASTCQDLFSGNTSGWELALVTAPSSHTSQAVQPRLGGGFDKLLLDSLYEDEARRQQIASVTYNGSIGGATNPFVANDPFAMSSSFAPPSNVQLAMLNQQQHQYYQSQHQQQQYYQPQQQHHFQDQHHLYFQANHHQPMQQQMYQQQQQNQYPAPQVVSSNPFGDPFSDLVTIAAPSKQSHSSLL >LPERR02G05000.1 pep chromosome:Lperr_V1.4:2:3321120:3321404:1 gene:LPERR02G05000 transcript:LPERR02G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALINEIRGMKVREVPAYLKPKLTWENVKNGADQAIDRYIEKYIETSSPEPLFHVCFGLMAFSYLVNLPEERRHLAHLEELERQGAAGAHH >LPERR02G05010.1 pep chromosome:Lperr_V1.4:2:3323688:3326366:-1 gene:LPERR02G05010 transcript:LPERR02G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDDDADETLPTPAASVPAAAAAATSGGIFSSLPQPKSAPLFSTLPAPKSGPVFSAIPPPKSSSGANPKRVVQFRPPPIRQPTGESSDEEDDADDAGKRRPSEAEPRPSVFAGSGISSFLPPPKHSLGLGSGAARRSSAIDTAAAAPERSNFGAVGGPSSNSAVNAVAAERADTSSADNDDDESNESDGEDGMALPDEQQEQQQEFGSEAGEQQHQQQSYDAGAGSSNGQEGYAWDPNYYANYGASYGRDPSGNVDYGTGAQYAEYGGEHGGVYGNSYGGEHTDGYGHLTGVSYGGGYTGGYEHNAAAMTAPPIQEPLLPPEVGRIGGKRGRSGMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFFDMKQKEMELAERRSKGFLTKAETQAKYGW >LPERR02G05020.1 pep chromosome:Lperr_V1.4:2:3336528:3344578:-1 gene:LPERR02G05020 transcript:LPERR02G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGGGCFRKGAPAAQPALVVVVLIAAAALPWRAAAATAAADVAAINGLYVALGSPALPKWTANGGDPCGDSWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPMSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKYKSSTLRTVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSAEVPDRRQVREINLAVPAFLEKPPEKRKEHVINLERSESEIFATTPPPPPPPPPPPPPPPPPPPPRSPPPPPPPVEKVIVNPIVKSEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEERNLIRESRLGKVYLAELPEGGYLEVMKIDNANDRIPVEEFLELVARVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALEYLHDNCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIESLSKMVDPSIQGECSEKVLSRFADIISRCIRSEPEFRPSMSEIVQDLARIKFCSEADELSRHSYAFFREAEDADSPAGNSTLFRSRNGGILVAE >LPERR02G05020.2 pep chromosome:Lperr_V1.4:2:3337728:3344578:-1 gene:LPERR02G05020 transcript:LPERR02G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGGGCFRKGAPAAQPALVVVVLIAAAALPWRAAAATAAADVAAINGLYVALGSPALPKWTANGGDPCGDSWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPMSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKYKSSTLRTVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSAEVPDRRQVREINLAVPAFLEKPPEKRKEHVINLERSESEIFATTPPPPPPPPPPPPPPPPPPPPRSPPPPPPPVEKVIVNPIVKSEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEERNLIRESRLGKVYLAELPEGGYLEVMKIDNANDRIPVEEFLELVARVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALEYLHDNCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIESLSKMVDPSIQGECSEKVLSRFADIISRCIRSEPEFRPSMSEIVQDLARIKVCWCRSFVLRRMNSLDIHMLSSVKLKMQILLLATVPYLGMHQCTQLTPIALTLI >LPERR02G05020.3 pep chromosome:Lperr_V1.4:2:3337728:3344578:-1 gene:LPERR02G05020 transcript:LPERR02G05020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGGGCFRKGAPAAQPALVVVVLIAAAALPWRAAAATAAADVAAINGLYVALGSPALPKWTANGGDPCGDSWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPMSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLWSITTFVGKPVIIDYIDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKYKSSTLRTVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSAEVPDRRQVREINLAVPAFLEKPPEKRKEHVINLERSESEIFATTPPPPPPPPPPPPPPPPPPPPRSPPPPPPPVEKVIVNPIVKSEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEERNLIRESRLGKVYLAELPEGGYLEVMKIDNANDRIPVEEFLELVARVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALEYLHDNCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIESLSKMVDPSIQGECSEKVLSRFADIISRCIRSEPEFRPSMSEIVQDLARIKVCWCRSFVLRRMNSLDIHMLSSVKLKMQILLLATVPYLGMHQCTQLTPIALTLI >LPERR02G05030.1 pep chromosome:Lperr_V1.4:2:3350765:3351858:-1 gene:LPERR02G05030 transcript:LPERR02G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKDDDVAAVYASVMDHVVGEVHDDLVAHGAVSYDACAVLAVVRAHWEAKLMLARHAAADEIAVGEYGHRRDNAATSSSLRHVAPVKEEEATAARLLRIIISVRTS >LPERR02G05040.1 pep chromosome:Lperr_V1.4:2:3353846:3354911:-1 gene:LPERR02G05040 transcript:LPERR02G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAVGGPRGAPVKEIAFAAALLAFGALGAIGGVLMAANRVGGDRAHGIFFTILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >LPERR02G05050.1 pep chromosome:Lperr_V1.4:2:3358649:3359032:-1 gene:LPERR02G05050 transcript:LPERR02G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELVSWCSLQLPPIRTAAAARTDYDDGGEEACIITPTAAASVLRAPAVCPPAPRKPRPAPVARRRKKKRKNSHCCCGCRRRRSDSTPARWPMTFVAVPDDDLAKVFVSRRPPDGEKIGVDIIH >LPERR02G05060.1 pep chromosome:Lperr_V1.4:2:3362886:3375915:-1 gene:LPERR02G05060 transcript:LPERR02G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQHVVHTRGTVGHEPIAQRESRPLGLVRSHRDRDTTRTPEPKPVTSTPPLAPTPSLLYSPLAPTPPATPHAARRKPPNPNSKPHPTPPLISLRVKGGIKAKAARKAAAAAEAEVGSNAEIKEAEEAEAQTTRLPDSAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKNAPTELPGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.2 pep chromosome:Lperr_V1.4:2:3362886:3375092:-1 gene:LPERR02G05060 transcript:LPERR02G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKNAPTELPGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVFKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.3 pep chromosome:Lperr_V1.4:2:3362886:3375915:-1 gene:LPERR02G05060 transcript:LPERR02G05060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQHVVHTRGTVGHEPIAQRESRPLGLVRSHRDRDTTRTPEPKPVTSTPPLAPTPSLLYSPLAPTPPATPHAARRKPPNPNSKPHPTPPLISLRVKGGIKAKAARKAAAAAEAEVGSNAEIKEAEEAEAQTTRLPDSAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRVKGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.4 pep chromosome:Lperr_V1.4:2:3362886:3375092:-1 gene:LPERR02G05060 transcript:LPERR02G05060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKNAPTELPGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVFKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.5 pep chromosome:Lperr_V1.4:2:3362886:3375092:-1 gene:LPERR02G05060 transcript:LPERR02G05060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKNAPTELPGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVFKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.6 pep chromosome:Lperr_V1.4:2:3362886:3375092:-1 gene:LPERR02G05060 transcript:LPERR02G05060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKNAPTELPGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.7 pep chromosome:Lperr_V1.4:2:3362886:3375092:-1 gene:LPERR02G05060 transcript:LPERR02G05060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYRRRRDEDDDDGGGGGGCDDVLGVDVVDNGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRVKGLANLLKTNSEKGIHGDEADLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSQQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVAGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLVARYFTGHTTNPDGSPQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSHVVTSLVREGIAQNTSGSVFEPELHMKFVEEKSKSSIIHVSPFNSEKKRGGVAVIVGDSDIHVHWKGAAEIVLALCTNWLDVDGKIHEMTPDKVDEFKNYIEEMAEESLRCVAFAFRNLDLVDVPNEDERVNWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRAYSDVEREAVAEKIAVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGQDLLHLTQDTLDHANKVFNEFNSRKPEELNIFDGVSRNHLFLAVVSITVVLQMMKEQLHLCDCASPSSQNDEL >LPERR02G05060.8 pep chromosome:Lperr_V1.4:2:3375099:3375915:-1 gene:LPERR02G05060 transcript:LPERR02G05060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQHVVHTRGTVGHEPIAQRESRPLGLVRSHRDRDTTRTPEPKPVTSTPPLAPTPSLLYSPLAPTPPATPHAARRKPPNPNSKPHPTPPLISLRVKGGIKAKAARKAAAAAEAEVGSNAEIKEAEEAEAQTTRLPDSVRFLLLTSHHGRCHLS >LPERR02G05070.1 pep chromosome:Lperr_V1.4:2:3385882:3388913:-1 gene:LPERR02G05070 transcript:LPERR02G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRMAGGEEATVKLVKDGATGLEKFILREPHGSSVEVYLYGGQVIFWENEYREQMLFVSRKASFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDECPPPFPATTSNCHIDLILKQTPEDLKIWPHSFEFRLRVALSPKGDLMLTSRIKNTNADGKPFKFRFSYQTYFLISDISEVRVEGLETLDYLDNLQNEDRCTEQGDAVVFESEVDKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVLWNPWDRKGKTIPDFAEEEYKSMLRVGAANVEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQHV >LPERR02G05080.1 pep chromosome:Lperr_V1.4:2:3401768:3404878:1 gene:LPERR02G05080 transcript:LPERR02G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLNSGVISPSSAADAALDFGYSPMPPPPYLGFDLPATAVDRTPLFQHSLYDGGLDFSSSSSPATPFPPQFHDAAALGLSGNATTAAAGNLLHTPSPSLHMPMMNLPGFPPAMAATADSMYQFGAGIATGGGGIVKREDFLDIGGGGGGGGGRIGLNLGRRTYFSPADVLAVDRLLLRSRLGGMGPMGVLGLGLAAAAAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDYHAKAAAVVASGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPTGGRRPAASTTTASGVSCQLQDQLADNGGHLSPAVAPPPTLSLAALPPQAQEEEDDDDEDGGLGTVLMMQHQQRLRDGGDGDGDDDDDTHHHLMTSMKSFARQQHRHSSGSSNDNNNNIPSCSSASGQHNNNNMNFFEVDFI >LPERR02G05090.1 pep chromosome:Lperr_V1.4:2:3414553:3418588:-1 gene:LPERR02G05090 transcript:LPERR02G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDFSRPRRRFVLVGFPLFSATSTAGGGGGSSSSPMATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >LPERR02G05100.1 pep chromosome:Lperr_V1.4:2:3424637:3430260:1 gene:LPERR02G05100 transcript:LPERR02G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEATTVAVAEEVVFRSKLPDIEINNAQTLQDYCFAKMAEVGSRPCLIDGQTGESFTYAETAAMSRRAAAGLRRMGVGKGDVVMNLLRNCPEFAFSFLGAARIGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVREFAAEKGIPVVTVDGKFDGCVEFRDVIGDLGEELAADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDEQGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAAVATPVAAAAAVPKSR >LPERR02G05110.1 pep chromosome:Lperr_V1.4:2:3431011:3432554:-1 gene:LPERR02G05110 transcript:LPERR02G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSAVRAERLVRGGCVVMAATAALLLGFSEETKTVLFIRKTAVAKDVQALWVLTVAAAVAAGYHFAQLIRCLYCSGAGDDGGRRGGAMVVAWTSLLLDKGCAYVVFASTAAALQACMVGLTGIEAMQWSKLCNIYTRFCEQAAAGMLCSLLAAGGMAALSAFSARRLFRLYSPAAAAARRSTLLLIN >LPERR02G05120.1 pep chromosome:Lperr_V1.4:2:3436450:3443123:-1 gene:LPERR02G05120 transcript:LPERR02G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGYRAREKGAEIPAESDAELVRFGADLERARPASMKEKRGLEAAAAGDGHPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPTTITGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWSGEEFDSHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWEVLVEHAKTCGLSGKYYIYYSDENRSVGAIFNNIYAFCGLISGEQFYSSESLDDNQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQVETHPSLSQPASYEQRISSASMTGPSPAGVTGYDGNQAATQSSQLQSTSANVPVPYDDTFSFLPPSMLIGSDNQETGNDTMGLELGQLQQAISQGQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASNSLQEDAFGFPSYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >LPERR02G05130.1 pep chromosome:Lperr_V1.4:2:3444898:3452650:1 gene:LPERR02G05130 transcript:LPERR02G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSRISLTSSVRMLLAVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMELGLLAGIPVGTSLIDAHAGGVGVMESVPDAESKADVSDESDEQAICHRMVLVCGTSTCHMAVSKDKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYVVENHAASPLLSNHAASQSKSIYELLNRILLSMAHEQNIAFLSALTQDIHILPDFHGNRSPLADPKSRGVICGLTLDTSEKHLALLYLATVQGIAYGCPIVLPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPKVKKYHDAKYQIFRSLYEQQLLHRTAMAQALQ >LPERR02G05140.1 pep chromosome:Lperr_V1.4:2:3449322:3452233:-1 gene:LPERR02G05140 transcript:LPERR02G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVESIGRYRVGRTIGAGTFAKVRLAVDGETGATVAVKVIDKRMVLKNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVPGGQLSDKLSYLKRFDEREAKKYFYQLIDVVDYCHRRGVYHRDLKPENLLLDNQGDLKVSDFGLSVLRKPGQFLSTSCGSPCYVAPEVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLSNLYRRISRAQFAFPQWLTLPQKKIIIRILDPSPITRAKISDIFDDKWLQDYCNPPIRIENDDDCVAIEEASTDSDSSHDTEETLGKIKVAAKGVRMAVRRMNSSVVELQDSKLLARSNLDLALSAEVIEVTPAHCVVEVSKSTGDLRSYHEYDEELNRNALHDLHLQFCRSLSSLLNGGQQSASSSDIECD >LPERR02G05150.1 pep chromosome:Lperr_V1.4:2:3459052:3459774:1 gene:LPERR02G05150 transcript:LPERR02G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGRYWGVGGRRCGACAVAPAAVHCRTCGGGEYLCAGCDADHARAGHERVWVCEVCEISPAAVTCKADAAALCAACDADIHPSDVLARRHERVPVQPIGSDATDADMLLLGGEKDSSAAGDDLAGGCGKEVKLMYFGESHVSSMDVGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDAAPPERVMLDFAAGS >LPERR02G05160.1 pep chromosome:Lperr_V1.4:2:3467437:3469561:1 gene:LPERR02G05160 transcript:LPERR02G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGRYWGVGGRRCGACAVAPAAVHCRTCGGGGGGGYLCAACDADHARAGHERVWVCEVCELSPAAVTCKADAAALCAACDADIHHANPLARRHERVPVQPIGSAAATDADALLFGGEKDSAAAAGDFAGGCGKEVKLDFMFGDFMDPYLGGGGDLARFAHADSVVPNNNNGSVGAGAVELGFAVAGGAKPSYSSYTAASMGNSGSSSEVGLVPDAICGGGIIELDFAQSKAAFLPYASTPSHSVSTMDVAVAPEISSGGVYPPPPAAGEGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDDDPSPADAAAPPQRVMLDFAAGYGVVPTF >LPERR02G05170.1 pep chromosome:Lperr_V1.4:2:3470549:3472610:1 gene:LPERR02G05170 transcript:LPERR02G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVSSRSLRCAQFYLSFMDEVAVVDAPRLERLFLWRNFHHTREGTMVSPSTTVPSVTMLALHLHFGVWNEVKMLPSFLRCFPNVETLCVESEESPGQTCSIDTKFWQETGTIECIQSHLKMIILREFQGDQTEFSFLKFIAENARVLENMVIVMKIGRYSAPDEMAAKVMELESIKFASEGCRPGYLMSRLRKGGSIWNLKSGADLSCGDPFMCL >LPERR02G05180.1 pep chromosome:Lperr_V1.4:2:3473913:3477411:-1 gene:LPERR02G05180 transcript:LPERR02G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLDPDGLTPLMDMVLGFLYLSLPTPPVSTSSSLSAADDVTGDDRISLLPDDLLRAVVSRLPAKDGARTALLSSRWRRIWLSAPLVLVDAHLLPPAAGGGESGRRRPPRAGAASRAVADAVTRVLDSHPGPFPFVSLTCCFIDNDADHLAVAARWLDRLAAAGVEELVLVNRPYPIPGVRLPAELFRCGSSLRRLYIGAWELPDTAAIAVAAEFPNLKDLVLGCVVMMDPHGRDIPLLLAASPVLETLSVFGILNTLRVRLSSSSLRCAQFCLSLTEEVAVVDAPRLERLFLWSNLRDTRVRIGHAPQLRMLGYLQPGVHVLEIGNTIIKERTKVNPRTIVPAVNMLALHLRFGVWNEVKMLPSFLRCFPNVETLCVESSEGPESTNKIGVKFWQKDGLIECVQSHLKMIVFREFQGEQSEVSFLKYIAENARVLEKMVIVMKIGRYSAPMELVAKMRGLESAKWASGGNKLGFLLSRLRSGGTAWGLKAGMDLSYDDPFMCL >LPERR02G05190.1 pep chromosome:Lperr_V1.4:2:3478134:3480821:-1 gene:LPERR02G05190 transcript:LPERR02G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGAEYAMVHAPAAAGPSSSAMTSSLPPRSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLAGSGGEDGGGEESRRGGGEKGSGGGGGGPAGEWREHVVVIMAGDERPTFLATPASSRAKDGEPAAAAVCCGCGAASGSSTTELKTATAEAGESPAQSPGEQSSYSRLRQCKLMGDLV >LPERR02G05200.1 pep chromosome:Lperr_V1.4:2:3485759:3489809:1 gene:LPERR02G05200 transcript:LPERR02G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSAGDDGFLFGDHLVAIAAFQPLLELVALVVAVLHLKEVPHHAVLPHRRHQMPLLLPVLRHLHTHNNIHIHERKMQQRLYQHEHSSFITTKSFPRQLPHFS >LPERR02G05200.2 pep chromosome:Lperr_V1.4:2:3485759:3486792:1 gene:LPERR02G05200 transcript:LPERR02G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSFRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >LPERR02G05210.1 pep chromosome:Lperr_V1.4:2:3488468:3491779:-1 gene:LPERR02G05210 transcript:LPERR02G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDIDLSDSDDDDSASDASSDFATPPPSSAAAKSASAAAATPASIDAIDRHLRSLHLKYAEPISPNPSPSPTSAAAAPAALNAVKLFLHIGGSSPTARWITSDRLAAASFVRSGDSDDADDDAPAIGPWFLVVGSKIRVRVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYDKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPMLKPLMEDLREFEEPVEEGEGIQSIALGALDNSFLVGESGIQVVRNFEHGIHGKGMSVKFSGGNTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDAYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLTPLHSNLAGQNNKFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQFQEGLKSCYCYKVIPKEESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISNKR >LPERR02G05220.1 pep chromosome:Lperr_V1.4:2:3493225:3496162:-1 gene:LPERR02G05220 transcript:LPERR02G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAAGEAAVGAGADQREGKGGNRRRGRPDCPGAGARLLSLGVQAAVMAAAMALFLLFAAAAAILLLHLVVAARAFRNQQYGGSRYRVPETASSPFPSRGGGGGGVMPF >LPERR02G05230.1 pep chromosome:Lperr_V1.4:2:3502666:3503936:1 gene:LPERR02G05230 transcript:LPERR02G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQSFLKNLLLSLKSSKKTMTLNERKHAINSEVARRLVIKRTKVLRRMIPGGELLDEISLLHEAMDYVVHLHAQVDVLRRVTKAVWRSNKSSEGFAQLKEGTIQISGL >LPERR02G05240.1 pep chromosome:Lperr_V1.4:2:3505018:3510731:-1 gene:LPERR02G05240 transcript:LPERR02G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDILVQYLLYGPVVWKVAEEWMQQGSPPLGSWWLHLLILFAVRGLTYQFWFSYGNMLFFTRRRRVVPDSVDFHQVDAEWDWDNFLLLQTLIGATLLGSPVVVQQLLPSLKQQLLCVWDPRGWAIALLLHVFVSEPLFYWAHRALHRAPLFSNYHSAHHFSSVTTPLTAGFGTPLENLLLTLVMGAPLAGAFLMGAGSVGLVYGHALMFDYLRSMGYSNVEVISPRVFEAFPPLRYLLYTPTYLSMHHREKDSNFCLFMPLFDLLGGTLNHKSWEVQKEVYLGKKEERAPDFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFILLPFWPVAFGFMLLMWCCSKTFLVSFYCLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTMSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGYCEYTMERGVVHACHAGGVVHCLEGWDHHEVGAIDVDRIDVVWKAALKHGLTPV >LPERR02G05250.1 pep chromosome:Lperr_V1.4:2:3516392:3521594:1 gene:LPERR02G05250 transcript:LPERR02G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRSRGGGSTSVPPVARKKEFPNQAKDYELLEPIGDGATAVVLRARCLPLAGEVVAVKIMNMGQRSEADVIHASEEVKTMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRNTLVGTPCWMAPEVMEQKEYDVKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLIKDPSKRPTAQRLLELPFFKRLKVEDNILKCILNKLPSLGDRMQSIKENEAKLQAERKPLDKSKEKASQDEYMRGVSEWNFDIEKLKAQAALYQDENDGSEDEYLRFLFELDTICESAPVQDVQAQDYSKDENEKKEHNQVVRNQNSTDTTPVLQSLRIKEALMVSCAMISNCKDFDEYLKSAIQKGRFKVIVEGAEVEKLEVATPKEKELMEKIVDLERKLHDSQDEVQRLREKETKVTMGYAQLQ >LPERR02G05250.2 pep chromosome:Lperr_V1.4:2:3516392:3521594:1 gene:LPERR02G05250 transcript:LPERR02G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRSRGGGSTSVPPVARKKEFPNQAKDYELLEPIGDGATAVVLRARCLPLAGEVVAVKIMNMGQRSEADVIHASEEVKTMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRNTLVGTPCWMAPEVMEQKEYDVKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLIKDPSKRPTAQRLLELPFFKRLKVEDNILKCILNKLPSLGDRMQSIKENEAKLQAERKPLDKSKEKASQDEYMRGVSEWNFDIEKLKAQAALYQDENDGSEDEYLRFLFELDTICESAPVQDVQAQDYSKDENEKKEHNQVVRNQNSTDTTPVLQSLRIKEALMVSCAMISNCKDFDEYLKSAIQKGRFKVIVEGFMTAKMRSRGLEKRRRK >LPERR02G05250.3 pep chromosome:Lperr_V1.4:2:3516392:3521594:1 gene:LPERR02G05250 transcript:LPERR02G05250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRSRGGGSTSVPPVARKKEFPNQAKDYELLEPIGDGATAVVLRARCLPLAGEVVAVKIMNMGQRSEADVIHASEEVKTMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRNTLVGTPCWMAPEVMEQKEYDVKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLIKDPSKRPTAQRLLELPFFKRLKVEDNILKCILNKLPSLGDRMQSIKENEAKLQAERKPLDKSKEKASQDEYMRGVSEWNFDIEKLKAQAALYQDENDGSEDEYLRFLFELDTICESAPVQDVQAQDYSKDENEKDFDEYLKSAIQKGRFKVIVEGAEVEKLEVATPKEKELMEKIVDLERKLHDSQDEVQRLREKETKVTMGYAQLQ >LPERR02G05250.4 pep chromosome:Lperr_V1.4:2:3516392:3521594:1 gene:LPERR02G05250 transcript:LPERR02G05250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRSRGGGSTSVPPVARKKEFPNQAKDYELLEPIGDGATAVVLRARCLPLAGEVVAVKIMNMGQRSEADVIHASEEVKTMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRNTLVGTPCWMAPEVMEQKEYDVKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLIKDPSKRPTAQRLLELPFFKRLKVEDNILKCILNKLPSLGDRMQSIKENEAKLQAERKPLDKSKEKASQDEYMRGVSEWNFDIEKLKAQAALYQDENDGSEDEYLRFLFELDTICESAPVQDVQAQDYSKDENEKLEVATPKEKELMEKIVDLERKLHDSQDEVQRLREKETKVTMGYAQLQ >LPERR02G05260.1 pep chromosome:Lperr_V1.4:2:3522315:3526040:1 gene:LPERR02G05260 transcript:LPERR02G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRALLLLLPLSFAAKSPFLHHRAHRLRLAASMSSSSSAGSSASSAIDFLTLCHRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPAGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCSLLGGGSRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGHDLEEFFQSTAGKFQTDIGKAWAAEVASRRK >LPERR02G05270.1 pep chromosome:Lperr_V1.4:2:3528437:3530904:-1 gene:LPERR02G05270 transcript:LPERR02G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAKGSAPVTGGARRYAVLLALKDSEYAREVYGGYGNVFVTAFGNGGREKEERWDCFRVIDGEFPAAEELGMYDGFVVSGSPHDAYGDERWILRLCSLIRTLHAMNKRLLGICFGHQVLCRALGGRVGKARNGWDIGVKKVTFMPDFEGFGLFGDLEELPQSASIIEVHQDEVWEMPPKGRVLAYSDKTRVEMFAVGEHVLGVQGHPEYTNDILHNLIDRLVNNNTITRSIGEDARGTAEATKPDHGFWTKLCKDFLKRPTATTVTMNTSPLESATELMSCSHGVLSGSLATTTTASPIGL >LPERR02G05280.1 pep chromosome:Lperr_V1.4:2:3533090:3538669:1 gene:LPERR02G05280 transcript:LPERR02G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPHDTIMAVKKNIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEDGFLVVMLSKSKASGSSGALNSLAAQASSTPSTRQAPPPDASRQAPQPPAPSNTYGEAASNLLSGSNLDATINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNTSPLNLFPQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHAINVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAADED >LPERR02G05280.2 pep chromosome:Lperr_V1.4:2:3533090:3538898:1 gene:LPERR02G05280 transcript:LPERR02G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPHDTIMAVKKNIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEDGFLVVMLSKSKASGSSGALNSLAAQASSTPSTRQAPPPDASRQAPQPPAPSNTYGEAASNLLSGSNLDATINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNTSPLNLFPQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHAINVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAADED >LPERR02G05290.1 pep chromosome:Lperr_V1.4:2:3538326:3540249:-1 gene:LPERR02G05290 transcript:LPERR02G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAQAAATPRKREPLRPLCSNASTPTPVAERRNRAAMAASKEKENERPEVAPPPPLKPSSLQNRMKAEEEKEKGNAESSPPAALVAGIPVRMGPRGRELLIPPPPPPPPPTTTTAGIPHSAAYEAWDLSDNEAAPASSWSTLPNRALLCRPLPLDVGRCTCVIAKEKPTAAGRGVAIYSLYTNEGQGRQDRKLAVARHRRRRGRSEFVVAQSQEGIFCGNGDRNFLGTLGANLVGSKYRIWGQGNRVDEIKTQSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSMQLKNSNSAQIQHIGGLPKDWQVNKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQASVKNFQMTLEENGKQTVLQLGRIGKSKYIMDFRYPLTGYQAFCICLASIDSKLCCTL >LPERR02G05300.1 pep chromosome:Lperr_V1.4:2:3543632:3548348:1 gene:LPERR02G05300 transcript:LPERR02G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAAAPEMMRNRNGGGGSGKKGADEEEVEMATSTGHLRRRRRRRRLVGYDELPEFLQDNEFIRGYYRAEWPIRDAFLSAFSWHNETLNVWTHLGGFLLFFALALAGAASETAADVAPGIMRFVVGSANVSWQTSDHSGAAAATATATAIAAGGSGVPRWPRMVFLVGAMSCLAISSLAHLLACHSRRASAVFWQLDYAGISAMIVASFVPPVYYAFLCHPSARLAYLSAISSLGAASVFALLSPSCSSPRFRRLRAALFLAMGLSGVIPAAHALWINWGHAACYLALGLEVAMGVAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAVTHYVAVDVLLRWRETVAAACGAGAA >LPERR02G05310.1 pep chromosome:Lperr_V1.4:2:3548720:3550690:-1 gene:LPERR02G05310 transcript:LPERR02G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVSTASHALVGPPEARRASSTAAAPAPTTTGDAFLDLMDANFNKPPPRKSLTENLSPTFVSSGDACLDFFFHVVPGTPSASVSSLLAAAWAADPSTALRLVANLRGVRGTGKSDREGFYAAALWLHQHHPSTLALNAASVAAFGYLKDLPELLHRIVNGGFSTRTPGKKARLAAEGVNGGFVSRRGRGRGRGCFRGGFGGGARRRMKHQRGVGSSEERIAASLERDGRISAKAAVARRSKRAEAAARAVERYSRDPTYRFLHDRTADLFADLLREDMRKLAGGELREFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPRGSSPELAGGDDELTDAHYAYRARERLRKAALVPLRRALKLPEVFISARAWESVVYTRVASVAMKNYKDLFAKHDGDRFNAYLADVKSGQNKIAAGALLPHEIIASLSDSDADISVADLQWQRMVDDMRAIGKLRNCLAVCDVSGSMSGLPMDVCVALGLLVSDLSDDPWRGRVITFSERPQLHRIAGETLSDKVKFISSMDWGMNTDLQKVFDRILEVAVSSTLSPEKMVRRVVVFSDMEFDEASSRPWETDYEAIARKFAAAGYAVPEVVFWNLRDSKAVPVTSGQKGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAIAGPEYDKLAVFD >LPERR02G05320.1 pep chromosome:Lperr_V1.4:2:3551691:3556489:-1 gene:LPERR02G05320 transcript:LPERR02G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGSSGGGAAPPLGRMLTVHVAENGNTFEFGCGGETSVEMVQREIERLCGIPPADQLLLCGNTSLDGAHTLADYKLPRDDREVFLYNKARLHADAPRPAPETIDVPEPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVANAVYKSSVAKHKVCGRLLREGQVQERALDTARSNLEHTARKLTQRYSDFIKCFSQQHRSHKEMLANFERDVERLRAVRLHPALQCEGRRSLLDLIKENDLRKLADGCFSSHRQFDVKVSQLKANFMELKKKLDNLFNMMSSSGCKDLEAIIKDHERFIDDQKSIMQSLSKDVNTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRMLTKLLEKCKGKKNEINTLVHVSMQRVKIAQIGIKDMMNELHAFQEVMGHQDRDFDNLKLVSGLGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATERETEVRRREAFFRTWSKYIPEDIMVSMGLFDSPSQCDVTVAPFDCNLLPIDVDDVEKLAPQSLVGSLLKSERSQLPKSSLSNSGVSGSLSKSEQYHLNADDKMDFQDFLGGCDTVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAREKTAAALSAKDKYANHLKSMLTAKQDQLLSYEKRIQELEERLANQYMQGHVISGSKDASDSLLSGFKANDCNLDISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIACTNLDAFMAELPHDSEHKIINSDKEEQILAQFTTSGTSNVPIEDSLGILNSRTNEHHATELRNKELLVSELQNTLENKSKRLDETESKLSALVDEFNSLKKELEHTQGLLDESQMNCVQLENFLHEAREEARTNKCSADRRAVEYEALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASVKKDEGDTTSQFQQCIKTLADKVGFLSRQSAELLERYSTTEAAHRTLLRELEEKKELTKTLYSKLQLEKQASKEKISFGRLEVHELAVFVRNPAGHYKAISRNCSNYFLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKPPSHGDQMEASRPDSGGRRSPSSMLNPYNLPMGCEYFLVTVAMIPDTIR >LPERR02G05330.1 pep chromosome:Lperr_V1.4:2:3561130:3569505:1 gene:LPERR02G05330 transcript:LPERR02G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVESFKGDSSSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRCTHDRCLIRSILLPSSRYDSLVKHYLPVQKEEERPKEKERRKPREIDQFMEELKHEKELRQRRNQERELREGRATDTSASSSRFDELPDELDPIGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGQMAIRNKEGGTVILSGSGGPPLSSVKPQTSELVLTPNVPDIVVAPPDDAHLQHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERIQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVVPFHSLCGDTPEIENKASSDDGRDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGEAHRTGRDDTVVNARNASRPGEGIDGGVSDMLGVSRYAMEAGQKRTNESTSAEPVPSKKPKVDPVLPASKWSREDDVSDDEDTKGGRGLGLSYSSGSDIAGDSGKADVTEVSTDHSNHHPDTILDEEHRQKLRQIEIAVLQYRESLEEKGLRNTEEIEAKVASHRRRLQSEHGLSFSNDGVNSRRSSERTSLERRDRDNARDSSRKRRRSLSRSRSPPRRSLERDREHNRNRDNGSDAGRDRVREKIASRGRDDHYDRSRDREKDRRKGR >LPERR02G05340.1 pep chromosome:Lperr_V1.4:2:3571826:3576916:1 gene:LPERR02G05340 transcript:LPERR02G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTDKLSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRNEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNGQDDWSHEEGPHSDFAGPTCGSTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELETERERILKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVVADCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGEGCDNMTMVLVQFKKPISQSKKADVGEQSAKAVEETEIKIDAAEENGS >LPERR02G05350.1 pep chromosome:Lperr_V1.4:2:3577333:3579772:-1 gene:LPERR02G05350 transcript:LPERR02G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGVQVDELYSLDLDALNDLQPVYGLILLYKWRPPEKDERPIKDPVPNLFFANQIINNACATQAILSVLLNSPGITLCEELKKLKEFAKDLPPELKGLAIVNCDSIRLASNSFARPEVPEEQKSSIKDDDVYHFISYVPVDGVLYELDGLKEGPINLGKCPGGVGDIGWLRMVQPVIQDRIDRFSQNEIRFSVMAILKNRKEMFTLELKELQRKRENLLAQMGDPSANRHAPSVEQSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKQKSHSSTNPR >LPERR02G05360.1 pep chromosome:Lperr_V1.4:2:3580753:3584750:1 gene:LPERR02G05360 transcript:LPERR02G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLPFNPTRTKINVEGAFCLPCYNRKKSSNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >LPERR02G05360.2 pep chromosome:Lperr_V1.4:2:3580702:3584750:1 gene:LPERR02G05360 transcript:LPERR02G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLPFNPTRTKINVEGAFCLPCYNRKKSSNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >LPERR02G05370.1 pep chromosome:Lperr_V1.4:2:3597326:3598921:1 gene:LPERR02G05370 transcript:LPERR02G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQEKMSSEKMMMIRVREFIMEKDLPAVEELERLSGDLAAAAGGGANGKKKNTNKKGISLYVEQIGDPFARIRHAPDHVILVAEFGEEEKVVGVIKACVRMVSRSSSKTTKTMMNKYVKVACLLGLRVSPSHRRQGIATELVRHAESWCTSRGATYATVATTASNAASLSLFRGRFGYAPFRRPLFLGHPVHRHRARLPRHHRVLHLPPPLAAAAYGALLLSPPSPRHDAAAGRAEFVPSDLPSLLAHKLTRGTYLAVERDGVATASFAMVSVYDATRTLSFRVGGATRMLRASLAAARAIDRAAPWLRVPSVPDVFRPFGAYLLYGVHVSGPAGPSLLRTLCRHAHNVARKNPACAVVAADIGPDDPAAAAVPHWPKFSCDEDVWCIKKLNASDDDGGGDGDDEWTAATAPGVLFVDPREF >LPERR02G05380.1 pep chromosome:Lperr_V1.4:2:3604369:3606559:-1 gene:LPERR02G05380 transcript:LPERR02G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQLSLAAVRDALVREEDSIVFALIERARLPRNSPAYAPAAAAGGRSLAEFFFREAEAGHYQKPEDVPFFPQDLPSPVFPTTGYTKVLHSFASAVSMNDAIWKMYFNELIPLFTVDGDDGNYAETVALDFACLKALSRRVHIGKYVAEVKFKDAPQDYSPLIRAKDTSALMNLLTFKAVEEKVKKRVEKKARIFGQNVTLEENAGKHEGISGDSECKVNPEVLSKLYDQWVMPLTKDVEVEYLLRRLD >LPERR02G05390.1 pep chromosome:Lperr_V1.4:2:3610203:3621775:1 gene:LPERR02G05390 transcript:LPERR02G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVCVTGAGGFIGSWIVKLLLERGYAVRGTSRRADDPKNAHLWKLDGAAERLTMVSVDLLDRASLRAAFDGCDGVIHTASPMHDTPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDTPLDDSCWSDLDYCKNTKNWYCYAKTIAERKAWEVARARGLDLAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYINESHAYVHVRDAAEAHVRVLLAPGAGGRRYVCAERTLHRGELCRILAELFPEYPIPTRCRDEVNPPKKGYKFTNQPLKDLGIKFTPVHECLYEAVKSLQDKGFIKKASNTKALHRQSSPPPQKAPASMLISKL >LPERR02G05390.2 pep chromosome:Lperr_V1.4:2:3609750:3621775:1 gene:LPERR02G05390 transcript:LPERR02G05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVCVTGAGGFIGSWIVKLLLERGYAVRGTSRRADDPKNAHLWKLDGAAERLTMVSVDLLDRASLRAAFDGCDGVIHTASPMHDTPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDTPLDDSCWSDLDYCKNTKNWYCYAKTIAERKAWEVARARGLDLAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYINESHAYVHVRDAAEAHVRVLLAPGAGGRRYVCAERTLHRGELCRILAELFPEYPIPTRCRDEVNPPKKGYKFTNQPLKDLGIKFTPVHECLYEAVKSLQDKGFIKKASNTKALHRQSSPPPQKAPASMLISKL >LPERR02G05400.1 pep chromosome:Lperr_V1.4:2:3611995:3627270:-1 gene:LPERR02G05400 transcript:LPERR02G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLMLDREKFSPNKTEQACLNRAVAGTTTEESYTDAGVLLTLMQVVGNGGGVHQYELPQKYPCTTSTLPVAQDL >LPERR02G05400.2 pep chromosome:Lperr_V1.4:2:3618691:3627270:-1 gene:LPERR02G05400 transcript:LPERR02G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLMLDREKFSPNKTEQACLNRAVAGTTTEESYTDAGVLVRKWWLAMVVGFIKSLVVLEEMGAICTIQARLIGLDRWGACRLGHAPMGGPLAGCLPLPCGSCLTGA >LPERR02G05400.3 pep chromosome:Lperr_V1.4:2:3618691:3626932:-1 gene:LPERR02G05400 transcript:LPERR02G05400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQYTAAPWWLAMVVGFIKSLVVLEEMGAICTIQARLIGLDRWGACRLGHAPMGGPLAGCLPLPCGSCLTGA >LPERR02G05400.4 pep chromosome:Lperr_V1.4:2:3618691:3626932:-1 gene:LPERR02G05400 transcript:LPERR02G05400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQYTAAPWWLAMVVGFINQARLIGLDRWGACRLGHAPMGGPLAGCLPLPCGSCLTGA >LPERR02G05400.5 pep chromosome:Lperr_V1.4:2:3618606:3627104:-1 gene:LPERR02G05400 transcript:LPERR02G05400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNGGGVHQPSALDWFGPVGGVPLGPRAHGGPLGRLPPTALWIVFDGRVIRSGATCGSGTELTPRRVEMGRINVVE >LPERR02G05410.1 pep chromosome:Lperr_V1.4:2:3634535:3643930:-1 gene:LPERR02G05410 transcript:LPERR02G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEELSLSENETESLRLMNWLRSEFIATIGPEYQGSIWFESVKMPRCPQSNVDGTDQLV >LPERR02G05420.1 pep chromosome:Lperr_V1.4:2:3652886:3656333:1 gene:LPERR02G05420 transcript:LPERR02G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISSQPSLSLDLCVGLPPPLSHHHHQAPAAAAAVAMVKPKLQLVEENFLQPLKKDPEVAALEAELQRMSAENRQLSEMLATVAAKYDALRGQFTDMVTAGAGAGGGGNNPSSASEGGSASVSPSSRKRKSESLEGGSDSPPPPPPPAAQHHLHMISGGGGGDQMECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPTCPVKKKVQRSAEDNTILVATYEGDHNHGQPPAPAQQQQPTSDGSGKSSAAGKPPHAPSPSPPAPTPPLALHHQTEAVAVAAGEELIRRNLAEHMAMTLTRDPSFKAALVTALSGRILELSPTKD >LPERR02G05430.1 pep chromosome:Lperr_V1.4:2:3661114:3662127:1 gene:LPERR02G05430 transcript:LPERR02G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPATIGGGAPESEKKKKKTHLRKISDFLLHDGDDVAPASPPPRLRLPGFTCARIRFCRSRGRRGGRKISEAASPSSSSPPAAAEDATSGRDAAAATATEAAAGGLGLSLLFLLARTSVELSKIAEVRAQMEALLADMRHAAARRDLKPTTSSSPSSSTSSSLGSIYSMATGDDGDGEGETIDEDINPLQVELEDGDCSSSDDGGGFIELVEGGFVAGGNGDGDGIGEGGVNGVELERRLREVKREREKERVAELEAALRRARRRLMEKEMEVRLWKDTAELALQHRPSAAAADRRKTAMTSDGL >LPERR02G05440.1 pep chromosome:Lperr_V1.4:2:3662441:3666595:1 gene:LPERR02G05440 transcript:LPERR02G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIVGRAELSHSRPKKTTQQDLIPPVPFPRFLSHSASSSSSLRLPFFRSKLTPHLSLFSPHTNRTFEKLGIEPSPAGRLLSPSAAPPPVARSTDFEGGSLADGKYGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPQRAAVPPSAAPTTEEAAEEEPKFIPFTGPGRRLDGKASKDKDVSASSPAKRQANASNSVQPSTASTSQNSSSRKTTGKLVFGPGGNCTSKETDKVPEKEAKEDPKKDEPKFSAFTGKKYSLKG >LPERR02G05450.1 pep chromosome:Lperr_V1.4:2:3668398:3673740:-1 gene:LPERR02G05450 transcript:LPERR02G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATVSRLARAARAAAAARRHNAGGRDPLRALASLAGDAAGVGGVRRPPALFPPVGRLLPAAGAAGSGLLPRARLFQLTQPARYSSAASSSQITPGEFTEMAWEGVIGAFDAARMSKQQVVESEHLMKALLEQKDGLARRIFSKAGIDNTSILQATDEFISKQPKVVGDTSGPIIGSSFVSILDSARKHKKDYGDEFVSVEHILRAFTADKRFGQELFKNLKIGDNELKEAISAIRGSQRVTDQNPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRRLISLDMGALLAGAKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAVGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTVSILRGLRERYELHHAIDLVDEAAAKLKMEITSKPVELDEVDREIIRLEMEKLSLKNDTDKASKERLRKLEADLESLKEKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLAEFQQSGKSMLREEVTDIDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTESALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSREAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDNTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVLVDVSSAAIAKGLAPQKKLVLQRLENANLELVAND >LPERR02G05460.1 pep chromosome:Lperr_V1.4:2:3676441:3680254:-1 gene:LPERR02G05460 transcript:LPERR02G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERQGKVNGGGGGDRDQFPVGMRVLAVDDDPTCLKILENLLRRCQYHVTTTGQAATALKMLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGENICANRKRLSRKGRDENGDDGDDSDENSNENADSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRPVPTPGHTQANNILTRMNSPSAFGVHGLLPSQPIQLGHAQNNLSTSLNDLGGLNNGNLIRGPQMSTILSGPSGNTFPNISNGAPLATANRSLQPLESSNQQHLGRLHSSSADPFSTLIGDSPQFPDLGRSSNTWQTAVPSNIQECGHNDSMSQTTLHMNGPKIEPVSSFTATNQIPLLGNEMQSQVASLASNVPIAFNQDTSPFPYGSRTKSRDMLNNSHGFSNSSINTSLPNLRIDNPTVPRQTLDGGNTGIVPPMHDGRIHHQAVSNQLNYNDDLMRTTGLQRDLSGGLDDIVVDMFRPDREDDGVPFIDGDWELV >LPERR02G05470.1 pep chromosome:Lperr_V1.4:2:3692846:3693400:-1 gene:LPERR02G05470 transcript:LPERR02G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSNSAGGVRRDVTFVAAAGDRMAAAAAALRLVELDLIGTFGAASATPPAPPRLIIVSPPPPAKEEGDDGDGDGDGEPRQLFACHYCRREFYSSQALGGHQNAHKRERTLARRHAAAAGDEHASPASFAIHGAGAFVSASQPAWTAASRVLDGERLLNYGGKVDWPRGGGDQKRQIDLTLKL >LPERR02G05480.1 pep chromosome:Lperr_V1.4:2:3695357:3697409:-1 gene:LPERR02G05480 transcript:LPERR02G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIACDRRLGVQLQTVATDFRRVFKVHDKLYIGLSGLATDAQTLYQKVVFRHKLYQLREERDMKPQTFASLVSTLLYEKRFGPYFCQPVIAGLGDDDEPFICTTDCLGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQASVDRDCLSGWGGYVLVVTPTEVRERVIKGRMD >LPERR02G05480.2 pep chromosome:Lperr_V1.4:2:3695357:3697409:-1 gene:LPERR02G05480 transcript:LPERR02G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVLPPPSPAPLIFEYNGSAVVAMVGKNCFAIACDRRLGVQLQTVATDFRRVFKVHDKLYIGLSGLATDAQTLYQKVVFRHKLYQLREERDMKPQTFASLVSTLLYEKRFGPYFCQPVIAGLGDDDEPFICTTDCLGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQASVDRDCLSGWGGYVLVVTPTEVRERVIKGRMD >LPERR02G05490.1 pep chromosome:Lperr_V1.4:2:3700248:3702315:-1 gene:LPERR02G05490 transcript:LPERR02G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSHHFRLLLCCTAAVPAVEEWRQHHLRKELIIAIVLSSIAGVMIAGTVLYAFILCRHSRRSHDSKDIRSSSDTARVALVPMLSKFNSMKSNKKGLVAMMEYNSLEAATGKFSESNLLGAGGFGCVYKANFEGGLVAAVKRFGHLGQECEKEFEISDFGLAVTSGNHSKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPSIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVSPESPCAIRKHSPS >LPERR02G05500.1 pep chromosome:Lperr_V1.4:2:3714640:3720071:1 gene:LPERR02G05500 transcript:LPERR02G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAACAGEGDDEVAAGIICSLRGADLAGWTPPWWCGKQQVEEELIWPAVKRGKRSRRGSPAVAGKGRWGRGSPASPLDYSGGSRSGSGSASASTSGGEDGGAFCSPAATATTTMPKVGGMGRQHMTFPAAPSPLRPAGQRSRKKMRLPEVQQLVRSLAVENESLREEMRALQRACTALSKENDKLEIRFEISSSKNNASAKDLKGKQLDQQSDMQSAGGGFALPDLNLPVKDGADGSVH >LPERR02G05510.1 pep chromosome:Lperr_V1.4:2:3722115:3724838:1 gene:LPERR02G05510 transcript:LPERR02G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLAMDPSSAAAAAAAAAAAASSPNPSFSPGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMYDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKRYHITKLCLTIS >LPERR02G05520.1 pep chromosome:Lperr_V1.4:2:3726293:3726610:-1 gene:LPERR02G05520 transcript:LPERR02G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGASPPSPLSSLRDKLKATVCCCFGAGAGDTLAQWRRRVGAPGEFRYDPLSYALNFDEGADEEDDGGEEEDFDAGDHRADGLLYRSFSSRLAPSPTPATVAIA >LPERR02G05530.1 pep chromosome:Lperr_V1.4:2:3729313:3732943:1 gene:LPERR02G05530 transcript:LPERR02G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSEKRGTTRAVCRPKIGDLETSDVVVRLRTPEGRDEWLYCHSGVLAAGSGYFSDRLSDEWPTCQILGSRYCVEVYCQEPDLSSHVTVLRLLYAAAGCGGGDPCSRFGVRGSLGILQAAVHLACPQIAGDCVNYLESAPWDEADEEEILRTIPCLGPDYECVLARLRPIDPAPVTGIFLSAFRHATSSMNSLSSELKSAAQEQLEYMLTEDDDAPLLVFEDDTVKSQVKDCVAGLLNRFSGFMSSILNKKPKEGGDCEFQKELHCLVSDVSWVCQILSKLEMMKCIAVYWVGVSLDVVEVVDNVCGEIGDCLKTRLKVIEVSAKVLEAIAFGNVVIPTEKRCGVVNVWIDFARRIKPLVDQPERDDDDDDDENGDAEVPKINLDSEVWQSLESAVVSIVLTLPSNSQADILSDWLQSKHAKYPDLTEAFEVWCYRSKVAKRRLSFLSHINRICGHCGGADWIVESGVKCISLACPVFYERRKIQRELKIEPERENRLACLCYLSDKILNHGSSVHGDQRLPARSEQFLARQLDDSDGTGRIVLPLISLEIESEASATVFWRSKWAIKSLSCQKRMMDR >LPERR02G05540.1 pep chromosome:Lperr_V1.4:2:3732769:3736799:-1 gene:LPERR02G05540 transcript:LPERR02G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGESASQSPPASTSSSASALYSVPFSSGNPRIEEARGVVLLHPDPPASSLLPIGRKPRVCVPAVPNHLTYADFWRFCGSFVTNILEMRIVRIDGVEDQYSVLIKFDTQRSTDDFYLNYNGKQFTSLEVAACRVRFVEDIHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTVHACQNGQTLHAQYCQQQPEKSMCSICGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSADGMCSTCNGDAGISEALLDSKVEAIFEEYNDLVTSQLENQRNYYESLLLEVKEDNEKEIIAATEKAVGIKVQRLQSKLDKFMEETKFLNDIHENLVKNMEMWRERIQKVKEREQAALRLKDEKIEKLEEELRDLIAHFERQNTVAEASDSISSDISGSTILPVPSESSASSSSPWIKN >LPERR02G05550.1 pep chromosome:Lperr_V1.4:2:3743119:3744463:-1 gene:LPERR02G05550 transcript:LPERR02G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHHFSMQSSLEISLHQQAMWKPAWLEALNTQKFFIACSFHENAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCTNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >LPERR02G05560.1 pep chromosome:Lperr_V1.4:2:3753052:3755778:1 gene:LPERR02G05560 transcript:LPERR02G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATPFLLLVISLVFPTLLAAAVTNSSAAATVGMSSPSTATATFVLAGERTRRKDPLDGLKLYSGGWNISDEHYWTSVGFTAAPVFAAAAIWFVVFGVALFLAACCFCCCPSRRRAGADDYSCTAIAVSLVLLLAFTAAAAVGCGVLYEGQGNTAATVEYVARRSGEAAASLRGFARSMEAARDVGVGPVTMPADVKGRIDGVVGKVGAAADELAARTASNAAKIRTALETMLVFLGWILVTATFLLCGTFLLLHNVVGDTCAAMGEWVDHPQARTALDDILPCVDTSAAADALARSNDVTAHLVAVINGVISNVSNSDAPLTPLKPPPSAKQIYYNQSGPPVPLLCLLGCAAGEVDLAAAPQAWRQHVCRVHAVAFPGSNSSSAVEVCATVGRLTPAMYGQMAAAARVGDGLRRYRPELADMADCEFVRRAFREVGGEQCPELGRYSGEVYRGLLAVAGAVVAAVVLWVFHSRERRRRRDAVEVRAAASPYTLHHSHLEEGALLKSPRMMYR >LPERR02G05570.1 pep chromosome:Lperr_V1.4:2:3757360:3778486:-1 gene:LPERR02G05570 transcript:LPERR02G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTTTAVAPATSTGAEGEGEAEAEALKDDVYTGAAYGDLEKLHRLVEREGRSVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHVQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSFLYHIVAKWNADPDVPDNDGRSPLHWAAYKGYADSVRLLLFLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGGNRQYSSNMTLIFGLFSWLGVFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKKNKWEFFMFLILEVSAMILTGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTSQSDEELGMIEMTRGAVSQNGESMPLHVNGTDHGCADPQGNSKSHRPHGSSKCCSHSKKPDKTPLGLGLGLGRNNPSSRYARARDGDRRVQRGVLRRRRQDRYAAEWEAMVRRMGRWVDFEGGYRTMDMEFMESVWWVFAQLWEKDLVYKGFKVMPYSTECKTVLSNFEAVLKYEKVEDFTAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANVVYAKIVEQDLLSEAFKKVKDISNGMVYIVAENRIDQLPVTAEVAETAGAAESGLDTSYELLEKIPGSSLVGLRYTPLFDFFSELQDTAFRVISDNFVTDDSGTGVGTDLVVAVDNDGCFTDKICEFNGRYVKDKETDKDIINAVKEKGRLVSEGRIKHPYPYCWRSDTPLIYRAVSSWFIRVERIRDQLLECDKEIYWVPEYVKEKRFHNRLEGARDWAVSRTRFWGTPLPLWISQDGEEMIVMDSVDKLERLSGVKINDLHRHHIDGITVPSQRGPEYGVLKRVDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFVAEGFYTLMVLSTALFGKPAFKNLICNGHVLAEDGKKMSKSKKNYPSPMEVIDNYGADALRLYVINSPVVHAESLRFNEKGVHDVVKDVFLPWYNAYRFLVQNAKRLEIEGLAVFSPINQATLLRSSNVLDNWIQSATESLVVPYLVKYIGNLTNIYVRLNRKRLKGRTGEDDCRVSLSTLYHALVTTCVVMAPFTPFFTEALYQNLRKVSSKSEESVHFCNFPSTTGQVVREFKCPANVSEKEIDAAGDGDVLVVNGIQKLKKTAQLRPADPVDVYYRSLDSRLEKILQMQGQYIRDALGSPLTSDPEAQANMEVLCKEDYKETVRLFILLSSNVYPDRRFKLEIASAGFYARAFSSR >LPERR02G05570.2 pep chromosome:Lperr_V1.4:2:3757360:3778486:-1 gene:LPERR02G05570 transcript:LPERR02G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTTTAVAPATSTGAEGEGEAEAEALKDDVYTGAAYGDLEKLHRLVEREGRSVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHVQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSFLYHIVAKWNADPDVPDNDGRSPLHWAAYKGYADSVRLLLFLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGGNSYFGKLSKLGLAPLLCYLRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKKNKWEFFMFLILEVSAMILTGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTSQSDEELGMIEMTRGAVSQNGESMPLHVNGTDHGCADPQGNSKSHRPHGSSKCCSHSKKPDKTPLGLGLGLGRNNPSSRYARARDGDRRVQRGVLRRRRQDRYAAEWEAMVRRMGRWVDFEGGYRTMDMEFMESVWWVFAQLWEKDLVYKGFKVMPYSTECKTVLSNFEAVLKYEKVEDFTAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANVVYAKIVEQDLLSEAFKKVKDISNGMVYIVAENRIDQLPVTAEVAETAGAAESGLDTSYELLEKIPGSSLVGLRYTPLFDFFSELQDTAFRVISDNFVTDDSGTGVGTDLVVAVDNDGCFTDKICEFNGRYVKDKETDKDIINAVKEKGRLVSEGRIKHPYPYCWRSDTPLIYRAVSSWFIRVERIRDQLLECDKEIYWVPEYVKEKRFHNRLEGARDWAVSRTRFWGTPLPLWISQDGEEMIVMDSVDKLERLSGVKINDLHRHHIDGITVPSQRGPEYGVLKRVDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFVAEGFYTLMVLSTALFGKPAFKNLICNGHVLAEDGKKMSKSKKNYPSPMEVIDNYGADALRLYVINSPVVHAESLRFNEKGVHDVVKDVFLPWYNAYRFLVQNAKRLEIEGLAVFSPINQATLLRSSNVLDNWIQSATESLVVPYLVKYIGNLTNIYVRLNRKRLKGRTGEDDCRVSLSTLYHALVTTCVVMAPFTPFFTEALYQNLRKVSSKSEESVHFCNFPSTTGQVVREFKCPANVSEKEIDAAGDGDVLVVNGIQKLKKTAQLRPADPVDVYYRSLDSRLEKILQMQGQYIRDALGSPLTSDPEAQANMEVLCKEDYKETVRLFILLSSNVYPDRRFKLEIASAGFYARAFSSR >LPERR02G05580.1 pep chromosome:Lperr_V1.4:2:3779043:3781857:-1 gene:LPERR02G05580 transcript:LPERR02G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRGTTAYQAYQGQQFVLRRNVWVTSSRPYLINLTCKKLQMILHEDKVDKVLKKVFILDPRPVNEKHKDYPVGPYTRKIVCISENLMRGMRRCGWVEDIAKWVHAFPDISHEHETQSGYLVYLFMSSWSNGELRLPTYKGCGDVRKQFLTHLLMSPGNDSELSTPDGLNNLVLSLYDV >LPERR02G05590.1 pep chromosome:Lperr_V1.4:2:3784288:3789438:-1 gene:LPERR02G05590 transcript:LPERR02G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPELATQVVIPVAAAVGIAFALLQWVLVSKVRLTPERGGEAAGKSGGPSDYLIEEEDGLNDQSVVSKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTVAFLLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTVVMTVGIALVSWLGLPYTFTIFNFGDQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQVGNLFRILGFTFPQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >LPERR02G05600.1 pep chromosome:Lperr_V1.4:2:3797809:3809346:1 gene:LPERR02G05600 transcript:LPERR02G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGESTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPRDKYLAKEHLWPFIQEFVDGALGHIARMSKTIGEEIGFGHPVWPAVIHGHYASSGLAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFDMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKHEQGKTPISGRKHIIVISVDSVNKEDLARIIRNAIEVTHTENLSGSTGFVLSTSLTISEIRSLLVSAGMLPTDFDAFICNSGSNIYYPLYSGDIASSSQVTPAMDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELKKLMRIQSLRCNPLYNHSASRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNISANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIVDYMDTSKPDKTFTITGKTYLKNRVLLERALEASGDDLDSAIKSLNELCLESAAVGESDSVLPAALKLSAEGVVSNGHLDVLTDNPPATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMDQVGTETVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFEERTQEVHNLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNNSVPGRFPPDVF >LPERR02G05610.1 pep chromosome:Lperr_V1.4:2:3809634:3813720:-1 gene:LPERR02G05610 transcript:LPERR02G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDRFSRRDEFLECLGEGLKLVSGFSVADLFPSSWLAGFLTGAARAARENHRKNFELMDCAIQQHQERRAAMAARGEVVEEDDDLVDVLLRIQKGGGLDVPLTMGVIKAVILDLYSAGSETSSTTIQWAMSELMRNPRVMKKAQAELRDSLQGKPRVVEEDLVNLSYLKLIIKETLRMHLPAPLLLPRESREACKILGYDIPKGTTMLVNAWAIGRDPKYWDDPEEFKPERFEDGKIDYKGLNFEFLPFGAGRRICPGIIFAQANMELALATLLYHFDWSLPDGLKPSELDMTEDIGLTVRRKNDLYLHAVTQITDYLPLFIALLVIPLLLLKVARLVIGNNGVAGGKLRLPPGPWRLPVIGSLHHLIGKPHVHRAMADLARRHDSPLMYLELGEVPVVVASSPGAAREILRARDATFASRPWSPTLRVMMADGEGIAFARHGSRWRRLRKACVLELLSPRGVASFRRAREDEASRLLATVRAAARRGDGVVNVSERVAVAVTDTTVRAMIGERLERREEYMAGVAEVGRLLVGFSLGDLFPSSRLAGIVGGTARRAAASHRRMFELMDCVIRQHQERKKDDGGEEEDILDVLLRIQKEEGQEVPLTMGDVKDTILVMRNPRIMHKAQTELHNKLQGKPTVTEDDLVGLTYLKLVKETLRLHPAAPMLIPRECGKSCKVLGYDVPKSTNVLVNVWAIARDPKYWDDAETFKPERFENRKYDLRGAPTLSTYHLGLDEGCVLDRCSLMPSWSSRSPHCCTTSTGSSLPELRQVSWTWPTRRVSSFAGRTTSTSVRSSVCRQEVHREAMVLLIVHPMC >LPERR02G05620.1 pep chromosome:Lperr_V1.4:2:3813744:3814313:-1 gene:LPERR02G05620 transcript:LPERR02G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSYTSYHFLALAVALLVLLRLRKNRGGGNGNDGVNLPPGPWRLPVIGSLHHLAGKPLVHRAISDISRRMGSPPLMYLRLGEVPVVVATSPDAAREVMRSHDVAFATRPWSPTVKIMMSDGEGLVFAPYGDLWRQLRRIAILELLSARRVASFRRVREEEAARLVRAGATSAAATTWSTSASGSPC >LPERR02G05630.1 pep chromosome:Lperr_V1.4:2:3820419:3820726:-1 gene:LPERR02G05630 transcript:LPERR02G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPWPQREILWATAAGGRALSSYPCLSRSDACLIIVLLAFMLAWTTDKGLFKKFMDKIVIDETTTS >LPERR02G05640.1 pep chromosome:Lperr_V1.4:2:3823340:3849060:1 gene:LPERR02G05640 transcript:LPERR02G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLQDVTGYLCLFSVLLLIPLLVRNAARKKATGEPPLPPGPWRLPVIGNLHQIMFSGPLFHRTMADLARRLGDGAPAPLMSLRLGEVPVVAEANHRRNFELIECALRQHEERRAAGGGGGGDVDDEDLVDVLLRIQKEGALEVPFTMGNIKAVILDLFGAGSETSANTLQWVMSELIMHPRVLLKAQAELDDALQGKQIVTEDDLAELKYLKLIIKETLRLHPVVPLLLPRECRETCKVMGYNIPIGTTVLVNVWAIGRDPKYWDDAETFKPERFDNSHIDFKGTDFEFIPFGAGRRMCPGVAFAEASMELTLASLLYHFDWVLPDGVLPTKVDMTEEMGVTSRRKNDLYLVPTVHAMAMAHDVTGYLSLSLALLILLALLLKSVARKNANGNGAASHKLRLPPGPWRLPVIGNLHQLRRVAVVELLSARRVREEEARRLVAAVAARRGEAVNVSERIAAVVSDTAIRTIMGDRFERRDEFLEGLAEGIRISSGFSLGDLFSSSRLASFVGGTARRAEANHRKNFELIECALRQHEERRATGGGGEEEDLVDVLLRIQKEEGLEVPFTMGNVKAVIRELFGAGSETSANTLQWVMTELIMNPRVMVKAPAEIRDILQGMQTVSEDDLAGLKYLKLVIKETLRLHPVVPLLLPRVCRETCKVMGYDIPDDFKGRDFEFIPFGAGRRMCPGIAFAEAIMELVLASLLYHFDWELPHCIPLTKKEIMEETGSTIRKKNDLYLVPIVRDPACHYTITPTLQAMAMEYDVAKIASYVALVLLPLLLVLKLTATAARRHRGGDGARTTRPPPGPWRLPVIGNLHQIVSGGQLVHRTMADMARRLGVNDEPAPLLSLRLGEVPVVVVSSSAAAAEVMRAHDAAFASRPWSPTVRVQMVDGEGLAFAPYGAMWRQMRKITMVELLSPRRVRCFRRVREEEARRLVAAVAAKAGEAVDVGERLTVLITDIAVRTIIGDRFDRRDDFLDAAAEWVKIMSGFSLGDLFPSSRLAGFVSGTVRRAEANHRINFELMDCALRQHEEKRAAATATAGDVGEEEDIVDVLLRIQREGGLEVPLTMGNIKAVIQDLFGAGSETSSTTLQWTMSELVRNPRVMQKAQAELRDCLRGKQSVSEDDLAELKYLKFVIKETLRLHPVVPLLLPRECRETCKIMGYDVPKGTTVLVNVWAICRDPKNWKDAETFIPERFEDSTMDYKGTDFEFIPFGAGRRMCPGLSFAQVSMELALASLLYHFDWELPGGAAPNNLDMEEEMGITIRRKNDLLLVPIVAYVVCFLLLALMVVRLTTKRGGGGAVMRPPPGPWRLPVIGNLHQVMLRGPLVHRTMADLSRRLGDAPLMSLRLGEVTAVVASSADAAREIMRTHDAAFAMRPWTTTARRLRPRGEEGVVFASYGAMWRQLRRVCVAELLAARRRRLVGAVAIGAGAAVNVNVSERIAATITDATMRAMIGDRFERRDEFLEGLAEIVKIGSGFSLDDLFPSWRLAGAVGGMARRAEENRRKTFELMDSVFRQHEQRRADVAMDDEEEEVEEDLVDVLFMIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPEVMKKAQTELRNNLQGKATVTEDDLANLKYLKLVIKETLRLRPVLPLLLPRECRETCNVMGYDIPKCTTIFINVWAINRNPKYWDMPEMFKPERFENSLIDFKGTDFEFVPFGAGRRICPGIAFAQANMEIVLAALLYHFDWELPSGILPEELDMAEDMGLSVRRKNDLYLKPTIRVACFAPAIVAALLLLLAATRRGRDGGGGGARLNLPPSPPRLPFIGSFHLFRRSSPLAHRALADEARRLNPGGISPAQLMFMRIGEIPAVVASSADAAREIMKTHHIKFASRPWPPTIRQLRAQGKGIFFAPYGALWRQLRKVCIIKLLSVRRVNSFHAVREDEARRLVAAVAAAAVNITERIEVVIADTTMRPMIGERFERREDFLQLLPEIVRIASGFSLDDLFPSSWLAAAIGGSARRGEASHRRSYELVDCALRQRLRQREAMGDDVGNVVKEEEEDLMDELIRIHKEGSLEAPLTAGNMKAVILDLFGAGSETSSDAIQWAMSELMRNPRIMEKAQNEVRSIVNGKPTVTEADVAELKYLKMIVKETHRLHPVLPLLIPRECQETCQIMGYDVPKGSIARSRRFQSQRFENGKIDLKGTDYEFTPFGAGRRICPGLALAQASIEIILATLLYHFDWELPNGIAPNELDMEEKMGITIRRKKDLYLVPTVLLIIFAPLLLVVLPLKKTNSGGGRGGGAKLPPGPWRLPVIGSLHHLIGESLVHRAMADLARRLDDAPLMYLTLGEIPAVVASSPDAAREITKTHDVAFASRPLSSPVTRRLRPGGENGVGLAFAPYGAAWRRLRKVCAVELLSARRVRSFRRVREDEVEHLVAALAVDGGEAAVNLSERIAAVVSDMAMRAMIGDRFERRDEFIREAAEQLRLLGGFSLVDLFPSWRLASVIGGGTVRRAEENSKKLYEMVDCAIRQHKERRAAMEDGAAAAAEEENGQDLIDVLLRIQKQGGLDTPLTMGHIKAVILDLFTGGSDTSSTTLQWAMSELVKNPRTLQKAQAELRDKLQGKQMVTEDDLSNLKYLKLVIKETLRVHPIAPLLVARECRDSCKIMGYDIPKGTNVFINVWAICRDPKYWDNPEEFKPERFENTTVDFKGTDFEFIPFGAGRRMCPGVAFAEVIMELLLAALLYHFDWELPCGMTMSELDMTEEMGLSVKRKIDLHLRPILRVPLAHKLNTTP >LPERR02G05650.1 pep chromosome:Lperr_V1.4:2:3853047:3856453:-1 gene:LPERR02G05650 transcript:LPERR02G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSPSSSPPATAAAAAAAVSASLRCASPSCRLATHVLFRQKMSFMVAFQVQHVKFAPHLIKSHVKGIRANITDGDNGATEPARELLERLFAKTQSLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDKREREINKAYVRQQEMERSLAKASRDLILQVSQINKLKVLVDEQNKKIASSQDLLSHKVTEVEKLKQDMLKKNEDAALLRSEIKSKEQLLLEANQAAAQQEATIRELRSEIKRKGIDFARSNELRKANEQKLKIAEQELERQNSGWLAAQKELKEVAQLACKDMGGIKDTISDFQRVRSLLDAVRSELIASKEALSSSRKQIEDQAVLMQKQVQQLTDQRLLLSSFNQNLEAAQLEIKVKAKELNAAQSHCHELELLLLQEKEKDESLEAALTIERESLEERTKEVELLQKELVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQTAVQEKDSELAETQRRLAEVNSEAVELQQLLNSRDDQLVQVRTELQDKEQHINTLQNELDSMRFSCSEAESMVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHKALDAKDQELKAVMRRWDVREKELDKLEELLNDPSDIKKPSDHVREMKLQKLQTEAAEVEALTATTKLKKLADTAMGFLRSGKAGDKGDSEVEQNKEMDVILDAEKEIAGLFSLTEQLITDAGMSGARQENAACNLLYRQSKSGTNIWNRRS >LPERR02G05650.2 pep chromosome:Lperr_V1.4:2:3853622:3856453:-1 gene:LPERR02G05650 transcript:LPERR02G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSPSSSPPATAAAAAAAVSASLRCASPSCRLATHVLFRQKMSFMVAFQVQHVKFAPHLIKSHVKGIRANITDGDNGATEPARELLERLFAKTQSLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDKREREINKAYVRQQEMERSLAKASRDLILQVSQINKLKVLVDEQNKKIASSQDLLSHKVTEVEKLKQDMLKKNEDAALLRSEIKSKEQLLLEANQAAAQQEATIRELRSEIKRKGIDFARSNELRKANEQKLKIAEQELERQNSGWLAAQKELKEVAQLACKDMGGIKDTISDFQRVRSLLDAVRSELIASKEALSSSRKQIEDQAVLMQKQVQQLTDQRLLLSSFNQNLEAAQLEIKVKAKELNAAQSHCHELELLLLQEKEKDESLEAALTIERESLEERTKEVELLQKELVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQTAVQEKDSELAETQRRLAEVNSEAVELQQLLNSRDDQLVQVRTELQDKEQHINTLQNELDSMRFSCSEAESMVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHKALDAKDQELKAVMRRWDVREKELDKLEELLNDPSDIKKPSDHVREMKLQKLQTEAAEVEALTATTKLKKLADTAMGFLRSGKAGDKGDSEVEQNKEMDVILDAEKEIAGLFSLTEQLITDAGMSGARQV >LPERR02G05660.1 pep chromosome:Lperr_V1.4:2:3866244:3872673:-1 gene:LPERR02G05660 transcript:LPERR02G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESGYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSRDVYIPRGVSVPALDKDALWHFQPNKIDVGDAITGGDLYAKVIENSLMEHHIALPPGSMGKVSYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVAEKIAADEPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKHSNSEAVVYVGCGERGNEMAEVLKDFPQLKMTTKNGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERGGKVQCLGSPGRKGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWMDSYSNYSKALDPHHVKFYPGFIDIRTEARKVLQDEDRLNEIVQLVGKHALGERDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIVHFNTLANQAVERTTGADGHKITCDVIKKRMEALLERLVRQKFEDPAEGEQVLVAKFQGLYDDLTNAFHNLEDEAR >LPERR02G05670.1 pep chromosome:Lperr_V1.4:2:3874310:3877504:-1 gene:LPERR02G05670 transcript:LPERR02G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGGSNGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAAKRLKSWSNKAENEFAVEVVVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNQSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHHATPHIIHRDIKSSNVLLDKNFQARVSDFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKINPTTKLTITEWALPLARDKKFKEIADPKLKDIFVEAELKRMVLVGLACAQNKPEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSLCQDLSHSSLPDSSDCITEEKNSKAETIDEAADSSEAVPSAR >LPERR02G05680.1 pep chromosome:Lperr_V1.4:2:3879900:3882126:1 gene:LPERR02G05680 transcript:LPERR02G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSESDSAPEELTAVQGVEKHDEISKVEKDSAIRKSQQEKERRRRWGQRRASSKPGKEPLEVEDKDTKQKAEKEEHEEDEETHTMPGMLPTDVIEMLAAREKQTFSSDSEEEVANQKIKKRKKRLETSGPKTILLKDVRSTQHVKNAHDFLERRKNQVPRSNAVLKNANKALRLLSSKGNFFS >LPERR02G05690.1 pep chromosome:Lperr_V1.4:2:3882479:3883211:1 gene:LPERR02G05690 transcript:LPERR02G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPYMRLVIMETLWLHPPAPLLLPRKCGSPYQILGFDIPEGVMVIVNAWAIGRDPTYWDKPNEFMPERFEHNGRDFKGLDFEFIPKTKDMPGHHVWMAHVELVLAALLYHFDWDLPEGMVAEDLDMTEDFGVTTQRRSDLLVRPVQRVPQYV >LPERR02G05700.1 pep chromosome:Lperr_V1.4:2:3883329:3891330:1 gene:LPERR02G05700 transcript:LPERR02G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLSLHLLLIALIVSPLLFFFVSGRRAARDAARVVRQRLPPSPWALPVIGHLHHLATGDLPHRALRDMARRHGPLMLLRLGEVQTVVASSADAAREILKAHDVAFSSRPMGPVSRLWFQGADGLVFAPYGEPWRRLRRVCAAELLSHRRVASFRHVREDELSRLLRAVASSPPSSPVNLTEMISTYVADSTVRAIIGSRRLKDRDEYLRLLGELFSIMPGMSLPDLFPSSRLAMLVSRAPGRIRRYRRRMRRIMDSIIDEHKERRDAAGDEEDDEEEDLVDVLLRLQKEVGDQYPLTTENIKTVMVDIFAAASETSATALDWVMAELMRSPTAMRKAQDEVRRALAGAGGGEVTEDVLPGLHYLKLVVKETLRLHPPAPLLLPRRCESACEVLGHDVPAGATVLVNAWAIARDPAHWESPDDFSPERFAGDGGGGETRDFRGADFEFIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMDAGELDMTESSGLTVRRRLQLLLVARPHALSLLCHEVVIDY >LPERR02G05700.2 pep chromosome:Lperr_V1.4:2:3883745:3891330:1 gene:LPERR02G05700 transcript:LPERR02G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVSRLWFQGADGLVFAPYGEPWRRLRRVCAAELLSHRRVASFRHVREDELSRLLRAVASSPPSSPVNLTEMISTYVADSTVRAIIGSRRLKDRDEYLRLLGELFSIMPGMSLPDLFPSSRLAMLVSRAPGRIRRYRRRMRRIMDSIIDEHKERRDAAGDEEDDEEEDLVDVLLRLQKEVGDQYPLTTENIKTVMVDIFAAASETSATALDWVMAELMRSPTAMRKAQDEVRRALAGAGGGEVTEDVLPGLHYLKLVVKETLRLHPPAPLLLPRRCESACEVLGHDVPAGATVLVNAWAIARDPAHWESPDDFSPERFAGDGGGGETRDFRGADFEFIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMDAGELDMTESSGLTVRRRLQLLLVARPHALSLLCHEVVIDY >LPERR02G05710.1 pep chromosome:Lperr_V1.4:2:3893171:3896337:-1 gene:LPERR02G05710 transcript:LPERR02G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAMAVYLPLHMLILLPLLAIPVLLLLRSRSSASRGNGGERLPPGPWALPMIGHLHHLIGRGELPHRRLRTLARRHGPLMLLRLGEVQTVVASSAKAASEILKTNDVAFATRPMGRLAQLWYQDSGGGVAYAPYGDGWRQLRKICTMELFSNSRVASFRPIRHAEVGRRLRAVAAEAGANQQQQQQVKLTRRIADYVLDITVRAIIGSREFAERDAYIQMLKEVPEIVPGMGLPDFFPSSSLAMRVSRMPALLARTRGNMHRLMDVIIKEHLEFRAPATGASGGEEEEDLVDVLLRLQKEAGFQHPVTTENIKFVMLDMFNAGSETSSTALTWAMAELMRNPRVRHKLQEEIRQEFADDGEVMEEKLGNLRYLHMVIKETLRLHPPAPLMVPRQCRTPCQVLGYDMPVGLTVMRSSCRSGEQCDKDFKGVDFEFIPFGAGRRVCPGISMALANVELVLTAMLFHFDFELPMGMSPEDMDMTEKLGISMERKAKLFVVVVPRVLVPPAVE >LPERR02G05720.1 pep chromosome:Lperr_V1.4:2:3897852:3904816:1 gene:LPERR02G05720 transcript:LPERR02G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLPLHMLILLPLLAIPVQELGVTRPWRREAPAGALVLTALLFHFDFELPPGISPEDMDMIEKSGISMESKAKLFVVAVPRVPVPPAHFQ >LPERR02G05720.2 pep chromosome:Lperr_V1.4:2:3904625:3907965:1 gene:LPERR02G05720 transcript:LPERR02G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAMAVDLPLHMLILLPLLAIPVLLLLRSRSSASRGNGGERLPPGPWALPIIGHLHHLIGRGVLPHRRLRDLARRHGPLMLLRLGEVQTVVASSAEAAREILKAHDLAFATRPMSPMSRLWFQGTGGGVVFAPYGDGWRQLRKICTVELFSHSRVASFRPIRHAEVGRLLRAVAAEAGANQQQTVNLTGRIASYVFAATVRAIIGSREFAERDAYMQMLKEMFRIVPGMSLPDLFPSSSLAMRVSRMPALLARTRNNMHRLMDIIIKEHQEFRSPAGGEEEEDLVDVLLRLQKEAGFQHPLTTQNIKFVMSDMFSAGSETLSTALTWAMAELMRNPRVRHKLQEEIRREFADDGMVMEEKLGNLRYLRMVIKETLRLHPPGPLMVPRECRTPCKVLGYDMPVGLTVLVNVWAISRDPVSWGDTAEEFLPERFEQCDTDFKGVDFEFIPFGAGRRICPGISMALANMELVLTALLFHFDFELPMEMSPKDMDMTEKSGLSMERKGKLFVVAVPRVPVPPAVE >LPERR02G05720.3 pep chromosome:Lperr_V1.4:2:3904644:3907965:1 gene:LPERR02G05720 transcript:LPERR02G05720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAMAVDLPLHMLILLPLLAIPVLLLLRSRSSASRGNGGERLPPGPWALPIIGHLHHLIGRGVLPHRRLRDLARRHGPLMLLRLGEVQTVVASSAEAAREILKAHDLAFATRPMSPMSRLWFQGTGGGVVFAPYGDGWRQLRKICTVELFSHSRVASFRPIRHAEVGRLLRAVAAEAGANQQQTVNLTGRIASYVFAATVRAIIGSREFAERDAYMQMLKEMFRIVPGMSLPDLFPSSSLAMRVSRMPALLARTRNNMHRLMDIIIKEHQEFRSPAGGEEEEDLVDVLLRLQKEAGFQHPLTTQNIKFVMSDMFSAGSETLSTALTWAMAELMRNPRVRHKLQEEIRREFADDGMVMEEKLGNLRYLRMVIKETLRLHPPGPLMVPRECRTPCKVLGYDMPVGLTVLVNVWAISRDPVSWGDTAEEFLPERFEQCDTDFKGVDFEFIPFGAGRRICPGISMALANMELVLTALLFHFDFELPMEMSPKDMDMTEKSGLSMERKGKLFVVAVPRVPVPPAVE >LPERR02G05730.1 pep chromosome:Lperr_V1.4:2:3908715:3911201:1 gene:LPERR02G05730 transcript:LPERR02G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAMAADLPLHLLILLPLLAIPVLLLLSSRTSASRGKNLPPGPWALPVIGHLHHLIGRAEVPHRRLRELAQRHGPLMLLWLGEVPTVVASSAEAAREILKTNDLAFATRPMGRLAQLWYQASGGGLAYAPYGDGWRQLRKICTVELLSHRRVASFRPIRLGEVGRLLHAIAADAGEKKPVNLTRRIAAYVFAATVRAIIGSRNFAERDAYMQMLKDVFGIVPGMGLPDLFPSSNLAMRVSRMPALLLRNRSNMHRLMDVIIKEHQEFGAAAGGDGKEEDLVDVLLRLQKEAGFQHPLTTENDMFGAGSETSSTTLIWAMAELMRNPHVRHKLQEEIRQEFADDSKVTEEKLGNLSYLHMVIKETLRLHPPGPLMVPHECRTQCQVLGYDVPVGSTVLVNVWAISRDPASWGNTAEEFLPERFEQCDKDFKGADFEFIPFGAGRRICPGISMALANVQLVLAVLLFHFDFELPVGMSPKEMDMTEKSGISTELKAELLVMAVPRFPVPQTAE >LPERR02G05740.1 pep chromosome:Lperr_V1.4:2:3912677:3916083:1 gene:LPERR02G05740 transcript:LPERR02G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLILLPLLAIPIVLLLLKSRLSASRGTGAAGEKLPPGPWALPIIGHLHHLIGRGELPHRRMRDLARQHGPLMLLRFGEVQTVVASSAEAAREILKTHDLAFATRPMGPVAQLWYQTSGGGVAYAPYGDGWRQLRKICTVELLSSRRVASFRPIRHDEVGRILRALAADADAKRPVNLTRLMATYTVNATVRAIIGSREFKEREAYVRMLKEVFGIVPGLGLPDLFPSSRLAMRVSRMPAQLARTRGNMHRLMDVIIKEHQEFRPAAGGGGGEEEEDLVDVLLRLQKEADFQHPLTAENVKFVMLDMFGAGSETSSSSLTWTMAELMRNPRIRHKLQEEIRQEFANDGRVTEEKLRNLTYLPMVIKETLRLHPPGTLLVPHQCQTPCQVLGYDVPVGSTVLVNAWAIGRDPVSWGDTAEEFSPERFERCARDFKGADYEFIPFGAGRRICPGISMALAHLELVLATLLFHFDFELPAGMSPEEVDLTEKSGVSTVLKTDLLVVPVPRVPVPSPAD >LPERR02G05750.1 pep chromosome:Lperr_V1.4:2:3915214:3918450:-1 gene:LPERR02G05750 transcript:LPERR02G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAAAAGTAPLPRALLLLAALALFSLTFLSLRSLRPAAAPSLALDDTPRSLFLPPSFARPSVYHSPEGFASGYAEMEQSFKVYIYPDGDPKTFYQTPRKLTGKYSSEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVALHKSLVQIQKHFVWHSPPLPYDAFHMVMISGFDFTIHHEIYGLVS >LPERR02G05760.1 pep chromosome:Lperr_V1.4:2:3923366:3926371:-1 gene:LPERR02G05760 transcript:LPERR02G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGRFGSPPPLPLPSPRLRRRAAFSAGARRNSTRILSRLDSCAAKASASGAEPSDSASGENASEIIDAMEVKSTSPAASFLAKVALALGVAATATVISLFMNQPSSGPSFSLPQIIDASASPDAVATIGYTFSLSGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLVNSFSTNASYIISTVLWVYWAYQQIVISLEFAVVVYLLFLFSWLSVFSCENGLSLHLQVLQQLWECGLSYLMQQLHVPHCSSTLPVAHPLTECGDP >LPERR02G05770.1 pep chromosome:Lperr_V1.4:2:3926818:3927647:-1 gene:LPERR02G05770 transcript:LPERR02G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSRMPARIARNRSKMHRLMDAIIREHQEESFRRRAGAGEEEDLVDVLLRLQKEADSQFPLATDNIKFVLLGAGADTSSTVLTWAMAELMRSPRVRPKLQHELRREFAGDGKVTEEKLRENVTYLHMVIKETLRLHPPVPLLIPHQCRTPCRVLGHDVPVGATVMVNAWAIGRDPTAWGGGGDAGVEEFLPERFESLSRSAPDDGCAPDWRWGWQTWSSRSPRLLLHFDFKLPAGMSPEEVDMTEVAGVTTWRRDDLLVVAVPRIPAT >LPERR02G05780.1 pep chromosome:Lperr_V1.4:2:3929608:3933467:-1 gene:LPERR02G05780 transcript:LPERR02G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARYPHMFLILLLLHGANAALNAPVQKWPTLGGQPPAVIACGGFSGLFPDSSQYSYQFAMSSSLHDVVLYCDLQLSSDHLGFCKTGLTLENSTLIGEVFPKGAKTYKVNGEEIHGWFSLDFTSEELYQNVTLIQNIFSRPSTFDGVMGMFTLEDLVGLRPPHIWYPLFYQEHKLSPEDYILGLPKDFSLTYISSPEIGFLKSLGGKLKKSNTKLIFRFLGEDVTEPTTKKTYGEILKDLKSIKAFASGILVPKDYIWPLSKDQYLKLPTTLVKDAHALGLAVFASGFANDVSMSYNYSYDPSAEYLNFIDTDFSVDGLVTDFPPTASGAVACLAHTKGNPLPPPGNGGRPLIITHNGASGVFPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCLASADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGILIEMENAAYLAKRGLGLVEAVSGALANASYDKEGGHLPQVMVQSDDTSVLAAFKKFPAFRRVLIVDEAISDASAPSVEEIKEFATAVTVSRGSIAQVNGFFLTKFTGLAERLHHANLTVHVGVLRNEFMNLGFDFWADPTIEIATYTSSVVADGLITEYPATAAAYFRSPCSDLSLNLSYTILPADAGALVTLAAPGALPPALPPAPVLEPADVLDPPLPPVAVSSPPEASATTAKATDSSSSPASLIAGGSHVLAAAAAIAAMLLLLSHGFH >LPERR02G05790.1 pep chromosome:Lperr_V1.4:2:3936955:3939226:-1 gene:LPERR02G05790 transcript:LPERR02G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVAIPAQRRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDNASSRKIVITLNGI >LPERR02G05800.1 pep chromosome:Lperr_V1.4:2:3939319:3942390:1 gene:LPERR02G05800 transcript:LPERR02G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAVSHFRCSVAIREMQAALLLPARPSPAAAPPPMLWRRDLCPSAASPLRPPLPARRLSGVGGSVVKAVRRLVVAAAGSSGPLYPTPPPTEKEIERVKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSTVITGNVTAPFTFYATAAGVAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIKSLKNGIVLNMLGMGAAVLGMQATVGALVAKALTTSSVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLATSLELLRSVAIPPTEAAAA >LPERR02G05810.1 pep chromosome:Lperr_V1.4:2:3945822:3946709:1 gene:LPERR02G05810 transcript:LPERR02G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFSPEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATATTAAEVGEIERPCKRASPGSPTGSERSDLSHGGCGQAVVFRPVPRPGGFDSITATAAAAPPPRRREDDDEESLTSLSLSLSLPGQQQEQGFRHDSARSHFQELSPSRSPSPPSPTMIPSSYPFNAEVVSAMQEMIRAEVRNYMAGVGLRAGCGADAAAESFIVEGVMRAAAERVGVVSRQ >LPERR02G05820.1 pep chromosome:Lperr_V1.4:2:3948481:3951625:-1 gene:LPERR02G05820 transcript:LPERR02G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATEKSVTGWAARDASGHLAPYNYTLRKTGPEDVVLKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKKIWSYNDVYTDGRPTQGGFASSMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKHYGLMTPGLRGAILGLGGVGHMGVKVAKSMGHHVTVISSSAKKRAEAMDDLGADAYLVSSDEAAMAAAADSLDYIIDTVPVHHPLEPYLSLLKLDGKLILMGVINQPLSFISPMVMLGRKSITGSFIGSMVETEEVLQFCVDKGLTSQIEVVKMDYVNEALERLERNDVRYRFVVDVAGSDIGSEAPAN >LPERR02G05830.1 pep chromosome:Lperr_V1.4:2:3960091:3961581:-1 gene:LPERR02G05830 transcript:LPERR02G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPAATVSAAATASSPPHLLFICFPGQGHINPMLRLAKRIAAKGLLVTFSTTSSAGAKLVSSSAGGVSAGGNGVPLGRGRIRFEFLDDEFDGKEIDALMHHLETAGPPAFESLLRRQAAAGRPVACVVGNPFIPWAVDVAAAAGIPAAVLWVQSCAVFSLYYHAVHGLVVFPPEDDLAATFKLPGLPAMSVADVPSFLLPSNPFKSLTDAIVRQIREIGKARWVFVNSFMELERDAVDALAGGEVSPPPPPLIPVGPLIELDDGEDETTAVRGDMIKAADDCIGWLDSHPPRSVVYASLGSVVVLDAGEVAEMAHGLAATGRPFLWVVRPDSRELLPDGFLDGGAEDGHVTAAARGRGLVVGWSPQERVLAHTSVAVFLTHCGWNSTLETMAAGVPVVAFPQWGDQCTDAKFLVDELAMGVRLRRAPSLRRDDVRGAVDAAVSGPRAGDMLASAAAWSAACRAAVAAGGSSDRHVEAFVEEVIALQAKPLVEE >LPERR02G05840.1 pep chromosome:Lperr_V1.4:2:3972923:3973123:1 gene:LPERR02G05840 transcript:LPERR02G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSSLAARLALLAVAAVVVVLLLCTAVESSRTLHDHAGGSGFSAGQLPVFAVARAGPSQRGPGH >LPERR02G05850.1 pep chromosome:Lperr_V1.4:2:3974018:3975984:-1 gene:LPERR02G05850 transcript:LPERR02G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFRSASYHDAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDIFTDLFDDIDKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVEYRPCKSLSNRRSTLLDDFSARSRTLLDDLIEDDGDKFIETRHSTSVLEEGLYVYQYDEECMEDHKKGSFFRGWFSYSRLRGNRRTHSADVIQSCEKRDTRTRPKLGRWLSSKKPKAPSKLRPTV >LPERR02G05860.1 pep chromosome:Lperr_V1.4:2:3977777:3978097:-1 gene:LPERR02G05860 transcript:LPERR02G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRMWLLAAVFVACMIAVTSAAAAGEEEAAAAPAPAVGFGGIFGCNPLTDKTCRPGGDHRGPENQEEEGGFGVRLPSVPGDSDGDGDDDELPSFDTHMNILGH >LPERR02G05870.1 pep chromosome:Lperr_V1.4:2:3979421:3980226:1 gene:LPERR02G05870 transcript:LPERR02G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSPSKRGRVMAPKNAISRDFLSDLPVGILHHIMSFLDTCQAVRTCVLSRQWRNLWRSVPHHVLVQVDYLTEDEVVFKRFINWLLERRDPDAAINIFCLEYCIFEEENSENNSADKRSRILKVSNEEYCNLQLDHSVLTSKYLTRVVFNNVSLDQGFFEKLEMGCPAMQVLTLYGCVIVDIEISSKSLKILNFNECQFPDEDKSYISVPSVTSLTMYRPQGFVPVINGVAYLVTTSIDLNQCDDSIN >LPERR02G05870.2 pep chromosome:Lperr_V1.4:2:3979765:3981321:1 gene:LPERR02G05870 transcript:LPERR02G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIDLNQCDASDMCHLLWSLSGVKKLEFDYQGYKIKIENNLQFWPKFDHLVDLTLGQWCVDSDFYTLVKSGEHIIGELKERSFTCEHLKSVEIICMEQDEYLANRVVGFFIDSGMTSIRFDIKFWWSQVTIWMPSFYRELHD >LPERR02G05880.1 pep chromosome:Lperr_V1.4:2:3982030:3983090:-1 gene:LPERR02G05880 transcript:LPERR02G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSLLSTLLRLPLAPFSGRTSPAPAPAVVQMPRRAPTAVVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDEKLRKAREAGRRNRRRRMMEEPRFPEEDADSEAARRARDEDKDNWEIDGIL >LPERR02G05890.1 pep chromosome:Lperr_V1.4:2:3990735:3992202:-1 gene:LPERR02G05890 transcript:LPERR02G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSQSSSLQRLNQVEQRIVRVVHLAGAVMEELGNSQGPRADAVAAHCREFMLAIKITT >LPERR02G05900.1 pep chromosome:Lperr_V1.4:2:3994589:4001418:1 gene:LPERR02G05900 transcript:LPERR02G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRHDLLLVAATLLQMFVVLLLLSGEPAAAGKVTAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLHLAAGDGVASGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPSVNISSLATGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYVARLTTFSGDDMAAKTISEALYIVSMGTNDFLENYYATVARRGHATEFATATSYGDYLLTVADKFVRDLHALGARKVDLNGLPPMGCLPLERTTSGACTEEYNAVAERFNSGLVDAISKLNGELGDGARIVYGDVYSALAAVLADPAAYGVENVKAGCCGVTGVFEMGYMCGARSPLTCADASKFAFWDAIHPTERLHRVIADAKINTTLHVFILIVEDATPFTSIYSGNKTTQSDNRLPPSMASSQPLLLLVPLVAIIMTSPPAAAVAAGRSVPAVIVFGDSTVDTGNNNAIGTPLRSDFPPYGRDMPGGARATGRFGNGRLPPDFISQSLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAAVLSVIPLWKEVEYYREYQRRLHTHVGATSARRIVRDALHVVSIGTNDFLENYYMLTTGRFAQFTISEYQDFLVAAARDFLTVIHRLGARRVTFAGLSPMGCLPLERTTDMILGGDGGCIEEYNKVARDYNEKMEAMVMLLRAKLPRLKLAFIPVYDNMVNFITNPEKFGLENVEEGCCATGRFEMGFMCNDVAPLTCDDADKYLFWDAFHPTEKINRFMAQHTLDICYQQGVL >LPERR02G05910.1 pep chromosome:Lperr_V1.4:2:4001840:4002905:-1 gene:LPERR02G05910 transcript:LPERR02G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYVELTALRFVQRQGPVVPKFGSWDAENIGYTVFFDKVRENKTTPTPASSAPPPATRSTASSSDYEFDPYEHYENLSRNVPSRPPSSSHAHHHHHRHPPPPPHHHHYPTPPASHRSGNGGGYHRRSGSNGSSCAPSEASSRGSKFSPPKPYQPRYTTNNNSGGGGGGGGGYDYGAAAYAPAPQRHHYQHQQVARVVAASPPRHHAAPSPAPVRKGKVESAVPKFGVWDEQNAAAAGQGFTVQFEKVKRHREVAKAAAAAPAPAKGVSPRMSPETGAAARRPRRKAKKSFLSKARVQMHVSKGEGVMARRPKLL >LPERR02G05920.1 pep chromosome:Lperr_V1.4:2:4010294:4010938:-1 gene:LPERR02G05920 transcript:LPERR02G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGEVVEGDADAAAARFRGVRKRPWGRYAAEIRDPAKKARVWLGTYDSAEDAARAYDAAARALRGPKAKTNFPLSLPHAQFHHHNLSPAAAYSTYPASVPPPSVTPVARPASSSLSSTVESFGGARPRPVLPPRPPPPPIADDDCHSDCGSSASVVDDDCADAAASPSCRVPLLLDLNLPPGGVGAGAGGGGFGCFYDDEEELRLTALRL >LPERR02G05930.1 pep chromosome:Lperr_V1.4:2:4014884:4021419:1 gene:LPERR02G05930 transcript:LPERR02G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCTAIMTRANRQAITRPMVHAISTAEIVWITRAIRSPTRDLTYNNNIMAVRFWVDAGMPGLLENGLVERNYAEAVAPMIVPVPPPPPPPQGRSAGAGPVSFASDAAPADYS >LPERR02G05930.2 pep chromosome:Lperr_V1.4:2:4014884:4021419:1 gene:LPERR02G05930 transcript:LPERR02G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCTAIMTRANRQAITRPMVHAISTAEIVWITRAIRSPTRDLTYNNNIMAVRFWVDAGMPGLLENGLVERNYAEAVAPMIVPVPPPPPPPQGRSAGAGPVSFASDAAPADYS >LPERR02G05930.3 pep chromosome:Lperr_V1.4:2:4018027:4021429:1 gene:LPERR02G05930 transcript:LPERR02G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSLTSPDCMTAIMSALRIVDRRCAMTIVVRPIDARSRASCTTRSDSVSNALVASSRSRILGDFKIALAIAILCFCPPDIWIPRSPTYLRFWVDAGMPGLLENGLVERNYAEAVAPMIVPVPPPPPPPQAHKQAGVQEQDPCPLPVTRRLQIIASQH >LPERR02G05930.4 pep chromosome:Lperr_V1.4:2:4014964:4021429:1 gene:LPERR02G05930 transcript:LPERR02G05930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCTAIMTRANRQAITRPMVHAISTAEIVWITRAIRSPTRDLTYNNNIMAVRFWVDAGMPGLLENGLVERNYAEAVAPMIVPVPPPPPPPQAHKQAGVQEQDPCPLPVTRRLQIIASQH >LPERR02G05930.5 pep chromosome:Lperr_V1.4:2:4014884:4017987:1 gene:LPERR02G05930 transcript:LPERR02G05930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCTAIMTRANRQAITRPMVHAISTAEIVWITRAIRSPTRDLTYNNNIMAGWMPPNTTALKLPINACFHSGALSISSLLKDGTGSFGFSVLSASPASPNDLAELDLLAAENLLLMLWLLDCPTADVLPATPISSVPFESLVC >LPERR02G05930.6 pep chromosome:Lperr_V1.4:2:4014964:4017987:1 gene:LPERR02G05930 transcript:LPERR02G05930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCTAIMTRANRQAITRPMVHAISTAEIVWITRAIRSPTRDLTYNNNIMAGWMPPNTTALKLPINACFHSGALSISSLLKDGTGSFGFSVLSASPASPNDLAELDLLAAENLLLMLWLLDCPTADVLPATPISSVPFESLVC >LPERR02G05940.1 pep chromosome:Lperr_V1.4:2:4015955:4024319:-1 gene:LPERR02G05940 transcript:LPERR02G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGGGDVGAGKAKTKPMFASSFMTVFMHADATDVLLMVLGLVGAMGDGVSTPVMLLVTSRIFNDLGSGADIVQEFSSKVNVNARNLVFLAAGSWVTAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKVGSTAEVITSVSNDSLVVQDVLSEKFPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVILLIIPGFMYGRILIGLARRIREQYTRPGAVAEQAVSSVRTVYSFVAEKTTMARFSSALEESARLGIKQGFAKGVAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVLEVIRRVPKIDSESDAGEELGNVAGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATTIRENILFGKEDASLEEVVAAAKAANAHNFISQLPQGYDTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANENGLYSYLVRLQQTRDSNGTDEIGVAGSTSAVGQSSSHSMSRRFSAASRSSSARSLGDAGDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAVVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSRKSIHAQADCSKLAAEAVSNIRTITAFSSQERILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHITAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLNGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAAWSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHSSLMAKGLSGTYYSLVSLQQGGNQHVQN >LPERR02G05940.2 pep chromosome:Lperr_V1.4:2:4015955:4023762:-1 gene:LPERR02G05940 transcript:LPERR02G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHPNVTATPQRRADAGEVFDHMCVCQTEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKVGSTAEVITSVSNDSLVVQDVLSEKFPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVILLIIPGFMYGRILIGLARRIREQYTRPGAVAEQAVSSVRTVYSFVAEKTTMARFSSALEESARLGIKQGFAKGVAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVLEVIRRVPKIDSESDAGEELGNVAGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATTIRENILFGKEDASLEEVVAAAKAANAHNFISQLPQGYDTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANENGLYSYLVRLQQTRDSNGTDEIGVAGSTSAVGQSSSHSMSRRFSAASRSSSARSLGDAGDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAVVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSRKSIHAQADCSKLAAEAVSNIRTITAFSSQERILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHITAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLNGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAAWSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHSSLMAKGLSGTYYSLVSLQQGGNQHVQN >LPERR02G05940.3 pep chromosome:Lperr_V1.4:2:4023879:4024319:-1 gene:LPERR02G05940 transcript:LPERR02G05940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGGGDVGAGKAKTKPMFASSFMTVFMHADATDVLLMVLGLVGAMGDGVSTPVMLLVTSRIFNDLGSGADIVQEFSSKVNVNARNLVFLAAGSWVTAFLGKLTHY >LPERR02G05950.1 pep chromosome:Lperr_V1.4:2:4040516:4047033:1 gene:LPERR02G05950 transcript:LPERR02G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAALAGLLLPLLLAVSPSPAVATTDSSDAAALGNLYSSWNSPSQLAGWSAGGGGDPCGAGWQGISCSGAGVTEIRLAGVGLDGSLGYELSSLFSLKTLDLSNNNLHGSIPYQLPPNLTYLNLATNNLSGNLPYSISNMVYLEYLNISHNLLSQQIGDLFGSLNSLSELYMQNNQLTGSVNVLSGLSLTTLNIANNNFTGWIPQEFSSIPDLILGGNSFSNAPAPPPPPFMPPPPRRPRNRPSHPWGSGNAPEGSVNPTGQNDKKKGLQTGPLVGIVAGSTVAALCALLLLVFCIHNTRKRNDDSSSNSKDFVGPLSVNIERASNREIPEQSPESTSVATMKVSPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRHRHPNIVPLTGYCAEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPPDREGDMRDLSF >LPERR02G05960.1 pep chromosome:Lperr_V1.4:2:4045815:4046924:-1 gene:LPERR02G05960 transcript:LPERR02G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHCLDTVWPTASVFISHDQVKSLSRPYARVDRRGLKSTMVNRCIAHGVKFHKAKVTNVNHGDASSVVICDDGTAIPATVVLDATGFSRSLVRYGEPYNPGYQVAYGVLAEVDGHPFDLDKMLFMDWRDAHLKEGSAIWERNRRVPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVQSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVQYLNSGGGEFGGDALSAEVWRQLWPKERRRQREFFCFGMDILLKLDLVGTRRFFDAFFDLEPHYWHGFLSSRLFLPELVMFGLSLFANASNSSRLEIMAKGTVPLARMIGNLIQDRDR >LPERR02G05970.1 pep chromosome:Lperr_V1.4:2:4050013:4050465:-1 gene:LPERR02G05970 transcript:LPERR02G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNCVSLLLLLCFMVAALSSFVATAHRELPMAREDHQEKLVMSGPPAAATPKVGDHQEEAGMRKCKKGRKRSCNNFRTRKLPTDGKFHFDGHMPFTADYHSVRRHPPSHN >LPERR02G05980.1 pep chromosome:Lperr_V1.4:2:4054137:4057642:-1 gene:LPERR02G05980 transcript:LPERR02G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPNPPSYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYGASEDNIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNAQTDPEGTEDSRKSTDCREKARPSIDHRQSTDRRDKPRGSTDRRDKNRKSVDQPRASVDQVDRPRRSVDRFGGVMKSVRYIDCFRVTTASGS >LPERR02G05990.1 pep chromosome:Lperr_V1.4:2:4059718:4061147:-1 gene:LPERR02G05990 transcript:LPERR02G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAPAPASGERDELADSLAELFTNVSLMVRGELQGTNNQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFDEYVSQIDAIDRQVTEFEAVVSMLDKHVSLLEKKVKSAYNIAPTQ >LPERR02G06000.1 pep chromosome:Lperr_V1.4:2:4061377:4066559:1 gene:LPERR02G06000 transcript:LPERR02G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWMLHRDMELLEGCKKLQTFRNFTETWERQQKQHFQPDNGTFLNDQSSQLQFSQDQVPVDGAQLQTEEMKI >LPERR02G06010.1 pep chromosome:Lperr_V1.4:2:4062677:4065415:-1 gene:LPERR02G06010 transcript:LPERR02G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLDKEPARLQDLPSTAKHIERELDMVHHFLSQVGTKIYSNKVLEGWIVRVRKVAYCVEDITDEYNYSITLEHERRFQRLMHKVFYPKTFHRIAVELKDIEEEIKHLSQLKRDYREMFNELLDSTSDSAHIHLISSNGSSHTIKEDDIVGMKRDMELLGKWLDPKELDLTVISGWGFGGLGKTTLVRKVYDWEKGLKSFDCYSWIAVSHNYYIDALLRQLIQELSEDQSKVPTDLDTMNRGKLNDELKKVLSKKKYLIVLDDVWDTTAFHELSDSLVDDNKGSRIIITTRNNDIASLAQEMYKMKLNPLGNDDAFELFHRRCFQKSNMECPFHLEELSKQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFQCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDHIFNRELLIKLWIVEGFVTQRGHSTLEEVADGYFIELIQQSMMQLVEDDEIGRVISCKMHDIVRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVSDMIRSGMDLPRLRTFIAVNKVANYHLISLLISRCRYLAVLELQGSPLDKIPENIGDLFNLRYLGLRRTHIKSLPRSIKRLSYLETLDLNSTNIERLPREVAKLKKLRHIFAEQLFDPEEKQLRYFRGVKLPDSAFELAQLQTLQTVEATKKSVNLLKYMPELRQLCVENVCRADCATLFSSLSNMSHLYDLVISANDLNDPLDFNAFSPKCTKLEKLTIRGCWDSETFQRPVFCDYGANMKYLTLTFCKNDTNPLQSISLSVPNLIFFSIRRGCWAEEIILRAGWFPQLRTLYLGKLELRRLVIQEGAIIRLEVLLLLSLPCLSEVPKGLELLASLKKLHVSMQHPEFKREWERDNWKTKLHHVQEIRV >LPERR02G06020.1 pep chromosome:Lperr_V1.4:2:4067577:4069655:-1 gene:LPERR02G06020 transcript:LPERR02G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSTSDSRHVSHKEIRDETTPLLPIKAEEEGIHELNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVAFLTEASIDILVRCSHQGKITSYGWLMGETFGQWGRIALQASVVINNIGMMIVYMIIVGDVLSGTSTSGVHHRGIFEGWFGPHLWNSRPIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGIVEIPKLFPELDGINSIWELFTAVPVLVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFTIITVSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYEIATKRDKILAVTMIVLAVLSNSVALYSDALNIIFHRKEEA >LPERR02G06030.1 pep chromosome:Lperr_V1.4:2:4071294:4074012:1 gene:LPERR02G06030 transcript:LPERR02G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDDSSFFGDDDVIDGEEFCYGPFDAEDLCYGTFEADDGEEFCYNPFAAAAGGGDGGGEEFCVSGFSFHDLSDAHETGVPRDDDPLPQTLARSSSSRSFDFDGELATTLAEIMSAVHLSDDDDEEEEGEVVEGLMVSAFDLDTAMAIGNFVEDLQVVMGDEEIGQEEEEEREFVGDGEGMMPNGSEFGLPRVVSGTAVGFRMMVDADDTDSDDFTFVELLGAEVGEAGNGAAAIARPSRASQLVVESLPEAMLSEGEASSGCAVCKDCFSSGQLVALLLCKHYFHGDCIWPWLAMRNTCPVCRQQVCTDDPEYEKHMARRVVVLAPVENQGAPAQSGGDRATMGAEGVAENGPEQSSS >LPERR02G06040.1 pep chromosome:Lperr_V1.4:2:4074501:4074911:-1 gene:LPERR02G06040 transcript:LPERR02G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYYGYSGGGGGSSITISPVLAGEENDQAMMRHGNDERKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMITKHARIVKENSQLREEASHLQKKLSETVVMELEEAEVAAAAAGTLEEA >LPERR02G06050.1 pep chromosome:Lperr_V1.4:2:4079303:4082551:-1 gene:LPERR02G06050 transcript:LPERR02G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADLSAAAEALALQVDLLQLPPEIPAPGAPALSGVLDRLFAHWLTLPDTVALVGCLVQKAKASGGGAGAVGPGSAMLPSMMMQGGAAAVPPLSPRSPRLSRRPSGVGAGGQPNRSASPLRPAASRAVKEVIPQFYFQDGRPPTYELKKQCVAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDYWLNSNMVTMDVPTQVFIILKQKNQDYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLSRIGGGHLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDYRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >LPERR02G06060.1 pep chromosome:Lperr_V1.4:2:4086433:4094794:-1 gene:LPERR02G06060 transcript:LPERR02G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAANMAIGGVATASKSVPVMPTAAVAPPPFWSTPTPYLFMGFGVVMALIAVALAVLLCSRRKEGGSGGDDVIPPGMMSVRVLAPVDRESAAPRVVVVMAGDDSPSRWRRGRRYSLSFLLASRVKPYLRRRCRRLAPAARHTQSSGGGGDDVIPPGMMSVRVLAPVDREAAAPRVVVVMGGDDSPSFLASATPLAAFAATGVPMSTKVAAPQAGVKDGAAV >LPERR02G06070.1 pep chromosome:Lperr_V1.4:2:4110195:4110458:-1 gene:LPERR02G06070 transcript:LPERR02G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSSYSPSEEAGHGGGGYRRRIRPSDEDGKWPYAGERDVDDKARTYIDKFHQYQSNCCAEHAAAPPTPAPALRLAGAGAPSI >LPERR02G06080.1 pep chromosome:Lperr_V1.4:2:4116146:4121801:-1 gene:LPERR02G06080 transcript:LPERR02G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPYHHRQHGGPPETGMMHRDPYGPAGMLPLLGHGPGPFPYDMLTSAAAAAAAAPEILEQKLMGQRGELQKLAVENDRLAMSHDSLRKELAAAQQELQRLQAQGQSAKAAEEQDMRGLLEKVAKMEGDLKASESVKVELQKAHAEAQSLVVARQQLAADTQKLSKDLQRNLGEAQQLAALMAERDQARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAEMMNTTNIDRGGMLYNTNFTQKDDGQPSLPVGQIAYDGAYGVAQGRTSPAGLGDSLSANPAGTAPRTGFDPARGNIYDTSRLASYNSSKAGVHDASRGAAGYNPLKGTGFDPSKAPALGGQATASAAHGSNADYYGSSQATPPFAWGQAASTYGSAQLPQSHASGPVQSTSYSATTVRTFGSAQTLPSYAHTQEQPSYGHTQLPSSYGLSQAQFPFAPAQGVSPYGSGAQPLQYGAGQAATNPGSAYQASHGRK >LPERR02G06090.1 pep chromosome:Lperr_V1.4:2:4127443:4130755:-1 gene:LPERR02G06090 transcript:LPERR02G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPACRIWSALRQRGGVATASPRCSAIAAPVPSSICPHPAPLPPPPEPLSPRQSAADADPHPVAPHPVDATDGLQPVAEPIAAAAGIHQESTPPPPVSIHYQPASPHQKAAALKSQYQWYTGT >LPERR02G06100.1 pep chromosome:Lperr_V1.4:2:4139725:4154003:1 gene:LPERR02G06100 transcript:LPERR02G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFRLRTNAADGAHLTELAVQLPHFDGLHLKNTSLYAYDNSTTSSVVADGNQFIPTFGLAHCLSMRQQLTSMDRDIMADGNIAQRSTHIRRKRRDLPQTSSLPKFAENHELGSANIFMDPSFYTKATEPGPTENKSSVKPPKFLVENSNRRPHHRSGGLPVQYSDFYITSLGEIDKRPSYNNSFQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRLSCSVFPLPDATTIISQNGIRKAETIETNQNSSLIEDTANDTDDNILMLLSDSSETNQDFVSCFSNNMETKTTSLGCNDNSLNRSVPSHPGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGSEKHSFDNGSGCQNYNGPYTPLTRFCSSNGPSIPRVVEKENDVEPTYSLLKEWLYQDRIGFDLEFVQELVESLPRSRVCSNYQFLCNRAEFASSLTVASGSLRVVLKNGQTDGDIMSYGRHGSVVTGLQDHTQPSSFRIRDLPLGRPISNKLPPEMAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICANQKKLSNDIQHYRDHTSPMNSPANVSTSYSNGESGLTTNEEIVSVFIPVETSSMKEAAQDKLAGQTLGRCSGVVLPGIHLTLFRVLFGELLSKVAIFVDPNVDPKESKTRRGRKRDVENLSAKEFKFDMLTSNKLTWPELARSSTDVTFSLLQTYKMLLVEAEKLICNSSVNEESKVFMMDHKDTDMVDSPEVPATDDKALPDWAKSLEPVRKLPTNVGTRIRKCVYEALEKKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNAISISEAILKKCRIALRSAISSDESKLFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYCGSWEAFLEDVQEVIRNLHTAFGDRSDVLEMVVALSESFDSLYKTEVLDLVEKFDKYLLDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQKKANLDQGVQDVKRQQKKFVGEEAHVFQEELNKLATAMEEKEYWELSMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEIRSSHARQNRLFKTEQRFSHSSEPQQQSTPKALDHLEEAEQGNVGMNLNNPAEGVSDGQLNVGKSDKDISSTSMIEEHKSLGPSEQPSGMAIDQVDGDAIDEESQSCVKKSLGVKSSTCDNLNFRDTDFSTPGRELPDENVSTPLQDNPEASTTKSIEPDADNNEMDTLSDDISKLQDSISLLELQINMASSRRECLGKDSLGRLYWVIGRPGKRPWLVADGSMLISKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLFDWLRDYDPREKELKDSILQWQRHFCHQNSSPLVDPPVSNYPKSEQLMDLPSTKAAVILEQKYGLQLDQDTSDLSKKRGKKVKLGSEERTYRCDCLEPVWPSRHHCLTCHETYLISTEFEEHNDGKCSKIHQSPDETKENDEPKVKVTKSDMKEKDSLDHSSVIEPSNARKLMQCPYDFEEICRKFVTNDSNKETVNQIGLNGSNGVPSFVPSPAFFLEPAIVQGQNRKDDELNDWTSSLEECNAMSAQKLGQEVSKSGQNCPGNSGDEKVQKSKKSIPDNTSSEEAHSTTGKRTRLLAVNGGLVPESSLRPVIGRNSHILRQQKINLLDIDAALPEEALRASKCQQIRRRSWRSFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRIYTLDDCIIYSKDQVPNAEPVDSTRAGNRGGRRRREPESSAS >LPERR02G06110.1 pep chromosome:Lperr_V1.4:2:4154951:4160657:-1 gene:LPERR02G06110 transcript:LPERR02G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANGGAAVLPEAWSQVRAPVIVPLLRLAVAICLTMSVLLFLERMYMAVVIAGVKLLRRRPERRYRCDPIPDDDPELASSAFPVVLVQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVVKEMVRMECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVVIFDADFQPDPDFLRRTIPYLVHNSDIALVQARWRFDECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRISAINEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIVAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSVRKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >LPERR02G06110.2 pep chromosome:Lperr_V1.4:2:4154951:4160657:-1 gene:LPERR02G06110 transcript:LPERR02G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANGGAAVLPEAWSQVRAPVIVPLLRLAVAICLTMSVLLFLERMYMAVVIAGVKLLRRRPERRYRCDPIPDDDPELASSAFPVVLVQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVVKEMVRMECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVVIFDADFQPDPDFLRRTIPYLVHNSDIALVQARWRFGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIVAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSVRKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >LPERR02G06120.1 pep chromosome:Lperr_V1.4:2:4172268:4174292:-1 gene:LPERR02G06120 transcript:LPERR02G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPFLAVLLLAAAAASPPVARAQQEYEANLQNDCYARNTSSTLGYTCNAATTTTSPSPPCDAYLIFRSSPPLYSSAVSISFLLNTTASTLAAFNSIDDPVTPIPSSHLVLAPIPCGCTPAGYYQHNATHTIRNSGLETYFIIANLTFQGLSTCQSLIAQNPLHDSRGLVAGDNLTVPLRCACPSPAQAAAGVRYLVTYLVMWGDDVSSIAERFRVDAQDVLDANTLAESSIIYPFTTLLIPLKSKPTVDMLVSPAPPPVTAAADSSGGSGKWVAVGVGVGCGVLALGGLIGFVFLCVRRRRRRLGGVGGSDRRQEKVVVDVSSSAEYGVLASGKQTTTNTNTTTTNSSSVSKSLMASEVREALESLTVYKYSELEKATAGFSDERRVPGTGVYRGVFNGDAAAVKRVAGDVTGEVGILKRVNHSSLIRLSGLCLHRGDTYLVFEFADNGALSDWLHAGAGDAAGILRWRQRIQVAFDVADGLNYLHNYTNPPCVHKNLKSSNVLLDGDLHGKLSGFGLARALPAGDAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVILLEILSGKEAAFAGDGDGGERLLWETAEGLVGDGEKVRDFMDPRLGGDYPLDMAVAVAALAARCVAREPAARPAMDGVFVSLAAVYNSTMDWDPSDHGNSGSSLIGR >LPERR02G06130.1 pep chromosome:Lperr_V1.4:2:4176038:4176703:1 gene:LPERR02G06130 transcript:LPERR02G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAALSTLSATATASTKRFVTSPFSLSFSSRRLTTGIRTTPRRSASSSTTIVAATIAVGDKLPNATLSYFDPSDGELKTTTVADLTAGKKSILFAVPGAFTPTCSQKHLPGFVSKAAELRAKGVETIACVSVNDAFVMRAWKESLGLPDDGVILLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >LPERR02G06140.1 pep chromosome:Lperr_V1.4:2:4178711:4179350:-1 gene:LPERR02G06140 transcript:LPERR02G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSCIYRPDSDVIAAYSAAIPAPAPARVIAADGSLRELPVASPPSAAAVVSDVLGGGEDEAAAFFQAQ >LPERR02G06150.1 pep chromosome:Lperr_V1.4:2:4183806:4184405:-1 gene:LPERR02G06150 transcript:LPERR02G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFSCIQLRRRGGSPSQNHRPPARVIAADGSLKELPASPVAVAVADVLAAGDGFFVCSSDALYFNEQPPAMLPGEILRPGQIYFVLPAAMRAQPLSTADMAALAVRATAALAAAGNKAPRRGGRRKKKTVRVMPLREEEGGGDVLLLHEKLNERTLGEFPASWSSPEREEKIAAAAAAAAARSRMKRALSIIQEDAE >LPERR02G06160.1 pep chromosome:Lperr_V1.4:2:4197578:4206356:-1 gene:LPERR02G06160 transcript:LPERR02G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFIYGFGALQPCLLWTINPAQLKCLESDPTQTFAVDPNNKPEPQISGPIEGEDEEDDDDNEDELFNLPGKKMNNAEISSKQQVVAQPSASASRAKRSRERQQKVITTTDGGGDNKGSGVVDHGMGENEMEMAETIILFSEKTARNLPAAWAIDPNNFRRRTRKGVHRAPQRSPVMAGGRYGPAWQLVPLLGAKFFMVCPAHRGMSHNEFNHYCLTCAVAGGIAACCQWCIAASHPGHEVVQVRWSSYHNVVCVTELERAPLDLARVQTYMINCDKVVFLNTWHKAPRNGKCVAAAVAVVVAECEVCGRGLLHVAFLFCSSNARFGHIS >LPERR02G06170.1 pep chromosome:Lperr_V1.4:2:4208288:4211059:1 gene:LPERR02G06170 transcript:LPERR02G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFITPKSHKRKVVYRPLPPGQIKSETELLRKEVPHTSGKTQKAPKITFKNEPHLSAPQSDRGTPDSMPESGPADEYRALRRKYLLLEEENFALDSQLTQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPQRRL >LPERR02G06170.2 pep chromosome:Lperr_V1.4:2:4208120:4211059:1 gene:LPERR02G06170 transcript:LPERR02G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFITPKSHKRKVVYRPLPPGQIKSETELLRKEVPHTSGKTQKAPKITFKNEPHLSAPQSDRGTPDSMPESGPADEYRALRRKYLLLEEENFALDSQLTQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPQRRL >LPERR02G06170.3 pep chromosome:Lperr_V1.4:2:4209212:4211059:1 gene:LPERR02G06170 transcript:LPERR02G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASGRCDQRGMQDPRGMPRDEFITPKSHKRKVVYRPLPPGQIKSETELLRKEVPHTSGKTQKAPKITFKNEPHLSAPQSDRGTPDSMPESGPADEYRALRRKYLLLEEENFALDSQLTQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPQRRL >LPERR02G06180.1 pep chromosome:Lperr_V1.4:2:4211498:4214378:1 gene:LPERR02G06180 transcript:LPERR02G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGPAPAREEPAVVLDKETLMLLGGDASSPAAAAAPSSEWETFKENVRPLKRGRNVAFLNRALKAQADPAQRAALLAARRKMIEAINEYRGEDPLQPWLNCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDERYKDDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASLMESKNKLKKANELFHLGISRKAKPVEKLETTYRAFLQRSSKRKAHLEDDTAADDQPVRNFGTVLNRGEIRGQHAENSQLVKPRVTLQRIDANRPLAVYKDENSLPSHGPARTRSNNTAWQTLGTQADRNKENNMRPAKWTSHKIPQKVGSRAAVQPTRASSIEVFVDDESAGEPAPQVPKSTKPTILKLRQATSRNLKQETELLMENPLRNFPLTSLR >LPERR02G06190.1 pep chromosome:Lperr_V1.4:2:4216234:4217653:1 gene:LPERR02G06190 transcript:LPERR02G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVRLSSVLRLRVRLFGIVGLLVRCLEELNCCPRKWSPATTTVRRRQLAPAEGGESSFQAEAIADCLEFIKRSYLPTTTVQGDHRKAAAY >LPERR02G06200.1 pep chromosome:Lperr_V1.4:2:4219542:4226936:-1 gene:LPERR02G06200 transcript:LPERR02G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAPIGGTSPSAGRVLAPALDRIIKNAAWRKHSALVAAAKSALDLLSSPTSPIPNPSPTSPSLLLGLPAAAADSCLHALLLALESASPKVADPALDCVSKLLYHRLLVGDLGAAAASDSSSSSASRLLTAVLSCGALADDAMELSTLRVLVAAARCPSIAIRGEGLGQMLKTCYNIYLSSGSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSIADMMDMSDRNLNDSSIVQVAQSFINEAMEGSDVPEPGTPVAPAEMDGKEDAGLSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWKTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVQQPSFLQKMTVLNLLEKICKESQVIIDIFVNYDCDVDAPNIFERVVNGLLKTALGVPPGSTTTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKPSEASLNSIDNPNILVGEDGSIVDYELQTDSGSADLSGASSLEQRRAYKIELQKGISLFNRKPSKGIDFLIKSNKIGHSPEDIASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEDYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESTFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSTTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVSPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSITELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQPNNLDASDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVIYPLFSVDSSTPNGHINITEDDSWNSETKTVAVKCLVDLYITFFDVMRPELSRVTSVVLNFIRSPYKQSASTGLSVFQRLTEGLASKLSKEEWKEILLCFKESSVHTFVVFHKIVRMMQDIEIPDRNESYSEAERYSDHDIYNEDEEEANMETASYAIVKMKNHMAQQLLVVQGIVKLYEMHRPSFGAEHMGIILEMLAAIASHASEVSSESTLHMKFHKACSLLEVSEPAVIHFENESYQCYLKLLQALLHDNPSVSEDMNIESQIMLVSEKILRKYLNCAGHERSNDVSCRDPALHWVLPLGTAKKEELSARTSLVLHVMRLLGGLERDCFRRNLPLFFPLLTNLIRCEHRTEEVQLALYDIFQSSIGPIIST >LPERR02G06200.2 pep chromosome:Lperr_V1.4:2:4219542:4226936:-1 gene:LPERR02G06200 transcript:LPERR02G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAPIGGTSPSAGRVLAPALDRIIKNAAWRKHSALVAAAKSALDLLSSPTSPIPNPSPTSPSLLLGLPAAAADSCLHALLLALESASPKVADPALDCVSKLLYHRLLVGDLGAAAASDSSSSSASRLLTAVLSCGALADDAMELSTLRVLVAAARCPSIAIRGEGLGQMLKTCYNIYLSSGSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSIADMMDMSDRNLNDSSIVQVAQSFINEAMEGSDVPEPGTPVAPAEMDGKEDAGLSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWKTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVQQPSFLQKMTVLNLLEKICKESQVIIDIFVNYDCDVDAPNIFERVVNGLLKTALGVPPGSTTTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKPSEASLNSIDNPNILVGEDGSIVDYELQTDSGSADLSGASSLEQRRAYKIELQKGISLFNRKPSKGIDFLIKSNKIGHSPEDIASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEDYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESTFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSTTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVSPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSITELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQPNNLDASDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVIYPLFSVDSSTPNGHINITEDDSWNSETKTVAVKCLVDLYITFFDVMRPELSRVTSVVLNFIRSPYKQSASTGLSVFQRLTEGLASKLSKEEWKEILLCFKESSVHTFVVFHKIVRMMQDIEIPDRNESYSEAERYSDHDIYNEDEEEANMETASYAIVKMKNHMAQQLLVVQGIVKLYEMHRPSFGAEHMGIILEMLAAIASHASEVSSESTLHMKFHKACSLLEVSEPAVIHFENESYQCYLKLLQALLHDNPSVSEDMNIESQIMLVSEKILRKYLNCAGHERSNDVSCRDPALHWVLPLGTAKKEELSARTSLVLHVMRLLGGLERDCFRRNLPLFFPLLTNLIRCEHRTEEVQLALYDIFQSSIGPIIST >LPERR02G06210.1 pep chromosome:Lperr_V1.4:2:4228072:4236009:1 gene:LPERR02G06210 transcript:LPERR02G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSCQQYSCRTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAWFSYDTIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRSRPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGKDKKEDITAQSQSSLNVGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKRVSQHVGSRSEKDCIARFTRLPFGEQFMEPKEDKMQLENDSDFNEESGSEISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSHVDISGSETGYSMNITKEEESSCTNGLSANDLLKEASANAQVQLEKEQKAIEQSFSDIVGVQMKDIQDKIRRFEQKELLMENERKQVHYLRELLFSDQLAVVQHQRRPSAVTTESKESKDDEKPKPIISIS >LPERR02G06220.1 pep chromosome:Lperr_V1.4:2:4236820:4242389:-1 gene:LPERR02G06220 transcript:LPERR02G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRAAQEATTLGGVRWLQMQSASNLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELVSRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVAAYVYRRIFKDGKTIAANNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTIDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCRKAAA >LPERR02G06220.2 pep chromosome:Lperr_V1.4:2:4236820:4242389:-1 gene:LPERR02G06220 transcript:LPERR02G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRAAQEATTLGGVRWLQMQSASNLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELVSRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVAAYVYRRIFKDGKTIAANNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTIDQLKEYVWKTLKSGKVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCRKAAA >LPERR02G06230.1 pep chromosome:Lperr_V1.4:2:4249506:4252022:1 gene:LPERR02G06230 transcript:LPERR02G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGAGAGRKRGKPDGGTNGAAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPALAPPPVRMPMGAAVPDGPPTPTISRVTGAKLAIRDHESDANLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPAGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >LPERR02G06230.2 pep chromosome:Lperr_V1.4:2:4249506:4252588:1 gene:LPERR02G06230 transcript:LPERR02G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGAGAGRKRGKPDGGTNGAAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPALAPPPVRMPMGAAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPNPGMSTPASFGASATAKISVDASLAGAIIGRGGVNTKQISRVTGAKLAIRDHESDANLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPAGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >LPERR02G06240.1 pep chromosome:Lperr_V1.4:2:4253276:4256616:-1 gene:LPERR02G06240 transcript:LPERR02G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSRGGGGRPQQQGVAERRLLRSRYLAVKNLISDEKDEMARADSDKFAAVITQVECLHELVQRPREQIADAEALLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEASSLRWDDVGLSVSHVFRPAPGCCTMLGPMNTEVKQRKAAVVSRKRTTRPTENIRPEQLADSSEGVKTDTDRNVSVVFDILRRNKRARLENLVLNRRSFAQTVENIFALSFLVKDGRVAINIDDNGHHIVCPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVADGEELMPHRTPETESIPCTEDNEQLDPEQCARRTPIRKLSRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRNEEQS >LPERR02G06250.1 pep chromosome:Lperr_V1.4:2:4258082:4261924:-1 gene:LPERR02G06250 transcript:LPERR02G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTFLLQYRICLSILLLCFITIPALAESDIKNLFALRESIAAGKGFLHNWFESEIPPCNWSGISCMGLAVVAIDLSSVPLYVNFPSQFMAFRSLVRLNVSGCGFSGELPEAMVNLQHLQCLDLSNNQLTGPLPVSLFDLKMLKVMVLDNNMFSGHLSPAIAQLQQLIVLSVSMNSFSGGLPPELGSLQNLEHLHLHTNAFSGSIPASFGNLSKLFYLDAKNNNLTGSIFPGIRGLVNLVKLDLSLNSLVGSFPKEVCQLKNLQSLILSENELTGSIPEEIGNLKQLEMLSLKCKFIGTIPLSIGNLESLSELEVSFNNFNGDFPASIGKLHNLTHLMANRAGFTGNIPKELGNCRKLTTLVLSGNNFNGSIPDGLANLVAIVLFDVEGNELSGHIPDWIQNWSNVSSISLAQNMFDGPLPIMPLHLVSFSAESNQLSGSIPAKICQATSLQILRLNDNNLTGSINETFKGCKNLTGLSLLGNHLQGEIPEYLAVLPLVSLDLSHNNFTGMIPDKLWESSTILDISLNDNQITGIISECIGRLVTLQSLSIDRNYLQGALPRSIGALRNLTQLSLHGNMLSGDIPLELFKCRNLVTLDLSSNNLTGHIPKGISHLKRLNTLVLSRNRLSGTIPSKLCVAFSRNSHSELEYVQHVGLIDLSQNRFTGHIPREINNCSILVELHLQDNLLSGTIPEELGELRNITTINLSSNAFVGPVIPWSVQLASLQGLFLSNNRLNGSIPAGIGNILPQITILDLSGNALTGTLPRELLCKESLNHLDVSNNNISGQIPFSCHEDKETPIPLVFFNASSNHFNGSLDESISNFTKLTYLDVHNNSLSGSLPSELAGISSLYYLDLSSNDFSGTIPCGICTMFGPTFANFSGNRNGNFTLADCAPDAGICSANRTGQNVPDRPPHHLSIASICGIAVGIAIAIVLVMTLVVYLIKRKMLMRRRSPFVLVPNSDNAMSDNETTLRDRLLGKKKKKKHEPPSINLATFEHAPMRISMDEITRATENFNSVHIIGDGGFGIVYKADLAGGRRVAVKRLHGGGHRWQRGAGGEDREFRAEMETIGKVRHPNLVSLLGYAAAGDERFLIYEYMDHGSLDSRLRSSSSPETALGWPERLTICGGAARGLAFLHHGFAPRGEVFDACLPVSGAEREQMGRALAVARECVAEEPWRRPTMAEVVRRVEGIQAMECGPLVVAVSGDRPSTSGS >LPERR02G06260.1 pep chromosome:Lperr_V1.4:2:4266193:4274646:1 gene:LPERR02G06260 transcript:LPERR02G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEQPVHRVHPAQPPVRRDDVRLDAAVGPEPVADQLVVARVVGQHVRLGHLPEPRGGGVGAVDEGAAPPRPRRGARPRELDGEGGERAGVREEEDGAGAVERSVGALADAVDVGEEHVVVVEDEEAALGHGLLHGHRLHDPRLEQLLRQG >LPERR02G06270.1 pep chromosome:Lperr_V1.4:2:4272940:4277860:-1 gene:LPERR02G06270 transcript:LPERR02G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQPLLLSAKNTASPAPCCSQLQQQRAGRINGGGGCRKKKTSLARISCSTFEEAAGVSAVTVDRTLTVTATVTVQSPVGVVYAARGIDDLGDLFGKSLLLELVSSELDAKTGKEKEKVSAFAHKTMKEEIYEAEFEVPATFGPVGAVLVENEHHREMFIKEIRLVTGTDDSSAITFDCNSWVHSKFDNPELRVFFTVKSYLPSKTPKGIEALRKKELETIRGDGTGERKFFERIYDYDVYNDLGDPDYKIEHLRPVLGGDEHPYPRRCRTGRPHAELDPQTERRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLKNKEVRFPHFPAIDGLYSVGIPLPSQLNASASATTDIVGSIIPRLVRMIEDTTDHVLRFEVPAMFKRDRFSWYKDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESRIMEPVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPDTTLYGSRTVFFLTDAGTLSPLAIELTRPRSPTRPRWSRAFVHGADATSSWLWKMAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRRLSRMHPVYRLLHPHFRYTMEINALARESLINADGIIEESFWPGKYSMEISSMAYAATWRFDAEALPEDLVRRGLAVRKNGDGSYDDDDLELTIKDYPYANDGLLVWNAIKQWASDYVRFYYKSDEEVAGDEEVQEWWWEVRNEGHADKKDEPWWPAADTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKKMPVEDDGDDGVSGNEEEMRRFMSNPEHVLLDTMPSQMQAIKIMATLDILSSHSPDEEYMGEYAEPAWMAEPMVKAAFEKFAGRMKEIEGVVDERNNDPELRNRCGAGIVPYELLKPFSSPGVTGRGIPNSISI >LPERR02G06270.2 pep chromosome:Lperr_V1.4:2:4272940:4277860:-1 gene:LPERR02G06270 transcript:LPERR02G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQPLLLSAKNTASPAPCCSQLQQQRAGRINGGGGCRKKKTSLARISCSTFEEAAGVSAVTVDRTLTVTATVTVQSPVGVVYAARGIDDLGDLFGKSLLLELVSSELDAKTGKEKEKVSAFAHKTMKEEIYEAEFEVPATFGPVGAVLVENEHHREMFIKEIRLVTGTDDSSAITFDCNSWVHSKFDNPELRVFFTVKSYLPSKTPKGIEALRKKELETIRGDGTGERKFFERIYDYDVYNDLGDPDYKIEHLRPVLGGDEHPYPRRCRTGRPHAELDPQTERRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLKNKEVRFPHFPAIDGLYSVGIPLPSQLNASASATTDIVGSIIPRLVRMIEDTTDHVLRFEVPAMFKRDRFSWYKDEEFARQVLAGVNPICIQLLTVAPPFPAIRYIAPETDVISEFPIVSKLDPEVYGPPESALTKELLESRIMEPVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPDTTLYGSRTVFFLTDAGTLSPLAIELTRPRSPTRPRWSRAFVHGADATSSWLWKMAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRRLSRMHPVYRLLHPHFRYTMEINALARESLINADGIIEESFWPGKYSMEISSMAYAATWRFDAEALPEDLVRRGLAVRKNGDGSYDDDDLELTIKDYPYANDGLLVWNAIKQWASDYVRFYYKSDEEVAGDEEVQEWWWEVRNEGHADKKDEPWWPAADTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKKMPVEDDGDDGVSGNEEEMRRFMSNPEHVLLDTMPSQMQAIKIMATLDILSSHSPDEEYMGEYAEPAWMAEPMVKAAFEKFAGRMKEIEGVVDERNNDPELRNRCGAGIVPYELLKPFSSPGVTGRGIPNSISI >LPERR02G06280.1 pep chromosome:Lperr_V1.4:2:4287505:4294353:-1 gene:LPERR02G06280 transcript:LPERR02G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDPPPPAPEPPPQPAAAAAAAAPSPSPTPPPLLRPRREAFEHGLLPIPKLIFPEGTLAQTLAQVKDKLTASSSSSSSGRVGATALAEALQIPRDQAALALGTLAAVLPAEDPALEVAGGDGEADIRDVMLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQGDEEIHQLSYLQKHMANILTLLADSVDGEGDDSLVLTMETFEHLGFLVQFSEGTPLSQAPTFFANSDPDMPAAPVPAAQVHDWISQNISSSLEFCTEKSTSKEVSQQVTSDIDVTMADANVSNLRNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDLKGHSVKVLNCHESVIYVLAPLKYATVYGCSDATVVLGGIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVSIDPAVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATRLDPDLFTNFLIPSWFGTEVQEPTKCSPFPLPEIYRASQSKKLAALEDIKKTIRELQIDDNRKKDLANALHSQFKDWLYASGNIRQLYCLQGD >LPERR02G06290.1 pep chromosome:Lperr_V1.4:2:4300289:4311230:1 gene:LPERR02G06290 transcript:LPERR02G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQLQQPPPEQASCSTAGMMQAPATSSSIHGNNIIRKDPGGGYDMAELDHIFLYLNSQDQASAIQEQPLFPSQPMHAVEPSPNAALAAGSSKRPAAAAAAGQSSRLTPADQPPSASGKDGKAAAVVKAYIQNLETSRIRLSQLEQDLMQRSRTQAAWFDGEYARWVESHERMMAHLRAALEEQHGGATAEGQLRQLVDAAIAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSEIIKIVARHAEPLTEQQAAGVYGVQQSAREREEALDHDLQATHRALSDVVSSDTLLCPPTAAYSDVGMAHLSLAIANLTSLEAFVRQADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPPPPSAGAGAGGRIHP >LPERR02G06290.2 pep chromosome:Lperr_V1.4:2:4300289:4306812:1 gene:LPERR02G06290 transcript:LPERR02G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQLQQPPPEQASCSTAGMMQAPATSSSIHGNNIIRKDPGGGYDMAELDHIFLYLNSQDQASAIQEQPLFPSQPMHAVEPSPNAALAAGSSKRPAAAAAAGQSSRLTPADQPPSASGKDGKAAAVVKAYIQNLETSRIRLSQLEQDLMQRSRTQAAWFDGEYARWVESHERMMAHLRAALEEQHGGATAEGQLRQLVDAAIAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSEIIKNNSTERI >LPERR02G06290.3 pep chromosome:Lperr_V1.4:2:4307696:4311230:1 gene:LPERR02G06290 transcript:LPERR02G06290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLQTPIVARHAEPLTEQQAAGVYGVQQSAREREEALDHDLQATHRALSDVVSSDTLLCPPTAAYSDVGMAHLSLAIANLTSLEAFVRQADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPPPPSAGAGAGGRIHP >LPERR02G06300.1 pep chromosome:Lperr_V1.4:2:4316717:4321396:1 gene:LPERR02G06300 transcript:LPERR02G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSPPVAAAIAFVAALLAAAVHGAAAKDCTNGFPSLTASHTERAAAAAAHLDLLPAGHNHGDAGDRHLTPTDESTWMSLLPRRLLAGESFDWLMLYRKLRGSSGGGAGAAAALLTEASLHDVRLQPGTVYWKAQQTNLEYLLLLDGDRLVWSFRTQAGLPALGTPYGGWEGPNVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALYDCQKKIGTGYLSAFPSEFFDRVESIRAVWAPYYTIHKIMQGFLDQYTVAGNSKALDMVVGMANYFSDRIKNVIQKYSIERHWASLNEETGGMNDVLYHLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYPTGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKETAYADYYERALINGVLSIQRGTDPGVMIYMLPLAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTFNWKAAGVTVNQQLKPLSSLDKYLQVSISTSAKTNGQSATLNVRIPSWTSANGAKATLNDKDLGLITPGSFLSLTKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQATLFGPFVLAGLSTGDWYAKSDNTSAISDRITAVPSSYNSQLVTFTQESSGKTFVLSSTNGSLTMQEQPTVDGTDTAIHATFRVHPQDSTGYLDTRIATMKGTSVQIEPFDLPGTVITNNLTLSAQRSSDSFFNIVSGLDGKPNSVSLELVTKPGCFLVTGTDYSVGTKIQVSCKSSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGLRRNFLLEPLYSLRDEFYTVYFNLGA >LPERR02G06310.1 pep chromosome:Lperr_V1.4:2:4322603:4324018:-1 gene:LPERR02G06310 transcript:LPERR02G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPTFLWRERERRDATTRSELLPIPIHARLLLRRRLDHVKMEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDAAKELIPAGGAIAEVAVAQVEAKMLVVQPTDVAGTSEEVAAVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAGRNAIAKANPVVKAEKLDKI >LPERR02G06320.1 pep chromosome:Lperr_V1.4:2:4327887:4332207:1 gene:LPERR02G06320 transcript:LPERR02G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHVKVQSQEFRSIVHGHTRYTISIALRLHGGWFVPSFHEAPRRQFPIANQKARENFPNPRFPLSSYKDPVASSAFPPSPFFTRIFHQMGRKKKARVSRDGDEEEPEPAPAATESRGLYEILGIERTASQQDIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVIAILGDEEKRALYDETGITDDDALVGEAADNLQEYFRTVYRKVTEADIEEFEAKYRGSDSEKKDLKDLYTQLKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYEKWSKKISEIEPPTNPLERRVKKKKKTEENDLILAISQRREQRKDRFNSVLSSIMSKCDAKGSSSSAEPTEEEFERARQRLEKKRAKNHK >LPERR02G06330.1 pep chromosome:Lperr_V1.4:2:4333420:4337934:1 gene:LPERR02G06330 transcript:LPERR02G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTIDGGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEEMFTF >LPERR02G06330.2 pep chromosome:Lperr_V1.4:2:4333420:4337936:1 gene:LPERR02G06330 transcript:LPERR02G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTIDGGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >LPERR02G06340.1 pep chromosome:Lperr_V1.4:2:4339064:4341205:-1 gene:LPERR02G06340 transcript:LPERR02G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEAQTNLISRGHGHGHCQEHEPMKKPFPFLSLISSSSSCSSSQHQQDDHGGHGRLLLCRKQQLQEEEEDATVALRIGLPVISSSSSSPAMANQDDVKHGGSLRTTTAATGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQPTAMLRLPCYCCAAGCRNHIDHPRARPLKDFRTLQTHYRRRHGARVFACARCAKRFAVRGDWRTHEKNCGRLWRCACGAEFRHKRSLNDHARAFGAGHGHGVL >LPERR02G06350.1 pep chromosome:Lperr_V1.4:2:4341041:4342950:1 gene:LPERR02G06350 transcript:LPERR02G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVILLMLAAAARRGRRDEAEEREGFLHGLVFLAMSMAMASRDQWMDDTGDDDEESPTNVGSHL >LPERR02G06360.1 pep chromosome:Lperr_V1.4:2:4346913:4353618:-1 gene:LPERR02G06360 transcript:LPERR02G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRREVRFVSSGVKLPRAAADPAPTLLSAALPFAHIGRAIDYAARRVARSLPRLPVARADTTGAAPIALPRRQKDGGGGGGGEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFNGLVCEGANMLPSKFLEDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNISIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSTKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFIFNMEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >LPERR02G06370.1 pep chromosome:Lperr_V1.4:2:4358495:4364639:1 gene:LPERR02G06370 transcript:LPERR02G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGGNHLKEPLLQVDGSSGASPARASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESISVATLQGQAVVQYRPEETDARTIKEAIEGLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAVVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSLEDIELIQSVLESVEGVNNVECDTVGQTIIVAYDPDVTGPRLLIQCIQDASQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMLSPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIILKALTSDSFEGQDFFETSVMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLNLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFHIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTRVFSKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSYSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSDVEGYMSETEELARTCVLVAIDRIICGALAVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGISTVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLRVEQVAGPKNDPDLV >LPERR02G06380.1 pep chromosome:Lperr_V1.4:2:4364899:4366892:1 gene:LPERR02G06380 transcript:LPERR02G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPANSPALAAVITAAALMSSVATANPSPAAAKPATSSATPSTPEGSLDIVQLGAKGDGKTDSTKYILQAWKNACKATGTQKIVIPAGNFLTGAILLEGPCTSDIIIRLDGNLLGTGDLNAYKTNWIEIMRVNNFAINGHGTIDGQGPLVWNHNQCHKSYNCKILPNSLVLDFVTNAQIRGITLKNSKFFHLNMFQCKNIHIERLTITAPGDSPNTDGIHVGDSTNITIRSTSIQTGDDCISIGPGTKTVRISDVKCGPGHGISVGSLGRYKDEKDVEDLVVSNCTLKGTTNGLRIKSYEDSKSQLRATKFVYDDVKMENVSYPIIIDQKYCPNNICSKSGTSKVAVTDIVFKNIVGTSATPAAVTLNCANNLPCQGVQLHNVDVKFAGKGNTTIAVCNNVHGKSSNVAKPLACL >LPERR02G06390.1 pep chromosome:Lperr_V1.4:2:4367596:4370797:-1 gene:LPERR02G06390 transcript:LPERR02G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKQSQQMRSFVEVAAGSHFPIQNLPFGVFRRRSSPEQPPRPAVAIGDLVLDLAAVSDAGLFDGPLLSNSPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSVVDMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYHGRASSVIVSGTDIVRPKGQGHPAGDSQPYFGPSKKLDFELEMAAIVGPGNELGKPININDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTMDALKPFTCDAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPEPESLGCLLELTWNGQKEISVGNLTRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >LPERR02G06400.1 pep chromosome:Lperr_V1.4:2:4372141:4375798:-1 gene:LPERR02G06400 transcript:LPERR02G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRALRLGLRRPPPRPSAGRRVVVTGLGAVTPLARGVGPTWDRLVAGRCAVRALAAEDLRLPGGDDAAGRTLEQLPSRVAAVVPRGKGDDEFDEGAWTKDNKSISGFIAYALCAADEALRDANWLPSEDDKKDRTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALEHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALEQADQIDYLNAHATSTPLGDAIEANAVKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEQPDPLFEGAFMPLSSRKKMPIRAAISNSFGFGGTNTSLLFSRPP >LPERR02G06400.2 pep chromosome:Lperr_V1.4:2:4372141:4375798:-1 gene:LPERR02G06400 transcript:LPERR02G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRALRLGLRRPPPRPSAGRRVVVTGLGAVTPLARGVGPTWDRLVAGRCAVRALAAEDLRLPGGDDAAGRTLEQLPSRVAAVVPRGKGDDEFDEGAWTKDNKSISGFIAYALCAADEALRDANWLPSEDDKKDRTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKYNSLPQAASRPFDCGRDGFALEHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALEQADQIDYLNAHATSTPLGDAIEANAVKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEQPDPLFEGAFMPLSSRKKMPIRAAISNSFGFGGTNTSLLFSRPP >LPERR02G06400.3 pep chromosome:Lperr_V1.4:2:4372141:4375798:-1 gene:LPERR02G06400 transcript:LPERR02G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRALRLGLRRRLSSAAADAEAPRRALPRGAAWWSRGSGPSPRSPAAWGPPGTASWPAGARCARSPPRTCGSLVGTTRRGGRLSSSRPGSPPSCRAGRGTTTDEALRDANWLPSEDDKKDRTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALEHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALEQADQIDYLNAHATSTPLGDAIEANAVKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEQPDPLFEGAFMPLSSRKKMPIRAAISNSFGFGGTNTSLLFSRPP >LPERR02G06410.1 pep chromosome:Lperr_V1.4:2:4379305:4385694:1 gene:LPERR02G06410 transcript:LPERR02G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGEEQSLALTPTWVVAGVCFVIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINRICIPESAARLMLPCMRETSEATEDAAKLCKRKGEVPMLSEEALHQLHIFIFVLGVVHVVFCVTTLLLGGAKMKQWKKWEKEIQQGRVKERPKNPVWMKFVVVRWAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNCPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIAFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDGATGNPWTKPCKEHFWFNKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMESKSYALPRLAIGIVVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKPPQPHSHLRIPFLMKRRHSIPAAADAIAAGDHHHHHGHHHHAGCSAAAAPPPDLEEIVATTSVVEDGNQPPPPAPPQGRP >LPERR02G06410.2 pep chromosome:Lperr_V1.4:2:4379398:4385694:1 gene:LPERR02G06410 transcript:LPERR02G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISFLLSVFQKFINRICIPESAARLMLPCMRETSEATEDAAKLCKRKGEVPMLSEEALHQLHIFIFVLGVVHVVFCVTTLLLGGAKMKQWKKWEKEIQQGRVKERPKNPVWMKFVVVRWAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNCPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIAFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDGATGNPWTKPCKEHFWFNKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMESKSYALPRLAIGIVVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKPPQPHSHLRIPFLMKRRHSIPAAADAIAAGDHHHHHGHHHHAGCSAAAAPPPDLEEIVATTSVVEDGNQPPPPAPPQGRP >LPERR02G06420.1 pep chromosome:Lperr_V1.4:2:4401040:4404572:-1 gene:LPERR02G06420 transcript:LPERR02G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLRRAGVRRRLLSALAGDGVPPRAAGAVYAFGDNSHGAAGQPAPPAADVYVPTPVPSLPPSVAAVAAGHYHSLAVSSEGEVWAWGRNDEGQLGRGLQAPRSTWSKPEQVRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEATVPSRVEALACYDIVKVSFGWGHAMALTEDGRLFGWGYSENGRLGEIGQITQAPSAKELLGKTVDEYSSSMLEAVDKMVAEKIKSEDNMPIIWEPSLVHEVSHVEVSDVSCGLDHSLILCLVLPPFQHISVPVHLGERAADGIVLSGGDNTYGQLGRKSTWSKLLPVDISHSPFSLSASVGHSLATCTISTEGADSAETGVLSWGWNCSSQLGRPGKEDIPALIDDLNRERPVSASAGRVHSVVLTSKGEVWAWGSGRNGRLGLGSSMDESEPCLIDTLEEAVVSQVAAGMDHTLLLINSEAQPNNPSTPISSIGIVFFFPRGYGPNDGEGIGGDQAAAAMGLAGRGVVGEKWSQRVLWLCAIGSAVSLYFVAVERQTQNRARAVAEGLKALDGAGPGAGEDV >LPERR02G06430.1 pep chromosome:Lperr_V1.4:2:4405328:4406676:-1 gene:LPERR02G06430 transcript:LPERR02G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPLQSSFSRSSSSRKSPFIVRAEATPPAKQTDRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVFNGRTAMMGVVGMIAPELFGKLGLVPAETAIPWFQTGVIPPAGTYEYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLGGSGEPAYPGGPLFNPLGFGTKSEAEMKELRLKEIKNGRLAMLAFLGCAVQALFTGVGPVQNLLDHLADPVHNNILTSLKFH >LPERR02G06440.1 pep chromosome:Lperr_V1.4:2:4407526:4414260:-1 gene:LPERR02G06440 transcript:LPERR02G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGAAVVRRLHAAVAAAQPPRLRKLAMHPPKSVEVGFADGSTFHLSAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFGLMRNYIRTLKKHSLSRDPQRRMRSDDNLGSLLVLPRADSLLKFDIISYMLISGIKISCSSMIVKLILGLLWCLVHLVISLFGSWSHLRNNLECYLISLKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLHWFSTIGINYLILYDIEGVLKELIQPSTEASTDGNLRNSLDVVADTKASCCRHGGMFMECLSGSDGKEAIAKAANLLYSTCSNSDNKSGIIFTEADMTRALKSVGIGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMYMGPLESMKYGTIAKALYQFSHKHQNYEDPILFEGDFWN >LPERR02G06440.2 pep chromosome:Lperr_V1.4:2:4406875:4414260:-1 gene:LPERR02G06440 transcript:LPERR02G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGAAVVRRLHAAVAAAQPPRLRKLAMHPPKSVEVGFADGSTFHLSAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFGLMRNYIRTLKKHSLSRDPQRRYQGSISCSSMIVKLILGLLWCLVHLVISLFGSWSHLRNNLECYLISLKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLHWFSTIGINYLILYDIEGVLKELIQPSTEASTDGNLRNSLDVVADTKASCCRHGGMFMECLSGSDGKEAIAKAANLLYSTCSNSDNKSGIIFTEADMTRALKSVGIGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMYMGPLESMKYGTIAKALYQFSHKHQNYDMQPQLLLATGKPESTEKQPSLSHLPCHVALSYWVH >LPERR02G06440.3 pep chromosome:Lperr_V1.4:2:4407526:4414260:-1 gene:LPERR02G06440 transcript:LPERR02G06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGAAVVRRLHAAVAAAQPPRLRKLAMHPPKSVEVGFADGSTFHLSAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFGLMRNYIRTLKKHSLSRDPQRRYQGSISCSSMIVKLILGLLWCLVHLVISLFGSWSHLRNNLECYLISLKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLHWFSTIGINYLILYDIEGVLKELIQPSTEASTDGNLRNSLDVVADTKASCCRHGGMFMECLSGSDGKEAIAKAANLLYSTCSNSDNKSGIIFTEADMTRALKSVGIGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMYMGPLESMKYGTIAKALYQFSHKHQNYEDPILFEGDFWN >LPERR02G06450.1 pep chromosome:Lperr_V1.4:2:4415525:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLEASTETNYRGLTIKCSHYVPTFTPENTSLPCVVYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVIQFASKTFIPALFGHALNDMFIQPHHCDRIHRAYGGDKSIMKFDGDHNSPRPQSYYDSVSIFFYNTLRPPQLPVACSNKQRMGAFKPGTMTNESLFYEIINGLRGAGTNSCSSSADAPKFPNATTSVVKLLSESVNQLSIKNGNDLDFLLDENHSLSEIDGDSAGSHLQDKSSRQNEESCSCTSSNRESWGRCSSLGGASDGSFPGDISDKQENITVKALATPLRQKENKLTPKTKEKKIQSLWKKLNPERTGMGDSLSQRLKMCLGHSPRHKRTNSSAID >LPERR02G06450.2 pep chromosome:Lperr_V1.4:2:4415525:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSVFMGDGVYPCWAQLTNARGLTIKCSHYVPTFTPENTSLPCVVYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVIQFASKTFIPALFGHALNDMFIQPHHCDRIHRAYGGDKSIMKFDGDHNSPRPQSYYDSVSIFFYNTLRPPQLPVACSNKQRMGAFKPGTMTNESLFYEIINGLRGAGTNSCSSSADAPKFPNATTSVVKLLSESVNQLSIKNGNDLDFLLDENHSLSEIDGDSAGSHLQDKSSRQNEESCSCTSSNRESWGRCSSLGGASDGSFPGDISDKQENITVKALATPLRQKENKLTPKTKEKKIQSLWKKLNPERTGMGDSLSQRLKMCLGHSPRHKRTNSSAID >LPERR02G06450.3 pep chromosome:Lperr_V1.4:2:4415525:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLEASTETNYRGLTIKCSHYVPTFTPENTSLPCVVYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVIQFASKTFIPALFGHALNDMFIQPHHCDRIHRAYGGDKSIMKFDGDHNSPRPQSYYDSVSIFFYNTLRPPQLPVACSNKQRMGAFKPGTMTNESLFYEIINGLRGAGTNSCSSSADAPKFPNATTSVVKLLSESVNQLSIKNGNDLDFLLDENHSLSEIDGDSAGSHLQDKSSRQNEESCSCTSSNRESWGRCSSLGGASDGSFPGDISDKQENITVKALATPLRQKENKLTPKTKEKKIQSLWKKLNPERTGMGDSLSQRLKMCLGHSPRHKRTNSSAID >LPERR02G06450.4 pep chromosome:Lperr_V1.4:2:4415525:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLEASTETNYRGLTIKCSHYVPTFTPENTSLPCVVYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVIQFASKTFIPALFGHALNDMFIQPHHCDRIHRAYGGDKSIMKFDGDHNSPRPQSYYDSVSIFFYNTLRPPQLPVACSNKQRMGAFKPGTMTNESLFYEIINGLRGAGTNSCSSSADAPKFPNATTSVVKLLSESVNQLSIKNGNDLDFLLDENHSLSEIDGDSAGSHLQDKSSRQNEESCSCTSSNRESWGRCSSLGGASDGSFPGDISDKQENITVKALATPLRQKENKLTPKTKEKKIQSLWKKLNPERTGMGDSLSQRLKMCLGHSPRHKRTNSSAID >LPERR02G06450.5 pep chromosome:Lperr_V1.4:2:4415525:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLEASTETNYRGLTIKCSHYVPTFTPENTSLPCVVYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVIQFASKTFIPALFGHALNDMFIQPHHCDRIHRAYGGDKSIMKFDGDHNSPRPQSYYDSVSIFFYNTLRPPQLPVACSNKQRMGAFKPGTMTNESLFYEIINGLRGAGTNSCSSSADAPKFPNATTSVVKLLSESVNQLSIKNGNDLDFLLDENHSLSEIDGDSAGSHLQDKSSRQNEESCSCTSSNRESWGRCSSLGGASDGSFPGDISDKQENITVKALATPLRQKENKLTPKTKEKKIQSLWKKLNPERTGMGDSLSQRLKMCLGHSPRHKRTNSSAID >LPERR02G06450.6 pep chromosome:Lperr_V1.4:2:4422646:4426209:1 gene:LPERR02G06450 transcript:LPERR02G06450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRDDAAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWGDNEIDNMIEVGGNSHANAIYEAFLPQSYSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSEHADSASHSVNSKSEVGMVEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKASLNPVWNEELKLSVPQEYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPELLGDMQIGRWLKSGDNALVRDSAVVVTGGEVKQEVSLKLQFTESGEVELEMQWIPLNM >LPERR02G06460.1 pep chromosome:Lperr_V1.4:2:4430314:4436526:-1 gene:LPERR02G06460 transcript:LPERR02G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGSPAPPPPPPRSPLAERLPAGQIAPPPRIPAVYGVGGGGVGNGLLFSPSKTLPPVRTTARHSGLLGRHHHSALLAAAAADSDGEEEDARGEEESVASWGVTDDCYYGAFSDTLEEEEEDGACSSGDSSLLRRAMDHRGFDDEVTSQLSRRGGGGGGLVRGQSKENLRVEVRAAFAGKCSSSHGHHPVDSSSHENYIGAQKFQAVGTPSAPPIAGDGHEVIFDTVAETKGCLQRNGVSSVADILAEDVHEPEVPTRSNVQEDGVHVPYVENNLLAQIPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPKYKSQDDGKNAYDKDGNCTMKGRKLVKQIEIEVRKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSSKDNQRDSISPQYLKPGNGESQLFYLESQGDAILVEVQDNNRIVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECIGKIQLCVNFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSEAYRKLRYLSYILNVATPTKDCLELTYELLLPVMKSRDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPIPDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIDTDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNVLPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRELFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLIPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRIEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVNNAQANRNTRLKRILEETRENEGEGEIRERMQPLRVHLADSIYNLHEVFSSRIFVAICRGFWDKLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNSLQDKDLDPPQSVVDARSILC >LPERR02G06470.1 pep chromosome:Lperr_V1.4:2:4438769:4439020:-1 gene:LPERR02G06470 transcript:LPERR02G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVFEPHLHGGAAVAGGDVVFCVVILCLCVLSMIIFAVAPSHDGGEQRRRRRCNGPVFVGGRGCGCGGCNSGAGVCGTYLS >LPERR02G06480.1 pep chromosome:Lperr_V1.4:2:4441289:4446147:1 gene:LPERR02G06480 transcript:LPERR02G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNTDKLSTVGVQDGDLVMMVKATSNERPSQDVIRLNPDGSAVDPQTFRQHIRGDSQLMGQLLQNDPALAQAILGDDVNELQNTLRSRHQQRLELKRKQEEELALMYADPFDIEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDVPARAPATGAPAVNPPQGGDFEVKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >LPERR02G06490.1 pep chromosome:Lperr_V1.4:2:4447452:4454384:-1 gene:LPERR02G06490 transcript:LPERR02G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNPRSMAVFVAVFLLSSLSLAAAAGEKQSYVVYLGEHAHSAAVAGAGAGADVELLAKQAKDSHYELLAGVLGDKEKAKDAIFYSYTRHINGFAANLDAAAAADIARQPGVVSVFRNRGYKLHTTRSWQFLGLAGGDGAATAAWKKARFGEDTIIGNLDTGVWPESQSFRDDGLGPVPSQWKGECQKGQDDTFSCNRKLIGARFFNKGYASAVGKLNTSLFDTPRDMDGHGTHTLSTAGGSPVPGAAVFGYGNGTASGGSPRARVAAYRVCYTPVNGSECFDADILAAFDAAIHDGVQVLSVSLGGDAGDYFADGLAIGSFHAVRRGVTVVCSAGNSGPAAGTVSNVAPWILTTAASTMDREFPSYVGQSLSASSLSPAKSSYPMIDSSQATVPNRTLNESRLCFLGALDPAKVKGKIVVCLRGINPRVEKGEAVKQAGGVGMMLANDVTTGNEIIADAHVLPATHVKFSDGQLLFSYLNKTKRAEGTITRPETRLGAKPAPFMAAFSSKGPNKITPSILKPDITGPGVSVVAAWTRATSPTDLAFDHRRVAFNSESGTSMSCPHVAGVAALLKTLRPSWSPAAIRSAIMTTASVLDAAGDRPILDSSFSAADPFGFGAGHVSPARAMSPGLVYDIAAGDYLGFLCSLRYNATVMAMFNSGEPYECPADPPAAHDLNYPSITVVGLAAAGGDAAAAAVRRTVRNVGKGGVTYRAYVTAPAGVRVVVSPDTLAFGRKGEERSFHVSFHVVDARLAKDYSFGTLLWTDGKHFVRSPLVVKTVVA >LPERR02G06510.1 pep chromosome:Lperr_V1.4:2:4466521:4468039:1 gene:LPERR02G06510 transcript:LPERR02G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNEFEVPSSSFSFKFVVLSNISSLASTFFLACLQTENFQPSLLLAIDKANSGEIRSGAIDLAQIMHKRSKSYEPSSEMDEHRRVGNNGGSTTTTTTCDDSACGRSSSSSSSSSPATAQCSTVSVYRATINGVPHHVTATWTKTLINQSFTISISAVAGDSSTSPSPSTPATMSHKVELKPWPFWSSKKGSKALAGGEIEVFWDLRSAKFPAAAAASPSPEPTAGYYVAVVSDGEVALLLGDAKKDAFRRTRSRPSASLDDAVLVSRRESVSGKRSFAARAPLLAAGDAADIVVDAWLGGREPEMRIAVDGVAVVHVRSLQWKFRGNETVMVGESPVMVMWDVHDWVFAAGGGGMAVAAAQAVFVFKPGAPPEVGENGGGGGIGDEGGYSFFLHAWKTE >LPERR02G06520.1 pep chromosome:Lperr_V1.4:2:4469054:4470064:-1 gene:LPERR02G06520 transcript:LPERR02G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLPPKIGTCVAISTWFTGCCGQGSFSFSSPGKFLLRMSLSTIARRLCYLRSTSVSRFSVVWAHGYSTEAAKETSAKKYKYPEIYDPFGPMPPPSEKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFGPQGGGGAGTAKAEEKKAEKTVVDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >LPERR02G06530.1 pep chromosome:Lperr_V1.4:2:4471735:4473232:1 gene:LPERR02G06530 transcript:LPERR02G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIVSGVVADMVGRLVSLVAGQLLDRRTNDDVDDKLRRIRRLLVRIESAVEAAESRRITGRALLTWLSDLADGARHGRYFLDAFTLGDRDGGEAVANPLNPAKRLRVAARRLVFRGRDDGGGGARRRPRRPRERLRRSHRCCPPALHRPLATNIYADIQMFGRHVERRRVLDFLLHDGGDGEIGVMSTTLVQHVCDDPAVRRRFSPVILVDFHGLSFIAAGDGETTALLRSLFAGGGDGDFAEKLPLLEEILRGKRLLAVFDNVDARRRRVIDDFIMPAIRRAAARCSKVIVTSSEGSHVSGLATAAANTITLRPPPPADWFFFKAHAFAGGEVDTRLAAAAQAIAERLRVRASYFGGKMVGALLRWRPDHRLWRRLLMSGGGADLAGGDHIAAAAGCLFPPHLSFRGVTVSRSPLRGLVGLNDSCLMMTTPADDSGDRRRSPDRQSPELTVLLCKSVFPSYCLYYSAHCTIEDDPGSKL >LPERR02G06540.1 pep chromosome:Lperr_V1.4:2:4478273:4480575:1 gene:LPERR02G06540 transcript:LPERR02G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLMRFTNPFPCASPSPRWGRRRRSSSVKPAACGLVSRRRAVSDVAILGATAAAASYCIDLFPMPARAAMLEPDVIRYRKLDSGVKLEAQLTSLTVRMIRGLKDVIIGMKAGGKRRALIPPQVGYTDENLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >LPERR02G06540.2 pep chromosome:Lperr_V1.4:2:4478273:4480331:1 gene:LPERR02G06540 transcript:LPERR02G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLMRFTNPFPCASPSPRWGRRRRSSSVKPAACGLVSRRRAVSDVAILGATAAAASYCIDLFPMPARAAMLEPDVIRYRKLDSGVKLEAQLTSLTVRMIRGLKDVIIGMKAGGKRRALIPPQVGYTDENLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >LPERR02G06550.1 pep chromosome:Lperr_V1.4:2:4480464:4481978:-1 gene:LPERR02G06550 transcript:LPERR02G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIVSGVVADMVGRLMSLVAGQLRDRRGVVDEKLRRVRRLLVRIESAVEAAEARRITGRALLAWLSDLVDGAHHGRCFLDAFTLGDRDADADGGEAAAVANPLNPAKRLRVAARRLVFRGRDGAKAELDGVLADLESVSGDLTGFITMLQSCPPALHRPLATNIYADSQMFGRHVERRRVFDFLLHDGDGSGEIDVLSIVGRMGLGKTTLVQHACNDPEVRSRFSLIIEIDFHCLSLMSAGGDTALLLRSIFISGDRDNVETVTLLERKLRGVTFLAVFDNVDARRHRVIDAIMLSLRRAAAAVGTPRGGRRRSKVIVTSRNADHVTGLATASNTITLRPPTPAEYWFFFKAHAFDAGGEADADARLVAAGQAIARRLRLAASFFGGKMLAAVLRSRQSPQFWRAVLASGAADLPCLGYADEAVAGRVFPPHVTLRSVTMSRSPERGVISLQDSCLMAPPADSGRRRGSPELPVLLCKSVFPSYCLYYTAHCTIIDTDSVQ >LPERR02G06560.1 pep chromosome:Lperr_V1.4:2:4483426:4488401:1 gene:LPERR02G06560 transcript:LPERR02G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEIMNEQNEAVQSQVSLPQDIQQTIISLLPGRTVLKFTSVCKFWRDCIKEPTFVDRHLKNSLRLHQSIAFFTSVDTSLVQMYLFDPATVNFKRTEPVLSSRFHMSGPCNGMVCAYDLKDSVEVLNPTTRKHLTLPASETVTQTYFLEYFLGYVHSTEMYKVVAICHCVRHLTFEVCTIGTQSWRKVRKSGEEELLKTTKAVVVNDKMHWLVLDDESSHFTRKILSFNLADETFLYRDVPDSVRDRDLELFEGEGRLCLLSMPCKGAEETASEIWLADSSGQVWVHLYSISPRPALGMKPFFLYKRKLFFGNQKRFIYIDLLDGRVCYIDVPSDESIISAGMFVESFVPNVPDKDLVNSMTLLNCEHQAKLSSRGSGPSSRTRSTCGVTRWSLAVVQASRRARETTNMVWNVYKGEARKIQDAL >LPERR02G06570.1 pep chromosome:Lperr_V1.4:2:4487771:4489000:-1 gene:LPERR02G06570 transcript:LPERR02G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASGDGETQLPDDVVDEILIRLPSRSSLARAAASCSAFRALVSSPRFLRRHRARHPPEHGAPLLGSFAFSSSEGGVFHPASPPHPSASAARAVAAAADFSFSFLPSSSPPPSSSWIVRDHRDGRFLLDRLAATTDDGVFTELAVCDPRRRCEPFLAPPRHGHGVDDAVAFSVVWTARCPRKVVAFVFDSGGGERWRALASPDCFVWSRHRSPFGCPVHAVWNRRFYAHGRFFWLDCLTHRWLVLDTRGAMDELAVIEIPSPSGYWEEHVAVVEGHDGKVGVFAHEFHHAGGEACLHYYTVVFPGENDGDGEPRWEMERTVKLSWTAAHERPYSIRAAVNGSVILEVNHGSPAFVTTTYRSRDVELYRVDVSSFKPEMICRARCAARDIAWAYFGFPPLLSLPTV >LPERR02G06580.1 pep chromosome:Lperr_V1.4:2:4492893:4506076:-1 gene:LPERR02G06580 transcript:LPERR02G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEPEGGGSAPAPAPVMRLCVHVVEARGLSAAYLSGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDEEFGFLVGAIAEELVVSVLNEDKYFSNDHFLGRVRFPLAAVMEAEGRSLGMAGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDDGGGDAAAAAGEELVVSVLNEEGYYFSAHFLGRVRFPLAAVMEAEGRSLGMAWYQLQPKSGGFRRKRRVSGEICLRIYLSFRTQVCDESRNMAMQLINYTPSSSMRSGGTNASSLSAASVSSLDLSACPSMERASCSSMDKMNQGIMNQQCHRSADQLPCISTERSILLEPEEDNDAPTSASSVVEVMSRYFCRKPVDATPSATSDYDSIDQFQDTQMSSESCENGDNGTLPESSFNELMKSMESKDKGSEMPENLRGGILVDQSYVIPPAEMNSLLFSAHSNFWPAVAEIQGLSGFQTEPWKHVDSDICLKRTLSYTKAASKLVKSVKITEEQTYLKATGNSFAVLSSVSSPEVPCGNCFKVEILYCIIPGPQLPSKEQTSHLVISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEILSQKTKVIAPDDANLSKDEILGSLQTQEESIWKLAARFLGNFAFIFSLSVALYAIAHLRLVKPNVVHGLEYFGIDLPDSIWEVVFCAILIIQGQKIFKAGRRFLYAWKQSGSDHGVKAHGDGWLLTVALIEGSGVLGAGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFDAMDDPPARLDVVMHDSDGPSKENPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSPRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKYHFQTFVSFNDAHRIIMALWKMRSVGVEQKGEMPEKNSEPKQLPCEEGSLLANEDVKMSEVYSAVLSVDVNALMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNTYQRHISFKFDKILSRFGEASTTQRKYNLVNRDGWVIEEVMTLQGVLHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGITWLKGAKQQKKVMKNVMSNSANRLREIFSEVEKELTARKGHPGPFSQNYPYMG >LPERR02G06580.2 pep chromosome:Lperr_V1.4:2:4500246:4506076:-1 gene:LPERR02G06580 transcript:LPERR02G06580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEPEGGGSAPAPAPVMRLCVHVVEARGLSAAYLSGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDEEFGFLVGAIAEELVVSVLNEDKYFSNDHFLGRVRFPLAAVMEAEGRSLGMAGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDDGGGDAAAAAGEELVVSVLNEEGYYFSAHFLGRVRFPLAAVMEAEGRSLGMAWYQLQPKSGGFRRKRRVSGEICLRIYLSFRTQVCDESRNMAMQLINYTPSSSMRSGGTNASSLSAASVSSLDLSACPSMERASCSSMDKMNQGIMNQQCHRSADQLPCISTERSILLEPEEDNDAPTSASSVVEVMSRYFCRKPVDATPSATSDYDSIDQFQDTQMSSESCENGDNGTLPESSFNELMKSMESKDKGSEMPENLRGGILVDQSYVIPPAEMNSLLFSAHSNFWPAVAEIQGLSGFQTEPWKHVDSDICLKRTLSYTKAASKLVKSVKITEEQTYLKATGNSFAVLSSVSSPEVPCGNCFKVEILYCIIPGPQLPSKEQTSHLVISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEILSQKTKVIAPDDANLSKDEILGSLQTQEESIWKLAARFLGNFAFIFSLSVALYAIAHLRLVKPNVVHGLEYFGIDLPDSIWEVVFCAILIIQGQKIFKAGRRFLYAWKQSGSDHGVKAHGDGWLLTVALIEGSGVLGAGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFDAMDDPPARLDVVMHDSDGPSKENPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSPRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKYHFQTFVSFNDAHRIIMALWKMRSVGVEQKGEMPEKNSEPKQLPCEEGSLLANEDVKMSEVYSAVLSVDVNALMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNTYQRHISFKFDKILSRFGEASTTQRKYNLVNRDGWVIEEVMTLQGVLHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGITWLKGAKQQKKVMKNVMSNSANRLREIFSEVEKELTARKGVS >LPERR02G06580.3 pep chromosome:Lperr_V1.4:2:4500246:4506076:-1 gene:LPERR02G06580 transcript:LPERR02G06580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEPEGGGSAPAPAPVMRLCVHVVEARGLSAAYLSGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDEEFGFLVGAIAEELVVSVLNEDKYFSNDHFLGRVRFPLAAVMEAEGRSLGMAGYSDPYVRLQLGRRRAKTTVVKRCLSPVWDDGGGDAAAAAGEELVVSVLNEEGYYFSAHFLGRVRFPLAAVMEAEGRSLGMAWYQLQPKSGGFRRKRRVSGEICLRIYLSFRTQVCDESRNMAMQLINYTPSSSMRSGGTNASSLSAASVSSLDLSACPSMERASCSSMDKMNQGIMNQQCHRSADQLPCISTERSILLEPEEDNDAPTSASSVVEVMSRYFCRKPVDATPSATSDYDSIDQFQDTQMSSESCENGDNGTLPESSFNELMKSMESKDKGSEMPENLRGGILVDQSYVIPPAEMNSLLFSAHSNFWPAVAEIQGLSGFQTEPWKHVDSDICLKRTLSYTKAASKLVKSVKITEEQTYLKATGNSFAVLSSVSSPEVPCGNCFKVEILYCIIPGPQLPSKEQTSHLVISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEILSQKTKVIAPDDANLSKDEILGSLQTQEESIWKLAARFLGNFAFIFSLSVALYAIAHLRLVKPNVVHGLEYFGIDLPDSIWEVVFCAILIIQGQKIFKAGRRFLYAWKQSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFDAMDDPPARLDVVMHDSDGPSKENPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSPRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGRLKYHFQTFVSFNDAHRIIMALWKMRSVGVEQKGEMPEKNSEPKQLPCEEGSLLANEDVKMSEVYSAVLSVDVNALMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNTYQRHISFKFDKILSRFGEASTTQRKYNLVNRDGWVIEEVMTLQGVLHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGITWLKGAKQQKKVMKNVMSNSANRLREIFSEVEKELTARKGVS >LPERR02G06590.1 pep chromosome:Lperr_V1.4:2:4507268:4511890:-1 gene:LPERR02G06590 transcript:LPERR02G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVTEYRKKLLSCRELESRVGTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSASYSADFGKE >LPERR02G06600.1 pep chromosome:Lperr_V1.4:2:4520185:4525944:1 gene:LPERR02G06600 transcript:LPERR02G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATDDAVKQLGLLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRKILPMLTEKFGRPVTTCIKILDMTGLRLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCHREGSGSSKHSSTDADDCFSLDHPFHKELYSHIEEQASHKELIKMGSLHVSIPEPDPDDAKIVQVIEAEFQKIGEQNGSTTNGHKV >LPERR02G06610.1 pep chromosome:Lperr_V1.4:2:4527379:4529413:-1 gene:LPERR02G06610 transcript:LPERR02G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPKSLILLIAAALALLLITSPPLCEAKRKSPSPSSSSPSFVGTYGVNYGRIADNLPPPTEVVKLLRMSRIKNVKIYDADHSVLDAFRNSGLNLVIAITNGEVKDIAASPAKAMDWLNENVQPYYPSTKIVGITVGNEILGGADSGLAEALVGAVANVHGALRMLRLEERIEVTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSGTGAPFYVNAYPFLAYMSDPQHIDVNYALFKPNSGIFDAKTNLHYDNMFEAQVDAAYFALEAAGYPNMEVRVAETGWASAGDPTEAGAGPENARIYNYNLRKRLFLRKGTPYRPNRPVKAYIFALFNENLKPGPSTERHYGLFKPDGSVSIDLGFKGLVPSSSTPYSLIPFKRARERGWIALVQYSSTLLSCTFIFLALI >LPERR02G06620.1 pep chromosome:Lperr_V1.4:2:4535512:4548510:-1 gene:LPERR02G06620 transcript:LPERR02G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGLRPLRSLPASYRRRSPLRRLCRSSARRRHRSSVDRAPVATNYSLQLQLDHHPLHPRRSQHGRGVTTGGDQWRKGGVSPARSAPASEAKERGRNHQRISLCGKCLYTGTMSRVPKWKIEKAKVKVVFRLQFDATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGEVDVNLAEFAEALKPASIALPLRGCEYGTILHVTAQLLTTKTGFREFEQQRETGARSTQQLVNQRSHDPAEVGLASSDIYSHKANSRIKLKESSLGFPLAEDSAGSTEDYENSSHNSDGLLAEKIDPYGGHEVNSFRTTTSGDLCPSSQSPTPEKGPFRSKHLSPQGSNDWSYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSQLKSEATSLQDVTDKLGTETHGFSQQLGVELMSRNQLSAEVSLLRTECSNLKRELQEMKSAKLLQQKANGEDDLMTTTGQGNQSTKFGNDSLVDTSVHNLHTQWLQGLLLLESKLQQTRNNALHVLQAADLDFLLADLGALQRVIENLKQGAQIGKMKENHSLEYLVPPTNAVHQPSLGHDHDSSKKTSGSTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEMELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKDFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIIESFPEEQSADLGAHQERGLYMSDPESQAFSGVNGTPDNPAYKMDGQISLLRALKMEEIRNRSEFQVLSNTNLQVDDSKIDKLEKTSSTTESEVLEMYGANIECQVFSDVLREAHCTALGTIKLMQERLHRLELQLGDSNDARDSLVHKLNSALDQAKSVKESEAEYILKCDDLMVKNQILEAKLQDMTLENTLLMEKFAESERHVQENKSCESKYKACAEDSKRFENLLMKESLQNSHLKDELRSVVENFEAMKKELHKQSTLNNDMQIVSASLQKQMNNACNDIISSSKDIGISGLDEASLLHELQKKNYAAVMASLEVFRKQSCQEVLRLRQEKEAAEVMCDALRSKKDKSELDFLDMKQKYQFDLDATKEKLNFSEEHMENLEKELQNMTNKFKISSETQEKYSIINADLTSRLAQMEGELQHITSENEALVEKLKDMAAIVEEHERTKVTLAESEEENKTLTQSLQSKDETLMHMENEIRSLQDDLRSSDENLLREKRLTEELQSTLSSVTSQLGQKDHALLSFDEHKTELNRLRDQVLDMESANSLMQDSLSQSEQIQMDLNCKNISLQSQLSNIGDQLAAALKDKLTTEAEVSHLRNLVEEINGQLDSLRYDLEKLQVKNKDSDQLLRAHMSTEAELADRIATLEADIHSLEIDLARVNQEKEGLEQLIERNKEQLAQVGTNKSRDIIESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLISTKDEVEILNMVLRSKLEEQRIEISSMLQDSGHELANLKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQTALDEVETARKNEISLAKRIEELSMKISEMELEMQDASDDKREMSNAYDSIVTELECTKLNFDCCMEEKQKIEATLQECDEERNRIRVELDLIKKLLENMALTDNPTVPDKTGSCTSGATSIGQILGDAKPGSSSKTTKNITEVDSRLQQEEDRIQSTNVSSNLAAEEDVRRFSERHENAKSGSSKNLEKERGSSLENHSTGKTAIKDISIEHGKLAVDLNNFQEELERLKNENLSPLLPLDINLTDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNMKSRSPR >LPERR02G06630.1 pep chromosome:Lperr_V1.4:2:4548629:4548922:-1 gene:LPERR02G06630 transcript:LPERR02G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAGVEDDDCSDVELGGQVVQIPFPGSRVPLSSSFSFDERSGMATTTDIRDDDDGNDNDRVGGDDAAYGRHGGRTEWPERKKIRTLMSILRSAN >LPERR02G06640.1 pep chromosome:Lperr_V1.4:2:4552935:4557523:1 gene:LPERR02G06640 transcript:LPERR02G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIADMPGAPAVEEQVNGKPTLLDGMEEHEGTHEVQLQANGDHSGESEVINPPEEVGGEATSHSDGKKPRPAKGTQSHGPKVVKSRSPKSGGEGQAKRSTPNSSVPKAPTARVFHTDPSIGSKTNGDSSVDRNKTEKNESRSGTKETSLEDSRDKRKTQKPLGQNSSVKKDEESNSESRKAGATPAYGFSFKCDERAEKRKEFYSKLEEKIHARELEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRLVRLSLDEKVSQNGVKKVAQSTAVKKPQRKSLPKLPSEESGPLDASQVKNTEDLHEQSSPTMQQEEAELNASIAKESIQDRIIPGVQELNEHLVV >LPERR02G06640.2 pep chromosome:Lperr_V1.4:2:4553623:4557523:1 gene:LPERR02G06640 transcript:LPERR02G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIADMPGAPAVEEQVNGKPTLLDGMEEHEGTHEVQLQANGDHSGESEVINPPEEVGGEATSHSDGKKPRPAKGTQSHGPKVVKSRSPKSGGEGQAKRSTPNSSVPKAPTARVFHTDPSIGSKTNGDSSVDRNKTEKNESRSGTKETSLEDSRDKRKTQKPLGQNSSVKKDEESNSESRKAGATPAYGFSFKCDERAEKRKEFYSKLEEKIHARELEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRLVRLSLDEKVSQNGVKKVAQSTAVKKPQRKSLPKLPSEESGPLDASQVKNTEDLHEQSSPTMQQEEAELNASIAKESIQDRIIPGVQELNEHLVV >LPERR02G06650.1 pep chromosome:Lperr_V1.4:2:4558735:4560693:-1 gene:LPERR02G06650 transcript:LPERR02G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDGGCLVSAPAEFAGMFCRGVQQRKRSMVAASAVAAAAAAECVVRAAKKQRQQYQQVRSLDALPDECLFEVLRRLPGARERASSACVSRRWLSLLCSIRSSELNLASSAPPMPSLPDLNEEFVMEEDDEVKEASPVTDLSVERVLEGKEATDIRLAAMAVVAGSRRGLEKLAVRGSHPARGVTDQGLLAVARGSPNLTSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAQGCPNLVSLTVESCSGVGNDGLRAIGRCCSRLQALNIKNCVNIGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLSAVGERGFWVMANAAGLQNLRCMSVTSCPGVTNLALASIAKFCPSLKQVSLRKCGHMTDAGLKGFTESARLLESLLLEECNGVTLVGILAFLVNCSPKFRSLSLVKCMGIKDICSMPAQLPLCKSLQFLTIRDCPGFTDASLAVVGMVCPYLEQVDLSGLREVTDSGLIPLINSSEGGLVKVDFSGCKNITDAAVSSLVKGHGKSLKQVSLEGCSKITDASLFSIAENCAELTELDLSKCIVSDNGVAMLASAKHLKLRVLSLSGCSKVTQKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >LPERR02G06660.1 pep chromosome:Lperr_V1.4:2:4564468:4564947:-1 gene:LPERR02G06660 transcript:LPERR02G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQLYIPLSSLRQSARSSARRREIARIPSSCNRPPSARPTEIEAAGDPSSGDRLPPSGEAHGDRSSGGSRLRRDRRRSKRRGSRLRRPRPHPAHHSSLRPAARRRGECGCAARELGSGAVTC >LPERR02G06670.1 pep chromosome:Lperr_V1.4:2:4568700:4569433:-1 gene:LPERR02G06670 transcript:LPERR02G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLCLTKPLAGHALTSARPIRAASPAVATFNALFSSAASDTTTTGAAPARADEGQPQEVAVVDRSRRRWPWRDLREFTPFRLVDGIGSALSQVAETLTRPLERLTWRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVEDGVLVIHGEKREAAEDGDGEWWTAAAAYGSYDARLLLPEDARAEGITAEVRDGVLYVTVPRAPGRKRSVTEVKVQ >LPERR02G06680.1 pep chromosome:Lperr_V1.4:2:4570029:4574613:-1 gene:LPERR02G06680 transcript:LPERR02G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCASLSSWVRRLVACVGNCFGCAKSTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPQGMENSAMQSQRSMSSIGTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQPILLGEMVDFLVDGWEQEGLYD >LPERR02G06690.1 pep chromosome:Lperr_V1.4:2:4580228:4580834:-1 gene:LPERR02G06690 transcript:LPERR02G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSGSLALAAATAVAVSGSLVIFSLCRAARSAATAAAAASGETESESEPSLRPCLSSGKLRREDGGEKRRRRRGERRVRDAAERMPANREALYRGMLRDRSSHRVAYSY >LPERR02G06700.1 pep chromosome:Lperr_V1.4:2:4591275:4595286:-1 gene:LPERR02G06700 transcript:LPERR02G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATQASVDHPRPATDSATTAAQQAAAMRGGGGGCGAAATMEHVLLALHETEAEREARIRGVFGFFDAAGSGHLDHAQIEAGLAALHHLPSSSPGKGDYARELLRACDGDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLWLYPHEATIENIYHHWERVCLVDIGEQAAIPERRSKHVSASKYLIAGGVSGAASRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGSLSRDIWIQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGIAGFYKGLVPNLLKVVPAASITYLVYETMKKMDISGM >LPERR02G06700.2 pep chromosome:Lperr_V1.4:2:4591105:4595286:-1 gene:LPERR02G06700 transcript:LPERR02G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATQASVDHPRPATDSATTAAQQAAAMRGGGGGCGAAATMEHVLLALHETEAEREARIRGVFGFFDAAGSGHLDHAQIEAGLAALHHLPSSSPGKGDYARELLRACDGDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLWLYPHEATIENIYHHWERVCLVDIGEQAAIPERRSKHVSASKYLIAGGVSGAASRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGSLSRDIWIQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGIAGFYKGLVPNLLKVELLLSFDRSMRCDLTFLEATQQGIA >LPERR02G06700.3 pep chromosome:Lperr_V1.4:2:4591275:4595286:-1 gene:LPERR02G06700 transcript:LPERR02G06700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATQASVDHPRPATDSATTAAQQAAAMRGGGGGCGAAATMEHVLLALHETEAEREARIRGVFGFFDAAGSGHLDHAQIEAGLAALHHLPSSSPGKGDYARELLRACDGDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLWLYPHEATIENIYHHWERVCLVDIGEQAAIPERRSKHVSASKYLIAGGVSGAASRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGSLSRDIWIQEGPRAFYRGLVPSLLDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGIAGFYKGLVPNLLKVVPAASITYLVYETMKKMDISGM >LPERR02G06710.1 pep chromosome:Lperr_V1.4:2:4609851:4611769:1 gene:LPERR02G06710 transcript:LPERR02G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATATDQDAHSNGGSRNSSTGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEEESTTEPATQPTSAATASQAAMDDEERIALQMIEELLSRSSPASPSHGEGEGSFVI >LPERR02G06720.1 pep chromosome:Lperr_V1.4:2:4616243:4620195:-1 gene:LPERR02G06720 transcript:LPERR02G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEENHPGDYLPCNELEETPVKERCFEQREALPGEPCCAICGRYGEYICDQTDDDICSVECKTVLLSRLSADTKPAVKAAKRVNLPVCDESVCIRDKIFPKIPTMHDGQIASLRSKLDICVNGEDVPDPIMCFSSSGLPEKLVLNLESAGYVMPTPVQMQVIPASICNRSLLVSADTGSGKTASFLVPIIAHCSQLRSQKCTDKRGPLAIILAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLSNVNVFVLDEVDCLLERGFRDQAMQIFQALSHPQVMMFSATVNSEVEKLSNSLAKNVIHISCGNPNKPCKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVNSRVGADLLSEAITVATGLEVVSIHGDKTMSERRESMRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQVLKTAGAPIPRELANSKYTTGIPLGNGRKRKLKSRGHT >LPERR02G06730.1 pep chromosome:Lperr_V1.4:2:4629048:4631852:1 gene:LPERR02G06730 transcript:LPERR02G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQILEMQQEWRDNFLSYKYLKKRLNLICLAAGAGAGERLSKRRRVGGGTAVTAAAVEVAGIGMTAEQAGFVALLDAELDKFNFFFLEKEEEYVIKQKELRERMPSADASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYEKRTGSVIRLPFIQKVLQQPFFTTDLLYKLVKECEEMLDQLMPETEPSSVLSEDSESDEKNWKPSSSSANGRAVLEQEEVENEKSVHMKSTVAALRALREIRSGSSTVSVFSLPPLQGNNGQEQERSKQE >LPERR02G06740.1 pep chromosome:Lperr_V1.4:2:4633400:4654796:-1 gene:LPERR02G06740 transcript:LPERR02G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRSLLSYPGPRASDRTQVEAKEVRLPDMPPVTLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDADLMYEIQNQIEALFSDDLRQRIITLLKELSREEPTGVGRPSSEPYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSMLKDCAAEVNENTSVELQITYGVLFSLVVTFVSDALSSSHERAFLSSSDSSFRRDFHELVMRSDNNVTIEGFVGVVRLAWTVHLMLTQDRSSARDTLTSSSRDVEDLWACLEIICRQNTFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEMKEKAMNSLSPYGAPRDHREDPSRSGDQVGQPCNLPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTSTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVFRSVAWSTLFDCLSIYDLKFKKSLQSSASMLPDFPEGDAEALAAYLGVLQKVVEHGNTTERRKWFPDIEPLFKLLSYENVSPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHSATQVYDMRFDLNEIEARRESYPSTISFLNLVNALIAEERNISDKGHRFMGIFKFIYEDVFGPFPQRAYADPVEKWELAVACLEHFRMVLSMYEIKDDDIYAAVNTSGPSTIPHSSIDRQLPVLELLKDFMSAKVAFRNMMNIILVGVDTLINERTTQTYGILLEKAVHISFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRQIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEYRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYHFFSKHIGTIGVSPLPKRNNNQSLRISMLHEVLDLLEVVQFRCPDTSIKYPQLLSNLRLESKVIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQSLNPQLNESEKNELKESFHHMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMLLDASLSATSSPDCSVRMAYILTNVALTCMAKLRDERFICPSGADSDAVTCLDIISAKQLSNAACNSLLFKLTMAILRSESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEGDDDELGLQKVLKEQNELARTNFSIIRKEAQTIIDLIAKDAIHGSEAGKAISFYEASLSSESSQRFCTIDAQLSLVLRISHHYGKHGAQILLSMGALHNLSSCNLMGSQKKVNTRLNSNVVKERAVEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKVVREVVDFAKQHQSVFSSILRENISGANISILERLNMVISILGKVLYDDDSKSGQQQPTLQLVSDLLNSITLAMERVAEEKYMLLNKIRDLNELSRKEVDEIIKVCMKQDCISPNDNIRKRRYIAMIELCCMSGNRDQLITLLLQIAECAVTILLVHFQDESCSKNLSSFSDDLLPILERLEHFKEDKVGRSLKLFHRSVTTLKEMTIRSMSFVVV >LPERR02G06740.2 pep chromosome:Lperr_V1.4:2:4633400:4654796:-1 gene:LPERR02G06740 transcript:LPERR02G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRSLLSYPGPRASDRTQVEAKEVRLPDMPPVTLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDADLMYEIQNQIEALFSDDLRQRIITLLKELSREEPTGVGRPSSEPYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSMLKDCAAEVNENTSVELQITYGVLFSLVVTFVSDALSSSHERAFLSSSDSSFRRDFHELVMRSDNNVTIEGFVGVVRLAWTVHLMLTQDRSSARDTLTSSSRDVEDLWACLEIICRQNTFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEMKEKAMNSLSPYGAPRDHREDPSRSGDQVGQPCNLPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTSTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVFRSVAWSTLFDCLSIYDLKFKKSLQSSASMLPDFPEGDAEALAAYLGVLQKVVEHGNTTERRKWFPDIEPLFKLLSYENVSPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHSATQVYDMRFDLNEIEARRESYPSTISFLNLVNALIAEERNISDKGHRFMGIFKFIYEDVFGPFPQRAYADPVEKWELAVACLEHFRMVLSMYEIKDDDIYAAVNTSGPSTIPHSSIDRQLPVLELLKDFMSAKVAFRNMMNIILVGVDTLINERTTQTYGILLEKAVHISFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRQIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEYRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQHIGTIGVSPLPKRNNNQSLRISMLHEVLDLLEVVQFRCPDTSIKYPQLLSNLRLESKVIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQSLNPQLNESEKNELKESFHHMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMLLDASLSATSSPDCSVRMAYILTNVALTCMAKLRDERFICPSGADSDAVTCLDIISAKQLSNAACNSLLFKLTMAILRSESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEGDDDELGLQKVLKEQNELARTNFSIIRKEAQTIIDLIAKDAIHGSEAGKAISFYEASLSSESSQRFCTIDAQLSLVLRISHHYGKHGAQILLSMGALHNLSSCNLMGSQKKVNTRLNSNVVKERAVEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKVVREVVDFAKQHQSVFSSILRENISGANISILERLNMVISILGKVLYDDDSKSGQQQPTLQLVSDLLNSITLAMERVAEEKYMLLNKIRDLNELSRKEVDEIIKVCMKQDCISPNDNIRKRRYIAMIELCCMSGNRDQLITLLLQIAECAVTILLVHFQDESCSKNLSSFSDDLLPILERLEHFKEDKVGRSLKLFHRSVTTLKEMTIRSMSFVVV >LPERR02G06750.1 pep chromosome:Lperr_V1.4:2:4658491:4660353:1 gene:LPERR02G06750 transcript:LPERR02G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAVATMVTRNNNKAGAGAGGGWFIPELSFPWMMSSSELDHRNTNKTLHLPRHAPLFASVSLNVSSAAAPAAKGGRVDNCDVARQLAAAEAADEASSATAKQQHGKTKAKKRFVLGKAIRVKIGNPHVRRLVSGAIAGAVSRTFVAPLETIRTHLMVGGGGIGGAATMGGVFRWIMRTEGWTGLFRGNAVNVLRVAPSKAIEARMLISFLSNHFTYDTAKKYLTPEEGEAAKIPIPTPLVAGALAGVASTLCTYPMELVKTRLTIEKDAYDNVLDAFVKIVRAGGPSELYRGLAPSLIGVIPYAATNFYAYETLRRLYRRTTGRPEVGPIPTLLIGSAAGAIASAATFPLEVARKQMQVGSVAGRHVYRHVAHAMYCILRAEGPAGLYRGLGPSCIKLMPAAGISFMCYEALKKILVEEDKVVGVEEEEVEEGDEKEKVA >LPERR02G06760.1 pep chromosome:Lperr_V1.4:2:4660383:4661109:1 gene:LPERR02G06760 transcript:LPERR02G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEKMEVDREWEAYGFGMDGWREAWALCFSFQASSNTKNKTVKETGHHPVGTNQDEHRTHTSSPSQESRYGS >LPERR02G06770.1 pep chromosome:Lperr_V1.4:2:4661171:4663493:-1 gene:LPERR02G06770 transcript:LPERR02G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVANLNIEGEGAAADAAGAGGAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTYTVDVKDDSRSRPIQKAKIEILLGKTDKFDELMAAAAEEREAAAEAEEQG >LPERR02G06780.1 pep chromosome:Lperr_V1.4:2:4665511:4668135:-1 gene:LPERR02G06780 transcript:LPERR02G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASARRMAAASSSRSNQLLAHALNPQVAFFFSPLYRVPPIGSVAKPGGFGAPRHGRSTTRWIHDRNKKAMELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGACKAIGAALLVEAANMGDPDAQYELGCHLRIENDHVHSDQQAFHYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASGKVGHSGAAIAYGSLLLKGAEVPEVITRFNSCKSPSTGKMRKRPIKHDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEIQQEQLKQIQQCPPSK >LPERR02G06790.1 pep chromosome:Lperr_V1.4:2:4673233:4676085:-1 gene:LPERR02G06790 transcript:LPERR02G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMDRRNQAPLVDSSACLCRAERSLAGAGAAAAARLMPRAKACVQPSLRASIHPLRPKSSPASDRRGGGAGAGQRPLIPGLPDDLAVACLIRVPRGEHWKMRLVCKRWLRLLAGNYFYGLRRRLGLAEQWLYAIRCDGDGRVSWDVLDPARRAWRALPPLPAEYAAGAVGFGCAVLGGCHLYLLGGRDPHRGGGGAMRRVVFYSARSNRWHRAPDMLRRRHGFACCAIGNRLYVAGGGMTSAEVFDPAKNRWSFVSDTPSPLSLPSVSAAHGGRWHVKGLGPQRQVVCHVYSPEANTWSPAVELDAMVAGWRTPSASLSVAAADDGGRRLYAADCKDGCRLRAYDEGAGAWSGVAVDGGIHAGSSATVEAAAMVELHGRICVVRNNMSVSVVDVAGGGDGSTPRWETVVGKGQMKALVASLISAIAGGRGRAKNRVLHCQVLEV >LPERR02G06800.1 pep chromosome:Lperr_V1.4:2:4678592:4680590:-1 gene:LPERR02G06800 transcript:LPERR02G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPELGLELPGGASTSGRKAGGGPQSLLRNRVSAQQARERKKAYLSDLEVKVKDLEKKNSELEERFSTLQNENQMLRQILKNTTVSRRGPGSSGGDGQ >LPERR02G06810.1 pep chromosome:Lperr_V1.4:2:4680841:4681056:1 gene:LPERR02G06810 transcript:LPERR02G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPELEDRSELDGRELVVCSCIFAGKKGIRARALLRRCFTSFSFALCVVWSQAAAAACVNHGPWELFLL >LPERR02G06820.1 pep chromosome:Lperr_V1.4:2:4694311:4695363:1 gene:LPERR02G06820 transcript:LPERR02G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWAADVAREKGIPRAMYWIQPATMLAVYYGYLHGDFERHVAEHAGEPGFEVALPGLPPMAIRDLPSFFTDLADRRLAAAFHGVRRTVEQVDIDRRIGEKPIVLVNTVEELESDVIAAAFPDLEILAVGPAIGDGNATSPPSKKANDMYEHDEKAYMEWLDGKAAGSVVYVSFGSMSATSKRQKEEIRRGLAAAARPFLWVVRRDDRDGDDDLAVDQVNGMVVEWCDQVRVLAHAAVGCFVTHCGWNSTLESVVSGTPVVAAPQWSDQDTNARLVEGWGVGVHAATGGDRVLEGDELARCVEKVMGQTEEAAAIRRSSIAWKATVEKTVAPGGSSERNLKAFLDRIANVA >LPERR02G06830.1 pep chromosome:Lperr_V1.4:2:4695715:4702332:-1 gene:LPERR02G06830 transcript:LPERR02G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRCVDVEVDGGRGNLVPDLASIRSTHAMCSSDCGTRERSAPLLLHQCLILGRAAAMDVVNVLASATQLVSAMLTAVGALEQAASNFAEAPRRLQVLEDFVSDLEVLMQQSKQKHAHKMHSPQLERQFQSLGRLIDQLHGNITKARRVLKKGKGKKGLARVVWSSVSGDPLMRYVQLIRDDLNWWLELQRLTQSVGSVIASTAKSTSSLVRVKSEHGYPVSKKCSYVRELLIKDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGSRDEYHKRLVRKICKFLVQIGSMSVNEDVGKDLEDVCCLLQTALVGRSMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYELLDRCGHHPLTVAVMGKALRKETRVEKWERAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALVQDTLFSLVISKLVEGSLIIKLEDQSMYHMHDMVSLYLENKTDEAVHTLLFNPFPECAALVAPWLFIFGKENTKERVEQKIRSFFSFLEFTEIEYLLGSTTQALMTCRSISEFEASRLGFSKILGPRMAELISVGSPSLIVAVTKSITVIFFQGDYKNLAQSLETAGSVDKLIHVLCSCEDSSTLVNVSTVLAKISEHVDAAIADEILATIPMDRIAILLSPENEEWHEIVFATLAALIKVGKLRAVETMIESGIVKKFLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMEPGVLTHLPWHARLSLERFVLFEPNVSPSPKPQQSFEVFLHKLLQTDSKDNIEAIQGLLPLAERANDLRVQDLLLGSNLSDRLASLLQRRDIESSQVRSHTAFLVMKLACTGGEPYVHRFLDVNIVHELIDIMQCNINDLQDSAYDALQQIIIAKGGSLVLQKFLQSGTVEKLVNFLDRKCLKTKELTVQFLIDIALVGNKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNIQSAERAVMKQQILRKVRSAVRGHNLEASLVASVVACISEKGSSSSRRKKRKQFGEEEDDLVIQPRFIGQSGRQTVNVNIFLG >LPERR02G06840.1 pep chromosome:Lperr_V1.4:2:4706504:4713988:-1 gene:LPERR02G06840 transcript:LPERR02G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNLTDRLSSPPAAAAAADDDDDSTSPGTDKMNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAAGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCSCGAHNFASRSSCFKCAAFKDEAAVNGGGAGGFDGDMSRSRGYGFSGGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSDLALIPIWDPVDL >LPERR02G06850.1 pep chromosome:Lperr_V1.4:2:4717934:4719385:-1 gene:LPERR02G06850 transcript:LPERR02G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALGAAATWPEAAIQCLLFLLAAAMLLALHSLPRRAAHRLRRASSSSSSNSTQSHRHFAQGAQLLARARAAAASSSGPTPKPKPNKAPGPLARAAVAEADLAIALDPRDAAPLILKALALDLQGHRLPALRALDAALSPPLARSLEPRERGTRRPPTSPRPPRNARAHALLGECYERKGMADEARVAFEAAASIDPSLATAARDRIEGSDDGDENIQH >LPERR02G06860.1 pep chromosome:Lperr_V1.4:2:4722433:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHTPLSQRPRGTRSARSKLSQPQGQNPVLFPACGAAADRAPAPSSFGSAARSTPPRAGIPPRKLTAPESPLLPAHMLPVEKMAKKKNPIVFMDVSIGDEPDERMIFELFADVAPRTAENFRALCTGEMGVGKTTKKPLYYKGSLFHRVIKGYIAQGGDFSNGDGSGGESIYGGTFEDENVDLRHDDRGLLSMANAGRPNTNGSQFFITFRPNARLDRKSTVFGRLILGNDVLKRIEYVNVHGDDSTPAVPVRIVDCGELIDGKGHGSVMIENDKKRAVKSKLSKDVSSDEENDEGKRKRHHKKSSKRKKRRRYSSSESESSSESESELSDSESESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMVESDTENGSASDSSLEDDRSKRHRRRRKSKVSSQVSGENHTALAVLKDGTSTQQKSATPRSPGQEDKSPQENGETRTNGVTESKTERNANVPLTCNRSKSRSQSMSANHSMSKSMSISPRRSPIKRPAISLKKSSVSRSPVVQSHARSPVRAPERSVSRSPPQEKSISMSPNRRSVRRSGSRSPPHMSPCRRSLSKSPPRSARSESRSPLRMSPRRRSVSKSPPRSARRSLVARRSRSPVKARTRSISRSSARSMQRRSRSRSLERSPTRKRVSQSPPNMDKGRSISRTSGRFPLRRSVSRSPDRPYRRIPSRSPQKNARRSISRSPVRASKRSISPVRGGRSRRSVSRSASPPRRAISPVQNHGRSPSRSPSPDGSKRVRRGRGFTNRYSFARQYRSRSPDHSYRYGGRGDRDRHMGYRGSRQRSPPRRYRSSPSRGRASPRRSRYRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAVGKLRSHGDRSRSMSRSRSLSGSRSRSPPPVHNRSPLASPSPKRASNEKSRSPSASSEGKKGLVSYGDGSPDSAGK >LPERR02G06860.2 pep chromosome:Lperr_V1.4:2:4722433:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHTPLSQRPRGTRSARSKLSQPQGQNPVLFPACGAAADRAPAPSSFGSAARSTPPRAGIPPRKLTAPESPLLPAHMLPVEKMAKKKNPIVFMDVSIGDEPDERMIFELFADVAPRTAENFRALCTGEMGVGKTTKKPLYYKGSLFHRVIKGYIAQGGDFSNGDGSGGESIYGGTFEDENVDLRHDDRGLLSMANAGRPNTNGSQFFITFRPNARLDRKSTVFGRLILGNDVLKRIEYVNVHGDDSTPAVPVRIVDCGELIDGKGHGSVMIENDKKRAVKSKLSKDVSSDEENDEGKRKRHHKKSSKRKKRRRYSSSESESSSESESELSDSESESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMVESDTENGSASDSSLEDDRSKRHRRRRKSKVSSQVSGENHTALAVLKDGTSTQQKSATPRSPGQEDKSPQENGETRTNGVTESKTERNANVPLTCNRSKSRFPILLHTSIILCAYCVHEQCFI >LPERR02G06860.3 pep chromosome:Lperr_V1.4:2:4722433:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHTPLSQRPRGTRSARSKLSQPQGQNPVLFPACGAAADRAPAPSSFGSAARSTPPRAGIPPRKLTAPESPLLPAHMLPVEKMAKKKNPIVFMDVSIGDEPDERMIFELFADVAPRTAENFRALCTGEMGVGKTTKKPLYYKGSLFHRVIKGYIAQGGDFSNGDGSGGESIYGGTFEDENVDLRHDDRGLLSMANAGRPNTNGSQFFITFRPNARLDRKSTVFGRLILGNDVLKRIEYVNVHGDDSTPAVPVRIVDCGELIDGKDKKRAVKSKLSKDVSSDEENDEGKRKRHHKKSSKRKKRRRYSSSESESSSESESELSDSESESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMVESDTENGSASDSSLEDDRSKRHRRRRKSKVSSQVSGENHTALAVLKDGTSTQQKSATPRSPGQEDKSPQENGETRTNGVTESKTERNANVPLTCNRSKSRFPILLHTSIILCAYCVHEQCFI >LPERR02G06860.4 pep chromosome:Lperr_V1.4:2:4722588:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHSMSKSMSISPRRSPIKRPAISLKKSSVSRSPVVQSHARSPVRAPERSVSRSPPQEKSISMSPNRRSVRRSGSRSPPHMSPCRRSLSKSPPRSARSESRSPLRMSPRRRSVSKSPPRSARRSLVARRSRSPVKARTRSISRSSARSMQRRSRSRSLERSPTRKRVSQSPPNMDKGRSISRTSGRFPLRRSVSRSPDRPYRRIPSRSPQKNARRSISRSPVRASKRSISPVRGGRSRRSVSRSASPPRRAISPVQNHGRSPSRSPSPDGSKRVRRGRGFTNRYSFARQYRSRSPDHSYRYGGRGDRDRHMGYRGSRQRSPPRRYRSSPSRGRASPRRSRYRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAVGKLRSHGDRSRSMSRSRSLSGSRSRSPPPVHNRSPLASPSPKRASNEKSRSPSASSEGKKGLVSYGDGSPDSAGK >LPERR02G06860.5 pep chromosome:Lperr_V1.4:2:4722588:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHSMSKSMSISPRRSPIKRPAISLKKSSVSRSPVVQSHARSPVRAPERSVSRSPPQEKSISMSPNRRSVRRSGSRSPPHMSPCRRSLSKSPPRSARSESRSPLRMSPRRRSVSKSPPRSARRSLVARRSRSPVKARTRSISRSSARSMQRRSRSRSLERSPTRKRVSQSPPNMDKGRSISRTSGRFPLRRSVSRSPDRPYRRIPSRSPQKNARRSISRSPVRASKRSISPVRGGRSRRSVSRSASPPRRAISPVQNHGRSPSRSPSPDGSKRVRRGRGFTNRYSFARQYRSRSPDHSYRYGGRGDRDRHMGYRGSRQRSPPRRYRSSPSRGRASPRYRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAVGKLRSHGDRSRSMSRSRSLSGSRSRSPPPVHNRSPLASPSPKRASNEKSRSPSASSEGKKGLVSYGDGSPDSAGK >LPERR02G06860.6 pep chromosome:Lperr_V1.4:2:4722588:4731675:1 gene:LPERR02G06860 transcript:LPERR02G06860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHSMSKSMSISPRRSPIKRPAISLKKSSVSRSPVVQSHARSPVRAPERSVSRSPPQEKSISMSPNRRSVRRSGSRSPPHMSPCRRSLSKSPPRSARSESRSPLRMSPRRRSVSKSPPRSARRSLVARRSRSPVKARTRSISRSSARSMQRRSRSRSLERSPTRKRVSQSPPNMDKGRSISRTSGRFPLRRSVSRSPDRPYRRIPSRSPQKNARRSISRSPVRASKRSISPVRGGRSRRSVSRSASPPRRAISPVQNHGRSPSRSPSPDGSKRVRRGRGFTNRYSFARQYRSRSPDHSYRYGGRGDRDRHMGYRGSRQRSPPRRYRSSPSRGRASPRRSRYRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAVGKLRSHGDRSRSMSRSRSLSGSRSRSPPPVHNRSPLASPSPKRASNEKSRSPSASSEGKKGLVSYGDGSPDSAGK >LPERR02G06870.1 pep chromosome:Lperr_V1.4:2:4731743:4735912:1 gene:LPERR02G06870 transcript:LPERR02G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATAATAAAAMVWFRKGLRVHDNPALDAAQRFGSGGGGARLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLSDLDSRLRGLGSRLLLLRAPDVAAGDVADTVCAALKDWNIGKLCFESDTEPYALVRDKKVMDFAAASGIEVFSPVSHTLFDPSEIIEKNGGQPPLTYQSFVAIAGEPPEPVMEEYSDLPPIGDTGEYELLPVPKVEELGYGDISQEELSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSAKKHTNPPVSLAGQLLWRDFFYTVSFGTPNFDQMKENKICKQIPWTENEELFLAWRDGRTGYPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPISFGKKYDPNGNYIRHFVPVLKDMPKEYIYEPWTAPLSIQKKAKCIIGKDYPKPVVDHKTASKECKNKMGEAYASSRLDDKPDKGKSSNSSRRKLSVGSQETPNSSKTKQLKRNS >LPERR02G06880.1 pep chromosome:Lperr_V1.4:2:4737216:4740613:1 gene:LPERR02G06880 transcript:LPERR02G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKRTKVEVLQKGDAPLGSWRLAEVVSGNGHTYLVSYDSCPTHSSVAAERVPRKAMRPLPPPHDGLVCWNKGDIAEVFDSYAWKVAEVVRLLGFSCYLVRLLGSSLELEVHASNLRMRHLWEDGKWVANPKDFAKCLGGSPRNQLRCGKVGHELVHASMDIHLLLKNKKVSEGNTFQGMKRKSSAISGIPMQYNKVSKRFRKSHIDGRCLPLVVHRDPLHLMDKVDALDSLSDRANGFHKTNLAAANTNFDYRDPDITTQDSDTVSVSSVGSCDPCGSPYGPAHSQEYDCANVCSRTDDAKASVSGRESHGRNDDAEASVSGRESPPPLNDGMKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRNLVSS >LPERR02G06890.1 pep chromosome:Lperr_V1.4:2:4758615:4760974:-1 gene:LPERR02G06890 transcript:LPERR02G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFAGGGGVGWRWVMAAAVGIWVAMQVAVRIADAVWWRPRRLEAHFAAQGVRGPPYRFLLGSVREMVALMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGNRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGHKWALHRRVLTPAFFPDNLHRLIPHVGKSVAAVAAKWAAMAEGKGEVEVDVAEWFQAVTEEAITRATFGRSYEDGRVVFAMQGQLMAFASEAFRKVLVPGYRFLPTKKNRLSWRLDREIRRKLTTLIGRRSDEAAAEEEEKEKSNGAGSSFRDLLGQMINAGSSGGGGGEKKKSSSPATAIPVEEMVEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGGAGELPTKEHLPKLKTLGMIISETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLIPIMAIHHDTRYWGPDAAQFNPGRFANGATKAAKHPLAFIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLSSCSSDSTGS >LPERR02G06900.1 pep chromosome:Lperr_V1.4:2:4792325:4794249:-1 gene:LPERR02G06900 transcript:LPERR02G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSLLRRVYLAGSGGSAARLFDSAASGVAHSGANLLSIKHLTPCSWIRPFGDSIISSDGVRTTNGFCVNTLSMRGLSTVGAAEVSVEEESSNAPAVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIVQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >LPERR02G06910.1 pep chromosome:Lperr_V1.4:2:4795603:4797289:-1 gene:LPERR02G06910 transcript:LPERR02G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSMAAFSPLLLLLFSAIRMALAITDGLLPNGNFERGPPPSQLRGTQVIGSTAIPSWETSGFVEYIPSGKKQGDMVLVVPEGAFAVRLGNEASIRQRLAAGAGGGAARYALTFSAARTCAQAERLNVSASGQSALLPMQTMYSSNGWDSYSWAFDVVAGGENAVDVVIHNPGVADDPACGPLIDSIAIRTLNPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPPMVVEEHSPLPGWMVESLKAVRYIDGDHFAVPRGRRAVELLAGRESAVAQVVRTVPGRRYAMSFTVGDAGNGCVGDMAVEVFAGRESAKVAYRSDGRGGARRGVLEFTAAAARTRVVFFSSFYRTRSDDMSSLCGPVLDDVAVVSVRALRPTPAKRG >LPERR02G06920.1 pep chromosome:Lperr_V1.4:2:4801052:4805122:-1 gene:LPERR02G06920 transcript:LPERR02G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAATGDEAAAAKGRGGGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >LPERR02G06930.1 pep chromosome:Lperr_V1.4:2:4805898:4812707:1 gene:LPERR02G06930 transcript:LPERR02G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPDAAPREIDKSPGSIRTVAWLHSDQSILSCCTDMGGTRLWDVRSGKIVQTLETKAPVTSAEVSQDGRYIITADGSTVKFWDANYFGLVKSYTMPCNVESASLEPKVWVHVFDFFTGEEINVHSMAPNCSH >LPERR02G06940.1 pep chromosome:Lperr_V1.4:2:4814413:4815921:1 gene:LPERR02G06940 transcript:LPERR02G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKNAPFAAASSAPPHFVIVPHAGQGHLIPMVDLARLLTTRGARVSFITTPLTAERLRGVADRAARDGLTLDIVALPFSAADAAGLPADCENTDRLTDNAQFLPFVNSMRALAAPFESFLRSTPMRPCCIVSDGSNPWAVEPARRLGIPRLFFHAVSCFYSLCDLNTTQHRLHEKLLAADEKTSHVIPGMPVRVEVTRDTCPGYLVEEGWVVLHNEAMEALRTADGMVINTFAELEPQFIAAFDKALGGDKPVWTIGPLFINNRDDAALSAAHRNNHHEVAIDAWLDGQAPRSVVYVNFGSLARKLPAQLFEVGHGLEDSGKPFLWVVKESEVTSPEVQDWMSAFESRTAGRGLVVRGWAPQLAILSHGAVGGFVTHCGWNALLEAIVNGVPMVTWPHFADQFLTEKLAVDVFGVGLSIGATRPVLILDDESVKVTRGDVARAVSELMGDSEEAEERRRKTGEYGEKARRAMEKGGSSYENLTRLIERFTRVEGKKNTKE >LPERR02G06950.1 pep chromosome:Lperr_V1.4:2:4837157:4838140:-1 gene:LPERR02G06950 transcript:LPERR02G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKNAAPPPHFVIVPHAGPGHLIPMVDLARLLATRGARVSFVTTPLTAERLRGIADGAARDGLALEIVALPFSAADAAGLPADCENTDRLTDNSQFLPFVNSMRALAAPFESFLCSTLASHRPCCVVSDGSNPWTVEPARRLGIPRLFFHAVSCFYHLCDHNAAEHGLHEKILADDEKTSHVIPGMPMRVEVTKYTCPGYLVALHNESWLDGQAPRSVVYVNFGSLARKLPAQLFEVGHGLEDSGKPFLWVVKESESSSPEVRGWMSAFESRTAGRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSLLEAVAHGVPVVTA >LPERR02G06960.1 pep chromosome:Lperr_V1.4:2:4848711:4858321:-1 gene:LPERR02G06960 transcript:LPERR02G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRPVFYDLPFRWVMVDIAVAAESGEEVKTTLVLADDDLVFAGFANSSGHWHITEDFYRSGLPDPITKVPIRHNYFDLIGGHWNLSKVPLGKQSAIQAARTFASYSSAMTSVEQLRQAFLWLYVMLTEAMRLRAIRNTFVGRWEEESFIYPGHAEYVVYWGQLSYVLLRWQQSHFQHWPDPGSIVGQSLKDIDVNNPNDALQIDFRGGLPDPVTTIPIAPNYNDQVDHGVLNKLCEVPLGKKPAIEAVRTLASYNSATTTEAELRQAFARIYLMTTEALRFKAIRNTFAGRWEEESFICPDHAEYVWFIGDMLFYALVRWQQNRFEHWPDSDSILDQSLKSINVNNANDALQIVDFLLAASPAMAPPENSSKSPPPPHFILSPLAAHGHLIPMVDLARHLASRGARASLVTTPLNAARLRDVADRAARDGHPLDLVELPFSPSDHDPNLPPDSHNVDKLTNNAQLAPFVNALRHGLAAPFDAYVSSLHPRPSCIISDWCNTWTVDPARRLGIPRLFFHGPSCLYSLCDLNAVAHGLHEQIASSTDHNEAHLVPGVPLRVTVTRSTIPGYYNAPGCEALRDEAIAAMRDADGVVVNTFADIEAQFVACYEAALGKKSVYTVGPLCIDSRDDVAMADLLGDGNGNAADVDDQQRAVAAWLDAQVPGSVVYVSFGSVLRKLPSHLFEVGHGLEESGRPFVWVVKESEAAATPEMRDWLHDFVSRTATRGVVVRGWAPQVAILSHVAVGGFVTHCGWNSMTEALAHGVPVVTWPHFSDQFINEQLAVDVLGVGVRIATSTGRPVMLLNDGSAPVVRGDVARAVSELMDGGEEGEERRRKAKEYGEKARRAMARGGSSYENVTRLIERFVRSGVEEEH >LPERR02G06970.1 pep chromosome:Lperr_V1.4:2:4858333:4869174:-1 gene:LPERR02G06970 transcript:LPERR02G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMEEEPVSATPPPPSPPHFVIVPLPAQGHTIPMVDLAFLLAERGARATLVVTPANASRLRAAAGLAARANLPLEIVELPFPPPAETGLPAGVENVDQVTDYAHFLPFFTALRSLASPLESYLRSLPSPPSCLISDWCQSWTSDVATRGGIPRLFFHGPSCFYSLCDLNAAAHGLQPQHGERFIVPGMPVRVEVTKETRPGFFKEPGWEAIHEASMEAMRTADGAVVNTFVGLESQFVSCYETALGKPVWALGPFSLYNRDADMMASRGNDNTSDVDAQTWLDEIDEDDSVIYVNFGSLARKPPKYLFEVGHGLEDSGKPFLWVVKESEVISPEVEQWMSAFVLRTATRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSMLEAIAHGVPVVTWPHFADQFLNERLAVDVLGVGVPIGVTMPVMLFGDEAVPVTRGDVARAVSALMDGGEEGEERRRKAKEYGEKARRAMEKGGSSYESLTQLIDSFTLQAMETTEQASQPPPHFVLVPLAAHGHLLPMVDLARLLASRGVRVSLATTPLNVARLRGVADQAAREKLPLELVELPFSPSAETGLPPECQNADKIADDAQLVPLLNAMRDALAAPFDAYVRALRPKPTCVVSDFCNPWTAAVSRRLGIPRLFFQGPSCFYSLCDLKSAVHGLQDRIVADEHGTAFVVPGMPVHVTVTRGTAPGFYNAPDFDALRHEAMEAMRTADGAVVNTFADLEAQFVECYEATLGKPVWALGPFCLGNRDDTLMASCGSTVLSVDQRALAAWLDEQATGSVVYVNFGSLVRKAPAQLFEIGHGLEDSGKPFLWVVKESEATAATAEARDWLDAFVARTATRGVVVRGWAPQVAILSHRAVGGFVTHCGWNSLLEAVAHGVPVVTWPHFADQFLNEKLVVDVLGVGVPIGVTAPVQIMDDVSLPVSRRDVARAVLELMGDGEVANERRRKAKEYGEKARRAMEKGGSSYECMTQLIQSFMPSGVTE >LPERR02G06980.1 pep chromosome:Lperr_V1.4:2:4874466:4875476:-1 gene:LPERR02G06980 transcript:LPERR02G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRDPPAPATKYFWGDAPEPDEYYASLGLRHTEAYYQSPHGRLFTHSFHPLTAADDGDVKAIVFMTHGYGSDSSWMFQTMAIAYAQWGYAVFCADLLGHGRSDGIHGYFGDMDTVADASLSFFLSVRKSQPYSHLPAFLLGESMGGAATLLAYLRSPRESQSPQWNGIILSAPLLVFPDGLNPSRIRLFLYGLLFGIADTWAVMPDRKMVGKSIRDPEKLRVIAANPRRYAGPPRVGTMRELARVTAAIRERLGEVTAPFLAVHGTDDGVASPEGSRLLYERAASEDKSLILYDGMYHSLVQGESDENRDRVLADMRAWIDERVRRYGGRAEA >LPERR02G06990.1 pep chromosome:Lperr_V1.4:2:4878708:4881816:1 gene:LPERR02G06990 transcript:LPERR02G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNRFVIDKSAAPVVARKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMANFGLTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEREKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQTQEPESTTPEKSGQQSQ >LPERR02G07000.1 pep chromosome:Lperr_V1.4:2:4884193:4888821:1 gene:LPERR02G07000 transcript:LPERR02G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCLPHHATASDPTVSSPTPTPTHSARSSSRGSSREREREGEGEGKPKTLDLVAGGGEMAGVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRIRGKCYGFVTFTHADAAQHAISGMDGKRIHRRVVRVNEVRTRGAREFGRDGFRREPERDPYWERRDRERSYDRDRDPYHDRDSDRSRERGRDRFYEPRGFDQEIDYPMDQDHGDERHRDYDRVAEMHNIDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVNQIKDKIAAKDQHIADMLKRSQKLEDELNAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLVDDAMGEVDIGEDATTKDGSLYENGVA >LPERR02G07010.1 pep chromosome:Lperr_V1.4:2:4890631:4897450:1 gene:LPERR02G07010 transcript:LPERR02G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILGVAAGDGIAGGVEEVDIEGLGMKERRNLLERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKVMDALSAMRIVPSGKRPISILHDINGIIRPGRMTLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSDAFKEFHVGRNLGSELRVPFDRSRNHPAALTTSKYGISKMELIKACFSREWLLMKRNSFVYIFKVLQLIILGTIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWLLKIPISFLECAVWICMTYYVMGFDPNVERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLVLGGFLIARDNIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKLRGIFVDANWYWIGVGALLGYIMLFNILFILFLDWLDPLGKGQAVVSEEELREKHVNRTGENVELLALGTDAQNSPSDGRGEIIGAETRKRGMVLPFTPLSITFDNIRYSVDMPQEMKEKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYKNSDLYQRNKALISELSTPPPGSKDLHFPTQFSQSFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTAAKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITYATLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAVLFAFVFAFSIKVFNFQRR >LPERR02G07010.2 pep chromosome:Lperr_V1.4:2:4890631:4897450:1 gene:LPERR02G07010 transcript:LPERR02G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYKNSDLYQRNKALISELSTPPPGSKDLHFPTQFSQSFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTAAKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITYATLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAVLFAFVFAFSIKVFNFQRR >LPERR02G07010.3 pep chromosome:Lperr_V1.4:2:4893059:4897450:1 gene:LPERR02G07010 transcript:LPERR02G07010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLNWQVTSRKDQHQYWCRRDEPYRYISVNDFSDAFKEFHVGRNLGSELRVPFDRSRNHPAALTTSKYGISKMELIKACFSREWLLMKRNSFVYIFKVLQLIILGTIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWLLKIPISFLECAVWICMTYYVMGFDPNVERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLVLGGFLIARDNIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKLRGIFVDANWYWIGVGALLGYIMLFNILFILFLDWLDPLGKGQAVVSEEELREKHVNRTGENVELLALGTDAQNSPSDGRGEIIGAETRKRGMVLPFTPLSITFDNIRYSVDMPQEMKEKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYKNSDLYQRNKALISELSTPPPGSKDLHFPTQFSQSFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTAAKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITYATLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAVLFAFVFAFSIKVFNFQRR >LPERR02G07020.1 pep chromosome:Lperr_V1.4:2:4900536:4901112:-1 gene:LPERR02G07020 transcript:LPERR02G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPSQSEDRKLSAMEHVKKRHEEKGLLYACFFMLCCCFCCYEACEHCLECFCCCCKKDD >LPERR02G07030.1 pep chromosome:Lperr_V1.4:2:4903584:4910087:1 gene:LPERR02G07030 transcript:LPERR02G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTSMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYYIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQPSPGSKKLHPSVASPKVQILKSTQSQSSNKRPAQKETPSRGQTQQLESVRSKFRESLSAALRTDSDQQNKNQSSESVQPDEAADQKKQVEEVDADAVMTTSQGVSAVKSEVLTGVDAERCEDLVSNTITQINADTQQQPENVSIQDEVFGQYTIVADELLQGHGLCWVSDFDSGITEPTTQPDLKRPRTSDIEPGVAGSLSESESKRMKSSDDEESIDKDNTIQKADDLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSQWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLAQVPSKASEDQTKSDDKESTDDKAGTTEKEKAPDGTSQDEDNGTGNKDLQDDLEYVDNEKSDLMQELMVDDMKDTENLPPIPSLDEFMQGLDSEPPFENISVGTPEEDSDDRDEPGTTVDSEEPPEKEIKISSPEKIESEANLPSSQEKIESKLESPKNELSPKLGLVEPREEKPVKSSPDRVEAKQIVAENVQNHDTTAHNKTTALPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPRSRSRAIMVTELCWKEGSQESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPPQGKTVEILSRHLPKEHLESLIVPASSIIGVIVWRRPNIPRTPAHPRHDVLRRPSILKKPQVTGSTSGSRPSLPMNLHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAYKGRQHVPPTSARPVEQMRQLVQKYGKRSSVQARPWDDDDDDDIPEWNPNQVTHQPTRQQLPATPTQQQSLPPPPPPRQQMHSYQHQQQLYHQNALQPQIPSNSLPQAYLRTQQPVQQLPLIQQQQQQLQPAQAWQQPNAWWPAQAAAPASVVQQSQYGVNLIPNSNNNSAQRYGSGTWRPR >LPERR02G07030.2 pep chromosome:Lperr_V1.4:2:4904689:4910087:1 gene:LPERR02G07030 transcript:LPERR02G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTSMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYYIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQPSPGSKKLHPSVASPKVQILKSTQSQSSNKRPAQKETPSRGQTQQLESVRSKFRESLSAALRTDSDQQNKNQSSESVQPDEAADQKKQVEEVDADAVMTTSQGVSAVKSEVLTGVDAERCEDLVSNTITQINADTQQQPENVSIQDEVFGQYTIVADELLQGHGLCWVSDFDSGITEPTTQPDLKRPRTSDIEPGVAGSLSESESKRMKSSDDEESIDKDNTIQKADDLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSQWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLAQVPSKASEDQTKSDDKESTDDKAGTTEKEKAPDGTSQDEDNGTGNKDLQDDLEYVDNEKSDLMQELMVDDMKDTENLPPIPSLDEFMQGLDSEPPFENISVGTPEEDSDDRDEPGTTVDSEEPPEKEIKISSPEKIESEANLPSSQEKIESKLESPKNELSPKLGLVEPREEKPVKSSPDRVEAKQIVAENVQNHDTTAHNKTTALPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPRSRSRAIMVTELCWKEGSQESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPPQGKTVEILSRHLPKEHLESLIVPASSIIGVIVWRRPNIPRTPAHPRHDVLRRPSILKKPQVTGSTSGSRPSLPMNLHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAYKGRQHVPPTSARPVEQMRQLVQKYGKRSSVQARPWDDDDDDDIPEWNPNQVTHQPTRQQLPATPTQQQSLPPPPPPRQQMHSYQHQQQLYHQNALQPQIPSNSLPQAYLRTQQPVQQLPLIQQQQQQLQPAQAWQQPNAWWPAQAAAPASVVQQSQYGVNLIPNSNNNSAQRYGSGTWRPR >LPERR02G07040.1 pep chromosome:Lperr_V1.4:2:4912487:4912885:1 gene:LPERR02G07040 transcript:LPERR02G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLRLALPLLLALSFSVAGSAAAAKLGGGGGGHGPLVGGWSPIKDVGGDRHVQELGGWAVERHAALASDGLRFRRVVSGEQQVVSGMNYRLVVDAADPKGTTASYVAVIYEQSWTNTRQLTSFDPAPKPH >LPERR02G07050.1 pep chromosome:Lperr_V1.4:2:4916456:4917595:-1 gene:LPERR02G07050 transcript:LPERR02G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELIPGLPEEVARECLLRVGFDQLPTARATSRRWKAEVESPFYHRLRRAHGLARPLLALAQAQPPLTASGPAHKYAGLSTSYRLVLHDPLADEWAPLPPLPGGAGLPLFCQLAAVAACGGGERRMLVVVGGWDPDTWAPTDAVHVYDFVSGAWRRGASVPGPRRSFFACAAAGRWVFVAGGHDEEKNALRSCAAYDAEADKWAPMPDMAAERDEARGLCVGGNKFVVVGGYPTAAQGRFVGSAEVFDTAAWAWGPVLERVIDDGACPRTCCAAPEDGAAAMYMVRDGELAARDDAVARDGEAWRAVARMPEEGRAVTALAAIGDGRFVAVGAGSHGGEQAVYVLDGAAAGAAARSWTRAAAPAEFAGNVQAACCIQV >LPERR02G07060.1 pep chromosome:Lperr_V1.4:2:4924324:4931602:1 gene:LPERR02G07060 transcript:LPERR02G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSTPGLKEDERNERIIRGLLKLPANKRCINCNSLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDTQRNSYPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYDQNDYKKSPRSFEVDDRNREDRSGKTTPVQRFEDCRSSESQRPNGSPNYHKETDGSSPVIRPVRDILGDNVPQLRVGEPPKPNIARPIDPPRPIDPPRPIDPPKTIDPPRQKGTRTIEPPPQIQRTSTASSIGSSEGPSEQIKVASTISLIDFSADSEPSASVPPPQSMPTSQQHSANAQPVQPVNASAQQHVLEQGKNVSSVSSGGGDWASFDSFGQQQTPQVGNSVNPLESALAQLSFSETPSAPSASAFPASATPTSVPNDGVSSMLGQSHSSFFGEPLGVSSQQASTGTSIHGSSAQQTGLAAPTAGLPSQDSASYRATSGIQETAPSTDSRSIGRKELPADIFTSLYPRGAPTMGGWQRTPQFGMGYAMPYQIAMGMQAYPQIAFAQPAYQQPVYQQHAYPQPVKASNPFDLGNEPAPIQAHTQQPLPATLGASAGMTPPALHGTSNFGVLQQQPQQLYQPPAPPNHYMMQQVPNMSEQLPNSMLPMQQGGLGSLNMGFDQQAPRYPQPSTPPSYGSVGGNPFG >LPERR02G07070.1 pep chromosome:Lperr_V1.4:2:4932682:4943996:-1 gene:LPERR02G07070 transcript:LPERR02G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIVDGERLPLAVKELGACDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQEKKSIRPTFSAEHIFGGLLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIATEAQSESKWKQLGELAMSSGKLDLAEECLLHATDLSGLLLLYSSLGDAEGITKLACVAKEQGKNNVAFLCFFMLGKLEECLQLLIDSNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALDVEANAAPKRGIYPPAEDYIIHAERSNETLVEAFKNMQIHQEEELPVENGDDADEAVEDDAVEESQEDAAEVEADGSTDGAVLVNGHDTEEQYGHYFGMFNRDTRVIPFSLSVN >LPERR02G07080.1 pep chromosome:Lperr_V1.4:2:4960986:4970106:-1 gene:LPERR02G07080 transcript:LPERR02G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSAAAPRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIVDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGIEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIEAQSDSKWKQLGELAMSSGKLDLAEECLLHATDLSGLLLLYSSLGDAEGITKLACVAKEQGKNNVAFLSFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKRDLQKVNPKAAESLADPDEYPNLFEDWQIALSVEGNVAPKRGIYPPAEEYIIHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEADEDDGVEESQEDAVEVEADGSTDGAVLVNGHDSEEQWVLTPDQ >LPERR02G07080.2 pep chromosome:Lperr_V1.4:2:4960840:4970106:-1 gene:LPERR02G07080 transcript:LPERR02G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSAAAPRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIVDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGIEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIEAQSDSKWKQLGELAMSSGKLDLAEECLLHATDLSGLLLLYSSLGDAEGITKLACVAKEQGKNNVAFLSFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKRDLQKVNPKAAESLADPDEYPNLFEDWQIALSVEGNVAPKRGIYPPAEEYIIHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEADEDDGVEESQEDAVEVEADGSTDGAVLVAGSY >LPERR02G07080.3 pep chromosome:Lperr_V1.4:2:4961321:4970106:-1 gene:LPERR02G07080 transcript:LPERR02G07080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSAAAPRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIVDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGIEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIEAQSDSKWKQLGELAMSSGKLDLAEECLLHATDLSGLLLLYSSLGDAEGITKLACVAKEQGKNNVAFLSFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKRDLQKVNPKAAESLADPDEYPNLFEDWQIALSVEGNVAPKRGIYPPAEEYIIHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEADEDDGVEESQEDAVEVEADGSTDGAVLVNGHDSEEQWGTNNEESSA >LPERR02G07080.4 pep chromosome:Lperr_V1.4:2:4961323:4970106:-1 gene:LPERR02G07080 transcript:LPERR02G07080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSAAAPRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIVDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGIEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIEAQSDSKWKQLGELAMSSGKLDLAEECLLHATDLSGLLLLYSSLGDAEGITKLACVAKEQGKNNVAFLSFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKRDLQKVNPKAAESLADPDEYPNLFEDWQIALSVEGNVAPKRGIYPPAEEYIIHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEADEDDGVEESQEDAVEVEADGSTDGAVLVNGHDSEEQWGTNNEESSA >LPERR02G07090.1 pep chromosome:Lperr_V1.4:2:4972381:4973061:-1 gene:LPERR02G07090 transcript:LPERR02G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVAGRSMPAPATRASFLASAASFLTFFPRQRTALRTVPHVSMAAAGYSMAGAGGEEKAAARRRGIDRQAVDRGIAYVLMVVALVTTYALH >LPERR02G07100.1 pep chromosome:Lperr_V1.4:2:4975094:4975666:-1 gene:LPERR02G07100 transcript:LPERR02G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSETGAAATKSVVVAVEPPITASPPWRLRRQRSVPAAVVSTFAPCVGISSGGAGSHHPPRVLRLGGTKSKSVHHYDRHDFRGGEEEEDYGVSPAARKGGVGVLRALWRRIVRGRRWKVMSRSGSSTASRHYAQEEYEQNFDEGAAAAGGEPEPEYLSRSFSARYAGSGGRRSAGMAIWGVAAGRVAR >LPERR02G07110.1 pep chromosome:Lperr_V1.4:2:4978351:4978668:-1 gene:LPERR02G07110 transcript:LPERR02G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKMQVVILTYVLLAVLLHPLLCQGSPAATFLEAQTSDGKSIDQGIAYMLMIVALVVTYLVG >LPERR02G07120.1 pep chromosome:Lperr_V1.4:2:4980044:4981560:-1 gene:LPERR02G07120 transcript:LPERR02G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKQQVALDDIESGGGGDLDRFFEDVEGVKEEMRGLEALHRRLQAAHEESKTAHDAGAMRSLRARADADVEQVLRRARAVKGRLEALDRANAASRKLPGRGPGSSTDRTRSSVVLRTRMAEEYKETVARRYYTVTGKNADDTTIETLISSGQSETFLQKAIQEQASSGRAQVLDTVSEIQERHDAVREIERGLLDLHQVFLDMAALVDAQGQQLNDIESHVARANSFVRRGTVELETAREYQKGSRKWACFAVIAGVLLVIVIVLPIIVNLHLLTVR >LPERR02G07130.1 pep chromosome:Lperr_V1.4:2:4984417:4985590:-1 gene:LPERR02G07130 transcript:LPERR02G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGVERRAAPVALPVIAIIGALPIWIWRFLGREEIEKVIFRAAHWLRFWAKLQKCED >LPERR02G07140.1 pep chromosome:Lperr_V1.4:2:4999875:5003613:1 gene:LPERR02G07140 transcript:LPERR02G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSLALSILLVLTCSSWPPPSSAAAFHGDGNDIDRQALLCFSSQVSDPEKALESWRNTSLDFCRWRGVTCTTTTPHRVSMLDLNSCQLAGSIPPCLANLSSIEELDLSNNSFHGSIPAEIGHLGQLRQLNLSINLLQGEIPASLAQILHIQLIDLTNNKLKGSIPSGFATLGELETLNLANNELEGNIPLLLGSSSSLTHVDLGANGLSGGIPEFLANSSSLQELRLMQNKLTGELPQALFNTSSLTGIYLQKNRLVGSIPPVTAISAPIQYLSLAENNLTGEIPASIGNLSSLVGVSLAVNNLVGSIPESLSRIPTLEMLILSINNFSGQVPQSIFNMSSLIYLEMANNSLIGRLPLDIGYKLPNLQRLILSTTRLNGPIPASLVNASQLEIINLVDTGLTGIVPSFGSLPYLQLLDLAYNQLEAGDWSFLSSLANCTQLEKLCLDGNGMRGHLPSSVGNLPSQLKWLWLKQNKLSGAIPLEIGKLRGLEVLYMDQNLFTGTIPTSIGKLSNLLVLSFAQNNFSGHIPDSIGNLVNLTELYLDGNSFSGSIPASLGQWRHLEKLNLSHNSFGGSIPREVFKISSLSQSLDLSYNSFTGPIPPEIGGLINLGSLSVSNNRLFGNIPSTLGKCVVLESLHMEENLLVGSIPHSLMNLRNIKELDLSSNNLSGNIPDFFASMDYLKDLNLSFNDFDGPVPSSGIFRNASRVSLQGNNRLCANTPVSRLPHCPALDTRTKHKSIILMMVIPIAAIVIVILLICLLTARLKRGEEKPILTHISRDMKIISYKDIVKATKGLSSENLVGSGSFGDVYKGTLEFEVDLVAIKVFNLSRHGGPTSFIAECEALKNIRHRNLVKVITLCSTLDPKGEDFKAIIFQYMPNGSLETWLHQKFSGHNQKQVLSLGDRISIALDIAYALDYLHNQCASPLIHCDLKPSNVLLDLQLTAYVSDFGLAKFMCTTTATCASSTSLADLKGSIGYIAPEYGMGGPISTRGDAYSYGVLLLEMLTGKRPSDDKLKNGLSLHELVESAFPHKVAEILDPVMLQSSLNGGKYQIEIMQSCVIPMVKVALLCSSISPKDRLGMSQVSAEMVTIRQSFLELQ >LPERR02G07150.1 pep chromosome:Lperr_V1.4:2:5004804:5009283:1 gene:LPERR02G07150 transcript:LPERR02G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGSRRSRAVFMAFGTRGDVFPIAALAAAFAQDQRQYAVVFITHSAHMSLSTHLAECNVRFMPLSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKMIQVEHRKECLAAVEDVFGNYTSTDGDFIVINFFALEGWHLAELFQVKCIISAPYFVPYSAPASFERQFKQSLPLLYNYFQEAPPNTVCWTDITHWMWALFMETWGSWRNESLNLSPIPFTDPVTNLPLWYVREESPLLLYGFSKEIVECPGLSSIGSMGYLRNPKAFLMVLKAVIEKTDYRIILFSSGYQPLDSAIQSVASSVAESIAIHHAGSGSTAAALLAGVPQIACPFLLDQFYWAERLHWLGVAPEPLRRQHLIPDTENASSINNAADMLIGAIRSALSPEIKAQATRIAEKLSSEDGIAEALRILRKKVLLQSKN >LPERR02G07150.2 pep chromosome:Lperr_V1.4:2:5004804:5009283:1 gene:LPERR02G07150 transcript:LPERR02G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGSRRSRAVFMAFGTRGDVFPIAALAAAFAQDQRQYAVVFITHSAHMNVMLDSCLCQAHLSLRPSSWRASHRKKMIQVEHRKECLAAVEDVFGNYTSTDGDFIVINFFALEGWHLAELFQVKCIISAPYFVPYSAPASFERQFKQSLPLLYNYFQEAPPNTVCWTDITHWMWALFMETWGSWRNESLNLSPIPFTDPVTNLPLWYVREESPLLLYGFSKEIVECPGLSSIGSMGYLRNPKAFLMVLKAVIEKTDYRIILFSSGYQPLDSAIQSVASSVAESIAIHHAGSGSTAAALLAGVPQIACPFLLDQFYWAERLHWLGVAPEPLRRQHLIPDTENASSINNAADMLIGAIRSALSPEIKAQATRIAEKLSSEDGIAEALRILRKKVLLQSKN >LPERR02G07160.1 pep chromosome:Lperr_V1.4:2:5013322:5021474:1 gene:LPERR02G07160 transcript:LPERR02G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKMADAAANPADCSLCVGEDGNGGFVSVAPNATGGLSCDLRCALPRAPKWPPVLQIPPPESRAVSDGGDLAAGASCRATASCGVAFLVTGSNRSFVASVMDNMIPAHNSSVKLSSDISALADYVLADEDSYNGFGVSSFLQKKCTPNLTLSFAFQSGNITETKDVGCNQRLMLWRDNSWLINDELHRGYYQGNNKKKTNEIAAAYDFLSSDKGNFNVFISYNSTKRFDAYDAGITLTFNKGGWQEPKPVHVPRLINMASNAYLHLRSSSLKISFDFVKEMPRAAILSMGPFDISSLIGQLPYVWTMELLFPVILTSIVYEKQKKLRFFLLNNYGVQFVYYFAYMNLQISFAFLVATCFSSVRTATVTGYFYIIGSGLLGESLFRFYVEDVSLSRYWITLLELFPAFSLYRIIYEFAQSALLENNMNSSGMKWIDLNDPKNGMRSVLIIMVLEWFLFLLLAFYLDHFGSFQNGIRKAAVLFHSHIDKKTIQLQEFKASADNEKADVIKEREMVEQLLLESNNSCSVICDNLKKVYRGKDGNPKKVAVKGLSLSIPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMDKIYAGIGVCPQHDLLWETLTGREHLMFYGRLKNLRGAALAQAVDQSLKSVRLFAGGVADKLLGTYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKLLWNAVKSAKQDRAIILTTHSMEEAEALCDRIGIVANGSLQCIGNSKELKAKYGGSYVLTVTTAAGEVEQMKRLVQFISPTMQRVYHISGTQKFEMPKQEVRICEVFQAMEHTKSRMTVSAWGLADTTLEDVFIRVARESDSTSSMN >LPERR02G07170.1 pep chromosome:Lperr_V1.4:2:5033756:5037858:-1 gene:LPERR02G07170 transcript:LPERR02G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADIPAIPDRIRVAFQIITLLVAKEFEQTQKPGAKFALFTGKYFSMVLVQDIHGLIEIKCTCPFDCCNAIVLQRWQSQVKGSQSPKSSGPIYLILLISLMTKVIPPMVENKIVKSPLPGVYKLVRGTSMEDPSKGENGGALMKTHDAVVEKLKTLKQDILPDGL >LPERR02G07180.1 pep chromosome:Lperr_V1.4:2:5040585:5041035:-1 gene:LPERR02G07180 transcript:LPERR02G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGFRVRVQAILVMSLLLLQVPFLPCTLATGGTRGKSLELLIMVRSETHHKKHLDLFATRKSTRSRRILHDNWSGPGHDPPCC >LPERR02G07190.1 pep chromosome:Lperr_V1.4:2:5042690:5046818:-1 gene:LPERR02G07190 transcript:LPERR02G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFASTTKLVPFLAVFIFSCSLPLAISDDTDNDREALLCFKSQVSDPNGALSSWTNTSQNFCNWQGVSCNSTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPTELGRLGKISYLNLSINSLEGRIPAELSSCSNLQVLALWNNSLQGEIPPSLTQCTHLQQVILSNNKLEGSIPTGFGTLRELKTLDFSNNVLTGDIPPLLGSSPSVLFVDLGVNQLTGGIPEFLANSSSLQVLRLMQNGLTGEIPPTLFNSSTLTTIYLNRNNLVGSIPPVTAIASPIQYLILAQNKLTGGIPASLGNLSSLVQLSLGANNLVGGIPESLSRIPTLERLVLTYNKLSGHVPETIFNMSSLKYLGMANNSLIGQLPPDIGNRLPNLEILILSTTQLNGPIPASLANMTKLQMIYLVATGLTGIVPSFGSLTNLQELDLAYNHLEAGDWSFLSSLANCTQLKKLALDGNNLQGSLPSSVGNLPSQLNWLWLRKNNLSGTIPSEIGNLKSLTILYMDSNMFSGSIPPTIGNLRNLLVLSFAQNYLSGHIPDSIGNLAQLTEFYLDGNNLNGSIPANLGQWRQLEKLNLSHNLFSGSMPSEVFNISSLSQYLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGDMPSTLGKCVLLEYLHMEGNLLTGSIPQSFTNLKSIKELDVSRNKLSGKVPEFLTLFSSLQKLNLSFNDFEGPIPSNGVFGNASRVMLDGNYRLCANAPGYSLPICSESGSKSKSKSTVLKIVIPIAVSVVVISLLCLTAVLIKRRKEEPTLQNSSVNLRKISYEDIAKATNGFSPTNLVGLGSFGAVYKGRLAFEDDPVAIKVFNLNKYGAPTSFNAECEALRNIRHRNLVKINTLCSTVDPNGYDFKALIFQYMPNGSLDMWLHPEDQGHGKQRFLTFGERINIALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFLCANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGLSLHELVDDAFPQRVAEILDPNMLQNDLDGGNSEVMQSCVLPLVKMALMCSMTSPKDRFGMAQVSTKLHSIKQKFLELSSGGKEHAKAESSNKLTSEDDDGGRTWETAIAHRISAGDAIAHRRLLFSGSAVVEMEKGTVREQHFVGLDDVGP >LPERR02G07200.1 pep chromosome:Lperr_V1.4:2:5042722:5045559:1 gene:LPERR02G07200 transcript:LPERR02G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEMRWAMAVSQVRPPSSSSEFARDERKLQSPASRWLYARSSSCKFVRDPKDGTIPVSPVATR >LPERR02G07210.1 pep chromosome:Lperr_V1.4:2:5060232:5060610:-1 gene:LPERR02G07210 transcript:LPERR02G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPRRLSSATTAVVLLLLLVAIAEMGTAVQVGGNSCECEYPSGQYKLETIGKCDSHKCAKWCKQEGYTDGTCDIWKKKCVCTKKCPRKETVA >LPERR02G07230.1 pep chromosome:Lperr_V1.4:2:5067578:5068698:1 gene:LPERR02G07230 transcript:LPERR02G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLQATLILISILLPAFLFGFISANSVVDGKTDRTVLITSLEKQGGRRLITGELASRSLFPKPSFDPRHDPPLSYGHARRFGAKTP >LPERR02G07240.1 pep chromosome:Lperr_V1.4:2:5072976:5073609:1 gene:LPERR02G07240 transcript:LPERR02G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARIHVELQAILVIFLLLLPPFLPSVLAAGASGGGNSGELVAGDRPVAQARDTTLLVARNLSRASNKVNLIYLAGSNRDELVV >LPERR02G07250.1 pep chromosome:Lperr_V1.4:2:5083259:5084032:-1 gene:LPERR02G07250 transcript:LPERR02G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRRSRLLLALLQLAVVLWLAATSGCLCRQLTGGVPSSWIHPDGNQIPRTPPSPLPAGYHSQFVPPPVCPPDCL >LPERR02G07260.1 pep chromosome:Lperr_V1.4:2:5085799:5086295:-1 gene:LPERR02G07260 transcript:LPERR02G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRLLAIFQLAVLLWLAATTGYLCQQAGDDPPSVPPPPPPAILQTGGQSFPARFVAGRSARRGVRRKVASSGNGGQP >LPERR02G07270.1 pep chromosome:Lperr_V1.4:2:5092832:5094434:-1 gene:LPERR02G07270 transcript:LPERR02G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPRSRLLLALLQLAVVLWLVAASGCLCRQLSGGVPSWSIQPDGNHLPTPPPAPKGRYPPGSRRMPCPPEGC >LPERR02G07280.1 pep chromosome:Lperr_V1.4:2:5106574:5107245:1 gene:LPERR02G07280 transcript:LPERR02G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHGGHGGVGAHRRFGGRAAARCSTGEARGGSRPELQGPRPAPLKVSKDSHKIRKQQQQVQQVRQPVIIYTMSPKVVHANAAEFMSVVQRLTGAPRAVTTPPVND >LPERR02G07290.1 pep chromosome:Lperr_V1.4:2:5125896:5126238:-1 gene:LPERR02G07290 transcript:LPERR02G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQIRPGRLLAILQLAVAFWLATTSSYLTSAAPPPPVPHPPVYPRLAAPPPERGGAEALIN >LPERR02G07300.1 pep chromosome:Lperr_V1.4:2:5131908:5132270:-1 gene:LPERR02G07300 transcript:LPERR02G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKYRVVVLLQLAVALWLAATTGHLCQTDYPPDSGYPVLPYPPVPPAPYTTPASAATTPTPSRPPICTKKEGCRGLAVPPGLKP >LPERR02G07320.1 pep chromosome:Lperr_V1.4:2:5145204:5145573:-1 gene:LPERR02G07320 transcript:LPERR02G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQKYRVVALLQLAVALWLAATAGHMCQPYPPSYSTYPTVPYPRVPPAPYTTPSAASATTPSARPPICTKKEGCRGVPVPPGQKP >LPERR02G07330.1 pep chromosome:Lperr_V1.4:2:5151838:5156686:1 gene:LPERR02G07330 transcript:LPERR02G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPQFISSTSSPDIQPRTPPPPRSPPRRRQIRRRRRIPFPPPPRSSPNSTNPSSAAAMANSGNTSAAPPGRPKAKTPPLTVEGYPVEGISIGGQETCVIFPTLSVAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACIRDPVERLFELHRSMDQSELRHNLVPLEVGEEYEIRRDLKVKAFRTYHVIPSQGYVIYTVKQKLKPEYLGLPGNEIKRLKLSGVEITNTLTVPEIAFTGDTVADFILDPDNADVLKARILVVESTFVDDSVTIEHAREYGHTHLFEILNQFDKLENKAIVLIHFSARYTAEEIDAAINKLPPSFRSRVHALKEVTSRRSARLTRPAVQSAGEACQPPDIGARLGLNHVRLLELPGNGTLRRLEDGAVHEQIPFRMPCPSLLTTWKNPHLHRGIRCTSPRPKWLNATVICITWSCGYESLAPNSITSLWLVKWQLDTLKA >LPERR02G07330.2 pep chromosome:Lperr_V1.4:2:5151838:5156686:1 gene:LPERR02G07330 transcript:LPERR02G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPQFISSTSSPDIQPRTPPPPRSPPRRRQIRRRRRIPFPPPPRSSPNSTNPSSAAAMANSGNTSAAPPGRPKAKTPPLTVEGYPVEGISIGGQETCVIFPTLSVAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACIRDPVERLFELHRSMDQSELRHNLVPLEVGEEYEIRRDLKVKAFRTYHVIPSQGYVIYTVKQKLKPEYLGLPGNEIKRLKLSVPEIAFTGDTVADFILDPDNADVLKARILVVESTFVDDSVTIEHAREYGHTHLFEILNQFDKLENKAIVLIHFSARYTAEEIDAAINKLPPSFRSRVHALKEVTSRRSARLTRPAVQSAGEACQPPDIGARLGLNHVRLLELPGNGTLRRLEDGAVHEQIPFRMPCPSLLTTWKNPHLHRGIRCTSPRPKWLNATVICITWSCGYESLAPNSITSLWLVKWQLDTLKA >LPERR02G07340.1 pep chromosome:Lperr_V1.4:2:5154615:5157332:-1 gene:LPERR02G07340 transcript:LPERR02G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRLGSFILVAVAFMATAAVSSGNIGEFDDHWQKRREAAEAWASESYVHDPFNVTNDFNHASGVLRRELGGRKNKQYNGPCMATNPMDRCWRCRKDWATDRKRMGRCAMGFGRRATGGLRGKIYVVTDPTDADAANPRYGTLRWGALQTVPLWIIFAKSMVIRLSQELLVASDKTIDGRGAQVHIASGGAGVTVQFARNVIISNLHIHDVKHSDGGLVRDAPSHLGPRTRADGDGISLFGATDVWVDHGSTGVTVSNCHFTNHNDVMLFGASDSYPQDQVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGKSPTILSQGNRYIAPPNIAAKVITKYYAPESEWKNWAWHSEGDLFMNGAIFQASQGAIARKLKKSDMVKPKPGSYVRRLTRFAGALNCRPGQPC >LPERR02G07350.1 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWACAKEPIVLPWFFGILFYECVVGNNLKLKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHSLFHDDNRCEHSIQEVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQDVSKAGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07350.2 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWACAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHSLFHDDNRCEHSIQEVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQDVSKAGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07350.3 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWACAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQDVSKAGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07350.4 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHSLFHDDNRCEHSIQEVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQDVSKAGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07350.5 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWACAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07350.6 pep chromosome:Lperr_V1.4:2:5158605:5165862:-1 gene:LPERR02G07350 transcript:LPERR02G07350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPWRFPAVGDELCPVCTALHAPFHPPPPPPPPEYHVPFHPPPPMWAPPGPGPHPYELIDMEGPHKRMRLAESPPPLPPFDPYGDGMPPPLPPPPPGMVHVEGDRLLGLIRDHGPPPPLPPPGMLHGDPFPPPDRFGYGGGRGYPLNDNPYGPGGGFSNFEHAGRLPPWHERHVLGSGFVPGGGSQERHFNHDHHYHRFQHEESPGTPPIPPPSRYAEGRSRYDSHGWHPEADVPPPPPEPPVPSPPDYHAMPPLQNAKSSLFPIHSGSPSATALPPSSHTLHQAHSTPNANRYNGPIHNEVLGLTYQPHLEQHMRDGRQTQAQNPINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEENEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRVDTHVDMDTEDVDDTSITETSTEGENTQKAVSESLDNGHDQDVSKAGDKWDSEEEDPDGYKELGQSKWSKDFDEDTGKSEHKERSTHALSGLAQTYGTRRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAQDNSMQTKGAINNDSKKRFSEQLRDEGESFRAVFDKRRQRIGVFGNGDGE >LPERR02G07360.1 pep chromosome:Lperr_V1.4:2:5172844:5178140:1 gene:LPERR02G07360 transcript:LPERR02G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGSSLPSPSCPDAEKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLTQMQVLRPHPARDRDLTRFHSDDYVAFLRSVTPETQLDQIRSLRRFNVGEDCPVFDGLYSYCQTYAGASVGGAVKLNHGGHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKINERVLYVDIDIHHGDGVEEAFYTTNRVMTVSFHKFGEYFPGTGDIRDIGYSEGKYYCLNVPLDDGVDDDSYQSIFKPIMSKVMEMFRPGAVVLQCGADSLSGDRLGCFNLSSNGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELKGKMPYNEYYEYFGPEYSLHVPQSNMENKNTGKQLEDIKCKILENLSKLQHAPSVQFQERTPETALPETDEDQEDPDERHGPDSDMVLGDLEPLEQSARRSVIQRTEFTDTQTKDQVLYHHGNGATTEQRGQGTTAEGIDSSQQDPKLRESISDRLFSNSRCELLSENSLSIGQVYVSEFIEEEN >LPERR02G07370.1 pep chromosome:Lperr_V1.4:2:5181777:5186412:1 gene:LPERR02G07370 transcript:LPERR02G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSGSGGNSLPSAACTDAGKRRVCYFYDPEMGNYYYGRDHPMKPHRVRMTHELLHGYGLLDPRKMLVLRPEPAGDRDLCGFHSDDYVAFLRAVTPETQLDRMGSLRRFNLGEECPVFYGLYSYCQSYDAGASLSAAAMLNGGSRDIAINWSGGLHHAKKGEASGFGYINDAVLAIFELLKIHEVKRRQECCRNILDIKDVPPRGDQCPKSTISQRVLYVDIDVHHGDGVEEAFSTTNRVMTVSFHKYASYFPGTGNIRDIGYSEGKYYCLNVPLDEGIDDESYQSIFKPIISKVMQMYRPGAIVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCNEVCRVDTPSHIFIGGWN >LPERR02G07380.1 pep chromosome:Lperr_V1.4:2:5186871:5192632:-1 gene:LPERR02G07380 transcript:LPERR02G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYHAPILQAGETIKYDLFWPPLPSPLDPFLVLPIHFRPAADSAVGGVLDVVLDPTLLAARVPPQPRRVSSDTEPGLPCLTRASRQWWSVLRHRVLLLLQQRCRRGWRGVMPVNLGGGGDLLLHVHLAAAGVAWANAMAPASANMTSIHRLPELQTSGAADVRSTTRTAAYFAQLVLPLLGPSDSSEAISKADNTVVWFLLPIIAATVTGETVRGRR >LPERR02G07380.2 pep chromosome:Lperr_V1.4:2:5186616:5192632:-1 gene:LPERR02G07380 transcript:LPERR02G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYHAPILQAGETIKYDLFWPPLPSPLDPFLVLPIHFRPAADSAVGGVLDVVLDPTLLAARVPPQPRRVSSDTEPGLPCLTRASRQWWSVLRHRVLLLLQQRCRRGWRGVMPVNLGGGGDLLLHVHLAAAGVAWANAMAPASANMTSIHRLPELQTSGAADVRSTTRTAAYFAQLVLPLLGPSDSRRNQEL >LPERR02G07390.1 pep chromosome:Lperr_V1.4:2:5187408:5190178:1 gene:LPERR02G07390 transcript:LPERR02G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSNFKSVFQKQSYLRRRLIQRIGVEREFSETKTNDQKFHTLLMSNFKSEFQKRSYLSTVESEFSETQTKDQNGNIVITGCRGPEMMGEDNGSS >LPERR02G07400.1 pep chromosome:Lperr_V1.4:2:5194492:5195403:-1 gene:LPERR02G07400 transcript:LPERR02G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFILDPDNADVLKARILVVELLRNKRVETVSSGICINSTFLDDSVTIEHAREYGNTHLFEILNQCDKLENKAILLIHFSARYTAGEIDTAIK >LPERR02G07410.1 pep chromosome:Lperr_V1.4:2:5198138:5199104:1 gene:LPERR02G07410 transcript:LPERR02G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSGSGGNSLPSQSSTDGGKRRVCYFYDPEMGNYYYGRDHPMKPHRIRMTHELLHGYGLLSDPRRMRVLTTPYIARPRDLCRYHADDYVSFLRFVTPEVLPLAKVSRTLRRFNLNADCPVFDGLYQYCQSYAGASLAAAATLNGGGHDIAINWSGGLHHAKKGEASGFCYVNDIVLAILELLKVHEAREVKRRIAGLGREEGGCWWADIGSGDLTFK >LPERR02G07420.1 pep chromosome:Lperr_V1.4:2:5203699:5205087:-1 gene:LPERR02G07420 transcript:LPERR02G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTRFPISDGMLPVRVFMPRLSTLRPRSEQSSGGISPWNLLLYNARISRRVQLASDAGICPWSELSLRLRWMRSVRLPSSGGRTPCRRFPARWSLLRLPSCGGIVPRRPSPGSSSATTRPRRCPRAPHVTPRHRHTGMDRFPHVGSKATGGEPPLVDICVMNAVRARLSLPLATAAVAASHARGHAMASRRHLKETLYSCSEESSEQKHTRPAACRAGQCAATMY >LPERR02G07420.2 pep chromosome:Lperr_V1.4:2:5203742:5205087:-1 gene:LPERR02G07420 transcript:LPERR02G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTRFPISDGMLPVRVFMPRLSTLRPRSEQSSGGISPWNLLLYNARISRRVQLASDAGICPWSELSLRLRWMRSVRLPSSGGRTPCRRFPARWSLLRLPSCGGIVPRRPSPGSSSATTRPRRCPRAPHVTPRHRHTGMDRFPHVGSKATGGEPPLVDICVMNAVRARLSLPLATAAVAASHARGHAMASRRHLKETLYSCSEESSEQKHTRPAACRGNFFR >LPERR02G07430.1 pep chromosome:Lperr_V1.4:2:5202872:5213819:1 gene:LPERR02G07430 transcript:LPERR02G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYLQATSSVIYSFQNACLVCFCSELSSLQEYRCLLLAMACPLAWLAATAAVASGNDSLALTAFMTHMSTSGGSPPVALEPTWGNRSIPVCRWRGVTCGARGQRRGRVVALELPGLGLRGTMPPQLGNLTYLRRLHLAGNRLHGVLPPELGSLTDLIHLNLSDNSLQGQIPASLANCTRLEILALYNNRFHGDMPPELCSLRGLKVLSLGMNTLTGSIPSEIGNLVNLMTLNLQFSNLTGGIPKEIGGLASLVALGLGYNQLAGSIPASLGNLSALQYLSVPAAKLTGRIPSLQNLSSLLVIELGDNNLEGTVPAWLGNLSSLVFLSLQQNRLSGYIPESLGPIPDSLGNLGALRSLRLDYNKLEGTFPSSLLNLSSLDDLGLQSNRLSGTIPPDIGNKLPNLQSFVVDINQFHGTIPPSLCNASMLQVLQTVYNSLSGRIPQCLGSQQKRLSVVALSKNQLKATNDADWAFLSSLANCSNLKALDLGYNRLQGELPSSIGNLSSHLSYLIIANNNISGTIPEGIGNLINLKLLYMDFNRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTIGNLTALNLLQLQGNALDGGIPSSINNCPLELLDLSYNNLNGLIPKQLFLISTLSSSMVLAHNSLSGPLPTEIGNLQNLGVLDFSSNNISGELPTSLGECKSLQQLNISGNFLQGIIPSSLAQLKGLLVLDLSDNNLSGAIPEFLGSIRGLSILNLSFNKFEGEVPKDGVFLNATATSITGNDGLCGGIPELKLPPCFNQTAKKASRKLAIIISICTTVPLIILVFMLLAFYYRSKMTKPNQQIAIISEQYMRVSYAELVNATDGFASDNLIGTGSFGSVYKGRITSNDQEVFVAVKVLNLMQRGASQSFMAECETLRSARHRNLVKILTACSSIDFQGNEFKALVYEYLPNGNLDQWLHPNTTEHRENKALHLIERLCIAIDVASSLEYLHQYKPSPIVHCDLKPSNVLLDSDMVARVSDFGLARFLHQESDKSSGWASMRGTIGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTGKRPTDSEFGEAVGLRKYVQMALPDKAANVMDQQLLPEMEIENDEPIKSNSYNSKDLIIACVASIMRIGISCSEEAPTDRVQIRTALKELQAIRDKFEKHLSCEGTSISAILDCLPSFLLAVVLLVSPRPAVAIAGDVSGSGSDRAALMAFKKLVSGSLASWRDDAPACRWRGVACGASGRRRGRVVALDLAGVGITGEVATALGNITYLRRLNLPENRLHGELPWQLGRLGELRHLNLSNNGISGELPSELCSLRRLEVLDLGNNRLTGRIPREIGNLVSLKHLVLESNNLTGEIPSQIGNLVNLVRLSIGSNQLSGSIPASIGNLSQLIAISAFSNNLTGRIPPLEGLSSLSYLNLGSNKLGGTIPSWLGNLSSLIALDLQSNGFAGCIPESLGDLQFLEAISLADNKLRCRIPDSFGNLHALTEIYLDNNELEGPLPLSMFNLSSLEMLNIQDNNLTGVFPPDLGDKLPNLQQFHVSENQFHGLIPPSLCNLSMIKMIQTVDNFLSGTIPQCLGRNQNMLSVVNFVGNQLEAVNDADWGFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGIANNNITGTIPESVGNLVNLEELDMENNLLKGTIPSSLGNLKKLNRLSLSNNIFSGSIPVTLGNLNKLTILLLSTNVLSGAIPSTLSNCPLEMLDLSYNNLSGPIPKEVFLISKISSFMYLAHNKLTGNIPSDVGTLKNLGELDLSDNMISGKIPTNIGECQSLQYLNLSGNFLEGTIAPSLEQLRGLLVLDLSHNNLSGTIPEFLGSMTGLSTLNLSSNDFQGEVPKYGIFLNATATSVIGNIDLCGGAPQLKLPICSNQTKHGLSSKVVMVIIIGSTILFLILFTCFAIHQRTKLSRAHSKISLSDEQHMRVSYAQLSQATNSFASENLIGVGSFGSVYKGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEGEGEPKVLNLDERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQEHINSSEISTGWNAIRGTIGYVAPEYGLGNEVSIQGDVYSYGILLLEMFTGKRPTNSEFGEILTLHEYVEKALPGQTTSIIDQSLLDATWNSEGTAQKYYNIGEVTIKCIVSILKVGILCSKEMPTDRMQIGDALRELQAIRDKFDTHQLW >LPERR02G07430.2 pep chromosome:Lperr_V1.4:2:5203227:5213819:1 gene:LPERR02G07430 transcript:LPERR02G07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSLYWICKKSLVCFCSELSSLQEYRCLLLAMACPLAWLAATAAVASGNDSLALTAFMTHMSTSGGSPPVALEPTWGNRSIPVCRWRGVTCGARGQRRGRVVALELPGLGLRGTMPPQLGNLTYLRRLHLAGNRLHGVLPPELGSLTDLIHLNLSDNSLQGQIPASLANCTRLEILALYNNRFHGDMPPELCSLRGLKVLSLGMNTLTGSIPSEIGNLVNLMTLNLQFSNLTGGIPKEIGGLASLVALGLGYNQLAGSIPASLGNLSALQYLSVPAAKLTGRIPSLQNLSSLLVIELGDNNLEGTVPAWLGNLSSLVFLSLQQNRLSGYIPESLGPIPDSLGNLGALRSLRLDYNKLEGTFPSSLLNLSSLDDLGLQSNRLSGTIPPDIGNKLPNLQSFVVDINQFHGTIPPSLCNASMLQVLQTVYNSLSGRIPQCLGSQQKRLSVVALSKNQLKATNDADWAFLSSLANCSNLKALDLGYNRLQGELPSSIGNLSSHLSYLIIANNNISGTIPEGIGNLINLKLLYMDFNRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTIGNLTALNLLQLQGNALDGGIPSSINNCPLELLDLSYNNLNGLIPKQLFLISTLSSSMVLAHNSLSGPLPTEIGNLQNLGVLDFSSNNISGELPTSLGECKSLQQLNISGNFLQGIIPSSLAQLKGLLVLDLSDNNLSGAIPEFLGSIRGLSILNLSFNKFEGEVPKDGVFLNATATSITGNDGLCGGIPELKLPPCFNQTAKKASRKLAIIISICTTVPLIILVFMLLAFYYRSKMTKPNQQIAIISEQYMRVSYAELVNATDGFASDNLIGTGSFGSVYKGRITSNDQEVFVAVKVLNLMQRGASQSFMAECETLRSARHRNLVKILTACSSIDFQGNEFKALVYEYLPNGNLDQWLHPNTTEHRENKALHLIERLCIAIDVASSLEYLHQYKPSPIVHCDLKPSNVLLDSDMVARVSDFGLARFLHQESDKSSGWASMRGTIGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTGKRPTDSEFGEAVGLRKYVQMALPDKAANVMDQQLLPEMEIENDEPIKSNSYNSKDLIIACVASIMRIGISCSEEAPTDRVQIRTALKELQAIRDKFEKHLSCEGTSISAILDCLPSFLLAVVLLVSPRPAVAIAGDVSGSGSDRAALMAFKKLVSGSLASWRDDAPACRWRGVACGASGRRRGRVVALDLAGVGITGEVATALGNITYLRRLNLPENRLHGELPWQLGRLGELRHLNLSNNGISGELPSELCSLRRLEVLDLGNNRLTGRIPREIGNLVSLKHLVLESNNLTGEIPSQIGNLVNLVRLSIGSNQLSGSIPASIGNLSQLIAISAFSNNLTGRIPPLEGLSSLSYLNLGSNKLGGTIPSWLGNLSSLIALDLQSNGFAGCIPESLGDLQFLEAISLADNKLRCRIPDSFGNLHALTEIYLDNNELEGPLPLSMFNLSSLEMLNIQDNNLTGVFPPDLGDKLPNLQQFHVSENQFHGLIPPSLCNLSMIKMIQTVDNFLSGTIPQCLGRNQNMLSVVNFVGNQLEAVNDADWGFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGIANNNITGTIPESVGNLVNLEELDMENNLLKGTIPSSLGNLKKLNRLSLSNNIFSGSIPVTLGNLNKLTILLLSTNVLSGAIPSTLSNCPLEMLDLSYNNLSGPIPKEVFLISKISSFMYLAHNKLTGNIPSDVGTLKNLGELDLSDNMISGKIPTNIGECQSLQYLNLSGNFLEGTIAPSLEQLRGLLVLDLSHNNLSGTIPEFLGSMTGLSTLNLSSNDFQGEVPKYGIFLNATATSVIGNIDLCGGAPQLKLPICSNQTKHGLSSKVVMVIIIGSTILFLILFTCFAIHQRTKLSRAHSKISLSDEQHMRVSYAQLSQATNSFASENLIGVGSFGSVYKGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEGEGEPKVLNLDERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQEHINSSEISTGWNAIRGTIGYVAPEYGLGNEVSIQGDVYSYGILLLEMFTGKRPTNSEFGEILTLHEYVEKALPGQTTSIIDQSLLDATWNSEGTAQKYYNIGEVTIKCIVSILKVGILCSKEMPTDRMQIGDALRELQAIRDKFDTHQLW >LPERR02G07430.3 pep chromosome:Lperr_V1.4:2:5204483:5213819:1 gene:LPERR02G07430 transcript:LPERR02G07430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTFKCLLLAMACPLAWLAATAAVASGNDSLALTAFMTHMSTSGGSPPVALEPTWGNRSIPVCRWRGVTCGARGQRRGRVVALELPGLGLRGTMPPQLGNLTYLRRLHLAGNRLHGVLPPELGSLTDLIHLNLSDNSLQGQIPASLANCTRLEILALYNNRFHGDMPPELCSLRGLKVLSLGMNTLTGSIPSEIGNLVNLMTLNLQFSNLTGGIPKEIGGLASLVALGLGYNQLAGSIPASLGNLSALQYLSVPAAKLTGRIPSLQNLSSLLVIELGDNNLEGTVPAWLGNLSSLVFLSLQQNRLSGYIPESLGPIPDSLGNLGALRSLRLDYNKLEGTFPSSLLNLSSLDDLGLQSNRLSGTIPPDIGNKLPNLQSFVVDINQFHGTIPPSLCNASMLQVLQTVYNSLSGRIPQCLGSQQKRLSVVALSKNQLKATNDADWAFLSSLANCSNLKALDLGYNRLQGELPSSIGNLSSHLSYLIIANNNISGTIPEGIGNLINLKLLYMDFNRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTIGNLTALNLLQLQGNALDGGIPSSINNCPLELLDLSYNNLNGLIPKQLFLISTLSSSMVLAHNSLSGPLPTEIGNLQNLGVLDFSSNNISGELPTSLGECKSLQQLNISGNFLQGIIPSSLAQLKGLLVLDLSDNNLSGAIPEFLGSIRGLSILNLSFNKFEGEVPKDGVFLNATATSITGNDGLCGGIPELKLPPCFNQTAKKASRKLAIIISICTTVPLIILVFMLLAFYYRSKMTKPNQQIAIISEQYMRVSYAELVNATDGFASDNLIGTGSFGSVYKGRITSNDQEVFVAVKVLNLMQRGASQSFMAECETLRSARHRNLVKILTACSSIDFQGNEFKALVYEYLPNGNLDQWLHPNTTEHRENKALHLIERLCIAIDVASSLEYLHQYKPSPIVHCDLKPSNVLLDSDMVARVSDFGLARFLHQESDKSSGWASMRGTIGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTGKRPTDSEFGEAVGLRKYVQMALPDKAANVMDQQLLPEMEIENDEPIKSNSYNSKDLIIACVASIMRIGISCSEEAPTDRVQIRTALKELQAIRDKFEKHLSCEGTSISAILDCLPSFLLAVVLLVSPRPAVAIAGDVSGSGSDRAALMAFKKLVSGSLASWRDDAPACRWRGVACGASGRRRGRVVALDLAGVGITGEVATALGNITYLRRLNLPENRLHGELPWQLGRLGELRHLNLSNNGISGELPSELCSLRRLEVLDLGNNRLTGRIPREIGNLVSLKHLVLESNNLTGEIPSQIGNLVNLVRLSIGSNQLSGSIPASIGNLSQLIAISAFSNNLTGRIPPLEGLSSLSYLNLGSNKLGGTIPSWLGNLSSLIALDLQSNGFAGCIPESLGDLQFLEAISLADNKLRCRIPDSFGNLHALTEIYLDNNELEGPLPLSMFNLSSLEMLNIQDNNLTGVFPPDLGDKLPNLQQFHVSENQFHGLIPPSLCNLSMIKMIQTVDNFLSGTIPQCLGRNQNMLSVVNFVGNQLEAVNDADWGFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGIANNNITGTIPESVGNLVNLEELDMENNLLKGTIPSSLGNLKKLNRLSLSNNIFSGSIPVTLGNLNKLTILLLSTNVLSGAIPSTLSNCPLEMLDLSYNNLSGPIPKEVFLISKISSFMYLAHNKLTGNIPSDVGTLKNLGELDLSDNMISGKIPTNIGECQSLQYLNLSGNFLEGTIAPSLEQLRGLLVLDLSHNNLSGTIPEFLGSMTGLSTLNLSSNDFQGEVPKYGIFLNATATSVIGNIDLCGGAPQLKLPICSNQTKHGLSSKVVMVIIIGSTILFLILFTCFAIHQRTKLSRAHSKISLSDEQHMRVSYAQLSQATNSFASENLIGVGSFGSVYKGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEGEGEPKVLNLDERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQEHINSSEISTGWNAIRGTIGYVAPEYGLGNEVSIQGDVYSYGILLLEMFTGKRPTNSEFGEILTLHEYVEKALPGQTTSIIDQSLLDATWNSEGTAQKYYNIGEVTIKCIVSILKVGILCSKEMPTDRMQIGDALRELQAIRDKFDTHQLW >LPERR02G07440.1 pep chromosome:Lperr_V1.4:2:5214591:5218487:1 gene:LPERR02G07440 transcript:LPERR02G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFSLLITLPLLAIAVPPTSKATAQTDTDHLAMKAFKSRITSDPSSALASWGGNQSIHVCQWRGVACGVQGRRSGRVVALDLSNLDLSGTIDPSVGNLTYLRKLDLPMNRFTGNIPSELGRLLDLQHVNLSYNSLEGGIPASLSQCQQLENISLAFNHITGGIPPAMGDLSKVRRVQLQYNMLDGAMPRTIGSLHSLEVLNLYNNSLTGSIPSEIGNLTSLVSLMLSYNHFTGSLPSSLGNLQRIENLQLRRNQLSGPIPSFLGNLSSLNILNLGTNRFQGEIVPLQGLSSLTALILQENNLHGGIPSWLGNLSSLVYLSLGANQLTGGIPESLANLDKLSGLVTGSIPPSLGNLHDLTEIYLEKNMLTGPIPSSISNLSSLIIFHVPDNQLTGSLPTGNTFNFPLLEIFQATFNQLQGAIPTWICNSSMLTQFRMSRNMFSGTVPPCFDRLNSLLSLTIAGNQLQANNDHGWEFLVSLTNSSQLEIIDLSSNNFRGTLPNAVANLSTNLKAFALTDNMISSKIPEGIGNLVNLLYLIMSNNSFEGNVPTSLSKLWKLSHLDLGFNNLSGQIPPAIGNLTLLHKLHLGQNSLSGPLPSNLRNCTLEKIDIQHNMLSGPIPKDVFLISTLSDFMHFQRNMFSGSIPLEIGNLENIADIDFSHNKISGEIPPSIGDCKSLQYFKIQGNFIQGPIPSSVSQLKGLQVLDLSVNNFSGDIPQFLASMKGLASLNISFNHFEGQRGASQSFLAECETLRCVRHRNLVKILTVCSSIDFQGHDFKALVYEFLCNGNLDQWLHQHLEENSEDKHRPLPIIHCDLKPSNILLDRDMVAHVGDFGLARVLHQNNSDMLDKSGGWATMRGTIGYAAPEYGLGNEVSILGDVYSYGILLLEMFTGKRPTGSEFGEVLNLHNYIKMALPENVISIADQYLLSEDNDGEERNPDGERTRDKRIACITSILQIGVSCSRESPADRMQIGDALKELQRTKDKFTMSLN >LPERR02G07450.1 pep chromosome:Lperr_V1.4:2:5230700:5235283:1 gene:LPERR02G07450 transcript:LPERR02G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGLSFFLTLLLLATAIPPTSKATAQADTDHLALMAFKSRITSDPSSALISWGGNQSIHVCQWRGVMCGIQGRRSGRVVALDLSNLDLSGTIDPSIGNLTYLRKLDLPMNHLTGNIPSELGSLLDLQHVNLSYNSLEGSIPASFSQCQQLENISLAFNHISGGIPPAMGDVSKLRRVQLQYNMLDGAMPRTIGSLHSLEVLNLFNNSLAGSILSEIGNLTSLVSLMLSYNHFTGSLPSSLGNLQRIENLQLRGNQLSGLIPSFLGNLSSLNILNPGTNRFQGEIVPLQGLSSLTALILQENILHGVIPSWLGNLSSLVYLSLGANQLTGGIPESLANLDKLSGLVLAENNFTGTVPPCVDHLSLSSLSVLTIENNQLQLKFLDFSSNNFRGTLPNAVANLSKNLQAFSLKNNMISGKIPEGIGNLLNLLYLFMGNNSFEGNIPTSLGALQKLSHLDLGSNNLSDQIPPTIGNLTLLNKLYLGQNSLSGPLPSSLRNCPLEKIDIQHNMLRGPIPKEVFLISTLSDFMYFQNNLFSGSIPLEIGNLKNTADIDFSNNQFSGEIHPSIADCQSLQYFKIQGTFLQGPIPSSMSRMKGLQVLDLSENNFSGDIPQFLASMNGLASLNLSFNHFEGHVPKDGIFLNIKGNQGLCGGIPDLKLPLCPIHSTKRQSLKLIMAISISSAIILLILLLTLFLYWQRSKSQQAKADLSLINDLHLRVSYAELVNATNGFAPDNLIGVGSFGSVYKGRMMIQDQEVIVAVKVLNLQQSGASQSFIAECETLRCVRHRNLVKILTVCSSIDFQGHDFKALVYEFLPNGNLDQRLHQNLEENGEDKVLNIVQRINIAIDVASALDYLHQHRPLPIIHCDLKPSNILLDRDMVAHVGDFGLARVLHQNHSDMLEKSSGWATMRGTIGYASPEYGLSNEVSILGDVYSYGILLRELFTGKRPTGSELGEALSLYNYVKMALPDNVINIADQDLLSEDNDGEEINSDGETRITSIACISSILQIGISCSKESPADRMQIGEALKELQRTKDKFIMSLN >LPERR02G07460.1 pep chromosome:Lperr_V1.4:2:5238618:5239104:-1 gene:LPERR02G07460 transcript:LPERR02G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLGVRLQAILVMSLFLLQLPSLPCTLATVSEIKPVEALLMSREPHHEGHLDLLATTRSTRSRRILNNGGPGAIHNPACC >LPERR02G07470.1 pep chromosome:Lperr_V1.4:2:5242827:5243969:-1 gene:LPERR02G07470 transcript:LPERR02G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARIVVWLQAILVISLFLLQIPFLPCTLAAGSGGNSIEPLLMMRRETHHEGHIDLFAASKPARRPKPRRGPQPSHSLLQVVYGTE >LPERR02G07480.1 pep chromosome:Lperr_V1.4:2:5247585:5251223:-1 gene:LPERR02G07480 transcript:LPERR02G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSMLNGVQTCLILNLKITLRNVKKEQLRILNGFKSPVTNTKTILNEKFIGKVIEVASYVGKVHRREQIFRTICLRSKEFSRTRLIGKEVNVSMEYSRRINKDDGQIHNTMNSMGATAGFGVRLQAILVMSLLLMQIPFLPYALATGSEVKTDELLMGRETHHLQANQHGLVESYKESRILECSVRKLRPQSATPIPIRSNPKINLDCVRSRKFARSSACNIQFAGGIIDKRYKFACETKIENMYKFFGGSWCKTRS >LPERR02G07490.1 pep chromosome:Lperr_V1.4:2:5251351:5252115:-1 gene:LPERR02G07490 transcript:LPERR02G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMKITMVEMPLEVKSLMLSSIIAPRLLQQGGTDEPFAWESKEFVRRLLIGQEITFQEEYSIPSGRKFGMVYCGEKNIACLLVAAGLAKVKEQGQKGGLSPYVVEPLSLEGFAKDQGLGRWSKDPITIEESIGDLPPSTIGDGRSFEAKGFVAENKGKSLEAIVEHVRDESAICVHLTPNFLFVQVYVAGLQAPSIGRRAIPNAKAEVVGNGEASGEASATPALTAAQKLVALADIYSDIPPDKFGEEAKHFT >LPERR02G07500.1 pep chromosome:Lperr_V1.4:2:5252122:5254332:-1 gene:LPERR02G07500 transcript:LPERR02G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFYPHILREKVFPETTSLESILLLYYSHMVWQMHREYEDRSHYYDALIVSHARAEKTKKGYHSKKDCQLIHMVDLTTLPAKKANEFLHILQRTRRHSAIVDQIFNGHHFKVTIPNRDEPYSNEAITMMRRRILQLNIEIEIEIVDRSETFLENYEEVKISNASASDNKETLKVIVTEVLGSGMFYIQTLGDERVKFVRQQLVSLDVKDTSKTSEVKDQLETSKDESLVATLEHGDRQGCTNGGNDDGMASGESQGGAL >LPERR02G07510.1 pep chromosome:Lperr_V1.4:2:5252369:5252596:1 gene:LPERR02G07510 transcript:LPERR02G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSDETKFEVFYSDYGNQARVPYSFVRPTNPSISSIPPLVKLCILAFMKMPGLNDYLGQEATL >LPERR02G07520.1 pep chromosome:Lperr_V1.4:2:5252621:5257723:1 gene:LPERR02G07520 transcript:LPERR02G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEFDAIIEKQDTLGGKLQGQGTGEILSLTLFDSETENSFNVEMLEKVQVAYTFLRPINPSISSIPTLAKLCSLVFVKMSGLNDYLGQEATLYLNNILFDSTKEKEFKATIEKLDTLGGKL >LPERR02G07530.1 pep chromosome:Lperr_V1.4:2:5254379:5263757:-1 gene:LPERR02G07530 transcript:LPERR02G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNSMGANGLRVRFQAILVMCILVHKPFLPCTIAIGSGGKALELLMAEREMHHQGHLDVLATGKSTRSPAASKLREKETPVNLMGTHGLHHRIRLQAILVMCLLCHLPFLPCMLATGSEGKALELLMDREMHHQGHLDPLATSKLTRSRRILQSSSSPRVPASVDLFMVTNGAAPVTATTTGWRRGRVKAVPSGDTVEIMKITTIEMPLEVKSLTLSTVYFGEENIACLLVAVDLAKVKEQGQKGGLSPYVAELLRLEGLPRTRALDPIAIEELIGDLPPSTTGDGRSFDAKGFIAENKGKSLEAFVEHVRDRSIICVHLIASSFFVQIYVASLQAPSMGRRTIPNAKARAIGNGKASEEASATSALTAAQKLVASPDIYSNIPPKIFGEEAKHFTEIRVLNRLVRIVLEGADNLNNIVGSVYYSDGDVEKDLALEQVQNGLVNQFSTKKITRKVIEVVNGYCIVIADDADPSAERQVNLSSIRPPKLERSAEENKSSKQFAHVAKEFLRTRLIGKQVNVSMEYSRRINKDDG >LPERR02G07540.1 pep chromosome:Lperr_V1.4:2:5270145:5284396:-1 gene:LPERR02G07540 transcript:LPERR02G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIWICLLQANQNGLVESYNTGQEGHSSSSKKRPVNSMGAAALRVRLQAILIPFFPCTLATGSSGANSVDLLMGRETHHEGHLNLFATSKSTRSRRLLQHGGQGGGPDGTHNPPCCRRRLQACLVMCLLLLHKPFLPCTLGTGFGGKALELLMMDREMHHGGHLDLLATSKSIRLVAANLGDIKYLEKTAKTFDHYDVLRDLVRAQYNNFLKKGGNTGFHCGKDKRQSQNLGQSRGNLLAGALFLLLCLLLRMPFLPCTLASGSVGKSLIMGRETHHDGHIDLSASSKSTRSRRILQFLSPKGPGKR >LPERR02G07550.1 pep chromosome:Lperr_V1.4:2:5284438:5292175:-1 gene:LPERR02G07550 transcript:LPERR02G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMGAAGIHVRLQAILVMSLVLLQMPFLPCTLATGGTRGKSLELVLIIGRETHHEDYLDQLATRKSTRSLYLSGNLGAIPFGGRVQKTSLGLFGPGPVVEAFPGVRAEADGSEEYGDGHKDRQTETGEFDGIRWYWHQVANNRSNVPLPSADAISALYARHRI >LPERR02G07560.1 pep chromosome:Lperr_V1.4:2:5297048:5297479:-1 gene:LPERR02G07560 transcript:LPERR02G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGQHEHLLPVNQQPQLEEQQSMGCSILTIIGFMFLTFNSAMAVYRSERDLPTISFVVFSYVDLLLLFYCLRLFEKTPPESPRRHHIKTAVWLLTTMLTAVFSYKVAAIMPFPVQLLVWGMAAATVVGGFYAFFLHSEEQKH >LPERR02G07570.1 pep chromosome:Lperr_V1.4:2:5305498:5305982:-1 gene:LPERR02G07570 transcript:LPERR02G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALHSNVLSSEYVFAVGDAALARWELWNGNGDAFGDGSSAWRPTVHHNDDDTDTTQAYEFDFLILCIGRFSSMPNIPAFPSGGGPDVFRGRVIHSMELSDMDDADAAALLKGKRVVVVGSGKSVFDIAAECLIVNYSNAHVVMSGVERPCMMVCRSTR >LPERR02G07580.1 pep chromosome:Lperr_V1.4:2:5328516:5340047:1 gene:LPERR02G07580 transcript:LPERR02G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLIQALLLSALAVGLLQIIKVIRPKQQQTPPPPPGPWSLPVIGSMHHLIGVLPHHALRRLAAAHGPLMMLRLGATPLVVVSSREVAREVLKTHDANFATRPRLLAGEVVLYGCADILFSPSGEYWRKLRQLCSAELLGPKRVLSFRHIREQEMASRVEGIRAAGPLTPVDVGALFYDLAISIISCASFGKKQRNADEYLAAVKTGVSLASGFKIPDLFPKLQAVLAAVTGMRETLEDVHKTIDSTLEEVIEERRGKREDKGKCGIASNEENFVDVLIGLNEKGGHLREDSIKAVIFDMFTAGTGTLASSLNWGVSELMRNPRVMNKLQGEIREAFHGKSYIGEDDIQASNLPYLRLFIKETLRLHPPAPLLVPRESIDACEVNGYMILAGSRIVINVWAIGRDPKYWGDAEVFRPERFDGNPVDFIGNSYEFLPFGAGRRMCPGITYALPVLEMTLVQLLYHFDWALPEGVIEVDMEEEPGLSARRKAPLLLCATPFVVPISMDELFYQALLLSALAVAALQIVKAAVIRAKNSATGIPPPPPGPWRLPVIGSMHHLIGVLPHRALRDLAAAHGPLMMLRLGETPLVVVSSRETAREVLRTHDANFATRPRLLAGEVVLYGCADILFSPSGDYWRKLRQLCAAEVLGPRRVRTFRRIREQEMASRVEMIRAAGPLTPVNVSAMLYELTNSIASCISFGKKLRNADEYLLAIKTGISLASGFKIPDLFPSCRTVLAEVTGMRRALEDVHRIVDSTLEEVIEERRGEKEEKARCGMEDTEENFVDVLINLHEKGGHLSRDSVKAVIFDMFTAGTGTIGSSLNWGMTELMRNPRVMTKLQGEIRKAFHGKVIVGEDDIQTANIPYLRLFIKETLRLHPAVPLLVPRESFDTCEVNGYTIPAGSRVVVNAWAIGRDPKYWDNPEEFKPERFEGNMADFSGSSYEYLPFGAGRRICPGVAYGLPVLEMVIVQLLYNFDWSLPNGVTEVDMEEEPGLGARRKAPLLLCATPFVVPLFYKSLLLSVLAVALLQIIKVFLVRSAKPAPALLPPGPWRLPVISSMHHLAGRGALPRRGRTAR >LPERR02G07580.2 pep chromosome:Lperr_V1.4:2:5340047:5341160:1 gene:LPERR02G07580 transcript:LPERR02G07580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLGETPLVVASSRETAREVLRTHDANFATRPRLLAGEVVLYGYADILFSPSGDYWRRLRQLCTAEVLGPKRVLSFRHIREQEMASQVEEIRAAGPSTPVDLTALFSILVINNVSRASFGNKQRNAKEFLSAVKTGGHSSKWHTLEDIHRIVDSTLEEVTEERRGAREDKAR >LPERR02G07590.1 pep chromosome:Lperr_V1.4:2:5341173:5341445:1 gene:LPERR02G07590 transcript:LPERR02G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEENLADVLIGLHEQGGHLSRNSIKAVIFDMFTAGTGTLSSTLSWGMSELMRNPRMMTKLQNEIREAFHGKVTIAEEDIQATNLPYL >LPERR02G07600.1 pep chromosome:Lperr_V1.4:2:5342786:5343205:-1 gene:LPERR02G07600 transcript:LPERR02G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKAPPDQEAEEEPLPPPAGGGGGDGWVTFAGHAFLAITTTLELRRYSRGAAFVALSYPHLLLLLYFYCLTRFQGHPRGSPGRSRLKAPLCAIGSLLAVEFAYQLTGTARLTATRVREIAAADVVGAIYSLILKRKA >LPERR02G07610.1 pep chromosome:Lperr_V1.4:2:5346213:5351649:1 gene:LPERR02G07610 transcript:LPERR02G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGAAQPPRQYKLAPQNELRVEVPPDAPVRVRLVAGTAEIFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGISESEYSSDETPMVIYVNTHAILDARRARARTAASQGAPPEALQGPRVIVVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPTPSVSPDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKADEKLWKILKDAARNKPNIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNIVNFRDVSVYKIGSYQAPKSALPIGAEPVADPTRLIAVNISTDMVHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >LPERR02G07620.1 pep chromosome:Lperr_V1.4:2:5351140:5355233:-1 gene:LPERR02G07620 transcript:LPERR02G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHGDLDRQIEQLRECKHLAEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGEAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >LPERR02G07620.2 pep chromosome:Lperr_V1.4:2:5351140:5355233:-1 gene:LPERR02G07620 transcript:LPERR02G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHGDLDRQIEQLRECKHLAEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >LPERR02G07630.1 pep chromosome:Lperr_V1.4:2:5359488:5364742:1 gene:LPERR02G07630 transcript:LPERR02G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRKNRGHAPAGRAPPPPGQPRRRLASRDAAGRAAFFARREAAGVLRRVLRGDASRRAAGSIKSLVYSPSVCNKRATFALVCQTLKYLPILKEVLASSGVLTSKWKKQEELVYVTAYDILFGQEAAVSGSVEELIMLHKDTLMAALEKMCLRRKVHSVEDLVSKKSTVKPKPRFLRVNTLRTTTESVIEELNKIHKVDKDDLVPDMLVLPPGTDLHNHHLVTDGKVFLQGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGSIIACELNKERTKTLQNTIRRSGANNIETINGDFLDIDSNDPSYAKVRAILLDPSCSGSGISTERLDHLLPSHSRGDQDDASISSRIRRLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVKSVLPLASSLGFELSTPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAVDAVKPSNGCLGVRNQARKRRNGLMVFSSLRLSRMMLCSTRRKMTF >LPERR02G07640.1 pep chromosome:Lperr_V1.4:2:5364576:5365100:-1 gene:LPERR02G07640 transcript:LPERR02G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVGGGEPFLPSTAAMVQQLIDLPDELDRQLNPPTRAYVRDRRAMANTPMDVKEIPSSGEIVLAVDMPGVRAADVKVKVEDGNILTIAGERKRPADDGDDDGVKYLRMERRMGRFMRRFPLPESADIDGIRAEYKDGVLTVSVKKKPPPEPKKPRVVEVKVAGAGEPKGRK >LPERR02G07650.1 pep chromosome:Lperr_V1.4:2:5369831:5379472:1 gene:LPERR02G07650 transcript:LPERR02G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEQSAEQFKGQARLPQFAAPRRYDLRLTPDLDACAFAGSVEVSVEVTAPTRILVLNAAELEVAPGDVHYKPQGSDQVLHPAEVTNVPKDEILIIRFDEVIPLGEGALAIAFKGILNDKMHGFYRSVYELNGEKRNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSETVALSNMPVVEEKANGPTKVVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTKVRVYTQVGKSDQGKFALEVAVKTLILFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALEGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGADTFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLLHGKQEDFNLSGLVECQKKDDFWIKLNINQTGFYRVSYDEEFASRLRYAIEASKLSSADRYGVLDDTYALCMAGKQKLVTLLHLIAAYKDETEYTVLAHVIHTSLSIVEMMAVAAPEGLDKLKKFLVDFLEPFAQRIGWDVKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNKSNKAGYESLLKIYRETDLSQEKVRILGSLGSCPDPDVVRDALDFMLSPEVRNQDSIFLLRGVSAAGHEAAWAWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVKQSIERVRINAKWVESTRAEANLGSVLKEIAHKQ >LPERR02G07650.2 pep chromosome:Lperr_V1.4:2:5369831:5378117:1 gene:LPERR02G07650 transcript:LPERR02G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAEQSAEQFKGQARLPQFAAPRRYDLRLTPDLDACAFAGSVEVSVEVTAPTRILVLNAAELEVAPGDVHYKPQGSDQVLHPAEVTNVPKDEILIIRFDEVIPLGEGALAIAFKGILNDKMHGFYRSVYELNGEKRNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSETVALSNMPVVEEKANGPTKVVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTKVRVYTQVGKSDQGKFALEVAVKTLILFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALEGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGADTFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLLHGKQEDFNLSGLVECQKKDDFWIKLNINQTGFYRVSYDEEFASRLRYAIEASKLSSADRYGVLDDTYALCMAGKQKLVTLLHLIAAYKDETEYTVLAHVIHTSLSIVEMMAVAAPEGLDKLKKFLVDFLEPFAQRIGWDVKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNKSNKAGYESLLKIYRETDLSQEKVRILGSLGSCPDPDVVRDALDFMLSPEVRNQDSIFLLRGVSAAGHEAAWAWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVKQSIERVRINAKWVESTRAEANLGSVLKEIAHKHRLSSGKISPPRSGRSFFLSSAHLIASFANSMASSTGQSVTVGSSTS >LPERR02G07650.3 pep chromosome:Lperr_V1.4:2:5379195:5381750:1 gene:LPERR02G07650 transcript:LPERR02G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIEYLLVVHCLGCSPPLLGFALRLPLCSQSLLSLQLCNSLCSLCCFQCGSALCLLHLDQSKSFLFGIPEFLILLGLLHLHLIHGGGRRPTILLWPDMNKLKNAASGEEFVHRPFGRLLAVLVPVDGDHGGAVPRRLVLAAAAAVCRRRRGGEGRQGCNGRLL >LPERR02G07650.4 pep chromosome:Lperr_V1.4:2:5378147:5381750:1 gene:LPERR02G07650 transcript:LPERR02G07650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQPHRRPRGNNLQQQNPQRVDVRFGGEHPRLLVLGIDVAECPRRRRHPVLRGVGGGGGGLGDEAGEADVADLADKVVVEEDVGWFQIAVDEGLGFGLVEEEEARGDVGGDAEADVPWDWEAKHLYLHKKKRIHGGGRRPTILLWPDMNKLKNAASGEEFVHRPFGRLLAVLVPVDGDHGGAVPRRLVLAAAAAVCRRRRGGEGRQGCNGRLL >LPERR02G07650.5 pep chromosome:Lperr_V1.4:2:5378315:5381750:1 gene:LPERR02G07650 transcript:LPERR02G07650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTRQPYSGQAPSRSTMFGCLMQLSTSICTRRKGVVHCLGCSPPLLGFALRLPLCSQSLLSLQLCNSLCSLCCFQCGSALCLLHLDQSKSFLFGIPEFLILLGLLHLHLIHGGGRRPTILLWPDMNKLKNAASGEEFVHRPFGRLLAVLVPVDGDHGGAVPRRLVLAAAAAVCRRRRGGEGRQGCNGRLL >LPERR02G07660.1 pep chromosome:Lperr_V1.4:2:5377865:5381661:-1 gene:LPERR02G07660 transcript:LPERR02G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSSSASAHGGGGGEHEAARDSSTVVAVDRDKNSQQAAKWAVDKLLARGSVLQLVHVRPQQNVEAGRGGKDEADAEMQQMFISYRGYCARKGMQLKEVILDGNDISKAIVDYATSNAITDIVVGASTRNTFIRKFRNPDVPTCLMKMVPDYCTVHVIHKGKTIQVKAAKAPAPFTTLPPKQYSQSSIDSDGYARSRGDWKKISNQSSPKANRPSVDRLPGIAKAPTRERPLSGARTAPQKDFDDYIDFIAPPRPSVTRSSFSEDVDFPMSMELQSMDLVDSMELSTSMMSMESLSSAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKVEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKREAEERRRATEAMNNTDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRRQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGNTFPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAAAAADATQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGILLLQIITARSPMGLTHHVESAIERGRFQEVLDPTVTDWPVEEAMEFAKLAIRCAELRKKDRPDLGGEILPELNRLRCLGQEYDASKVSSSSTNCSSSAPYSYGNDDVSIS >LPERR02G07670.1 pep chromosome:Lperr_V1.4:2:5383089:5388009:1 gene:LPERR02G07670 transcript:LPERR02G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPTQPPTVPIAVAVRPGGSASRRAARWAAANLPSAGDDGRAASISVVHVIPPLRFVPSPCNPPLPPSLLLDRLPDFVRVRSLTLVFSLRARASVEAGEQVPAARVGREAAEAYARDRHARAQESLRPFRRIFASAAANATTVETVVVEGDGVAEALARYAADSGVRSLVLGSSSLGWFRRAMSIRDVATAVLKTTDISCNVFVVSKRRLTMKVTRHPHMSRSGTGLRIQTISQKAFAQSYRSLLFDNFPEDGIHSDSCCQSRISNCGPTNARQSSESHGQILCRSLGAKTTGIEGYRNHGFRIPLKEAHYADSNSGEECQSIDEVAKLRKELQDTLVMYDRACEDLVHAKKKIQVLSSECSEEARKVEYALQEEEALKQMVANEKAKHMEAIEEVEQAKKSFTREAYSRNKAEIVANMISLDKAKVVDAILSTCKNCRRYKKHEIEIATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLENQLLNKKGQQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLDKNYGGKIGDAGFAKLISDLVPDWQTEYRETIIAGTLYYMDPEYQQTGTVRPKSDLFALGVIILQMLTGKRPNGLIVSTENAMRNGMLADILDKSQIDWPLAEAEMLAELGLRCTALKCRERPSLESQVLPKLQEILHRITSTVSLRSPKLNVPNHFICPIMQEVMNDPYVAADGHTYEQLAIKAWLKKHKVSPVTRRILANSAVIPNHSLRAAIQQWKSQSTHAKA >LPERR02G07680.1 pep chromosome:Lperr_V1.4:2:5398122:5405751:1 gene:LPERR02G07680 transcript:LPERR02G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTSLLPSMAPPQANSGEESKLSPSGLPIREIPGGYGVPFLSPLRDRLDYYYFQGVEEYFRSRVARHGGATVLRVNMPPGPFLAGDSRVVALLDARSFRVLLDAGAVDKRDTLDGTYVPSLSLFGGHRPLAFLDASDPRHAAIKRVVMSLAAARTPRHVAPAFRAAFDAVFDAVESGLAAGGGVEFNKVNMNQMLDFTCAALFGGVPPSKAMGEGAVKKAVKWLIFQIHPIASKIVKPWPLEDLLLHTFRLPHFLIRRDYADLTSYFSAAAASILDDAEKHNQIPRDELLHNLIFLTIFNAYGGFKIFLPHLLKWLARAGPDLHAKLAAEVRATVDNDVIITVSDVERMPLVKSVVWESLRMNPPVEFQYGRARRDMVVESHDAAYWVREGEMLFGYQPLATRDARVFDRAGEFVPDRFVGDGEEVRRLLEHVVWSNGAENGAATEGNKQCPGKDMVVLVGRLMVAEFFRRYDTFVAGVVEMPLEPVVTFTSLTRAGAGAGGARA >LPERR02G07690.1 pep chromosome:Lperr_V1.4:2:5411017:5419017:-1 gene:LPERR02G07690 transcript:LPERR02G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKLWKPENTGFAVTSVSLCFNFIERGITDLFEKRRSTRVAAMEGLIDELERFRASAAAEFGRKYATVVSRCVFSLKKGSFKEACLAYRVIGLLAVTLAAGAGDGDGGGEVSVEAKEVLAEAFPFLARTVEASSDMARVVAAVNGLAAATFAGADGDGEIEKSMDAIWRGVIAGEARKTMLDALAAAVSAWAFLLAAVHDRYESSSSPEDDNSGENTYNDKIEHLINLVDTTDDIAVRLAAGEAIAACVELNLTHSTSPQDMESLKTTISNLAADHATGDKDTFQQIEAYLDDGESPSKLIHTSLTRQGFINVTTWTKLLQLNFLSRFLGGNGFQSHLHGNRLIGETFMVAGDETEAAAAEKKLGRRGREKKWSLERRRCRDAVWMEKNKFGLPEEVPESGGSMELMLFPAPAPTAFYMLPPVQEEQQKQPLAMLDEVFDKLHDSRASTRESALATLAGALEGFINYGESSYYAGGPCDAVIRRCCASVKKGASAKESTLALRSVALLAVTFRGGDGARRIMAEAFPLASRIVAESNDVALLLAAVDCLAVVAFVDVDAEDSVDDTEACLDSIWGLICPSTSPNLAVAAARTAAPASPRVLAAALSAWTLVLTTTGGWKGIPRMWRGDTAAHLAGMLYSDSRAVRIAAGEALAVSIEMKLLTRHKNGGLLKDLEERAADLAIEAAGAGVVKDGFLEQKDLFRKIASYLAGGKPPESSVRTSSSNYGVLTTSTWKDMIRLNFFRRFLGGGFLSHVQGEGLMRQVFTVKDDEVAGKLSAARSKRSLKKELNGAMSMDKKQDKQRGVKKDRLTSYELKHGTDL >LPERR02G07700.1 pep chromosome:Lperr_V1.4:2:5414202:5416086:1 gene:LPERR02G07700 transcript:LPERR02G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFFTHRYTSGRDSAAAATSNVVSSNATASCGSAYERVAAIKDAVVSLHEGRASTREAALSSIIAALEGFVLRRCCASIKKGAARESTLALRAVALLAVTVRRGGGGAVAKRIMSDTRPLVSRIIRESTDASLLIAAVECLAIVAFVDVDADNMDDTEACLDSIWGLICPAARTTATASPRVFAAAVSAWTLDGRPRRGGGRARRHGGLLYSDCRAVRIAAGEALAVCIEIKLLTRRKNGELLEKFEDRAADLSVEAAGAGVAKDGFLEQKNLFRKIVSFLDGGEPPVSSVRTSASHVLATSTWTDLVRLSFLRRFLAGGFLNHIQGDGLVRQVFTVKGNEIAGKLPAARSKQEVNGGMSTEAKKEKQRGLKKDREASYEVKHGSVTILQ >LPERR02G07710.1 pep chromosome:Lperr_V1.4:2:5416487:5416723:1 gene:LPERR02G07710 transcript:LPERR02G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAWKDEAAAQDLLKVDAARVHKVAAAQSRKDAVAPMRKAHGRAPVPLVPEQSSSGYELLHQGPSPTAAASSTAAP >LPERR02G07720.1 pep chromosome:Lperr_V1.4:2:5420567:5424478:-1 gene:LPERR02G07720 transcript:LPERR02G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGVVVLLVLVAAQVANGAVSYDHRSLLINGRRRILLSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPEQGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAHMQRFVEKIVSMMKSEGLFESQGGPIIMSQVENEFQPMESAYGAGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNRNYKPSMWTEAWTAWFTSFGGGVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSTDPTIQSLGSYEKAYVFKAKNGACAAFLSNYHMNSAVKVRFNGQQYNLPAWSISILPDCKTVVFNTATVKEPTLVPKMNPVVRFVWQSYSEDTNSLSDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGPNDLRSGQSPQLTVYSAGHSMQVFVNGKSYGSVHGGYDKPKLTYNGRVNMWRGSNKIAILSSAVGLPNVGNHFENWNVGVLGPVTLSGLNGGTKDLSHQKWTYQVGLKGELLGLHTVTRSSAVEWGGPGGYQPLTWHKAIFNAPAGNDPVALDMGSMGKGQIWVNGHHVGRYWSYKASDSCGRCSYAGTYDENKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVMEEYGGNLAGVTLATRTT >LPERR02G07730.1 pep chromosome:Lperr_V1.4:2:5432052:5436140:-1 gene:LPERR02G07730 transcript:LPERR02G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRGGIRGPSRPSRGGILALLMLLFFSACSSTATAAAASPGEMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLSSLFNSYNPSLLMSTAYYRMDLYERSLNEVPVTNFFGSVMKTVHTESAYSGFLAAHDVETILSTGDIPLGHDISERRSNIEEMKEEQLRSHGWTDVLLEQLDGKNADTIVMYGLGTMGILLAISTWLSM >LPERR02G07740.1 pep chromosome:Lperr_V1.4:2:5438242:5442127:1 gene:LPERR02G07740 transcript:LPERR02G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLLALICAIPVIASGIWFASAQGAECARLARWPVAILGGLILLAAIAGFVGAYWNRRRLLAFYLFAMASLIALLIALLVFAFAVTRGSGSYPVLGRAYDEYRLDGFSMWLRGYVSDDPGRWERIKACLVVSDTCKKMARQAGFSGCCKPPSVCGFGYVSPTVWSSPASHPAADPDCGVWGNDPSQLCYECESCRAGLLAALRDQWHRANIALVVATVSLVFLYLVGCSAYKNAQAESLFRRYKW >LPERR02G07750.1 pep chromosome:Lperr_V1.4:2:5441623:5445530:-1 gene:LPERR02G07750 transcript:LPERR02G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEGSSTAAAEEGNKEAAAAPAPAFRLAEVALRVCVVPLALASLWEMATNKQVDDTYGGISFNNLSGFRYLVCINAITAAYSVAAILLSSFKFIARFDWLVFLLDQVSAYLVLTSASAAAEVVYLAREGNREVSWSEVCSYFGRFCNTATLSVALHAGALLCFMALSLISAFRVFSKFHPPPSPSSSESKQQAEEQGK >LPERR02G07760.1 pep chromosome:Lperr_V1.4:2:5449611:5451470:1 gene:LPERR02G07760 transcript:LPERR02G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRCLIAFMAMASCLSVVVSGHLRQIPAGGLPCELFALGIATKLRVGSNSTAKASSDFGRMVTAAPEAVLHPSTPADIAELVRFSASSPSPFPVAPRGQGHSARGQSLAGGGVVVDMRALASRCGHVNVSAGGATASPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLHITVAGTLSNAGIGGQAFRHGPQISNVLELDVITGNGDMVTCSRDKDADLFFAVLGGLGQFGIITRARIGLEPAPKRVRWVRIAYSDVATFTRDQELLISKQAREAGFDYVEGQVQLNRTLTEGPESTPFFSSTDIGRLAGLASKYMTGTIYFIEGAIYYNESTAISVDQKLRSVLDQLNFEKDFLFTKDVSYVQFLDRVREEERVLRSIGLWDVPHPWLNLFVPRSRILDFDAGVLKGVFADANPVGVILMYPMNRDMWDDRMTAVAGDDDVFYLVGLLRSAVVADDVEQLERENEAVLAFCVNEGIGCKQYLPHYVSRDEWQRHFGDKWSRVAELKAKYDPHGILSPGQKIFSSPASMALATM >LPERR02G07770.1 pep chromosome:Lperr_V1.4:2:5455361:5457217:1 gene:LPERR02G07770 transcript:LPERR02G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLIAFRAMASCLSVVVSGHLRQIPVGGLPGELFALGIASKLRVDRNSTARASSDFGRMVTAAPEVVLHPSTLADIAELVRFSATSPSPFPVSPRGQGHSARGQSLAAGGVVVDMRALASRRGGGRVNVSVGGGAAAPYVDAGGEKLWADITVAGTLSNAGIGGQAFRHGPQIANVLELDVITGRGDMVTCSRDKDPDLFFAVLGGLGQFGIITRARIGLEPAPKRVRWIRLAYSDVVAFTRDQELLISKQSSEAGFDYVEGQVQLYRTLTEGPESTPFFSSIDIGRLAGLASKSMTGTIYFIEGAMYYNESTTIFMDQKLGFVLEQLSFEEGFVFTKDVSYVQFLDRVREEERVLRSIGFWDVPHPWLNLFVPQSRILDYDAGVLKGVFAGANPVGVILMYPMNKDRWDDQMTAVAGDDDVFYLVGLSRSAVAVGDLERLERENEAVLAFCDKKGIGCKQYLPHYQSQNGWQRHFGAKWNRVAELKAKYDLHGILSPGQRIFSPLSRVPVAAT >LPERR02G07780.1 pep chromosome:Lperr_V1.4:2:5467674:5469088:-1 gene:LPERR02G07780 transcript:LPERR02G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQIPATLPLMEGDQDQGLFPAFHRAKDPPILFPFMIDSSVEHQGQSYGDQTLRRQQVLGESNQQFNDHMMMGGSDVFLAPSPFRPTIQSIGSDMIQRSSYDLYDIENKHVNGSTSKWMSTPPVKMKIIRKGVTTDPEGGAVVRKPRRRAQTHQDESQQHQQQAMGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAANGGAPPSKIAVTANKPAKMKEKRADVDRSLPFKKRSKMVVDHATAAASIAVKSTAAAAAVAPKNDQDHGVISENVNAAAAAADQSPTKAVSPSPAPAFFHGLPRDEITDAAMLLMTLSCGLVRS >LPERR02G07790.1 pep chromosome:Lperr_V1.4:2:5476711:5477680:-1 gene:LPERR02G07790 transcript:LPERR02G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGEVCPARLRPASLFPVHHLFGEMRPSRPTKTRRTGGLVPSLCVLVTDVVLGLGETSGTV >LPERR02G07800.1 pep chromosome:Lperr_V1.4:2:5478987:5486221:-1 gene:LPERR02G07800 transcript:LPERR02G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLSGGAGGGGGSGGTAAAAAAPPSDHHRPRQHRRSAHPPPPPPPVVVAAAAVEAPVVTAPAVAVAEPMGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPAEALSARYWNHSVVNYDERLSDGFYDLCGAPFHAKFPSLTTLRAVPVRAEVSYVAVLVNRERDPALKRLEERALAIAAKNRAEHGGVASPELVQKIANLVVDAMGGPVEDADEMNREWGVRSRALCLQRNSVVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGILIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGHENRNDSVGSSSEQKSVLGLASSQLEDTFHSENPLKQSVISDEGQFNVLNSEGDIPQQMKVNDAPMYLVPTEVDPQLAQNLHDLLVEGSALLPTYEKPEISKNTASEDDKTRGWLVIAKTSQNLPNGHVAEDSPFQHGNTKALAVVNCFQEAQHPVGNTEAIGRNLGLHDHTANAIANEDQRFSEDSLVKMPGSSNRNLDKSSCSSTKTISSVMDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKEIDPLVASIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENC >LPERR02G07810.1 pep chromosome:Lperr_V1.4:2:5489681:5494508:1 gene:LPERR02G07810 transcript:LPERR02G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPAAPQPLVSQFSLLRERRRDGDSPSSSSPPPPPLRPRPFQTPLRRHRRVRNLPRSFPPPISPTLPYALDSERLLRLRFAAEGSSSRNRIVELLALGIGTPFDMLHSREQIRRPSIVSLIPGSKLQVWMRHLLIFLKKGAEAHR >LPERR02G07810.2 pep chromosome:Lperr_V1.4:2:5489681:5494508:1 gene:LPERR02G07810 transcript:LPERR02G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPAAPQPLVSQFSLLRERRRDGDSPSSSSPPPPPLRPRPFQTPLRRHRRVRNLPRSFPPPISPTLPYALDSERLLRLRFAAEGSSSRNRIVELLALGIGTPFASVHRQPDSRFQVASVDASPAHLLEEGSRGTSMSRLDPAR >LPERR02G07820.1 pep chromosome:Lperr_V1.4:2:5494091:5498142:-1 gene:LPERR02G07820 transcript:LPERR02G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFLLGEESRRRILHRAAACLPGCAYICAWAPAPLPPPQISSSSSVAVGRLIYCVDAWFISGGDGDVRQLFDAYRGSVCAAVTGCVPGWAYIGGGEYMELPEIELAGSASLPVQQRFYQEAGIKMAAFMGCDSGEIEVGFSTPPAANYGVSGGGGLQANMEQVFSEDFFQQSLLEEFLQLSPTRPSSSSSSPLPSLSVDAAASTSSSLLLRPIPPSSSPSPTTTTILHPRHVSPFARHAAVVAGAGIAGGGVLEFPSADADDAAMAQAMLHVISSSPSTPSSASANHMMTRSPRRGTATAFRPYAAALAPRRRTAAGGQRMIKMGISILRRMHMVRCSQEHAVAAGVARRGNEGGEEEDSSPAAAAAGAAPTSSQLHHMISERRRRERLNESFEQLRALLPPGSKKDKATVLAKTLEYMNLLIAQISELESTNRSLQLQTQIHHQHAINGPITSSMIRTVNEVHHHHQLPLAGGGIGSPERVHVQVIAGGGASSSSPEVTVRVAARGGGGDVSELVLRLLKLIKEMGRFTVVAVDARQPAAGGGGGGGGAQASLTLRAMTAGEFDEASLKEAVTKAVENLVTSSPPLPMP >LPERR02G07830.1 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFEREDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.2 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFEREDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.3 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.4 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGFSSEALLGRQLKVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFEREDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.5 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.6 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGFSSEALLGRQLKVEVKRTVPREEMSSKDGPKTRKIFVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07830.7 pep chromosome:Lperr_V1.4:2:5504707:5507892:-1 gene:LPERR02G07830 transcript:LPERR02G07830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGYDEEDGGGGRPGRRGGRDGGDGGAGYGDAGGDDVRAPGGDSSGFSSEALLGRQLKVEVKRTVPREEMSSKDGPKTRKIFVEIKKAEPKKHGGDHSSNGRSGGSGGGYRSSYRSGGAAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGAGAYGGGGAYGGAYGGGGAYGGAPGAYGGAGGGYGSYGGAGGAAGGGRGSSRYHPYGK >LPERR02G07840.1 pep chromosome:Lperr_V1.4:2:5509115:5513318:1 gene:LPERR02G07840 transcript:LPERR02G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSPASTAALTLALALASAGLLFLLLHLSPSSPSPHPHPHRRLRLRAAGGASASQRQIPFDPVIADLERRRDDRKWERLAAAGLHAPGFEASPVPEDHLIDGGGGGDPDEDYINDAARFNVTRRVEALFPRIDVDPADGAVTAAELTAWNLASARREVLHRTARELELHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFIASDVDGDGFLNLTEFNDFLHPADTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNGSDAPAKKSFTQLDLDNDGLLSADDLKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >LPERR02G07840.2 pep chromosome:Lperr_V1.4:2:5509115:5513318:1 gene:LPERR02G07840 transcript:LPERR02G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSPASTAALTLALALASAGLLFLLLHLSPSSPSPHPHPHRRLRLRAAGGASASQRQIPFDPVIADLERRRDDRKWERLAAAGLHAPGFEASPVPEDHLIDGGGGGDPDEDYINDAARFNVTRRVEALFPRIDVDPADGAVTAAELTAWNLASARREVLHRTARELELHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFIASDVDGDGFLNLTEFNDFLHPADTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNGSDAPAKKSFTQLDLDNDGLLSADDLKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >LPERR02G07850.1 pep chromosome:Lperr_V1.4:2:5514061:5516387:-1 gene:LPERR02G07850 transcript:LPERR02G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSMEASNCSISLEISHVAAPSLPVLLLGSSLAMLGVFLVYFYAPFWSVRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVEALVDNIASCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADDGGEDDDKDNDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCIQTPCKRLLRHIPGTADYKMDQNERRLCRRIDAIVAAARHRDNRGTGSSAPLDFIAALLDAQEGSGGREFALEDRHVRALAYEHLIAGTKTTAFTLSSVVYLVSCHPQVEEKLLREVDGFAPRGGIPNADELHARLPYLDQVIKEAMRFHLVSPLIARETSEPVELAGHLLPKGTYVWLAPGVLARDATQFPEPEEFRPERFAAEAEEERSRHPYAHIPFGIGPRACVGHRFALQQVKLAAVRLYRRYVFRHSPAMESPIQFDFDLVLGFRHGVKLRAIKRQQHA >LPERR02G07860.1 pep chromosome:Lperr_V1.4:2:5516558:5518198:-1 gene:LPERR02G07860 transcript:LPERR02G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQGWGWGWGAMISWILSITLEQKGTLGRVFPQGDGNRRNNVNPATDIQRMWTIFSHFVGHAEGNWIPNSLRHPTNSDATDGKGRNASNQMVAANTWTDKVKNCWIVMYFTTHSLPFL >LPERR02G07870.1 pep chromosome:Lperr_V1.4:2:5522158:5525714:1 gene:LPERR02G07870 transcript:LPERR02G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNVATKDGISARLVGKIEPYQPLCSVKDRSALRLIEDAEERGLILPGITTLAAVTSSNLGIGVAFVATQKGYKFIAVMAAKHSIDKQILLRYLGVEVILVGKKLSTMALILQDPALNGFKGLLDRVEQLKEEMENVFIIDQFTNPANPDAHFRWTGPEIWKDTEGKVDIFLAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFVPEILDRSQIDEIVTEILDRSQIDEIVTVAAREENKGKIIVTMFSSGGERYLNSELFAQVKEQCININKAF >LPERR02G07880.1 pep chromosome:Lperr_V1.4:2:5527442:5530493:1 gene:LPERR02G07880 transcript:LPERR02G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYVSFFLFFMIQFSLLPKSHPQSTNQSDDEHQILLELKKHWGSSPDLGRWNFDSVAHCNWGGITCTNGAVTAISLPSQKFVKPLPPSICLLKNLTYLDLSYNNISSPFPTMLYNCSNLKYLDLSNNSFAGQLPNDINSLSALLEHLNLSANHFIGRIPPSIGLFPKLKSLLLDNNQIDGTYPAKDISNLVNLERLTLAVNPFLPAPVPVEFGRLTRLSYLWMSDMNMTGEIPESLSSLRELDLLDLSSNKLHGKIPTWIWQHKKLQYLYLYANRFTGEIEPNVSALSLVEIDVSSNKLTGTIPDGFGKIANLTLLLLYLNKLNGSIPPSIGLLPKLTDIRLFDNMLSGPLPPELGKHSPLGNLEVCNNNLSGELPEGLCSNRKLFDIVVFNNNFSGKLPSSLDGCYLLKNLILYNNNFSGEFPKSLWSVVTNQLSVVMIQNNNFSGTFPTQLPWNFTRLDVSNNRFSGPIPMLAGKMKVFSAANNLLSGEIPWDLTGISQVMELDLSGNQISGSMPMTIGVLQRLSTLNLSGNQLSGNIPAVFGFMSVLTVLDLSSNSLSGMIPKDFDKLILDFLNLSMNQLTGEIPTSFENEAYQQSFLFNPGLCVSSSNSVHNFPICRARANISSDRRFIALCSAAASIMLLGLALVGFMLTRRKKHIQRHLSWKLTPFHVLNFTENEILSGLCDHNWIGSGRSGKVYHVYAGDTTSGGQEVAVKKIWNMQNHDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLVYEYMENGSLYQWLHQRERIAASTPLDWPTRLQIAIDSARGLCYMHHDCSPAIVHRDVKSANILLDSNFRAKMADFGLAKILLRAGDESFSAIAGTFGYMAPEYGHWLKVNEKIDVYSFGVVLLELITGRVANDGGEYYNLAQWAWRQYQVYGLSVDLLDEGIRDPTYVKDALEVFTLAVICTGEQPSVRPSMKDVLHVLIQFDRKSTGVQGSLKQVTSDET >LPERR02G07890.1 pep chromosome:Lperr_V1.4:2:5530051:5532745:-1 gene:LPERR02G07890 transcript:LPERR02G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPEQTHTGFSISIAERKIASSKPAESKLQISPKTLVPSYIHRKPPPATGGRRLRRFLTFLPSILPVLSRDIRTAQSLL >LPERR02G07900.1 pep chromosome:Lperr_V1.4:2:5561831:5562490:1 gene:LPERR02G07900 transcript:LPERR02G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAAERPAPAANDLRCYSASYVTSYKPAAAPAPATAAKAMKRATSSVQRSGSTRSGWGVGGGVGPTPGFNLRSYSASYAASYSPFEDPNPAAAAKSNNPAAAKWASSAAGRRSVNLRGYTPSFAALDDTAEAPPVSIPAARRSKHQQQVSPTGSAGSGSGGLVDEAELQRRKRLVGYKAYDVEGKVKDSVRRSFKWIKGKYSRAVDGSSAKW >LPERR02G07910.1 pep chromosome:Lperr_V1.4:2:5591020:5594318:1 gene:LPERR02G07910 transcript:LPERR02G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEKLEQVHESDESIVYVKDEQGGSGKGLGGGARKVASLFSQRGKKGPNQDSVILCQGFGMEDGVFCGVFDGHGRNGQFISKLVRDYLPFLILSHRNALFLSSAAADDDDAAFSDDAAAASTDSSGNTSPSPTTLDEWRSALTSAFAAMDGELKLQPNLDCSFSGTTAVCAIKQGKDLIVANLGDSRAVLATMSESGYLKAIQLTSDHKPSLAAEAARIKNAGGRVFGLKDEPGVMRVWLPGENSPGLAMARSIGDFRLKRHGVVSTPEVTARRVDADGVSVDLFIVLASDGVWDVMSNEEVVSIVCATPRKQHASKAVVEAAVQRWKTKFPTSRVDDCSAVCLFLHDQSWSSAAASAAAAAQKARGSR >LPERR02G07920.1 pep chromosome:Lperr_V1.4:2:5598242:5604285:-1 gene:LPERR02G07920 transcript:LPERR02G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTSQSSYNGTASSSSSSSSRALLANGGCSEKLYNCPNNDLAFPPGGCASLRLPVVTSQDLNHVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEASGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDVNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLHVATGSYSNLFRVFGCTPGSEEATTLEASRNPMRRQVANQTRPARTLTSLTRAVRRGGENPGIDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >LPERR02G07930.1 pep chromosome:Lperr_V1.4:2:5611410:5626445:1 gene:LPERR02G07930 transcript:LPERR02G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPRRRTGFSSAPPTASPPSGGGGGGSYNSVPPPPTDEIQLAKQRAQAIAARIFNAAEAKRPRVDSADDDDAGAGAGAGSFGGGGGGGTGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYSQGGTSKKIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEELINEVLAEADAASSGNISGRKYNAPQPGGEQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVFIDGTQEQIEIAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGAAPAQQPGYGYMQSGGYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYSQQSGGQQAYDYSSYYQAQGQQQGYSQQTGYDQQQGYGASGYGSAPNSTQDGSAPSYGGQGGASQTSPGQKTSTPAAGSHPGYSSQPPTSAAPSYPAQGSAPQSGYGAPPPQPGYGTQPQGGYGQGSYGQPPQGQKGPNSSPYGQAPPPGSAAPGGYGQYGYSQQGYGAPPPYPGAPAGYGQQQSYGDPYGSGSYGQPASYSTEATTTASQDQSASAPAPGAAFATAAAPAPAPENSGNQSPPS >LPERR02G07930.2 pep chromosome:Lperr_V1.4:2:5611410:5626912:1 gene:LPERR02G07930 transcript:LPERR02G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPRRRTGFSSAPPTASPPSGGGGGGSYNSVPPPPTDEIQLAKQRAQAIAARIFNAAEAKRPRVDSADDDDAGAGAGAGSFGGGGGGGTGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYSQGGTSKKIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEELINEVLAEADAASSGNISGRKYNAPQPGGEQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVFIDGTQEQIEIAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGAAPAQQPGYGYMQSGGYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYSQQSGGQQAYDYSSYYQAQGQQQGYSQQTGYDQQQGYGASGYGSAPNSTQDGSAPSYGGQGGASQTSPGQKTSTPAAGSHPGYSSQPPTSAAPSYPAQGSAPQSGYGAPPPQPGYGTQPQGGYGQGSYGQPPQGQKGPNSSPYGQAPPPGSAAPGGYGQYGYSQQGYGAPPPYPGAPAGYGQQQSYGDPYGSGSYGQPASYSTEATTTASQDQSASAPAPGAAFATAAAPAPAPENSGNQSPPS >LPERR02G07940.1 pep chromosome:Lperr_V1.4:2:5634140:5639448:1 gene:LPERR02G07940 transcript:LPERR02G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKLLDQRQSMNVWRFVQTMNERYDLTEDLKQLQCRTLIFVGEHSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPVEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLEV >LPERR02G07950.1 pep chromosome:Lperr_V1.4:2:5641740:5642315:1 gene:LPERR02G07950 transcript:LPERR02G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHGGGVIRTVTLRGSVDGARVRVAAATMAAASPTAKSRLDETLSRHNHHHSHLPDDILINVSGVSRPVLARVADYCDRHFPSGAAAAGEFAEFTAPAGYGFEDPLDRFDAELVGGADIDTVIDLLRAATFLRIGNLADLAAREVAGCMRGKKVEGIRKVFGIVNDYSKEEEEEVRKENSWAFDAYSGS >LPERR02G07960.1 pep chromosome:Lperr_V1.4:2:5643752:5644201:1 gene:LPERR02G07960 transcript:LPERR02G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEASSTNTTPPSPSPPASSITAAAGRSKKATLRCSDGAEFVVSADMIAASSPTIKKTLDENSTSSSSAAVVSLPGVTGAALAHIIAADYLAVDELVDLMCAAVASRLEKSVERVREIFHIVNDFTDEEEEEIRKEIPWAFGDEFDYD >LPERR02G07970.1 pep chromosome:Lperr_V1.4:2:5645116:5646399:-1 gene:LPERR02G07970 transcript:LPERR02G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAIVPAPSPARSRRLPCSTSAASMRGSNASVSTADRLQLVAGMDERATAPANGRSSSSSSSPPAPAMPQLAVEKLRAIAEAAADKAEMHDIIGRQRDNWNHLLLHSTNSLSLAASALAALAATAAPPPTMAAALKLSAGALLATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDLRANLAAGAGAGDVDDAMDRVLALDAAYPLPLLPVMLEKFPKSLSPTRWWPTKNPRSPTSPPSMPPNCGGRRVTAAGNGWTPELEEEMLGLLRVIKLKDEHEYIKVGNLILAINKRLAVAGPTLAGTAAIAAAFITSGEAGTWAAGGAVIGGALAAAVNTVEHGGQVGMVFELCRNVAGIYRKMQEEIEANLEECDVERRENGEVFEMKVALQLGRRTSEMKQFRAMASPAVRDEDIKDFAGKLV >LPERR02G07980.1 pep chromosome:Lperr_V1.4:2:5651777:5653096:1 gene:LPERR02G07980 transcript:LPERR02G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQVQQQQRLFLAPSTSTSSSGAPRRQRLRPSRIAAPPCCRAAVTGGGVRTASSTPKPSPSSSEKSMMLNLDWIAAVPSSPSPSTNTAKLRAIADAAADRAEMHDIIGRQRDNWNHLFLHSTNSLSLAASALAALAPAAPTMAALKLSAGALLATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDLRDTLAAAAVTNASDVDDAMDRVLALDAAYPLPLLPGMLEKFPNSVEPTRWWPTKKQSSNSSPVNSSNTFVTRRRRAAVAGNGWTPELEEEMRGLLRVLKLKDEEQYVTFGEKVLKINKRLAVAGPALAGTAAIAAAFIGAGEAGTWAAGAAVIGGALAAAANTVEHGGQMGMVFELCRNVAGVYRKIQEEIEANLGEIDVERRENGEVFETKVALQLGRSLSEMKQFRRMASPSFKEEDIKDFAGKLF >LPERR02G07990.1 pep chromosome:Lperr_V1.4:2:5664454:5668123:1 gene:LPERR02G07990 transcript:LPERR02G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGRGGKEGGDEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQKIEKRRLLLKMLFGFAYGGPFGHYMHKVLDYIFKGKKDTKTVAKKVFLESVTSSPWNNLLFLFYYGYVVERRPFKEVKPRVKKQYPAVQLSAWMFWPIISWINHQYMPLQFRGDISQPSCKDHVFEAVIDSLVGKLLHSSKLILND >LPERR02G08000.1 pep chromosome:Lperr_V1.4:2:5673796:5675796:1 gene:LPERR02G08000 transcript:LPERR02G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGNGVVVVFDFDKTIIDCDSDNWVVDSLGATRRFDELLRHLPWNSAIDAMMGELHEEGRTTEEVAASVRSAPLSPRVAAAVVAAHALGCDLRVLSDANSFFISAVLDHHGLAGYFSAVHTNPAFLDAGGRLRIRPFHAAGHGCPLPTCPPNMCKGEVMERIIGEISCGGGGGDGETPAARRRRVVYLGDGRGDYCPTLKLTERDYVMPRKGYPVWDLIAGDRAAVRADVRGWADFDELEAVLLGIIAECLTSEEHDDDDGGEIATPALAPPPAECRARPSSTLGSGQEAILPKAVHVPN >LPERR02G08010.1 pep chromosome:Lperr_V1.4:2:5678080:5682873:1 gene:LPERR02G08010 transcript:LPERR02G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAREEVAAGEGGGGGGGGLPLAVRELIAGGVAGGVAKTAVAPLERVKILFQARNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGARKLSFRESKPSEQVYKGILDCVKTIYRQNGLRGVYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSLAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLNVPSREETAVAVLTEERSNTAHAH >LPERR02G08010.2 pep chromosome:Lperr_V1.4:2:5678080:5689461:1 gene:LPERR02G08010 transcript:LPERR02G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAREEVAAGEGGGGGGGGLPLAVRELIAGGVAGGVAKTAVAPLERVKILFQARNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGARKLSFRESKPSEQVYKGILDCVKTIYRQNGLRGVYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSLAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLNVPSREETAVAVLTEERSNTAHAH >LPERR02G08010.3 pep chromosome:Lperr_V1.4:2:5678080:5682874:1 gene:LPERR02G08010 transcript:LPERR02G08010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAREEVAAGEGGGGGGGGLPLAVRELIAGGVAGGVAKTAVAPLERVKILFQARNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGARKLSFRESKPSEQVYKGILDCVKTIYRQNGLRGVYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSLAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLNVPSREETAVAVLTEERSNTAHAH >LPERR02G08020.1 pep chromosome:Lperr_V1.4:2:5691175:5694298:1 gene:LPERR02G08020 transcript:LPERR02G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFHPTMSSNPSFSHFGFDAMNGYFMSTATGNALLAAGDSPLFHPTMAPPDHGGETAAMEFAAANNLVLASLATQLFGAAPAPPQPEEEMGGGGGGYGVAGGESSGAVSLACLGHSDAMAAASAGWSPEKKPSCNWIGNNAGGWLFAGLPEAAAAAGFVYAATPATASELSLSLCSRSSSESFLNAAAAGDATSHRLRSELLTILQLMDQKYNQCLDEIQTTTARFSGAGAAGICAPFAHRAVSAMYHGLRRRIAGEIMSATARPCRGGHESSSAVTGGGERERNWESAFIQKHWAVHQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKEMLAARSGLSRNQVSNWFINARVRLWKPMIEEMCEELKRSSSGISGGNQALAMEHLSSQDVVS >LPERR02G08030.1 pep chromosome:Lperr_V1.4:2:5700932:5701573:-1 gene:LPERR02G08030 transcript:LPERR02G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMELALREGVTESELRELEPVVRAHHTFPGRSPSPSSPSPAKRTCTSLVTQRVDAPLSAVWPIVRGFASPQRYKHFIKSCSLAAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHVLSFRVVGGDHRLRNYRSVTSVTEFRRSPEERPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVATSSSSSSSPPPADDNHQH >LPERR02G08040.1 pep chromosome:Lperr_V1.4:2:5705019:5705865:1 gene:LPERR02G08040 transcript:LPERR02G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCTRNKLQVEAAVMLLLLLALGFFVIQAQCISDERINVPKGLCYKRGTQLPACTYLCYCCFDDQQCYQTDDDCHRHCPAYVTPKN >LPERR02G08050.1 pep chromosome:Lperr_V1.4:2:5719462:5728286:1 gene:LPERR02G08050 transcript:LPERR02G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHHLLPRALASHHHLLLLPSPPSSCLRPSLLPSPSSRTPPPRPPPPRPHGRRSMLPSLAAGPRHYASSRRASPMLRRRRAALRKGPRELNVQIGIEEALPDDPIVLSIAEALKTDVGKAVKVAFEILKSSEYETRDKSISNVNKYDTVEVSILLCDDHFIRDLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISVETAQRQAEERGHTLLDEIRILMVHGLLHLLGFDHEISKVAEQEMEKGEEHILSTLEWKGKGLIKSAYDSIHDIDHSENNVGILDNEGHLHEESIESLREAVSRGTNVIMVTGKTRASTVRTFKLLDLEGKDNFISESSPGVFLQGSLVYGDHGQEIYRANLGVDICKEAFLYSLKYSIPLVAFCEEQCLTLFEHPFVDAFHNLHHEPKKLLFLDNVDDDSSVLRQHWSELTQGRARVIKAHSNTTEIVPLNVSKGSGIRILLDHLGITDDSDLDDIGDYTRWLSNKSCCTLVLGKGCRCGIVDGDSVLPSGGLALLYKRLALLGLDSKQANDPFEGLLAIILLHGLGREDKSQSGPSESSCNVLEDADDNGDGSGESPGWLPHGTKEPQKTLACKLLSFQEKWVISSGCSEYGRQRQIGFMDSGAEKLGLDKVNYCG >LPERR02G08050.2 pep chromosome:Lperr_V1.4:2:5709302:5719642:1 gene:LPERR02G08050 transcript:LPERR02G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLATTYSGRIAAATTSPAGPSLTVTVSPPPTSSPPHDPRGYPLPRRHLVCAAARILRSGDSPSPLLDLADYLRSHSLTLTAAEASEVVKSLSPDPPLALAFFRFAAESLPGFRHDAFSYNRVLALLFRTRAGPSEAMRLVAEMERDGVVGNVSTVNLLVGMGGGGVEVERCLELAGRWGVRLSGYTYKCIVQAHLRSREVSKGLKVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMISKGCVLNLIAFNTIIEALGKNKMVGKSLCKSGHASEAHIVFCRMWNSHEKGDRDAFVSMLEVLCNAQKTLEAIDLLHMMPEKGIATDVSMYNMVFSALGKLKQVSFISNLFDKMKANGIVPDVFTYNIMISSYGRVGLVDKASELFERMETTSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYENMKQQGLIPDSITYSILERLESRSQRTGVKTNGICCALIICKELSFFALPKDFKCKTSSVFRQPCLKLSIFSGSKTNNKGTNAAISDAPLLGTIDVLDAFEDDYGGIVVNPTSLPNTSNAFASSLQSSLSYWSNQGKRGVWLKIHEDQADLVPIAIKAGFVYHHAEPGYVMLTFWLPDGPPGLPSTSLHQIGVGAFVMNDKNEVLVVKEGKCPPHCSGIWKIPTGFIDKFEDLFSGAIREVREETGIESCFGDVVAFRHAHQVTFDKSDILFICTLKPLSFDISIDESEIEAARWMPVEEFLSQPFHQEDQMSRAIIDICIAAHQKCYTGLTAHQVMSKLDNRVTYLYTGYNREATGCVPEI >LPERR02G08050.3 pep chromosome:Lperr_V1.4:2:5709302:5719642:1 gene:LPERR02G08050 transcript:LPERR02G08050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLATTYSGRIAAATTSPAGPSLTVTVSPPPTSSPPHDPRGYPLPRRHLVCAAARILRSGDSPSPLLDLADYLRSHSLTLTAAEASEVVKSLSPDPPLALAFFRFAAESLPGFRHDAFSYNRVLALLFRTRAGPSEAMRLVAEMERDGVVGNVSTVNLLVGMGGGGVEVERCLELAGRWGVRLSGYTYKCIVQAHLRSREVSKGLKVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMISKGCVLNLIAFNTIIEALGKNKMVGKSLCKSGHASEAHIVFCRMWNSHEKGDRDAFVSMLEVLCNAQKTLEAIDLLHMMPEKGIATDVSMYNMVFSALGKLKQVSFISNLFDKMKANGIVPDVFTYNIMISSYGRVGLVDKASELFERMETTSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYENMKQQGLIPDSITYSILERLESRSQRTVRIRSVFRQPCLKLSIFSGSKTNNKGTNAAISDAPLLGTIDVLDAFEDDYGGIVVNPTSLPNTSNAFASSLQSSLSYWSNQGKRGVWLKIHEDQADLVPIAIKAGFVYHHAEPGYVMLTFWLPDGPPGLPSTSLHQIGVGAFVMNDKNEVLVVKEGKCPPHCSGIWKIPTGFIDKFEDLFSGAIREVREETGIESCFGDVVAFRHAHQVTFDKSDILFICTLKPLSFDISIDESEIEAARWMPVEEFLSQPFHQEDQMSRAIIDICIAAHQKCYTGLTAHQVMSKLDNRVTYLYTGYNREATGCVPEI >LPERR02G08050.4 pep chromosome:Lperr_V1.4:2:5709302:5719642:1 gene:LPERR02G08050 transcript:LPERR02G08050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLATTYSGRIAAATTSPAGPSLTVTVSPPPTSSPPHDPRGYPLPRRHLVCAAARILRSGDSPSPLLDLADYLRSHSLTLTAAEASEVVKSLSPDPPLALAFFRFAAESLPGFRHDAFSYNRVLALLFRTRAGPSEAMRLVAEMERDGVVGNVSTVNLLVGMGGGGVEVERCLELAGRWGVRLSGYTYKCIVQAHLRSREVSKGLKVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMISKGCVLNLIAFNTIIEALGKNKMVGKSLCKSGHASEAHIVFCRMWNSHEKGDRDAFVSMLEVLCNAQKTLEAIDLLHMMPEKGIATDVSMYNMVFSALGKLKQVSFISNLFDKMKANGIVPDVFTYNIMISSYGRVGLVDKASELFERMETTSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYENMKQQGLIPDSITYSILERLESRSQRTVRIRSVFRQPCLKLSIFSGSKTNNKGTNAAISDAPLLGTIDVLDAFEDDYGGIVVNPTSLPNTSNAFASSLQSSLSYWSNQGKRGVWLKIHEDQADLVPIAIKAGFVYHHAEPGYVMLTFWLPDGPPGLPSTSLHQIGVGAFVMNDKNEVLVVKEGKCPPHCSGIWKIPTGFIDKIESCFGDVVAFRHAHQVTFDKSDILFICTLKPLSFDISIDESEIEAARWMPVEEFLSQPFHQEDQMSRAIIDICIAAHQKCYTGLTAHQVMSKLDNRVTYLYTGYNREATGCVPEI >LPERR02G08060.1 pep chromosome:Lperr_V1.4:2:5725731:5726886:-1 gene:LPERR02G08060 transcript:LPERR02G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREACKPMSSEAPLFHEEACTEQADDRSEDDSFSGSSIGSPCSSASDLSDDGSSYQPGDSPEPSPLSSASSSTLQLDSEGPLCDLSSLISQLPIRRGLSNYYQGKSQSFTSISDATCVQDLAKKITYNKRMKACKSYAAGLDMNQRSNHLPRPCNKMIAKRPSKGSFACLLSRPSSASLLYSSAKPPAHQSKKDVGVHINS >LPERR02G08070.1 pep chromosome:Lperr_V1.4:2:5728927:5731323:-1 gene:LPERR02G08070 transcript:LPERR02G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFQARSPNDEESAAAVREAVTLGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDKST >LPERR02G08080.1 pep chromosome:Lperr_V1.4:2:5733092:5733977:1 gene:LPERR02G08080 transcript:LPERR02G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRPKRKREQSNNLDSSEGLSNSLLSDAESRRTGEGDVEVVSKALTDIVVKSPEAICTFVDRLSPIVVVRAIDWDDLESNKLSKMAGGQCRWSEPEIHCFLECCLEKIAARNITGSSLQNPVVPQPH >LPERR02G08090.1 pep chromosome:Lperr_V1.4:2:5735187:5741021:1 gene:LPERR02G08090 transcript:LPERR02G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGTSRSSLKQNGRGGLADPPLSLAMPTVVDLQKTAELEKFLVEAGLYEGEEESAKREEVLREIEQIVKEWVKQLTIQKGYGEQMVKEANAALFTFGSYRLGVHGPGADIDALCIGPSYVKREEDFFVTLHATLLEMEEVTELQPVPDAHVPVMKFKFRGIPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAENFRKTLRCLKYWAQRRSVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLLSRFFRVFTLWQWPNPVMLCTIENDDSLGFAVWDPRKNHRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWGALFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGILQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIHGQKFDIRGTVDEFMHEIGMYTLWKSGMDLAVTHVRKKEIPSYVFEQDCQKTYPPTPICASQQDQSDKNDTDLCTTAVSLDVQLKRKYDSDGGADVESCKTVRRASVTSACEEALTQLHDDANFGLTNCSTSPHGSEESSVSGNSCAAVDTISLVDETSILGYLMPNVENDPTQTILEPTPVECVVEKDERKLEGIESSANRNCTEFMEVAEVVTGTILTENVH >LPERR02G08100.1 pep chromosome:Lperr_V1.4:2:5748861:5754466:1 gene:LPERR02G08100 transcript:LPERR02G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYARGKGNNVFKVVRVKTEKCIKNNSRSSRRSSLEHMESGGLLESGDRCLDNINMVIHPMCCVGWTWVACICCVLMLFAFYLVNLQTQPPDLRSLHLDNNNLENLALSTTIPFAPSPVAVELFKLRKLMMMTLPPPPPSLTAGGHVARAAAAAAAAVGIVCLLLWLVSMWRRDVNALDFSEKTEENLVHNLVERNTVGRTAAGEPLYCVVIEQDGRNDRYRTVIVKKLEIDDHNDTRDHLEIRTRWG >LPERR02G08110.1 pep chromosome:Lperr_V1.4:2:5756410:5758196:1 gene:LPERR02G08110 transcript:LPERR02G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPNRPIGSPSIPFPTCAAALLLHDRARPFRFPAAPASIPFPRGFLPIHFHLRADPSPAILGRSVRAAPPARPLRLRSLSYGNFAQNR >LPERR02G08120.1 pep chromosome:Lperr_V1.4:2:5757282:5760837:-1 gene:LPERR02G08120 transcript:LPERR02G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNNLLLVLVLFFSSSTAKLHDGELQTLIAIKQDWGNPVALGSWKANSNSFSQYCNWAGVACTNEQVTSLSFPSLQIANPIPASVCSLKNLTYLDLSYNNLTGDFPTVLYACSALQFLDLSNNQLSGILPDDIGKLSLGMQHLNLSSNAFFGDVPSAIASFSKLKSLVLDTNGFNGSYPGAAIGGLVELEMLTLASNPFKPGPIPDELGKLTKLTYLWLSWMNLTGEIPDSLSTLTELTLFDISQNKMQGKIPKWIFKLEKLKILYLYANKFVGEIGPDITALNLVELDVSMNKLTGSIPEDIAKLKNLSILFMYYNNFTGPIPAGVGMLPNLTDIRLFNNQLSGPLPPELGKHSELGNFEVCNNNLSGELPDTLCFNKKLYDLVVFNNSFSGMFPANLGDCNTINNIMAYNNHFIGDFPKKIWSFEMLTNVMIQHNNFTGTLPSEISFNITRIEMGNNRFYGAVPSAAIALKNFKAENNLLSGEPPNDMSRLGNLTELDLSGNRLSGSIPPSIKSLARLASLNLSSNQISGTIPSMIGLSSLIILDLSNNELIGEIPQELNTLRLIFINVSSNQLSGEVPTSLQNIAYYGSFLDNPELCCRSGSGLPIKTCRGSQSSTHHHLALSMIVVLVVLPSITLVSVAITGWLLLKRKNGPRDVTSWKMTRFRTVDFSEHDIVSNISEDNVIGRGGSGKVYRINLSRDAKARRHGDGCIPRTVAVKKIGNVGKQDVNLNKEFESEVRTLGELRHSNIIDLLCCISSQDQETKLLVYEHMENGSLDQWLHRHKRTRKMGPLDWPTRLSIAIDVARGLMYMHEEFVRPVIHRDVKCSNILLDCRFRAKIADFGLARILAKSDVSESASAVCGTFGYIAPEYVYRSKVSMKVDVYSFGVVLLELTTGRGPQDGGTESDSCLSKWASKRYNDGGSVADLVDDEIRDPTYLDDMVTVFELGVVCTGEEPVSRPPMSEVLTRLLQCDRSQTCSDDIEAHKGVFSIDDSSDYII >LPERR02G08130.1 pep chromosome:Lperr_V1.4:2:5761505:5772495:-1 gene:LPERR02G08130 transcript:LPERR02G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYKILTLFLLLLLLSSFISESTAQPNANEQKLLLTIKQDWDNPAPLISWSSTGTWSGVTFDKNTGQVTRLSFPNFHIARSIPASICSLKNLTYLDLSYNNLTGDFPTVLYACSALQFLDLSNNQLSGILPDDIGKLSLGMQHLNLSSNAFFGDVPSAIASFSKLKSLVLDTNGFNGSYPGAAIGGLVELEMLTLASNPFKPGPIPDELGKLTKLTYLWLSWMNLTGEIPDSLSTLTELTLFDISQNKMQGKIPKWIFKLEKLKILYLYANKFVGEIGPDITALNLVELDVSMNKLTGSIPEDIAKLKNLSILFMYYNNFTGPIPAGVGMLPNLTDIRLFNNQLSGPLPPELGKHSDLGNFEVCNNNLSGELPDTLCFNKKLFDLVLFNNSFSGVFPASLGECDTINNIMAYNNHFTGDFPEKIWSFKVLTNVMIQDNNFTGTVPSEISQNISRIEMGNNKFSGAIPSSAVGLKNFKAENNRFTGALPDDMSRLDNLTELQLAGNQLSGSIPSSIQSLTRLTSLNLSSNHISGTIPSTIGSLSLLIIIDLSNNDLTGEIPQEFNNLHLNSLNLSSNQLSGEIPKSLQNMAYEDSFLHNHGICVTVNTNLNLPVCINEGRNKLSTSLITVFSVLAGVTFISAVAIWLLILRHKKQDLTVWKMTPFRTLDFSECDILSNIKEENVIGSGGSGKVYRINTKGKVVAVKRLWRTAGKADTKTVREFDAEVRVLGEARHVNIVNLLCCISSDDTKLLVYEYMENGSLDRWLHRCGDAATASLHWPTRLGIAIDAARGLCYMHHECAQPIMHRDVKSSNILLDPSFRAKIADFGLARILVKSGEPEAKVDEKVDVYSFGVVLLELTTGRVANDGGADHCLAEWAWRRYKAGGVLYDVVDESVQDREAFLEDAVAVFLLGVICIADDPALRPSMKEVLEQLVQYDRTASVAAACRDDLAAAGGSVPFSSKGKKDGNQGKSSSAGATAKVWGAIDEDSGCFVAHPDINTCSTAKLDDGDELQTLLTIKRDWGNPAAFSSWKVRNSNSSTHCNWAGVGCTNRQVTALSKLAIQFQPPFAAYKTCDLSYNNLAGNFPTVLYACSALQYLDLSNNKLSGHLPEDIGKLSLGMQHLNLSGNAFIGDVPSATASFSKLKSLVLDTNGFNGRYPGAAIGGLVELETLTLAANPFKAGPVPKEFSKLIKLTYLWMSGMNLTGTILEDLSSLTELTMLDFAENKMEGVIPKWIWKLQKLEYLSLFENNFSSEIGPDITALNLQKLDLSMNKLTGSIPEGIANLKNLRILYINDNYLTGPIPVGVGMLPNLTLIWLSNNQLSGPLPPELGKYSELGVIGVSDNNLCLIRSASTKSSPCLRFSGLFPANLGDCNTISDIFPKKIWSLKMLIDVMIQDNNFTGTLPSEISPNILRINIGNNMFSGAIPSSAVGSWYRN >LPERR02G08140.1 pep chromosome:Lperr_V1.4:2:5783964:5786658:-1 gene:LPERR02G08140 transcript:LPERR02G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAESRKNRGLLRCWMEEASDHHRGQEEEEEKTLELSLGLPGGGGGGGWIKHTTVAAAAAAATAADGVGDKSSMLSLGYSTLVSHSQGKAKGSPEEAPHPPATGNNALASNNNGSQTRSPSTPVIGWPPVRSFRRNLATSSKASLELQNGKKAAKVEETKRAPFIKINMDGIPIGRKIDLNAFDSYEKLSLAVDKLFRGLLAGCLFLQLRDCEY >LPERR02G08150.1 pep chromosome:Lperr_V1.4:2:5794175:5796475:-1 gene:LPERR02G08150 transcript:LPERR02G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKNQEFGIGDLSAYVAPRPGPIIRS >LPERR02G08160.1 pep chromosome:Lperr_V1.4:2:5797025:5797225:1 gene:LPERR02G08160 transcript:LPERR02G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASTLFAVGVMLCPFPALVPSCRNLTAVLPEIWGLLAAIYFHLRDELSQISADAAGRRRGLWPP >LPERR02G08170.1 pep chromosome:Lperr_V1.4:2:5801924:5802274:-1 gene:LPERR02G08170 transcript:LPERR02G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGCECDKTHGEKRPIPHFLQLVEEPKKKKMKTEEELVLAYPPRMDGKKTKRLGKEEVERLLSYTVKKPTTFSDKEVMLQAMEAMTALAISKGYVDVEAEVTDDEDDMDDDDMLS >LPERR02G08180.1 pep chromosome:Lperr_V1.4:2:5809238:5814606:1 gene:LPERR02G08180 transcript:LPERR02G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGGDTSIEEYIIGPATEQADEHVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDDEDNVHSPLLSRQTTSAEGKDITPHGQRGSSLGMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSVISLPGGGDAPEGGEFIHAAALVSQPALYSKDIMEQRMSGPAMIHPSEAAAKGSTWKELFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLVILVVSNVIDLGTVPHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCAIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQASKA >LPERR02G08180.2 pep chromosome:Lperr_V1.4:2:5809994:5814606:1 gene:LPERR02G08180 transcript:LPERR02G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGGDTSIEEYIIGPATEQADEHVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDDEDNVHSPLLSRQTTSAEGKDITPHGQRGSSLGMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSVISLPGGGDAPEGGEFIHAAALVSQPALYSKDIMEQRMSGPAMIHPSEAAAKGSTWKELFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLVILVVSNVIDLGTVPHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCAIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQASKA >LPERR02G08180.3 pep chromosome:Lperr_V1.4:2:5810094:5814606:1 gene:LPERR02G08180 transcript:LPERR02G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGGDTSIEEYIIGPATEQADEHVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDDEDNVHSPLLSRQTTSAEGKDITPHGQRGSSLGMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSVISLPGGGDAPEGGEFIHAAALVSQPALYSKDIMEQRMSGPAMIHPSEAAAKGSTWKELFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLVILVVSNVIDLGTVPHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCAIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQASKA >LPERR02G08190.1 pep chromosome:Lperr_V1.4:2:5816255:5822666:-1 gene:LPERR02G08190 transcript:LPERR02G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPGANNAAAAAPDPSRRRADAVGWLQALFPDLPLPPPPQASDDDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVSTFSASDLERGQMAAVVTCILALKDRFGSRGGEDRSPNLLTRCDSEGGRRHIESKLQRMLTSPIMSEPSSPLSGIDPYSPSQVFQLKHGGYADMPGGKYSDLMKSTSLDNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAVGASEQTHTEKDKLGDKGQLAEEDIAKLMKYQEDVIRLMKENEDLVRLLKEKEDMIRLLKEKEDMVRLLKEKEGMIDLKAIKAEETQRIEDEDKIRIIKEKDDALVRLVKEKEEMIRLLKEKDDVIGIMKKEDLPNLEKGEVEGRSKLTDDNKHRLIKEKNDVLRLTNEKEEMARLLKEKEDIIKLMKEKEHMVNLEKGEVEDRKENDIEKEEMVRLLKEKDDIIRLMKGKEDDVNLEKGDVEDRKQMADDNKDKLIKEKNDIVFSLTKEKEEIIELLEEKEDIIRLMKQKEDMFMSIKEKDKSELKKITDEDAARSIKEKAELTRLMKEKEDGNNMILKLKKESETLRSSYQESCRLLESKKDDMAKLLTDKEKNDSVILELKKELEETKRLHEEHSQQLENKAAKVNKELEQRIEEVKFMLDDSTKRRRELEELSESRIQFWKKKEVVVNQFVSLQVQNVQDLKLSSVSVRHEISNCQNKWTEELAGLGKSLKVVTNAAEKYHAALAENRKLFNEIQELKGNIRVLCRIRPFRPGEDDKSSSVEYIGENGELVLSNPTKQAKEGGKNFTFNKVFGPTTTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRKDTITYKLGVQMIEIYNEQIRDLLGSGGIQNTTQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPEVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNSSARLKSTRQADSMLKHSSSSPGITSLGKGTTVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLGDVDSDGRLSDASDGGISMGAEADSSVSNVVEQEQEKTSNAAAKERLTRAVNRVQKLTLPKVGQASSLRPKPRETPPPRSSGKVGFIEMMYGRMDLILSAIVIQIACARYQRSVISCNKNLFPKS >LPERR02G08190.2 pep chromosome:Lperr_V1.4:2:5816255:5822666:-1 gene:LPERR02G08190 transcript:LPERR02G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPGANNAAAAAPDPSRRRADAVGWLQALFPDLPLPPPPQASDDDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVSTFSASDLERGQMAAVVTCILALKDRFGSRGGEDRSPNLLTRCDSEGGRRHIESKLQRMLTSPIMSEPSSPLSGIDPYSPSQVFQLKHGGYADMPGGKYSDLMKSTSLDNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAVGASEQTHTEKDKLGDKGQLAEEDIAKLMKYQEDVIRLMKENEDLVRLLKEKEDMIRLLKEKEDMVRLLKEKEGMIDLKAIKAEETQRIEDEDKIRIIKEKDDALVRLVKEKEEMIRLLKEKDDVIGIMKKEDLPNLEKGEVEGRSKLTDDNKHRLIKEKNDVLRLTNEKEEMARLLKEKEDIIKLMKEKEHMVNLEKGEVEDRKENDIVLRLTKEKEEMARLLKEKDDIIRLMKGKEDDVNLEKGDVEDRKQMADDNKDKLIKEKNDIVFSLTKEKEEIIELLEEKEDIIRLMKQKEDMFMSIKEKDKSELKKITDEDAARSIKEKAELTRLMKEKEDGNNMILKLKKESETLRSSYQESCRLLESKKDDMAKLLTDKEKNDSVILELKKELEETKRLHEEHSQQLENKAAKVNKELEQRIEEVKFMLDDSTKRRRELEELSESRIQFWKKKEVVVNQFVSLQVQNVQDLKLSSVSVRHEISNCQNKWTEELAGLGKSLKVVTNAAEKYHAALAENRKLFNEIQELKGNIRVLCRIRPFRPGEDDKSSSVEYIGENGELVLSNPTKQAKEGGKNFTFNKVFGPTTTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRKDTITYKLGVQMIEIYNEQIRDLLGSGGIQNTTQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPEVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNSSARLKSTRQADSMLKHSSSSPGITSLGKGTTVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLGDVDSDGRLSDASDGGISMGAEADSSVSNVVEQEQEKTSNAAAKERLTRAVNRVQKLTLPKVGQASSLRPKPRETPPPRSSGKVGFIEMMYGRMDLILSAIVIQIACARYQRSVISCNKNLFPKS >LPERR02G08190.3 pep chromosome:Lperr_V1.4:2:5816255:5822666:-1 gene:LPERR02G08190 transcript:LPERR02G08190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPGANNAAAAAPDPSRRRADAVGWLQALFPDLPLPPPPQASDDDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVSTFSASDLERGQMAAVVTCILALKDRFGSRGGEDRSPNLLTRCDSEGGRRHIESKLQRMLTSPIMSEPSSPLSGIDPYSPSQVFQLKHGGYADMPGGKYSDLMKSTSLDNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAVGASEQTHTEKDKLGDKGQLAEEDIAKLMKYQEDVIRLMKENEDLVRLLKEKEDMIRLLKEKEDMVRLLKEKEGMIDLKAIKAEETQRIEDEDKIRIIKEKDDALVRLVKEKEEMIRLLKEKDDVIGIMKKEDLPNLEKGEVEGRSKLTDDNKHRLIKEKNDVLRLTNEKEEMARLLKEKEDIIKLMKEKEHMVNLEKGEVEDRKENDIVLRLTKEKEEMARLLKEKEDIIRLMKEKEHMVNLEKGEVKDRKENDIEKEEMVRLLKEKDDIIRLMKGKEDDVNLEKGDVEDRKQMADDNKDKLIKEKNDIVFSLTKEKEEIIELLEEKEDIIRLMKQKEDMFMSIKEKDKSELKKITDEDAARSIKEKAELTRLMKEKEDGNNMILKLKKESETLRSSYQESCRLLESKKDDMAKLLTDKEKNDSVILELKKELEETKRLHEEHSQQLENKAAKVNKELEQRIEEVKFMLDDSTKRRRELEELSESRIQFWKKKEVVVNQFVSLQVQNVQDLKLSSVSVRHEISNCQNKWTEELAGLGKSLKVVTNAAEKYHAALAENRKLFNEIQELKGNIRVLCRIRPFRPGEDDKSSSVEYIGENGELVLSNPTKQAKEGGKNFTFNKVFGPTTTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRKDTITYKLGVQMIEIYNEQIRDLLGSGGIQNTTQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPEVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNSSARLKSTRQADSMLKHSSSSPGITSLGKGTTVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLGDVDSDGRLSDASDGGISMGAEADSSVSNVVEQEQEKTSNAAAKERLTRAVNRVQKLTLPKVGQASSLRPKPRETPPPRSSGKVGFIEMMYGRMDLILSAIVIQIACARYQRSVISCNKNLFPKS >LPERR02G08190.4 pep chromosome:Lperr_V1.4:2:5816255:5822666:-1 gene:LPERR02G08190 transcript:LPERR02G08190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPGANNAAAAAPDPSRRRADAVGWLQALFPDLPLPPPPQASDDDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVSTFSASDLERGQMAAVVTCILALKDRFGSRGGEDRSPNLLTRCDSEGGRRHIESKLQRMLTSPIMSEPSSPLSGIDPYSPSQVFQLKHGGYADMPGGKYSDLMKSTSLDNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAVGASEQTHTEKDKLGDKGQLAEEDIAKLMKYQEDVIRLMKENEDLVRLLKEKEDMIRLLKEKEDMVRLLKEKEGMIDLKAIKAEETQRIEDEDKIRIIKEKDDALVRLVKEKEEMIRLLKEKDDVIGIMKKEDLPNLEKGEVEGRSKLTDDNKHRLIKEKNDVLRLTNEKEEMARLLKEKEDIIKLMKEKEHMVNLEKGEVEDRKENDIVLRLTKEKEEMARLLKEKEDIIRLMKEKEHMVNLEKGEVKDRKENDIVLRLTKEKEEMARLLKEKEDIIRLMKEKEEMVRLLKEKDDIIRLMKGKEDDVNLEKGDVEDRKQMADDNKDKLIKEKNDIVFSLTKEKEEIIELLEEKEDIIRLMKQKEDMFMSIKEKDKSELKKITDEDAARSIKEKAELTRLMKEKEDGNNMILKLKKESETLRSSYQESCRLLESKKDDMAKLLTDKEKNDSVILELKKELEETKRLHEEHSQQLENKAAKVNKELEQRIEEVKFMLDDSTKRRRELEELSESRIQFWKKKEVVVNQFVSLQVQNVQDLKLSSVSVRHEISNCQNKWTEELAGLGKSLKVVTNAAEKYHAALAENRKLFNEIQELKGNIRVLCRIRPFRPGEDDKSSSVEYIGENGELVLSNPTKQAKEGGKNFTFNKVFGPTTTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRKDTITYKLGVQMIEIYNEQIRDLLGSGGIQNTTQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPEVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNSSARLKSTRQADSMLKHSSSSPGITSLGKGTTVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLGDVDSDGRLSDASDGGISMGAEADSSVSNVVEQEQEKTSNAAAKERLTRAVNRVQKLTLPKVGQASSLRPKPRETPPPRSSGKVGFIEMMYGRMDLILSAIVIQIACARYQRSVISCNKNLFPKS >LPERR02G08200.1 pep chromosome:Lperr_V1.4:2:5828561:5829313:1 gene:LPERR02G08200 transcript:LPERR02G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGKVVAAAADTGGVARRMWRVVRAVLYMLRRGVAPSGRKLAMDIHLLLHRGKLAGKALGEFLTFHHHHHARHGAAFSAASSLSCRGIDPALAVYEPTSRRGVREVEFSCSNTPFSTSRRRRGGGGYYDHGYDAAYVARVFEMLNDSGHLFIDDDADVAVAVAPTAEDDTAETTPLWTPTSSSSSRSRPRTPFAAGGGGGGEQVDRKADEFIRRFYEQLRAQRSVAATPDYYAGGGRRTPRPLAAGIA >LPERR02G08210.1 pep chromosome:Lperr_V1.4:2:5831606:5834669:-1 gene:LPERR02G08210 transcript:LPERR02G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHVHWVYVGVMWYAMFDSYFSGAGELINALSYARANPLMRRVKILGREEKLVWIPNDNLRRLIRSLNMIHKLLHKKKKCLLSLTSSSVLVDEEGRGVIQGATVIKYSTEDVCRGYNETSAIVKELIIESVGLEAIGVDCIADFRRLLHQMENVTSIDQEYILSNHASLIPDFNRTSVFHLFHDHIMGKLAHHEPKLKNQIINNLPYDGIWIDIAYSNCFLRNWLNTDRKYKNTGNGHISFNKNVRSSMYDYLPLFSYTQIQVDECLYCEFPDLLLDIEILLWMSGEIEGLGFEDKFS >LPERR02G08220.1 pep chromosome:Lperr_V1.4:2:5843374:5844085:-1 gene:LPERR02G08220 transcript:LPERR02G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPIRLALVLLAAVLAAVAAAYPPASAPAPAPVAWMEEEATLYRVGGNDGWILPPPEDKELYYVRWASSLHFHVGDSLEFDYKNDSVIRVTKAGYYHCNETAGIDAAGDSVKVFRLDNPGFDYFASADLDRCNKGERLMINVHLADAGPPSPALAATPAPAPATGYDTGAGSVFPAASFGLVIAVAAIVMAGLV >LPERR02G08230.1 pep chromosome:Lperr_V1.4:2:5846828:5849394:-1 gene:LPERR02G08230 transcript:LPERR02G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPVDAAAAEVGEAMEVEEAEEERCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRIPGLRKLSFRQNLLDDAAVAPLSAWADIAGLQELVLRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKIEELEHFHVLEILELGSNRLRVMENLETLANLQELWLGRNRIRTINLCGLKSIKKLSLQSNRLISMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDISSNKLTAIKDIETLTRLEDLWLNDNQIPSLDGVESALAGSRGKLTTIYLERNPCAKSRNYSSTLKKIFPNLEQIDSDMLA >LPERR02G08240.1 pep chromosome:Lperr_V1.4:2:5849600:5852151:1 gene:LPERR02G08240 transcript:LPERR02G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAAAGAFLRSAAGRSPAAASLPRTLGPVPRASSVGRLLGLARSLQPLHSAVAEARLTSRLGAEVARAVSQDVCRCFCCRTT >LPERR02G08240.2 pep chromosome:Lperr_V1.4:2:5849600:5850538:1 gene:LPERR02G08240 transcript:LPERR02G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAAAGAFLRSAAGRSPAAASLPRTLGPVPRASSVGRLLGLARSLQPLHSAVAEARLTSRLGAEVARAVSQGTLCSSFPGV >LPERR02G08250.1 pep chromosome:Lperr_V1.4:2:5852903:5853385:-1 gene:LPERR02G08250 transcript:LPERR02G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPFLATLIGNLGHAGGDPPAAAAADEQQMQVVVEEARCECGGTSEECTPAYADAVRRRFSGRWLCGLCAAAVTEEAGKKNGETEAAAHMAVCRRFNGFGRTHPPLFHADAMRHILRKLSVAGGGSPKPTSSRRRELMTVEGAVKATGGVAGGMVIT >LPERR02G08260.1 pep chromosome:Lperr_V1.4:2:5885336:5885614:-1 gene:LPERR02G08260 transcript:LPERR02G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPLPAAAASLPATAAEEELEAIVELPRLDELDGELVSWCDDTAWIDGEDGGYAAAAAAAHDDMFGFGFGAVDGDQHGWAQSVGALLWNM >LPERR02G08270.1 pep chromosome:Lperr_V1.4:2:5917673:5919172:1 gene:LPERR02G08270 transcript:LPERR02G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGAGGNGGKPDRKTVERNRRNQMNALYSRLDSLVRSGSGSAPPPPGGGPSATTRPDRLGEAAEYIRRTEERVGILRERKRELTGSAGSSAAEAAEVEVQHLGSGLHAILVTGAPPPPPGDGGSFHRAVRAVEEAGGEVHNAHFSLVGAKAIYTIHARVGEGYGGIETVVQRVKEAIRSN >LPERR02G08280.1 pep chromosome:Lperr_V1.4:2:5927219:5930500:1 gene:LPERR02G08280 transcript:LPERR02G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVLLISAILVGLLSLSSCRSLGELSEQKTYSSTPQYGGSPTPADGSGGGYNPTPTPTPTYGTTPTPSYGTTPTPSYGSTPSTPSTPSTPDVPTKHDFCGSCDYWKSHPDVIIAAIGSLGNIGKTFGDACSLIVGKKLENLHDALSNTRTDGTGALLREGAAAYLNSIVNNKFPFSTQQVKDCIVVAMTSDGAASAQADIFKKANDHHYKN >LPERR02G08290.1 pep chromosome:Lperr_V1.4:2:5932231:5933280:-1 gene:LPERR02G08290 transcript:LPERR02G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLAGIPKFRRPAALIASCCALVLLATLLLLPRSPPSAAPDQLASTSAVRLDATIERRSGNEVLWQLPPTTPPRAAVFVAPGCTIRATDFFDASPRCPRCTGLPEERRFTAEALRRGYAVLAVSSRAECWSLDAAGDGTELAAVESIVNWWVNEKHPHLAGLPLVGIGASSGGYFLSALAARVRLSSVVIMIAEGVFSAMESIPSRYPPALFVHMPKDDERAREVESSMVKLRTSSIVVGEIRCEEFAVSAQFLAARIPALTRAVADGLVNVLRRKGFVDEKGFLRKDGRSIPWKKAAEEAKVLPEGFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >LPERR02G08300.1 pep chromosome:Lperr_V1.4:2:5941282:5946766:-1 gene:LPERR02G08300 transcript:LPERR02G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTPPPPNNFGLLVTFKWVDPESITECYKNFSKFNIYSGALPMVDCFKYLSRSSLPRTVGVDVLMCT >LPERR02G08310.1 pep chromosome:Lperr_V1.4:2:5951061:5955084:1 gene:LPERR02G08310 transcript:LPERR02G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKPKNILITGAAGFIASHVTNRIVRSYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERTVIDVARDVCKLFNLEADKVIQFVDNRPFNDQRYFLDDQKLKNLGWSERTTWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGSEGSEEIKGMINRFNSNQTETMAPASKEATPTHPFKFLIYGRTGWIGGLLGKICEKEGIPYQYGKGRLEERSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLMMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDATKLKDEFPELLSIKESLIKYVFEPNRKVPAN >LPERR02G08310.2 pep chromosome:Lperr_V1.4:2:5951944:5955084:1 gene:LPERR02G08310 transcript:LPERR02G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKPKNILITGAAGFIASHVTNRIVRSYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERTVIDVARDVCKLFNLEADKVIQFVDNRPFNDQRYFLDDQKLKNLGWSERTTWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGSEGSEEIKGMINRFNSNQTETMAPASKEATPTHPFKFLIYGRTGWIGGLLGKICEKEGIPYQYGKGRLEERSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLMMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDATKLKDEFPELLSIKESLIKYVFEPNRKVPAN >LPERR02G08320.1 pep chromosome:Lperr_V1.4:2:5964904:5966388:1 gene:LPERR02G08320 transcript:LPERR02G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAAEGGGVAPFVAKTYRMVDDPATDGVICWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIVRRSSGGGGGGKRNKEESGEAAGEMDEESAMVAMEVARLRQEQREIEEKVAAMWRRVQETERRPKQMLAFLVKVVGDPTVLRRLLASGTAAADENGARSEAKRPRLLLDAGDAVTTTTAAAAGRSVVDGFYSGVGGCAPELDAFVPDDTVDFTGLYTGGDGFGDAAAAAAAGVVDGGYAPTAYAFPVDSGY >LPERR02G08330.1 pep chromosome:Lperr_V1.4:2:5967977:5970462:-1 gene:LPERR02G08330 transcript:LPERR02G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNACWRDLEGQAVSTTCGHLLCTEDAKKILSNDAACPVCDQVLSKSHMRPIDTNPSDDWTNMAMAGVSPQILMKSAYRSVMFYIGQKELEMNCKLNRILGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRARPDLFSGMPNIMDSGDPLRQGSVDPPETPGRRDEGWAPPPRQHRSTSGPFELSAGSPAHHAAPQVDIRPRQPARPVFGTTMNNTSAALRNMIISPVKRPQLSRNRLFTDQY >LPERR02G08330.2 pep chromosome:Lperr_V1.4:2:5967977:5970462:-1 gene:LPERR02G08330 transcript:LPERR02G08330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNACWRDLEGQAVSTTCGHLLCTEDAKKILSNDAACPVCDQVLSKSHMRPIDTNPSDDWTNMAMAGVSPQILMKSAYRSVMFYIGQKELEMNCKLNRILGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRARPDLFSGMPNIMDSGDPLRQGRRDEGWAPPPRQHRSTSGPFELSAGSPAHHAAPQVDIRPRQPARPVFGTTMNNTSAALRNMIISPVKRPQLSRNRLFTDQY >LPERR02G08340.1 pep chromosome:Lperr_V1.4:2:5989337:5990706:1 gene:LPERR02G08340 transcript:LPERR02G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANDSLATGRVIGDVLDHFTNTVDLTVMYSDGMPVINGVELRTPAVTDKPVVEVGGDDLRVTYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVMCYESPSPTTGIHRMVLVLFRQLGRETVYTPAVRHNFSTRAFARRYNLGSPVAAVYFNCQRQAGSGGRRFTGPYTTSRRQA >LPERR02G08350.1 pep chromosome:Lperr_V1.4:2:5997173:6003048:1 gene:LPERR02G08350 transcript:LPERR02G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRLAVLSAHLGGGGEANPAAALERSAVSAATQPGGALQVVDGRTGRRYEVKVSEEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAIAQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPVLPTNSLSYSENFLYMLDSLGDKAYKPNPRLARVLDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLSHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPVSQSEQLGQIATSNATRRRRAGSAL >LPERR02G08360.1 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKFPVSNLTCDCSFKNHTECHVISLELMRQNLSGVLPEEVVNLTYLTNLDLSRNFIQGPIPASWASLPVFNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFFISANNITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTGNPPNECQQANVNMVSSFSSSNNNLLRPCLRKNLPCIDKPRYSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQSSRNHHGISSSLMIIIIVAACLIVILALILCYIKFFWKNNLKGNGIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTV >LPERR02G08360.10 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFDVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGKLLEIVDQRLGSRYSQDEALRMLNVALLCTNTSPAQRPKMSSVVSMLCGLAPIEAVPDEDFNLSEHIRRRDSQSNQSMNNSLTEWSYAPSSDPSILLHNSMESGYLPSSSSPSSKL >LPERR02G08360.2 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKFPVSNLTCDCSFKNHTECHVISLELMRQNLSGVLPEEVVNLTYLTNLDLSRNFIQGPIPASWASLPVFNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFFISANNITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTGNPPNECQQANVNMVSSFSSSNNNLLRPCLRKNLPCIDKPRYSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCKVFTLKLNLSLSQHHNMDIVQSYFPYAWK >LPERR02G08360.3 pep chromosome:Lperr_V1.4:2:6008553:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTGNPPNECQQANVNMVSSFSSSNNNLLRPCLRKNLPCIDKPRYSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCKVFTLKLNLSLSQHHNMDIVQSYFPYAWK >LPERR02G08360.4 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCKYLYSKQEPSRNLIKFDDYYNSIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTVGYMAPEYATRGCLTYKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGKLLEIVDQRLGSRYSQDEALRMLNVALLCTNTSPAQRPKMSSVVSMLCGLAPIEAVPDEDFNLSEHIRRRDSQSNQSMNNSLTEWSYAPSSDPSILLHNSMESGYLPSSSSPSSKL >LPERR02G08360.5 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCKYLYSKQEPSRNLIKFDDYYNSIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTV >LPERR02G08360.6 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTVGYMAPEYATRGCLTYKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGKLLEIVDQRLGSRYSQDEALRMLNVALLCTNTSPAQRPKMSSVVSMLCGLAPIEAVPDEDFNLSEHIRRRDSQSNQSMNNSLTEWSYAPSSDPSILLHNSMESGYLPSSSSPSSKL >LPERR02G08360.7 pep chromosome:Lperr_V1.4:2:6006639:6016358:1 gene:LPERR02G08360 transcript:LPERR02G08360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFDVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGKLLEIVDQRLGSRYSQDEALRMLNVALLCTNTSPAQRPKMSSVPNGVVLRAIKRSFDLAAQQYGKWLPPIFKLPFF >LPERR02G08360.8 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTV >LPERR02G08360.9 pep chromosome:Lperr_V1.4:2:6006639:6016968:1 gene:LPERR02G08360 transcript:LPERR02G08360.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRRFLLPLLLLMLCAGCRNGRWCAAAAAAQRLPQQEVEALMGIARKLNKMDWDFTVDPCTGSKSWVNSSDSTSYPKSTYPKELMRQNLSGVLPEEVVNLTYLTNLSLQDNCLSGTVPKELGRMPFLKSINLEGNQLEGPIPPELGNIISLERFRIDGTNISGRIPSFIKNWQRVNRMRVTDLSGPSMKFPPLQYAQHLTKVVLRNCSIYGEIPDYLGQMQYLILMDLSFNRLTGQIPKSFGEMGALQYLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTDSSLFINCGGKRVEVDGNIYEDDSSRIGTSTFVLSDDRKWAYSSTGDFVGNPDADYIARNTSKLILANPELYTEARLSPLSLKYYGLCMENGEYSVKLHFAEIMFTEDNTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKNFTTNITENTLEIHLYWGGKGTTGVPDRGVYGPLISAISVTQCIHFFYHGRKTDTSDIQTRTQYFFSLKEIESATKHFDPANKIGRGDQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRARMSTRIAGTVGYMAPEYATRGCLTYKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGKLLEIVDQRLGSRYSQDEALRMLNVALLCTNTSPAQRPKMSSVVSMLCGLAPIEAVPDEDFNLSEHIRRRDSQSNQSMNNSLTEWSYAPSSDPSILLHNSMESGYLPSSSSPSSKL >LPERR02G08370.1 pep chromosome:Lperr_V1.4:2:6018979:6021113:-1 gene:LPERR02G08370 transcript:LPERR02G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDNNTNGSAHEQQRAMEEGRKQEDFAADQQGCGLAVSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSRNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAVAQMLGATLAAGTLRLMFGGRHEHFPGTLPVGSDVQSLVLEFITTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPALISSEYRSIWVYMVGPIAGAVAGAWAYNLIRFTNKPLREITKSGSFLKSMNRMNSST >LPERR02G08380.1 pep chromosome:Lperr_V1.4:2:6036352:6042146:1 gene:LPERR02G08380 transcript:LPERR02G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYPPRPADGGSGEVAAGCDSPVRWDDDECGGADGMTAVAGLNLFEEASEDTSAKKGMVDDPDTATTSECNTDVSEDFVKREQEENFYCGVPLNEHTGIWVPVSVPPMTKHDREEWHKGFGHNVGYSPEDEFNWELDGQNKEMTMWDVFSEMIVAVKDKAVSVASYDFGRRGMSVLSKFFLQEAWKDMAQTLADANAGITNELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYAILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRDDGSWSPPSAISTCGIGYGAQAGGELADFIIVLRNTEAIKTFSGKAHLSVGAGLSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKASDILMGSMARPPAAAALYKVLTELFDKPEK >LPERR02G08390.1 pep chromosome:Lperr_V1.4:2:6042817:6046077:-1 gene:LPERR02G08390 transcript:LPERR02G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAAGGGGGGEGMGNGGGGGRVRVPTWRERENNRRRERRRRAITANIYAGLRAYGNYTLPKHCDNNEVLRALCHEAGWTVQPDGTTYRTGFKPPAAELDQFGRSAPVSPCSSCQVSPRKGSSFLLNSGAAASSSSQIALGGFPGGGEGSSLIPWLKTLSASNGGGGGIAGGASSSSSKLPAYHYPYFGFGGGSISAPVPAATAAAAAGVGAGNDAAAWLAGFQINSAGPSRMGSPLQSGICSPVAVAGDVKMEDAAAAAAPAARIVSGMVNAWEGETIHEECEVSDDDDLELTLGTRADDH >LPERR02G08400.1 pep chromosome:Lperr_V1.4:2:6052507:6056973:1 gene:LPERR02G08400 transcript:LPERR02G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMLHAAILLVVIAAAASAQSTPSPAPAAAPSHNNHTSNCTVTLPDAEIIFAAFSNVTNFPLPRHRPSCRHVRRIAYPSRGLAGAVNWSALGSLTGLLTVDLSGNSLDGGGFAGGAFWRAPSLRAVDVSRNRLAGALRLDGAGERVASLNVSGNAFTSVVGAGAGAGLEVLDVSRNAIAAVPEGLRRLTRVRHLDLSRNAMSGTFPDDLPPLDGVAFLDISENRFSGVVGFAWVAKFGRAAFLNAGNASSLLIEENPPATMTPSSGGKKHKRVVLIVVVVVVSAVVAVLAAVAFMTGCVACGFERRKKKEKKKAAAWEDDEVAVGAVKVAATAPVVLVERPLMELTLADLAAATSGFGRESQLAADSASGGGRRSGAAYRAVLPDDLHVVVRVVDGGAVAGEDDGEAAAAAGLRELARLRHPNVLPLLGYCIAGKEKLLLYEYMEKGDLYRWLHELPASSMDMEETGADMWDTMDHNKKSIGDWPTRYRIILGIARGLAFLHQGWAGSSGRPIVHGHLVPTNILLSDDLEPRISDYAHPSSRNNNDNTPESDVYSFGVLVFELVTGQVRWDESTVSWARGVIRNRKGLNIVDARLRDGEEETTAVETEAEREMVECLQVGFLCTAHSPEKRPSMQQVVGVLKDIRPAPAAADETP >LPERR02G08420.1 pep chromosome:Lperr_V1.4:2:6066306:6067067:-1 gene:LPERR02G08420 transcript:LPERR02G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQHNRLRSLSPPIEGTGGGRGGAPAGHHRSEADIKTIVCQPPAPAPAATPWQGCAGACRPPPDPAVGGQIRPPRRRCLDEPQQSNRRYLDHLQQLRQRPRCQTRWWHERLPNLTRGHQIWPRGVDPATVPALQLLRHHLVVPLQSRRRPLAIPHPRRRALTGTSPWCRSTSRLHRHRPRISPPNQHGTKTGKKASPPSYFHPPALPVTAQAAARRKGAGEVRGGRTAVTARVALGSDAGVPVDLPGKGILE >LPERR02G08440.1 pep chromosome:Lperr_V1.4:2:6077022:6077345:-1 gene:LPERR02G08440 transcript:LPERR02G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKAAVQLGPRAFEVEVDAMLLDAALKTNSFELAQMGGIILEIKNLINFSFVSCSVSFCPRVCNRVAHALAEKGCNSARVSDLSWDRLMQGIEQLVASDIAEPLS >LPERR02G08460.1 pep chromosome:Lperr_V1.4:2:6112930:6115948:1 gene:LPERR02G08460 transcript:LPERR02G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVQEGIVREMNGVFDVNGFDYDNAMAMAPKQQQREEEIKWYEEEIDDDLKLCYALNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHKTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKCFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVIAYTAHVPSFADTWGWVMASDYPFSLNAQQINERIKDRIDGELVYLNGESLISSTTLNKSVYQSLSSETHVYTEDDARFIYGHGRARCA >LPERR02G08470.1 pep chromosome:Lperr_V1.4:2:6119043:6119925:-1 gene:LPERR02G08470 transcript:LPERR02G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDSVKVVFFFLAAVLLITSSSHGGVADALSTRYYAKTCPNVESIVQSAMAQAVAAEPRMGASILRLHFHDCFVNGCDASLLLDSAAGEKGAGANAGSARGFEVVDAIKSRLEASCPRAVSCADALALAARDAVSLLGGPTWQTPDAFDNGYFRELTRRRGLLHSDQELFAGGKSAQDALVRKYAGDAGEFARDFAKAMVKMGNLAPAARTPLEIRLNCRKPN >LPERR02G08490.1 pep chromosome:Lperr_V1.4:2:6124038:6126888:-1 gene:LPERR02G08490 transcript:LPERR02G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVVRESESFQKPINITRFWLDTAQLHMLGSNRNTRLFEPLPLVAYTCNLIIVITIISRFNSPYCFVGGV >LPERR02G08520.1 pep chromosome:Lperr_V1.4:2:6146851:6151890:1 gene:LPERR02G08520 transcript:LPERR02G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGPHPAGAGAAADPMQVDQPAAAAVAAAPPAGEKHGAALIEGNDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFCGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACSHPFFDELREPNARLPNGRPFPPLFNFKQELASASPELINRLIPEHARRHCGFNFVPAAGP >LPERR02G08530.1 pep chromosome:Lperr_V1.4:2:6154746:6159808:1 gene:LPERR02G08530 transcript:LPERR02G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRALPAAFAVAAAVVAAAWSLAAAGDPPLSPKGLNYEVAALMAVKSRMRDEKRVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNRISGDIPSEIGKLTNLKALDLSGNQFVNEIPSSLGQLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYRWRLPFAAADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHHGKPSLDWCKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVREVKEEKKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGVELNREAPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >LPERR02G08540.1 pep chromosome:Lperr_V1.4:2:6159559:6163342:-1 gene:LPERR02G08540 transcript:LPERR02G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSLAAIRRRAVAPSPLAARARAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQRRFLPDSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKVKNHFPFFDMAYQGFASGDPERDARAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGSLVVSIILNDPELKSLWLKEVKGMADRIIGMRKALRENLESLGSHLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >LPERR02G08550.1 pep chromosome:Lperr_V1.4:2:6168532:6170217:-1 gene:LPERR02G08550 transcript:LPERR02G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPWRPRLLLHDLLLFLHVSLLACPCSARRRDGSTGVLRESFLRCVARRSPATADPSRLVHAPGDALYPPLLNSTIQNLRFASASSPALLLTPATVDEARACVACCRDHSLSVRARSGGHDYEGLSYRSLSSPNFAVLDVAALRSVRVNAARRVARVEPGATLGELYYAAAAASGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKHGLAADNVVDAEVVDAEGKVLDRAAMGEGLFWAIRGGGGGSFGVVVSWMVNLVPVPAVVSVFTVHRLLLRRGGDDERDLLRLLARWQAVAHALPDELFVKAAMETKAISSILRPLVVFKSLLLGNCSDMVTQMDHHLPELGIKTSDCREMDWLQSMLYFYGYTNGQSPEVLLDRTLQPKDYYKIKLDYLTSPIPAAGLTKLLTKIVEEKGGSIDIDPQGGEVDPEQLFWSEQSIPPLFVDKRDDELVSDS >LPERR02G08560.1 pep chromosome:Lperr_V1.4:2:6171375:6173411:-1 gene:LPERR02G08560 transcript:LPERR02G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR02G08560.2 pep chromosome:Lperr_V1.4:2:6171375:6173411:-1 gene:LPERR02G08560 transcript:LPERR02G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVLEQLSGQSPVFSKGSSLSTQLACFCNGGRKLLRSVCVMTSLSCCGLAARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR02G08570.1 pep chromosome:Lperr_V1.4:2:6176176:6177914:1 gene:LPERR02G08570 transcript:LPERR02G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFNPSTNPWHSPRQGSHRECRFDRLQAFEPLQKVRSEAGCTGTFVIRRVIQPQGLLVPRYTNAPGMVYIIQGRGSMGLTYPGCPATYQQQFQQFLPQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDINNSANQLEPRQKIIEFLLAGNNNRVQQVYGRSIEQHSGQNIFNGFSTELLSEALSIGAVATKRIKSQNDQRGKIIHVKNGLQLLRPTLTQQEEQAQAQQQDENFCTIKARSNIENPSRADSYNPRAGRVTSLNSQKFPILNLIQLSATRVNLYQNAVLSPFWNVNAHSLVYMIQGRARVQVVSNLGRTVFDGVLRPGQLLIIPQHYVVLKKAEREGCQYIAIKTNANAFVSQHAGKNSVFRALPVDVVANAYRISREQARSLKNNRGQEHGAFTTRFHQQVYQEFSNESESETSE >LPERR02G08580.1 pep chromosome:Lperr_V1.4:2:6183585:6184856:1 gene:LPERR02G08580 transcript:LPERR02G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPPTAEPKPSPKPSTTTISDLHEELLLEILLRLPSLPNLVRVAFTCRPWLRAIASSPEFRRRFRALHPQPLLGHFADAEDPPDADTPEFVTAAAAANADADLAAVLRGGDLSFESIAEVEGGEASPGWHVVDCHGGYLLLLNPFYRMFAITNPLIRNLDFFSPLPGEVELKRKGESAFTGFHLVHSDEDPGSFTVIFVCRDKLRLRAVVFSSENGNWIVHPWIEFAADSSVRLMSKTGVLVDGSIYWPCGCRDIMKLNIATMEFSNVELPRNLLLPGCTLIAGDTKDGALCIVCAVDFLFLVLLRRIGSDGIETWVLQNTFTLSPYLSWVAQGLQYSYKGIRVMGVKAGYVYLAKVEVIPNAHSPYSIFSHCFSLCLETMRLDRLPQERFEGRFYPYIMAWPPSLIRVDGSFGHEAKGSQ >LPERR02G08590.1 pep chromosome:Lperr_V1.4:2:6198765:6202880:1 gene:LPERR02G08590 transcript:LPERR02G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAVKERELDLRGCPLTLLARSRVPGFFCFELIGANWLLGSFPLQDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLTSLPNLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAEKFHSKEAEEEAKKVPAKTFTPGQVVDAQDTTKEEQGPKVVGPTPEQITAIKAAIVNSQTLEEVTRLEKALSTGIVPAEFAIPKPDANMAEASEETDKMETDGQNQENGADEQKQNEESTPIEED >LPERR02G08600.1 pep chromosome:Lperr_V1.4:2:6204148:6205735:1 gene:LPERR02G08600 transcript:LPERR02G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYNSSPSLIDLMSLPPSSPPAPSTSSASSSAAECGGGGGRVQVVPWDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPDVLALAGGGKRRRRSWRRKSGVIK >LPERR02G08610.1 pep chromosome:Lperr_V1.4:2:6206577:6214530:-1 gene:LPERR02G08610 transcript:LPERR02G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAETLPLLLFLSLPIPLSHAWSFDYPSPIANLPSLWTNNNATIPYSATYPDGSKIRAILVRQNPAWYGPFFACGFICTAPCDAFLFAIFSVSVGDPSNPAFNTSSAPRIMWTANRSRPVKENASLQFTKDGDLILRDFDGTLVWSTNTSDSRIAGLNLSETGNMVLFDVMGKTVWESFEHPTDTLLIGQSLREGKRVTSDSLATNWTQGQFYLTVLDNGLYAFIEADPPQLYYQRRFNITNALVQPKMNVSSDGTKNYTTYISFLKGSLSAFVSFNNTDIKLFDISLPWPSSAQFMSLENDGHLRVYRWDGISWKPQADVLHVDLDDCAYPTVCGDYGICSEGQCSCPSQNSGDEDRFLHQLDNRQPNMGCSLTIPLSCDLIQYQQLLPLPNVTYFNLGQNWTTDEHSCKEACLKACSCKAVFFNYHSSSNGSCYLMPKVFSLMNYQPEVVGYNLSAYIKVQTLPPPPRSKELNPTVYHIGVPIVVTVICIFILIIRRIMKKKIEDDDPFKGLAGMPTRFSYKQLREATDNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLVGYCSDKFHRLLVYEHMSNGSLDKWIFRKNPSVSLSWATRYKIILDVAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDESHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEASNNLINLLQEKIKVGQVLDILDNQNEDIQLHGEEIIEVIKLAIWCLQRDCGKRPAMSQVVKVLEGAIDTETSSGYDAASKDDISDTTSPLSPAPVPQFSFKVQNKTVFHDFRKVTRRTAKGGFSASCSTRNHRAIFLGSRQFSPIIYSPAHRASSRLSRREIVESIISSLTGSAPGEAPKKMGTSDVVLVTGATGGVGRRVVDILRNKGVPVRVLARNEEKARSMLGPDVDLIIGDVTKENTLDPMYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAVKNSVGLTEGKLLFEGTGSGKIVWGALDDVVMGGVSESTFQIQPTGSETGGPTGLFKGNVSTSNNGGFTSIRTKNFTVPEDLSAYDGIEIRLKGDGRRYKLIMRTSFEWDTVGYIASFDTTKGEWQSVKIPFSLLNPVFRARTMPDAPAFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRSYINEPITPRLIGIAYVLLAEEPAGADLIFDQGDNITGKISREEIALICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYEVYFKELKAGITGKEALEETPAQIKTQDCGVLLEQILYIMSLFFSLNSAL >LPERR02G08620.1 pep chromosome:Lperr_V1.4:2:6215536:6220237:1 gene:LPERR02G08620 transcript:LPERR02G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARFCFPVFASNRISLAATALALSDPYSNILTKILAVALFASIAALLLQDEAMQSAIPSLLSGESYCKPNTRHHCEIKLRRARFGTSRWSARAWPELGQVECPSSIHCMIDGRSYVCPVDATTGSCIKSSEIGHENSFGTEKDVSSPPPIDSILCLLPTIAQSRSALAFPVSNNANRQYRSKATTLPTSGRSISSSWLKICSSR >LPERR02G08620.2 pep chromosome:Lperr_V1.4:2:6211452:6220237:1 gene:LPERR02G08620 transcript:LPERR02G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARFCFPVFASNRISLAATALALSDPYSNILTKILAVALFASIAALLLQDEAMQSAIPSLLSGESYCKPNTRHHCEIKLRRARFGTSRWSARAWPELGQVECPSSIHCMIDGRSYVCPVDATTGSCIKSSEIGHENSFGTEKDVSSPPPIDSILCLLPTIAQSRSALAFPVSNNANRQYRSKATTLPTSGRSISSSWLKICSSR >LPERR02G08630.1 pep chromosome:Lperr_V1.4:2:6218465:6220695:-1 gene:LPERR02G08630 transcript:LPERR02G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSPVEFLLRRPSPPRRRRLPLAGAFFAPTGLAGATLLRAVASLAAALRRNVDALARRLALLSAILESILLDAGDDADGAGFFSDAASLCFRELYVVLFRADLLVSYVASAGRAWALLRGPHLAASFRDLDAELAVVLDVLPASSLGLSRDAAEHLDLLRARCRRRSPAQYHDPDEAALRDRLLAALRRFELGQPPDHPTLRSLLADMDISNAASCRAEIDYLEEQILSQEEDIDLPLVGSVVALLRYCLFALFDTGNARALRDWAMVGNRQRMLSMGGGDDTSFSVPKEFSCPISLDLMHDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQALADHRLVPNRALRSLISQWCLVFGLQYDSPESNEGMADCIASSCSSRAAIEANKATARILVRMLEYGSDNAKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSTDWMAQENAVTALLNLSIFEPNKARIMEQDGCLRLIVGVLQNGCTTEAKENAAATLFSLSVVHDYKKLIMNEPRAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSSLVQRVARIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDHSLVGNNNLRRAASFGSGELSNPISISVQVP >LPERR02G08640.1 pep chromosome:Lperr_V1.4:2:6237534:6242075:-1 gene:LPERR02G08640 transcript:LPERR02G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLFLLSLAAVLRPDAAAFAFASPADSIVRQLSSVVKWPRVTSSSSSSHAHKQSAHPNYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYLFSVVQHGFGSSSAEKVIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLFSDLLKLGIETVGNQLLKLVPSRLQHGKRKTDLTPLKDRLVMPEDREEAPIAQKLSSTPMRPETLHAPNAVNETAPKTQKSIKSSKYRDSTMSSKHRSSKRPEYAEFYGSSETPQVSAKVPKDRLRHRHREKSGEAVYGTSHPEPKPSEVKPADYSDAKYDPYNIRTKYGAETGYRY >LPERR02G08650.1 pep chromosome:Lperr_V1.4:2:6248399:6248767:1 gene:LPERR02G08650 transcript:LPERR02G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKNKLLALILAMAFLAIAAEAARHAMASPAIVSTATAVAGQDDDDADVVALPWRRRVLRHRGRKEHGGGDDFDWRTWRMPPSGPSGRGHVAVDVESPEEEKKTAAEEAAGGGRSSSSSAP >LPERR02G08660.1 pep chromosome:Lperr_V1.4:2:6262225:6267387:1 gene:LPERR02G08660 transcript:LPERR02G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKEVVEIEDDETKRSVDKNQLDLNELVMDVESEEGEVGDDEDDDDEEDDDDDGGSTTDVAGSRSSSNNSSTNNNVSESKLKGCEKDGVGGDAEGNNNGGEHRIPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASVFSPMDFHMMRGDRRFHDMLLQRAAALSSRPDHGGFFPPRTGGGGGGLPEASRLYGLLQHRQPPMSQTFDFKNTSFRNQEWSFSFNQCDMSSRREMKPSSSTTATPPAHLLAASSSAIRRWPSFADGAGDQISRPEAGRFGYYTGNGTSFRTLITRAAMAPPPATMSGGDRRLPFVWHGGDGGGGAKINRSSSDPVVIDEALDSRSLEHHQMKLIEPRSCTPSTTPAGKRPPPDLQLSLSPTTTASAAKRSKTTATTTSAAAGEQQQEAEMDRCNNSKLSISLSLSPPAPAANNSMDLSSMHHHHQQQEKTRGSSSEEDADLGQTRVCIYFA >LPERR02G08670.1 pep chromosome:Lperr_V1.4:2:6267956:6278453:1 gene:LPERR02G08670 transcript:LPERR02G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDSHPSDTNWWPSNVEHKLRSISLTKQEKNLGSAGSSLDCREGDQSLWAAQTLWCTGCLSTPIPNGFYSVIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDFEKDKKLSAIKQLCAALVKGLKSNPAALIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLVVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKTTNSMEGKLRCSSHSEPNVANAFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQYGDGVAVSRSDGASTSNIRRGRRRSISITPEIGDDIVSAVRAMSEKMRENRLSRGQNDGSPVHSNDSQKNEPLDDCNNDEEHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSDLRGPADYLTADLMSTWNRVLRSSPFLNKPLLPYEEWHIEFSEITVGTRVGIGKWFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEISILRGLMCMHRLKIVHRDLKSANCLVNKHWTVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWVGIPSVQVVYSVANEGARLEIPDGPLGSLIAGMI >LPERR02G08680.1 pep chromosome:Lperr_V1.4:2:6289472:6291234:1 gene:LPERR02G08680 transcript:LPERR02G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSSLGINPWQNPRQGGSRECRFDRLQALEPLGRVRHEAGVAEYFDEKNEQLQCTGTLVTRRIIEPQGLLLPRYSNTPGLVYIIQGNGVFGLTFPGCPATYQKQFRHLGFEGESQSQGRKFRDENQKIHQFRQGDVVALPSGVPHWFYNEGDTPVIALFVFDVNNNANQLEPRQKVFLLAGNNVKEQQVSSPSIKQHLRQNIFNGFGTELLSEALGINIETARRLQSQNDRRGDIIRVKTGLRLIKATITQQQEQSQDQYQQIHYPREQQTTSKYNGLDENFCAIKARSNIENPNHADTYNPRAGRITNLNSQKFPILNLVQMSATRVNLYQNAVLSPFWNVNAHSLVYAIQGHARVQIVSNHGKTVFNGVLRQGQLLVIPQNYVVMKKVEREGFQYIAFKTNPNAMVSHIAGKNSIFRAIPVDVISSAYRISREEARSLKNNRGEEIGAFTPRYEQQTYQSYSNPTERETEE >LPERR02G08690.1 pep chromosome:Lperr_V1.4:2:6291829:6293328:-1 gene:LPERR02G08690 transcript:LPERR02G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAASASADDDQRHGAPRVVLLASPGAGHLIPLAEMARRLATDHHGVAPTLVTFAGAAATAEYSAVLSSLPASVATARLPAVPFDDLPADAPVEAVLFELVRRSLPSLRDLLLRWSQTGAVAALVPDFFCVAALTVAEELGVPGYVFLPCSLAALAVMRRAVELHDGDNGDGDYRALPDPLELPGGVSLVNADLPNEFRYATSPVYGQLIETGRLYRRAAGILSNTFQSLERAVVEDMSQKGTGFPPVYAVGPFVRSSSDEESSSACLEWLDKQPAKSVVFVSFGSGGTLSVSQTRELAAGLEMSGHRFLWIVRMPSLNGEAFTFRRNGVGVGDADIDDPLDFLPDGFVERTRDRGLAVAAAWLPQVRVLGHDATAAFVSHCGWNSTLESVSAGVPMVAWPLHAEQRMNAVILEDSVGVALRPRRREAAAVIGREEIAAAVKEVMEEEGRGGGVRRRAREMKEEAARAWSPEGSSRRALEEVAGKWKAAAAAAASK >LPERR02G08700.1 pep chromosome:Lperr_V1.4:2:6299818:6300780:1 gene:LPERR02G08700 transcript:LPERR02G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFSVRFSPFHEHRLLAAASQHFGLVGNGHLLVLDLSAPAGAGAAAPPLFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREVHGVDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHDYCVYAAVWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRSPRAPLAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRKEDALLTRYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >LPERR02G08710.1 pep chromosome:Lperr_V1.4:2:6302061:6305509:-1 gene:LPERR02G08710 transcript:LPERR02G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGSSSPQPPPPPPGAAAKPDPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIVSSNASNAKFNFLQPSDPYHAYYQHRVSELAAQPPSSAAADAAAAPESDESGAVVAADSAAAPSGDGAVAEADHSAPFRVPPPTKVLVPPKAELYTMHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRENNNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKELQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMLMQMIDWHDFVVVEQIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAIELAEPAKDVEMEMDEEEMQLVEEGMRAARLEENEGVQVRVAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLIRPPQPLPLVNVPRFQPNAMPYPGPPPQPHLMQGLPHMMSTMHPPPPPPIPGQPQMIRMPGSMGPMPTNIPPPPPGQNPFMPGPPRAYPMPPPPHMPTMATMVNPIGIPQGQPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIDVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERERISESGLLRTIQTQMDSWAS >LPERR02G08710.2 pep chromosome:Lperr_V1.4:2:6303023:6305509:-1 gene:LPERR02G08710 transcript:LPERR02G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGSSSPQPPPPPPGAAAKPDPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIVSSNASNAKFNFLQPSDPYHAYYQHRVSELAAQPPSSAAADAAAAPESDESGAVVAADSAAAPSGDGAVAEADHSAPFRVPPPTKVLVPPKAELYTMHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRENNNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKELQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMLMQMIDWHDFVVVEQIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAIELAEPAKDVEMEMDEEEMQLVEEGMRAARLEENEGVQVRVAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLIRPPQPLPLVNVPRFQPNAMPYPGPPPQPHLMQGLPHMMSTMHPPPPPPIPGQPQMIRMPGSMGPMPTNIPPPPPGQNPFMPGPPRAYPMPPPPHMPTMATMVNPIGIPQGQPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIDVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >LPERR02G08720.1 pep chromosome:Lperr_V1.4:2:6313106:6319383:1 gene:LPERR02G08720 transcript:LPERR02G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYSTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEVADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVTVDLQSFGEQLRNNFEETKQLLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVRPQPALSKEFVDENHPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >LPERR02G08720.2 pep chromosome:Lperr_V1.4:2:6313106:6319661:1 gene:LPERR02G08720 transcript:LPERR02G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYSTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEVADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVTVDLQSFGEQLRNNFEETKQLLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVRPQPALSKEFVDENHPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >LPERR02G08730.1 pep chromosome:Lperr_V1.4:2:6322319:6326811:-1 gene:LPERR02G08730 transcript:LPERR02G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAATAAAAAAEDPIRAAEAEAEAKVEVDLEGKGGEEEEAAAKRRNGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEEVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >LPERR02G08740.1 pep chromosome:Lperr_V1.4:2:6339943:6347220:-1 gene:LPERR02G08740 transcript:LPERR02G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRESDVPVVFVVFVVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVMLPGLSLRPMPYLRSAPSARPEYHECATCHGPAKTRCSRCNSGKCQIIHWRQGHKQTCQQWNGFGASSSGGIPPTENTEQMPFLSNLNSPLRGSDVHPHDMDFDTMSEPSFVTLTTDSYNIDNNPFLSDRSNMKPNQFLHTSENGAVMGSYEKSDYSIEGEVPSEILSGNKVSSNSSASDENSNNRDVIYPLNGVVHQPNNYAPETRKRPKASITVYEPDKGVYLTSDMINSGEGTYASAEESLQRSNSSGKITGNGNMLHKKPPYPAGKVPTSQKSQEKVSSSHQYDGHEKNVHNKNEQRSTKTVVSSSNNLQGCNGMSKVGASKVEALKKPSKFLKTSLVGLINDNKRSKYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVESSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSTYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQVVSLNQVMSEGAYMLFYMRSFPRPPKIYIDKDLSPIPTCSKHHSSKSSKGSKQDQNHTESLFASNDQTYGIYDFRPDSEGYMQDQHAALRSRNFYPTDDAFADSMSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTDFVSDSSMPAHLHGNVHRGRYPDRACGSSAEPPASANPRSLYGRYGLSREGFVQTSGFCQM >LPERR02G08740.2 pep chromosome:Lperr_V1.4:2:6339943:6347220:-1 gene:LPERR02G08740 transcript:LPERR02G08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRESDVPVVFVVFVVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVMLPGLSLRPMPYLRSAPSARPEYHECATCHGPAKTRCSRCNSGKCQIIHWRQGHKQTCQQWNGFGASSSGGIPPTENTEQMPFLSNLNSPLRGSDVHPHDMDFDTMSEPSFVTLTTDSYNIDNNPFLSDRSNMKPNQFLHTSENGAVMGSYEKSDYSIEGEVPSEILSGNKVSSNSSASDENSNNRDVIYPLNGVVHQPNNYAPETRKRPKASITVYEPDKGVYLTSDMINSGEGTYASAEESLQRSNSSGKITGNGNMLHKKPPYPAGKVPTSQKSQEKVSSSHQYDGHEKNVHNKNEQRSTKTVVSSSNNLQGCNGMSKVGASKVEALKKPSKFLKTSLVGLINDNKRSKYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVESSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSTYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTSFPRPPKIYIDKDLSPIPTCSKHHSSKSSKGSKQDQNHTESLFASNDQTYGIYDFRPDSEGYMQDQHAALRSRNFYPTDDAFADSMSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTDFVSDSSMPAHLHGNVHRGRYPDRACGSSAEPPASANPRSLYGRYGLSREGFVQTSGFCQM >LPERR02G08750.1 pep chromosome:Lperr_V1.4:2:6357731:6363933:-1 gene:LPERR02G08750 transcript:LPERR02G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYMGADADADAAAAADLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTAQPTQCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRIRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQGTECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEEMMVMEALWRSIQGSIGNPICGNFMPVTEPSLRERPPFVPAALEIPHGGGFSCAVAAMAEHQPPNMDYSYMAGSSAFPVFDMFRRPCNIASGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMAVSLADGHGRTHSQGLAWL >LPERR02G08760.1 pep chromosome:Lperr_V1.4:2:6366170:6368417:-1 gene:LPERR02G08760 transcript:LPERR02G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQETGVQHSVDAVDADLSRATNSPRAPPRRALTAAGGGSGGAAMELSAAFEERVRQMEDARNHRLSLLHAEKELQAERSRLLDAKIASARRLERRHLLLERRAADLASRALSARADIDAARSRRAAVSRELSSVREETEEAERREEEWDRFYEAKRKEMEEFQAMSGRFEAEARDEVRRLRDLVSQLKSTLQEHHGRVMYLNNAEITAAEARRSDLMVKKAKLDESLASARQFRALLQQQLQKAFSSQVGIKRQHELPSKLESFI >LPERR02G08770.1 pep chromosome:Lperr_V1.4:2:6371275:6381832:1 gene:LPERR02G08770 transcript:LPERR02G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFSGMVRWWEEWQLRVLALSSLFLQLFLFVSSTFRKYRVPPLLRSCIWLAYLGGDALAIYALATVFNRHRQPSPAADGHGPGPSSSMLEVMWVPVFLVHLGGQDSITAYNIEDNELWARHAVAMSSQAAVAVYVFWRSWSGGQVPESSPALLLFAAGFLKLGERLWALRRASITRLAAVRSSTPATARTVVPLDSYVREARRIAKEAKGQARDHYAGLCRPMLEQEAQNELMELFIDFPAPYPRRLANLRSFLALGDDDAYQELCILLDQAFQFFYTKKEAAYTIIGIYLRTFSMLLGIAAIASFNDSHKDGFDTSDVMVSYILLWSTLVLEICALVWLADWRLVPSGTFVPEMQRTVAQFNLIGFVGFVARSRWLTVVRCIGMLFRCNELDYVVGQQYYPHHCWSTPVITKFIRKQLKDGWVGLSSAAEYRRFNDRRGQWNLPRDEDSDEQLVQSVTKLPFDESVLIWHIATDICLDHYREHIVAADERASAAREISDYMMYLLVLQADMLMPGTQQSLFATASRETRHVLRRQERTYLLSIFLYILVQVQASSSPPAIHALVAPIIKDSKTSLYTLSISNKNYLLDLSGQLLWSPCSATHPTVPCSSNNGGRTCTVRPTNPVTGERAAGDLTLTDIVINATDGRTPTSEVTVRGVVSSCAPGSLLRSSFPTMAAGDAGLGRGGLSLPTQLYSKLSLKRQFAVCLPSTASAAAPGVAFFGSGPYNLMPPTLFDASTVLSYTDLVRSPSASASYSIRLRGIAVNQEAVVVRLPPGGGAGVTKLDTAAPYTVLRRDVYRAFVSAFAKATARMPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLMTHGGGNWTVFGSNSLAQVASDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAKSRLGFSGTLLFIRTTCANFKFATVVYSWPGMVQWWEEWQLRVLALSSLFVQLFLFLSSPFRRYRIPALFRTCIWLAYLGSDALAVYGLATLFNRHGRKTTPAAAAAADGRSSSILEVLWAPVFLIHLRGQETITAYNVEDNELWARHAVAMSSQAAVAVYVFCRSWRGGDKVPVRCPVSLFVAGFLKMGNRLWALRRASITRLATVTRKVTTSTSTGDEGDPESTTTANSLRDYVNKASELATRSNIADDNGGNNRQAARRRSRERRDQLLAPDSLLDELLELFIDLPAPYARWLGYLRSFLQLQNYDAYYDLCNLLDFAFQFIYTMKSAAYTIAGMCVRVTILFLGIMAAAGFDGIDSNKDGLDRDDVKVTHILLIFSIVMEFGTLVWLGDWKWVPLWMLAPEMQHTIAQFNLIGFASRSRWPTMPMWMATLFGCKNYHWYLEYRPSTATIVEFIRRDLKSAWVDVDHSSVTAYRRFNDRRGEWTLQCEQCYRELGWSVTSLPFDESILVWHIATFVCLHRMDDISPAAGHADDERITVATTCSMEISNYLMYLLSFKPDMLMPGTRQSLLDVASREIRHALKHQRQWLGDRDLVRWIVTSTELQEGGAS >LPERR02G08780.1 pep chromosome:Lperr_V1.4:2:6381866:6382102:1 gene:LPERR02G08780 transcript:LPERR02G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLDVGKMWRVISGVWVEMICYSASRCRGFLQANSMSVGGEFLTIVWLLLHRMGMEGLADKLQRPEVTEDEPGAAGV >LPERR02G08790.1 pep chromosome:Lperr_V1.4:2:6387487:6391450:-1 gene:LPERR02G08790 transcript:LPERR02G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDVFQIKKSSDSFAVELLPSLGATINHSNKLQKFIISPYDPWYRSWELFLIVLVIYSAWICPFELAFLRDFPYKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLFVDDRKRIAVRYLSSWFIFDVCSTAPFQPIILLFTHKGNDLAFKILNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPERTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPTEMLFDIIYMMFNLGLTAYLIGNMTNLVVHGTSRTQKFRDSVQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDGLPKGIRSSIAYNLFFPIIRHAYLFNGVSDNFIAELVMEVQAEYFPPKEDIILQNEGEADIYIIVSGAVNIIATIHGNEQVYEKVAEGEMFGEISALCNIPQPFTCRTAELSQLLRISKKRLREIIEENREDGSILMNNLFQKMKLRESLPEVNQPDQRFLSKYELFHVPREAWLLKKSHLHYTEQISRCSSNNIPVFGGDIYSRQLLGEATRSWASEKENNNGMTDKKENHDKVHMNCETKASTEEHCIQINSEDSSSTCSQQTVHTTAQTGSPQKSEENITRSRIPDECYINETNKRVTIHKYHSNATGSAAQNGKLIKLPRSLEELFKIGGQKFQGFHPTRVMSRDYAEIDDISVIRDGDHLFLLEM >LPERR02G08800.1 pep chromosome:Lperr_V1.4:2:6401541:6405941:1 gene:LPERR02G08800 transcript:LPERR02G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSPAIREMQRDLEVSDSIRFLGACVQELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNGKKESIFKLQQKMQAVQAKA >LPERR02G08810.1 pep chromosome:Lperr_V1.4:2:6427229:6430083:1 gene:LPERR02G08810 transcript:LPERR02G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGAIAGGDRAVLDYQYYYHAAAAAAGGGLVGQEMMGVAATPSTAAADDGVVLLMEMLDEEEDYYSPAPAANVVGVRDGGGDGADRLSRVMRSLEAEIGGGSASETAVRDEMAGVASDVDGGGGAGTIGRMEDMFSDDLDDGWALIGYGWPPELAAAATPAVAAAHEVGGWWAYSDNIEHLYYRDGDGDCSIDEQVYSALWNNNLYLL >LPERR02G08820.1 pep chromosome:Lperr_V1.4:2:6436205:6452569:1 gene:LPERR02G08820 transcript:LPERR02G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIVEVGPSSRPLRYVPARQPWRRQSPPLPPPPPPPPPAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRRAKSDSQEIRLFYEKKLQANEHELMPVLGEVLKAVQIGTGMEVVKRIASETFADKSALFRYNILPLYTGSNKQPIMLLPEIKVAKSAVFNVRSLPFADTKGHRSHTDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSASETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNKVVTPIYREIYEESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFYTSNTNKKSQLPVVPPMQGDTSQQTEQQNPQLPHGSSSFQNMPNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDIAFSWRTKKTMRFSHKLRFAVKLSIAVAWAIILPIFYASSQNYMSCSARRPKTFLGMFCLSKYMVVVALYLTSNVIGMALFFVPAFFGDIYLESLQYVGLVVPVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNASLVPHSMITKKKGILPSFLEEKIFKNLGKAERHDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSKAVDMVTNFTGKSAHLFLKIKKDNYMLCAINDFYELTKSILKYLVIGEVEERVIAAIYTEIEKSIQNASLLVDFRKDHLPSLVDKFDRLAEHLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLVLINSSEALISDDDGTFEYYKPELFASISSISNIRFPFPESGPLKEQVKRLHLLLNTKEKIVEVPSNSEARRRISFFATSLFMDMPSAPKVSKDELHSDDQDEASILSYMQKIYPDEWKNFLERLGTKVTQEEIRYWASFRGQTLSRTELYKGPGANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSYGDRHAQDIIDLMTRYQALRVAYIEEKEIIVNNKPHKVYSSVLIKAENNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNLLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRHGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAQTQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGPGFAWRKIVEDWADWTRWMRNQGGIGVQPEKSWESWWNAENAHLRHSIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAVLAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >LPERR02G08820.2 pep chromosome:Lperr_V1.4:2:6436205:6452569:1 gene:LPERR02G08820 transcript:LPERR02G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIVEVGPSSRPLRYVPARQPWRRQSPPLPPPPPPPPPAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRRAKSDSQEIRLFYEKKLQANEHELMPVLGEVLKAVQIGTGMEVVKRIASETFADKSALFRYNILPLYTGSNKQPIMLLPEIKVAKSAVFNVRSLPFADTKGHRSHTDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSASETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNKVVTPIYREIYEESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFYTSNTNKKSQLPVVPPMQGDTSQQTEQQNPQLPHGSSSFQNMPNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDIAFSWRTKKTMRFSHKLRFAVKLSIAVAWAIILPIFYASSQNYMSCSARRPKTFLGMFCLSKYMVVVALYLTSNVIGMALFFVPAFFGDIYLESLQYVGLVVPVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNASLVPHSMITKKKGILPSFLEEKIFKNLGKAERHDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSKAVDMVTNFTGKSAHLFLKIKKDNYMLCAINDFYELTKSILKYLVIGEVEERVIAAIYTEIEKSIQNASLLVDFRKDHLPSLVDKFDRLAEHLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLVLINSSEALISDDDGTFEYYKPELFASISSISNIRFPFPESGPLKEQVKRLHLLLNTKEKIVEVPSNSEARRRISFFATSLFMDMPSAPKVSNEWKNFLERLGTKVTQEEIRYWASFRGQTLSRTELYKGPGANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSYGDRHAQDIIDLMTRYQALRVAYIEEKEIIVNNKPHKVYSSVLIKAENNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNLLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRHGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAQTQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGPGFAWRKIVEDWADWTRWMRNQGGIGVQPEKSWESWWNAENAHLRHSIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAVLAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >LPERR02G08830.1 pep chromosome:Lperr_V1.4:2:6461517:6463970:1 gene:LPERR02G08830 transcript:LPERR02G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPASNSAGQEWAAAGDFLGFAAARRGAHRRSASDSAAFLEAVPMDDVIGGGGEFDRLDDEQLMSMFSDVEAPAVSDGAGGERGAGEAHLMDMGDGEDEMGATSPAAARAMAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKNEIERLRQLYHQQQIKATGGTDIAAAASMQAKHELLACEGAAMR >LPERR02G08840.1 pep chromosome:Lperr_V1.4:2:6464370:6469318:-1 gene:LPERR02G08840 transcript:LPERR02G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGTKVHHGNIHAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQTFIDKNYHPTVICRAYTKALEDAIAVLDKIAIPVDVDDRVAMLGLVKSSIDTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKIEKIPGGQLEDSKVLKGVMINKDVVAPGKMRRKIINPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAYIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWTGIDGSSGDMVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEGDADNEQMIPE >LPERR02G08850.1 pep chromosome:Lperr_V1.4:2:6472090:6477804:1 gene:LPERR02G08850 transcript:LPERR02G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSPAILLAVTLLVVVVVAPLLAHGRPLISDGGGGGGGGGGNATTVLRLPSEGMGCEMSYGFLPCTTTAGGNLFLVLAYGFLMFKSATYLSSGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVIVGKCDLSEESTAIDTRDTKGFSLFGSGVSTDKQTSYAARIMAISVLPFIIVQIPKVFKLQSGLRITVLLGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGVLKHAQMHAFGRLLDDDGRPNVSVIEKLFHKIDRDNDGRLDRGELQAFIVGINFEGIYSNSNLAADQIMADFDTSRNNCIEKGEFVNAMLRWLNEAKRSVASGTYSKKFLNDFHERTRDEQSLLPDNDEEEEGEADGNPTWTCIKAILLLLLGTAMAAAFADPLVDAVHNFSNATHIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLSLVYLRGLTWDFSSEVLIILLVCIIMGLFTSFRTNFPLWTCFVAFLFYPLSLIMVYILDYKFGWS >LPERR02G08850.2 pep chromosome:Lperr_V1.4:2:6472090:6477874:1 gene:LPERR02G08850 transcript:LPERR02G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSPAILLAVTLLVVVVVAPLLAHGRPLISDGGGGGGGGGGNATTVLRLPSEGMGCEMSYGFLPCTTTAGGNLFLVLAYGFLMFKSATYLSSGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVIVGKCDLSEESTAIDTRDTKGFSLFGSGVSTDKQTSYAARIMAISVLPFIIVQIPKVFKLQSGLRITVLLGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGVLKHAQMHAFGRLLDDDGRPNVSVIEKLFHKIDRDNDGRLDRGELQAFIVGINFEGIYSNSNLAADQIMADFDTSRNNCIEKGEFVNAMLRWLNEAKRSVASGTYSKKFLNDFHERTRDEQSLLPDNDEEEEGEADGNPTWTCIKAILLLLLGTAMAAAFADPLVDAVHNFSNATHIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLSLVYLRGLTWDFSSEVLIILLVCIIMGLFTSFRTNFPLWTCFVAFLFYPLSLIMVYILDYKFGWHAGSRFAASPCLISPRQTAHSSPSPPFLFSYAYAAKSATWSSSATGGADGCAALGGRSGGGGGATTTTTVLGATAVVGC >LPERR02G08860.1 pep chromosome:Lperr_V1.4:2:6477499:6479138:-1 gene:LPERR02G08860 transcript:LPERR02G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDGCYQWSCDFAVAHALFACGLITAPVAVLHLVRAPRSDRAIFFAVVSAILAAASLVLCFRFYAGLKRPPWPRRRAARLDQQQPSVTVMAVAAGGEVERVPSYVHRDGDGEAECAVCLGEVEVGEAVLALAVIPITIIAGVLMYVAGVRWGIALLFLLVVILAVHWSHRRRSAAAATPAVHDDQQPTTAVAPSTVVVVVAPPPPPLRPPRAAQPSAPPVAEDDHVALLAAYAYEKRKGGDGEECAVCLGEMRQGEAAKRLPACLHVFHEGCIDMWLGSHDTCPICRSPVDAGAGDVAARVPVLVPSC >LPERR02G08870.1 pep chromosome:Lperr_V1.4:2:6482935:6483744:-1 gene:LPERR02G08870 transcript:LPERR02G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFNPSTNPWHSPRQGSRRECRFDRLQAFEPLQKNAVLSPFWNVNAHSLVYMIQGRARVQVVSNLGRTVFDGVLRPGQLLIIPQHYVVLKKAEREGCQYIAIKTNANAFVSQLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGQEHGAFTPRFQQQVYREFSNESESETSE >LPERR02G08880.1 pep chromosome:Lperr_V1.4:2:6493406:6494038:-1 gene:LPERR02G08880 transcript:LPERR02G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCVRVYGLAIANGVSIGGTALLVYQLVRLSRAPGGGMGGVVALSVFLVFWVAINAVVYSAFCGLLFPWSALRRRLAPLAAAVAWLLTLPCRCARRLCRRRRGRPPANSSSSALPRNLHVLEREPPLMRRGARVATAEDIPAYEQPAAAAAVCAVCLGEVEKGEMVKRLPLCLHMFHQRCIDPWLRGHSTCPVCRCDAFAAPPLPAQMV >LPERR02G08890.1 pep chromosome:Lperr_V1.4:2:6495677:6496335:-1 gene:LPERR02G08890 transcript:LPERR02G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGERRPAASQRDGDGGYLACYSVVIVCASLLLLAATSTLPTTARGPAACAACGLVGAAIDAIPAFAYARDGGGSSKSGRCALCSVCLEDVQAGEMVRRLPACGHLFHVDCIDMWLHSHSTCPLCRCNVSPPPATVAKATATATAAAAAAVQQPDEALPPV >LPERR02G08900.1 pep chromosome:Lperr_V1.4:2:6498420:6499085:-1 gene:LPERR02G08900 transcript:LPERR02G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGAARRSAARVGGGVGVTLIDDDDDYECRACYGVSAACVSLLLFCVLAASVNVTKACAVAGLSVLLFGVIGLFVPLCGAARARQTPSPPRAVARRVPRCACGLVAGAALIDALPAFVYEGPAEGGGSKHGGGALCAVCLEEAQRGETVRRLPACGHMFHKDCVDMWLHTHATCPLCRCEVFPRMPAAKAAALAAAATTAVVSAESASASAGEDELPPV >LPERR02G08910.1 pep chromosome:Lperr_V1.4:2:6499100:6524972:-1 gene:LPERR02G08910 transcript:LPERR02G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGKVAVVMKEEKHGCSEEGEGRWISQGVDAWQTRQGSSRECKFDRLQAFEPLRKVRSEAGVTEYFDETSEQFQCVGMFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYFGLTFPGCPATHQQQFQQFDQSQSTQGQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNGGDTQVVVVYVYDIKNFANQLEPRQKEFLLAGNNQRGQQTFEQSYLQHSEQNIFNGFNVEVLGEAIGINTETTRRLQSQNDQRGEIIRVKHGLQLLKPTLTQQQEQQEQQYSKGQYNGLEENFCTVKARVNIESPTHADYYNPRAGRITRLDNQKLPILNLVGMSAARVNLYQNALLSPFWNIYGHSVVYMIHGSARVQVVNNQGRSVFNGVLRNGQVLIIPQNHAIIKKAERNGCQYITIKTSPNSMVSQVTGKNSILRALPVDVIANAYRISRDEARRLKDNRADEIGAFTPRLHPRSQRGYQFLAEGLSLIGMLTAGATGVEDDGDDYDVGGYGVLDGACGGTVAVFCVLAVSVVVWNACAFVAMAAALLAVAWRVVAPRRVGAASPAIDAALPTSTYERRHGGGGEAVCSVCLEDVRGGETVRRMPACGHMYHAACIEAWLRSHTTCPLCRADVSPRRAPSSASSGVDADGGGGGDNDADGFRVFYGIAVVCLSIFLFCVLAASVSVWKACAYAAMAALVLSVAGFFAPKRWIARRSSRRGSTTEADLAGDRHRLPTANVLIARAPAFVYEGGDDGGKSCVVVCSVCLEDVTGGETVRRLPACRHVFHVGCINMWLHSHRTCPMCRCVVSPAAAAAEEVSPELSPTLRGVGESGRGCRACFGIAALCVSVVLFCVLAVVGSVWKASMFAGLVLLAFGVADCLVPASWRGGGTRATEREAAAAARSISLGLDKESMDTLPTFAYLSGSGGGGGGDLEAGKGDGEPCSVCLEELHAGETVRQLPACGHVYHVECIDMWLHSHRTCPMCRCDLSPARELAAKEEAAAELPADDALPPSLSRALPVPNPTDPLTMALSPTPLSIRPHAPNLFLSRRTALSHGDHGERNSTVTAVDCYY >LPERR02G08920.1 pep chromosome:Lperr_V1.4:2:6525845:6526411:-1 gene:LPERR02G08920 transcript:LPERR02G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTSSNSLPYSTDQGGYSTHDTLVLLAIGFFATAVSILTIVLCECLCCRRRRHRATAGARGGGTVLLFIGGGNSNGLSPSAVATLPSFVYKRDAAAARGENSGRGRGEGSGSGRGGWAQCSVCLSMVQEGETVRRLPACGHLFHVACIDMWLHSHSTCPLCRATVEPLLLGKEPPTSKDQLPPPPV >LPERR02G08930.1 pep chromosome:Lperr_V1.4:2:6529407:6529880:-1 gene:LPERR02G08930 transcript:LPERR02G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDPSSATAADAGGYRVCDTVVLVCLAFASSIIVFTVAVCFRRAFALQSYAPARGASSSSSAAAASSGATGLRGLPPSALAAIPKFAYRRGAAAGGGWAQCAICLGVVRDGEAVRRLPECKHMFHVECVDMWLYSHATCPLCRRDVAAAAAGDKV >LPERR02G08940.1 pep chromosome:Lperr_V1.4:2:6535363:6535920:1 gene:LPERR02G08940 transcript:LPERR02G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAAAPAPAGKQHGGGGGCCSSGMTLELVGAFTAVCLVLYGVILYFNYLYMRWSGGVHRSTGGGGGAGAARKRGGGGGLDKAALAAIPVFTFDAAAAAREEAEAECAVCLSGMQEGEAVRALPGCGHAFHAACVDAWLRAHATCPVCRARPAVPTATATTAKCFPKSAAAAADRQPDLESQV >LPERR02G08950.1 pep chromosome:Lperr_V1.4:2:6546554:6546871:1 gene:LPERR02G08950 transcript:LPERR02G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLCAFCDNKENVPPPASRYAVALHGIAVVKNQRMKRPGGCGGGGKPRRRVPLRDITNLMCLAARPPSPPAAGSAVTAPARWREEPIATALPARPSLRKEFR >LPERR02G08960.1 pep chromosome:Lperr_V1.4:2:6547313:6548911:-1 gene:LPERR02G08960 transcript:LPERR02G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDRIPLQLDLEINSSILGCRDGLVLILNPTRRRFLVWDPVSGEHRRVAVPPECDGNEMRVRQAAVVRAGAGEFHFQIVFLGVDGKDTRAFGCVYSSETSKWGKIISTPLPLFCWECCHMKIPGVLIGDCLYWSLRGYQPPIAIIEFDLDKQRT >LPERR02G08970.1 pep chromosome:Lperr_V1.4:2:6548931:6549200:-1 gene:LPERR02G08970 transcript:LPERR02G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGEPNPRRRRFTPPPPPAAAAAHPLEGDDLLTEILLRLPPQPSSLPRASTVCRRWRRIVTASADPGFLRPTAATLPSSASSGYTKE >LPERR02G08980.1 pep chromosome:Lperr_V1.4:2:6552254:6553491:-1 gene:LPERR02G08980 transcript:LPERR02G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPLLEDDDLLAEILLHLPPTPSSLLRASAVCKRWRHLLTASDRAFLRRFHARHRRDAPLLGVVFSDFKNVTFIPTMDPPDRIRPTGRFCSLRVPRDDFLCRVVGCRDGLVLIAMPMGHRFVVWDPVSGVQHAADFPRKVVKGIYSGEINSAVFRSAAAGGDDAGFQVVLVGTDEDLTRAYVCVYSSETGKWGNLILASLPPVFKGLHMYIPGVLAGDSFYWVLCGDPDFYKLLEFDLNKKRLAVVDVPVDLIEDDHQRFWVMRAEDGGLGFATLSNLSVRIWKKKDGRDAAVSGWVLVKTVELGNLLSLTSTGGEEPPLILGFAEDHNVMFLKTTAGIFMVHLKSMEFKKLPEERKIDIVYPFASVYAAAVELYY >LPERR02G08990.1 pep chromosome:Lperr_V1.4:2:6557133:6558323:-1 gene:LPERR02G08990 transcript:LPERR02G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAFTRRRLADGAISSQQHFHPIFFGINALVPGVLIGDSLYWLLFGIWGAIIEFDLKKQMLAVIYLPMGLRDDSYKHLCVMPAVDGGLGFIFISELELQFWRKKAGRDLVVSSSVLEKTIELGELLSLSSEEVGESPYILGFSEVYNVIFLKTIAGVFMVHLQTLEFKKLSETNGISLVVYPFTSVYTTGSSLN >LPERR02G09000.1 pep chromosome:Lperr_V1.4:2:6567616:6567990:-1 gene:LPERR02G09000 transcript:LPERR02G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQFLVWDPISGVRRRVDFPPVLADRTRIDVQSGTVLRLRAPDQDARDDGGFPLFQIVLVGINFVNSSVAFTLRRPANGAISSLNARRSTVRSKDYLQCSWCPDWGFTLLVALWVLGDSGSHP >LPERR02G09010.1 pep chromosome:Lperr_V1.4:2:6568491:6569531:1 gene:LPERR02G09010 transcript:LPERR02G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMESKLLEAVKELTEKAAAVDLIQEKLGKIDDKLEQQTDRLDRMQIKVEMSMASLGHVHQEQAMTGSVDDYKSAFDQLLYHIRLYDHTEISESWLVSHFVLGLQEAIRVHVQALVPAIVIAAYHLAVAKESAMEEVADGKKK >LPERR02G09020.1 pep chromosome:Lperr_V1.4:2:6581936:6586438:1 gene:LPERR02G09020 transcript:LPERR02G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPLLEDDDLLGEILLRLPPRPSSLPRASAFCSRWRRLLTSDTSFLRRFRARHRRDAPLLGVFEEDVFFTPTMDPPDRIPSNGARFSLPLDQNGEDHRVVGCRDGLVLILEPWRRYFLVWDPVSDELRHVAFPPELDLIQKAEYNGAVFRAGGGGFPFEVVLVGSDKQRAFGCVYSSEASKWGNLISSPLPPLVNGIDTEVAGVLIGDSLYWQFSGIWGGHFLQFDLNKQRLAMIDVPMNLSGGNLQQFCVMPAEDGSLGINATIWRAKTAVSEGIVSGWMMEKTIDLDKLLSLNLQEQGESNPFLVGLAEDHNVIFLGSTAGVFMVHLKSMEFKKVSEVCGDKLVFPFAGVYAAGMAINDGNVEDD >LPERR02G09030.1 pep chromosome:Lperr_V1.4:2:6591994:6595096:-1 gene:LPERR02G09030 transcript:LPERR02G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLKSVEFKTLSRTDGMGIHYTMVKILHQLTTGSGVLGFEDPGSGRCLADCRLFRRRTEKIGEARQPDALRRMQPVGFSREMKKSKYRCVQFPFSCEVCNVNMIGGMLSLFQHFNMLLSAAINLNQNLVMKYFLPPHLLSNVIERKASCYRNKDK >LPERR02G09040.1 pep chromosome:Lperr_V1.4:2:6595682:6596251:1 gene:LPERR02G09040 transcript:LPERR02G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHTRKWRRIGLRMRTRPSCPPRILEKPSRSNCGEGKLSIAGTRSGGSTVGWKEGDDPVVVDEETEEGRVAAVAAAGAEAAAGEGGGGAREGGREEGCGGRRRNISERRSSSSRSGCAIAEARRLEWSVGFRFAFFFFECGKCRTDAVRYCSRNGCQLLPLLSNGSLCNGHTPSK >LPERR02G09050.1 pep chromosome:Lperr_V1.4:2:6603871:6610563:1 gene:LPERR02G09050 transcript:LPERR02G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGSSSSGGGSASGGQAAGHGNFTVGSQHNRYHRIPRAMLPPSIRHQNIAHQQYLAGMIHHPSQTIPVPMAPLPYVQQYTMVPAPAMLPPQHLHGQSQISQENLQDKAPSNNNGAAPNVPTALQGCRPMYNGSAFMTFGHITASSNFFRQNATPCSFDTWTSNNMPRNHVYSTYHPAVIEDHHVPPFHSNNHDTGPGFQTGFRVDQPLVPTSSPFPPASSSSLSFPSTKISNGPAYSKKAKKSDAKDLPIVFRSDMKSKKNDELDQTPASEPPSMDQNGELTVRFSCREYRIILCKELTNSDVGNVGRIVMPKRDAEAHLPALEQREGEMLEMDDFKLETTWNFKYRFWPNNKSRMYVLESAGGFVKQHGLQTGDILIIYKSSISSKFVVRGEKAIRPNAVRPVVNCGCKNELNNSEECGFNISLQTKKT >LPERR02G09060.1 pep chromosome:Lperr_V1.4:2:6624728:6633702:-1 gene:LPERR02G09060 transcript:LPERR02G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLEDDDLLGGYSSASRRVPPPSRAPPPSANAAAASSPPTPPSSAASAPATAATRPSSASSPFARAASPSIPPRIPPDRVPAIESFHSPLLDLGNSSWILGCRDGLVLIINVIRRHFLVWDPVSGEHRRVDFSSDLDQDEQSSETGRWGNLISAAFPSNYFFGIETHVPGVMIGDSLYWLIYGLCAFILELDLKEQMLAVIELPMGVTDCANQCWRVKPAEEGGGLGLVSLSNPGALFWKMKNKTGAGVLSGWVEEKIDKAVLDLTHYPSITITIMGFAEDYNVVFLRPTAAVFMVHLKSMEFKAFAQTKSIDFIYPFASRIETLTTHRHLASAMAHPLLEVDDLLAEILLRLPPRPSSLPRAAAVCNRWRRLVSDPGFLRRFRARHRRDAPLLGFFISRPGDAFFVPTMDPPDRVPWPRLVLGVDSRILGCRDGLVLILNPTRRHFLVCDPVSGERRRVGFPSDLDGQSQAEVRNGAVFRSAGVDDARGGGGLFPFQIALVASALQEEEEQAIGCIYSSETGRWGNLTSMTLSPRILAINTHVPGVMIGDSIYWFFYGVCGCILEFDLKEQMLLAIELPMGVTDDSNLCSRVKPAEEDGGLGLVSLSNLGVLFWKRKTVWKKTTGGSDYAVSRWVEERRIKIDKAVLRLADRWDSPFVMGFAEDYNVIFLRSTAGVFMVHLKSMEIMALAETIGTNFVYPFSSVYTAASTMDPSGGHGVPSTSMAP >LPERR02G09070.1 pep chromosome:Lperr_V1.4:2:6640059:6640391:1 gene:LPERR02G09070 transcript:LPERR02G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLSCLCLLFLTVAGSSSPVSVSVSGERCPLHNRRLQDMVAATAAVVVSQPLPPPSAAARTSSRGGAAAAVETVVVTSRHDDNEEIDETMYEGSKRLSPGGPNPQHH >LPERR02G09080.1 pep chromosome:Lperr_V1.4:2:6643953:6649908:1 gene:LPERR02G09080 transcript:LPERR02G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPGAGVGGEEPAASPAPPAVAAEAAEEPVTSRWAPEIRVYKRKYPNKNPKPPPPNPSPNSSPLAQTLASIRRSIRRPEDGSAPPRPDPSPAAAPATSSPPPSPPSAPAQQGEPPAASGDVSMGANPDGGAVPNGHGDVRAAAEEKARKRKARSELRRQLASELDQVRGLSKRLKAIAEAMAAESALALALPAPPPPPQPPVVYTHSQYALADPVTPIPGQVAAAVGPVRSVLQRGPLTVSVTHTEPFEKEKRTPKANQLYQNSEFLLAKDKFPPADSHGRKKSKHHKKKHRSLAAGSGYDAEQRLYSHAFKKSQSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKRPMDLGTIKTRLTHGQYRTPREFAEDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPVQVLFDRSDSMKHNMALDSKSRPISHTPTYSARTPSMKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVMHHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAEHVAPQQPSQEPNIGVKSPKQNLTADEQLATSVPEQNDNNGQNANRAALAAPAVIQDPLLVTQTVIAPLQMDQMLPIHLEMQVNFVH >LPERR02G09090.1 pep chromosome:Lperr_V1.4:2:6653555:6657431:1 gene:LPERR02G09090 transcript:LPERR02G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGVMVAAVVVMAAILAAEGKVVGKAKGKYRALFNFGDSLADAGNLLAGGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLAEEFGLPLLPPSKVTNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNKTKEECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGSRSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPTTHWSWDGIHLTEAAYGHIARGWVYGPFADQPIFQSS >LPERR02G09100.1 pep chromosome:Lperr_V1.4:2:6662795:6664061:-1 gene:LPERR02G09100 transcript:LPERR02G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQQQPPQPRKAKQEEGDQGHGEKKAAGLEDIGKLRAQAQQNSIDAIRAAEERYAKASHDHHGGQEAAHTGRQSADAEGKDAGATDKAKAAAATAAEYAKQAAAKAKDATLSTGQTATEYAKTAAAKTKDVTLSTGETAAEKAKDVTLTTGQTATEYAKQAAEKGKDVTVSTGHTAAEYAKTAAEKAKETAVAAGKTTAGYTQEAAVKAKEATVSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKEAAARAADAAEEPTSVTASETSDKAGDMAGQTEERTKDTGAGGIAHKAGAMAAQTKDTVKDAATVMAHKTRDTIKNTSATTTTGGGATAKSKETAAAGDGGETTVVGDVLEAVGATVYGIAQHTKGIVSGEEELVPVAKEGEKGKLE >LPERR02G09110.1 pep chromosome:Lperr_V1.4:2:6678415:6680710:1 gene:LPERR02G09110 transcript:LPERR02G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTTPARIVSVVIAGGREFLELELILRGEKINQEMETIQAQELGSEHKPEPEVDEMRGSVGDQKPAETIQDTVVNPGYSCETDIIPEKQTELETCPVANPNPAKTNQDTAEVTYGDLKTTDPGVTYRCKKCRTLVATEGYVVTHKLGHGEKCFLKRKKYHVDEKEPECTCLFVQPLKWMQPVVEGYISGKIACRKCNTRLGQFHWAGMQCSCGAWVNPAFQLVKSRIDQCEM >LPERR02G09110.2 pep chromosome:Lperr_V1.4:2:6678305:6680710:1 gene:LPERR02G09110 transcript:LPERR02G09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHSWHRQGCARAWISWTRAPMHHGRKGRIVYPGEKINQEMETIQAQELGSEHKPEPEVDEMRGSVGDQKPAETIQDTVVNPGYSCETDIIPEKQTELETCPVANPNPAKTNQDTAEVTYGDLKTTDPGVTYRCKKCRTLVATEGYVVTHKLGHGEKCFLKRKKYHVDEKEPECTCLFVQPLKWMQPVVEGYISGKIACRKCNTRLGQFHWAGMQCSCGAWVNPAFQLVKSRIDQCEM >LPERR02G09120.1 pep chromosome:Lperr_V1.4:2:6681640:6681955:-1 gene:LPERR02G09120 transcript:LPERR02G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEKGEKLLDRDIKQGVDHFVTSDAAGFKSLVQRLTGNNDAVDDDGWRVAGGTSATASVGGRDDLLYETCDLAEN >LPERR02G09130.1 pep chromosome:Lperr_V1.4:2:6682282:6683564:-1 gene:LPERR02G09130 transcript:LPERR02G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLETCDLGEMVCGVDGGVSGGYGGSILFVDRNEVARNTGRKTIESKEADGQMSKDHRTRETREESGEA >LPERR02G09140.1 pep chromosome:Lperr_V1.4:2:6685968:6686288:-1 gene:LPERR02G09140 transcript:LPERR02G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGGGGVKVTFIETQYVTSDAAGFKSLVQRLTGIDAVVAPIQRPRPCRAAAVDGRRGGGAAVPAPAPANSVEEMMLLETCDFAEILCSVDGGASGGYGGFIC >LPERR02G09150.1 pep chromosome:Lperr_V1.4:2:6688290:6688649:-1 gene:LPERR02G09150 transcript:LPERR02G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGCNGNGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGIDAVVSSPPAAAPIQRPRPCRAAAAVDGRRGDGEGRAAVTPAASANWVEMMMLLETCDFAEILCGVDGGASGGYGGFIC >LPERR02G09160.1 pep chromosome:Lperr_V1.4:2:6693230:6696054:-1 gene:LPERR02G09160 transcript:LPERR02G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRGRSPPRRGYGGRKEQGAGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMQGTLVMKTVVHPIMGGLIHALALPVIVVAQGQGRTLLLHDGEMTILHPQREKTHITQNLLGVSQKNMKKTRSGDPILLPVEMGTSVMLVMVMKRGRPQPTVTDPLRTGLPGNPQDHLLDPVLGLLMGLLPAATDRRTLCSGLKANLPDWSKLQVKTLRGLL >LPERR02G09160.2 pep chromosome:Lperr_V1.4:2:6693230:6696054:-1 gene:LPERR02G09160 transcript:LPERR02G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRGRSPPRRGYGGRKEQGAGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGHEDRRSSHYGRTLLLHDGEMTILHPQREKTHITQNLLGVSQKNMKKTRSGDPILLPVEMGTSVMLVMVMKRGRPQPTVTDPLRTGLPGNPQDHLLDPVLGLLMGLLPAATDRRTLCSGLKANLPDWSKLQVKTLRGLL >LPERR02G09170.1 pep chromosome:Lperr_V1.4:2:6713036:6714354:1 gene:LPERR02G09170 transcript:LPERR02G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLTVPPGFRFHPTDEELLYYYLKKKVAYEAIDLDVIREIDLNKLEPWDLIDRCRIGTGQQEEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGSGSGGGGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDIPEEGWVVCRVFKKKSIHPRGLFDHQPDMAAAAGGEDDELRHHLYGAADSGMSPAAAVDQKHVLHQQQQLTSAHGGGGFVVPAFDHSMHLPQLADATPASCNGGGHVAFASSMNTLDAVGCGAQNMMKQLASAANSGGEILMSAVVDGGGRFGAAADWSILDKLLASHQNLDQIFHGKTHHHQQQQQMGIDTSSLQRLPLHHYLGLEAADLLKFAM >LPERR02G09180.1 pep chromosome:Lperr_V1.4:2:6719259:6720377:-1 gene:LPERR02G09180 transcript:LPERR02G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKMVVVVEGKLAAKSSKKVQIVDEAVLAEQRRAEEAAREVEEEMKKSTPECPRCKSCKTKFCYFNNYSVAQPRYFCRDCRRYWTMGGALRNVPIGGGCRKVRRSSSSAASSSAAAAAQTAAAAPVVVAAPPVSSPPPPAMPSLSAAISNLLQTEPMVAPCSDFPNVLPTFVSTGYEHADGDHLSQGSFGNFGGGNLAPAMSAGAVAVGGVTSSSLMEMLRAIGGGIFEVGSSSNAGAGGVYYPPAGNGMMAPLFTGSLMQQGMQGLFTGGATNNAIAGGGGGVINNPGEEGGVMGWPAPAIGAGAEEENHAGDVGGDVMKEDTGASSSGGGGGAGCYGWNTGGAAAGGSGGAGAAPWQGLIDGSAAAMM >LPERR02G09190.1 pep chromosome:Lperr_V1.4:2:6726764:6729053:-1 gene:LPERR02G09190 transcript:LPERR02G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAAVLVTNGAISPHPPPSAATFLGSTPGAYTTARATAAGGLLWWPRHLLRLADSARLLAQFHPHLLGLAVPPRKPFEESLAGIEPLVNRSVRVALEEMRRSRRPLLPGEDMAVTALVRASDAAEEEEELEVCVQLAGYVPPVFGEVGARLAVAGRGRDAAAAKMRKGMEKMRPPGVTELLLTNDGDRILEGSITNFFVVCRREGEHSLNEPLSVEIMANEFEVQTAPLGDGILPGIIRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPKSWVNIERKTWADVSWEVKQFQFYNLWRSASVTNHGKDLNQGVGSITTQIKREISKRAIQEEYDIKGSLVSNECCD >LPERR02G09210.1 pep chromosome:Lperr_V1.4:2:6742138:6742500:-1 gene:LPERR02G09210 transcript:LPERR02G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQSGGGGEGSMRSKRKRGGGGGGGGEEEDERSSSSSSSVLKVKMVLTKAELEWLMAQLKAGDRRLEDVLQEMARKRGGINSGGGDVAGAGGDGGGWRPSLESIVEGPEMSAFSFDY >LPERR02G09220.1 pep chromosome:Lperr_V1.4:2:6756587:6759241:1 gene:LPERR02G09220 transcript:LPERR02G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRYEPGPAFEENIEKAMLDIASTESKELWLIQWPRYQAIFSAQQPDATVFLPSGSEAKAGYSKKTKSRFTGASKNHRSQGSALSLCQQSAEPTQKHKQKRKDESSLGHSNVSGKSAEGSQSRSGDSNTTSEMPQTPVDKSKKKKNKKVRIAE >LPERR02G09230.1 pep chromosome:Lperr_V1.4:2:6762827:6766671:-1 gene:LPERR02G09230 transcript:LPERR02G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRLLSPHHRLASPPLSLATQRLGTSRRSRINGGWRRRKWLDGGDAAAAGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLAVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLIPANPIEKLEGAMEAGREIRFYNSEMHRAAFVLPTFARKELEAYCTTTENEQPEETAAEPLKIAIMPNSEILTAS >LPERR02G09240.1 pep chromosome:Lperr_V1.4:2:6771136:6779685:-1 gene:LPERR02G09240 transcript:LPERR02G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREEKYVRFHDWRSEHSVGSDKTVLEGRHTVFDSLMDRTAVAFSFLGNSSHTETNKPSSEEKKSKTRVLDPQGPFLQQWNKIFVISCLIAVSVDPLFFYIPVVDGDNICLYLDKNLEVIASVLRFFTDIFYLLHIIFQFRTGFIAPSSRVFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLVVLPRLQGSSVMKAKNILMIIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDSCWKEACSTQPGCDPASLFCGTTTARNNSFLKDICPTNGTDNPDPTFGIYLPALQNVAQSTSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKERILRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMRVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKKLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >LPERR02G09250.1 pep chromosome:Lperr_V1.4:2:6782780:6791966:1 gene:LPERR02G09250 transcript:LPERR02G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLRRALVLRAAGGSRRRLLFSPRALLCCGGHDGWWWRGLRAAAGGSSRMMLDSDSAAGGQGQPQRRAVGVVAHAQDGGAGGYASGGWAREDGKLKCGYSSFRGKRSTMEDFYDVKLTEIDGQAVSLFGVFDGETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVISKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVILAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDKTE >LPERR02G09250.2 pep chromosome:Lperr_V1.4:2:6786062:6791966:1 gene:LPERR02G09250 transcript:LPERR02G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKENLFENLLKHPEFLTDTKLAISETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVISKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVILAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDKTE >LPERR02G09250.3 pep chromosome:Lperr_V1.4:2:6786062:6791966:1 gene:LPERR02G09250 transcript:LPERR02G09250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYDVKLTEIDGQAVSLFGVFDGETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVISKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVILAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDKTE >LPERR02G09260.1 pep chromosome:Lperr_V1.4:2:6813009:6815883:1 gene:LPERR02G09260 transcript:LPERR02G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETAAGEEAGRPAILGTEEPGGGQCSSAVAMRIKAPVHLLYPLLGRTLCIVSNPLKFIPVTQVWSLVRRFDEPHIFQPFVRGCKIHGSVAVGCVREVDFKSGFPANSSVERLEILDDKEHIISIRIIDGDHRLKNYSSVLTVNPEVIDGQPATLVIESFVVDVPQGNTADETRHFVEFLIWCNLRSLSLVSERLLAQGGDN >LPERR02G09270.1 pep chromosome:Lperr_V1.4:2:6839329:6843786:1 gene:LPERR02G09270 transcript:LPERR02G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGGAGRWRLADERCDLRAAETEYVRRFHRHEPCDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >LPERR02G09280.1 pep chromosome:Lperr_V1.4:2:6846035:6849601:1 gene:LPERR02G09280 transcript:LPERR02G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSATALGLLLLLSLWCVVHSEEWIVGGNLGWGFAVTGWEKGKLFQPGDVLVFKYEPKYHNVVEVDRAGYDGCTVSGPAKVHDSGDDRIELNGGEAFFISSVRRHHPCNLNNLGFSIAVVCPGLWLFTHS >LPERR02G09290.1 pep chromosome:Lperr_V1.4:2:6847544:6860005:-1 gene:LPERR02G09290 transcript:LPERR02G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDIRKLLAVHVDTCHLTNYSLSDEVRGAQLKDTVEIASLKPCHVSIVEESYTEELAVAHVRRMLDIVACTAAFGPRKGGPDQKPALASPDAQPPPPASPDAADKVSNKAPGSPGGGGGGGGGGGGGGGEEPMYPPPKLGEFYEFFSFSNLTPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRTLISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPTVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQTVPDKSSHETRNDATNPDLHTEQIGDMKITVTKDKADASSKLDVKLDGSQAPGMPSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPVDAQLATVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCTQLSGGVQRLQSSNPQESEYSTTFVCKIMTDSLQKLEGEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEEAKDVPTVKGLGKQFGQLKEIKKKTDDKSGKNASTKENTMTNTNDAQTVNSASTKEDKEAILQRWLPEAAFQRLRESETGLHAKVELSDKLPHIQSLCIHEMVVRAFKHVLRAVIAAVDDINDMAEAATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKSDFGASVILVMCAEARISRASRLPTCYHRE >LPERR02G09290.2 pep chromosome:Lperr_V1.4:2:6849524:6860005:-1 gene:LPERR02G09290 transcript:LPERR02G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDIRKLLAVHVDTCHLTNYSLSDEVRGAQLKDTVEIASLKPCHVSIVEESYTEELAVAHVRRMLDIVACTAAFGPRKGGPDQKPALASPDAQPPPPASPDAADKVSNKAPGSPGGGGGGGGGGGGGGGEEPMYPPPKLGEFYEFFSFSNLTPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRTLISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPTVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQTVPDKSSHETRNDATNPDLHTEQIGDMKITVTKDKADASSKLDVKLDGSQAPGMPSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPVDAQLATVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCTQLSGGVQRLQSSNPQESEYSTTFVCKIMTDSLQKLEGEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEEAKDVPTVKGLGKQFGQLKEIKKKTDDKSGKNASTKENTMTNTNDAQTVNSASTKEDKEAILQRWLPEAAFQRLRESETGLHAKVELSDKLPHIQSLCIHEMVVRAFKHVLRAVIAAVDDINDMAEAATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSELVDDEDQRIPPPNNDHSLTEKESSEVKENGTFIQHEKLKEEITVNTINRIPQDDFTEEHTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENKYKGRAPSNFSSPRVSPNEAVTAKKLVKSSSFNSKPLVKSSSFNSKPGSPAISSHSGENSSNPNSVSASPSTTPAAAKAILPSAPITSQTVRKALSYKEVAIAAPGTLVKALNDAQLEEKDTTDAGANVESAKFPKESNGHLSEEKDGGMQVLPKGNTSQVSKETGESKSSNLDNEQTIVLSGSNQSEKQPDNTSDPVEITKKSSDLVGSDVSSSTQPLTPPTEANAPNEVATVATEANDSSSNDDERDAGEDAQEQLSSGGENDKSSPSESEKNDSPGAKETASKLSAAAAPFNPSSVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSGEGPTDANTFAPRAMNPNAAEFVPGHSRSPNGNPASPNGPLASPGGTEASPHGFPSPSDSIVESPSTASPQVSEISQTSPEGNDTSSVIDTENGSEKQNTDDKNHVESKGGEVEPEQTVASKGDEGGAVTQEDGSAVSEKPKSWADYSDGEAEVVEVAS >LPERR02G09290.3 pep chromosome:Lperr_V1.4:2:6849522:6860005:-1 gene:LPERR02G09290 transcript:LPERR02G09290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDIRKLLAVHVDTCHLTNYSLSDEVRGAQLKDTVEIASLKPCHVSIVEESYTEELAVAHVRRMLDIVACTAAFGPRKGGPDQKPALASPDAQPPPPASPDAADKVSNKAPGSPGGGGGGGGGGGGGGGEEPMYPPPKLGEFYEFFSFSNLTPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRTLISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPTVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQTVPDKSSHETRNDATNPDLHTEQIGDMKITVTKDKADASSKLDVKLDGSQAPGMPSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPVDAQLATVSLAQHDIDIEDQPEGGSNALNVNSIVESPSTASPQVSEISQTSPEGNDTSSVIDTENGSEKQNTDDKNHVESKGGEVEPEQTVASKGDEGGAVTQEDGSAVSEKPKSWADYSDGEAEVVEVAS >LPERR02G09300.1 pep chromosome:Lperr_V1.4:2:6867618:6870166:1 gene:LPERR02G09300 transcript:LPERR02G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSTNNIKEPKPALEEMEASGCNPKRRRRSESSEIGEVEEHSPLRVATIGRCHLPDTMVQTLHFPQLKYLGLEDVTISEGSLHSMIASSCCPVLECLLLVRSVHFRCLRINSPTLRSIGVRVNYYPISQNKFGELIIQDAPLLQKVVNLAVHNDLCVSIISAPKLETVGFLCHHCSDLLRSRFTFGTTVIKGVEDKSLMEVARNMKILAVSLYSLGVDNVIDLMRSFPCLEKLYFKSCEWKQKNLWRRKYRNVINSLDIRLKTVVLGNYREQQRMLQLDKRASRGAQFNFTTDSCSNQDGASESIQKICTNWHQGPFD >LPERR02G09300.2 pep chromosome:Lperr_V1.4:2:6867618:6869766:1 gene:LPERR02G09300 transcript:LPERR02G09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSTNNIKEPKPALEEMEASGCNPKRRRRSESSEIGEVEEHSPLRVATIGRCHLPDTMVQTLHFPQLKYLGLEDVTISEGSLHSMIASSCCPVLECLLLVRSVHFRCLRINSPTLRSIGVRVNYYPISQNKFGELIIQDAPLLQKVVNLAVHNDLCVSIISAPKLETVGFLCHHCSDLLRSRFTFGTTVIKGVEDKSLMEVARNMKILAVSLYSLGVDNVIDLMRSFPCLEKLYFKSCEWKQKNLWRRKYRNVINSLDIRLKTVVLGNYREQQRMLQLDKRASRGAQFNFTTDSCSNQGADIEHVQDLLFIDPYECRC >LPERR02G09310.1 pep chromosome:Lperr_V1.4:2:6876667:6880295:1 gene:LPERR02G09310 transcript:LPERR02G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHGIVAIIVVLVVVATLVTVQYCDAGTVEMTSCADAVPARSRPEVVSITEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAVLKATQACDISPRHHDTRSWPLVEPLPSYGRGRELPGARYNSFIHGDGLRDVVITGDKGVIDGQGDVWWNMWRRRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMIIVAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYNRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCNIFNSGYGIHIKTNVGRGGYIRNVTINNVRMNNIRNGLRIAGDVGDHPDEHFNQLALPTVDAVSIKNVWGVNVQQPGSLEGIRNSPFTRICLSNVKLFGWRNAAWKCRDVHGAALGVQPGPCAELTTSLSPGFCSY >LPERR02G09310.2 pep chromosome:Lperr_V1.4:2:6877271:6880295:1 gene:LPERR02G09310 transcript:LPERR02G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHGIVAIIVVLVVVATLVTVQYCDAGTVEMTSCADAVPARSRPEVVSITEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGADTRSWPLVEPLPSYGRGRELPGARYNSFIHGDGLRDVVITGDKGVIDGQGDVWWNMWRRRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMIIVAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYNRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCNIFNSGYGIHIKTNVGRGGYIRNVTINNVRMNNIRNGLRIAGDVGDHPDEHFNQLALPTVDAVSIKNVWGVNVQQPGSLEGIRNSPFTRICLSNVKLFGWRNAAWKCRDVHGAALGVQPGPCAELTTSLSPGFCSY >LPERR02G09320.1 pep chromosome:Lperr_V1.4:2:6889170:6890093:-1 gene:LPERR02G09320 transcript:LPERR02G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAATAILVTFLLVALCSRVTAGAEAVTTDVPEAIVPDNNEAYNYNAIPNSIPADTGFGDDGHRHFTLVMSPKGDVRPAYFDDQGVEIPVPPSYWEHFRQGEGEGFQAQDQQEMVPVEPANYVNSSEAVVLPVAEPDPDSRTADSAAVVPLQEVQKPVDFDEMAKAWRRRFQFHHGRRFHHRHEDEHEEDEHDHEKAAAAVASPMKRFRVHRHDEEEEDLDSGRKRFHHHDKEDDDSDDEEEEEMARRLIRKALMRGRMPHDGRRRFHHHHLRFRHRAENADDDAAGEEKKGGVMKWIKDFVNQF >LPERR02G09330.1 pep chromosome:Lperr_V1.4:2:6892024:6892866:-1 gene:LPERR02G09330 transcript:LPERR02G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSVTAVLLLAVVVVAHCHVTDGEVVGQPGSSDPEDAAVLSGAGNEYVRVDGAAGTSVYFVNDRVSAGEDGEAAAAARPVVGHHHPCRHGHRHHGLLGLRHGDGEAVLPLPVAEPDPDSRTADSAAVSLQEVQKTESHGEEEEAWRRFHHHRHHHHHHDEHEKAAAADQASPMKRFRFRHHEEEEHEHEQREEEEDSRSKRLRRHHHDKEDEDSHEEETELEEMARRLIRKALMRGGITHHGHRRFHHHLRFHGHRAAEEEEEKGGVMKWIKDFVNQF >LPERR02G09340.1 pep chromosome:Lperr_V1.4:2:6895175:6895671:-1 gene:LPERR02G09340 transcript:LPERR02G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNATAALLLALCCAAAAAVIVHGKDWPVGDGKGWTFGVAGWEKGKSIPRGDTLVFKYKPKYHNVVEVDRAGYDGCKVSGPAKVYKSGDDRIELNGGEAFFICSISDHCAKGMKIAVTAA >LPERR02G09350.1 pep chromosome:Lperr_V1.4:2:6899865:6900361:-1 gene:LPERR02G09350 transcript:LPERR02G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVLGLLLAVCCGEILVADAKEWTVGDSKGWTFGVAGWEKGKAFKAGDVLVFNYDPKMHNVLQVDEATYDSCQIVGAGADGGETAFNSGHDKITLAAGKAYYICSVPGHCANGMKIAVDSQ >LPERR02G09360.1 pep chromosome:Lperr_V1.4:2:6903068:6923624:1 gene:LPERR02G09360 transcript:LPERR02G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCGRRSAMAVGRQWGAGPTPAPEPAMFLIKNARAKKMTTSPEVALAHYLFRQFIEYVVDLKFDEEPNYAKCISLFDGIIGPDPDIRPLNTDGAQKEWVMEQLERKEYIALAGSNNGSLVVIVWSD >LPERR02G09360.2 pep chromosome:Lperr_V1.4:2:6903068:6906440:1 gene:LPERR02G09360 transcript:LPERR02G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCGRRSAMAVGRQWGAGPTPAPEPAMFLIKNARAKKMTTSPEVALAHYLFRQFIEYVVDLKFDEEPNYAKCISLFDGIIGPDPDIRPLNTDGAQKEWVMEQLERKEYIALAGSNNGSLVVIVWSD >LPERR02G09370.1 pep chromosome:Lperr_V1.4:2:6911437:6911694:-1 gene:LPERR02G09370 transcript:LPERR02G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNDNEREDGGGVDAARACGADRGSRREGAGRRRRSGQAATADEVSHAMLLRDFHNSFHGTRAFLASGRANLGEMGLQVCAF >LPERR02G09380.1 pep chromosome:Lperr_V1.4:2:6924589:6927127:-1 gene:LPERR02G09380 transcript:LPERR02G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLSTNGRSAARGRWEYINAGAYVFAALLLVVGLAGHLSAWAATSRAGLAVAAAGLVVLVAVNAHDLLAHAAGVDYSLALAAGLDSQFALVELAVPAVHVAGAVLTLVALIFFEIEMTRGYRHSLQKHGLNLLIAGPALWLLGSIHNICQVYERANGHIQILQKCVQTPLLLGSTLFLIGGIINHHHIHNHPTSSSELLGRSWGWFCLFGSLLFLVGGLMNLLKVFKMQQMDGRGLEKLRGGAQERLIREREGKVPLILEEGRRPRNPPVSGQVPPPPAGSYKEAVVSGAPAS >LPERR02G09390.1 pep chromosome:Lperr_V1.4:2:6930436:6934187:1 gene:LPERR02G09390 transcript:LPERR02G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTILSSSAPTPPTSPRPRARARARINLKPRLHLLPGAAALGALLANGGAALAAPLSYDETLRLSTDSGGGGGGEFALPDLGIGGVIDFVTENPIVAAASVAAVALPVILARALGGGGGSKPYGVVSAAAAYRTLVEEPGAQLVDIRAPGEARETGSPDLREARKKAAAVPYDGEDKNGFLKRISLRFKDPENTTLVILDKFDGNSELVAELLTANGYKAALAVKDGAEGRRGWQKSDLPWAAPSKGFSLSGLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLIASKLIYAEDRKKTLKQIDDFFNKKVAPKELVDEIKEIGSATFYWYQEPTSNNRSSSSGCHSYCRTTCSTGRSHYRGTSCGPTGRSHYRGTSCGANTSFAIPKLSGSQTTILSFTTGTS >LPERR02G09390.2 pep chromosome:Lperr_V1.4:2:6930436:6933745:1 gene:LPERR02G09390 transcript:LPERR02G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTILSSSAPTPPTSPRPRARARARINLKPRLHLLPGAAALGALLANGGAALAAPLSYDETLRLSTDSGGGGGGEFALPDLGIGGVIDFVTENPIVAAASVAAVALPVILARALGGGGGSKPYGVVSAAAAYRTLVEEPGAQLVDIRAPGEARETGSPDLREARKKAAAVPYDGEDKNGFLKRISLRFKDPENTTLVILDKFDGNSELVAELLTANGYKAALAVKDGAEGRRGWQKSDLPWAAPSKGFSLSGLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLIASKLIYAEDRKKTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPATTEAPPAAATATAAPPAAPAEATTEAPPADPPVEATTEAPPAEPTPLSPYPNYPDLKPPSSPSPLAPAEAAKNESKAESPATESAAAANSAPVSEATTEAPPAAPRPLSPYPNTSNRHRLLHHQHHRHGVHS >LPERR02G09400.1 pep chromosome:Lperr_V1.4:2:6936847:6939609:-1 gene:LPERR02G09400 transcript:LPERR02G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGLCELFSDDRDIRQHVADADLFRILETWEECINGAAGGAANSAAAACAGGGAGGAARTTAGNGRRREAGRDEEKGGGGAPAQKKHKGSSSSSSSPATAAAIGEEEGGAGKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLETKKNRKAYAVDQVLSPRPPPPPPPPLIKSMPPISPRLAAGAVPISPRTPTPGSPYKPPPPPSAAFRPPSYCSVSSPAMTPTTSCSSSTTTHELSPAPAPFLPILDSLVTELAARAAAGRAPSVLGGGGGVVLPEVKVEFAGANLVVKTVSQRAPGQALKIIAALERLSLEILHVSITTLDDTTVLSFTIKIGIDCELSAEELVQEIQQTFL >LPERR02G09410.1 pep chromosome:Lperr_V1.4:2:6962276:6962668:1 gene:LPERR02G09410 transcript:LPERR02G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQLPPPVGVAVDGAGGGRKKRAGLPKLLHKFFFKVLRLRPAAAEAGAAAFEAYYGYRMVDEYYYYSYGGVGSSASWAGVLHSIPEEDEAAGCAPPDVAAATLRKAHSDSEQFVAADAAAAVVEIQVVL >LPERR02G09420.1 pep chromosome:Lperr_V1.4:2:6964653:6966451:-1 gene:LPERR02G09420 transcript:LPERR02G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVGSRKGGRGRKALTAVLDNDANISAGKAAAAGILSPPPPQKAAAKRAASKGKGKAAEAQASVVDEMSELQGMLERLRLEKEMAEEMVRERDEVIRKKEAEQGRLQAELKKIQRAKEFKPTVSIPLVKSLIEKEQEGDDKGKKKKGKGKAGHERKKPCPAYVLWCKDQWNEIKKENPEADFKEVSNALGTKWKSLGAEEKQPYEERYRVEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYLKFRQEAAEDADGDNKKAKKKNKKDKDPSKPKQPMSAYFFYSQQRRAALVAEKKNVPEIGKITGEEWKGMTEAEKAPFESAARKQKEEYQKQMEAWRQKKQEEAEEHEKEEQEQKKIMKQEAMQLLKKKEKTDNIIKKTKEQQQKQRKKKDDAVDPNRPRKPASSFLMFSKEARRQLAEERPGVASSTLNALVSVKWKELGEDERQAWNGKAAAAMAVYKREMEEYTKAHAEVVASGDSSPCASSSASS >LPERR02G09430.1 pep chromosome:Lperr_V1.4:2:6968302:6973975:-1 gene:LPERR02G09430 transcript:LPERR02G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGLRRREQQQIRVVRCPKCEKLLPELPNYSVYVCGGCGATLQAKKNSASENSSEKSDGGHVKYLEVLESSPDNKGAASKDTCEAAHEAEPINGQAKAEERQVLDRMSALHSESGHGCDDSQIPSDPNALKFEASFRDDSREIREAKYRRFRSEDKGEAKHTVRARDRSPRSVVDSIPQNVYPAEGPSDYYLKSRFRHINGEQADMRNSDGLNRVNGLEKDRADLLWMLDELRDQVQRSCEITSKPSGSSSTDKAADASGSLNPRERLSRLRHGSPQLQRSSSQQSPSLNGQAPCIPQAYAPGPAQQDLHGYAEPMTHMGVPSYPLGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNHRRAPYVMNNPRVYPVDGPAMFGTHNYNSRVNASMQRNHMRATLSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPVPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISGVSKSSYNDGQGINVNAAPHERVLPLYSFSAASHGSQDLPSNSSEAEKMQGISSSCSISEDENSPARSNSQREPSQRDTPGSRDLHPEAEVVTRVPSLPLRDHFGYSPSEKVVDGSGKGSRSTRSEHEKAVLTESFKQNTVKDVSVVSIMDLSDDEYDDPDYMQDPGDVAQSVDHPRAAKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRGGFWGVMGQSCLGMIPPFIPELNYPMPKKCAGGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVLDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRIIQ >LPERR02G09440.1 pep chromosome:Lperr_V1.4:2:6998985:6999272:1 gene:LPERR02G09440 transcript:LPERR02G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVSLQPKATATTTKPAIIGEQQQQQQYSGWCGGFQMPVHYPRYKKADYEAMPEWRVDCLLREYGLPVDGDLDAKRRFAMGAFLWPGQY >LPERR02G09450.1 pep chromosome:Lperr_V1.4:2:7002451:7004343:-1 gene:LPERR02G09450 transcript:LPERR02G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVGPSAFTINFSNPNAYVSKFPVIPTVSHPTASSQIDVLAHCSSYNVPRGTKRKIDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFSLGNEGTSRLDKRACDSRRALDKIGLNLELSLSSQSAITGADFTAGTEYNCPSVQPYYMDLVPTVDEGSTSARRPSGGQLFSFLNKTAKMAEFSPREVFPGSSNQTQSPVPVPTLLQVPKSPVACTSGFARPQQRSNSTKNCTHPGCMKGARGSSGRCIAHGGGRRCQKDGCGKGAEGKTIFCKAHGGGRRCDHLGCTKSAEGRTDFCIAHGGGRRCSHDGCRRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQHDGCGKGAQGSTSFCKAHGGGKRCTHPDCTKGAEGSTPYCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSPGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGDHPCERLARGKNGLCVYHNPQLDENRVHGGFSIVSDALSQGDQPSNTETTRHSIFSHPMDAPRVAAPPSEGRVHGGNILSMFANGMSLGKHPTDHAEASTSAPRNLKSTNGMVTGTSAHRSWL >LPERR02G09460.1 pep chromosome:Lperr_V1.4:2:7004572:7007024:-1 gene:LPERR02G09460 transcript:LPERR02G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVVGYSEA >LPERR02G09470.1 pep chromosome:Lperr_V1.4:2:7016175:7017889:-1 gene:LPERR02G09470 transcript:LPERR02G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLPSKTLALPLPLPASRCSLSVAAPVPRRWRRLLASAEEAAPAPVEAEAEAAVEEVEEEEVVEEVEEEAAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDEIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKFKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >LPERR02G09480.1 pep chromosome:Lperr_V1.4:2:7018785:7028243:1 gene:LPERR02G09480 transcript:LPERR02G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYKNHAPYPYYPPPGCWEVGHPRMATDTSCRPPSYGPWPSMSHLHPPEFHSCCNHTYPPGYYNFRPPSPQEILPPHLYYHGPFPHHPNAYPSYFAPPLPYPVEQTTYDYDKFKSHCCGCPNHICHADDRSNVKIEEEGPEVKPDSEKKGASSFGIIQHPHYENQNIWLPSGSMKDKENKEGTELPPQFVNGWIPVSGKRIGDEKQQDKDDQKTKQFQWPIIWMPARSDEKRKEAKEQKEMDEIPKVSEEAPPLPKIKIIPLSWFENGQNDQKPSVTDESYYNERSTRKKQSASNEHQDGRAMENIHLMPKKESEHKKPIRENYKTIPVMPRNDEEEKTPAGGNYRTIPVMPVKQSDDKKPDVSVQRDEKKASITERGEENQKGNNEGPSKAKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDADGAKKEVKEVHIQKEETEQSDPKKEIAISEAKGKASIEMNEGRANSNETVQAASVKHMEEEQVPTSLGNQKAQATIVNFDAQENSDDKNLHASEKNTVADTKVQSEHAKDGTSPRINYSESDAAVCIQSAYRGYNVRRWRPLEKLQMIKNVNEQMQDLKRQLQGIEACSKQLTFKEHVAINETIMNLLLKLDTIQGLHPNVREARKSVARELISLQEKLDSLCKLPSSEPNHTNGDKEKPKVVESTFQSTAPVSEIEASEKDLNLLNIYQQEKAAGVDEEQDTSTIESNGMMRDAISSVVFMDPTQDADSNDHIEESNNTKEEAANEGKAATQCDCQREPSMDVMSDAALLGHSTEQKHQIEESNALSRDGSCNEEKDKPLVGGLGIPSRGHVEPLHDVALSEDSNELQQCTISEKSNTATSPAATDNSAITMATTSIGSGVIADEGIPVEGQVPEAAAVESSESEHDVAPAEDQCEELNAPVVNLEDSLVSLKNEKLLERNTTPSSFSVVSNLAKQLEEARDVNVQQQIQNVDTAQDATKGSNGTQETRVDNVTSTGTENYVQSPLLQATSELQSATEQDVLEESIAAKKCAVSGENDSVLGGKQNESAGNLIEDSANVEEPPLVALGMEGEIHESAPREMKDEPTFPEAEISDLSCEQGGITGHEDFEICVPLQCKLDVHKERCSDDEHSVDVQVPKEAECNIVGADNLEEDVSVRKENMASEEASLASGTPDDMKVENKVPEETAESATPNVSKSENENKLAQENQKLKEMLQKLLASGSDQMGVITELSDKVKALERKLAHKKRPKVFPLGQGFNLKTQYNDNQDPSLWKAFSDL >LPERR02G09480.2 pep chromosome:Lperr_V1.4:2:7020452:7028243:1 gene:LPERR02G09480 transcript:LPERR02G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYKNHAPYPYYPPPGCWEVGHPRMATDTSCRPPSYGPWPSMSHLHPPEFHSCCNHTYPPGYYNFRPPSPQEILPPHLYYHGPFPHHPNAYPSYFAPPLPYPVEQTTYDYDKFKSHCCGCPNHICHADDRSNVKIEEEGPEVKPDSEKKGASSFGIIQHPHYENQNIWLPSGSMKDKENKEGTELPPQFVNGWIPVSGKRIGDEKQQDKDDQKTKQFQWPIIWMPARSDEKRKEAKEQKEMDEIPKVSEEAPPLPKIKIIPLSWFENGQNDQKPSVTDESYYNERSTRKKQSASNEHQDGRAMENIHLMPKKESEHKKPIRENYKTIPVMPRNDEEEKTPAGGNYRTIPVMPVKQSDDKKPDVSVQRDEKKASITERGEENQKGNNEGPSKAKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDADGAKKEVKEVHIQKEETEQSDPKKEIAISEAKGKASIEMNEGRANSNETVQAASVKHMEEEQVPTSLGNQKAQATIVNFDAQENSDDKNLHASEKNTVADTKVQSEHAKDGTSPRINYSESDAAVCIQSAYRGYNVRRWRPLEKLQMIKNVNEQMQDLKRQLQGIEACSKQLTFKEHVAINETIMNLLLKLDTIQGLHPNVREARKSVARELISLQEKLDSLCKLPSSEPNHTNGDKEKPKVVESTFQSTAPVSEIEASEKDLNLLNIYQQEKAAGVDEEQDTSTIESNGMMRDAISSVVFMDPTQDADSNDHIEESNNTKEEAANEGKAATQCDCQREPSMDVMSDAALLGHSTEQKHQIEESNALSRDGSCNEEKDKPLVGGLGIPSRGHVEPLHDVALSEDSNELQQCTISEKSNTATSPAATDNSAITMATTSIGSGVIADEGIPVEGQVPEAAAVESSESEHDVAPAEDQCEELNAPVVNLEDSLVSLKNEKLLERNTTPSSFSVVSNLAKQLEEARDVNVQQQIQNVDTAQDATKGSNGTQETRVDNVTSTGTENYVQSPLLQATSELQSATEQDVLEESIAAKKCAVSGENDSVLGGKQNESAGNLIEDSANVEEPPLVALGMEGEIHESAPREMKDEPTFPEAEISDLSCEQGGITGHEDFEICVPLQCKLDVHKERCSDDEHSVDVQVPKEAECNIVGADNLEEDVSVRKENMASEEASLASGTPDDMKVENKVPEETAESATPNVSKSENENKLAQENQKLKEMLQKLLASGSDQMGVITELSDKVKALERKLAHKKRPKVFPLGQGFNLKTQYNDNQDPSLWKAFSDL >LPERR02G09480.3 pep chromosome:Lperr_V1.4:2:7018785:7027069:1 gene:LPERR02G09480 transcript:LPERR02G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYKNHAPYPYYPPPGCWEVGHPRMATDTSCRPPSYGPWPSMSHLHPPEFHSCCNHTYPPGYYNFRPPSPQEILPPHLYYHGPFPHHPNAYPSYFAPPLPYPVEQTTYDYDKFKSHCCGCPNHICHADDRSNVKIEEEGPEVKPDSEKKGASSFGIIQHPHYENQNIWLPSGSMKDKENKEGTELPPQFVNGWIPVSGKRIGDEKQQDKDDQKTKQFQWPIIWMPARSDEKRKEAKEQKEMDEIPKVSEEAPPLPKIKIIPLSWFENGQNDQKPSVTDESYYNERSTRKKQSASNEHQDGRAMENIHLMPKKESEHKKPIRENYKTIPVMPRNDEEEKTPAGGNYRTIPVMPVKQSDDKKPDVSVQRDEKKASITERGEENQKGNNEGPSKAKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDADGAKKEVKEVHIQKEETEQSDPKKEIAISEAKGKASIEMNEGRANSNETVQAASVKHMEEEQVPTSLGNQKAQATIVNFDAQENSDDKNLHASEKNTVADTKVQSEHAKDGTSPRINYSESDAAVCIQSAYRGYNVRRWRPLEKLQMIKNVNEQMQDLKRQLQGIEACSKQLTFKEHVAINETIMNLLLKLDTIQGLHPNVREARKSVARELISLQEKLDSLCKLPSSEPNHTNGDKEKPKVVESTFQSTAPVSEIEASEKDLNLLNIYQQEKAAGVDEEQDTSTIESNGMMRDAISSVVFMDPTQDADSNDHIEESNNTKEEAANEGKAATQCDCQREPSMDVMSDAALLGHSTEQKHQIEESNALSRDGSCNEEKDKPLVGGLGIPSRGHVEPLHDVALSEDSNELQQCTISEKSNTATSPAATDNSAITMATTSIGSGVIADEGIPVEGQVPEAAAVESSESEHDVAPAEDQCEELNAPVVNLEDSLVSLKNEKLLERNTTPSSFSVVSNLAKQLEEARDVNVQQQIQNVDTAQDATKGSNGTQETRVDNVTSTGTENYVQSPLLQATSELQSATEQDVLEESIAAKKCAVSGENDSVLGGKQNESAGNLIEDSANVEEPPLVALGMEGEIHESAPREMKDEPTFPEAEISDLSCEQGGITGHEDFEICVPLQCKLDVHKERCSDDEHSVDVQVPKEAECNIVGADNLEEDVSVRKENMASEEASLASGTPDDMKVENKVPEETAESATPNVSKSENENKLAQENQKLKEMLQKLLASGSDQMGVITELSDKVKALERKLAHKKRPKVFPLGQGFNLKTQYNDNQDPSLWKAFSDL >LPERR02G09480.4 pep chromosome:Lperr_V1.4:2:7020452:7027327:1 gene:LPERR02G09480 transcript:LPERR02G09480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYKNHAPYPYYPPPGCWEVGHPRMATDTSCRPPSYGPWPSMSHLHPPEFHSCCNHTYPPGYYNFRPPSPQEILPPHLYYHGPFPHHPNAYPSYFAPPLPYPVEQTTYDYDKFKSHCCGCPNHICHADDRSNVKIEEEGPEVKPDSEKKGASSFGIIQHPHYENQNIWLPSGSMKDKENKEGTELPPQFVNGWIPVSGKRIGDEKQQDKDDQKTKQFQWPIIWMPARSDEKRKEAKEQKEMDEIPKVSEEAPPLPKIKIIPLSWFENGQNDQKPSVTDESYYNERSTRKKQSASNEHQDGRAMENIHLMPKKESEHKKPIRENYKTIPVMPRNDEEEKTPAGGNYRTIPVMPVKQSDDKKPDVSVQRDEKKASITERGEENQKGNNEGPSKAKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDADGAKKEVKEVHIQKEETEQSDPKKEIAISEAKGKASIEMNEGRANSNETVQAASVKHMEEEQVPTSLGNQKAQATIVNFDAQENSDDKNLHASEKNTVADTKVQSEHAKDGTSPRINYSESDAAVCIQSAYRGYNVRRWRPLEKLQMIKNVNEQMQDLKRQLQGIEACSKQLTFKEHVAINETIMNLLLKLDTIQGLHPNVREARKSVARELISLQEKLDSLCKLPSSEPNHTNGDKEKPKVVESTFQSTAPVSEIEASEKDLNLLNIYQQEKAAGVDEEQDTSTIESNGMMRDAISSVVFMDPTQDADSNDHIEESNNTKEEAANEGKAATQCDCQREPSMDVMSDAALLGHSTEQKHQIEESNALSRDGSCNEEKDKPLVGGLGIPSRGHVEPLHDVALSEDSNELQQCTISEKSNTATSPAATDNSAITMATTSIGSGVIADEGIPVEGQVPEAAAVESSESEHDVAPAEDQCEELNAPVVNLEDSLVSLKNEKLLERNTTPSSFSVVSNLAKQLEEARDVNVQQQIQNVDTAQDATKGSNGTQETRVDNVTSTGTENYVQSPLLQATSELQSATEQDVLEESIAAKKCAVSGENDSVLGGKQNESAGNLIEDSANVEEPPLVALGMEGEIHESAPREMKDEPTFPEAEISDLSCEQGGITGHEDFEICVPLQCKLDVHKERCSDDEHSVDVQVPKEAECNIVGADNLEEDVSVRKENMASEEASLASGTPDDMKVENKVPEETAESATPNVSKSENENKLAQENQKLKEMLQKLLASGSDQMGVITELSDKVKALERKLAHKKRPKVFPLGQGFNLKTQYNDNQDPSLWKAFSDL >LPERR02G09490.1 pep chromosome:Lperr_V1.4:2:7037674:7039551:1 gene:LPERR02G09490 transcript:LPERR02G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEWELYPSSFIGAQVIDYGPISGDMDDDQSGDLAVSLDAVLPDDLLEKVLSLLPVASVIRSGSVCKRWHEIVHARRQTWRKMVPQKPWYFMFTCSEELVSGFAYDPSLRKWYGFDFPCIEKTTWSTSSSSGLVCLMDSEDRSRILVCNPITKDWKRLVDAPGGKSADYSALAISASRASHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLTGWRGGDECVICDGVLYYLVYSTGLLVNSNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLSERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVSKRFPLQLFTGFSFEPRLDIAS >LPERR02G09500.1 pep chromosome:Lperr_V1.4:2:7048057:7052428:1 gene:LPERR02G09500 transcript:LPERR02G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAATDDTALLSGVAAAELHQQEEVASTSAAAAAAPPRLGEEEEEVPLRVRLGRAAKGRRRRRAGPCTPSPSWKLEGEEEEDGEVAAPMAPAMHPAAVAPARRSSASASARQLGASLWEIHDVMLREGRRGGGARGRRRSGKTPLLAAAGDGGGGGGLEGAGVELHQPQNSGGFGRHLADSSKNHHKLNQARNCTAQPLSPGSYTSSIGDSSINQVISPARSLDMKGRFRGAGYNLKTSTELLKVLNRIWSLEEQHTADMSVINGLKLELQQAQEHIQELMRERRGYRNDVASLVRQLSEDKPVWKNKDKEKIAADIHSLQDELEDERRLRKHSEDIHRKFGKELSEIKSAFVKAVKDLEKEKKTKSLLEDLCDQFAMGIRDYEEEVRALKQSHVNYDYKFDKSVLHISEAWLDERMQMQNTDVKEDFLKKTTITERLRSEIQAFLAKKLVISKSSDKYMHNSRQDASLRRQSLESVHFNGATSAPRLAEEDDDSVASDLHCFELNMPGNSIRKHDHTGPRRSYTGNMDAPKRRTGYSHSIVGESSHMSDMQIYLQENKARSSSSKPWHATRAQEIDSQTSARIIPAEEQNEITCTHISQGSHNDTSKNNVGAHVDCLGQESLDHYSRASIYCEGTTSGDLCNPRSPSRQLDLSTSLGHEIGECSTALPVGMKENTLKAKLLQARLQGRHARLKASGGSVTSRRK >LPERR02G09510.1 pep chromosome:Lperr_V1.4:2:7054106:7058961:1 gene:LPERR02G09510 transcript:LPERR02G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEASTAAAAAAGDMDPDFSRGGGCGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDAPGASGEGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDESPPSVGPDGDDGPSMDSPWSGVSTPVLRVKNIYISSAILAAKSPFFFKLFSNGMKESDQRQATLRITDSEENALMELLSFMYSGKLTTTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEFLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLACTDLDHEQATKCVTEALLYKADAPHRQRALAADATTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFIDGVLHLRAELTIKQPSA >LPERR02G09510.2 pep chromosome:Lperr_V1.4:2:7054106:7058961:1 gene:LPERR02G09510 transcript:LPERR02G09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEASTAAAAAAGDMDPDFSRGGGCGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDAPGASGEGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDESPPSVGPDGDDGPSMDSPWSGVSTPVLRVKNIYISSAILAAKSPFFFKENALMELLSFMYSGKLTTTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEFLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLACTDLDHEQATKCVTEALLYKADAPHRQRALAADATTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFIDGVLHLRAELTIKQPSA >LPERR02G09520.1 pep chromosome:Lperr_V1.4:2:7067754:7071354:1 gene:LPERR02G09520 transcript:LPERR02G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPPPPPPPHPSTKPKPKPPTSAAPPTAPARPTPPLKKPPLAQPPPPMSRPLKPPPAHQFRQQQQQNQSTAGGGRKRRHRGHHGGGGCSGRRVCCLATGFLLLALCLALAAACLAYLYYHPRAPSFHLQPLTPTRFHVSNTSSTPSAMDVTVSVRVVTWNPNDRVTFQYGDGGEGRVALSDADGDVALGWAPVAGFRHGARSVATVAFVAAAKGVVVDDAVAARARDGYRRRRLAFKVVVDTHVGARIGGGGGGMRVGMVPVRVLCDGGGMAPRGGVGGTVVGPMSKCQVYLFRVRWLMPVASKLQLP >LPERR02G09530.1 pep chromosome:Lperr_V1.4:2:7073162:7076647:1 gene:LPERR02G09530 transcript:LPERR02G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >LPERR02G09540.1 pep chromosome:Lperr_V1.4:2:7086988:7088549:1 gene:LPERR02G09540 transcript:LPERR02G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRKIDIGNLQTLMFFGEENRSRLEEFHVKKERIGFELSELRNLKELRGELKICNLEKVTTREEAEGAKLNWKRNLKTLMLVWGPVQRTIESDVPDGLEPPSNLKELVIKNHGGSIGPSWLCREICVNNLRSLHLEGVSWGTPTPFGQLMQLEELTLIKIASIHKFGLGFGGVTEKSFPHLKKVEFVDMPELLEWVRGVHCHLFSKVASIWCEKCPNLSMLLLQLPSSDCSAAPCAQDINTTWFPNLCDLYIAGCPKLSLPPMPHTSTLTSAKVFGQTGGMLFEKRGWMYMATAITIKDPPHVSLTDLQKMSSLTELVVKGCECMLFSEVEEGVIFRSVQKLSISDCRLTGNSLRKFLNRFPAITKFKILCCKNLVLPVEDGEGLQDLSSLQKLKI >LPERR02G09540.2 pep chromosome:Lperr_V1.4:2:7083782:7088226:1 gene:LPERR02G09540 transcript:LPERR02G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGSARWLLDKVVTQLSDNLVAAYVTSTELGLNMEKIKMDLLYTQGLLQTAQEWRDISDNTGLQRLLENLSKKADKAEDVLDELQYFIIQDQIDGTHEATPMVDDGLPGHILHGRHALRHTVGWRQYYRLEEFHVKKERIGFELSELRNLKELRGELKICNLEKVTTREEAEGAKLNWKRNLKTLMLVWGPVQRTIESDVPDGLEPPSNLKELVIKNHGGSIGPSWLCREICVNNLRSLHLEGVSWGTPTPFGQLMQLEELTLIKIASIHKFGLGFGGVTEKSFPHLKKVEFVDMPELLEWVRGVHCHLFSKVASIWCEKCPNLSMLLLQLPSSDCSAAPCAQDINTTWFPNLCDLYIAGCPKLSLPPMPHTSTLTSAKVFGQTGGMLFEKRGWMYMATAVLWSFIT >LPERR02G09540.3 pep chromosome:Lperr_V1.4:2:7084878:7085526:1 gene:LPERR02G09540 transcript:LPERR02G09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKCNSEENWKCLLSPFKKGRDKGSMILVTARFPSIAQMVKTTKPIELQGLEHNELFAFFEECILGENKPVNYKDDLIGIARDIFKKLKGSPLAARTVGRLLKKNLSQEFWMEVLERNEWKNQKGDDDIMPALQISYDYLPFDLKKFNNLEITRFWEALGIVEAEDIGLRYLDELVGNGFLVMEDEGCIGNTISKPTRGGEW >LPERR02G09540.4 pep chromosome:Lperr_V1.4:2:7083486:7091178:1 gene:LPERR02G09540 transcript:LPERR02G09540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGSARWLLDKVVTQLSDNLVAAYVTSTELGLNMEKIKMDLLYTQGLLQTAQEWRDISDNTGLQRLLENLSKKADKAEDVLDELQYFIIQDQIDGTHEATPMVDDGLPGHILHGRHALRHTVGNLFSCFSCSSFTPDDADVPKENTVESHSDNDGYVSRLRFNRVDMSNKIKILIEGLHELCAPISDLLKVNQTIGRVASLKRPPTSSTIIQDNLYGRKEIFEQTLNDMTNYTVHSGTLSVLPIVGPGGIGKTTFVQHLYNDKKIESHFSLKVWVYVSTNFDVVRLAQEILKGMQHTENARSNRAYETSNLD >LPERR02G09550.1 pep chromosome:Lperr_V1.4:2:7093656:7095461:-1 gene:LPERR02G09550 transcript:LPERR02G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAEAEAVLERIWDLHDRLSDAILAVSRAHFLLPPPPPPPPPSAPPAGDAAGRRNGYVFVKGVVKGGGGGGDGVDGGGGRAASAAEEAVAEARSLHAIRTALEDLEDHLEFLHLIPAIVKTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHHGKKYRVIDEALAFVGEVGDKSRFISPEDVRATHNQSGEDAMDNGSNGSSIMGNVLSCSLSLAKNSFRVDKIGSALGNAAAFAVSMLAFLQLHQVAFGSRSPTMDYRRNSFHSGSSLQNGKGKQLEVYLARG >LPERR02G09560.1 pep chromosome:Lperr_V1.4:2:7100229:7101834:-1 gene:LPERR02G09560 transcript:LPERR02G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRAPFRVVALAVFVVGIVMPAAAVAQAPAPAPVSDGTSIDQGIAYILMLVALVLTYLIHPLDASSPYKLF >LPERR02G09570.1 pep chromosome:Lperr_V1.4:2:7113405:7116262:1 gene:LPERR02G09570 transcript:LPERR02G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCFGGGNNNWDLSAVVRLGCCRRRISPASASDPFASFLLPPVMEVSVEKEMAGVDAAGWSFPELSAGDGAGLGRDADELLKAFCASFHSSSPPPLTEQPKEEQKPVLVPENLPVQTSAPARSPAAAAVRQVAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPNTFILTYTGEHNHSAPTHRNSLAGTTRNKLSPSSSSASAAAAQPQQPPPSVVVAGTAESAAAAGLSPTTPLRTPSMEEDEEEDVDDELLVEDMEMAGEDELLFLNGGGGGGDGDATAVSSLFDIDEPAFLPSPWTEQAAGS >LPERR02G09580.1 pep chromosome:Lperr_V1.4:2:7123832:7127925:-1 gene:LPERR02G09580 transcript:LPERR02G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHVPHRASDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSVTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >LPERR02G09590.1 pep chromosome:Lperr_V1.4:2:7138219:7147432:1 gene:LPERR02G09590 transcript:LPERR02G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVEFGKILSSAAVDGLDGGGGGGGGGGGGGGDVLRRCADADRRHGDVKQHNQNADISSYRIKGTMSEVSVQKSLAFGIKSENNSKRDYIGADIGQTSHRHDSKVLTKKTIKLLDGPPCPKRPKLEPVQITRESEGKSHDFLVQKNVPELMQCTPSEKSRLLKQKRIHDAKKIEKKNFRPGVRSKYDCFTSRTNLGNLDPSGLGNNVLGAHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGKEKGKKVLRTKDELLVSVRKAFSMLSGKDSSYGKDPNFLLSPKLPTTSTSSCDGIDQCADKPTKGPSQTEVCDTTIHCPKDILNRLTLPQGQDLDSLLSPGSESTAAVKPLLPSMATHGASLPPFPWSHSQAGGYRQGADCGKHGSSRSNSQWQWVRVGSNLTALDCEDSSVHKIDDLLQEMDMAKMDSYSKQSNLCCTESTSGSLGQIIQSRKYLNGHNPQQMFPMDNGDSSDSFQKNDSECFFPRTPQASPKVLLAAEILCDMRSSTDVWSAQGCSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARTGNNHSKKLVDRKNDSLRMNNPGKGSIRWPVPIEDGASPVKPERGLMLDMRQNQNHSNAARHPILVSSQAKEYENQQKLKKATLTSSLGSAGDWNRDRNKRM >LPERR02G09600.1 pep chromosome:Lperr_V1.4:2:7147896:7148486:1 gene:LPERR02G09600 transcript:LPERR02G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAVAVAAKSSPRLRAALLACAIASTAVAAILLAVSLTVFRVREPVMTMNAISVKGFVDDATPSRMTVVADVSVRNPNAASLTYAGSETAVYYRGARVGGARGAGGVARARRTARMNVTVDVAVGAVLRDPAFLRDVAAGAVAVATATTVRGGRVAVLGGVVRRRVALEMNCTATLAVADMSIRNQSCLQRVWL >LPERR02G09610.1 pep chromosome:Lperr_V1.4:2:7153278:7156501:1 gene:LPERR02G09610 transcript:LPERR02G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAEAAPAAQPADGISEEAAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKISAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPDIPEVQISEDIVVNVALALRTDINHALHLLREIALGHDLMKFLGVIVALWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDEKVLRKIPRGPAKDKKQN >LPERR02G09620.1 pep chromosome:Lperr_V1.4:2:7156958:7162653:1 gene:LPERR02G09620 transcript:LPERR02G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAQVMAPPIPASKQPQRFPAVSTSGNSKFPLFKTSCLPVSHGLLSNTAVSSLHDHGGVKIIQPVVKMCGITSAKDAEMALEAGAKLIGMILWPNSKRSVALSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLSLVQLHGDESRALLHVISKNNRIIYVLNADDDGKLINAPPDEEYELDWFLVDSAKGGSGKGFNWQKFRMPSVRSKNGWLLAGGLHADNVCDAFYALKPNGVDVSSGICARDGIRKDPERISSFMKNIS >LPERR02G09630.1 pep chromosome:Lperr_V1.4:2:7161732:7165213:-1 gene:LPERR02G09630 transcript:LPERR02G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISASPAPGGVSPSPRGPSSLITRNKAVTWSAATAAGLAPWKGRASVSTAATSSNQTAPVGTIVTGNDVPLLHLYELEQHLDRFLKSSSMAKISLPFDRSTIRSILIQTFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRGRELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSGITSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQTLFNLILEDMRSGPSSVRIAVSY >LPERR02G09630.2 pep chromosome:Lperr_V1.4:2:7161732:7165213:-1 gene:LPERR02G09630 transcript:LPERR02G09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISASPAPGGVSPSPRGPSSLITRNKAVTWSAATAAGLAPWKGRASVSTAATSSNQTERLNEFHASGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHIVHRGHGVFDTAAIMDGHLYELEQHLDRFLKSSSMAKISLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRGRELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSGITSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQTLFNLILEDMRSGPSSVRIAVSY >LPERR02G09640.1 pep chromosome:Lperr_V1.4:2:7169013:7169533:-1 gene:LPERR02G09640 transcript:LPERR02G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAHKWFKADYNDKSFTLEYLWKELKDQPKWRRIMDNESNNKRTKVSESGAYMSSSNQDTDEDTRSKEKRPEGQKKAKAKLKGKPSQDLVLFNEAVQVRAVAVLKSAEATTKLAEAKKEQARVEKYMTYLNLLEKDTLSFSEAKKERHDAILEKIANELAEE >LPERR02G09650.1 pep chromosome:Lperr_V1.4:2:7170282:7171353:-1 gene:LPERR02G09650 transcript:LPERR02G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPANRRAAWMESCRLDRRTRQRTPRKRAGHRLGGVYAVVDKRDKLAPAAALAARSSPAWPTSTTIASGVPAVVSQPEAARNRLAGAANTRLPRVSDSPSLFAKPSPPLQRNEPGRGGPAMAIQRALLVGGANGGWVGKTRAVWGFGQRRVGGGSPDDVRWDLRKKEREVAGGGGRSPERGDLPEVRKKKREWGFGQHRVGGGSPDDDRWDLRKRRREEERNRNGCGSPDLREKTEEEEAKKADDDVEEADDVEGEEEEDDVSGWYGGPGFECAAPDPGSLPIPTFILRQAPGCVGR >LPERR02G09660.1 pep chromosome:Lperr_V1.4:2:7180188:7183241:-1 gene:LPERR02G09660 transcript:LPERR02G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGMPQGPGAPLMPGVRPPILPAPGIPGYPGAPNVPTMPHTAGPPGSIPPGSMPMQMAPLPRPPTLPPPSGAPGAPIPNSGAPPAMYQTNPPAPAGPTSGAPAQMAAPAPSAAPQAPFSYAQPPEGNH >LPERR02G09670.1 pep chromosome:Lperr_V1.4:2:7183618:7188698:1 gene:LPERR02G09670 transcript:LPERR02G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAACVLAARGISSSPRLERRLKQTENEIVQMFRTSSPRSEDAVALLSPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVIKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLELVEQYGEMWKMIQEMVRNPICVVTPMELSEVIRMLGNAKMIGKAITIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCKLGRQDSAIRLLNEMKENRMQPTSKIYTMIISLFFKLGNVHGALSLFEEMRYMYCQPDVFTYTELIRGLGKAGRIDEAYHFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFEEMGVLRCIPTVVTYNTIMKALFESKSRVSEVLSWFERMKGSGIAPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAGELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARAGMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLSNMKHSSIKPDAVSYNTVLGALSHAGMFQKAADLMKEMNALGFEYDLITYSSILEAIGKVDHE >LPERR02G09670.2 pep chromosome:Lperr_V1.4:2:7183618:7187513:1 gene:LPERR02G09670 transcript:LPERR02G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAACVLAARGISSSPRLERRLKQTENEIVQMFRTSSPRSEDAVALLSPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVIKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLELVEQYGEMWKMIQEMVRNPICVVTPMELSEVIRMLGNAKMIGKAITIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCKLGRQDSAIRLLNEMKENRMQPTSKIYTMIISLFFKLGNVHGALSLFEEMRYMYCQPDVFTYTELIRGLGKAGRIDEAYHFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFEEMGVLRCIPTVVTYNTIMKALFESKSRVSEVLSWFERMKGSGIAPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAGELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARAGMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLSNMKHSSIKPDAVSYNTVLGALSHAGMFQKAADLMKEMNALGFEYDLITYSSILEAIGKVDHE >LPERR02G09680.1 pep chromosome:Lperr_V1.4:2:7188067:7191565:-1 gene:LPERR02G09680 transcript:LPERR02G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSQNGRPRPAKAVTIHGLARAGDLAGVQRKLQENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDTVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAQIEAKANNGMAPLHLAVWHALQGGDCSTVSLLLSYNADCYAKDDEGKTPLHHIPGGASNEKLQKLLTRHMEEQRKRKALMSCREGKAMAEFEEGISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDSGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHLKMNNPSESSLLYGFKLDPSCNIEVVGELIARETTEERRKQMNGGLVDTLLMNARENLDLRLDFNCDDVDTMITITLEDLEAGLKQISNQRQLQ >LPERR02G09690.1 pep chromosome:Lperr_V1.4:2:7194607:7202479:1 gene:LPERR02G09690 transcript:LPERR02G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLFLLPLPLRSAPLRRLLLCRCCSSASPISSSSAALAPYHQSFARRMALTGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAADGGEGDASGFVDGLLGVVVDHGLRTESAEEARLVRERVRGMGVMCEIATCEWPDGRPKQGRIQEAAREMRYQKLLDICLNQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKFDGDNFSRYGIILVRPMLEFSKDDMYKICQGSNHLWVEDPTNNSMLYVRNRIRASLISLSTEGTFLSELHKLISECRLTRAYIDNACSMVLKKSLTVMEHGYAVIDLEKLDPHNVDDLCLSQYLTYILQFVSQRHRPLRGRCARLLMDYIRTTPCKAALTVAGCYLSAVPRSKGTKVLVCCSVDLMESSSVDMSYKCSYVKQPPPVSDINQIVTEARIYSDQFPRNCPNIPFLSSKFSTDVLNKAKDLKLINDYTLEKLNYLRADERQKFIKTKEHEQEQYLETGFPCLKVLNLWPGETCHFMGRFLITWRTSEVVNTMCLHDSQKHICQYCMVNQDGSLVVRHMVDTDWLFVAEVCKTLSLEENKNDPNASCNKLEDDKLVQHSRYLQLSAMKSLEILRSIPAPARRTLPVLTNSQGDILSIPSIDFRCCPSLLIEAVFSPRVPLGGGYTSYL >LPERR02G09700.1 pep chromosome:Lperr_V1.4:2:7206661:7211149:1 gene:LPERR02G09700 transcript:LPERR02G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGGGGGDEEDEGKKGLLPEWLSITTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTGCLIPETNPSSLIDVKLAK >LPERR02G09710.1 pep chromosome:Lperr_V1.4:2:7212210:7213562:1 gene:LPERR02G09710 transcript:LPERR02G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARMMLAAAVCLLIMASTFAADALEPSGWMRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTQLFDGGLACGQCYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRGGIIPVIYQRVPCVKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSTDWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >LPERR02G09720.1 pep chromosome:Lperr_V1.4:2:7214524:7227204:1 gene:LPERR02G09720 transcript:LPERR02G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISDLPAEVLRVIAGRHLHAALDAVRFHAVCTSWRHTLHHLPRRRPLLPWLLAPPSSSSAAGGPCRCVFSKTTYHAGAAHARDRRLAHADGTASWFVDGFFLNPLTGFPSPDHDHEDEAYGWKLISDRYSRFVFSRNGTFLAYTLSPSPSPPSLVLTGQTWVPAGFESYVSVTLGGGGAGTERFVAVALHGGATVCVDLVNCYVSVHVDGLDRATSVPLPDVPTGMARRRSYLVELGGEVLLASVLQDDDGGDDRLSVSVHALEHDHDGEAPPMPVWEKRDGGVLADHVFFLGFPGSFAVEAARFGGELPGGSAYFVVRSEPCRVYRCGIDDVGGGTAAPATVLLCDIAGRLHTAVDAVRFRAVCRAWRDTPRRLPLLLLPWLLAPSTATLAPNEGTTAAGGPCRCVFSKTTYHAADHARDKRVAHADGTASWFIDGHFVNPLTGATTTTAADKYHWEWIDAEEFSHCIVSIDGTFLVYRLSKDKWAKGRLAMYGHKFPPGEPDGNWLSQTLGRYPTDRRNTVVAYHRGATVSADSNCYFIQKELSWDEHYATFHYLPGGDDEEPGKFRLRSHLVEFNGELLLASVLLDMDYYDDDLLSVSVHALDVDRALRLHETDDSPKPAPNLLLQKRDGGVLFRDHVLFLGFPGSFAVEAARFGGDVAGGSVYFVVKSRPCRVYRYSFESGGMAAVVDTLPFGWNDERCMWFLPEPEIAPLLCLIAGRLHAAVDVVRFHAVCRSWHDMIHDLPPPCQRGLLPWLLAPSRTYDSDDDDTDTDAGVSCRCVFSKTSYQSPGLCVQDKRVAHADGTASWFINGLMVNPLTAMYITNSGRYPSRWMEREGLSHRVVSTDGAFLAYGHETGSSYLHIKGQFWTQCKRVAECVDITVDTVYTFDRCAVASYRGSFIVCVDPANCDIVKKDVDFLHHYPKCTPLPDDDQPAKVRRHSFLVELRGELLLASVLQDVDCDGGGDGDGESLSVSVHALDVDAAVDAVWERRDGQVLGDHVLFLGFPSSFAVEADRFGDELQGGTAYFVMSSEPCGGRVYRCSIDGVGTATVVDTLPDGWNNHRCMWFMPQPDIAEKYDDDYRKEVIANLYKTKRRPRNLRIHAGGLSPMVDSAQLRQMYSKYGRVLQARVERDKRGRSRGFGFVTMATLDGYDRALDRRPRMGRSFADASTREIPLPDVPHSKVRRRSFLVELRGELLLASVLQDAGCEDDDGLSVLGHALDVDATVYALDPDAEATTAAVWERRDCGGHVLFLGFPSSFAVEAARFGGELPCGTAYFVVNSEPCRVYRSGIEESDGGGSTSTTVVDTLPAGWNDERCMWFLPQPNIADKYDDDEETATLYRIHRRPRNLRMYIGRLLPMVDSAQLREKYSTYGKVLRAKIERD >LPERR02G09730.1 pep chromosome:Lperr_V1.4:2:7230374:7232897:1 gene:LPERR02G09730 transcript:LPERR02G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRALALVILLAVSCASLGAAADNAANTTTTTTTTTTAMAPAVSPTSADAAPPPTGWLKAHATFYGGADASGTMGGACGYSNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCRPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVIYQRVPCVKKGGVRFTINGHDYFNLVLVTNMAPVRALAMVLLAVGSALMATADDSAGNPTTTTASSPATNPPAPTGWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWQKIGIYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSKSTNWMPMARNWGAQWHSLAYLTGQSLSFRVTNTDDQTLVFTNVVPSGWKFGLTFASKLQFK >LPERR02G09740.1 pep chromosome:Lperr_V1.4:2:7234402:7236271:1 gene:LPERR02G09740 transcript:LPERR02G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFSRFSIYFSVLLLCHGSVAQLFGPNINPWNNPRQGGFRECRFDRLQAFEPLRRVRSEAGVTEYFDQNNEQFQCTGTFVIRRVIEPQGLLVPRYTNTPGVVYIIQGRGSLGLTIPGCPATYQQQFQQFLPQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDTPVVALYVFDINNNANQLEPRQKEFLLAGNFNREQQVYGRSIEQHSGQNIFSGFNHELLSEALGINTLSAKRLQGQNDQRGEIIRVKNGLQFLKPTFTQQQEQAQEQAQYQVQYGEEQQTSTRCNGLEENFCTIRARMNIENPSYADTYNPCARRITRLNSQKFPILNLIQMSATRVNLYQNALLSPFWNVNAHSLMYVVQGRARVQVVSNFGKTKAEREGCQYIAFKTNANSMVSHLAGKNSILRAMPVDVVANAYRISREEARSLKNNRGEELGAFTPRFQQQRYPGFSNESESENAE >LPERR02G09750.1 pep chromosome:Lperr_V1.4:2:7241181:7241384:-1 gene:LPERR02G09750 transcript:LPERR02G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLAGKNSILRAMPVDVVANAYRISREEARSLKNNRGEELGAFTPRFQQQRYPGFSNESESENAE >LPERR02G09760.1 pep chromosome:Lperr_V1.4:2:7241530:7241871:-1 gene:LPERR02G09760 transcript:LPERR02G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNANQLEPRQKITILLNVVVLRCPYKCFHFNSCAEKLREQQVYDRSIEQHSGQNIFSGFNHELLSEALGINTLSAKRAKTTKGERSYG >LPERR02G09770.1 pep chromosome:Lperr_V1.4:2:7241981:7242506:-1 gene:LPERR02G09770 transcript:LPERR02G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRFSIYFSVLLCHGLVAQLFGPNINPWKNPCQGGFRECRFDRLQAFEPLRRVMSEDGVHLSFDVSLSLKAFWYLDTPIFLAWSTSSKDMFLSFMWLNILYILTFRERFFGVNNPRLPSDIPATFSTIFA >LPERR02G09780.1 pep chromosome:Lperr_V1.4:2:7245028:7249510:-1 gene:LPERR02G09780 transcript:LPERR02G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDSKPSVHIPMPARDRDRELLLVSPASAAATAASASGGAGLESDDDESKPSSASTASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIIGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWNRMRSSRS >LPERR02G09790.1 pep chromosome:Lperr_V1.4:2:7253429:7253826:1 gene:LPERR02G09790 transcript:LPERR02G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKAARVRQECVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAIAAAS >LPERR02G09800.1 pep chromosome:Lperr_V1.4:2:7254718:7257269:1 gene:LPERR02G09800 transcript:LPERR02G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDCDLAVGTVTVTSLLIGSILSEQISPDDGISLLVKGKQDKEAGVSKKKEAQGDDLDNNSCELLVVSNERSEKAWILDSASSYLTPEKKWFSSYVSGDFKFVHLGDDASYRVVGVGNGVKHVAGLRRSLISLRILHEQGWLYQVDSDRKTMKITKDDKTVITSEMTGSRLYKLQGSIVAGGVVDGFADVAVHDTQDCG >LPERR02G09810.1 pep chromosome:Lperr_V1.4:2:7261695:7292377:-1 gene:LPERR02G09810 transcript:LPERR02G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSTFSASLLLVLVLVLPFFANASSRLYIVYMGEKKHDDPSAITASHHDILTSVIGSKDRAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPEVVSVKPNTYHTAHTTRSWDFLGVNYYEQSGIWPESRSFDDHGYGPVPARWKGKCQTGVAFNATACNRKLIGVRWYSSDIPDKTLKGEYLSARDLSGHGTHIASTIVGGQVWNVSHKQGGLAAGVARGGAPRARVAVYKVCWGPGISCGGAGILAAIDDAINDGVDVISMSIGPGEYDGTLHAVARGIPVVLSGGNDGPTPQTVGNTLPWVITVADSTVDRTFPTFVSLGNDEKLVGQSLYYNATASSCSKFRELVDGSSCNAEALSSINVTGKFVLCSPSSQVPPRLLLGSIIRSVTEAGATGLIFVQYSVSNTLDTLDACNGSMPCVLVDNEMARIIDFYISSTTKPVVKVSPAMNVVGSGVLSPRVGAFSSRGPSTLFPGVLKPDIAAPGVSILAAVGDSYQFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQADGLPRKVADPFDFGGGHIQPDRAIDPGLVYDINPIEYTKFFNCTLGPKDQCESYMGQLYQLNLPSIAVPDLKDTVTVSRTVTNVGVEDATYNVVVDAPAGVSVSVEPSVITFTRRGSKSATFKVTFTARQRVQGGYTFGSLTWLDGSAHSVRIPVAVRTIIQDFVADTSAREAGRGQRAEREARTGGGPCVWRWKAAARAEREGALALCSALLLVLVQLLPFSANASTTKLYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELSKFPEVIYVKPNTYYKAHTTRSWDFLGLNYYEQPGLLKKANYGEDVIIGVVDTGIWPESRSFSDEGYGPVPARWKGVCQTGTAFNATSCNRKIIGARWFSGDATADNLEGEYLSPRDFNSHGTHVASTIAGGQVWNVSHRGSGLGAGVARGGAPRARLAVYKACWGVGSCGEASVLAAVDHAINDGVDVLSLSLGLGTEIPGTLHAVARGITVVFAGGNDGLKQQNVGNNVPWVITVAASTIDRTFPTVISLGNNEKLVGQSLYYEATASSSKFNMLVGAPSCDAKTLAQINVTGNVVLCLETYNKVNISLLAEAGAKGIIFAQQGTVNLLDVLDTCNGIMPCVVVDNEIANRIISYGTNISTPMVKVSPAVTVVGSGPDIAAPGVSILAAVRDSYMFMSGTSMACPHVSAVVALLKSVHPDWSPAVIKSAIITTASVTDQFGMPIQAEGLTRKVADPFDFGGGHIDPDKAIDPGLVYDIDPKEYTKFFDCSLGPKDDCESYMGQLYNLNLPSIAVPDLKDSVTVWRTVTNVGGPEATYSVAIEAPAGVNVVVEPQVIKFAIGGSRTATFKVMFTARQRIQGGYTFGSLTWLDDATHSVRIPVAVRTIIQDFVSDTS >LPERR02G09810.2 pep chromosome:Lperr_V1.4:2:7267253:7292377:-1 gene:LPERR02G09810 transcript:LPERR02G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSTFSASLLLVLVLVLPFFANASSRLYIVYMGEKKHDDPSAITASHHDILTSVIGSKDRAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPEVVSVKPNTYHTAHTTRSWDFLGVNYYEQSGIWPESRSFDDHGYGPVPARWKGKCQTGVAFNATACNRKLIGVRWYSSDIPDKTLKGEYLSARDLSGHGTHIASTIVGGQVWNVSHKQGGLAAGVARGGAPRARVAVYKVCWGPGISCGGAGILAAIDDAINDGVDVISMSIGPGEYDGTLHAVARGIPVVLSGGNDGPTPQTVGNTLPWVITVADSTVDRTFPTFVSLGNDEKLVGQSLYYNATASSCSKFRELVDGSSCNAEALSSINVTGKFVLCSPSSQVPPRLLLGSIIRSVTEAGATGLIFVQYSVSNTLDTLDACNGSMPCVLVDNEMARIIDFYISSTTKPVVKVSPAMNVVGSGVLSPRVGAFSSRGPSTLFPGVLKPDIAAPGVSILAAVGDSYQFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQADGLPRKVADPFDFGGGHIQPDRAIDPGLVYDINPIEYTKFFNCTLGPKDQCESYMGQLYQLNLPSIAVPDLKDTVTVSRTVTNVGVEDATYNVVVDAPAGVSVSVEPSVITFTRRGSKSATFKVTFTARQRVQGGYTFGSLTWLDGSAHSVRIPVAVRTIIQDFVADTSAREAGRGQRAEREARTGGGPCVWRWKAAARAEREGALALCSALLLVLVQLLPFSANASTTKLYIVYMGEKKHDDPSVVTASHHDTLTSVLGRYLLREWLLI >LPERR02G09810.3 pep chromosome:Lperr_V1.4:2:7261695:7292377:-1 gene:LPERR02G09810 transcript:LPERR02G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSTFSASLLLVLVLVLPFFANASSRDGAMKSIVYSYKHGFSGFAAMLTESQAEELSKFPEVIYVKPNTYYKAHTTRSWDFLGLNYYEQPGLLKKANYGEDVIIGVVDTGIWPESRSFSDEGYGPVPARWKGVCQTGTAFNATSCNRKIIGARWFSGDATADNLEGEYLSPRDFNSHGTHVASTIAGGQVWNVSHRGSGLGAGVARGGAPRARLAVYKACWGVGSCGEASVLAAVDHAINDGVDVLSLSLGLGTEIPGTLHAVARGITVVFAGGNDGLKQQNVGNNVPWVITVAASTIDRTFPTVISLGNNEKLVGQSLYYEATASSSKFNMLVGAPSCDAKTLAQINVTGNVVLCLETYNKVNISLLAEAGAKGIIFAQQGTVNLLDVLDTCNGIMPCVVVDNEIANRIISYGTNISTPMVKVSPAVTVVGSGPDIAAPGVSILAAVRDSYMFMSGTSMACPHVSAVVALLKSVHPDWSPAVIKSAIITTASVTDQFGMPIQAEGLTRKVADPFDFGGGHIDPDKAIDPGLVYDIDPKEYTKFFDCSLGPKDDCESYMGQLYNLNLPSIAVPDLKDSVTVWRTVTNVGGPEATYSVAIEAPAGVNVVVEPQVIKFAIGGSRTATFKVMFTARQRIQGGYTFGSLTWLDDATHSVRIPVAVRTIIQDFVSDTS >LPERR02G09820.1 pep chromosome:Lperr_V1.4:2:7303127:7306031:-1 gene:LPERR02G09820 transcript:LPERR02G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSEKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRLLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMVTDRYSNKSLDLVYAVCKMRFLRTWLSFLDSAWVGYYKPLVSDVQFMVLFFTSSFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVCRGLLKQSPLIVFVFISTLVGWALKQVINVIQMKTAADACVVFDLKRVVYTNLK >LPERR02G09830.1 pep chromosome:Lperr_V1.4:2:7308992:7312824:-1 gene:LPERR02G09830 transcript:LPERR02G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPSVITASHHDTLTSVIGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPEVVSVKPNTYHKAHTTRSWDFLGLNYHEQSGLLKKARYGEDVIVGVIDSGIWPESRSFDDHGYGSVPSRWKGKCQTGEAFNATVCNRKIIGVRWYSADIPEKILKKDYMSARDIHGHGTHTASTIVGGQVWNVSHKQGGLAAGVARGGAPRARVAVYKVCWGPGASCGGAGILAAIDDAINDGVDVLSMSIGPGEYDGTLHAVARGITVVMSGGNDGPVPHTVGNTVPWVITVAASTIDRTFPTLISLGNKEKLVGQSLYYNATASSGKSHMLVFGSSCNTESLASINVTGKFVLCWPSIAEPPMLLLGDIIDNVTEAGAKGLIFVQFSVTNALDILDACNGSMPCVLVDYEIGRKIASYMGTTRKPVVKVSPAMNVVGSGVLSPRVGAFSSRGPSTLFPGVLKPDIAAPGVSILAAVGDSYQFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGVPRKVADPFDFGGGHIEPDRATDPGLVYDINPIEYTKFFNCTLGPKDECESYMGQLYQLNLPSIAVPDLKDSVTVTRTVTNVGADDGTYNAVVEAPAGVSVSVEPSVITFTRGASRSVTFKVTLTAKQRVHGGYTFGSLTWLDSSTHSVRIPIVVRTIIQDFVADTS >LPERR02G09840.1 pep chromosome:Lperr_V1.4:2:7315812:7316821:1 gene:LPERR02G09840 transcript:LPERR02G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEKAHGDSPTPSLDSRLAPVLLFGHGNNHGGAGKFLYSIPSRRQLTTTPAFDDDDDDLIISHYSWITPRGWVLTLRPAATAEAFLRDPFSSSKIPLSPPDEEITALAAKSLASSDADDIRGDDTTWCSLSHDPTDARCVVVVVHPTEPVLFYCRPGGSRAMRSLTASGGKMYTDLPWLDKMVTVEFSPEPRLTVSPLAQVPSMAWCNYWYSYLVDSGGELYTVHFRHSLLCDRRIMLVMVHRLDPARGEWVKADGLGSNRAFLVSITQFGVSVAADEVGLEPNCIYFTKWEDKGMYVYDVGQARDDCCVQPWRGHT >LPERR02G09850.1 pep chromosome:Lperr_V1.4:2:7321219:7342609:1 gene:LPERR02G09850 transcript:LPERR02G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPTSSWRDWSSSIRWSSHPSVAAVCRYWRSVVPPSYPAWITPLLLNTADVGTTHMRYYSPCFHKNFEVDGTLTYPSAKICCSAANRLATLCSSENQLVLDTDLVSGSISGLPWLPADRCTFVVYDEGLRRMYAIDTVGLLCISRSIMKDDGKWDDWDLVYSPDDQLIPTLPISNPVMHDGLLYLLGKDGRLALYDPCNHDEGFYILDKPKIFGFESDDSYIFESDQGELMAVLIGLRGTPVHIVKLNEERMEWEKVESLQGRTLFTGTPTTVMKNVEIKGMQNKVFLPKLYDWPETVHGDTLKVTYKHCQEQELGKIGAGGPCKKDLQNVKDKWKHALGPVINKQAQAWSQEEELRLIRAQQTYGNKWSTIVKHFPGRSRSSGLLERSSGLPENLPVSHNSDSNILQLCDGSSDENQLLSDLQGSLKPKQGTSSKYKQGAGSIFDGSSDENHLLSDLQASLKAKQGSSSKCDGSSDENKLLSDLRASLKSKQGTNTKSKQGFIEPRENTDPSEGEISVFMCTKGPDTDSGEVSQRIRDRLNERKRATKRLVFLSSPVELKVSAMAKSERPQQKSKQMTQEVNIISPPAILQEFSPEVPSECEKIVKSSLANCKQTKNTSDPCTPEQHQANFSDLLDMSYCDGLMIIPPAGCLNDDEFGQINY >LPERR02G09850.2 pep chromosome:Lperr_V1.4:2:7321219:7342609:1 gene:LPERR02G09850 transcript:LPERR02G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPTSSWRDWSSSIRWSSHPSVAAVCRYWRSVVPPSYPAWITPLLLNTADVGTTHMRYYSPCFHKNFEVDGTLTYPSAKICCSAANRLATLCSSENQLVLDTDLVSGSISGLPWLPADRCTFVVYDEGLRRMYAIDTVGLLCISRSIMKDDGKWDDWDLVYSPDDQLIPTLPISNPVMHDGLLYLLGKDGRLALYDPCNHDEGFYILDKPKIFGFESDDSYIFESDQGELMAVLIGLRGTPVHIVKLNEERMEWEKVESLQGRTLFTGTPTTVMKNVEIKGMQNKVFLPKLYDWPETVHGDTLKVTYKHCQEQELGKIGAGGPCKKDLQNVKDKWKHALGPVINKQAQAWSQEEELRLIRAQQTYGNKWSTIVKHFPGRTCKAIKEHWRVPMKRKLNSYLSSGFLEKNPGLPENLSVPQISDSDLVQQCDDSSDDNQLLSDLQGSLKSKHGARSRSSGLLERSSGLPENLPVSHNSDSNILQLCDGSSDENQLLSDLQGSLKPKQGTSSKYKQGAGSIFDGSSDENHLLSDLQASLKAKQGSSSKCDGSSDENKLLSDLRASLKSKQGTNTKSKQGFIEPRENTDPSEGEISVFMCTKGPDTDSGEVSQRIRDRLNERKRATKRLVFLSSPVELKVSAMAKSERPQQKSKQMTQEVNIISPPAILQEFSPEVPSECEKIVKSSLANCKQTKNVCSSLKTSDPCTPEQHQANFSDLLDMSYCDGLMIIPPAGCLNDDEFGQINY >LPERR02G09850.3 pep chromosome:Lperr_V1.4:2:7321219:7342609:1 gene:LPERR02G09850 transcript:LPERR02G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPTSSWRDWSSSIRWSSHPSVAAVCRYWRSVVPPSYPAWITPLLLNTADVGTTHMRYYSPCFHKNFEVDGTLTYPSAKICCSAANRLATLCSSENQLVLDTDLVSGSISGLPWLPADRCTFVVYDEGLRRMYAIDTVGLLCISRSIMKDDGKWDDWDLVYSPDDQLIPTLPISNPVMHDGLLYLLGKDGRLALYDPCNHDEGFYILDKPKIFGFESDDSYIFESDQGELMAVLIGLRGTPVHIVKLNEERMEWEKVESLQGRTLFTGTPTTVMKNVEIKGMQNKVFLPKLYDWPETVHGMQNKVFLPKLYDWPETVHVDLVLRDGELAFVPKSSCAGAGGPCKKDLQNVKDKWKHALGPVINKQAQAWSQEEELRLIRAQQTYGNKWSTIVKHFPGRTCKAIKEHWRVPMKRKLNSYLSSGFLEKNPGLPENLSVPQISDSDLVQQCDDSSDDNQLLSDLQGSLKSKHGARSRSSGLLERSSGLPENLPVSHNSDSNILQLCDGSSDENQLLSDLQGSLKPKQGTSSKYKQGAGSIFDGSSDENHLLSDLQASLKAKQGSSSKCDGSSDENKLLSDLRASLKSKQGTNTKSKQGFIEPRENTDPSEGEISVFMCTKGPDTDSGEVSQRIRDRLNERKRATKRLVFLSSPVELKVSAMAKSERPQQKSKQMTQEVNIISPPAILQEFSPEVPSECEKIVKSSLANCKQTKNVCSSLKTSDPCTPEQHQANFSDLLDMSYCDGLMIIPPAGCLNDDEFGQINY >LPERR02G09860.1 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMYVSNLFKRLSVTLIVIYKQDKQCDQRTLEGSYEKKVKFDFSKKFLNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.10 pep chromosome:Lperr_V1.4:2:7347462:7352771:1 gene:LPERR02G09860 transcript:LPERR02G09860.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQLLLLSDYHKERADGSDLTAAATSPVRSAALGSCTPLPRARRRPSKKQQCTPSTETALPSPFPPSAPNPLLPEASAARFLPFCMHPQGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMVEHFPGRTNNAIKEHWRGPMKRKLNSYLSSGFLEKIPDLPASLKSKHGARSKSSGLFEKSSGLPENLSVSQNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.2 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMYVSNLFKRLSVTLIVIYKQDKQCDQRTLEGSYEKKVKFDFSKKFLNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.3 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQLLLLSDYHKERADGSDLTAAATSPVRSAALGSCTPLPRARRRPSKKQQCTPSTETALPSPFPPSAPNPLLPEASAARFLPFCMHPQGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMYVSNLFKRLSVTLIVIYKQDKQCDQRTLEGSYEKKVKFDFSKKFLNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.4 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNVKDKFNIEKSGKTRQPWSREESDFNFFCFLYEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMVEHFPGRTNNAIKEHWRGPMKRKLNSYLSSGFLEKIPDLPASLKSKHGARSKSSGLFEKSSGLPENLSVSQNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.5 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNVKDKFNIEKSGKTRQPWSREESDFNFFCFLYEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMYVSNLFKRLSVTLIVIYKQDKQCDQRTLEGSYEKKVKFDFSKKFLNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.6 pep chromosome:Lperr_V1.4:2:7347462:7352481:1 gene:LPERR02G09860 transcript:LPERR02G09860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQLLLLSDYHKERADGSDLTAAATSPVRSAALGSCTPLPRARRRPSKKQQCTPSTETALPSPFPPSAPNPLLPEASAARFLPFCMHPQGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMYVSNLFKRLSVTLIVIYKQDKQCDQRTLEGSYEKKVKFDFSKKFLNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.7 pep chromosome:Lperr_V1.4:2:7347462:7352771:1 gene:LPERR02G09860 transcript:LPERR02G09860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNVKDKFNIEKSGKTRQPWSREESDFNFFCFLYEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMVEHFPGRTNNAIKEHWRGPMKRKLNSYLSSGFLEKIPDLPASLKSKHGARSKSSGLFEKSSGLPENLSVSQNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.8 pep chromosome:Lperr_V1.4:2:7347462:7352771:1 gene:LPERR02G09860 transcript:LPERR02G09860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQVVGSCFYCLTITRRERTAQISPLLQPVPSDQPHLAPALHFLVLAAVQAKSSSAPQAQKRRFHPLFHPPPQTLFSPKHPPLASSPSACTRSFGLAMGDAPGGFGESNDVYAAMSLSGEGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMVEHFPGRTNNAIKEHWRGPMKRKLNSYLSSGFLEKIPDLPASLKSKHGARSKSSGLFEKSSGLPENLSVSQNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09860.9 pep chromosome:Lperr_V1.4:2:7347462:7352771:1 gene:LPERR02G09860 transcript:LPERR02G09860.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPCQKQLLLLSDYHKERADGSDLTAAATSPVRSAALGSCTPLPRARRRPSKKQQCTPSTETALPSPFPPSAPNPLLPEASAARFLPFCMHPQGDTLKGTYKHCQEEQLGKIGAGGPCKKHFQNVKDKFNIEKSGKTRQPWSREESDFNFFCFLYEDKILIQMINSGQTNWGTVACAIPGRNAQQCRLRWTCTLDPAINKQAWSQEEELRLIRAQQTYGNKWSAMVEHFPGRTNNAIKEHWRGPMKRKLNSYLSSGFLEKIPDLPASLKSKHGARSKSSGLFEKSSGLPENLSVSQNSDSDILQQCDGSSDENQLLSDIQGRLKSKQGTSSKSKQGASSKCDGSSDENQLLSDLRSSLKSKQGASSKRDNSSDEDELLSGLRARLKSKQGTNKKSKQEFIKPRENTDQSEGECAEFMCTKGPDTDSVDVSQRIKDRVNERKRARKRLVLLSSPVELKVSAMAKSERPQQEGKQMSPEVNIISPPAILQEFSPEVPSECEKMVEPLVADCKQAKNVCSSLKTSDPCTLEQHLADISDLLDMSYCDGLMIIPPAGCANDDCFV >LPERR02G09870.1 pep chromosome:Lperr_V1.4:2:7353230:7354138:-1 gene:LPERR02G09870 transcript:LPERR02G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTPPRRHGETGGDVRRRRRRDEIRSSEKKKEKEKAPAPAPPRRVRSEIGEVSGSLRRITGDEILVAEGAATASSPSLPLPPPPPPLLSSHAILFNGGGGQGVGASSSALFHPTVTAAADDNLKSKPLPEAVAPAMPNSSKKRKTVSEFSPTATTTTSSKRTKTKPPCATRFAPIPLPPTSSYDEEAVASEKRKEELLTRFAGTIAKAQNMIGLGKDAAAEELIRREAERAKAREALQKVEDEARRTGGVCAETIRAEHLRELHITGDMEYAVSTAAPPWHSPVASLKRARLEMSLHYA >LPERR02G09880.1 pep chromosome:Lperr_V1.4:2:7359436:7362385:1 gene:LPERR02G09880 transcript:LPERR02G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKNNAHSHQQQQNQQGHETSSSDLAETTMHDPHGNGGTPPLPNGRAAAGGPRSRLARDGPPTDLDVMKERFAKLLLGEDMSGTGKGVTSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEVMSTAQRRDLQMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPMDFLQGMDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTASEAARRMMLEGDAAAAAMKLDAKEELEKLNEAPASMTLYDFMGWHFDQDELMRRKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSARH >LPERR02G09890.1 pep chromosome:Lperr_V1.4:2:7363423:7367964:-1 gene:LPERR02G09890 transcript:LPERR02G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGDALRQALGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFAASSVYLVSGISVAYAAPRRHPFICVVENNFCASKRGGVRCLAILNAVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLAILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMERRINDGFKSWMGSSTLSSDDEEEASDDYIEHNVPSPTASVQVRQENDIES >LPERR02G09900.1 pep chromosome:Lperr_V1.4:2:7371379:7372090:1 gene:LPERR02G09900 transcript:LPERR02G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAPANSTATAGWNNAGSPHPPALVAVAGAATTAAFAGFALWAPRHRLDGVYAAVDKRGNLAPAAALAARSSPAWPTATTKCERRPRCRSPDDDRWDLRKRRREEERNRNGGGSPDLREKTEEEEAKKADDDVEEADDVEGEEEEDDVSGWYGGPGFECAAPDPGSLPIPTFILRQAA >LPERR02G09910.1 pep chromosome:Lperr_V1.4:2:7375368:7381248:1 gene:LPERR02G09910 transcript:LPERR02G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLTSTLFWLISLIILSGIWRVFLPIRSGAWWPYAILILTSVAFQEGFRLVFWRLYKKMEEMLDSFADRISKPRLSLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGRATFYVESCSRMPFFLTLINLAPGGCVIGTPLLCFMGAVTLHYCWRMVWRRLSEHQHRQFNS >LPERR02G09920.1 pep chromosome:Lperr_V1.4:2:7386226:7390226:1 gene:LPERR02G09920 transcript:LPERR02G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPMVSASAGVMNSVIGKLTTMLSDEYNHLKSVKKGIRWLRDELSSMNAVLQRLADMEDDDIDPQTKEWRNKVRELSYDIEDCIDLFVQNHSRGDAKANFVRKGVQKMKKLWENHQIGDEIKELKARVLEEKERHDRYKIEDKLATLSQPVRLDPRAPALYEQAKNLVGIDKPREEIICWIKSEEKQLKVVSIFGTGGMGKTTLAMEVYHKIEESFDCRAVVSVSRTLDIKKLIRDILFQINKNEYERSKEWEIEQLIPTLRENLNEKRYFVLIDDIWSTDAWEHLKLALPDNNNRSRIITTTRIRDVAKSCCRDFDGHMYEAMPLSEDNSRSLFFRRLFPSEDCPEHVKEAATVILKKCDDVGDNLEDCHIEHIKHFCELKFLRIKATISKLPEQIGNLQHLETLDLERTSIEKLPASIVQLQKLVRLLIPANVPLPEGIGNLQALEVLSFINLDIASIKSINGLGELTILREIRMSHYDGDNSKEAALISSLSKLLNCSLQSLQAGGLRSSDVINSWIISCGSLPPLWRLVLFSEIPTIPSQLASLVKLTRLYIRFGGVGGLEILASLPMLLSLTLICDAPQLRQVISNQGFQRLMKFNFRSRWIVTGLMFEPGAMPKLQRLKLYLRAERQPDVHGGLVVGLHHLSALKSIALDSTVVMPALTRWSHWRIASGVQLTSFPSVPRLRSQGRLKITTCVLSNI >LPERR02G09930.1 pep chromosome:Lperr_V1.4:2:7413115:7414625:1 gene:LPERR02G09930 transcript:LPERR02G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSSKENYQIDSRPPAVAKIERPPPAPTPSGPYIDWFGEDEPVDASGTPRSLISDDRKRKAVVIQDSEDEGNAPPATTSSSVQGDVQNSPPSLVLPSMALCTTAALDAATAPSASTPRKPRKKIAGDADPEFTGLPMDISD >LPERR02G09940.1 pep chromosome:Lperr_V1.4:2:7414655:7416353:1 gene:LPERR02G09940 transcript:LPERR02G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEVTVATPPDASVSAVIADVTRDVAAMAAGSIPAFPSSDASPTPVVVAAVAAASPNLDPSASASKEGKGKQSAGGEVAIEAADHSDSERTQSDEHLVWLIDSDPKQVVNADRVVDQARMDKLLRTLTESSKLAREVIQNSRMKDALLEKVSPLIENAEKTQEELTQLKSEVVGYKETNTQLMASLEALLGYNPLEAKKQVEEELQKLKEDHYKLQCLAREAIGEKHSLSKDLLKAAEAKRAAESKHSALAKEHEVLKESSAREVAALKARLAEVEENIEPLLDELYPASAGSNVENPENVLDLLQSAPDKLKDIILDSASIACGTAFAMLWSFYPK >LPERR02G09940.2 pep chromosome:Lperr_V1.4:2:7414655:7416353:1 gene:LPERR02G09940 transcript:LPERR02G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEVTVATPPDASVSAVIADVTRDVAAMAAGSIPAFPSSDASPTPVVVAAVAAASPNLDPSASASKEGKGKQSAGGEVAIEAADHSDSERTQSDEHLVWLIDSDPKQVVNADRVVDQARMDKLLRTLTESSKLAREVIQNSRMKDALLEKVSPLIENAEKTQEELTQLKSEVVGYKETNTQLMASLEAKKQVEEELQKLKEDHYKLQCLAREAIGEKHSLSKDLLKAAEAKRAAESKHSALAKEHEVLKESSAREVAALKARLAEVEENIEPLLDELYPASAGSNVENPENVLDLLQSAPDKLKDIILDSASIACGTAFAMLWSFYPK >LPERR02G09940.3 pep chromosome:Lperr_V1.4:2:7414655:7416353:1 gene:LPERR02G09940 transcript:LPERR02G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEVTVATPPDASVSAVIADVTRDVAAMAAGSIPAFPSSDASPTPVVVAAVAAASPNLDPSASASKEGKGKQSAGGEVAIEAADHSDSERTQSDEVVNADRVVDQARMDKLLRTLTESSKLAREVIQNSRMKDALLEKVSPLIENAEKTQEELTQLKSEVVGYKETNTQLMASLEALLGYNPLEAKKQVEEELQKLKEDHYKLQCLAREAIGEKHSLSKDLLKAAEAKRAAESKHSALAKEHEVLKESSAREVAALKARLAEVEENIEPLLDELYPASAGSNVENPENVLDLLQSAPDKLKDIILDSASIACGTAFAMLWSFYPK >LPERR02G09940.4 pep chromosome:Lperr_V1.4:2:7414655:7416353:1 gene:LPERR02G09940 transcript:LPERR02G09940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEVTVATPPDASVSAVIADVTRDVAAMAAGSIPAFPSSDASPTPVVVAAVAAASPNLDPSASASKEGKGKQSAGGEVAIEAADHSDSERTQSDEVVNADRVVDQARMDKLLRTLTESSKLAREVIQNSRMKDALLEKVSPLIENAEKTQEELTQLKSEVVGYKETNTQLMASLEAKKQVEEELQKLKEDHYKLQCLAREAIGEKHSLSKDLLKAAEAKRAAESKHSALAKEHEVLKESSAREVAALKARLAEVEENIEPLLDELYPASAGSNVENPENVLDLLQSAPDKLKDIILDSASIACGTAFAMLWSFYPK >LPERR02G09950.1 pep chromosome:Lperr_V1.4:2:7425329:7438430:-1 gene:LPERR02G09950 transcript:LPERR02G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKIILVRKNFKLLGAPSAPPIAGDEDEVIFDTVAENKGGLERNGVSSVADILAEDVHEPEVPMSVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMNFLLHPKYKNQDDGKNAYDKDGNCNIKGRKLVKQIEIEEMFTCTLELQSSSKDNQRDSISPQYLKPGTVILRVRGMLFLLKYNNRAVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECVGKIQLCVNFSVSSDNQGAAKMLQGGPAVDTIVYDMILEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSEAYRKLRYLSYILNVATPTKDCLELTYELLLPVMKARVDRTLTRQERSILLDCEDQIKSLLAVVFENYKSLDENSPSGLSDLFGPIPDCAAPSLAPAVQIFSTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTIYNSIDLPNIAASLYSTVLCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRELFHGYIMIEDTRLQLLDNCRADKFSCPAVSTTSMFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLIPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLYCRVEDSIKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRNSRLKRILEETRESEGEGEIRERMQPLRVHLADCIYNLHEVFSIRIFVAICRGFWDKLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNSLQEKDLDPPQSERTLEVTAELIDPNLASNF >LPERR02G09950.2 pep chromosome:Lperr_V1.4:2:7428675:7438430:-1 gene:LPERR02G09950 transcript:LPERR02G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKIILVRKNFKLLGAPSAPPIAGDEDEVIFDTVAENKGGLERNGVSSVADILAEDVHEPEVPMSVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMNFLLHPKYKNQDDGKNAYDKDGNCNIKGRKLVKQIEIEEMFTCTLELQSSSKDNQRDSISPQYLKPGTVILRVRGMLFLLKYNNRAVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECVGKIQLCVNFSVSSDNQGAAKMLQGGPAVDTIVYDMILEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSEAYRKLRYLSYILNVATPTKDCLELTYELLLPVMKARVDRTLTRQERSILLDCEDQIKSLLAVVFENYKSLDENSPSGLSDLFGPIPDCAAPSLAPAVQIFSTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTIYNSIDLPNIAASLYSTVLCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRELFHGYIMIEDTRLQLLDNCRADKFSCPAVSTTSMFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLIPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLYCRVEDSIKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRNSRLKRILEETRESEGEGEIRERMQPLRVHLADCIYNLHEVFSIRIFVAICRGFWDKLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNSLQEKDLDPPQSVLDARSILC >LPERR02G09960.1 pep chromosome:Lperr_V1.4:2:7438609:7438809:-1 gene:LPERR02G09960 transcript:LPERR02G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERKSRRDSTFFSPPFPLGFSSLAPRWSSMRRREPIQGGEAAALVESLCSEYTDFKVRPAATAG >LPERR02G09970.1 pep chromosome:Lperr_V1.4:2:7452771:7458669:1 gene:LPERR02G09970 transcript:LPERR02G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRTTNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSLKKRIAHKNPKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIAKKRPDYHVKEKILTLIDTWQEVFGGARARYPQYYAAYQELLRAGAIFPQRSNGSAPIFTPPQTQPLQNYPPSLRIAQQEQPESSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLGKHDDIAAGVAVRVEKTESLQAQIDSSPPANPGTSKGPAQRSSGTTSASNSSKALPAPTSSRSSKAPGTPVPVIDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLALAYMFEQNSANKSNHNLTNSLNSLTPNSNFLASQAYPTPEQPVLPQHPVSYSNGATSNAIVHYYDNQNGDLPPPPWEIQQSMDNPPQASQLGRMALQPGQPVGMQSQSPQAGQVGQGFMSQQQMVNGQLGGMQLQQPQSMPDFQYQGIGMYSQPMFGGQFYGMSHQQLYAVQMAGYGYGQHSGAYYIPNAAYAYASANELTQKMNGLSVQDSKSHGTMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGS >LPERR02G09980.1 pep chromosome:Lperr_V1.4:2:7459255:7464293:-1 gene:LPERR02G09980 transcript:LPERR02G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLAEDCNLSVAHNNDLPGTTTTVRCQPELWDAGLKKLVLAVLADNSGYNDSRNLSTQRQQSGFFGGCGLGGYGGCNLSTQRLRPPIGAVAPSAEEDEDLVLSSFDAMPKSEQ >LPERR02G09990.1 pep chromosome:Lperr_V1.4:2:7469499:7471852:1 gene:LPERR02G09990 transcript:LPERR02G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYDFLISGSGGARVRYGKAGWEGRVAGGARVSAAADLPASVAGDDDALDDAAGAGCARPSWAFLAAAGTCSWRPFGESPVQYREGPLEYEPAVLCRCGVKMGRFVSWRDFPGRRFYCCMDSESCDGFTLDMCWLSKFVIQAVECLNENERKEVKRLSFETFLHLTLSSTCKPSALGWLISVSEVKEDQNQTWLDMHGNMVFFDL >LPERR02G10000.1 pep chromosome:Lperr_V1.4:2:7480912:7484510:-1 gene:LPERR02G10000 transcript:LPERR02G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMASLFTPPPLPTRRLFFLLPSTTTTTTSSFLLGRLLPPLSCHARQVLDVMPQGDAGANGRAPKTHGAASNGATAQAGVARDASTAGRQGRSRHGGGGGVARRWRRGVDDNLARGQRAADGGGEVHGTRAGVRELRGRREGRRWTRGETPAREPGKDGNFGRGESKAGGNVSRKGKGNKKKKGKGSENEGKLRVELDMCSKTGDVMGAIKLYDNAVKEGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLNSASTESVGNLEGDDLQSDGHSEDQEGYKTGLFASDDGTEKPSRIPVSDELREYARNRGFEIFERMRSEEEKVPMSEAALTAVARMAMSMDNGDMAFDIVKQMKDQGITPKLRSYGPALTSFCNSGNVEKAFEVEAHMLESGVRPEEPELEALLRATVVTRQGDRVSPSAAKLLKAWFRSPTASKVGKRKWDAGAITKAVENNGGGWHGLGWLGSGKWTVSHSHIDGNGVCLACGEKLAIIDLDPKETEDFATLVAKLAIKKERRSNFENFQKWLEKHGPFEAVVDGANVGLFSHKHLSLSKINIAADVMRQRFQSRKFPLIVVHNRHLTGERMQKPYNRKLVEKWKQSNAIYATPTGSNDDWYWLYAAIRCKCLIITNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSGVTLQMPPPYSVVIQESGKGQWHIPVSEEGLLEKDRTWLCATRT >LPERR02G10010.1 pep chromosome:Lperr_V1.4:2:7489007:7490169:-1 gene:LPERR02G10010 transcript:LPERR02G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVAARSRVIARAVSSSLLGGRGLLPTSRRASCINRLPLVSGGLLSALPLHSAVASARLRSAIAPESQSWGLVPQVPQAN >LPERR02G10020.1 pep chromosome:Lperr_V1.4:2:7491367:7497057:1 gene:LPERR02G10020 transcript:LPERR02G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLITCSLPGAVTTHASTRRFGGSQSQTSQASCISFKRELSSKAALRSIRCNATQTQSAQRKSSTATVKRSDPKGKTQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLLDYLKEFERNLTARRQRAGYDASNEMLEQ >LPERR02G10030.1 pep chromosome:Lperr_V1.4:2:7499969:7508701:1 gene:LPERR02G10030 transcript:LPERR02G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIRVTMEVGADGVALITIYNPPVNALHPIIIKGLKEYYAEAMDRDDVKAIVLTGGGGKFCGGFDINVFTQVHKTGDVSLMPDVSVELVSNVMEGGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKALEMMLQSKFITAKEGKERGLVDELCSPDDLIKISRLWALEIANYRKPWIKSVARTDRLGSLSEARSVLSNARQQANKVAANLPQHQACLDVIEEGVLFGGHAGVLKEAKVFKELVVAPTSKALVHAFFAQRLTTKVPGVTDGQLKPRKIKKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMSKAMSLLKGALDYSDFKDVDMVIEAVIEKVPLKQSIFSDIEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKLIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVRSIYAAAFGTRNIDSDLVDLMVKDGRQGKSNGKGYYIYEKGGKPKPDPSVQVVIDEYRRNAKRMPVGKPVTLSDQDILEMIFFPVVNEACSVMDENVVIRASDLDIASILGMGFPKYRGGLVFWADTIGAPYIYSKLSKWAEIYGDFFKPSSYLEERAKRSLPLSAPNTAQHASSRSRM >LPERR02G10040.1 pep chromosome:Lperr_V1.4:2:7508764:7509010:1 gene:LPERR02G10040 transcript:LPERR02G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLIASLLLLSASIPISTLATSVAADDTDNDKAALLAFKAAAAINHGIDPLPSWNTTTTGDDALTTGEWWR >LPERR02G10050.1 pep chromosome:Lperr_V1.4:2:7509023:7514777:1 gene:LPERR02G10050 transcript:LPERR02G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAIGNLSFLRTLNLSDNGLTGSIPASLGRLRRLHALNLSHNGFSGELPANLSSCTSLKILSLQSNHLHGYIPHELGDRLTLLKRLELVDDNFIGTIPASMGNLPSMSILDLAEMNNGIHHNIHVLQNTHNT >LPERR02G10060.1 pep chromosome:Lperr_V1.4:2:7510303:7516879:-1 gene:LPERR02G10060 transcript:LPERR02G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVGSGSAPMALPPPQQQKKKTVPTQRQWPMAFIPPYCECFAARVYCDGCHCSSCGNNNENENIRKEAIEALLLRNPLAFQPKIENGPNAVNVRKDNSGAVPAIPKHNKGCHCKKSECLKKYCECFQANILCSKNCGCQDCKNFEGSQERQALIQMNNASDRNHIHEAAQFATNGAIGSLGYRSSPVCGKKSQENSHGEQIMSEPQFQLADHSDVSQLTPSCIGFGGDQSKSSKMIYRSPLVNTIPLIEVNDLVKHVLVACRKATEAFPTKADNKVEMQTEKQSQSNDEINNDKNKEQNLKEAFVKDTQDEASTDQQNINERGPHLDNGSKDSRPASPGTQALMCDEQDTTFGNDYRNPFVVPSGDQGISELNADQEKIVLTGLREYLRVLITRGNINATKSSSEAAMELDSRRHHGATTVFSQDNSMSSNCPETLGNNQTSMSNDEQKGKSVG >LPERR02G10060.2 pep chromosome:Lperr_V1.4:2:7510303:7516879:-1 gene:LPERR02G10060 transcript:LPERR02G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVGSGSAPMALPPPQQQKKKTVPTQRQWPMAFIPPYCECFAARVYCDGCHCSSCGNNNENENIRKEAIEALLLRNPLAFQPKIENGPNAVNVRKDNSGAVPAIPKHNKGCHCKKSECLKKYCECFQANILCSKNCGCQDCKNFEGSQERQALIQMNNASDRNHIHEAAQFATNGAIGSLGYRSSPVCGKKSQENSHGEQIMSPLVNTIPLIEVNDLVKHVLVACRKATEAFPTKADNKVEMQTEKQSQSNDEINNDKNKEQNLKEAFVKDTQDEASTDQQNINERGPHLDNGSKDSRPASPGTQALMCDEQDTTFGNDYRNPFVVPSGDQGISELNADQEKIVLTGLREYLRVLITRGNINATKSSSEAAMELDSRRHHGATTVFSQDNSMSSNCPETLGNNQTSMSNDEQKGKSVG >LPERR02G10070.1 pep chromosome:Lperr_V1.4:2:7516772:7521908:1 gene:LPERR02G10070 transcript:LPERR02G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGHCLCVGTVFFFCCCGGGRAIGADPDPTLGMELQLNQPPNRFQNKLKKKKHSDPEEELRRKRLHHVKKEGYDMQSGSMIPPRDRRVESSKFNDAVGSRYGYEQAYRGGERFQYASPPSGRGRPHRRDGGAPGKDFIFINGELVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPGIYRSSYSPDRRQFNSPPQGPPSRIIGPPSNRGLPREKQRYGSPPHGWVMDRLGNRRDYSSRMSPDRPGRITDPMLRERINFRDEFQHQLREKLDWDVYNHRDHPRDDLYLDRKEPRFGFPRGNWERGIRDRSRSPMHDKPMNRELRERSRSPLRGKPLNKASIGRGGPASIGRGGPDRDYARPFDAHVRHHDLGHSHGRGYRLEDDPFPTQSRGDQRVLSHHRNGIH >LPERR02G10080.1 pep chromosome:Lperr_V1.4:2:7522538:7526830:1 gene:LPERR02G10080 transcript:LPERR02G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDAASDSDDEAPAPAPPRSSSSPPPRSRRPTPPPPAAAARGSPPPPPRPRAPLLSSLVVKPQPPPRRPEADQGGGASVERLERRRDDPPPALLRRRREPSPPGPGFLRRRESRQTPPPPAVPRRRGSASPRRRGGSPLGFRPRHPQFREEPQGYDMHSGSMIPPRGRIVESSNFNNAVGSRYGYEQTNKGGERFQYLSPPPNGRGRQQRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPGYKSSYSPDRRQFNSLLQGPSNRTIGPLTGRGLPREKQRYGSPSRGWGMDRLADRRDYSPRLSPDPPGRIADAMYRDRIDFRDELQHRQRGKFDWDGYDHQEHPRDGLYLDRREPRMGSPRGNWGQGMRARSRSPMRGKPMHRGLELRERSRSPLRAKPLNKASIGRGRGGPDGDYARPFDSHGRPHNLGHSRGHGYRQEDDLFPVPNRGDQRVLSHHRNGIH >LPERR02G10090.1 pep chromosome:Lperr_V1.4:2:7532074:7538468:-1 gene:LPERR02G10090 transcript:LPERR02G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKDFGADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQGKLLEVIDASELPDFFGGTCRCEGGCMKADKGPWKDAEVMKMVQSGAGWCGNLNLNHSEAEEKVMICEDDTTYPKKQDSFKGEVQLARDEGCTLSRKISRGRIEHPTLSPVREELPSMMLPIPGSPYSCDVPMVEKAIDAICHSKGLTDENLVMSKAVVNASNGSNPPLFGSIMALVMSIATMLRVSRNMPTKVLGATVGGSRPTNLGVHQRSKISMEALSAVEYHSTAKRLSDIEEKVITILTKPAEMPVDKDEMLKSAVGRVSALEEELAATKKMLK >LPERR02G10100.1 pep chromosome:Lperr_V1.4:2:7542927:7543816:1 gene:LPERR02G10100 transcript:LPERR02G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALPIWAANFSLGLPTSHPTRNRPRGGVCPQSISPSAGSPAQYYQKKTLALCFSLTHTAGQRRLTGGGGQLGAGCRRRVRARGGRQGRGRAAVRALVAAAGRSYAAAGGGRQEQRGQQARALTPATVSLSPTRAKILFRH >LPERR02G10110.1 pep chromosome:Lperr_V1.4:2:7551651:7552301:-1 gene:LPERR02G10110 transcript:LPERR02G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKRKHGENTLPIAQAVTWVCDTAYDLWQILHPNKQDEERGGNYIKEGATGCVLRDEYGRPLGGQAKFYQRGMDALTMEAYACRDGMLFAVKAGVQYLSMESDCHELTKLWYDRLLQRPIISPILTEMYELSLRFASFDLHFANRSCNRVAHQLARYAMMNQMAGEWQSNFPSEVLGVLRDDCNHHNSV >LPERR02G10120.1 pep chromosome:Lperr_V1.4:2:7562723:7565912:-1 gene:LPERR02G10120 transcript:LPERR02G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLDGTRLYAEELSFHRIGADRSEEKTKQSRGKAGFDMVVKTGARTQDEHMINFPSPCAPCNLGHATLKLKKF >LPERR02G10130.1 pep chromosome:Lperr_V1.4:2:7565262:7571985:1 gene:LPERR02G10130 transcript:LPERR02G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSAYKRVPSRDAAMDHDLETPVRLADGGGGGPSWRMSLPHVCVATLTSFLFGYHTGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTSEAEIQFAKLLGPLHVKSAMAELTRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPANLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASMYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLATPGTTWSTSSVHNVFLNLCGSSNICAAPCFICDFNMVTESQPGKSVAKVDH >LPERR02G10130.2 pep chromosome:Lperr_V1.4:2:7565262:7565730:1 gene:LPERR02G10130 transcript:LPERR02G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTKLPKTNPAPSKRTNRSKGGIRSRSRSPSHEHHSLTKLLSLSRIFTLSPLAISAPLRSSPCYATKPTHSSPRDLLEEKLTTSPRQSPPTFLPDPSPRVASPANLMPAHAVVSQLRY >LPERR02G10140.1 pep chromosome:Lperr_V1.4:2:7576062:7579869:1 gene:LPERR02G10140 transcript:LPERR02G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSSSFATVAATSTMKDLTDTTKERTIGCKHEASREQATGYNGILECSTCKNVYPYKEDCYWDLTLGSGCTGYSKSTTPYANMFRTALVSFFYERGWRQSFALGGFPGPCKEFEHAKDFLKPVTGGVIIDASCGTGPFSRLFIKSKLYSQVVALDFSENMLKQCNKFMKEEMISDKQQMMVKADISRLPFTSNSIDAIHAGAALHSWPSPANAVAEISRVLRPGGILVASTFMFDVVPLAIPALRIVRKYVSQYLGYNTFLSEDELEGLCKACGLVDFKCVRKGLYIMLSATKAT >LPERR02G10150.1 pep chromosome:Lperr_V1.4:2:7596364:7600878:1 gene:LPERR02G10150 transcript:LPERR02G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLCGDLLSAVLQASLELNIEAKKTMEANGSTSFATAAVTAAVIATMKKNLTDTTTDSHLHCEPLVSMKLAESKLLGTMACAVCYCPLIERMTSPSSLECSTCKKVYPCKEDFYWDLTVGSGCTGYSESTTPFANIFRTALVSYLYERGWRQLFALAGFPGPNKEFEHAKDYLKPAIGGIIIDASCGTGPFARLFIKEQTVFSTNMLKHCNKFMKEEMISDKQLMMVKADISRLPFTTNSIDAVHAGAALHCWPSPANAVAEISRVLRPGGILVASTFLIDVVPLAIPALRIGRKYVSQYHGYKTFLSEDELEGLCKACGLVDFKCVRKGLYVMLSATKEA >LPERR02G10150.2 pep chromosome:Lperr_V1.4:2:7596364:7600878:1 gene:LPERR02G10150 transcript:LPERR02G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLCGDLLSAVLQASLELNIEAKKTMEANGSTSFATAAVTAAVIATMKKNLTDTTTDSHLHCEPLVSMKLAESKLLGTMACAVCYCPLIERMTSPSSLECSTCKKVYPCKEDFYWDLTVGSGCTGYSESTTPFANIFRTALVSYLYERGWRQLFALAGFPGPNKEFEHAKDYLKPAIGGIIIDASCGTGPFARLFIKEQTVFSTNMLKHCNKFMKEEMISDKQLMMVKADISRLPFTTNSIDAVHAGAALHCWPSPANAVAEISRVLRPGGILVASTFLIDVVPLAIPALRIGRKYVSQYHGYKTFLSEDELEGLCKACGLVDFKCVRKGLYVMLSATKEA >LPERR02G10160.1 pep chromosome:Lperr_V1.4:2:7614879:7620018:1 gene:LPERR02G10160 transcript:LPERR02G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIVETRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKSGKSDKEIYKKLEDEFGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGIPLTPREKETMLDILTPPPPAKKWWWPGK >LPERR02G10160.2 pep chromosome:Lperr_V1.4:2:7617114:7620018:1 gene:LPERR02G10160 transcript:LPERR02G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIVETRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKSGKSDKEIYKKLEDEFGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGIPLTPREKETMLDILTPPPPAKKWWWPGK >LPERR02G10170.1 pep chromosome:Lperr_V1.4:2:7618872:7623482:-1 gene:LPERR02G10170 transcript:LPERR02G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQERISASGGDDEQCTTPPQSFSLMKTTEAAVKLAPWRRRWPARMSWLVKAAAVAVAVLIAVVVLLSYASSGDNDEMPDSLFTTRAPANLTDDQLLDGLLTNEFSSQSCRSRYEFADYHKKKKPTHSKPSPYLISKLRQHEAIQKRCGPGTAPYSKALRRLHSSSSSGDAAADSGEECRYVVSISYRRGLGNRILAVVSAFLYAVLTSRALLVAPYDGDLADLFCEPFPSATWLLPDADRHRFPLRQRLSDLDSKSKDSLGTILRKNDNNNNNATSLTYVYLHLDGGADFHDKLFYCDDQQLGLLRHVPWLLMKTDSYIIPGLFLVPSFQHELDRLFPGDVDKDAVFHHLSRYLLHPTNPIWHAITTYHRANLAAAARLVGMQIRVYHKETPPVSRVVLDQILACARLAHIFPPPPNTSVLVTSLNPWYAERISAGGEGEYSSLAVHQPSHEGEQRMGDAEQDRRALAEMHLLSTCDALMDTGFSTFGYVAAGMAGARAWVMPRRPWWEKEAAAEVPDPPCAVAASPEPCFHSPSYYDCAARRDYGDIGKVLPYVRRCEDVSWGIKLVGGSSL >LPERR02G10180.1 pep chromosome:Lperr_V1.4:2:7641688:7642955:-1 gene:LPERR02G10180 transcript:LPERR02G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPDNADLVAVVREHDPFGRDVELFRRHRYSSGEGQVVKITEGAKLADGLIKESDYKLVKTRFSAFFATQLDSVLRTSGIKNSIIVGVQTSNCIRQTAFDAASLNYDKVSVILDSTATPKPEVHECEYLFFTHPLQYHHYSFPHQSIYIYV >LPERR02G10190.1 pep chromosome:Lperr_V1.4:2:7644665:7648412:-1 gene:LPERR02G10190 transcript:LPERR02G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSGDQWNETALLVIGMQKDFVDTTMCKRALPAGEAIVPIVTEAVDVARQRGIFIVVREHDPSGRDVELYRRHRYSSGQGQVVKGSEGAKLAEGLIIKESDYKLVKTRFSAFFATQLDSVLRTSGIKNLVIVGKHLYPPIEMDTAFDAVSLNYDKVSVILDATAAPEPEVHEQNIRDMKKIGVVTPTFEEWRR >LPERR02G10200.1 pep chromosome:Lperr_V1.4:2:7669793:7673976:-1 gene:LPERR02G10200 transcript:LPERR02G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGGDQWSETAMLVIDMQKDFVDPTMSKVALLSGEAILPAVTEAVVVARKRGIFIVWVVREHHHSGRDVELFRRHFYTDGKGLAVEGSEGAELADGLVIKESDYKLVKTRFSAFFATNLDSVLKTSGIKNLVIVGVQTPNCIRQTAFDAIALDYDKVSVILDATAAAKPEVHFKHKRYEEYRSGNTNLGRMEKMNLLYFRLLSPVSLLPRATRAANSLRRSHLHPPSTVATLFFASVAVGVVAAPVEVLGSAPDLASGCGGCSGR >LPERR02G10200.2 pep chromosome:Lperr_V1.4:2:7670228:7673976:-1 gene:LPERR02G10200 transcript:LPERR02G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGGDQWSETAMLVIDMQKDFVDPTMSKVALLSGEAILPAVTEAVVVARKRGIFIVWVVREHHHSGRDVELFRRHFYTDGKGLAVEGSEGAELADGLVIKESDYKLVKTRFSAFFATNLDSVLKTSGIKNLVIVGVQTPNCIRQTAFDAIALDYDKVSVILDATAAAKPEVHFKHKRYEEYRSGNTNLGRMEKMNLLCTVAS >LPERR02G10200.3 pep chromosome:Lperr_V1.4:2:7669793:7673976:-1 gene:LPERR02G10200 transcript:LPERR02G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGGDQWSETAMLVIDMQKDFVDPTMSKVALLSGEAILPAVTEAVVVARKRGIFIVWVVREHHHSGRDVELFRRHFYTDGKGLAVEGSEGAELADGLVIKESDYKLVKTRFSAFFATNLDSVLKTSGIKNLVIVDFRLLSPVSLLPRATRAANSLRRSHLHPPSTVATLFFASVAVGVVAAPVEVLGSAPDLASGCGGCSGR >LPERR02G10210.1 pep chromosome:Lperr_V1.4:2:7686604:7698360:-1 gene:LPERR02G10210 transcript:LPERR02G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAAAAVAVPRVAPPTRRRAAPRRSTLLSSRAAAGTSTAAAPVYAPTPRDRPLRTPHSGYHYDGTARPFFEGWYFKVSIPECRQNFCFMYSVENPLFRDGMTDLDRLVHGPRFTGVGAQILGADDKYICQFSDKSNNFWGSFCCLQLVFLFLFIWNFSSASKYVDLQINKLHFDRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEISLTAAGGLRKIGLGDTYESPSLIGVHYDGKFFEFVPWTGSVSWDISPWGHWKMFGENKNHLVEIEATTTEPGTALRAPTIEAGLVPACKDTCYGDLKLQMWEKGDGGGKGKLILEATSNMAALEVGGGPWFNGWKGTTISNEVVNNFVGTPVDIESFFPIPFLKPPGSSMYAYELLKYRKEMNAHSRNAGYVHWFIWSELACGNIPVITLSSGSSVIKKINEASTDRNKDFVDPAMRSPMLVDGGEAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRFYSGGKGPTVKGLKGAELADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYDKVTVIIDATAAARPEIHLCE >LPERR02G10220.1 pep chromosome:Lperr_V1.4:2:7710156:7716446:-1 gene:LPERR02G10220 transcript:LPERR02G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHGWWSVNNLRPPFVEQLHNPSLFLPSSTTTTSSSSSSSSPLHSFSSLLLSNHYPLPTTTSTAPWHDSGSRQGQLLQDPWSHIVLSGLANGEEGYNKNWESQVLFPTTPAAAAGAEADHGSNSYNIYSTTTSHGSSTSDDASQFAARSSTPWGGIHHHHNACLQQQAAAASSPRSSCITSTTSLGSNMLEFSNNSSPRECISTASGAAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKALSSPYLGNGGSNGGGGAGSKQQQPDQASRVQGERNIIFPEDPGQLLHDNAMKKRGQPDQDESCEEPKTIDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >LPERR02G10230.1 pep chromosome:Lperr_V1.4:2:7735718:7746596:1 gene:LPERR02G10230 transcript:LPERR02G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARPLHHAARRLLLLPPRLRGFSSSSAAAAASSREPLHVCVVGSGPAGFYTADKMLKGHQGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCSFYGNVTLGMDVSLSELRKTYDIVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLCCTSELAATDIADYALDALNGSTIRKVYLVGRRGPVQAACTAKELREILGGLKNVRVFINEADLLKSPSDEEEIRNSRIQRRVYELLSKAAIAHKGNNNNDQKELHFVFFRRPTRFLPSEDGSTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSVGYKSLPVEGLPFDKYRGVVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDEKKGLFMGPSDSKKEGRRGLLEILEQKNIRFVPFDGWEKIDCKEKIAGELKNKPREKITSWNELLMAAN >LPERR02G10230.2 pep chromosome:Lperr_V1.4:2:7735718:7741054:1 gene:LPERR02G10230 transcript:LPERR02G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARPLHHAARRLLLLPPRLRGFSSSSAAAAASSREPLHVCVVGSGPAGFYTADKMLKGHQGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCSFYGNVTLGMDVSLSELRKTYDIVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLCCTSELAATDIADYALDALNGSTIRKVYLVGRRGPVQAACTAKELREILGGLKNVRVFINEADLLKSPSDEEEIRNSRIQRRVYELLSKAAIAHKGNNNNDQKELHFVFFRRPTRFLPSEDGSTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSVGYKSLPVEGLPFDKYRGVVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDEKKGLFMGPSDSKKEGRRGLLEILEQKNIRFVPFDGWEKIDCKEKIAGELKNKPREKITSWNELLMAAN >LPERR02G10240.1 pep chromosome:Lperr_V1.4:2:7742122:7747446:-1 gene:LPERR02G10240 transcript:LPERR02G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPENELKAREDVQRHVGWILDNNPAITKFTEDDIRRITSNYSTPIGRGGYGEVFRGVLDDEDDVVAVKRYIHKKLREHFLTEVSIHSQINHKNAVKLKGYYLGGNTLIIVTEYMSNGNLDDALQNSSISIPLSTRLGIAIDCAEALSFMHSMHLSNGSPVCHGDIKPENILLATKLTAKVADFGLSRSLLGGIIQYTSHVKGSFDYMDPIYFQQRRRLIPKSDVYSFVAVLLELISRKRSKDRSSSLIGTFTRACAKGRGITELCDAGIANTSNIKVLEEIAKLATKCLTLDTDRQPQMNDVAKYLHILRDRMEGQEKTAWPFFWEHNNNIKSFTEHDIERITLNYSTLLGKDQYGEVYKGVIHDDNTAVAVKRYIQEDLREQFMAEESIHGQINHENAVKLVGYCIGENALMLVTEYISNGCTGQVILRSYDEKIGQSPASGSLAYMDPIYLQEGRLTPKCDIYSFGVVLLELIARKRIQQGELNLIGAFSKACANKEGLRELFDTEIAKRTDIKILEEISKLATECLTLDIDRRPKIYYVVEHLKMLKVQIKGQEKSAGPFFQRPKNHEIQIFAEKDIERITGNYSTPIGRGAFREVFRGFLENEDDIVAVKRYIYNISREEFMKERASGNEKVLRKLFDAEISNGDNMKILKEIAKLATECLSLDIDRRPQINYVTENLRIFQAQIKGQEKAAGPFFQGPQNHDIKIFTEMDIQRITSNYSTLIGRDDSIVCHGDIRPGNIFLDASLTAKVTDFWNAKLLLGGLTCYTSSVMGSIDYMDPILLREGRITPARKRTKEGQVSLIEAFSGTFAKEKGFNGLFDAEIANMGNVKILEDIAKLAIKCLTLEIDRRPQINDVAKHLLVLWKSLRGGEGLPKLDIVRIFTKVELSEVTENYSHLLGIGPCYKVYKGTLEDNTVVTVKKYSVVNETTKEECSNAAMILSQIVHKNIIRLLGCCFEDKITALVCEYAAKGKVSDILDGGDDFPLELRLKIAAKTAEALEYLHEPTTGANIFLGDNFMPKFTGFANSRRLSNV >LPERR02G10250.1 pep chromosome:Lperr_V1.4:2:7748949:7751749:-1 gene:LPERR02G10250 transcript:LPERR02G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVASEELLLVLLLSWQCLFCLANPTVDRHAEALLQWKSGVDWDGFDCLQSWSNATSPCNWAGIGCSSLVPHGHHQRDAILVVTNITLEFCYISGSLNKLRFAELPHLVHLDLHYNFLSGLIPSDIGDLSELSFLDLSDNMLSGPIPPSIGNLTNLVSLDLSHNSLSDGIFNFSPGILHNLKYLDLSHNNLAGLIPSSLGNLGRLYHLDLSFNKFSGHIPHELGMLHSLAVLYLNYNNINDSIPQSIGNLTRLEALDLSANEMGVGSIAVVCWRRKLTKGGIKSKPEDLLSIWNFDAKVAFPEILNATEDFDEKYCIGVGGYGSVFRAEIPGKGIFAIKLLHRMEDHFDIGAFLAEIEVLTKIKHRCIVKLHGYCSHSQCKFLVYDLIDRGSLASIWHDKEMVKKLDWPKRVTVVMAAQALSYLHHDCDDPIVHRDIKSSNILLDNSFKAYLSDFGMAKNLKHHSSSWSTIFTGTCGYIAPELSSIMVSTEKSDVYSFGVVALEMVMGKHPGDLLLPFFCRTEHHMKLKDILDQRIVAPTSDEEKDVILLVLVAFACLQICPKGRPTMQQVYQAFTARNLPAPILKPLNEIKLQHFHDVCGTIKNI >LPERR02G10260.1 pep chromosome:Lperr_V1.4:2:7752512:7753697:-1 gene:LPERR02G10260 transcript:LPERR02G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSMAAVSAALPAASSLTSVVEPAGRPSSCRDGEPTYAAAPAGWNSGAEEVGLLLLLDPSTRSMPESSQSNGQFLQEEDPPLFWKVEYLRLKTANHGNTTAVED >LPERR02G10270.1 pep chromosome:Lperr_V1.4:2:7756529:7756900:-1 gene:LPERR02G10270 transcript:LPERR02G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLIALVVVAGITAAAAAGSAPPATGGWVPIADIGSNFYRQVANFALVMRMLVLRGAEELTLVEVVAGSVQPAGAGNNYRLLMRAADGGGAVGRYEAVVWGVPRSTAWTWKVLSFRRVAGD >LPERR02G10280.1 pep chromosome:Lperr_V1.4:2:7761668:7767197:-1 gene:LPERR02G10280 transcript:LPERR02G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILSEQQVNKGSLKKLGLQTNLIEHDTRITKWPDESHDLDDYQHINKVPEEELQPLINQVRSMLSSMEDGAITASAYDTAWVALVPRLDAGGEGPQFPAAVRWIVGNQLPDGSWGDVELFSAYDRVINTLACVVALTKWSLEPDKCRRGLCFLKQNMWRLAEEEPETMPIGFEIAFPSLVEAARSLGIDFPYDHHALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQSSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHLWVVDRLERLGISRYFQREIEQIMDYVNRYWTEDGICWARNSNVKDVDDTAMAFRLLRLHGYNVSPRVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEEILQRARIFSYEFLREREAQGALHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYLDQYGGNNDVWIGKTLYRMPLVNNTAYLELAKQDFNRCQALHQQELHGLQKWFMESDLEAFGVAPEDVLRAYYLAAACIFEPTRATERLAWARVSVLSNIISRHFYSDMSSMKRMERFMCSSLYEENGNVLWLEGYAKDEILARALCQVIDLLAQEAPPVREGPKCIHNLIKCAWIEWMMQHINMKDDGYGKCRVIHPGSCTIHNKETCLLIAQIIEICAGRIGEAASMINETEGAWFIQLASSISDSLHHKNLLSQDTKKNETKIIQIDKEIELDMQELAQYLLPRSDDKRTNNKTKQTFLNIVKSCYYAANCAPHMLDRHISEVIFEHVI >LPERR02G10290.1 pep chromosome:Lperr_V1.4:2:7790631:7795103:1 gene:LPERR02G10290 transcript:LPERR02G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGRSAGGGAQAAVAEQIAQAVQSTSNLLQLMEESSPAQTHLAKLPKKLLAKASLAKNTGQVLHQLPTVISSLDAYMDASLQSATQIKTVTQLLSNMENNQLRSILPASRLEKAEKNSETGKVRVE >LPERR02G10300.1 pep chromosome:Lperr_V1.4:2:7796572:7799160:1 gene:LPERR02G10300 transcript:LPERR02G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELPNLSARFVGADFRDLKPGRRHATASEVARAGRGGVGAALGRPMGCASVAWTDLLCTHRQLWICKGGGKVVHSPVIFVQK >LPERR02G10310.1 pep chromosome:Lperr_V1.4:2:7803328:7806835:1 gene:LPERR02G10310 transcript:LPERR02G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRGGGEKKGSGRDSRVERGEERSRVSKKKKKINKKRRDRGESTLAPALHRNRARAGQGVASRLGIDLPRAEHGRRRPAHPHRLLDGFIQWQLQILGGNGMWCLCSSELQCSKHQEAIQHVRFHGKAH >LPERR02G10320.1 pep chromosome:Lperr_V1.4:2:7818858:7822295:1 gene:LPERR02G10320 transcript:LPERR02G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRVPPNKSLGYFQKLVKEPELGFDSMGLFSSSFKFLVGMGCGVYVAQNYNVPNIKMLFNTS >LPERR02G10330.1 pep chromosome:Lperr_V1.4:2:7823158:7825818:1 gene:LPERR02G10330 transcript:LPERR02G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLNEGGGWLYDGGGLDRGGEIVGGGLDGGGETAGGGLEGGGGWLYDGGGVDGGGETVGGGLDDGGETADGGLNEGGGWLYDGGGVDGGGETVGGGLDDCGETTGGGLDEGGEMAGGGLDKGGGWLYDGWGLDEGGETVGGGLDKGGETVGGGLDEGGGWLYDGGGLDGGGETVGGGLDGGGEITGGGLDEGGGWLYDGGGVDGGGGETVGGGLDRGGDTTGGGLDEGGDTMGGGLDKGDGWLYDGGGVIGGGETVGGGLDGGGETMGGGLDKGGGWLYDGGGVDGGGETMGGGLVIGGEMAGGGLDEGGVWLYDGGGVDGGGETMGGGLDGGGETTGGGLNEGGGWLYDGGGVDGGGATMGGGLDVGGGWLYIGGGLDGGVETEGGGLNGGGETVGGGADDGGGWLYDGGETVGGGLDGGGEVEGGGLNEGGGWLYDGGTVGGLDGGGETDGGGVGGGGETVGGLDGGGLDRGGETAGGGLDGGGDIEGGELVGGGGWLYDGDIVGGLDGGGETVGGVLDGGGDTEVGGLDGGGGWLYDGDTVGGLDRGGELMGGGLDDGGDTVGGGLDVGGGWLYEGATVWGVNDGGDAVGGLDSNGDGGGDMVGGDDDGGDS >LPERR02G10330.2 pep chromosome:Lperr_V1.4:2:7823158:7825818:1 gene:LPERR02G10330 transcript:LPERR02G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLNEGGGWLYDGGGLDRGGEIVGGGLDGGGETAGGGLEGGGGWLYDGGGVDGGGETVGGGLDDGGETADGGLNEGGGWLYDGGGVDGGGETVGGGLDDCGETTGGGLDEGGEMAGGGLDKGGGWLYDGWGLDEGGETVGGGLDKGGETVGGGLDEGGGWLYDGGGLDGGGETVGGGLDGGGEITGGGLDEGGGWLYDGGGVDGGGEAVGGELDGGRETAGGGLDEGGGWLYDGGGVDGGGETVGGGLDGGGETTGGWLYDGGGLDEGGETVGGVLDGGGETAGGGLDEEGGWLYDGGGVDGGGETVGGGLDRGGDTTGGGLDEGGDTMGGGLDKGDGWLYDGGGVIGGGETVGGGLDGGGETMGGGLDKGGGWLYDGGGVDGGGETMGGGLVIGGEMAGGGLDEGGVWLYDGGGVDGGGETMGGGLDGGGETTGGGLNEGGGWLYDGGGVDGGGATMGGGLDVGGGWLYIGGGLDGGVETEGGGLNGGGETVGGGADDGGGWLYDGGETVGGGLDGGGEVEGGGLNEGGGWLYDGGTVGGLDGGGETDGGGVGGGGETVGGLDGGGLDRGGETAGGGLDGGGDIEGGELVGGGGWLYDGDIVGGLDGGGETVGGVLDGGGDTEVGGLDGGGGWLYDGDTVGGLDRGGELMGGGLDDGGDTVGGGLDVGGGWLYEGATVWGVNDGGDAVGGLDSNGDGGGDMVGGDDDGGDS >LPERR02G10330.3 pep chromosome:Lperr_V1.4:2:7823158:7825818:1 gene:LPERR02G10330 transcript:LPERR02G10330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLNEGGGWLYDGGGLDRGGEIVGGGLDGGGETAGGGLEGGGGWLYDGGGVDGGGETVGGGLDDGGETADGGLNEGGGWLYDGGGVDGGGETVGGGLDDCGETTGGGLDEGGEMAGGGLDKGGGWLYDGWGLDEGGETVGGGLDKGGETVGGGLDEGGGWLYDGGGLDGGGETVGGGLDGGGEITGGGLDEGGGWLYDGGGVDGGGEAVGGELDGGRETAGGGLDEGGGWLYDGGGVDGGGETVGGGLDGGGETTDGGGETVGGGLDRGGDTTGGGLDEGGDTMGGGLDKGDGWLYDGGGVIGGGETVGGGLDGGGETMGGGLDKGGGWLYDGGGVDGGGETMGGGLVIGGEMAGGGLDEGGVWLYDGGGVDGGGETMGGGLDGGGETTGGGLNEGGGWLYDGGGVDGGGATMGGGLDVGGGWLYIGGGLDGGVETEGGGLNGGGETVGGGADDGGGWLYDGGETVGGGLDGGGEVEGGGLNEGGGWLYDGGTVGGLDGGGETDGGGVGGGGETVGGLDGGGLDRGGETAGGGLDGGGDIEGGELVGGGGWLYDGDIVGGLDGGGETVGGVLDGGGDTEVGGLDGGGGWLYDGDTVGGLDRGGELMGGGLDDGGDTVGGGLDVGGGWLYEGATVWGVNDGGDAVGGLDSNGDGGGDMVGGDDDGGDS >LPERR02G10340.1 pep chromosome:Lperr_V1.4:2:7830573:7832275:-1 gene:LPERR02G10340 transcript:LPERR02G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDGDDDVDLRTAAAKVSLDKAFPKDDDGDVPVKDDRRLRRLAQTRAENKEELRADHRRIRQAEVVSTVDEEKERQEGEQEEEDDEEAQEERRRRIRARQLLREQEELLPQEEEEPIEEEEELEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKALNEEANIEDVDTDDETNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRQNKQKWKFMQKYYHKGAFFQEGSDDVIQSAGRDDIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSTNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >LPERR02G10340.2 pep chromosome:Lperr_V1.4:2:7832278:7832879:-1 gene:LPERR02G10340 transcript:LPERR02G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPGPDPHSPKPNPSSGGGARQRAASKNSPSPCIKVGHIWIRPGRRQRPTSIGLSSDSVLSLALVGSLPSPRPPPLATSPFAPPVASIPRAAPPPASYLLLLLPCRLPSSSSTRESTHGGGEIGEEEEEGGSK >LPERR02G10340.3 pep chromosome:Lperr_V1.4:2:7830573:7832879:-1 gene:LPERR02G10340 transcript:LPERR02G10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPGPDPHSPKPNPSSGGGARQRAASKNSPSPCIKVGHIWIRPGRRQRPTSIGLSSDSVLSLALVGSLPSPRPPPLATSPFAPPVASIPRAAPPPASYLLLLLPCRLPSSSSTRWSTNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >LPERR02G10350.1 pep chromosome:Lperr_V1.4:2:7835758:7839380:1 gene:LPERR02G10350 transcript:LPERR02G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTASYTMGSSKLLLVVFAAAAAAVIGLAGASFRDECDIPWEPQNARFTDDGSGLSLSLVSNYSGTYNYNLKYLLQLINSRLNGMIKFLIRCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYANGKGDKEMQFKPWFNPTDGYHNYTVSWTPCMIVWYIDGTPIRVFRNYEENNGVAFPTKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNVNVCECSTNDCATQCASAFSSGSSACQLSDSELAQMRKVQDEYMIYNYCVDFKRYNGSVPVECSLSQQ >LPERR02G10350.2 pep chromosome:Lperr_V1.4:2:7835758:7839380:1 gene:LPERR02G10350 transcript:LPERR02G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTASYTMGSSKLLLVVFAAAAAAVIGLAGASFRDECDIPWEPQNARFTDDGSGLSLSLVSNYSGTYNYNLKYLLQLINSRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYANGKGDKEMQFKPWFNPTDGYHNYTVSWTPCMIVWYIDGTPIRVFRNYEENNGVAFPTKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNVNVCECSTNDCATQCASAFSSGSSACQLSDSELAQMRKVQDEYMIYNYCVDFKRYNGSVPVECSLSQQ >LPERR02G10350.3 pep chromosome:Lperr_V1.4:2:7834581:7835955:1 gene:LPERR02G10350 transcript:LPERR02G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMVTAAVMVAMAMVIGVAYGGNFYSECDATWEPQNCWSSDNGNSLSLALVSNSSGSMIRSKRQFIYGVVSTSVQLVPGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGFHNYTISWTPCRIVWYIDGTPIRVFRNYENSNGVPFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLDVCECYGGDCIYGCSAAFAGQGCAAQQLTADEMGKMQWVQSNFRIYDYCVDYKRFYGQMAPECSLPQY >LPERR02G10360.1 pep chromosome:Lperr_V1.4:2:7840853:7850621:1 gene:LPERR02G10360 transcript:LPERR02G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASSNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLSDREGYQYDHVFDWTLLKCKQSQKQKAQQLVFHVQRKLLVSLKQSNAQQLECNSDQLRTAAQRVGTSTDNVLLQSTSFGHADAPRRNTKVSKTQGLPSGSTIDVILVLIPGNGPGSRTTCPPDSFTTSAVLYTSSVPIAICVNMVRF >LPERR02G10360.2 pep chromosome:Lperr_V1.4:2:7840853:7850621:1 gene:LPERR02G10360 transcript:LPERR02G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASSNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIELVFHVQRKLLVSLKQSNAQQLECNSDQLRTAAQRVGTSTDNVLLQSTSFGHADAPRRNTKVSKTQGLPSGSTIDVILVLIPGNGPGSRTTCPPDSFTTSAVLYTSSVPIAICVNMVRF >LPERR02G10360.3 pep chromosome:Lperr_V1.4:2:7840853:7846579:1 gene:LPERR02G10360 transcript:LPERR02G10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASSNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLSDREGYQYDHVFDWTLLKCKQSQKQKAQQHFLLHDH >LPERR02G10360.4 pep chromosome:Lperr_V1.4:2:7846884:7850621:1 gene:LPERR02G10360 transcript:LPERR02G10360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMAWRRGSSKGEGEAMGKEQAEQRATAQTLGALVFHVQRKLLVSLKQSNAQQLECNSDQLRTAAQRVGTSTDNVLLQSTSFGHADAPRRNTKVSKTQGLPSGSTIDVILVLIPGNGPGSRTTCPPDSFTTSAVLYTSSVPIAICVNMVRF >LPERR02G10370.1 pep chromosome:Lperr_V1.4:2:7850319:7852246:-1 gene:LPERR02G10370 transcript:LPERR02G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPIAAGRGAAAVAACASPSVPRRSLLLSTAAAALQPEPVRLMSRSASGGVAAAAKLRASPPDAAQAATAAAAAAFGSKEEAFAWAKSDNRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGAGFGHFGVAVDDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKVISNLQILTHLYPLASQANGIAIHYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLSGGQVVREPGPLPGISTKITSIVDPDGWKSVFVDNIDFAKELE >LPERR02G10380.1 pep chromosome:Lperr_V1.4:2:7858549:7859127:-1 gene:LPERR02G10380 transcript:LPERR02G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRVKLQRILNDAHRRATFKKRLKGLTKKASELATLCGVDMCFMVYGEGAVEVTEVWPSVPEATSVLERFKAMPDLERYKKTTNLEGFLKESINKLQKELHKVKSEADKSETKLLLVEALDGRHLTFERLTVEQLTSLARMVDARLKIVNNRLEELRGQGLLLAPTPLLAKGPLPHDTVDYTNVEKPPSQ >LPERR02G10390.1 pep chromosome:Lperr_V1.4:2:7861874:7862509:-1 gene:LPERR02G10390 transcript:LPERR02G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVVAIVFSPAGKAYSFGHPSVDYLLERFMGPNTGNNNNINTNTSRPGVWVKRGRVEGELNRQCGELRTMVEAQRMRQETMEEMMDKERAARNRAAAWIDTDVAHMSAEELVGFGAGLMAVQGAVVARADQMLRDALLIGRRPTNNTSRGKGAGFFPVRRF >LPERR02G10400.1 pep chromosome:Lperr_V1.4:2:7877874:7887600:1 gene:LPERR02G10400 transcript:LPERR02G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKVCSCPHYKDCLCFCGCFGQTPDSPRESRGKSSRGRGKTDSSASDSSSDDLEEDDNGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRKLCENLLRDNRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTILVRGKTIYIANTGDSRAVIAEKRGEDIVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIANPEIFILELNANHPFFVLASDGVFEFLPSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHTNGLTDMESTPTVMRVSLQPSQQVVELVGSESPTTISLNPKNQRSRQDLSRARLRALESSLENGQLWVPPSPLHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDVVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYNKPLQASVRAVATGTLWALKREDFRGILMSEFSNIPSLKLLRSVDLFARLPMLQLSQLANSLVEVTFADGQMIVDKNDNASSLYIIQRGQVKLKLAADQVNSDAWDLFSSQTNVVQSSQEDGNYIFEIDEGGHFGEWALFGETIPFTAISVGDVTCSTIAKEKFDSTVGPLPKVSQSDSKLKDSLVPKGHDADDDSSFRRAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYINRVKDLHKEAQVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHSPLNESSARFYAASVVVALEKLHQKSILYRGVSADILMVDRSGHLQLVDFRFAKKLEGERTYTVCGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESALEPFAKIAKGHLVMPATFSVEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIGWEQIADGTLTVPEEITSRVDSYVETLTEDLTASPFMPSEERADQAAPEWIQDW >LPERR02G10400.2 pep chromosome:Lperr_V1.4:2:7877870:7887600:1 gene:LPERR02G10400 transcript:LPERR02G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITRDSNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRKLCENLLRDNRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTILVRGKTIYIANTGDSRAVIAEKRGEDIVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIANPEIFILELNANHPFFVLASDGVFEFLPSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHTNGLTDMESTPTVMRVSLQPSQQVVELVGSESPTTISLNPKNQRSRQDLSRARLRALESSLENGQLWVPPSPLHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDVVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYNKPLQASVRAVATGTLWALKREDFRGILMSEFSNIPSLKLLRSVDLFARLPMLQLSQLANSLVEVTFADGQMIVDKNDNASSLYIIQRGQVKLKLAADQVNSDAWDLFSSQTNVVQSSQEDGNYIFEIDEGGHFGEWALFGETIPFTAISVGDVTCSTIAKEKFDSTVGPLPKVSQSDSKLKDSLVPKGHDADDDSSFRRAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYINRVKDLHKEAQVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHSPLNESSARFYAASVVVALEKLHQKSILYRGVSADILMVDRSGHLQLVDFRFAKKLEGERTYTVCGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESALEPFAKIAKGHLVMPATFSVEVVDLITKVLLEVNENARLGAKGAESVKRHPWFDGIGWEQIADGTLTVPEEITSRVDSYVETLTEDLTASPFMPSEERADQAAPEWIQDW >LPERR02G10410.1 pep chromosome:Lperr_V1.4:2:7888294:7893462:1 gene:LPERR02G10410 transcript:LPERR02G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALAANLKLWEPRYCHLKVINLEFAQDIDDWHFVRLKEMGCTSLQELELLNLNACQKVSDKGIEAITSLCPNLQALSIYWIVGLTDLTITHIVKNCKHIVDLNLSGCKNISDKGMQLVADSYEGLKKLNITRCIKLTDDGLQEVLQKCCSLESLNLYALSSFSDKVYKKMGFLTNLAFLDLCGAQNLTDDGLACISRCVGLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKCCSRSLTTLDVNGCIGIKKRSRDDLIQMFPFLRCFKVHS >LPERR02G10410.2 pep chromosome:Lperr_V1.4:2:7889755:7893462:1 gene:LPERR02G10410 transcript:LPERR02G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRFASLTPRYCHLKVINLEFAQDIDDWHFVRLKEMGCTSLQELELLNLNACQKVSDKGIEAITSLCPNLQALSIYWIVGLTDLTITHIVKNCKHIVDLNLSGCKNISDKGMQLVADSYEGLKKLNITRCIKLTDDGLQEVLQKCCSLESLNLYALSSFSDKVYKKMGFLTNLAFLDLCGAQNLTDDGLACISRCVGLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKCCSRSLTTLDVNGCIGIKKRSRDDLIQMFPFLRCFKVHS >LPERR02G10410.3 pep chromosome:Lperr_V1.4:2:7888294:7893462:1 gene:LPERR02G10410 transcript:LPERR02G10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALAANLKLWEPRYCHLKVINLEFAQDIDDWHFVRLKEMGCTSLQELELLNLNACQKVSDKGIEAITSLCPNLQALSIYWIVGLTDLTITHIVKNCKHIVDLNLSGCKNISDKGMQLVADSYEGLKKLNITRCIKLTDDGLQEVLQKCCSLESLNLYALSRCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKCCSRSLTTLDVNGCIGIKKRSRDDLIQMFPFLRCFKVHS >LPERR02G10410.4 pep chromosome:Lperr_V1.4:2:7889755:7893462:1 gene:LPERR02G10410 transcript:LPERR02G10410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRFASLTPRYCHLKVINLEFAQDIDDWHFVRLKEMGCTSLQELELLNLNACQKVSDKGIEAITSLCPNLQALSIYWIVGLTDLTITHIVKNCKHIVDLNLSGCKNISDKGMQLVADSYEGLKKLNITRCIKLTDDGLQEVLQKCCSLESLNLYALSRCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKCCSRSLTTLDVNGCIGIKKRSRDDLIQMFPFLRCFKVHS >LPERR02G10410.5 pep chromosome:Lperr_V1.4:2:7888294:7889750:1 gene:LPERR02G10410 transcript:LPERR02G10410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALAANLKLWEAFSGDDLTKPSTKTRRPSGDGATDQQAQEQGGLTLGLGHNVTANGDTRQGSGRPARRCPALARFKMGKKSGR >LPERR02G10420.1 pep chromosome:Lperr_V1.4:2:7893937:7896313:1 gene:LPERR02G10420 transcript:LPERR02G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPDHMYSGRKSDKIKCPTVKSSLLLAVKLPSHSPAPRRLATGGGFALQSSKQIQSRVGSQTNDQPSHDTSGLQRLRDTLPAMYDLIDRAEWRSHEYCVDELLPKLKDAVYDTDDLLDVFRWYEEKVALEGNASRSPFIEFYNSVIQGSFNKVNDTIERLNNISSQLVKMGLCEVQRQFHKSVRPETSSFPNEKKIFGQDQELKKVIELLGLPVIGTKVHSKRKRGNGAVDASTSILPILPIVGIGGLERQHDFDVKRLTKEVIQSSCGKEDDALKENGQCWNRFLAPLTDVLEGSMMLITTRSSKVSKVDLEQYQIFHIINCILVR >LPERR02G10420.2 pep chromosome:Lperr_V1.4:2:7893937:7895448:1 gene:LPERR02G10420 transcript:LPERR02G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPDHMYSGRKSDKIKCPTVKSSLLLAVKLPSHSPAPRRLATGGGFALQSSKQIQSRVGSQTNDQPSHDTSGLQRLRDTLPAMYDLIDRAEWRSHEYCVDELLPKLKDAVYDTDDLLDVFRWYEEKVALEGNASRSPFIEFYNSVIQGSFNKVNDTIERLNNISSQLVKMGLCEVQRQFHKSVRPETSSFPNEKKIFGQDQELKKVIELLGLPVIGTKVHSKRKRGNGAVDASTSILPILPIVGIGGLERQRWPNICAIINE >LPERR02G10430.1 pep chromosome:Lperr_V1.4:2:7896736:7900631:-1 gene:LPERR02G10430 transcript:LPERR02G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFISKVVVEYCPLDPRKAAAVEILAQCNGRKAKDSNPACSVELRRLPSNPPDGDSAAVAAAATGGGTSPHPPPRVLVTYFNGVEESFVAAEGSTAQGIRDQILERGRLLDTEQLFRDGGEKWPVVIPEEELTMSFPGIKPKKAEEKPQA >LPERR02G10440.1 pep chromosome:Lperr_V1.4:2:7920113:7927111:1 gene:LPERR02G10440 transcript:LPERR02G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREMRELRLAVLIIAVSLPSFSASDRQGDALYDMKQKLNATGNQLSDWNQNQVNPCTWNSVICDSNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNLNGSIPGSLAKISSLTDIRLAYNNLSGQIPGPLFQVARGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDSFSERNVLGQGGFGKVYKGLLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLDWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDGEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRREEYERMQRRFDWGEDSVYNQEAIELSGGR >LPERR02G10460.1 pep chromosome:Lperr_V1.4:2:7937000:7937413:-1 gene:LPERR02G10460 transcript:LPERR02G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLANFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >LPERR02G10470.1 pep chromosome:Lperr_V1.4:2:7942413:7943686:-1 gene:LPERR02G10470 transcript:LPERR02G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGASAKKAAAAELIKSFDESKEGINGEFEEKKADLQPKVVEIYESAPAPLKVLIKDRTKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGITKVGPSLLSGPIFATFEKVSTLLPAAAEEEEIKPKEAEAPAAATAAEEKKEEEAAAAAGEEKKEEAEEKKEEETAAAPAADEPAAAAAVEAEAAAVTEETAAEATPAKAEEEAPKA >LPERR02G10480.1 pep chromosome:Lperr_V1.4:2:7949917:7951986:-1 gene:LPERR02G10480 transcript:LPERR02G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFDAAGVYPMTLPSPRMVGSAPRDHSSPPPDKPSGFRKSINPIYADAIDATTPNPPNSTASNIAVDDDDYSVSAPPLVRRHTGGDGRWEAIRAASERESPLSLGHFRLLRRLGYGDIGSVYLVELRGGAGAGEVFAMKVMDKGSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRSGAGLVHGCVLPRLLPRKNRTNNKKQNKEVTSTATDVNNNSKKPPPLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPENSSSSSQSPAISAAAKDLIRGLLVKEPQSRLAYRRGAAEVKQHPFFDGVNWALVRSAVPPFVPEVEDAAVGCRSPLAAADVNGGKTPKKGATGGKASSSPRGDPSYVEFEYF >LPERR02G10490.1 pep chromosome:Lperr_V1.4:2:7954251:7961534:1 gene:LPERR02G10490 transcript:LPERR02G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAATTTTAAAARRLAPPQASRCRAVPSTLSVAGRCRRGRARSVRASASIDQEVKERAPSPAAGKSTQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIQKDGAMQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRMCKISELFVYQNFSRVPVETVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAYEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKISKKNR >LPERR02G10490.2 pep chromosome:Lperr_V1.4:2:7954251:7961858:1 gene:LPERR02G10490 transcript:LPERR02G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAATTTTAAAARRLAPPQASRCRAVPSTLSVAGRCRRGRARSVRASASIDQEVKERAPSPAAGKSTQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIQKDGAMQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRMCKISELFVYQNFSRVPVETVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAYEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVECTLKSSATRELRTWRELADSDNNAAHRTTSVI >LPERR02G10490.3 pep chromosome:Lperr_V1.4:2:7954251:7962730:1 gene:LPERR02G10490 transcript:LPERR02G10490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAATTTTAAAARRLAPPQASRCRAVPSTLSVAGRCRRGRARSVRASASIDQEVKERAPSPAAGKSTQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIQKDGAMQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRMCKISELFVYQNFSRVPVETVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAYEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKISKKNR >LPERR02G10500.1 pep chromosome:Lperr_V1.4:2:7962018:7963019:-1 gene:LPERR02G10500 transcript:LPERR02G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAESHGLSPGFKFDPTDEMLVELYLLPYLRYRRLPYPGLVFIDDPRRLPPWLLLRHHGRGGEGEDAYFIAPAAGGKGRQPRSVAGGGRWVKQRTNSSSEKKGSNNNDLVVFGGEAFRWEKSSLNFHCHADRRSGSTGWVMHEIAVFPPPGSAVVVDHRVCHIAFTGHGQNRNRVPDGYVVDDFDVQMNEEQQQEQQSNQQLLPVNQDCFLQQQPLAGSNLERFLDQEQQSNQDQGYYGGDYFADQQNLQCFVQEQQQQINQGQELAYAYGEQNQCYTAPEQQQQSNQGDDVTQWQQPDGLDGGGALSWREYMDDAEMQHIIDGLLADFTL >LPERR02G10510.1 pep chromosome:Lperr_V1.4:2:7966964:7967910:-1 gene:LPERR02G10510 transcript:LPERR02G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAEAHGLSPGYKFEPTDEMLVELYLLPFLYNNGQLPLTGVVFPDDPTQFPPWELLDRHDKGDEGLAYFIIPTPASGRGGRRQVSRSVAGGGKWIKQRRRKAFAWEKYSLSFHRRARGGGSTGWVMHEYAVVGVGATHSLCRVAFTGHGQNRQRVVDGYVVGDVDVDEQMVEYAANGEEEIGYDCVQAVLEQDRNVNAYEQHGQEAVAGSQQFVDQEMGNQDQDYNGYCYYNEQSQQQQEARLVDGGGTVNEDGELAVATPAPARHYNGPVPVLDDAFMENMRARLTAVVLQDKNENLAWMMTIINRGAK >LPERR02G10520.1 pep chromosome:Lperr_V1.4:2:7970624:7974094:1 gene:LPERR02G10520 transcript:LPERR02G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGIAGPTYLNPVDWNCEHNRRSVAACLVQAVYVLERDRQLNRQSVEALAPPWWEYFHFELIRKLVDDADLSIFGAIFEFNPPSNKEASADKAPRFVIAFRGTITEKETISRDVALDLHLVQNGLHRTSRFTIAMQAVQNVASVFTGSTIWLSGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSNQRSVADDSFNILTSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNISPSPDFKYAHGISQWWQPELNLQCSKYRYS >LPERR02G10520.2 pep chromosome:Lperr_V1.4:2:7972121:7974094:1 gene:LPERR02G10520 transcript:LPERR02G10520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGIAGPTYLNPVDWNCEHNRRSVAACLVQAVYVLERDRQLNRQSVEALAPPWWEYFHFELIRKLVDDADLSIFGAIFEFNPPSNKEASADKAPRFVIAFRGTITEKETISRDVALDLHLVQNGLHRTSRFTIAMQAVQNVASVFTGSTIWLSGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSNQRSVADDSFNILTSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNISPSPDFKYAHGISQWWQPELNLQCSKYRYS >LPERR02G10530.1 pep chromosome:Lperr_V1.4:2:7977167:7978575:1 gene:LPERR02G10530 transcript:LPERR02G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFKVSKNGPDLLSVVIIHFVPCTAPSRASKAHDQDEGSSRQPEDVEWPLAVGGAARLRRHGRPCDSLRPQLHRLHCLTSLQRLPTDVRSEAQIGVKRIGELDIAGLGAAGVISMVRQLGEAASPEEGLKKLQELSESLKAALL >LPERR02G10540.1 pep chromosome:Lperr_V1.4:2:7979807:7996170:1 gene:LPERR02G10540 transcript:LPERR02G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELERPPRSSPAAAATAISAVLGEILLRVGFPTTLVGAAAVSRRWLRVASDPSFLRRFRHLNPPRLLGFYVTTSSIRGTPLASEFVPIPMRPQPPELASVLRRASFSFDNIGVKGTWMLPTILDCRNGNVFIHDAKQQLYGVHSPLYPERGMAIAPIPPPGVIVKDRAGEILSKQGNHGLEYFWVRWLLMRLDQGINNVIINVYLLRDGAWHIQNTYPSVQFPQLLPMSNFVLAHDKIYRVSATVRRILVLDMMSSSMSTIKFPDGVVLCHEEDNILSPADVSGIYLIHVQGLQLRIWLHRGDSGGSVGNWLLIDTICLQKMCAKLAVSCQMNEDELTITIKGVGDNAEFVFLKMGKHVIYLDLKSRESRKVYELENDHYLDRVYPFLMIWPPTFPEKGSSNGGWQQDNTNVIDQQMRFYDDCVPPRYHLLVRDYGHLDMLDDGLPHGIDCMCKRNDGHTKALARRTIGGLMVAFLRAKLEHNDKDRSQGRDHPHSPSLLPPATAMSAVLSNDDLLGEILLRVGFPTTLVRASLVCKRWLRFVSHPAFLRRFRKLHLPRLLGYFVATSSSDHPRFVPMQPPPPEPACGMTGGGEHERPPRPSPAAAAIAISAVLGDDDLLGEILLRLGFPTTLVRASLVCKRWLRVIASEPSFLRRFRQLHPPRLLGYYVGTVSPDSPNFGRPLRQQQFVPLLPQPPELACVLRRARFSLDAVANGRRNMRTTVEGCRDGGVIITLSDPVMGGSVFQEVHSPLCPERGVVTIPLPPISAEADTTYRFTRISSKQGSCGVYFWLSMQFNRHAKATIHVYLWHDGAWHNHFSATIQLPEYPSESCVVLTNDKIYMMDYTSSIFVLDMTSSSLSTIELPDVVARDGIDGSTFISPADDSGVYLVHLEEQKLQLSCLAPQGRHRQC >LPERR02G10550.1 pep chromosome:Lperr_V1.4:2:7996208:8009908:1 gene:LPERR02G10550 transcript:LPERR02G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLGVVNQITEDGHVVVAGIIEVGFNAEFVFLHIGTSMYFLDLKSRELPSTMLGNDDLLRLGFPTTLVRAALVSRRWLRLIASDPAFLRRFRELHPPRLLGFYITTRSVPRRNFGPHFVLMPSSAARGSASAPTTNWDSKSTDILDCRHGSVLVSQKFVTKLIRPLRPKCGADTFQLPPIQNLEEDTIHTVRQILSREDSNGVLFRQRQLPTFMCCINVSGTSIHTSATTQLLELPTLPLESYIVLSGDKIYMPNTVGTILVLDMTSSSFDIVELPDGARYDDGDIVLSRIFSFTFGFIRGTVAVPAIGFWWIPFIIDVGDNAEFVFFGVGRSVFYFDIKRRELQMKWRKLQKQVEKELLEGQVAEKLRGRPAVLPSRPSSAEAVLGNDDLLGEILLRAGGGLPAATLVRAALVCKRWYRLASLPPFLRRFRELHPPGLLGFYVVPIYLTPRGGEPPPSFVSLPDQPPEVASVVARARARLDLRSYGKVNEPWAFARVVCCNGHIHARLSASMVVCSPLFPERDCVFLPVNPKTHRCYHREFSCSCHGFVPNQGRDGLLYIFLTIGNNDKQTMAYVYKVRGGKPPTWSVFASTRDDRPFQVQSNVILFDGTVYTTGILSGNKVVIAILDISSASFSFIDLPEFSPEKDLDIHAAHCLLSPSDDSAGIYVVCLIETQLYIWLHKMSNNGESNWMLVDTISLDSFSADKGGQDADKSISIHAVTKNAGYVFFEWDDGIIYSLDVRARSAHKVYEYDASPHRPIYMIRPFMMVWPPTFPVLREEGDTHNEHPTRKSQRSQCGVYTRTRKSSYKEVDYAVYKCFLLSYIN >LPERR02G10560.1 pep chromosome:Lperr_V1.4:2:8023930:8025288:-1 gene:LPERR02G10560 transcript:LPERR02G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRTILPGRVDARQRTIGCRRPKATDPACRARPIPSRAGDSPALSSRVLLFSPQISSPDICSPALVFSPELVRRDVHARRSLEEERIEDIGCGGDSPMPNPRCRSGRSGGDGGGGSLIWAWLVLVPRSAVVLWVVVSGYHRRPILADALAGEWRQRRLSRLYLSEVTSPACQRLNLGLMRPAVIPLLLDHGNP >LPERR02G10570.1 pep chromosome:Lperr_V1.4:2:8025694:8026935:-1 gene:LPERR02G10570 transcript:LPERR02G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTLGAGATAAAPTGPSFGRGSLGRGVGLPPPPYFSRRVGGSVAAATTLPAFSIGACQRLNLGLMRPAVIPLLLDHGLFRNH >LPERR02G10580.1 pep chromosome:Lperr_V1.4:2:8032063:8033147:1 gene:LPERR02G10580 transcript:LPERR02G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISSPSFSFIDFPEFPLEKDLDIIDGVHCLLSPSDNSAGIYVVYLIETQLYVWLHKLSNNGESNWMLVDTIRLDNFWADQGGQDADKYVRIRAVTKNVGYAFFEWDNGIIYLLDVRARSAQKLYEYDVSLANRPVYMIRPFMMVWPPTFPVLREEGDTHNEPPTRYIYVRDYIIYICFLFSCLTIFNLLLFQLVMFI >LPERR02G10590.1 pep chromosome:Lperr_V1.4:2:8055532:8058157:1 gene:LPERR02G10590 transcript:LPERR02G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMKPACMRTQRLALGKAATPPPCATAHNWVQYYSWHICCILQAQLRSVVNHLPTVPLETVSRKPWHAYKGHRATRL >LPERR02G10600.1 pep chromosome:Lperr_V1.4:2:8070460:8071686:-1 gene:LPERR02G10600 transcript:LPERR02G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEAMRPSSPAALADDDLLGEILLRLDFPTTLVCASLVSRRWLRLASDPAFLRRFRRLHPPRLLGFHHTARRDEQPEFVPLPQPPELARVLRRRGRFRLGAASGTDSAVVFDCRNGRLLRAEFPPPPTPLRFGVVTPLQPARQPPDLPPILHSQLHQRGVEVSNDACLLLPGCMLLPEDGGDDRSYTLVVLARRGSELFARAVFVRGESNEIRTSGSIQVPEHWPKKMRSGLLFHGDLYMLGMQHILRLSLESMSLFLIKLPDGVEQLPCMGNLELLREGDSGLHLVHLKGFQIHVWLCVIDGSDNGGHWELVDTMSLHQSFGQVVRSDWESGDPSVGDAFVSLRRVEDNAELLLIVDRVIFHIHIKNRTASKVFEMKPEDDICSELYPFMMIWPPTFPALNYDHD >LPERR02G10610.1 pep chromosome:Lperr_V1.4:2:8076739:8077223:-1 gene:LPERR02G10610 transcript:LPERR02G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRQIMWAEDATIHPSSEAVFLINKATRCVAGDGYETRDRRGGRSEEARWTIYHPGLTCKSGQTVNL >LPERR02G10620.1 pep chromosome:Lperr_V1.4:2:8082573:8083322:1 gene:LPERR02G10620 transcript:LPERR02G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRVKLQRILNDAQRRATFKKRLKGLTKKATELATLCGVDMCLIVYAKGAVEATEVWPSVPEATSILERFKAMPDLDRYKRATNLEGFLKDEIDKLQKELHKVKSEADESETKLLLFEALDGRHPSFEGLSIEQLTNLAWMVDARQKIVNNRLEELRRHGLLPAPTPLLAMGKLPHDIVDNTNVEKPPNQQEGSLMDVGRSIDSLGHDGFGATSGSSTAGPNGDMLQVFSSGAGSSSANQGFLFPPK >LPERR02G10630.1 pep chromosome:Lperr_V1.4:2:8086185:8087350:-1 gene:LPERR02G10630 transcript:LPERR02G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPGETLHVSYTLFAEDVGDDSSFTLVRFVRNDEEVFAQAFCVSRDFGSGKCPQIGIGSDSGKLGKKGYILGLNLASMRLFLIKFPDGLEQLDCMENLENLELLRAGDSSLYLIHLKGFQIHVWLHTSHTDIGGNWELVDTICLCQSFGQASDPNFESEDDSIALHRVDDNAEVLLRINHVIFHIHILNRTVEKMVEIALELDRCLHIFPFMMLWPLPPSHNLAMIMIEVSAPASWPFDSRNLTYLP >LPERR02G10640.1 pep chromosome:Lperr_V1.4:2:8089388:8089726:-1 gene:LPERR02G10640 transcript:LPERR02G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLGNDDLLDEIFLCLGFPTTLVHATLVCKLWLRIIAYEPSFLRRFRRLHPPRLLGFYHVARRCMDTPMEFTPMPQLPPELDSRSAAPDSGWLLLIPHASFVDATTGASS >LPERR02G10650.1 pep chromosome:Lperr_V1.4:2:8099172:8099627:-1 gene:LPERR02G10650 transcript:LPERR02G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGNDDLLEEILLRLGFPTTLVHAALVCKRWLRIIAYEPSSRNAATGASSELIFLQASCSSASSARCIRRGRQPFSHFPGEIVPRERGQTLHASSTLLEEDVGDDSSYTMVFLRKDQEVFAETLCVKDGILDRENVRKSAPVAIQESS >LPERR02G10660.1 pep chromosome:Lperr_V1.4:2:8101692:8101922:1 gene:LPERR02G10660 transcript:LPERR02G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVKGFDNGRHGQRRRGGSGAGGVANRRDGVDGRLLRWRARRPLARARRTSATTRGLGENGGGGELAGTATVGV >LPERR02G10670.1 pep chromosome:Lperr_V1.4:2:8105304:8106494:-1 gene:LPERR02G10670 transcript:LPERR02G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLGNDDLLGEILLRLGLPTTLVRAAAVSTRWLRLASDRAFLRRFRRLHPPRLLGFYHTSRERYEDELPIFIPLPQPPELDVALRRAVFLLPGAAASSSPDNPVILDCRNGRILAAEFPREGPRARIFSPMHPAREPPVLPLIHELPRQPGQILFASCILLPEEDGGDDLSYTLVEFLRRDQEIFAKAISVKAGVLDVNVRESPSMGIQECTIRNTRRTSLFNGNVYLLGEKEHILGLNLASMRLFLIKFPDGVEQLDKVGNIELLRADDSGLYLLHLKGFQLHVWLYTSDSDTDIGGTWELVDTICLRQSFGQVAEPNWESGDALVALHRVEDNVEVFLRVDLVFFHIHIMNRTVKKVFEISPKAYKYFDIFPVMMLWPPTFPQLTYDHDQGE >LPERR02G10680.1 pep chromosome:Lperr_V1.4:2:8112896:8115294:-1 gene:LPERR02G10680 transcript:LPERR02G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHITARRLTLHVSLILVVVLPLCSLLSLAGANAAAASRHHHRLRFALNATAVHVGKALEALTDAAFAPSSADDKSTSSSSPASPLAAAARDDCAELLEDSLDLLAGAGDPAAAHDDALTWLSAALTNHDTCADSLHEAGTVTATAAPHLAAARAMVSDTLAMYSQAASAAMADTNNDGLAGVPVTNAGKSTKQKRRGFFPRWLSARDRRLLLGPAAPLVENADMVVAKDGTGTYATISDAVKAAPEKSDRRTVIHVKAGRYEENVKVGRKKMNLVFVGDGKGVTVVSAGRSVADNFTTFRTATFAASGSGFMMRDMTVENWAGPWKHQAVALRVSADRAAVYRCNVIGYQDTLYAHSNRQFYRDCDVYGTVDFVFGNAAAVLQRCNLWSRPSPLPGQKITVTAQNRRDPAQSTGLVIHACRLVPSLSPELPPAPATDTYLGRPWKMYSRVVVMMSYIGGHVAPEGWLAWNGNETFAVDTLYYGEYMNYGPGSGVARRVTWPGHRVINDSAEAERFTVGRFISGASWLPATGVSFLSGLSL >LPERR02G10690.1 pep chromosome:Lperr_V1.4:2:8122193:8126608:1 gene:LPERR02G10690 transcript:LPERR02G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRRAPSPPPPPPPEAESSDESSSGDEEDEGKDSPAAAPVPPQKKPSDHGAASSEGEEDDEEEVDSDTDTYAQGFQLRKVGGAPDDEEGEEVGEEEGGSSESEPEDPEPVKKKEAAKKSKSEEAKKKRAADEPAPSGKAKKAKGEKEAASPPPEPVPSGKSKKAVAKGAAVKKTAAAAAAAEPAPSGKAKKAKVEPDPSPSSKSGKAAVSRWTTADELKILDILVAHFKSHGTQLNVDGIISAAGDSLDRKGVKYSVMYEKVRSLKQRYEATAKKVAGGGALPAKEDDLRMYQLSSEIWGEDAKEAAAAALASQKNGTPAKSKKGQAKKDKVDGDSKEAATAVNEKGGSLEENKRGKSTKQKTGMDTKIGSSKEVALTASPKKKGDHKEKLDEEAKGGTSKDTATNASHKDGKDGSLVGSKRGKADKEKLDGDKGTVMPKETTAIANRDDGTLVVSKKGKADKEKLDGHIESVIPKEADADTQNGGILTKEGNNHKDEIDRDAIVTSIRREFVELQSLYPNLASFVNRIEVQHPCGSTFKRAFEFISDDKASTMESKIKKQKISEVKMQLRLADTRKDMVGLKIQVSEDLLLGRELRKMMRESGPLD >LPERR02G10700.1 pep chromosome:Lperr_V1.4:2:8129445:8136996:-1 gene:LPERR02G10700 transcript:LPERR02G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSFAMDQPLLDRAASSSSEDTSSTRSLFADAGFFSMITFSWMGPLLDLGRRKALDLDDVPILDDNDSVHGILPNFEAKLLSVTATGKYTDVTTIKLVKALVLTTWKLLLATAVFALIRTVSSYVGPYLIDYFVSYLNQSTRSTKEGYLLVLTFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYKKGISLSDQSRQSSSSGEIINTVSLDAERVADFNWSMHELWLFPIQIILAMLILYSSLGVGAFAALAATVLTMLASMPIGKIQKNYQEKMMNAKDARMRAMSEMLQNMRILKLQGWEMISLSKIMELRKVEMNWLKKDVYTSAMLLSVFFGAPAFVAMVTFGTCMLLGIPLETGKVLSALATFRQLQGPINSIPDTVSLIIQIKVSLDRICSFMGLEELSNDVVTKLPRGTTDVSIEVRNGHFSWNTSSEVPTLRNLNFRIQQGVKFAICGTVGSGKSSLLSCILGEIPRLSGDVQICGRIAYVSQSPWIQSGTIEHNILFGTKMCRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRVQIARALYQDADIFLFDDPFSAVDAHTGLYLFKECLLGFLASKTVVYVTHHVEFLPSADAIMVLKDGQITQVGNYSEILNSGEEFTKLVFSHKDALSTLESLEHPSGNPESSHHPSDSGRIFFSEDKQMGENEGAEGIVQNGQLVQEEEREKGRVGLNVYWKYITMAYSGALVPFIFLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSSTMVLVYVALAFVSSLFIFVRSHLLVMAGCKTAMMIFDKMHRCIFRASMSFFDSTPSGRILNRASNDQSTVDTRIFDLMGYLLFPAIEILGTVILMSRLAWPVFVIFVPIIAASLWYQQYYIDGARELQRLSGICRAPVMQHFTESVTGSNIIRCFGKKRQFINSVSHFMDNVSRPSLYNAAAMEWLCFRLDILSSLIFTFALILLVSLPSTLIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENIMISVERMFQYMAIPSEPPLTISENRPNSLWPTNGEIELRNLHVRYATQLPFVLKGLTCTFPGGLKTGIVGRTGSGKSTLIQALFRIVDPCIGHVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWETLDSCHLGDEVRKNELKLDSTVTENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFSECTVITIAHRITSVLGSEKVILLDNGKIAEDDSPARLLEDKSSLFSKLVLEYTKGSKY >LPERR02G10700.2 pep chromosome:Lperr_V1.4:2:8129445:8136996:-1 gene:LPERR02G10700 transcript:LPERR02G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSFAMDQPLLDRAASSSSEDTSSTRSLFADAGFFSMITFSWMGPLLDLGRRKALDLDDVPILDDNDSVHGILPNFEAKLLSVTATGKYTDVTTIKLVKALVLTTWKLLLATAVFALIRTVSSYVGPYLIDYFVSYLNQSTRSTKEGYLLVLTFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYKKGISLSDQSRQSSSSGEIINTVSLDAERVADFNWSMHELWLFPIQIILAMLILYSSLGVGAFAALAATVLTMLASMPIGKIQKNYQEKMMNAKDARMRAMSEMLQNMRILKLQGWEMISLSKIMELRKVEMNWLKKDVYTSAMLLSVFFGAPAFVAMVTFGTCMLLGIPLETGKVLSALATFRQLQGPINSIPDTVSLIIQIKVSLDRICSFMGLEELSNDVVTKLPRGTTDVSIEVRNGHFSWNTSSEVPTLRNLNFRIQQGVKFAICGTVGSGKSSLLSCILGEIPRLSGDVQICGRIAYVSQSPWIQSGTIEHNILFGTKMCRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRVQIARALYQDADIFLFDDPFSAVDAHTGLYLFKECLLGFLASKTVVYVTHHVEFLPSADAIMVLKDGQITQVGNYSEILNSGEEFTKLVFSHKDALSTLESLEHPSGNPESSHHPSDSGRIFFSEDKQMGENEGAEGIVQNGQLVQEEEREKGRVGLNVYWKYITMAYSGALVPFIFLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSSTMVLVYVALAFVSSLFIFVRSHLLVMAGCKTAMMIFDKMHRCIFRASMSFFDSTPSGRILNRASNDQSTVDTRIFDLMGYLLFPAIEILGTVILMSRLAWPVFVIFVPIIAASLWYQQYYIDGARELQRLSGICRAPVMQHFTESVTGSNIIRCFGKKRQFINSVSHFMDNVSRPSLYNAAAMEWLCFRLDILSSLIFTFALILLVSLPSTLIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENIMISVERMFQYMAIPSEPPLTISENRPNSLWPTNGEIELRNLHVRYATQLPFVLKGLTCTFPGGLKTGIVGRTGSGKSTLIQALFRIVDPCIGHVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEVTENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFSECTVITIAHRITSVLGSEKVILLDNGKIAEDDSPARLLEDKSSLFSKLVLEYTKGSKY >LPERR02G10710.1 pep chromosome:Lperr_V1.4:2:8151326:8155053:-1 gene:LPERR02G10710 transcript:LPERR02G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIKLVVLLAIFVIVHTPSSASARPGGCDAPASPSSTQDDDEPFVDVSAYPVKWSGKSAARNVPTPSSAPPTDQQDGDDPFVDASTYPVKWSAKSAARNVPTPSSAPPPDQQDGDDPFVDVSAYPVKWSGKSAARTAPTLSSAPPPDHHGHSVMHVQRGMLFAMKSLIPGALLAEGTKLQAHGGVAAQPPTRLATRADADAVPFDYSELDAILDRFGIHPGSKKAAQVSETLLTCAEMTAASTASEDEPRICATSHEAVVEFAAFALGAGATPRAVTTVVHGRGDEPRRYMVAPNGVARIGGDATVPCHPMPYPYEVFYCHRPKDAVALSVELAGVGGDDDGDAPLGATAIAMCHMNTTMWDGRYFDLLGARRGDAICHYMPQSYVLWVARLHIWTGLYGNANI >LPERR02G10710.2 pep chromosome:Lperr_V1.4:2:8151326:8155053:-1 gene:LPERR02G10710 transcript:LPERR02G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIKLVVLLAIFVIVHTPSSASARPGGCDAPASPSSTQDDDEPFVDVSAYPVKWSGKSAARNVPTPSSAPPTDQQDGDDPFVDASTYPVKWSAKSAARNVPTPSSAPPPDQQGHSVMHVQRGMLFAMKSLIPGALLAEGTKLQAHGGVAAQPPTRLATRADADAVPFDYSELDAILDRFGIHPGSKKAAQVSETLLTCAEMTAASTASEDEPRICATSHEAVVEFAAFALGAGATPRAVTTVVHGRGDEPRRYMVAPNGVARIGGDATVPCHPMPYPYEVFYCHRPKDAVALSVELAGVGGDDDGDAPLGATAIAMCHMNTTMWDGRYFDLLGARRGDAICHYMPQSYVLWVARLHIWTGLYGNANI >LPERR02G10720.1 pep chromosome:Lperr_V1.4:2:8158776:8159521:-1 gene:LPERR02G10720 transcript:LPERR02G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPRLPPELDSVLRHGGFSCGADAYDTKDTGIIHYHNDRVLLGMCHGKGVYRIGLHSPLHPERGLIAVPNPPIEDSGDDEEFTEGIHLHERRILFGQDGYGVAGLFAVSVKFGIQGKVSARVYTVRDDEWRIYVTVATQLPDVASVYDVVMVQGRIFIAGGTPSTVLVLDVMSSSFYTIPLPDGVICGDQNHDVMFGRAGDDSGVYIAEMKEPLLQLRIWLHKVGTSTGWTLVDR >LPERR02G10730.1 pep chromosome:Lperr_V1.4:2:8161887:8163001:-1 gene:LPERR02G10730 transcript:LPERR02G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHPHSPSPLPPATAVSAVLSNDDLLGEILLRVGFPTTLVRASLVCKRWLRFVSHPALHPPRLLGYFVATYSRDGTTDAHLPNHPHFIPVQPHPPELDPVIRRGRFGCGAEAYDTFRTGIMNCRNDHVLLCMRRRRRDDDVDDPVYEIGVHCPLHPERGLVMFPQPPIYDDDDPFDEEFVEGMCHHQRGLLLREDNGDVLALSVKFGIQGKVCARVYAARGGAWKMHTTVMTRLPDVASVYDVVMVQDKVFIAGGTPRTVLVLDVMSSSFYTIPLPDGVVCGNRKHDIMVGRAGDDSGVYIAEMKEPLLELRIWLHKVGGDGWTLVDTIGGLPVMCADLGIDGVGGDTRILYLNAVGDDAVLDDG >LPERR02G10740.1 pep chromosome:Lperr_V1.4:2:8163482:8164756:-1 gene:LPERR02G10740 transcript:LPERR02G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVAWFIGGTLPARFGGGDCGGGRVGQLAPGCQIARPNINSHVHTDSYKENNFYEDDDRKEANIKSDTNIDSYEDNDLDEDDDLDEDIFYHFPDRVACVRCDRNHDVMFRRENDDSGIYLVNMKEPQLCIELYKIGRIGWTLLGTIGLRGMCNDLHINNVDGDGCAPYLDVKNRQLHKLYNLTRKDQHLRIKQFIMIWPPIFPVQ >LPERR02G10750.1 pep chromosome:Lperr_V1.4:2:8165652:8166076:-1 gene:LPERR02G10750 transcript:LPERR02G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPMHVEVDGVAPLPAVSAVLENDDLLRKILPPSPSPPPSFMPSSPAGAGFTSFLTLSSSDDSVTSTRLTSLASMHAVLTHTHFVLMLPQPSKLDSVLRCGSFSCGTNDIYETYCISFA >LPERR02G10760.1 pep chromosome:Lperr_V1.4:2:8167341:8169951:1 gene:LPERR02G10760 transcript:LPERR02G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGIGSSVIVPRNFRLLEELERGEKGIGDGTTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETRVVDPKKFGLLSNWQRDYTMENILVQLKKEMEAPHNRKLVQPPEGTFF >LPERR02G10770.1 pep chromosome:Lperr_V1.4:2:8171501:8171743:1 gene:LPERR02G10770 transcript:LPERR02G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRVKLQRILNDAQRRATFKKRLKGLTKKATELATLCGVEMCLIVYGEGAVEATEVWPSVPEATSVLERFKAMPDLDR >LPERR02G10780.1 pep chromosome:Lperr_V1.4:2:8171750:8172256:1 gene:LPERR02G10780 transcript:LPERR02G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEGFLKEGIDKLQKELHKVKSEADESETKLLLFQALDGHHPRFEGLTINQLTNLAWMVDAHLKIVNNRLEELRRQGLLPAPTPLLATGTLPHDIVDYTNVEKPPSQQEGSLMDVGRSIGSLGHDGFGASSGSRSSTAGPNGDMVQVFSSGAGSSSANQGFLFPPK >LPERR02G10790.1 pep chromosome:Lperr_V1.4:2:8178816:8188102:-1 gene:LPERR02G10790 transcript:LPERR02G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHWAEGSKHTSVQASHSSPFSRYQATSARRSRQRNIVDLLCQREISPRTKHQAKKLWSTPPASDADSIELQYAATDAKLGIYSWAESQSLHHWSAKYCPLSPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKELSGHRRTPWVVRYHPLHPDILASGSLDQEVRLWDAKTSDYRPIASIAFHAMGEILAVASGHKLYIWNYTNKRGAVAAPTVILRTRRSLRAVHFHPHGAPYLLTAEVEIIYSFSAFWLSFHIFMVSIKLIQMFKFQVNNLDSADSQLTLATSSGYSNYPSAVFFANVNSRNCTHHESNSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRSSLAQNSLTPDVESQQPDQFVTPMDVCPGEPSISCGIAGTASAFGSSGVEMQIDRGQPSSRLQGSSSTSNHESSTDRDNAQMTSLSNSMPIPATSQPSGPDRRHGMPKNAFTTSSGLDVHMLLRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQSQTGLHSALLNNSTLGGASPSIPIDIGSSRGSNRHYASRPHFVDLRGVGSSLLGPQIDEAEIHATSLGVGSELTTSLLAAGASELPCTVKLRIWQHDIKKPGFPLQADACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVCDMELVRVLPSVEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGLNSFIEENMLEVVTIYQHLNLFLIFVTVEFLFPNTTHYPVHWVEILEEYLAVYVPFDHEEFSSANFIIIQMSLKDYIIRFQAAASNSIMADNAEMGLLECLEDRYGTVA >LPERR02G10800.1 pep chromosome:Lperr_V1.4:2:8193046:8195950:1 gene:LPERR02G10800 transcript:LPERR02G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYGVKGRNKKKRKLDDGVGASHETPVEAEEAEELPPPPPEEQEEEVKEGEGDEAADGLPVVPRTVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGARKIGLSYSAEKSVNLFDYVAKSSDDEPIVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >LPERR02G10810.1 pep chromosome:Lperr_V1.4:2:8196871:8198857:-1 gene:LPERR02G10810 transcript:LPERR02G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIAILSPLLDQLALVPYGEPQSQQLDSILIFLAMPGTSPMPMRVLQSDSVASVKLRIQQSKGFVTSKQRLVFSGHELSLNNSHVRDYGLADGNVLHLVVRLSDLRAITIESANGKKFQFQVESRSNVGYLKDKFAAESGEQPGSLKDQRIVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETIVKVFTPKEDDNLQIDALDLEKPASAPVEPIIGNRKVKLSPAVMEMICSTVAGLENGHLPVMSTEGSGGVYFMKDSPGQSRVAVFKPIDEEPMAKNNPRGLPLSIDGEGLKRGTRVGEGALREVAAYILDHPIDGCKSCDAAGFSGVPPTTLVRCFHMGKGSKIGSLQLFVNNNGSCEDMGPGAFSVKEVQKIAVLDIRLANADRHAGNILVCQDGDHLKLVPIDHGYCLPEKFINSPYLFLMQFEDCTFEWLYWPQARKPFSAETASYIRSLDADKDIALLKFHGWALSPQCARVLHISTMLLKKGAERGLTPYDIGSILCRQTVKKESEIEAIIEEAEDAVLPQTSENTFLETISEIMDFHLDKLAVRFKISE >LPERR02G10820.1 pep chromosome:Lperr_V1.4:2:8199056:8199924:-1 gene:LPERR02G10820 transcript:LPERR02G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCAIGVTAGEGVTIPPPSPDFVGSVLNELGSNKGL >LPERR02G10830.1 pep chromosome:Lperr_V1.4:2:8202632:8207556:-1 gene:LPERR02G10830 transcript:LPERR02G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEAAGAVVRSSPDRESIRKKPRVVDEDMPLADAFVVAGAAADSAGSPSSGCAPSVQLLDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRKVYTFDPAHRRQDLLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARVSGSSASSRAGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQATQLTYGASENGKIHGLAEYIAQEEMDRKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVPSCGGEITWMDLLCGEPNSIHGDEEYLARVSWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSRYPGGFIWASEKSGFRHLYLYDKNGVCLGPITQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWTLPLQVPKRLTRGTGRHSVILDHQLLRFIDVYDSVKSPPVILLCSLLDGSVIMPLYEQPLTVQPLQKFQQLSPEIIQIEAKDGTVLYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWIGTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFSCAVSGAPVTAWDGYDTFYTEKYMGLPSEHCDAYNYGSIMHHVKNLRGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLADRIYMEERIWDFVERNL >LPERR02G10840.1 pep chromosome:Lperr_V1.4:2:8211987:8218564:1 gene:LPERR02G10840 transcript:LPERR02G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSPRLPLLLAVALLALPLSAPPRCLCSAKPHPPTAAPSAAAAARTPLVPAMFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVDNVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLALALGEEATTDLFRKSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNQILVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIEYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDRYGFLTTIDACCGLGKYGGLFMCVLPQMACDDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQKMVKLK >LPERR02G10850.1 pep chromosome:Lperr_V1.4:2:8217714:8219747:-1 gene:LPERR02G10850 transcript:LPERR02G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLSWLKKPHLYEMVLAILNESDLLLSDEAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >LPERR02G10860.1 pep chromosome:Lperr_V1.4:2:8237815:8238405:-1 gene:LPERR02G10860 transcript:LPERR02G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILHESDLFLSEEAVEQIVDQTFKQADLNGDGKIDPDEWKMFASKNLALLKNMTLPYLKDITIVFPRFVLNSQVGEEEL >LPERR02G10870.1 pep chromosome:Lperr_V1.4:2:8243581:8246458:-1 gene:LPERR02G10870 transcript:LPERR02G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAAPSCQAPPTGLPLLTPPPGARAVAFGRRLRPHGTATASASKGHLFLIQLHPRYSNLEAVGDVTAVPEDCTESMPSSSGYTNVDMGASSHEDNRAGRVGKTNQTSKENHKMIKISDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEAVKSTSSDKIGAVVAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGENVDTLYTSPEALHTWARAIVDAYYNSREGTLLRQARDLMNPKIIKRIEEILKLIKDKYL >LPERR02G10880.1 pep chromosome:Lperr_V1.4:2:8257688:8267992:1 gene:LPERR02G10880 transcript:LPERR02G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSILAVMVAMASFGLLLAGASAAAPGAAPQPVPLFVFGDGTLDVGNNNYLPGGAENGDPPRADHPYYGIDFPGGKATGRFSNGYTMADFIAKYMGYEMSPPAYLSLSGRPINMDGFAGVNYASADAGIRNSTNADLTIPLSKQIANFAETRSQMESKLGSLSLRDLLSRSLFLIAVGTMDLLPDCNYFLTFPPSPPDNKTEVQRLMEFYNASVASLYDMGARRIAVVNVGLIGCGPTVDTRRNGDGGCDAGMNGLAAEFNAALRTLLAGLRSQKRGLRYSLADFYGFSNATFANSSAAGFVNIDSSCCPGPCMPTPYFNQPPCDNRDQYWFWDGGYTTEQAAKVAAAAFFNGTRKFTTPIDTMGGFLLTIAAAVVTFQVMLLAATVAGCNTTRRQVPAIFLFGDGTLDVGNNQYLPPAEAGDPIRADHPYYGIDFPGGKATGRFSNGYTMADFIVSVSLPRQLRFFSDTVKKLNSSMAKHKLTELLAKSLFLISAGTSDLGRIANLLNLPSPDNETDVPHLVASYGNEIVRPLYGLGARKFGIINAPPIGCEPSVTEQPHGPGGCDGQMNALAREFNDGLRSLMAGLSSSLTGLRYSVADFYGFSNATFMNPSANGPCNAQFVEQFGAPCENRTEYWFWDAGHTTEKAAKLAAAAFYDGQRRFTMPLIFKRLVGIH >LPERR02G10890.1 pep chromosome:Lperr_V1.4:2:8273318:8275015:-1 gene:LPERR02G10890 transcript:LPERR02G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFDPNTNPWHNPRQGAFRECRFDRLQAFESLHRVTSEAGVTEHFDEKNEQFQCIGIFVVRRVIEPQGLLVPRYTNTPGMVYIIQGLYTNHTSKDFVNVYINYGSTCFQVKARAKNLGMNTKRFTSFRQGDIVALPSGVAHWFYNDGDTPIVAIYVFDINNNANQLEPRHKNAILSPFWNVNAHSLVYMIQGRAQVQVVSNLGKTVFNGVLHQGQLLVIPQHYVVLKKAEREGCQYIAFKTNAKSIVSHLAGRNSILRAMPVDVVTNAYHALRTTGETNSVPSLQDFNNRHTLDSQMSLKTRL >LPERR02G10900.1 pep chromosome:Lperr_V1.4:2:8275776:8280976:1 gene:LPERR02G10900 transcript:LPERR02G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQPSTTEPSLLAALLSGPGLLPGVTASLAMEAAGGGDDAFWQPPRCLLMTMMRHIRIKVLSLVMIMTSFKVHSCVEGNKTAVPAIFMFGDGVLDVGNNNYLPSDASQADYPYYGIDLPGSTPTGRFSNGYNMADFIAKDMGFNMSPPAYLSLNGSVKMDANFTGMNFASAGAGIQTIINDAATMPFWYQVSQFNDTIGQMEANLVHQKLRKLLVKSLFLISVGTMDLSVNLWRPMRNPLRPSPFNIPNTISSYKAIITKLYGLGARKFGIINVQPFGCQPWARQFSKNHVECNDTMNNLAREFNDELKPLFFNLSSQLNGLSYSIADLYGFSNATFMNPRAYGFVNINSTCCNPPCTPENDPPCENRKQYWFWDDSYMTEQADKLASAAFYNGPARFTVPINFKRLVKIK >LPERR02G10900.2 pep chromosome:Lperr_V1.4:2:8275776:8280118:1 gene:LPERR02G10900 transcript:LPERR02G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQPSTTEPSLLAALLSGPGLLPGVTASLAMEAAGGGDDAFWQPPRCLLMTMMRHIRIKVLSLVMIMTSFKVHSCVEGNKTAVPAIFMFGDGVLDVGNNNYLPSDASQADYPYYGIDLPGSTPTGRFSNGYNMADFIAKDMGFNMSPPAYLSLNGSVKMDANFTGMNFASAGAGIQTIINDAATMPFWYQVSQFNDTIGQMEANLVHQKLRKLLVKSLFLISVGTMDLSVNLWRPMRNPLRPSPFNIPNTISSYKAIITKLYGLGARKFGIINVQPFGCQPWARQFSKNHVECNDTMNNLAREFNDELKPLFFNLSSQLNGLSYSIADLYGFSNATFMNPRAYGFVNINSTCCNPPCTPENDPPCENRKQYWFWDDSYMTEQADKLASAAFYNGPARFTVPINFKRLVKIK >LPERR02G10910.1 pep chromosome:Lperr_V1.4:2:8281573:8282589:1 gene:LPERR02G10910 transcript:LPERR02G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAESQGLSPGYKFDPTDEMLVELFLLPFLRREGLPLSGVVFLHDTRILPPWTLLDRHGLDVVDGAGAYFISPAVARRQSRSVAGGGRWVKQQRALREGEVAVGGEAFRWVKSSFSFHRDGDGRSGATGWVMHEISVVPPPGSAIASTHTACRIFFSGKGRNRKRVPDGYVVGVNQEYAFVPQQQQSSQDCFLQEPLVESQQFLDQMQSNQGQEYGGACYTQQNNQDQEQSNQEYFPEAEQSNQQFLDQLLPALEQSNQDVYIDQNLCYIAPEQQQQQQQNNQELVCEDLGRLVFQGDATQWQQPDGLDGGGGALSWREYMDDAEMQRIIDGLLED >LPERR02G10920.1 pep chromosome:Lperr_V1.4:2:8284905:8285594:1 gene:LPERR02G10920 transcript:LPERR02G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKTTAAEAVGLSPGFKFEPTDEMLVEHYLLPHLRGENLPFAGVVFVDDPRKLPPWALLDRHGKGGDRDRDREGAYFIAPAAAVAKDGRLARSVAGGGRWVNQKKREGEGTVVVGGEAFRWVKSSFSFHRDGDRRRGATGWVMHEVAFVPPPGSAVAATHRACHIFFTSHGQHRKRIPDGYDAAVKKEPLEKPLDHQEQSNQDQEQSNHQDQEQRIERDGSGEAVSR >LPERR02G10930.1 pep chromosome:Lperr_V1.4:2:8286916:8290121:-1 gene:LPERR02G10930 transcript:LPERR02G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIECVSYSDSMDDDDDDAGVTHLPRPILLKPSSMSSAAAAAVNCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANSRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >LPERR02G10940.1 pep chromosome:Lperr_V1.4:2:8292495:8300566:-1 gene:LPERR02G10940 transcript:LPERR02G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVRSRAAAAAVALSAARRIHAGRACTAADDHGQTPGLRRPWSYFRRRQQQQEQASSESSLLPRAVAAPHPPPSRDVAGGGSDGGGEPPEIWRQPGETPGGGARGAELGRIDVVRVAATVGGDGGSDGSEGGAGWGGSNLGRRFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIHCDLLSSRSAGDCSETDSCASDTDGVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFIDLEKTISERRHDSSIGFRAPIRSNMRTGSVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAIAKNTGARGLRSILESTLTEAMYEIPETRTGKDKIDAVVVDEDSVGSTSQHGLGAKILCGEGALDLFLDEHNKELTRQQSEKLDGEPDIDTEAPSRVASM >LPERR02G10950.1 pep chromosome:Lperr_V1.4:2:8306041:8309000:1 gene:LPERR02G10950 transcript:LPERR02G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKQTDLDGHEHTMLHPDPTGQRVPNELEPATAATSTSPAAAIKSQHGTLHFHLPLHQSPAMPRPTAASSSSRRPPAPEGETPSNHRASSSSSSAESSVASLIDRATSTTDPSVDPSLLRAIKSAARASDGAIRDAFRILLSLISKPHAHVRLLAFTITDELFMRSKLFRSLLADVLDGFLPLVVGFRGAHPLPPPAASANLLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRSTVEARAAREARTQEILTAKVDRLRQTMAGIKAEIRSTIDEILNGLDIIRMESEKIENYANDEDEEQEIASLAMRSIRKASLMAGEWVPETQENEAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKELIDVKNEIRAVRNRCSELGLNLDNVHRRRGVQEEEEDDFWVEGNIEVPSPGGGDISADVASSSKDTKKGKMPMGGANPDDVKAPNAGSRSQNLDPEKAKLHAEAPVLPWSSVLDRWGSNGDAHVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYKEDPVEILPCHAPLKKGGLCQRRDLKVCPFHGPIVPRDAKGNPIAQSDDHCDAEGNPNGQTDGCYDAAAKPIEQNEGNSDARENMIDLDGSSDGEYMHESPPSRIANRNSDLSDSDAAHDLGNVTMEQLARQAVQNVRKRERDDKKAREKAQRARIRQHNQDVLREAALASTSRSSAAYDLPSPPVSRRGRRGKTKAPTLASMLKKKVTSKDRIAERLLNTRARDATIREVSQNEDMSYREAFPNQW >LPERR02G10960.1 pep chromosome:Lperr_V1.4:2:8313638:8318081:1 gene:LPERR02G10960 transcript:LPERR02G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTIARIRLENFMCHSSLHIELGQYVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAAALKDFIKTACSYAAIIVDINNQGEDAFKPEVYGDLIILERRITESSSSTVLKDQHGNRT >LPERR02G10970.1 pep chromosome:Lperr_V1.4:2:8344551:8345828:1 gene:LPERR02G10970 transcript:LPERR02G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRGRSPPSPSPATDAAAASSVLDDGDLLREILLRLESPTSLVRASLVSRRWLLHASDPAFLRRFGLLHPPRLLGFYVDSASLPRPRFVPLPSHPPELAAVVRRGSFDLAGTGGFDLGTGICHCRNGRLLVCHRRGVDSTLQLRRPLHPARDGEVISTFRTQPIPLPPNERRHSRYVLLPEDDGNGGGDGVACTVVTLVSSEREVFAKVEKILQAGNWDVAQTSAPIELPAQWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMRLFFIKVPDAVRYDCPKSFQLSVKLTQAEKSGLYLIHVEGFKIHVWLHRTDGNTAGNNWILVDTICLREVFGHLLKPSWESEDSRISLPGSGDNAEFVFLEVDGEVFCMHIMRRTVEKVYKMAMKGDFLFDIYPFMMVWPPIFPAWTKTHVQELSTT >LPERR02G10980.1 pep chromosome:Lperr_V1.4:2:8352512:8356676:1 gene:LPERR02G10980 transcript:LPERR02G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVPTTTPLLESGAGNADAAAVTTAGEAARMVWEETQRLWRVGLPIVVSSVSLFAICSTTNMFVGHLGNLPLAAASIAFSVFCTFSFGFLLGMGSALETLSGQAFGAGQVAMLGVYLQRSWIVLLAAGLLMVPIYLLAEPILLLAGQDADVAREAGKFTACTIPTIFAFAINFPSSKFLQAQSKVAALAWIGLAGLAVHVAAAYALVYAAGWGMYGAAAALDASLWGITLAQVAYIVGWCGGEEGGWRGWSAAAFCDMWPFVKLSLESALMLCLEMWYLSILTVLAGNLADAQIAVDSLGICTSIDGWEATVFFGINAAISIRVSNELGSGRPRAAMFAVIVAVVESLMIGLLCMVFVLVFRDHFSIIFTSDVKLRQAVAKIAGLLGLTMVLNSVQPVISGVAVGGGWQGLVAYINVGCYYAFGLPLGYILGYKLDYGVGSAEQIGKLRPHKPQLACRSGEAQMK >LPERR02G10990.1 pep chromosome:Lperr_V1.4:2:8364225:8370486:1 gene:LPERR02G10990 transcript:LPERR02G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDNEPPSKRARASAVEPASLLDNFSCLKSATPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLNKVGLLDESIVKSAIFLILEQKFLEHLRNDNVTGAIRTLQSEITPLGINKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPAVMVPERRLENLVEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTLQVLRAHHDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLIGHEKSVMMVAWSPDDCQLLTCGMEEVVRRWDVESGECLHVYEKSGVGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEIDCWKGQRSTKTSDFAVSKDGKLIISMNRESTIRLFDRETKQERLILEDDTITSFSLSEDGDFLLVNLTSEAIHLWNIRNCPIRVNQYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKASLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >LPERR02G10990.2 pep chromosome:Lperr_V1.4:2:8365607:8370486:1 gene:LPERR02G10990 transcript:LPERR02G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDNEPPSKRARASAVEPASLLDNFSCLKSATPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLNKVGLLDESIVKSAIFLILEQKFLEHLRNDNVTGAIRTLQSEITPLGINKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPAVMVPERRLENLVEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTLQVLRAHHDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLIGHEKSVMMVAWSPDDCQLLTCGMEEVVRRWDVESGECLHVYEKSGVGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEIDCWKGQRSTKTSDFAVSKDGKLIISMNRESTIRLFDRETKQERLILEDDTITSFSLSEDGDFLLVNLTSEAIHLWNIRNCPIRVNQYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKASLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >LPERR02G11000.1 pep chromosome:Lperr_V1.4:2:8374531:8381319:-1 gene:LPERR02G11000 transcript:LPERR02G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAEGGGSFTDVDDLAGAFSKLTRSINEPTQSGIISHGSSISRQSSTPEWAQDSYWPTQPILGAEQGLDNENRWSQPPHLARFADSRLHRTSSSPQQDGQYNHNEQILGANPSPLHRTSSYPQQEPQYNHTEPIPVPKSPFISYPPSSVVSHSSPSQPHHMNMNMPSPPTAFQMPVPAQNELHHPQFPRPHFGRNMAHIDSANNLQQNHALNSGPIRANGGMFTPGLMQQNLQRPNGLVPPQMLSRRPQHGMAPIQNSPPQFSQLHAQMLGPRHSPPQSLQMYNPQHPSQMMSRFDANLAMPDLSDPRARAMLHHGMHGQRYLPQGFELGSMRMDNGWPRFRSKYMSTQEIENIARMQQAATHINDPYIDDYYHQACLARKSAGAQLKHHFCPTLIRDPSSRAQSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDITEKSTSKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSKNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARVACMAVFRHLRFIFGNLPSDNSAAETMTKLATAVSACVVRLELNGLAACLAAIVCSSLQPPLRPLGHPAGDGASVIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIYAMQTQPAAAAVISREMPVELLRASLPHTNEHQRKQLLSFAQRTVPVNNNGPIPSESVASSDSQKI >LPERR02G11000.2 pep chromosome:Lperr_V1.4:2:8374533:8381009:-1 gene:LPERR02G11000 transcript:LPERR02G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNAVEEVELGGLEDDDGVDAAFVVAGDEEYPSAYGRDMFEAEGGGSFTDVDDLAGAFSKLTRSINEPTQSGIISHGSSISRQSSTPEWAQDSYWPTQPILGAEQGLDNENRWSQPPHLARFADSRLHRTSSSPQQDGQYNHNEQILGANPSPLHRTSSYPQQEPQYNHTEPIPVPKSPFISYPPSSVVSHSSPSQPHHMNMNMPSPPTAFQMPVPAQNELHHPQFPRPHFGRNMAHIDSANNLQQNHALNSGPIRANGGMFTPGLMQQNLQRPNGLVPPQMLSRRPQHGMAPIQNSPPQFSQLHAQMLGPRHSPPQSLQMYNPQHPSQMMSRFDANLAMPDLSDPRARAMLHHGMHGQRYLPQGFELGSMRMDNGWPRFRSKYMSTQEIENIARMQQAATHINDPYIDDYYHQACLARKSAGAQLKHHFCPTLIRDPSSRAQSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDITEKSTSKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSKNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARVACMAVFRHLRFIFGNLPSDNSAAETMTKLATAVSACVVRLELNGLAACLAAIVCSSLQPPLRPLGHPAGDGASVIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIYAMQTQPAAAAVISREMPVELLRASLPHTNEHQRKQLLSFAQRTVPVNNNGPIPSESVASSDSQKI >LPERR02G11010.1 pep chromosome:Lperr_V1.4:2:8385589:8389993:-1 gene:LPERR02G11010 transcript:LPERR02G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSQLATCRTPQDTCDPSSDVVDARKIPDDGTTNKEQKQEANSSSAQADRPSSSSLSSDHVSRGDQSMWTPTKRAAASCSWLFQLPEDIVHHIYSLVPLQDAARAACVCRAFLRSWRRYPNLVLNYQTLGRLKRPSWTEEREVYNLMRDELEISLRDRVGHVLDNHSGIGVETLHLGLGPFRNDVDNDASRIDGWLRAFVKPGIKHLALSLNPDKLSTIRISIQSLSLTGCDFHPSPSTTPLGCSFTKLVRLDLVEVCMEEEELGRVFSAIALTLEHLQIYDCHEIAKYIYSDYTGGLWPRLYMRERTSALCGSVGGAETGHKCGLGDRASCGRDHGECAVKAHFSKTYIMWFMVYIYTYDFHHILILQTVSTPMLPAAKIPHLTCLDITICTGTITSRPYDFLSLVSYLHASPALESFTLRVDRRDLPVPVPDSIMEDAQNLRQVTVARCQQQAMMSRLKNVTIMGFTSCRSLVELTNHIVENAAESVEHLTLDTTFGYDRTHGKCLEMKQKAVMEAPRAMEAATTYIQGKVPPTVCFQILGPCSRCHLLHTN >LPERR02G11020.1 pep chromosome:Lperr_V1.4:2:8392153:8395390:1 gene:LPERR02G11020 transcript:LPERR02G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIEVKPGQPVNCEPEDDRFLHLSQAALGESKKGTDNAVMYVKTYDQTLVIGRLSADKFPQIQFDLVFDKKFEL >LPERR02G11020.2 pep chromosome:Lperr_V1.4:2:8392153:8395390:1 gene:LPERR02G11020 transcript:LPERR02G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIEVKPGQPVNCEPEDDRFLHLSQAALGESKKGTDNAVMYVKTYDQTLVIGRLSADKFPQIQFDLVFDKKFEL >LPERR02G11030.1 pep chromosome:Lperr_V1.4:2:8420133:8420929:-1 gene:LPERR02G11030 transcript:LPERR02G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSPLLYTASNPEAEAAGAEDTEDSSECEEIATESNHKHSKKKAIDLPHAGAAATDTRVPVAPTAAGERAPADPAAAGGRAPRSRTPAGSRAPPGGASAAAVSGTRLSRRPGEQVHVETRRRLQFGTPEGALQAAEALLRHPPVTPAQGSNTQRWFDDLVILVDTAQQGARGG >LPERR02G11040.1 pep chromosome:Lperr_V1.4:2:8422250:8424181:1 gene:LPERR02G11040 transcript:LPERR02G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILITGRLRIAVKPGIKELFLGLCINIKEEYNFPCELLSSDAAGSSVHSVFLVSCALRPTATTLGSLTSLTSLSLWAVHINDQELGCLFSNSFALESLEIGWCNELICLKLPSSLRQFNFLKVSGCKMLQRIEINAPRLANFQYGGAPIQISLGDLLQVKHIDLHGVIHSGMVYYARAKLPYIAPSVQSLTLSSYKESILQRYLASFSISRTWISCSPEIHRYFALTKISFLWSLILTLLLLWNHLPFLTRSCLRHDSILDDPTGDHTQLRQKPGCRHDCLKKMVCLTLDTAYDPEIHELPNMETCWPMSRESVEEAHKSLEAITRYTEGKVPSNVNLEVLRPCNRCHVYMLQCIARYLLVVAKSKKFPFVSEKKREHVYFSTASWATSHHSNGCEP >LPERR02G11050.1 pep chromosome:Lperr_V1.4:2:8425761:8429760:-1 gene:LPERR02G11050 transcript:LPERR02G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSPSPSASSPPRKRPRSPTTAAAAKEEDARVVAAAADEEEKKEEDSPAATAAAEVVEEEKEHESPASAAAEEEKGGDGAPAGYIFMCSGETKGECYRHRVLGLPRGRLADVSRIKRGTALFLFDFTSRRLHGPYRADSDGGLSLVPAAFHGRYPAQVKFVTDGDFMPIPESSLKSAIKENYSKGKFYPELTLAQVERLRTLFRPITILPKHAIAQYVDDRHPAPHAVYMPRASHSAQPAAYVHHPTSCVPRTDLHQTSYVPPTALHPTSYGSTALLVQPDDYAQYAQLPPPMAYFTTPAYVSAMGSLYQAAYTAYGPLPSTYQYVQVPPSHFNYAQQSSSGHVSAPGYSTDSYYAPHRYGTHQVDTVGSYYSQSTSERYTFAFLKSYGSIPSSVAGTAHLSELNQSRQPVTWTNQAVGTASAVYCYGGAPVISQRSSNVAPSSVYAVMATPACQ >LPERR02G11060.1 pep chromosome:Lperr_V1.4:2:8443060:8444298:1 gene:LPERR02G11060 transcript:LPERR02G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKETCQSSTDAVSAAVILVVIGDNDLLGEILCLTSGTSRYVVVNPLHRAHDFILLQPRVPKLGGKGWLLNYQSYQERVFLSDDGASIILVVLQNAEGIVSARIYGLQSGEWGIPITIKIDLIGQSTQILNIFPPINGKLYIVVHSGCILALELATGHFFAIKFPGRVTDNLTLSHGYDSGLYLIHAKGLQLSIWHCRIINGDSANNWLLVNEARVCEACDHHDGVSLVGAADNAEFVFMRLIKSGALISMNLRTKVEKIYKGVVYGNVFPLMLVWPPKFPRIDEGNNIYKRMIFRCPHFIILFTF >LPERR02G11070.1 pep chromosome:Lperr_V1.4:2:8449507:8456518:-1 gene:LPERR02G11070 transcript:LPERR02G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHEVPNPRDPELVERLILDLLDPELKGHALSELRKKREMFQDLALLLWNSFGTIASLLQEIIVLYPSLSPPTLSLVASNRVCNALALLQCVALHPETRSHFIEARIPLYLCAFLKTDSRTKQFEYLRLTSLGIIGALVKVATFIIERIVVDDAGLIYICTNADRFYAVAAALATVVTSLVDQPSKRLVKHVIRCYLRMSENPRGFAALLTCLPSKMNDGTFNSCLRDDPSGRHMLQQLVAKVTGGRKGGPSHNSPGRLPWG >LPERR02G11080.1 pep chromosome:Lperr_V1.4:2:8457948:8461011:-1 gene:LPERR02G11080 transcript:LPERR02G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSARLLCRPTRPTSYSTQHPERPNPILPCPARSTGDHHPPRGQEAGSGSPPAAALDIGVVGKLQDAGQAAEGAWRSKLPPLRSDRGGVERLNSDVTTTIRFVKLPRIGLNIGNIAMSAKPSSGSTSEMAEGENYTVGEKDGVIIVDHGSRRQESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSDIPFIVTAPLGLHELMVDIMNDRIKYCLRHVVGDVDECRVCAGTGKCRLYS >LPERR02G11090.1 pep chromosome:Lperr_V1.4:2:8461373:8465980:1 gene:LPERR02G11090 transcript:LPERR02G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPANSIGRSTPRSNEGMRLIFSAVIGIMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHKKNSSDSNSEKIPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGISQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIELVKKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >LPERR02G11090.2 pep chromosome:Lperr_V1.4:2:8460876:8465980:1 gene:LPERR02G11090 transcript:LPERR02G11090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPANSIGRSTPRSNEGMRLIFSAVIGIMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHKKNSSDSNSEKIPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGISQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIELVKKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >LPERR02G11090.3 pep chromosome:Lperr_V1.4:2:8461899:8465980:1 gene:LPERR02G11090 transcript:LPERR02G11090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPANSIGRSTPRSNEGMRLIFSAVIGIMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHKKNSSDSNSEKIPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGISQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIELVKKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >LPERR02G11100.1 pep chromosome:Lperr_V1.4:2:8491000:8493030:1 gene:LPERR02G11100 transcript:LPERR02G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKYVPTWYFPLLLYCSLHLIPAAAGNATDDEFIYTGFADKELTRFGNARIMPEGFLMLTNGKSQRGHAFHPLPMMFRRTSATATTNGTENAKAVRSFSSTFVFAIVTTTTTDDTQQQGGQGIVLVVVPKANLSDFNTSGSASDHMFSVELDTNIDSGDSNNNHVGINIDGESLGEKKAGYYEDTNGQFCGLSLVSGNVMRVWVDYNGNTTQVNVTLAPVPMNKPAKPLLSARHDLSSVIVGDSYIGFSSSTDTLSTVHYVLGWSFGLDMPAPAIDVAKLPKLPTRSGSQSKTMVIALPIVSVVLVIFIVICVILVRKRYNYVELREDWEVEFGPHRIPYKDLCQATQRFKNTNLLGVGGFGTVYKGVLPKSHVEVAVKKVSHQSRQGMKEFIAEVVSIGRLRHRNIVQLLGYCRLKDELLLVYDYMPNGSLDKYLYGHDNKPVLSWAQRFLIIKGIASGLYYLHEEWEQVVVHRDIKASNVLLDNEMNARIGDFGLAKLYNHGTELQTTIIAGTLGYLAPEVTRTGKASPLTDVFSFGAFLLEVTTGRKPVEQGTEGDLNMLVDVIFEHLARDSLMDVVDARLQGEFNADEANLALKLGLLCSHPLPNLRPSMRQVMQYLDGQSSLPEIMPSHTSFSMLSTAQSQGYDSYVISKTLSASMGSVGQLSSFSSGR >LPERR02G11110.1 pep chromosome:Lperr_V1.4:2:8516357:8518393:1 gene:LPERR02G11110 transcript:LPERR02G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKYVSRSFLLLLYRVFLHLSAAAGLADDGEFLYNGFFAGAVLTRYGTARIMPDGLLMLTNGMVEQRGNAFYPVPVRLRRESPSPSPSPSVRSFSTSFVFGIQTTDAQDLGDHGMVLVIVPKSNLSAGLPSSYMGLFNSSGSAGNNHLFAIELDTKQNIDSGDMDNNHVGININDGLAFGDEAKAGYYDDQSGQFRNLTLISGKAMQVWVDYDGDTTQINVTIAPLGMKKPTRPLLSPRHDLSTAIDNESYIGFSSSTGTLGMQHYVLGWSFGVDKPAPAIDVARLPKLPVENTSGSSQSNKTMVIALPLVSVVLLLFIVACVTLVIRRKRYNNVELREDWEVEYGPHRIPYKDLCEATEWFKNKNMLGVGGFGRVYKGVLPKSHLEVAVKKVSHESRQGMKEFIAEVASIGRLRHRNIVQLLGYCRLKDELLLVYDYMPNGSLDRYLYSHDDKLFLSWDQRFTIIKGIASGLLYLHEEWEKIVVHRDIKSSNVLLDNEMNARLGDFGLSKLYNHGSTELQTTIIAGTLGYLAPEITRTGKASPLSDVFSFGVFLLEVTTGRKPVEQSIGGGINMLVDVIFEHFGRDSLLDAVDPRLQGKYNINETNLVLKLGLLCSHPLADLRPSMRQVVQYLDGQSPLPELMPSHTSFSMLSMAQRSLSSMGSISQFSSLSGGR >LPERR02G11120.1 pep chromosome:Lperr_V1.4:2:8523626:8524523:-1 gene:LPERR02G11120 transcript:LPERR02G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKLEAVGCLSNRWIDHSRFTFGTTIIKGVKNKTPTEFVRNVKILAVHVVMLNVDNVVDLMRYFPCLEKLYFKCCDWDTKNLWRRKYRNLFKSIDIRLKTVVLENYRGIWSQVHFAQFFVVSARVLESMKFVVSPKDYHKGFVAEQRKMLQLDKKASRGAHFYFTTDRSRYGESDIEHVQNLSCTDPFDCRCRNWFDH >LPERR02G11130.1 pep chromosome:Lperr_V1.4:2:8528104:8530137:1 gene:LPERR02G11130 transcript:LPERR02G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTIKPVCILLCLQCNLLYGLHLAAGADSDQFAYSGFAGVKDLTLDGTAMVTPDGLLQLTNGKPQVKGHAFHSTPLRFRESSSPEGRKKKVVRSFSASFVFGIVTASPSIGGHGIALVIAPTKDLSSGLASTYLGFLNTTSNGNDNNHIFAVELDTIQSPEFSDMNDNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLISGKAMQVWVDYNDDATQIDVKLAPIGIKKPVKPLVSAKFNLSSVITDEAYVGFSASIGTMISQHYVLGWSFGVGTLAPAIDMDKLPKLPGRRSKKSYPPKTMVIALPIVSVILVVAVAAGVFLLIKRKFQRFIELREDWELEFGAHRLPYKDLHQATEGFKNKNLLGFGGFGRVYKGVLPTSGSEVAVKRVSHESRQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKDELLLVYDYMPNGSLDKYLYSHDDKLTLNWAQRFQIIKGVASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFEHCNKEQILAVIDQRLNGNVNISEASLVLKLGLLCSHPLSNVRPTMRQVVQYLNGDMPLPEMSPMLFTFSMTALIQNQGFDSSTKSLGTISNLSIGR >LPERR02G11140.1 pep chromosome:Lperr_V1.4:2:8529688:8531527:-1 gene:LPERR02G11140 transcript:LPERR02G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRAEGRVARTQALVVLETGLVSCYDGTRGANAIRRVEELFVDESNPWFCINAVMLKVKSIGDISGRGMSPLRYCTTCRMVGLTFDSGCEHKSPNFNTKLASLMLTLPLSLWSITARICSLLQCSNTQSVSKSRWPSMSCSTGLRPLVTSRRNAPKANTSVRGDALPVSANSGAI >LPERR02G11150.1 pep chromosome:Lperr_V1.4:2:8534139:8538638:1 gene:LPERR02G11150 transcript:LPERR02G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHASAGGGGGGGWFAAIRKVFRSSSSKNAAASVAADKKGGEEGEEKAEVLLLEHFPAGETSEGTTANEEGVPVVAKREGEDGEGGDVERARALAAAAARVVRMSALRRDSREEHAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLSHHLHHDARRLPPLIPISTTAAATYGSRRRNNNNYYHAAAAQLGEQDDESDDDAHARRQQRGGGNGVTKSRSRSGSPFGVWDGSSRTPEEDRAEGARRSDAAARRDRARAYAYGYRQRHLQEKAGTGGLQWLDSWMAAAQAPEPDKNRRAAADRTVDIDTTSHRNPLNSHSGIAHGRPLMVRSYMAATQSARARARTAPPGTPTHGRNRSGAAVFAVDTSSSSQSGSAGAHVQEPCAVYGTEVGCTGEWTPPRLAVAGRAARLVYT >LPERR02G11160.1 pep chromosome:Lperr_V1.4:2:8539984:8543574:-1 gene:LPERR02G11160 transcript:LPERR02G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSNGDPSAAAAGGGGEDDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAVDGVEVCCFDNRGVGRSSVPTHKSHYTTVIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDETVGSNTRRHMLYQEYVKGLSSCGMQSRHGFEGQLNACWTHKLTHKELDRIRSAGFLILVIHGRDDVVAQLYHARRLAERLQPAAKLIELHGGHLVSHERPAEVNLSLMEMIKASKSSTDQEEWSNVPKKYDDQLLAGSDSRLAKRECNIIVMYNLLAKLQLILLFFFGAFYIILEHTRRVLRVLKPVRVSATSL >LPERR02G11170.1 pep chromosome:Lperr_V1.4:2:8560442:8571008:1 gene:LPERR02G11170 transcript:LPERR02G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLAGAAQSLLPNVGQLLCDEYRQLRGVGGEVGHLRDELATMNALLRMQSEAGEGAVDHFVREWMRQVREVAYDAEDCIDLYVCRCRVGRWRVRDGVFAWARNLSSRLFPRRRLAGDIRALRARAIEIGERHARYSVNREELRGDSAVANLGPAPAALHQAGSGSDQLVGIENQADTLVGKLKAVGEDSSLKVFSIVGFGGLGKTTLAMEVCRKMQGTFQRQAMVAVSQAFDATKDLRVLIKDITLQFTKENGIDSMDLGTLTTKLDELLKGKRYDPMKTNIRRKSIFLTRSCEIYSGKPCRYIIVIDDVWTISAWNAIRPKLPENKRGSIIMVTTRIKTVAKACISGCEEDMYMMNRLSEKDAEKLFVSRAFGSKGQSCPEELKKEMDSILKKCAGLPLAIVSIGGLLSSYRSSSSMWQRISNSMGTQMEMHPTLEGMKQIITLSYSHLPHHLKACMMYLSIFPEDYVIKKKRLLLRWIAEGLVIEKRGLTSFEVAESYYDELVNRSMIIPVRVRLDGAVKAVKVHDMMVEVVVSKSLEENFVSFLGAQCGRGIQSYDSVRRLTVHSDNSPKHVVEGMSTQHVRSLSTFGPQGNKAVLHRLPEFTLLKVLDLEGFLQEVEDYHVKYICRLFLLRFLSVKNTFVATIPNEISRLQHLQTFNLQNTMVVNLPASVTKLERLEYLLLPNEMNYNSGMEMPQGIERMKALRILGKIRLPNNANIAKEIGALAQLQVLNVVLHKSNEEVLTNLAGAIDKTNCLRSLKVQVETDPDEADEATKLNFLLRLQTPPLLLETICLEGSIDQLPKWFNSLMHLAKIEIVEAALTGDQLLGVLCELPNLLSVYLGYDSCTDDELLVRATFKFPALKDFTVRGWVEPRAIRFETPAMQNVEKLTVCFTPTNDDGTKRQTLAGVEHLTSLKQLVADCPYGCDTEIVEKLKAESTRHPNKFEVVVRQL >LPERR02G11180.1 pep chromosome:Lperr_V1.4:2:8599827:8600255:1 gene:LPERR02G11180 transcript:LPERR02G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTMRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFQDEDIDKI >LPERR02G11190.1 pep chromosome:Lperr_V1.4:2:8610166:8612313:1 gene:LPERR02G11190 transcript:LPERR02G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTARAGSDDEGDDESSGAIAAARAKKAASSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMRGGDGSDGEAGEEEDDDDDDEEALPFACFICREPFVDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >LPERR02G11190.2 pep chromosome:Lperr_V1.4:2:8610166:8612669:1 gene:LPERR02G11190 transcript:LPERR02G11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTARAGSDDEGDDESSGAIAAARAKKAASSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMRGGDGSDGEAGEEEDDDDDDEEALPFACFICREPFVDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >LPERR02G11200.1 pep chromosome:Lperr_V1.4:2:8619720:8623497:-1 gene:LPERR02G11200 transcript:LPERR02G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTKEFRPGSDLTLYLRPLLLLTPSHNSTSDGILLLPVLVPVRLLHLQFRPAAARLSSLLAPPRRTPDAGNERVFVVARAATMTISPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSAGAVRRRVLLLLAAEVAFVAAVAALVLSLAHTHDRRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAIAQLILYAIYYKSTQQIMEARKRKADQAVAMTEVVVDSSAAAKNGGHY >LPERR02G11200.2 pep chromosome:Lperr_V1.4:2:8621149:8623497:-1 gene:LPERR02G11200 transcript:LPERR02G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTKEFRPGSDLTLYLRPLLLLTPSHNSTSDGILLLPVLVPVRLLHLQFRPAAARLSSLLAPPRRTPDAGNERVFVVARAATMTISPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSAGAVRRRVLLLLAAEVAFVAAVAALVLSLAHTHDRRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITQYLICLKMYH >LPERR02G11200.3 pep chromosome:Lperr_V1.4:2:8619720:8623497:-1 gene:LPERR02G11200 transcript:LPERR02G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTKEFRPGSDLTLYLRPLLLLTPSHNSTSDGILLLPVLVPVRLLHLQFRPAAARLSSLLAPPRRTPDAGNERVFVVARAATMTISPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSAGAVRRRVLLLLAAEVAFVAAVAALVLSLAHTHDRRSMIVGILCVLFGTGMYAAPLSVMLILYAIYYKSTQQIMEARKRKADQAVAMTEVVVDSSAAAKNGGHY >LPERR02G11200.4 pep chromosome:Lperr_V1.4:2:8619720:8620183:-1 gene:LPERR02G11200 transcript:LPERR02G11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTAYPIHCSSLSAATRYCYYYSATRRTCACTFRRIPNGLGVMFAIAQLILYAIYYKSTQQIMEARKRKADQAVAMTEVVVDSSAAAKNGGHY >LPERR02G11210.1 pep chromosome:Lperr_V1.4:2:8659334:8664631:1 gene:LPERR02G11210 transcript:LPERR02G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSPSVADFDTKLKANGADLPDYFVRTLLTIIHAILPPSDDSRNPSSASQGDGGGVSKFPGLSRPDDRDRARNLRLELERDAEEAAAAVAPAPAPARDDSGRRRRDERGRDRDHERGRDDRGRDRDYDRGGQDREYARDRDRDRGGGRDGDRGRDGDRHRGRDYGRDRDQERDRDGERRRDRDRDRGRDIDRDNDRDHRRGRRYDDEEEPEQFAGRKEGAIVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQVVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGNASSVGGGSGKRLGLSGIMIAEEDEVAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVAAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >LPERR02G11220.1 pep chromosome:Lperr_V1.4:2:8674934:8690372:1 gene:LPERR02G11220 transcript:LPERR02G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPEIVNHRNHAALAASASRRTPSWGSAISQSFRQADEEDPFRRAQSVQGHDDDEENLRWAALEKLPTYDRMRRGVVRSSLLHDDDDDGSTAAVEFVDVGRLASGDAARALVERLFQDDSERFLCRLKDRIDMVGIELPKIEIRYEQLSVEADAFVASRALPTLSNAAINFLQAQFVNCVRLIGRFGSSNKTINILKKANGILKPSRMTLLLGPPSSGKSTLMRALTNKLDKNLKVSGNITYCGHKFSEFCPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGSRYDMLAEISRRERNAGIKPDPEIDAFVKATAMQGQESNIITDVILKALGLDICADIIVGDDMMRGISGGQKKRVTTGEMLTGPAGALLMDEISTGLDSSSTFQIVKFIKQLVHVMNETVMISLLQPPPETYNLFDDIVLLSEGCIVYHGPREHILEFFEASGFRCPQRKGVADFLQEVTSKKDQQQYWYLDQEPYRYVTVLEFAERFKLFRIGQQMLKDLHVPFEKSNTHRAALTTMKNALSNWESLKAVMSREKLLMKRNSFIYIFKVTKLIILAFMSMTVFLRTKMPYGQISDGTKFLGALTFNLITVMFNGLSELNMTIKKLPMFYKHRDFLFFPAWTYGLANILLKVPVSLVEAAVWVVMTYYVMGFSPAAGRFFRQFLAFFTTHLMAMALFRFLGAISKTMVVANTFGMFVLLIVFVFGGFPNNDTTIDAQTIGKAILKSKGMFTEEWGFWLSIGALVGFILLFNTLYILALTFLSPIRSVNTLVLDEDSKTELYKKSRNKEHMSHIVYNDGSLVTSTTTSITMSTNRAQNRPNQPEVVLPFQPLSLCFNHLNYYVDMPSEMKEQGLTESHLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITVAGYPKKQETFARISGYCEQADIHSPNVTVYESIVYSAWLRLSSDVDSNTRKMFVEEVMALVELDVLSNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVNTGRTVVCTIHQPSIDIFESFDEAIPGIGSITKGYNPATWMLEVSSTLAETHMNVDFAEIYANSLLYRDTQQDLYNLLGATYAAIFFIGATNCMSVQPVVSIERAVLYRESAAGMYSPLSYAFAQASVEFIYNSLQAILYTVIIYAMIGYDWKANKFFYFLFFIVSSFNYFTFFGMMLVACTPSALLANILITFALPLWNLFAGFLIVRKALPIWWRWYYWANPVSWTIYGVIASQFGENSGSFSVTDGKPVEIKQFLDDNLGIQHDFLGYVILAHFGYMVAFVVIFGYSINFLNFQKR >LPERR02G11230.1 pep chromosome:Lperr_V1.4:2:8709669:8710457:1 gene:LPERR02G11230 transcript:LPERR02G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLAPASASRGRTSSWSSAISQSFRQAETEDDPFQRAKSAQGHDEDEENLMWAALQRLPTYDRMRRAVFPTALLYTMITAKTPAVELVDVVRLASGDAARALVERLLKDGTEHFLRRIKDRIDKYHLTRV >LPERR02G11240.1 pep chromosome:Lperr_V1.4:2:8713397:8715141:1 gene:LPERR02G11240 transcript:LPERR02G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSVMAQAATSFDNTPTATLAIVTKATPSPAPAFTTVVDTPSAKKGKQVQDSPAAIEPTTGSDSERIVSEEIIGWRYGPNPDQVVLMDRVEDQKNMTRLNQLISESSGLVQPVSSRLHILKLITTDIASPDPALVEVKKQAEEQILKLQAELTLLQGKNEELIEEKKSAEEKLPHAVVLNVKSHEQANYYKDKLETLLKKHEELKAKSAKELSAMKTKHNEEFLKMKTELDRARKVNTEFCQAAEPILDNLHAATAGTNTSSFETVIDLLQSAPSRLKKIILESASVACGQTLAVIRSLYPKLDLTPITLGYADGTTTEKALELLDEVDGMAQIMAKDALYPEEENDDE >LPERR02G11250.1 pep chromosome:Lperr_V1.4:2:8725007:8726702:1 gene:LPERR02G11250 transcript:LPERR02G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKSTLIRALTGKLDKHLKVTFKSPYLEKCCYYIAYALLLQLSSVTIQVSGNITYCGHKFSEFCPERTSAYVSQYYLHNAEMTVRETLNFSRRCLGIGGRYDMLAEISRRECDAGIKPDPEIDAFMKATAMQGPETNIITDVALKVLALDTCADIIIGDEIIRGISGGQMRSVTTGEMLTGPARALLMDEMSTGLDSASTFRIINFLRQLVHLMISLLQPPPETYNLFDDIVLLSEGYIVYHGPRENILEFFEASGFRCPERKEVADFLEEVTSKNDQQQYWYLNEEPYQHVSVPEFAERSKSFHIGQQMLEELHIPFEKTKTHPAALTTIRNAVSNWELLKVVMSGEKLLMKRNSFLYIFKVIQLIIIALVTMTVFLKTKMPHGQISDGTKFLGTLTFNLLTIMMNGRAELYLTIKRLPVFYKQRDYSFFPAWNFGLANIKVPISLVEATVWVVITYFGMGFAPGAGRYPKSMNQIHTFYIDV >LPERR02G11260.1 pep chromosome:Lperr_V1.4:2:8726714:8733916:1 gene:LPERR02G11260 transcript:LPERR02G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHQVYLSIYFKFVAFFSTHLMAMVMFQFLGAILETMVAANTFGMLVLLVVFVFGGVPNNDTTVHAQTVGKAILTSNGFITEKWAEAKRVRTKSTQSPIVLPFEPLSLCFNHVNYYVDMPTEMKAQGFTQGRLQLLSDISGAFRPGVMTALVGESGAGKTTLMDVLAGRKTSGQIEGSITLSGFHEKPETFARIIGYCEQIGIHSPNITMYESHIYSACLRLPSSVGSNIRKMFVDEVMAHVELNMLRNVVVGLPGVSGLSNEQRKRLTIAVELVANPSIIFMDEPTSGLDARSAAIIMRTVKNIVNTGRTVVCTIHQPSIAIFESFDEAIPGVPNITEGYNPATWMLEISSNLAEAHMNINFHEIYMGSLLYRDSQQDLYNLLGATYAAVLFIGGTNSLAVQPVLSIERAVFHRERAAGMYTPLSYAFAQLISQPTLYQLASVEFIYNSIQGIMYTIIINAMIGYASLPKLPDQQAIPIWWRWYRWANPVYWTTIYSVVASQFGENDGFISVPGRNPIVLKQFLKDEFSIQHDFLGYVVLGHFGYIALFFFIFVYSMKFLNFQKR >LPERR02G11270.1 pep chromosome:Lperr_V1.4:2:8745830:8750041:1 gene:LPERR02G11270 transcript:LPERR02G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEDPNPSDPKLVERLVHDLLDSDLKGNALAELRKEIIVVYPALSPPTLSLLASNRVCNALALLQCVASHPETRSHFLKARIPLYLCAFLETNSKIRPFEYLRLTSLGVIGALVKAGDTEVITFLLQYEFVPLCLQAMTIGSEVSKTVATFVIEKIVMDEAGLGYICATVDEFFSVVTALGSMVTSLDDKPSPRLLKHIIHCYLKITENPRGLAALQTCLPTTLKDGTFNKFIKEDATMRQSLQQLLGKVTSGKIGGQAPSVLGHMPIV >LPERR02G11280.1 pep chromosome:Lperr_V1.4:2:8755300:8755667:-1 gene:LPERR02G11280 transcript:LPERR02G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLVLVPQLGMEESVSNARNPGFLGMDVVFLVTMKEDEDQGSANPEGEFSTPPASPRDQQQSEPTASLHALGALGADGTTFTLHVTFGTTQVTALVDSGSTTTFISPVVIRKA >LPERR02G11300.1 pep chromosome:Lperr_V1.4:2:8757950:8766609:1 gene:LPERR02G11300 transcript:LPERR02G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQNVNGGGGEPTEYAWRFGAAASPALEAARSQSIRALVYRVYDCLDRSKSDDARPVAPLGHGDPAAFACFRAAPAATGAVVAAAASAEHNSYAPAAGLAEACRAVAAHLSRELPYEVSAADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRYFDLLPDREWEVDLAAVEALADRNTVAIVIVNPNNPCGCVYSRQHLSQIAETARKLGIMVINDEVYDHFAFGSKPFVPMGVFGGIAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRKKKIMESIIDYRAISVDPVTFVQAALPEILANTDEAFFANALSVVREAAEICYEKLKEIECITCPHKPEGSMFVMAKLDLSFLDGIEDDIDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPKLLEDGLERTKSFCHRHRWLYPQIGELLRGEATGAPRWRFTRACEDGPLASAGPRSIRAVLNRVIASVDAAGPRPVLPLGNGDPTASACFRTAIEAEDAVVDALRSGAYNGYSLTVGILAARRGVFLICIFGNLSAIAEYLSRDLPYELSADDIYLTSGCVQAIEVMISVLAQPGSNILLPRPGFPFYESRTTFSNLEARYFNLIPERGWEVDLEGVQAIADENTVAIVVVNPSNPCGSVYSYDHLAKIAETARKLGLMIIADEVYDHLAFGNKPFIPMGVFGETVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYSNISTDPATFVQGAIPQIIANTKEDYFNKILDLLRNTADLCYDKIKYIRGITCPHKPEGAMFAMVKLDLCYLDGLHDDIEFCCMLAKEESVIVLPGSALGMKNWIRITFAIDIPSLEDALERIKSFCQRHRKLEA >LPERR02G11300.2 pep chromosome:Lperr_V1.4:2:8759095:8766609:1 gene:LPERR02G11300 transcript:LPERR02G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEITVGEAELDQWRFGAANPAMEAARSQSIRAIVHRMYRCLDGGDPRPVAPLAHGDPSAFACFRAAPAAVHAIAAAATSGKYNFYSVATGIAEGCRAVAAHLSRELPYEVSAADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRYFDLLPDREWEVDLAAVEALADRNTVAIVIVNPNNPCGCVYSRQHLSQIAETARKLGIMVINDEVYDHFAFGSKPFVPMGVFGGIAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRKKKIMESIIDYRAISVDPVTFVQAALPEILANTDEAFFANALSVVREAAEICYEKLKEIECITCPHKPEGSMFVMAKLDLSFLDGIEDDIDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPKLLEDGLERTKSFCHRHRWLYPQIGELLRGEATGAPRWRFTRACEDGPLASAGPRSIRAVLNRVIASVDAAGPRPVLPLGNGDPTASACFRTAIEAEDAVVDALRSGAYNGYSLTVGILAARRGVFLICIFGNLSAIAEYLSRDLPYELSADDIYLTSGCVQAIEVMISVLAQPGSNILLPRPGFPFYESRTTFSNLEARYFNLIPERGWEVDLEGVQAIADENTVAIVVVNPSNPCGSVYSYDHLAKIAETARKLGLMIIADEVYDHLAFGNKPFIPMGVFGETVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYSNISTDPATFVQGAIPQIIANTKEDYFNKILDLLRNTADLCYDKIKYIRGITCPHKPEGAMFAMVKLDLCYLDGLHDDIEFCCMLAKEESVIVLPGSALGMKNWIRITFAIDIPSLEDALERIKSFCQRHRKLEA >LPERR02G11300.3 pep chromosome:Lperr_V1.4:2:8759095:8766609:1 gene:LPERR02G11300 transcript:LPERR02G11300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEITVGEAELDQWRFGAANPAMEAARSQSIRAIVHRMYRCLDGGDPRPVAPLAHGDPSAFACFRAAPAAVHAIAAAATSGKYNFYSVATGIAEGCRAVAAHLSRELPYEVSAADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRYFDLLPDREWEVDLAAVEALADRNTVAIVIVNPNNPCGCVYSRQHLSQIAETARKLGIMVINDEVYDHFAFGSKPFVPMGVFGGIAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRKKKIMESIIDYRAISVDPVTFVQAALPEILANTDEAFFANALSVVREAAEICYEKLKEIECITCPHKPEGSMFVMAKLDLSFLDGIEDDIDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPKLLEDGLERTKSFCHRHRWLYPQIGELLRGEATGAPRWRFTRACEDGPLASAGPRSIRAVLNRVIASVDAAGPRPVLPLGNGDPTASACFRTAIEAEDAVVDALRSGAYNGYSLTVGILAARRAIAEYLSRDLPYELSADDIYLTSGCVQAIEVMISVLAQPGSNILLPRPGFPFYESRTTFSNLEARYFNLIPERGWEVDLEGVQAIADENTVAIVVVNPSNPCGSVYSYDHLAKIAETARKLGLMIIADEVYDHLAFGNKPFIPMGVFGETVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYSNISTDPATFVQGAIPQIIANTKEDYFNKILDLLRNTADLCYDKIKYIRGITCPHKPEGAMFAMVKLDLCYLDGLHDDIEFCCMLAKEESVIVLPGSALGMKNWIRITFAIDIPSLEDALERIKSFCQRHRKLEA >LPERR02G11310.1 pep chromosome:Lperr_V1.4:2:8767479:8774055:1 gene:LPERR02G11310 transcript:LPERR02G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAIDRDPTSSPAPAARLPAPPYHHQSPAAAAEVEVCVTPPRKSAAGGAGQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRQRGAGLDESLEMAAAAGTPPRRRRRVGGEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARKARRRKSTRATTKAAPAAADKVAAAAVDKEETSMALVLASTDAIRGTDALEQSGWEDLWERAVELVMWKNAAKSALWFGLGSMFFFSCSFSREITFSPISALCHMGVMVLGLAFIKDSVPQRQPVERGRNFRLTEEDVLRAARTVLPVANSMVSTAQVIFSGDPSMTLKVLPVLLFGAKYGSLVTVWGLLATVEILRDQALEAWKSCPRKKLVAGTAVTMCWNLFSVKTRIIAAFISVVILRYNQLYRKAVVNDERESCQDELGQKMEIED >LPERR02G11310.2 pep chromosome:Lperr_V1.4:2:8771415:8774055:1 gene:LPERR02G11310 transcript:LPERR02G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQQKIWPTIGLRAPESKNRRQLSDSSTAAAEANFSGAPPPSDDSNSCANFRAKCIKSVTRSTCTMSNSNHSPNMSQYVKETPIMVNKNLVGATSQRVFFVMTDEATLVIRAIIIELYFLHKMERCPQHFDESNVYIRGDGIAQLRECNLDDKSDSKVFENYQDAQKIIVETVFQQHMEDIPKDVMHLLELMNTPDQAISIELEHFICKHASLVPIRNRETCFLWMYRHIMFLPSDKLKGDMPYKSYWYKKLKGNDMLQKLFWGEKDTNDFLKSYRNAIVHSMDNYGERRSRYTPGDIQQILCTTFPFLLPRMQQELWENEELGDLQLDSLFGYNLDNEFGVIMNSSAVSNRKKSNKFSFQVGSMSISANQDKKKDGAIFLFR >LPERR02G11310.3 pep chromosome:Lperr_V1.4:2:8771834:8774055:1 gene:LPERR02G11310 transcript:LPERR02G11310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAKCIKSVTRSTCTMSNSNHSPNMSQYVKETPIMVNKNLVGATSQRVFFVMTDEATLVIRAIIIELYFLHKMERCPQHFDESNVYIRGDGIAQLRECNLDDKSDSKVFENYQDAQKIIVETVFQQHMEDIPKDVMHLLELMNTPDQAISIELEHFICKHASLVPIRNRETCFLWMYRHIMFLPSDKLKGDMPYKSYWYKKLKGNDMLQKLFWGEKDTNDFLKSYRNAIVHSMDNYGERRSRYTPGDIQQILCTTFPFLLPRMQQELWENEELGDLQLDSLFGYNLDNEFGVIMNSSAVSNRKKSNKFSFQVGSMSISANQDKKKDGAIFLFR >LPERR02G11320.1 pep chromosome:Lperr_V1.4:2:8774984:8779609:1 gene:LPERR02G11320 transcript:LPERR02G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSREMRRRLGHCGIRPVAPLLVVRTTAARSSGMRPAAQRRRPVQTARPSGVRRRWRGVAVGPAPSGMDDDSEVDRDVTAAARSIPGGGAATAARADCGVGRRRGGFAAKGRHDRQGLPGAVAAAGGGHRKGGGEEVLLRVYGEGVDVFFDRETEVRRSHPGELCGVHTHNLAFKPLVGYIKHTPVMLVGPTPGRVYCLITEEAKFVIRSLLKELYFLHKRGKCPQNFNESNVFIREDGMVQLRGCYLDDKSDSLVFKNYKDASNIIEKILFGQHKEDIPEDVMHLLNLMNTQDKVFSMDLEYLICTHASLVPLRNRETFFLWMYTHIMFVLPYDKSTERNEIINALQKVDWRDTLQEDSVLGKIFWRKRDGSEEEIDYFLHCYRDTVFHGTDKYNAKGKRYTPDDIQLILWVTFPMLLPTMQQELWNKNQWRALKLDGLLGSTMEDVFDVTSCFRWQ >LPERR02G11320.2 pep chromosome:Lperr_V1.4:2:8774138:8780730:1 gene:LPERR02G11320 transcript:LPERR02G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIANGREDEKIGWESVEDSSRICLLNCFVYVMVLKCISSMHDGPRTDAPQVLIHLHHTQHASCQLCGVHTHNLAFKPLVGYIKHTPVMLVGPTPGRVYCLITEEAKFVIRSLLKELYFLHKRGKCPQNFNESNVFIREDGMVQLRGCYLDDKSDSLVFKNYKDASNIIEKILFGQHKEDIPEDVMHLLNLMNTQDKVFSMDLEYLICTHASLVPLRNRETFFLWMYTHIMFVLPYDKSTERNEIINALQKVDWRDTLQEDSVLGKIFWRKRDGSEEEIDYFLHCYRDTVFHGTDKYNAKGKRYTPDDIQLILWVTFPMLLPTMQQELWNKNQWRALKLDGLLGSTMEDVFDVTSCFRWQ >LPERR02G11320.3 pep chromosome:Lperr_V1.4:2:8774138:8780730:1 gene:LPERR02G11320 transcript:LPERR02G11320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPTPGRVYCLITEEAKFVIRSLLKELYFLHKRGKCPQNFNESNVFIREDGMVQLRGCYLDDKSDSLVFKNYKDASNIIEKILFGQHKEDIPEDVMHLLNLMNTQDKVFSMDLEYLICTHASLVPLRNRETFFLWMYTHIMFVLPYDKSTERNEIINALQKVDWRDTLQEDSVLGKIFWRKRDGSEEEIDYFLHCYRDTVFHGTDKYNAKGKRYTPDDIQLILWVTFPMLLPTMQQELWNKNQWRALKLDGLLGSTMEDVFDVTSCFRWQ >LPERR02G11320.4 pep chromosome:Lperr_V1.4:2:8774138:8779609:1 gene:LPERR02G11320 transcript:LPERR02G11320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSREMRRRLGHCGIRPVAPLLVVRTTAARSSGMRPAAQRRRPVQTARPSGVRRRWRGVAVGPAPSGMDDDSEVDRDVTAAARSIPGGGAATAARADCGVGRRRGGFAAKGRHDRQGLPGAVAAAGGGHRKGGGEEVLLRVYGEGVDVFFDRETELCGVHTHNLAFKPLVGYIKHTPVMLVGPTPGRVYCLITEEAKFVIRSLLKELYFLHKRGKCPQNFNESNVFIREDGMVQLRGCYLDDKSDSLVFKNYKDASNIIEKILFGQHKEDIPEDVMHLLNLMNTQDKVFSMDLEYLICTHASLVPLRNRETFFLWMYTHIMFVLPYDKSTERNEIINALQKVDWRDTLQEDSVLGKIFWRKRDGSEEEIDYFLHCYRDTVFHGTDKYNAKGKRYTPDDIQLILWVTFPMLLPTMQQELWNKNQWRALKLDGLLGSTMEDVFDVTSCFRWQ >LPERR02G11330.1 pep chromosome:Lperr_V1.4:2:8783000:8785205:1 gene:LPERR02G11330 transcript:LPERR02G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDCREHTMMGLQQAITYTLIEYIMMTPMMHFNETLVGEKHGHKGNYHYLTEEAKLLIRTILKELHFLHKDGKCPDKITVSNIFVKYGRAELKGVYLCEKEDTMIFRNYKEVYEIIMGTVLREHSKDDIPDDVMRLLLLMTSQATAIDMEYVICTHASLVPLGNREAFFMKMYKQIMFVLPEEKPTAQKKILQALPYDLYWYNKLEGNSEIEELFRNAIVHDMDDYHVGRRYTSDDFQLILWVSFPMLLPRMQEEHEKEKHLRPLNIHSLL >LPERR02G11330.2 pep chromosome:Lperr_V1.4:2:8783911:8785205:1 gene:LPERR02G11330 transcript:LPERR02G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTMMGLQQAITYTLIEYIMMTPMMHFNETLVGEKHGHKGNYHYLTEEAKLLIRTILKELHFLHKDGKCPDKITVSNIFVKYGRAELKGVYLCEKEDTMIFRNYKEVYEIIMGTVLREHSKDDIPDDVMRLLLLMTSQATAIDMEYVICTHASLVPLGNREAFFMKMYKQIMFVLPEEKPTAQKKILQALPYDLYWYNKLEGNSEIEELFRNAIVHDMDDYHVGRRYTSDDFQLILWVSFPMLLPRMQEEHEKEKHLRPLNIHSLL >LPERR02G11340.1 pep chromosome:Lperr_V1.4:2:8803845:8806717:1 gene:LPERR02G11340 transcript:LPERR02G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGYKTLNLLKVGARPPSSRFSFHAWQAKDANSFCFIVISSFRGQPAVPATQERRIKSQGETRGIIRINIIRPSMNQLKNEADMIDHHLLTQ >LPERR02G11350.1 pep chromosome:Lperr_V1.4:2:8839742:8840103:-1 gene:LPERR02G11350 transcript:LPERR02G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQIMLLTLALVLAVLSSSNELAKASAEARITPEANCSPVALMIGDPCTPKVCRSNCLKLGAVRGNCIGGPACNCDFCGPTQSPASAPQ >LPERR02G11360.1 pep chromosome:Lperr_V1.4:2:8849643:8857975:-1 gene:LPERR02G11360 transcript:LPERR02G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSSFLHHLLFPNHHGRRRRRRHDGAMGCAQGKPSRGSPARSDGRGIDHLMRHNRYVPASASTSRLSDPLPAARHLLHVQEQQHDTAKTAERPNVDDRRETTTEKAAGDDSDPAAAQPSRPPQPVPVRAPRREEELVDGWPTWLLDNVPREALQGIVPKSADAYDKIAKARHRYRSITVGQGTYSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREMTILRRLDHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTRLICRPHTRLTEPQVTNSSLIHTSSFPVSVRLVARRPPSATCTARPSRRRSSLTTQIVSPWIRRLVRLRFVAMRVMFCCLFCCFRFFNGGMPSLRKVTKRKALLCPAAGLIVHRWVQIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGPGSRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAELFSAKPLMPGRTEIEQLSRIFSLCGSPPDDYWRKMKLPSSFRPPKTYRPSMMDKISFMPPSALTLLTTLLALDPAARGTAAQALESSFFSTPPLPCDISTLPVVYKEEDEVADPVASHDGRKPKLRDRSQKRRDSKKKSEEQHADKGHDLNVNSPNREEKIMEDTTKSDQESKRFSDGLDQEVLLEGSTSPQDQQVPSTNTYHPDSDHRALFVLGEKDERTGSNYGSPEIRSASLSENGTLQREDLPKQIIVDHV >LPERR02G11370.1 pep chromosome:Lperr_V1.4:2:8865381:8869276:1 gene:LPERR02G11370 transcript:LPERR02G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLGRRYSVSAEDMVVSSLQALTSANPSNPPALPPPTPIAPNPDATAITPTSTAAVAATLLSPVDRLRGVFLLKPRGRAAFHRALSSTGVDAAAALSPEVLSGVVGRGNFSGAATVDFFDWAISNSKSSPSVDTCNIVIRALGRRKFFTFFEPTLEIIRKHGISPDITTLEIIIDSLIVARHVNAAVQLINTDNFGLGVWQTCHRKEAFTVLINCLCRRSHVGLASSLLQASRGEEIDLDSHMYNEVIGGWARFGRVDKVEHFWAMMLDDGLMPDEVSYCYCIEALGRANRADEALQVFEKMSQEGYGPTTMAYNALIFNFISVGDLDRSIKYYKDMLENNCPPNIDTYCKMIRAFLRECKVADALQMFDDMLNRGILPNTGMITLFIEPLCTFGPPHAALLIYKRSRKAGCTVSLKAYKLLLERLARFGKSGTVLQIWDEMQECGYPSDKEIYEFIVNGLCNVGKVDAAVSVVEESLRKGICLGRVVYGKLNNKLLEMNKVETAYNLFKKVKGARVIANSRSYWRANGGARFLPYTYLFTTQ >LPERR02G11380.1 pep chromosome:Lperr_V1.4:2:8870396:8874817:-1 gene:LPERR02G11380 transcript:LPERR02G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMVAKRQYALQRAQNHHATTVSHSHLDRYGYDDIEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVRHHRVRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAAGSVTDSVLSSLVFNLSSSETEDPAKFCAPPVVENNWFKKALPSKAWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >LPERR02G11390.1 pep chromosome:Lperr_V1.4:2:8877418:8884673:-1 gene:LPERR02G11390 transcript:LPERR02G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSSPSLAFTDGGEAVRTDLLAVAVETEEKTPSAELRGRDMGLRLRRLGDPHGCGLAERHRVRRTQMFSINLTLQSTAARRRRAMAEMVISPLISLLKEKVSSYLLDQYKVMQGMEDQWDILARRLPAILDVIEDAEKGASRPGVVAWLEALKNVSYEAIDVFDEFNYEALRRDTKKKGHHNFGMDVTRNTIVFRYIMSKKLRKVVQTMDVLVKEMNDFGFTRRQQVRPLMQWRQTDSIMVDSDKDIDLQKELSGERYLIVLDDVWNRDADKWGKLLTCLKHCGRGSTVLTTTRDVEVARVMTMGVRGGAYNLEKLGNEYMKEIIQSRAFTVQKPNSDELDDIVDKIVDRCVGSPLAAKAFGSLLSTKTSMQEWKDILAKSKICNEKTDILPILKLSYDDLPPHMKQCFAFCAVFPKDHSINVENLIQLWMAHDFIPAQEEDNPDMVGKEIFNDLVWRSFFQDVEQAPPGISLYGERKKFRYSLVCKIHDLMHDVALAVMGKECATIVNMLDMKSLLNPTRHLFISYRAIGTRLDGLLKKQSPTLRTLLYSRRNIYGSVRQLSKINSLRAMQLCLLKKFPIRPRHLQHIRYLDFSSNLWIKELPQEICVLYNLLTMDVSDCISLRRLPNDMKYMKSLRHIYTSGLNSLECMPPDIGQLTSLQTLTFFVVGSSSRCSNVAELQNIDIGGELELTGLENVTEEHAKAASLGTKEKLTHLSLEWNSGGLEELVQDCHAKVLDALKPHGGLEMLRIVNYKGSGAPTWMKELSLFQQHLTELHLVGCTLCTEFPEFSHLRSLQVLHLIKLDKLQIMCTKMEFMEFPALKKLQEMGGSTRESREEIDIRNCPKLTSLPGAPKIKVVKLEEDVAQLSHSLITSTRYMLSLSTLKLSVRDRETTLKLDQNHELSISVIEITGCCFFFPSSPSQNMVRVWKWFGQLQILKISRCDALIYWPEEEFLSLISLKELTIAGYSNIVGCAHVNGVATRARDQLLPQLKKLEIDVCENLTELFILSPSITYINIDCCHKFQFVWRAEDTESISVQEEHGNDLTPTIGNASKSATVSQGLIYFSLSGASLHIREFGSTRGGAYRGNTPALEHIGLLTCKRLTSLPGNLGTYSALRRAHVEYCPNINMKPLYKHLPQRRESLEYCCNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKAYAHEHEDR >LPERR02G11390.2 pep chromosome:Lperr_V1.4:2:8877418:8884673:-1 gene:LPERR02G11390 transcript:LPERR02G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSSPSLAFTDGGEAVRTDLLAVAVETEEKTPSAELRGRDMGLRLRRLGDPHGCGLAERHRVRRTQMFSINLTLQSTAARRRRALGLNYGTQDLGNMLSLGVMFGRPGVMMRTEHRSVQNHNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKAYAHEHEDR >LPERR02G11390.3 pep chromosome:Lperr_V1.4:2:8879935:8884673:-1 gene:LPERR02G11390 transcript:LPERR02G11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSSPSLAFTDGGEAVRTDLLAVAVETEEKTPSAELRGRDMGLRLRRLGDPHGCGLAERHRVRRTQMFSINLTLQSTAARRRRAMAEMVISPLISLLKEKVSSYLLDQYKVMQGMEDQWDILARRLPAILDVIEDAEKGASRPGVVAWLEALKNVSYEAIDVFDEFNYEALRRDTKKKGHHNFGMDVTRNTIVFRYIMSKKLRKVVQTMDVLVKEMNDFGFTRRQQVRPLMQWRQTDSIMVDSDKDIDLQKELSGERYLIVLDDVWNRDADKWGKLLTCLKHCGRGSTVLTTTRDVEVARVMTMGVRGGAYNLEKLGNEYMKEIIQSRAFTVQKPNSDELDDIVDKIVDRCVGSPLAAKAFGSLLSTKTSMQEWKDILAKSKICNEKTDILPILKLSYDDLPPHMKQCFAFCAVFPKDHSINVENLIQLWMAHDFIPAQEEDNPDMVGKEIFNDLVWRSFFQDVEQAPPGISLYGERKKFRYSLVCKIHDLMHDVALAVMGKECATIVNMLDMKSLLNPTRHLFISYRAIGTRLDGLLKKQSPTLRTLLYSRRNIYGSVRQLSKINSLRAMQLCLLKKFPIRPRHLQHIRYLDFSSNLWIKELPQEICVLYNLLTMDVSDCISLRRLPNDMKYMKSLRHIYTSGLNSLECMPPDIGQLTSLQTLTFFVVGSSSRCSNVAELQNIDIGGELELTGLENVTEEHAKAASLGTKEKLTHLSLEWNSGGLEELVQDCHAKVLDALKPHGGLEMLRIVNYKGSGAPTWMKELSLFQQHLTELHLVGCTLCTEFPEFSHLRSLQVLHLIKLDKLQIMCTKMEFMEFPALKKLQEMGGSTRESREEIDIRNCPKLTSLPGAPKIKVVKLEEDVAQLSHSLITSTRYMLSLSTLKLSVRDRETTLKLDQNHELSISVIEITGCCFFFPSSPSQNMVRVWKWFGQLQILKISRCDALIYWPEEEFLSLISLKELTIAGYSNIVGCAHVNGVATRARDQLLPQLKKLEIDVCENLTELFILSPSITYINIDCCHKFQFVWRAEDTESISVQEEHGNDLTPTSVSEQLPGNKF >LPERR02G11390.4 pep chromosome:Lperr_V1.4:2:8877418:8884673:-1 gene:LPERR02G11390 transcript:LPERR02G11390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSSPSLAFTDGGEAVRTDLLAVAVETEEKTPSAELRGRDMGLRLRRLGDPHGCGLAERHRVRRTQMFSINLTLQSTAARRRRALSSRVDKMKSMGQKDVEGFQMNSFPRDLIYQFFLSNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKAYAHEHEDR >LPERR02G11390.5 pep chromosome:Lperr_V1.4:2:8877418:8884673:-1 gene:LPERR02G11390 transcript:LPERR02G11390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSSPSLAFTDGGEAVRTDLLAVAVETEEKTPSAELRGRDMGLRLRRLGDPHGCGLAERHRVRRTQMFSINLTLQSTAARRRRALSSRVDKMKSMGQKDVEGFQMNSFPRDLIYQFFLSNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKVGGDEKDAAIGTVAYAHEHEDR >LPERR02G11390.6 pep chromosome:Lperr_V1.4:2:8877418:8879562:-1 gene:LPERR02G11390 transcript:LPERR02G11390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPILKKSSRVDKMKSMGQKDVEGFQMNSFPRDLIYQFFLSNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKAYAHEHEDR >LPERR02G11390.7 pep chromosome:Lperr_V1.4:2:8877418:8879562:-1 gene:LPERR02G11390 transcript:LPERR02G11390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPILKKSSRVDKMKSMGQKDVEGFQMNSFPRDLIYQFFLSNFFPRPSPTAGGYVGCLQRLSYVALHRCQIARDAAATAAAATTTKVGGDEKDAAIGTVAYAHEHEDR >LPERR02G11400.1 pep chromosome:Lperr_V1.4:2:8887801:8894559:-1 gene:LPERR02G11400 transcript:LPERR02G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAEAVLLFPLLHLASCLRLSPSVPVSRRACSAATVLAVLAFAALLTAASAAEPRPADALRSEVDELRLRVLHLESLLEENTKTLKSKANNLEENNNLIGTMEHDIEILMNKHESTKESQSKSYPESNVKTLEDEVQFFRKVVMKMSENADSIESLANGAEKRVESLSSEVKKMEGIIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSESAYKLPGKDLVLKYVRNLYGIFLMGVSYTKSCFSRRYKHCRSFIQATNRPYHEVSRFCKALCSKHIRDVDKPNVFFLGGSISRSCTSLPYNQFKIFMLSAQKFHHKVQIFLQDAMRSNSYSRGLATDLITFCLK >LPERR02G11410.1 pep chromosome:Lperr_V1.4:2:8896321:8901108:-1 gene:LPERR02G11410 transcript:LPERR02G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASNKNVGAVAVAESNNDGSSKEWRLRAPTRGGAMAAAGDKMSIRAVRYKISASVDERGPRPVLPLAHGDPSVFPEFRTAAEAEDAVADALRSGEFNCYPAGVGIPAARRAVADHLSRDLPYKLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEVRAAFNNLEVRHFDLIPEKGWEIDLDNLESIADKNTTAMVIINPNNPCGNVYTREHLSKVAEVARKLGILVIADEVYGNLVFGNSTFVPMGCFGHIVPILTIGSLSKRWIVPGWRLGWVAICDPKKILLETKIATLITNFLNVSTDPATFIQGALPNILKNTKEEFFKRIIDLLTETSEICYREIKNIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVHFCCQLAKEESVILCPGSVLGMENWVRITFAIDSSSLLDGLERIKSFCRRHQKKNLLNVL >LPERR02G11420.1 pep chromosome:Lperr_V1.4:2:8905034:8910771:-1 gene:LPERR02G11420 transcript:LPERR02G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDAAILAGVVVFLLPLRLLSLALRLKSTCNAGDLRRSCAAFAVTAALLAAIFALPRDHHGAKARECAAAAAVPDDGEGGFRGEVRSDIEQLKRQLARLESLWDNNSKPLDGKNDALEEDGEVVRAMGLDIQSLINEQVNIKESFCGSFSSDNTIKAMEKEVQILLEESRKMNSDIHNIWSMAKDTDKRVEALHSDVKMVLLDESRQMNSNFHELWSLARDTERRVEALHSDMKKVQILIDESRKMESSMYKMWSFAKQTEKRVEDLYSDVKRGFKQKKIKVPSWMDW >LPERR02G11430.1 pep chromosome:Lperr_V1.4:2:8924460:8926219:-1 gene:LPERR02G11430 transcript:LPERR02G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISKAEKKAKHKKKKKKKKEGEKAEVSTKHNKKGVCIPFDYDKINPSSYNFVSVPFGRAPTFDGTHYASWRNMMKMHLISLHPSVWNVVLIGLMFEEAKQVKKITMANAATKNKGVALKAKSKKKKPVEEDDDENDDEEEDKEDVNTSYCLMAKTRKVMLPSNPSSSDGDYSGTSSSDDEDMFESFNKKAMLHVSKLMKAKEKTHERQEDLLILEKEKCLALESQLELEKGKVASLTMDLQSIKDSTTILEKDNVALKESLVGLTRHKELEEQYSILWNSTSYSNNSTDASNASTSKGCSLCYSYDLNAYY >LPERR02G11440.1 pep chromosome:Lperr_V1.4:2:8944443:8949206:1 gene:LPERR02G11440 transcript:LPERR02G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRDNPFPVGANTSNCFFLNPRRQKPTWTPPLASVPPVEICKKSPSFLPFLPCSSLPLLLHPLNFLPPHLSPFPQPLNFLARERAQDSFSAGDDRRVGGGGGAMGVDYYKVLQVERGATDDELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSNMGGMGGMGGMGGMGGGADRGMRGSKFGMFDNDIFGSFSHFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLIMTQKISLAEALTGCTVQVTALDGRNLTVAINNVVYPGYEEVVPREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >LPERR02G11450.1 pep chromosome:Lperr_V1.4:2:8952417:8962263:-1 gene:LPERR02G11450 transcript:LPERR02G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGFRGSASKERDRGRRGGDGDGEGEAASPAAAPGSPWTPFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTMGGIDEAALDRLSLVTEMIKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGMVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYVSAFDRTKLAEEDALRDAHEAALRKALDAYGTAAVGTGTSRIHYEKVLNNFCRKTFQEYKRNAFLEADKQCTNMIQTMEKKLRAACAAPGVEVSSVIQVLESLLTEYEASCSGPSKWRMLAAFLRHLEGPILDLCLKLINEAESERTSFALRCCSNEDQLELLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDRFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWKHKYETAAAQAKSALERLASVQEHINKIAQERESGIRAEFAAHLEEKEEEMKNLVAKIRHAESEESVLAERLEVVESKAQSHNKETAVLKDEIRELTSKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERFKAAEREAKRATELSDVARTEAITAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQDKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEDLMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTATHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPIKLFQSEDGGSVHMGEESVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKFVLGK >LPERR02G11460.1 pep chromosome:Lperr_V1.4:2:8965591:8968301:1 gene:LPERR02G11460 transcript:LPERR02G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLHSSPPRLLFLRHHRLLPSHHLHLGGGASSSYALRLRRSVAVRAEPDLPAPPAPSTSAAEPPDDDGPVEIRTPTLFSIDENPTPLQTATSLLLTGAISIFLFRSLRRRARRAKELRVRSGGVGKPNNLSQEALEGLRLVSASPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSVGLILYALQLTFASIMGDDDPSSSAEKISEQSNTMASSNSSTNSTNDSESTSSDNSKNSTG >LPERR02G11480.1 pep chromosome:Lperr_V1.4:2:8979714:8981359:-1 gene:LPERR02G11480 transcript:LPERR02G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILLLLLVVLVPLFLLLMLPIKINSRTGGVRLPPGPWRLPVIGNLHQVMLRGPLLHRAMADMARRLGDAPLMYVRLGEVDAVVASSAEAAREITSGAHDLPFACRPLTPTVAALRPGGAGLGFAPYGAAWRLLRRICVAEILGARRVRRRRPPASSPRAAASPSSVVNLGERIAAAVSDSTVRAMIGDRFERRGEYLAEVNEQLKLLGGFSLDDMFPSSRLASAIGGGVRRAEANGRNLDELIDCIIRQHERRRVDGDNGMEEENNQDLIDVLLSIQKQSEFETPLTMEQIKAVILDIFVGGSETSSTALQWAMSELMRNPKVMQKAQAEIRDKLGEKPTVTEDDLSNPKYLKFVIKESLRLHPVIPLIPRKCRESCKIMGYDIPRGTSVYLNDDAEEFKPERFEKSVIDFKGMDLEFMPFGTGRRICPGVASAEAIMVLLLGTLLYHFDWKLPCGITSNELDMAEEMAVTVRRKNDLHLRPILRVPQA >LPERR02G11490.1 pep chromosome:Lperr_V1.4:2:8995156:8996542:1 gene:LPERR02G11490 transcript:LPERR02G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFADSFIESNIENLRYNTGGQFLDTGYNFMQTFPNHMTYNHSTAMEMNHLNTIMSPLASEVAVPPVAQSIPEVPHGAEFPWSTEDPSPPAADQLPVPQASNAQEVDPPILPQLEEFLAAAKSRPVPKPSDIEIIIESSLVNHKDGDGAATATLYPSVQVKKFKKQAASASKPTMTPQEILDYVRVTYEETEKELDILNPYYKKLQADNRKKDVASSKKASKKRKKDDENDPIIYVVEISYPMEQLRHIRKKLSRFHKKTSTLVPRCLPPKLMDDIDTIKEEKALVYEEIIRAVKYPRRRDGDDGSSGRKRRNVGGPSAAARQ >LPERR02G11500.1 pep chromosome:Lperr_V1.4:2:9027599:9028387:-1 gene:LPERR02G11500 transcript:LPERR02G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPILLLFPFLAAAVMAAADNNVAAAPAPAPPSRQPDLTSILQKGGQYNTLLRLLNATRVDEQVSSQLKNSYDGITFFAPTDAAFAELKPGTLNALSDQEQVRLVLYHVLPRYYTLATFQTASNPLRTQASGPGGVYTVNVTTTTGQSLVNVSTGVDDGVPLGTTLFSDFPLAVYSVDGVLMPPQMFGTKAKAPSPAPAKAKERKKAGGGVPKNEVVATAPAAGDGADSSEESTTNAAAGVGRGAVWAVALVGLVNLVVA >LPERR02G11510.1 pep chromosome:Lperr_V1.4:2:9038724:9040342:-1 gene:LPERR02G11510 transcript:LPERR02G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPITAALLLSLTVATISVIVSAETVTGDVGAAPSPAPAGPLNLTEILTKATHYNTFIRLLKDTEVVSQVSSLLNNDRNADGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLFHILPRYYGFVTFETTTNPVRTQASGQRGVCTVNVTTAGEEKVNVSSGLMETTLGKPLHQVHPLALYSLDDVLLPPDMFGPGAKKNYGADAPTAGKPGKQTASSSVAATPDEAPSKEAADATADAGRMVTGWTGFAGVVTVVALSLLSY >LPERR02G11520.1 pep chromosome:Lperr_V1.4:2:9044756:9057861:-1 gene:LPERR02G11520 transcript:LPERR02G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMANHGNISSVITAETVSGSHVIKIDGYARIKELMEKGEGVSSIPFSVGGYTWIIKYYPNGNCSENADYLSFGVSLISASLKDVKAKFGFKLLDTNGKPVKSVNFISPTHTFNKNSLWGYSKLIGKMRLEGSPYLKDDSFTVQCDLTVMKDVCSKETKGQFEEVAAGDLNQDLGNLLDKKNGADVTFYIGKERLRAHKCILAARSSVFKAWFFGAMSVKNRKTINIEDMEADVFSSLIHFIYTDSLPETSQDVVMAQHLLVAADRYNIERLKLICLEKLSKNINSNMVATTLALAEQHGCIGLKEACFEFLSSPANLEATMASDGFEHLESSCPFVLNGLIARLLPPEMKAARQIAMALRKLRKPWPSDLRWWFLQLNLKMKIDGYSRTNDLIENGKVVLSIPFTVGCHSWTIKYFPNGIYKENKDYLSFYLAVDSSYAKDVKAIFKFSLLDKNGRPVPSYNFTSKICTFKYKGDSWGYQWFIKKNVLEASAYLRDDSFSIQCDVTVMEICRKEAKDKQFVVVSPGNLHQHLIDLLNNMDGTNVTFYVGQERISAHRCILAARSSVLKELFFGATHVKARNNIRIEDMEVDVFRSMLHFIYTDSLPEMSSDDVVMAQHLLVAANRYNVKKLKLTCEEKLLKHIDTNMVAATLALAEQYNSHRLKEACFKFLDSPSNLEMMEASDGYEHLKKNAHLLLPPEMRAAREITLALREGKLLTIRRSQDTTFSFAAAAPISPTLCVAAVPLLSHHLLRADSPPPEMAKHCNIISSAIVAKAVSGSHEIKIDRYSTIKEQIENTKFVSSVPFSVGGYSWIIRYYPNGKDKESEDYLSFFLYNPSIKDVKAIYSFKLLDKDGRPGWHSTTSLLRTFEAYLRHDSFSIRCDVTVMEIDSKETKDKQFVVVPPGNMHQHLGIFLNNMDGTNVTFYVGQETISAHRCILAARSSVFKELFFGATSVKARNNIKIEDMGVDVFKSLLHFIYTDSLPATSSDDVVMAQHILVAANRYNVKKLKLICEEKLLKHIDNNMVATTLALAEKYRCHRLKEACFEFLDSPSNLESMVESDGYEHLKTSCPFVLNEIIARLLPPQMKATREITLAL >LPERR02G11530.1 pep chromosome:Lperr_V1.4:2:9070089:9071348:-1 gene:LPERR02G11530 transcript:LPERR02G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQDYIFICKDVVIHDIEKQCTWYMWVDKEWGSVMKATMRKMCELANLWNKRSVYILKLEDQLKLEMHLRNRFALENDKLAVEKDQLAELV >LPERR02G11540.1 pep chromosome:Lperr_V1.4:2:9086837:9088577:1 gene:LPERR02G11540 transcript:LPERR02G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNSSTFSSIVAEAVSGSHVIKIDGYSRIKVLVRNGHNWVIRFYPNGDSAESQDYLSFYLILDSANSYDVKVIFSFELLDKSGRSVSSYTGTTDLHTFSYKGSLWGYAKFIHQNVLEESSSYLRDDSFSIRCDIKVFKEIYSQETRGDHKQFVEVPPSNLNQHLRELLDNKDGADVVFDVGEESFSAHRCVLAARSSVFKAELLGIMKENANKPIQIDDIEPAVFKSLLHFIYTDSLDVMAQEDQSREGCEDLVMAQHLLVAADRYNIERLKLICEERLCENIDSNMVATSLALADQHNCYGLKEACFEFLASPSNLLEMMAKQAEQSFAFAVSTQHRYPVRGFQFVQTTDSLTWPN >LPERR02G11550.1 pep chromosome:Lperr_V1.4:2:9089615:9090883:-1 gene:LPERR02G11550 transcript:LPERR02G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSRSTASAIVADIANGSHVLRIDGEKKNIGGGHGPCRPPSSSATAPFSVGGYSWAIKYFPNGSQAAKSDYLSIFVVLDSPGAKDVKAQCSFSLLGQDGTLVPSYVKECEVNTFPGKGSDRGLCDFIKKNELEEPEHMRADSFSVRCDLTVLKEIRCEEMKVQKKKFVEVPPSNIHQHLGDLLKNMDGTDVIFEVGEEKFFAHACLLAARSSVFKAELFGAMKANSTMPIKIEDMEPSVFKCLLNFMYTDSLPEACQKCSDVVLAQHLLVAADRYNVERLKRMCEEMLREHIDSSMVATSLALAEQHSCHGVKEACFEFLSSRSNLEGMMASDGFEHLKNSCPFVFLELSMRFLPSDPKQ >LPERR02G11560.1 pep chromosome:Lperr_V1.4:2:9103389:9108300:1 gene:LPERR02G11560 transcript:LPERR02G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMAKPIAIRLKSEASRHPQFRQLIINLAQANHRISTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEIEALRQKEDQLAHEIEIVRQKLSEIERHANSRGLSGVLFRSSSVPGQTKAS >LPERR02G11570.1 pep chromosome:Lperr_V1.4:2:9108167:9110079:-1 gene:LPERR02G11570 transcript:LPERR02G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKVAHFLITKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >LPERR02G11580.1 pep chromosome:Lperr_V1.4:2:9115888:9118784:-1 gene:LPERR02G11580 transcript:LPERR02G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSAAAASLRPAPSRASCGGHAPPPPSPSPASPAADLSRWAAPPRRGYSQFSSGFSPLKRKPLGSILDVERAKGLSPEQLVAAWDDYHLGRGHIGASMSAKLYHLMEQRSATCRHFVIPLWKGSGYTTMFMQVQMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLETAHSFYLNDVRYRLVERFNKETHEFEFNDVLQVLDMPTM >LPERR02G11590.1 pep chromosome:Lperr_V1.4:2:9123541:9131857:-1 gene:LPERR02G11590 transcript:LPERR02G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRAPPPAAADGGIEENAMAILDTAGIKDARDLHDDRAAFLETVRSACLVADNPSPPSWRMYNAVFQILQDCSSLELTLASFHLLMELGKQYPRAYLTDSDSGKTLVVVNESWSPFQLRSDVACGEIGGNTRHMDHLFDPSRFSSLVEDMVETANDTDANNGIEHIKNMVMLQYLVSTLESDFVPRHIAYKESSDWVIFRESLLHMLLVSRKVAFKSLVKNCISLLLNQHCQEVENVISSEENSAKSVPDLDSSLAVVSFEFERKALASVQKLFIMVMNLDLIRKEADTMGLTSRADGCRNPILDLILDELTYNISYLSPFLQTFVECKWKLEIILQYFSKYCGKPAVRTRRSDNSHHDLTLENVLSLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLCIEGEFSKDSGTTKKIGGTLLQISESFVSAFQNLRKTNLNIEILPFEKEALFIAVAMTSVLRNEQK >LPERR02G11590.2 pep chromosome:Lperr_V1.4:2:9123541:9131857:-1 gene:LPERR02G11590 transcript:LPERR02G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRAPPPAAADGGIEENAMAILDTAGIKDARDLHDDRAAFLETVRSACLVADNPSPPSWRMYNAVFQILQDCSSLELTLASFHLLMELGKQYPRAYLTDSDSGKTLVVVNESWSPFQLRSDVACGEIGGNTRHMDHLFDPSRFSSLVEDMVETANDTDANNGIEHIKNMVMLQYLVSTLESDFVPRHIAYKESSDWVIFRESLLHMLLVSRKVAFKSLVKNCISLLLNQHCQEVENVISSEENSAKSVPDLDSSLAVVSFEFERKALASVQKLFIMVMNLDLIRKEADTMGLTSRADGCRNPILDLILDELTYNISYLSPFLQTFVECKWKLEIILQYFSKYCGKVCLCIEGEFSKDSGTTKKIGGTLLQISESFVSAFQNLRKTNLNIEILPFEKEALFIAVAMTSVLRNEQK >LPERR02G11600.1 pep chromosome:Lperr_V1.4:2:9137950:9148787:1 gene:LPERR02G11600 transcript:LPERR02G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADADAAEVERLYELGERLSSAKDKSQHAADYEAIISSVKGQSVKAKQLAAQLIPRFFRSFPALAARAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTVAASSPYSTAQDARQLLPSVVQLLKKYMPGKKVDDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHHKDFTERKVTAVAAEPLSKEEGGHCQIDPGHTNDIPNQFFFLLSPSPSSSSTVKACLPLTGTEETVRAASKRLTQGMADFNKAISSAKTEEEKTKIKSDQHKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAATTAGGKRPQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >LPERR02G11600.2 pep chromosome:Lperr_V1.4:2:9137950:9148787:1 gene:LPERR02G11600 transcript:LPERR02G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADADAAEVERLYELGERLSSAKDKSQHAADYEAIISSVKGQSVKAKQLAAQLIPRFFRSFPALAARAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTVAASSPYSTAQDARQLLPSVVQLLKKYMPGKKVDDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHHKDFTERLTGTEETVRAASKRLTQGMADFNKAISSAKTEEEKTKIKSDQHKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAATTAGGKRPQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >LPERR02G11600.3 pep chromosome:Lperr_V1.4:2:9149052:9153040:1 gene:LPERR02G11600 transcript:LPERR02G11600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKEYLACLKSTGFQSEKCRNFSKKYLECRMERNLMAKQDMSELGFSSEDIMNTPPDKNNNLNSPANGSKSPKPRTRYQ >LPERR02G11600.4 pep chromosome:Lperr_V1.4:2:9149420:9153040:1 gene:LPERR02G11600 transcript:LPERR02G11600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVSCLREAVAAHPDFPRKLRSGGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKEYLACLKSTGFQSEKCRNFSKKYLECRMERNLMAKQDMSELGFSSEDIMNTPPDKNNNLNSPANGSKSPKPRTRYQ >LPERR02G11600.5 pep chromosome:Lperr_V1.4:2:9150549:9153040:1 gene:LPERR02G11600 transcript:LPERR02G11600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKEYLACLKSTGFQSEKCRNFSKKYLECRMERNLMAKQDMSELGFSSEDIMNTPPDKNNNLNSPANGSKSPKPRTRYQ >LPERR02G11600.6 pep chromosome:Lperr_V1.4:2:9148378:9149171:1 gene:LPERR02G11600 transcript:LPERR02G11600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNVYMATSRADTRDWSCQPPCGRKRGERMSRRHVAGEPTTQRPDLYPDRRLLIDPTA >LPERR02G11610.1 pep chromosome:Lperr_V1.4:2:9158939:9165465:-1 gene:LPERR02G11610 transcript:LPERR02G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAIFLAALVYLFAVNERYTMRLRRRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEKFLLPIFPWFLNKFKPWTARKAVIQNLYLGRTPPMFTDIRVVSQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLQQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDLEKFAAESTDNWFSVDEKPPIAYVKVEILEGADMKPSDPNGGKRHDIWIALKNIKMGRIHIAVTVFEDENEKVPNNEEEQCGTPKGGKASTPSFSSRTNNESESSEEFQKMSDEYEPVDIEGLEKADVWVHRPGSDVATTTWEPRKGRARYQDSRILRENDAYCDSPKSCQSESQRSDSSNEEPANGKSHRHLRKVKKGLGKLAGVFKSPKKESDDESLPCLTPHPNIKPLGEKRVSVRYVVDDGHYENLPDDQDSSPERGELESPTKRHLRKKAVHIVKHAGNKTAHNLKHMFSRKGSDKSKEGQDDQKDDPEMAVEEPSNVEEDNPGAISVDSSNVEKGIPDATVIDPLKVDSYVPNNTLVDALESASDGKDMPQ >LPERR02G11620.1 pep chromosome:Lperr_V1.4:2:9175843:9185752:1 gene:LPERR02G11620 transcript:LPERR02G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHHHNLLESGLLDAGMSPSAAADEKEETSTGRKKSEASLRPRRWPGLRRAAAQLQEVFLGTKLFPLFSAVPLAVAAESLRLGRAWVFAFSLIGLAPLAEPGGLLNATCGNVPELIIALFALHKNEMEILKWSLLGSILSNLLLVLGSSLLFGGIANIGKERPLDKRQADVSIGLLLLGVLCHVATLVFKYTSYTGDSINLSSSVLQLSRSSAIVMLIAYFGSLLFQLKTHRQIFEVEEDCSESSSSEDDNSVIGFASAVVWLIGMAAVIAMLSNYVVTTIEIPVIMIVSWVNGIPMDLDFNLLETGSFVMTVIITAFTLQNDKWHYLKGFNLVLCYIVIAVCFFIMKALPSFST >LPERR02G11620.2 pep chromosome:Lperr_V1.4:2:9175843:9186105:1 gene:LPERR02G11620 transcript:LPERR02G11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHHHNLLESGLLDAGMSPSAAADEKEETSTGRKKSEASLRPRRWPGLRRAAAQLQEVFLGTKLFPLFSAVPLAVAAESLRLGRAWVFAFSLIGLAPLAEPGGLLNATCGNVPELIIALFALHKNEMEILKWSLLGSILSNLLLVLGSSLLFGGIANIGKERPLDKRQADVSIGLLLLGVLCHVATLVFKYTSYTGDSINLSSSVLQLSRSSAIVMLIAYFGSLLFQLKTHRQIFEVEEDCSESSSSEDDNSVIGFASAVVWLIGMAAVIAMLSNYVVTTIEIPVIMIVSWVNGIPMDLDFNLLETGSFVMTVIITAFTLQNDKWHYLKGFNLVLCYIVIAVCFFIMKALPTPKK >LPERR02G11630.1 pep chromosome:Lperr_V1.4:2:9190803:9193555:1 gene:LPERR02G11630 transcript:LPERR02G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAMSTTGGSQQLFAYGYIHHLALSPHSRWALPQPPRPTQARTPPRALLSHTRAPLTSILLTLTLNASASPRRLLSRRGGVGAGDCRRGRGGR >LPERR02G11640.1 pep chromosome:Lperr_V1.4:2:9209330:9210865:1 gene:LPERR02G11640 transcript:LPERR02G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLAVRVLVFLFLVAAAVAGGGKLNASSSPLYGIEFPPFNAGVADAGCDGKLVAQEVGEVEVTRSPSLKLHMTRRSAADAAAAGRTMKDSFLDSAEKDVARIRTMLRKVTGADGKRAAATAARTNSSPRRALSERMVATVESGVAVGSGEYLVDVYVGTPLRRFQMIMDTGSDLNWLQCAPCLDCYEQRGEVFDPSSSLSYRNLTCGDPACALISPPPPSPSPRTCRRPGRDGCPYYYWYGDQSNTTGDLAVEAFTVNLTGGASRRVERVVFGCGHANRGLFHGAAGLLGLGRGALSFTSQLRAAYGHAAFSYCLVDHGSSVGSKIVFGDDDALLANPLLNYTSFATGDTFYYVQIKAVLVGGEQLDIPASTWDVSGGGTIVDSGTTLSYFASPAYEAIRRAFVTRMERASYRLIPDFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFIRLEPDGIMCLAVLATPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >LPERR02G11650.1 pep chromosome:Lperr_V1.4:2:9225576:9237448:-1 gene:LPERR02G11650 transcript:LPERR02G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEADFGNIIDAPAEGQTAAKFRPKAEEERVSKFQPKNRAKTSKATSKSRRTDQKAGASTGDVILQSKEDGKNQAGCSDKLQHPPSRHQETVQIPHSQAHLGAHDSTIDDIVNFHSIIEEPAQKEVAANFQPNLRLKAGGASPGVAETSDAAAVVTPEFGFSSVDVVSQDAEEDSHREGFRDDSCQKHMDEEAITVSDTGPPQDLDATVDLDSHAEMLNSHPDGSPSIIGERSVEATVKFQPYARRKKGKGKSVSFAPPNDSDVVTPTDTNSDRSNLIHSCQDIAIGENLSNLPQKAAEKVCITDEHHLDDQEYSYTENQYHEGEPSDHVIEQELKRDVRETGTSMKLRSREKLQKDGIREHTADDNMDEDYAEPPSNEQDNDSGDEYTAGGKQKGRRKPREKNISKEPSRGTKRTLGDSTIEESQKQKVQKNKSKASSGGQKETSKDSSVEKPEKKLTHRIRQKRMKEVKTLLETPDHEINRMKLSGKEIPSGLSSSNHSSSQFEDMDDGFNEQENWDNDRTENHVVENATKLNYHSYMNRQKRAKWSKSDTDLFYQGLRQFGSDFAMIQHLFPDKSRNQVRQKFKAEERRHPMQVHDAIMHRSRDNLYFKQVIKQLNIEDVQPDVNNTHEQEGAPNEEDPGKKNISGEFINEEEENGSNWSDKDLDMHGSEFEEKEHFSTNADDDLGDVFDWY >LPERR02G11650.2 pep chromosome:Lperr_V1.4:2:9225576:9231080:-1 gene:LPERR02G11650 transcript:LPERR02G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEADFGNIIDAPAEGQTAAKFRPKVRAKPRKTSVPSRPLVPQTNVESTDETVKTLDKDNTSQKQSIDQKAAFLGYHGSETVTDACASGGMLDIPSEDVVTVSLGLVSNPDGALDSSTVCTSSVHKFSENEEHINDSSDVVTHKESMVVLDTQIPQTCSSGKTIDDLADFERLCDGTQAEEERVSKFQPKNRAKTSKATSKSRRTDQKAGASTGDVILQSKEDGKNQAGCSDKLQHPPSRHQETVQIPHSQAHLGAHDSTIDDIVNFHSIIEEPAQKEVAANFQPNLRLKAGGASPGVAETSDAAAVVTPEFGFSSVDVVSQDAEEDSHREGFRDDSCQKHMDEEAITVSDTGPPQDLDATVDLDSHAEMLNSHPDGSPSIIGERSVEATVKFQPYARRKKGKGKSVSFAPPNDSDVVTPTDTNSDRSNLIHSCQDIAIGENLSNLPQKAAEKVCITDEHHLDDQEYSYTENQYHEGEPSDHVIEQELKRDVRETGTSMKLRSREKLQKDGIREHTADDNMDEDYAEPPSNEQDNDSGDEYTAGGKQKGRRKPREKNISKEPSRGTKRTLGDSTIEESQKQKVQKNKSKASSGGQKETSKDSSVEKPEKKLTHRIRQKRMKEVKTLLETPDHEINRMKLSGKEIPSGLSSSNHSSSQFEDMDDGFNEQENWDNDRTENHVVENATKLNYHSYMNRQKRAKWSKSDTDLFYQGLRQFGSDFAMIQHLFPDKSRNQVRQKFKAEERRHPMQVHDAIMHRSRDNLYFKQVIKQLNIEDVQPDVNNTHEQEGAPNEEDPGKKNISGEFINEEEENGSNWSDKDLDMHGSEFEEKEHFSTNADDDLGDVFDWY >LPERR02G11650.3 pep chromosome:Lperr_V1.4:2:9231095:9237448:-1 gene:LPERR02G11650 transcript:LPERR02G11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding PEQSKPDRYHNCRGPEGPSLHKSGPPDHHGPYLQARATLSGRDGEQLPGGNAAFPLRARHPPPRRLPNSREGGAGLLLLWRDTACLFPIRLYWHFKTGSWRS >LPERR02G11660.1 pep chromosome:Lperr_V1.4:2:9232389:9234822:1 gene:LPERR02G11660 transcript:LPERR02G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFKHVYYAIKLRATAVSPPRAATSLFMVTCRCINMALLLCCPLGSQRRRSCRLHRRSRADTLVVLVVISRQVLRHLLQAPPPCLPQAAIVARSRQVVQLPLHRLPTSPSCRWFCCFTFVFDLYTSSSTSALSRLHFALLQQLCAALASLPLRRSRAATALRPSLLVPSDMAQGSNWSTTSPTRYWQHRCVHPSRVVHRFGTPGVIARPPRFDCIDSSASSSSTTAAIASPSSSTMRPRTHQSSASSHAPTTTPWRPFTSTTPSSFGLPLCRLLGPQRHCSWSLAAASTWRCCCAAPSGHNVAALVVFTAARAPTPSSSWSSSAVKFFAIYFKHRRRVFLKLLLSPALDRWFSYLYIGYRRRLRAVGSAASPSSSTSTPRRQHRLFLDYTSLFSSNCVLLWHLSLYAVLVPRLL >LPERR02G11670.1 pep chromosome:Lperr_V1.4:2:9237786:9238319:1 gene:LPERR02G11670 transcript:LPERR02G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSATGGAAAAAAAGSEFDSIDPLFHFLRVLPFSFLRPPRTRLRLPSNLALPSPMVVFTLILLTYLAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGIGIILLDLAADRTRPRSLRVSFGGSGATAIVIAYAMAMLFIRIKIPGYLW >LPERR02G11680.1 pep chromosome:Lperr_V1.4:2:9248596:9249273:-1 gene:LPERR02G11680 transcript:LPERR02G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYAGGYGYGSGYGYDAGGYYSTNGGGGYPPAAAAAYEDPTMGIGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTRSRVMFHPSLAHKLGGNGNDNSNDNNNLAYRDKNVAEEDEEDTEEMDALLSSEEDDVVSTGRTPGYRDSNSSPDSTCSSTSYGGGQARPARKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRASDS >LPERR02G11690.1 pep chromosome:Lperr_V1.4:2:9271202:9274787:-1 gene:LPERR02G11690 transcript:LPERR02G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSAPLPASPAGRRLAGTLSLRPGVASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWSLPPIPCSPQLYGLANFAAVAVGGHIYVLGGSGFDARSYPLDHPSPSAAAYRLDLALSRQRWERLPDMRVPRGSFACAPAPSGGGVIVAGGGSRHPTLPSYGTRTSGVEWYDTAARAWRAAAAMPRVRAGCVGFVAHGTGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWNGKWREIGDMWEGWERERLGPVAAISAEDGRVTEVFMLDGHDIFRYNFSLNRWSKEATLRRKIPSTQSCGFVAMNGELYVLTSGKVPVETSNPWRQSKKRLTLEFQVYNPVAKTWRVLTTHPPVNVPIDFRTAALCRVELLRYLTRHLIANLDVNGDSIQ >LPERR02G11700.1 pep chromosome:Lperr_V1.4:2:9277570:9280622:-1 gene:LPERR02G11700 transcript:LPERR02G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKEIHSGDWTSYKGLDNAATYARHELTATSIKALLCRFNGNPRHPAMECMKESGPNNSATINMDDVDKILNFASSQNLNVK >LPERR02G11710.1 pep chromosome:Lperr_V1.4:2:9284308:9295249:1 gene:LPERR02G11710 transcript:LPERR02G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTPSSSAADESSMAWTEIPEESVAGILRHLPCLLDHAMFSGVCTRWRFIARMHPPPMLPWLFMPSSPESTFFCVVCEDFHPGPNILANLRGARRYCGSFDGGWLAAAEVRTVIQRNRAGAPNFILDNPALVNHCTGERVDLPSDLRDFVPRVSIMDHIHAIIPSEPPRHNHRYCVAAVVSGKPNIAFWRPDMENYWIPPMLKWRFSPHRVWVKLLSRDPIEDVKHCASPLGVGFHVLNTKEDIVVYAPNPNDKHGELTMSSVKTHRIRRSPHNPNMPDPGEVLARYLVPSRGDLLMVVRYAHTMGTVATATVAFEVFRLEEHAPSWIWNKLDFDALTDRTIFLRRCSSVAVDMLKPCPLYIYFLDDSERLHGDGTEPVHQVNTPFPCADSGKCCFYNQDIVRCLPRDPPSYSSPWFWFFLPQKKINATIKSPNVLEVKTSNVRLPPDIPVTSPDSPGVAWGQLPEESVVGILLNLPCLLDHNMFAGICKRWRFVAGQKPPPVLPWLFMPSASANSFFCIPCGQTHTGPRMTYKSLGARRFCGTFPGGWLAAAELPIEIPATADGAPAKPWKTPFLINLCTDDRVFLPRQIRDKYDHPDLAVIDLIRGVILSDDPHTERYIAAAVVSGCSGKPKPNIAFWRPDMCYWTPPMLEWDSPVEQWQKLLSEDPIEDVKYFGLGELGVGFYVLNSKEELLVYTPNPSDKPRELTMSSVKAFRIDRSPPPTMPEPGEVHARYLLQSRGDLLMVIRYVSAGNATVAFDVFKLVPNPPSSWSWKKLSLDALTDRSMILVRGCSLAIEMRKKPCPLYIYFLDDSAGFPGAGPFPCADTGKCCFFNQEIVRCLPGPGQPPSDYSPWTWFVLTRNESLREWTRHQKQEAEQRAQQETQTPPRSMSWLKAWAELPIRCLVGILCHLPGSISSLTPAAGKKLPGPFFTRR >LPERR02G11720.1 pep chromosome:Lperr_V1.4:2:9296239:9299205:-1 gene:LPERR02G11720 transcript:LPERR02G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSAAFSPVTHPSSVLAGNGDLRCLLARPRGEHDLLDCTLRIVEDEPPPSWNFVLIPKDQGWSRDRIRSRPGLSDPRGSTGSCPGTIQGLE >LPERR02G11730.1 pep chromosome:Lperr_V1.4:2:9304202:9306423:1 gene:LPERR02G11730 transcript:LPERR02G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQHQLEWTLRPLPADPDAAPRCTVNSTATAFVLSTGGFTGNPFHDYTDVLIPAFISAHRFGGEVQFLVSSYKSWWTSRYLQIFQRLSRYDVVDIDNDDEVRCYGSVVVGPTFHKELGVDASRAGSASMVDFRAMLRGAFGLERAAAEPSGDRWDIRRRPRLLIISRRGSRAFLNERAMADMAMSLGYDVRVGEPDVSTDVSKFARLVNSADVMVGVHGAGLTNMLFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPRDDPVLKDPYSIHKQGWNALKTVYLDKQNVRPHLGRLKNTFLEALKLLPHGHTTG >LPERR02G11730.2 pep chromosome:Lperr_V1.4:2:9304481:9306423:1 gene:LPERR02G11730 transcript:LPERR02G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQHQLEWTLRPLPADPDAAPRCTVNSTATAFVLSTGGFTGNPFHDYTDVLIPAFISAHRFGGEVQFLVSSYKSWWTSRYLQIFQRLSRYDVVDIDNDDEVRCYGSVVVGPTFHKELGVDASRAGSASMVDFRAMLRGAFGLERAAAEPSGDRWDIRRRPRLLIISRRGSRAFLNERAMADMAMSLGYDVRVGEPDVSTDVSKFARLVNSADVMVGVHGAGLTNMLFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPRDDPVLKDPYSIHKQGWNALKTVYLDKQNVRPHLGRLKNTFLEALKLLPHGHTTG >LPERR02G11740.1 pep chromosome:Lperr_V1.4:2:9323476:9325943:-1 gene:LPERR02G11740 transcript:LPERR02G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNLNGRLSVDQAPRSLLIRQRVHADSGRSADTEDPKVVGEDEGAPAKETSEEKRLLTSEPEQIKNEEGLVGGGEEDNKGEQDGHQQQHHKVTLPTVSNYTIPDAEEADNGKQEDGKPNAEIKLEMDADKRDNVEPETDNVEWNNKPLCDFSNFRANVCEMKGKIRIHPNASSVMYMEPASSKRQELWKVKPYPRKGDELCLGNIRELTVKSSKVAPECTKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFKHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTVLGEIPKVKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVSSDLFHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVRLDCNRFKPVLLDALDQLNQ >LPERR02G11750.1 pep chromosome:Lperr_V1.4:2:9362781:9364844:-1 gene:LPERR02G11750 transcript:LPERR02G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGGNERRLPPRLMRSLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANMLQNSPPSSSSPAATVPETEAIPPQETGNSDNTVDPVDLPEAGTEPQEPNFPTAESEPGLPAALSKADAEKAAAAAASSEQKQSEESGAAAGEEAAKMKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTMYVVNPSGAGGFDDKGEKRLRPYARKDDFLMPGVVEVTVKSVPSAAAAPKCTKQHKVPAVVFSVAGYTDNFFHDMADAMIPLFLTASHLKGEVQLLITNYKPWWVQKYTPLLRKLSNYDVINFDDDDAVHCFPQAYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLINLDEVAAAATELGFNVTVAEPGADVPAFAALVNSADVLLAVHGAGLTNQIFLPTEAVVVQIVPWGNMNWQATNFYGNPARDMKLRYVEYYVGEEETSLRHKYSRDHMVFKDPKKLHTMGWQTLAETIMKQDVEVNLTMFRPVLLQALDRLQQ >LPERR02G11760.1 pep chromosome:Lperr_V1.4:2:9372326:9380939:-1 gene:LPERR02G11760 transcript:LPERR02G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAGGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQTSIRCGQSSTPPNQESSGSELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARAGETSPVLEKQDQMSALFQGKEEALSRLDDRNGCAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSASSNPMDQMISRLSPSMQNLGLGRPEENSSEPSEMSSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGAQTHKKNALARQPSQEILSEEKTLPLPSTKDETNGSIDENESSFSHNIRRSVREAALSKPLSNTEGSHDQSSDDGSEHFFIPLSTDASRKEIDAVDNRRKQKLGFSSSTRMKFPKSTSDLHFNAASPMLATPVMSSKLNGRDDPSSLAGMLDPSSGLGHQSFITDDALDQVFSPPLLLEASLFQDTYEDLLAPLSETDTALMEH >LPERR02G11770.1 pep chromosome:Lperr_V1.4:2:9392720:9395686:-1 gene:LPERR02G11770 transcript:LPERR02G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATISTALHLRPSPPPHASPLPAMGSLPFLRRRQMRSRRVAAVKQDAAVWTPAPVASFGPATADGSLVHFSVDLSDATDLAASYTAPGQYLLIRVPGEDDLKPAFMAIASPPGGAAGFEFLVKTVPGTTAEKLCGLRDGDVVELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPIRSLIEFGFDADQRADVRLYYGARNLQTMAYQDKFTNWESTGLKVIPVLSRADDSWQGERGYVQDAFLKAQNISNHFCTGAVLCGQKQMSEVCLFSLFPILLHIILFCVLQS >LPERR02G11780.1 pep chromosome:Lperr_V1.4:2:9406956:9411647:1 gene:LPERR02G11780 transcript:LPERR02G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKTAKGAMKQGIWRRRISAPFAAVLVAAVLAVVVFSGQFAKGPNASSQFSPVQVDNTLRPARDKPFGFGDAENGQFPNKEAAIEEQKPSKAAAIDQDDNSSIPELKQASGDESIAGGSDSSGKESRSQSQKGDGGTEESGAAPYTKCIAPSDRKICDLSNPRFDICELCGDARTVGQSSTVVYVPQSRTSNSEEWSIRAQSRKHLPWIKKVTIKSVNSTEPEPRCTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNGDVQLIITNNQPWFIKKYSAIFSRLTQHEIIDFDADDQVRCYPHVIVGLKSHRDLGINPNSSSQNYTMVDFRLFVREAYGLPAAQVDIPYKADKDDPDKKPRIMLIDRGKTRRFINAAHVAQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIEFMADGFYGAPARDMGLRHVQYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKIAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >LPERR02G11780.2 pep chromosome:Lperr_V1.4:2:9406956:9411647:1 gene:LPERR02G11780 transcript:LPERR02G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKTAKGAMKQGIWRRRISAPFAAVLVAAVLAVVVFSGQFAKGPNENGQFPNKEAAIEEQKPSKAAAIDQDDNSSIPELKQASGDESIAGGSDSSGKESRSQSQKGDGGTEESGAAPYTKCIAPSDRKICDLSNPRFDICELCGDARTVGQSSTVVYVPQSRTSNSEEWSIRAQSRKHLPWIKKVTIKSVNSTEPEPRCTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNGDVQLIITNNQPWFIKKYSAIFSRLTQHEIIDFDADDQVRCYPHVIVGLKSHRDLGINPNSSSQNYTMVDFRLFVREAYGLPAAQVDIPYKADKDDPDKKPRIMLIDRGKTRRFINAAHVAQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIEFMADGFYGAPARDMGLRHVQYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKIAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >LPERR02G11790.1 pep chromosome:Lperr_V1.4:2:9417486:9427769:-1 gene:LPERR02G11790 transcript:LPERR02G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGFEGLVREGSSFNWGLPRRGASPVLGDGDDPDTSPSSGKQASVPGLSPKANAVVSRCSRILGISTDDLQNDFDVQGSDSIKQTRNYARNFLEYCCFRALAQVSQVAGYLSDKSFRCLTFDMMLAWEVPSSSSQLTVKVEVDNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSGQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKATTLTEPVIIEFPELTGHSRRDYWLAIISEILYAHRFVRKFDISGVDKEEIILKAVLNILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLARTISSRSSAQVNQPGTGSGKHSMSPFAVLSNLGVVSPSNNGEKLLVGEIVVGEMSSLEKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSLVFCLLSSYIIIRGWLVYFVVLVLLFSATFMFLTRLTSHGKPMTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQATDRAILALVVMALNLAFVPTRLLVLMMFLEVFTNNSPPRRASTERWTRRLREWWFSIPAAPVIVEKDKEDKKTR >LPERR02G11800.1 pep chromosome:Lperr_V1.4:2:9438068:9442049:1 gene:LPERR02G11800 transcript:LPERR02G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVQQKQQQQHVDVVVPVPAMVVAAMASPCNFPANKLLRCAIPLAFGFALGIAVAVYLIGSSTPGASIVTGASSSLQLFFPLPSLPPPPPPAAIRKEQQQSPTPPSAPEQTTTINSSAGAASEFVNVGDEELMRMAAAAAAREVGGGGAPKKVAFLFLTRWDLPMAPLWDKFFEGYRGLYNVYVHSDPAFNGSDPGHASAFHRRIIPSKEVKWGQISMVEAERRLLAHALLDDRSNARFLLLSESHVPLFDFPTVYSYLINSTKVYVESYDLPGATGRGRYKRGMSPVVAAAQWRKGSQWFELDRALAGDVVADDVYFPVFARFCRRNCYADEHYLPTFLSIRHPSRVANRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRGEGGTTTTTTACDYNGGTTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >LPERR02G11810.1 pep chromosome:Lperr_V1.4:2:9444229:9449972:1 gene:LPERR02G11810 transcript:LPERR02G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSRTARRVSLLGRVAVPFQDVAPVDYPADGQIQQKGARGDIMFIKAELESIQAALEKLFEVQVTDSLVKIWERDVREQSYDIEDVIDTFMIHIENHLLTKPHGLKGFMKGSLSLLTRAMIRHRIATDIKRIKKLVSEASARRDRYKIDNIVAAAKTKTTIDPRLVGIYREALVGISGPKEELTKLLMESEGTSKNKLKVISIVGVGGLGKTTLANVIYQHLKGQFDCDAFVSVSLKPDLKKILSSIFRQFSGQGNALTETWCTEEIINKIRDEINDKRWISEGFIPGEDVDTLYEQGGNYFNELVNRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSDEINFVTSLRGQQSTCQSNKVRRLCLQNSMYDHTIRQETMKWSRVRSLIVFPDATNLLPSLSRFCILRVLDLEGCQDLKSRQFKYICGLFHLRSLILKGTNISSLPNKIGNLSCLHTLDIRHTIITELPLTVVHLRKLVHLLIDASVKLPDGIGNMKCLQEISLVGISRSPNFLKELGCLTELRKLQISESTGAWHKGYEKTLIDSLRNLHKIHHLYIHGCELSTEFISNIRCFSQHLRYISCGQLSILPRWINSSLLCLSTIDLILNVLRQYDLQCLGALQFLHCLRLKVLKIEPERLVVSTEHAKFHNLAEFSFTTSAMGLIFMQYSMPRLENLELGFNVRQTKGFDIGLEHLSSLKDVTVRIDCRDSSTSEVQNADAAIRRILYMNSNQPNIHVIRHYEQNLIRDEVKVQKEAVEEKEYILPPFIIV >LPERR02G11810.2 pep chromosome:Lperr_V1.4:2:9444229:9449972:1 gene:LPERR02G11810 transcript:LPERR02G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSRTARRVSLLGRVAVPFQDVAPVDYPADGQIQQKGARGDIMFIKAELESIQAALEKLFEVQVTDSLVKIWERDVREQSYDIEDVIDTFMIHIENHLLTKPHGLKGFMKGSLSLLTRAMIRHRIATDIKRIKKLVSEASARRDRYKIDNIVAAAKTKTTIDPRLVGIYREALVGISGPKEELTKLLMESEGTSKNKLKVISIVGVGGLGKTTLANVIYQHLKGQFDCDAFVSVSLKPDLKKILSSIFRQFSGQGNALTETWCTEEIINKIRDEINDKRWISEGFIPGEDVDTLYEQGGNYFNELVNRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSDEINFVTSLRGQQSTCQSNKVRRLCLQNSMYDHTIRQETMKWSRVRSLIVFPDATNLLPSLSRFCILRVLDLEGCQDLKSRQFKYICGLFHLRSLILKGTNISSLPNKIGNLSCLHTLDIRHTIITELPLTVVHLRKLVHLLIDASVKLPDGIGNMKCLQEISLVGISRSPNFLKELGCLTELRKLQISESTGAWHKGYEKTLIDSLRNLHKIHHLYIHGCELSTEFISNIRCFSQHLRYISCGQLSILPRWINSSLLCLSTIDLILNVLRQYDLQCLGALQFLHCLRLKVLKIEPERLVVSTEHAKFHNLAEFSFTTSAMGLIFMQYSMPRLENLELGFNVRQTKGFDIGLEHLSSLKDVTVRIDCRDSSTSEVQNADAAIRRILYMNSNQPNIHVIRHYEQNLIRDEVKVQKEAVEEKELLENKIGSWGGNGGVTCDIKVPPKHL >LPERR02G11810.3 pep chromosome:Lperr_V1.4:2:9444229:9447498:1 gene:LPERR02G11810 transcript:LPERR02G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSRTARRVSLLGRVAVPFQDVAPVDYPADGQIQQKGARGDIMFIKAELESIQAALEKLFEVQVTDSLVKIWERDVREQSYDIEDVIDTFMIHIENHLLTKPHGLKGFMKGSLSLLTRAMIRHRIATDIKRIKKLVSEASARRDRYKIDNIVAAAKTKTTIDPRLVGIYREALVGISGPKEELTKLLMESEGTSKNKLKVISIVGVGGLGKTTLANVIYQHLKGQFDCDAFVSVSLKPDLKKILSSIFRQFSGQGNALTETWCTEEIINKIRDEINDKRIITTSRVLYAAAPCSSEVDHTIYKLQPLSNDNSKKLFYKRIFCCEDGCPPELKDISEKTLRKCDGVPLAILTIGSLLATKPQNINQWNKGLYLEKTWILYMNKEVITSMS >LPERR02G11810.4 pep chromosome:Lperr_V1.4:2:9444229:9449972:1 gene:LPERR02G11810 transcript:LPERR02G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSRTARRVSLLGRVAVPFQDVAPVDYPADGQIQQKGARGDIMFIKAELESIQAALEKLFEVQVTDSLVKIWERDVREQSYDIEDVIDTFMIHIENHLLTKPHGLKGFMKGSLSLLTRAMIRHRIATDIKRIKKLVSEASARRDRYKIDNIVAAAKTKTTIDPRLVGIYREALVGISGPKEELTKLLMESEGTSKNKLKVISIVGVGGLGKTTLANVIYQHLKGQFDCDAFVSVSLKPDLKKILSSIFRQFSGQGNALTETWCTEEIINKIRDEINDKRWISEGFIPGEDVDTLYEQGGNYFNELVNRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSDEINFVTSLRGQQSTCQSNKVRRLCLQNSMYDHTIRQETMKWSRVRSLIVFPDATNLLPSLSRFCILRVLDLEGCQDLKSRQFKYICGLFHLRSLILKGTNISSLPNKIGNLSCLHTLDIRHTIITELPLTVVHLRKLVHLLIDASVKLPDGIGNMKCLQEISLVGISRSPNFLKELGCLTELRKLQISESTGAWHKGYEKTLIDSLRNLHKIHHLYIHGCELSTEFISNIRCFSQHLRYISCGQLSILPRWINSSLLCLSTIDLILNVLRQYDLQCLGALQFLHCLRLKVLKIEPERLVVSTEHAKFHNLAEFSFTTSAMGLIFMQYSMPRLENLELGFNVRQTKGFDIGLEHLSSLKDVTVRIDCRDSSTSEVQNADAAIRRILYMNSNQPNIHVIRHYEQNLIRDEVKVQKEAVEEKEVLSK >LPERR02G11810.5 pep chromosome:Lperr_V1.4:2:9444229:9448879:1 gene:LPERR02G11810 transcript:LPERR02G11810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSRTARRVSLLGRVAVPFQDVAPVDYPADGQIQQKGARGDIMFIKAELESIQAALEKLFEVQVTDSLVKIWERDVREQSYDIEDVIDTFMIHIENHLLTKPHGLKGFMKGSLSLLTRAMIRHRIATDIKRIKKLVSEASARRDRYKIDNIVAAAKTKTTIDPRLVGIYREALVGISGPKEELTKLLMESEGTSKNKLKVISIVGVGGLGKTTLANVIYQHLKGQFDCDAFVSVSLKPDLKKILSSIFRQFSGQGNALTETWCTEEIINKIRDEINDKRIITTSRVLYAAAPCSSEVDHTIYKLQPLSNDNSKKLFYKRIFCCEDGCPPELKDISEKTLRKCDGVPLAILTIGSLLATKPQNINQWNKVLDLIGSGLEKSSHVENMRHILSISYYDLPADLRACFLYLAIYPEDYNILRDLLIRRWISEGFIPGEDVDTLYEQGGNYFNELVNRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSDEINFVTSLRGQQSTCQSNKVRRLCLQNSMYDHTIRQETMKWSRVRSLIVFPDATNLLPSLSRFCILRVLDLEGCQDLKSRQFKYICGLFHLRSLILKGTNISSLPNKIGNLSCLHTLDIRHTIITELPLTVVHLRKLVHLLIDASVKLPDGIGNMKCLQEISLVGISRSPNFLKELGCLTELRKLQISESTGAWHKGYEKTLIDSLRNLHKIHHLYIHGCELSTEFISNIRCFSQHLRYISCGQLSILPRWINSSLLCLSTIDLILNVLRQYDLQCLGALQFLHCLRLKVLKIEPERLVVSTEHAKFHNLAEFSFTTSAMGLIFMQYSMPRLENLELGFNVRQTKGFDIGLEHLSSLKDVTVRIDCRDSSTSEVQNADAAIRRILYMNSNQPNIHVIRHYEQNLIRDEVKVQKEAVEEKEVLSK >LPERR02G11820.1 pep chromosome:Lperr_V1.4:2:9454560:9456429:-1 gene:LPERR02G11820 transcript:LPERR02G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIENPAVPVRLEVYDKDTFIDDAMGNAELDIRSLVEVVKMKLDGIQDNTVVKKVIPDRQNCLAEESAIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >LPERR02G11830.1 pep chromosome:Lperr_V1.4:2:9470463:9476423:-1 gene:LPERR02G11830 transcript:LPERR02G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQACHRTAGVVRRLCLRLPLSMAPRAPARCAPLDLPPIRRVRVQAAVTLRARTKPAPFCFHKIAVADLQLVAASTVLFQFAMYKSAVEEPDRTAATICAAADASSANRHLMAGVIKLKMMSCEGQRRSQSGRRSAEIARDSLSLAPNRYKCHNYQRIGYLGDSAEGFLHPLKSKLGISRVGLHVAVKVHKKADDGSCSSEVADEHNGTLSNASRKLEVNHLGALRCYFSKLNSEKVQKPYSFRQNNTQNTGPLSINIEEAKLANDYGDFKNTLESLEVRFNRRNRGTKGYLKTAVEDYTDYLIFDEKNFLDTQKDDQASSFCLTNLLAAINIAVSLFEIASPVRNTDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWMLLTFGPRVCSAYGQTTFLLMYILGGVCGNLTSYVHTSELTVCGTGPVFALIGAWLIYQSQNKDAVDKNVSETMFRQAVAATTISFVLSSFGRIDNW >LPERR02G11830.2 pep chromosome:Lperr_V1.4:2:9471992:9476423:-1 gene:LPERR02G11830 transcript:LPERR02G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQACHRTAGVVRRLCLRLPLSMAPRAPARCAPLDLPPIRRVRVQAAVTLRARTKPAPFCFHKIAVADLQLVAASTVLFQFAMYKSAVEEPDRTAATICAAADASSANRHLMAGVIKLKMMSCEGQRRSQSGRRSAEIARDSLSLAPNRYKCHNYQRIGYLGDSAEGFLHPLKSKLGISRVGLHVAVKVHKKADDGSCSSEVADEHNGTLSNASRKLEVNHLGALRCYFSKLNSEKVQKPYSFRQNNTQNTGPLSINIEEAKLANDYGDFKNTLESLEVRFNRRNRGTKGYLKTAVEDYTDYLIFDEKNFLDTQKDDQASSFCLTNLLAAINIAVSLFEIASPVRNTDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLDEMARWDKRRERELGGQETQRWPGTSIVLQLGDGKGWCIGGEDPAVQI >LPERR02G11830.3 pep chromosome:Lperr_V1.4:2:9472681:9476423:-1 gene:LPERR02G11830 transcript:LPERR02G11830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQACHRTAGVVRRLCLRLPLSMAPRAPARCAPLDLPPIRRVRVQAAVTLRARTKPAPFCFHKIAVADLQLVAASTVLFQFAMYKSAVEEPDRTAATICAAADASSANRHLMAGVIKLKMMSCEGQRRSQSGRRSAEIARDSLSLAPNRYKCHNYQRIGYLGDSAEGFLHPLKSKLGISRVGLHVAVKVHKKADDGSCSSEVADEHNGTLSNASRKLEVNHLGALRCYFSKLNSEKVQKPYSFRQNNTQNTGPLSINIEEAKLANDYGDFKNTLESLEVRFNRRNRGTKGYLKTAVEDYTDYLIFDEKNFLDTQKDDQASSFCLTNLLAAINIAVSLFEIASPVRNTDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLASPFRVVGKESRPSGNIEGHGPNNREIDLVQAPESKFNNNAISEL >LPERR02G11830.4 pep chromosome:Lperr_V1.4:2:9470463:9472132:-1 gene:LPERR02G11830 transcript:LPERR02G11830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDKRRERELGGQETQRWPGTSIVLQLGDGKGWCIGGEDPAHSGFLHIALGCWMLLTFGPRVCSAYGQTTFLLMYILGGVCGNLTSYVHTSELTVCGTGPVFALIGAWLIYQSQNKDAVDKNVSETMFRQAVAATTISFVLSSFGRIDNW >LPERR02G11830.5 pep chromosome:Lperr_V1.4:2:9470463:9471293:-1 gene:LPERR02G11830 transcript:LPERR02G11830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYILGGVCGNLTSYVHTSELTVCGTGPVFALIGAWLIYQSQNKDAVDKNVSETMFRQAVAATTISFVLSSFGRIDNW >LPERR02G11840.1 pep chromosome:Lperr_V1.4:2:9480272:9484884:-1 gene:LPERR02G11840 transcript:LPERR02G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGAGGGAGSSDRTPRVAMACVLSLEVATVLAIMRRNVRWAGVRYGGGDGGGGGDDEHLDHPLIAGLKSLRRRAASWDGDAPRRWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPDTPGVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVVRCVFARLPDVDATVVAADGQTSGSKNQGLTDGEIGNGKSDFVCLNSSGDEVGTGFGVVQDQAMKELFGVPCMVEILQFLCSLLNIAEDIEVNTRINPIDFDEDVPLFALGLISSAIELSASSIHKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVSVIQAIAERTDNAPQYHEQTVSEISEYFPFWQLKCENTNDPEQWVRFVHQQKSIKRKLMVGVEHFNRDKRKGFEYLQGSHLLPENLDPRNVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGTGCSEMSFSRWVDLMWKSKRTSAYIACDSYPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYIDDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKIGLLPGRLTGDTADDQDSSSDMLPNKLASYSTVPQVLPVSTPKKTYGLMGRFSQLLYLDAEEPRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQKITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKANAIHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEASGEADRILEGIAEMWLRLVQALRKVCTDQREELLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDLSSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEAIPELLKNILLVLKANGVLSKTSTSEENSLWEATWLQVNNIAPSLQPEVFPDSEGDVATQSAQNKSDSPAQSEGVNV >LPERR02G11850.1 pep chromosome:Lperr_V1.4:2:9485922:9487029:1 gene:LPERR02G11850 transcript:LPERR02G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGISGKDFVDKVWTKLQSQVHASPFSRAVPFKFVIWFSRFSFRLNVQLVALALSCCLGGNPSGFLVEHLQQNSYDPSIVNLLSFIFIFGEMEGQIDVRNIPIGSLNVILNGPLFVLKRENIRLDVSFAQVVLSNPPLQKLSNVPSQTTHSDHLGHKANFCKGKPRCSFCFAYGHLTKFCFRKCQLNLHGTWVVKKPSISSVGEQSPLGSHANRSHPSINKSPMAIASAEEPPMANLHPNPNRFLFPGQHINHGSAFRRPRADCFVTPPQR >LPERR02G11850.2 pep chromosome:Lperr_V1.4:2:9487034:9487654:1 gene:LPERR02G11850 transcript:LPERR02G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSLRWFLLPWKKIFDALRHQIRALLEQEQGIHVTHSSPHPSAIGLFGVRSTLIRDTLVVPMEDNVEATIMLRATYEDVDTVPRKIVMKKVPSRGGQGESSIVSIFLLNSNFVDIQPPDENLPPMEVILQPPPPFTPSQCFW >LPERR02G11860.1 pep chromosome:Lperr_V1.4:2:9492313:9492564:-1 gene:LPERR02G11860 transcript:LPERR02G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGFESSNAPAIHAVPIKVVAPSAPPMAMMQENPLASAPPMAAAGVVGNAQVDNRVMQQPKWLEKMVYVCIILTIYAIFKK >LPERR02G11870.1 pep chromosome:Lperr_V1.4:2:9494428:9498579:1 gene:LPERR02G11870 transcript:LPERR02G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATAPPPAAALPAAPPPSYPAISATSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >LPERR02G11870.2 pep chromosome:Lperr_V1.4:2:9494428:9498751:1 gene:LPERR02G11870 transcript:LPERR02G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATAPPPAAALPAAPPPSYPAISATSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >LPERR02G11880.1 pep chromosome:Lperr_V1.4:2:9499201:9501141:1 gene:LPERR02G11880 transcript:LPERR02G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAEYSPHLLHFTNLRPSQPARSPVHSTRRHLPCVRRDDTPVRKRFAPYATPRPRFPSLPFFLPFPSSSSHRIDGNRNLSRRRSMEVGRDGARRRCREYLVALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDGAGSEETVSDQGPPPVLEEFIPLKPSLSLSSDEDEESTHAKKEEAAETSERHSPPQPEASKKVTPDWLQSVQLWSQEPHPSSPTPTPTPLAKDVPCKPVALNARKGGGAFHPFEKEKQRVAAELPASSTTAAAAASSTAVGDSGGDKATTDDETENHRDETDKVDAKDKEGQSQSSTQANRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGHSSAGAGAGAAVVAPPAPQFVVVGSIWVPPPEYAAAAAAQHAAAAADASGSANPVYAPVAMLPPGLQPHSHRKQQQQQGQRSHVSEGRRSGDAGDGSSSSPAVSSSSTQTTSA >LPERR02G11890.1 pep chromosome:Lperr_V1.4:2:9511159:9514862:-1 gene:LPERR02G11890 transcript:LPERR02G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRLHPPTHLCPRLRPPHHPHLRRHLVGCSIPFQSASSTGGDAARARNPPRPAAAVGGAEFGEQRRRVGEDYDGGEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLQAGRKTVVATVLLLFTLSGPTRALGACGYVLLSSFLIRENILALITVNIHASLTYILTAAGVNTIPSMDAIYILFATLLLLNCGFFIFLLHIMYTVFLTKLGIKPSLRPPRWLDKAI >LPERR02G11890.2 pep chromosome:Lperr_V1.4:2:9511159:9514862:-1 gene:LPERR02G11890 transcript:LPERR02G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRLHPPTHLCPRLRPPHHPHLRRHLVGCSIPFQSASSTGGDAARARNPPRPAAAVGGAEFGEQRRRVGEDYDGGEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLQAGRKTVVATVLLLFTLSGPTRALGACGYVLLSSFLIRENILALLLLNCGFFIFLLHIMYTVFLTKLGIKPSLRPPRWLDKAI >LPERR02G11900.1 pep chromosome:Lperr_V1.4:2:9513971:9520785:1 gene:LPERR02G11900 transcript:LPERR02G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPPTTTAAAAAFSRPPPPPPSRLRASRHLLFAFPRLRKYGRRDREPVATSFDEESEEDEDYDGEGEEEDDEEEVEVDEDEYLKNRPKPVGFGAGKTYTTDIEEQLLREMGLGGGRRTTPAKSKNRAAASISAKETGEDLNDGGVRVRVWNLPKKKNIHKDLNLAFKGFPGLKNIEPAVSANKKTRDPICKGFAYLKLESLEAASRFVELYSQKAVSFGKVQKPIKCCIVDAQSSIDSENQPSSSQAIRQPGFKAQNLVAAS >LPERR02G11910.1 pep chromosome:Lperr_V1.4:2:9523217:9523777:-1 gene:LPERR02G11910 transcript:LPERR02G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVDARGGKVAPAGSGGGRARLLVTVTVLGSAGPLRFLIDEGETVTGLIRAALRCYAREGRMPLLGADAAAFLLYTANGGSDALSADEKIYFNGCRSFLIWQKAATDAAVVKGGRPELASVATCNPCKKRGGGGGWKGGLNKFLLSFSFKL >LPERR02G11920.1 pep chromosome:Lperr_V1.4:2:9526078:9528697:1 gene:LPERR02G11920 transcript:LPERR02G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENSNQELKSELKDLYINNAVEMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKEHNNTEYKLETFSAVYRRLCGKDVAFEYPMTENA >LPERR02G11930.1 pep chromosome:Lperr_V1.4:2:9536729:9537100:-1 gene:LPERR02G11930 transcript:LPERR02G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRRLGGIAAVLLLWLALLTIAFHGYGGARRRTLHHTAFHLPTPRKMLLAMASFDADDDHHHRDRHHGRRHHHRHHHHHRVVHDGWNRQGIPPAAGPGEEVDPRFGVQKRLVPTGPNPLHH >LPERR02G11940.1 pep chromosome:Lperr_V1.4:2:9538899:9544287:-1 gene:LPERR02G11940 transcript:LPERR02G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQKKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVDEEKKSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKVDLALKRRNIKAGAASALMAGRVKPSSWQSTSSGSSRTRMPALATRK >LPERR02G11950.1 pep chromosome:Lperr_V1.4:2:9551831:9553608:-1 gene:LPERR02G11950 transcript:LPERR02G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEAKGAPLVGVLPSVLSRGLEAVIREQHKELGSVFTLRWFGLAVTFLVGPECSDHFFHAPESEIGIDGVYDVTVPIFGKGVGYDIDLDTRNEQHRFFAKMLRPAKLRGHVPPMEYFGKWAESGVVDLKEEVDHLLMLIASRCLLGKEVRENMYDEVASLFHDLMGGLHLISMFFPYLPTPGHRRRDRARDRLDEIFSRIVKARKVSGRVEDDMLQDLIDSRYGSDGRATTDTEITGLLVALLFAGHHTSSTVTVWTALRLITQPDHLRAVMAEQERLILMDGGVNVDYGLLLQMDVLHRCIKEALRLHPVTQMVLRRARKGFTVRGKEGGEYSVPAGRLLASPLVVNGLLPDIYKDPHMFDPDRFAPGRAEDKAGGAGACSDLAYLSFGAGKHACMGEGYAYQQIKVILSHLLTTFELKLETSSFPEPENMLSMRPKGKV >LPERR02G11960.1 pep chromosome:Lperr_V1.4:2:9557774:9562326:-1 gene:LPERR02G11960 transcript:LPERR02G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQKKISQWHNPRRSIPNPSPFSPPKSATRHRCCLSRVQIASVAAAGATSVFRRSHQGRHPRAPGTISQNRHRPQARATVTVLSCSPGPLPSASPILRAARIHRQGSVGRSVTGWPDWPAPLACSTTNSAASDGQPQRLRGPIADDLFTGELSWGSGEGGNPLSIA >LPERR02G11970.1 pep chromosome:Lperr_V1.4:2:9567730:9570875:-1 gene:LPERR02G11970 transcript:LPERR02G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTYIANAWFALALVFIVTVAAKVLGSRTPAVEKTTKKLPPPPPEAKAAPLIGVLPAVLSRGLQAVIREQHRELGSVFTLKSLGVAVTFLVGPECSDHFFHGAESEMAIDDVYKFTTPIFGKGVGYDVDLDTRTEQHRFFAKTLRPAKLRGHEYFGKWGECGVVDLKQEMDHMLMLIASRCLLGKEVRENMFDEVSSLLGELLGNTYLVSIFLPYLPTPAHRRRDRARERLHEIFSQIVRARKSSGRVEDDFLQELMDSRYGDGRATTDAEVTGLLMALLLGGQHTSSSTAVWTLVCLLTHPDHLHAVVAEQEQLVVGRHHGSDVAFIDYGLLLQMDVLHRCIKETLRLHPVMSVILRHARTGFTVRTKEGNEYSVPAGRLVASPLVVNNQLPDLYKNPHLFDPDRFAAGRAEAAGNLDYLAFGAGKHACMGEGYAYQQIKVILSHMLRNFDFKLESLFPEPENMLSMRPRGKLTVSYKRRAWLRNMQE >LPERR02G11980.1 pep chromosome:Lperr_V1.4:2:9578826:9603280:1 gene:LPERR02G11980 transcript:LPERR02G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKISEGGAPGLRSCNGFLGRAVWEFDREAGTPEERAEVERVRREFTLHRFERRESQDLLMRMQIHYAKQNRVQVNLPGLPASKPIKSEQVTEEIILTSLRQALVQHSDLQAHDGHWPGDYSGIMFIMPILNEDGGWGTQVLGPSTMFGSCLNYITLRLLGEERSNNALTKGCAWIISHGTAAAIPQWGKIWLSVIGLYDWSGNNAIIPELWLLPHFLPIHPGRFWCFCRLIYMPMAYLYGKKFIATITPVILELRQELYSLPYKEINWLKARDTCAKEDLRYPRTFVQNAVWTCVNKVVEPILNQWPFNKLRDAALNNLMRHIRYEDESTNYIGICPINKALDMICCWIDNPHSDAFKLHLHRIYDYLWVAEDGMKAQVYDGCQIWEIAFIVQAYCSTDLANEFSQTLRKAHDFIKKSQVLENLPENEAYYRHRSKGSWPLSTVDNGWCVSDCTGEALKALLMLSKISPDLVGEPINGERLYDAVDGMLSFMNKDGTFSTYECKRTTPWLEVLNPSETFLNIIVDYPSVECTSSVLQALVMFSELHPVYRKEEIGKCIRSSLRFGTWGICFTYGTFFAVKGLVSAGRTYENSPAIRKACHFLLQKQLSTGGWGETYLSSETEVYVEASKPHAVNTAWAMLALIYAGQVERDPRPMYHAAKELINMQLETGEFPQQEHVGCFNCSFYFNYSNYRNLFPIWALGEFHHRHLCGSCGLYTLRSQPSAHPPKRHCDPAIFGGLTERWLLRPLTEKFNYGPKNDVALTSLRSRNPGFIDVENVVFGSKSISNDILTTRKQHHDTLLYVFTKKPC >LPERR02G11980.2 pep chromosome:Lperr_V1.4:2:9578826:9605152:1 gene:LPERR02G11980 transcript:LPERR02G11980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKISEGGAPGLRSCNGFLGRAVWEFDREAGTPEERAEVERVRREFTLHRFERRESQDLLMRMQIHYAKQNRVQVNLPGLPASKPIKSEQVTEEIILTSLRQALVQHSDLQAHDGHWPGDYSGIMFIMPILNEDGGWGTQVLGPSTMFGSCLNYITLRLLGEERSNNALTKGCAWIISHGTAAAIPQWGKIWLSVIGLYDWSGNNAIIPELWLLPHFLPIHPGRFWCFCRLIYMPMAYLYGKKFIATITPVILELRQELYSLPYKEINWLKARDTCAKEDLRYPRTFVQNAVWTCVNKVVEPILNQWPFNKLRDAALNNLMRHIRYEDESTNYIGICPINKALDMICCWIDNPHSDAFKLHLHRIYDYLWVAEDGMKAQVYDGCQIWEIAFIVQAYCSTDLANEFSQTLRKAHDFIKKSQVLENLPENEAYYRHRSKGSWPLSTVDNGWCVSDCTGEALKALLMLSKISPDLVGEPINGERLYDAVDGMLSFMNKDGTFSTYECKRTTPWLEVLNPSETFLNIIVDYPSVECTSSVLQALVMFSELHPVYRKEEIGKCIRSSLRFGTWGICFTYGTFFAVKGLVSAGRTYENSPAIRKACHFLLQKQLSTGGWGETYLSSETEVYVEASKPHAVNTAWAMLALIYAGQVERDPRPMYHAAKELINMQLETGEFPQQEHVGCFNCSFYFNYSNYRNLFPIWALGEFHHRLVLRNN >LPERR02G11990.1 pep chromosome:Lperr_V1.4:2:9610501:9610758:-1 gene:LPERR02G11990 transcript:LPERR02G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLEAEIGITLYQQLRFDWLKEVASSVEAAKERLNELEDINRRINARDDKLREREAATAQCEATLHQQEGDLADRKACLAELA >LPERR02G12000.1 pep chromosome:Lperr_V1.4:2:9618084:9619769:-1 gene:LPERR02G12000 transcript:LPERR02G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTALLEHTFLVNSVVFMDRIPIFNEWFAIALVFIITVATKVVRSRTLKTTTKLSPPPPPEAKGAPLGGFIPAVLSRGLQAVIRQQHSELGNVFTLRSLGVAVTFLVGAECSDHFFHAPESEITIDGVYNFTAPMFGRGVGYDVDVDTRNEQNRFFVKTLKPAKLRVHVGIMEYFEKWGERGVVDLKHEIDHVLMLITSRCLLGKEVREKMFEEVSTLIDDLLGGTHLISIFFPYLPTPAHRRRDRALARLQQIFSQIMTARRLSGRVEDDMLQDLMDSRYGDGRATTDSEVTGLLVALLLAGQHTSSGTTIWAALRLLTHPDHLNAAVAEQEQLVVGGHHGGGGSFVIDYGLLQQMDVLHRCIKETLRLHPILSMILRRTRKGFTVRTKEGGEYTVPSGRLVASPLLVNHLLPDIYKEPHVNDPDRFVAGRAEEKSGSGIGNLAFLSFGAGKHACMGEGYAYQQIKVILGHLLRNFELKLESPFPEPENMFSMRPSGKVLVSYKRRKLLCNMQD >LPERR02G12010.1 pep chromosome:Lperr_V1.4:2:9630400:9638228:1 gene:LPERR02G12010 transcript:LPERR02G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTINFYSFKRLSDAANPVCRHSGRKLSFERSMSRHSSLGGSRRNSQTYALNEYEIETCDDTKSGKNVLRRLLQLHKPETAILLLGCIAASANGAILPVFGLLLSSAISTFYEPPHKLQKDSVFWAEIYVILGVVSVFIIPVQHIMFNMAGGKLIERIRALSFRRVVYQEIGWFDDPLNSSGAIGARLSADAASIKSIAGDVLSLIVQSISTALVGIIIAMIANWKLAFIVLCFVPCVIAQSYAQSRLMRGFGADAKEMYEQASTIASDAIGNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFNKVQDAAFSIFRIIDKKSKIDASSDEGMTPEKIEGNIELQHVCFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVIALIERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVAQEPVLFNDTIRANIAYGKQEQVSEDEIIAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGAVAEEGRHERLLRLPGGAYATLVALQSSSS >LPERR02G12010.2 pep chromosome:Lperr_V1.4:2:9630400:9638228:1 gene:LPERR02G12010 transcript:LPERR02G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAAAVANGVAMPFLAFLIGELVDAFGAADRARVVHAVSKVSVRFAYVAIATGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDISFFDLETSTGEVTERMSSDTVLIQDAIGEKVVSFTGERRAIDKYNEFLKTSYRSAVHQGAAMGLGIGSLLLIIFCSYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATIHRKPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFSGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGQVLLDGVNIKLLNLSSIRHKIGLVSQEPMLFTTTIRENIEYGKKGASEEEIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKDYNGAYYQLLQLQEVNARRNGTYEPDYNRLSDAANPVCRHSGRKLSFERSMSRHSSLGGSRRNSQTYALNEYEIETCDDTKSGKNVLRRLLQLHKPETAILLLGCIAASANGAILPVFGLLLSSAISTFYEPPHKLQKDSVFWAEIYVILGVVSVFIIPVQHIMFNMAGGKLIERIRALSFRRVVYQEIGWFDDPLNSSGAIGARLSADAASIKSIAGDVLSLIVQSISTALVGIIIAMIANWKLAFIVLCFVPCVIAQSYAQSRLMRGFGADAKEMYEQASTIASDAIGNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFNKVQDAAFSIFRIIDKKSKIDASSDEGMTPEKIEGNIELQHVCFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVIALIERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVAQEPVLFNDTIRANIAYGKQEQVSEDEIIAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGAVAEEGRHERLLRLPGGAYATLVALQSSSS >LPERR02G12010.3 pep chromosome:Lperr_V1.4:2:9630400:9635247:1 gene:LPERR02G12010 transcript:LPERR02G12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAAAVANGVAMPFLAFLIGELVDAFGAADRARVVHAVSKVSVRFAYVAIATGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDISFFDLETSTGEVTERMSSDTVLIQDAIGEKVVSFTGERRAIDKYNEFLKTSYRSAVHQGAAMGLGIGSLLLIIFCSYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATIHRKPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFSGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGQVLLDGVNIKLLNLSSIRHKIGLVSQEPMLFTTTIRENIEYGKKGASEEEIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQARLKNMFAKQDHMLS >LPERR02G12020.1 pep chromosome:Lperr_V1.4:2:9644634:9645927:-1 gene:LPERR02G12020 transcript:LPERR02G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWQRGPVIGRGATATVSIATDRRTGELFAVKSVDVSRAGELRREQSVLSALSSPFVVPCAGSDVSADGMRYDLFLEYAPGGSLADEIKRCGGRCEEPLIRSRVGDVLRGLAHVHAAGIAHCDIKGRNVLVGADGRAMLADFGCARWTAEDGCCNAVTIRGTPMFLAPEAARGEEQGKAADIWAVGCTVIEMATGAAPWPRFADPVAALHHVARSGEIPESPAWLSDEGRDFLARCLVRDPTERWTAEQLLDHPFVAAAAVASPSNPTSKAAQIGQRVSPKSVLDERVWEDTSTDFDTAVALAPADRLRALSAGASVPPPDWTWSVDGGWISVCGRTDDDHDATPSPRHGVVGGGEEAAAAPELVIIPGGDERAAADDHGDGGASSHCSWLRRVG >LPERR02G12030.1 pep chromosome:Lperr_V1.4:2:9660846:9664714:1 gene:LPERR02G12030 transcript:LPERR02G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTKLDLLERMVKQLFPEARCQNWPPPAVQPVWKTVWETKSSCLREGVFRTTCDPQLIEALPPESHNARVAFLTPKSVLPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALREDAAALTQDITSLTEGAAQKSGITLEQVKERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >LPERR02G12040.1 pep chromosome:Lperr_V1.4:2:9665621:9668153:-1 gene:LPERR02G12040 transcript:LPERR02G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEALREAISGITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGMDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >LPERR02G12050.1 pep chromosome:Lperr_V1.4:2:9669185:9673299:-1 gene:LPERR02G12050 transcript:LPERR02G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAALLFKTPLRKLTVLGIDRLKRGRRAPVAVKTVAGVVAALLASTLYSMAEISGRAGGDPESGGGGAASLSPTDQVLFSRHLLEASLMGYSLFLALIIDRLHQYIRELRGLKKNVEAVTKHNKMLEEAKHGRSEETKKYQEEIAALNEDMKKLKLQVQEKTEEVNISEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDLRLSSS >LPERR02G12060.1 pep chromosome:Lperr_V1.4:2:9675312:9675881:-1 gene:LPERR02G12060 transcript:LPERR02G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSSSVAVWKEKVVYAAGRRRRRGEVAGAEDVAGGVGGGYGLLGGDADADVVEFVEEDLMAPAQKVVKCGAETTVTTVAAGGGTPSRPIWQKKVLMGVKCQLPRFSGMILYDERGRPVCSGIRPDRARDQEKHAAAIMVLRDML >LPERR02G12070.1 pep chromosome:Lperr_V1.4:2:9678193:9680914:-1 gene:LPERR02G12070 transcript:LPERR02G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPLPLRRGFARRRGPRCCSAAPPSGGGATSSTASSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKFSVDETVSKLTKAIKWRQDFRLSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETLGEYQNHRD >LPERR02G12070.2 pep chromosome:Lperr_V1.4:2:9678193:9680914:-1 gene:LPERR02G12070 transcript:LPERR02G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPLPLRRGFARRRGPRCCSAAPPSGGGATSSTASSSKVPATPPPFIVSRICRDVERDFLVLLLSPCVKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKFSVDETVSKLTKAIKWRQDFRLSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETLGEYQNHRD >LPERR02G12070.3 pep chromosome:Lperr_V1.4:2:9678506:9680914:-1 gene:LPERR02G12070 transcript:LPERR02G12070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPLPLRRGFARRRGPRCCSAAPPSGGGATSSTASSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKFSVDETVSKLTKAIKWRQDFRLSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETVPHDFRN >LPERR02G12070.4 pep chromosome:Lperr_V1.4:2:9678506:9680914:-1 gene:LPERR02G12070 transcript:LPERR02G12070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPLPLRRGFARRRGPRCCSAAPPSGGGATSSTASSSKVPATPPPFIVSRICRDVERDFLVLLLSPCVKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKFSVDETVSKLTKAIKWRQDFRLSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETVPHDFRN >LPERR02G12080.1 pep chromosome:Lperr_V1.4:2:9684124:9689405:1 gene:LPERR02G12080 transcript:LPERR02G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAALLPIPSSPSCSSSEDSDEAKPLPPPPAPEDHSPPPQQQQQQERRRRRGWQMERDCNVAMRDLALAGDVDGVFAVFDELKRAGADGGAPPNVLCCNTLVNALAEAGRAGEALKVFEEMLASGVAPNASSYNILIKLHARQLQFDLAWELIHKSDLEPDVGAYSTLITGLCRAGKVVEAWGVLDWMLEKNCRPMVHTYTPIVQAYCSDGRIEEAKLLMAEMEHVGCLPNAVTYNVLIRALCDDGRFDEVDQVLVESRTKDWKPTTVTYNIYMNGLCRKGKAKEALEQLDVMLGEGLDPTAYTLSILLNCLCHDSRLLDAIYLLQRSTELKWYAGVVAYNTVMSRLCEMGKWMAILKLLTDMIKKGIEPNTRTFNILIRSLCVGRKSSLAKSLIHSQGFPANVVTYNILIHWFYYRGKLTEANRLISLMEEKNIARDEVTYTIIVAALCREGKFDAATGFFLQSLKSGLSMDVLTVLLSRLVHADKIWEINRIFDRKDLAPDHHVFDLTIRTFCRAGYCCLRWDAPRIHEGNLEMQQTVELDGESGSGQLAVATIGGP >LPERR02G12090.1 pep chromosome:Lperr_V1.4:2:9689108:9690130:-1 gene:LPERR02G12090 transcript:LPERR02G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQPEQRRGTPKPLFVVRSGNRQPPPSKPEAPAFQAQSFGQLQFQKHAGRADTRPGHERFRHEVDPGVLDRRRCAPKLVRVPCRSSSCTTAVEPVAAEERPLTKTMASRGRRPPPEGSKTLEERPLTKTMVSRGTSPPLEGSGTPVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRRIRGSTPVEKEDFEGCYNTQGPPIVACQKQEMYKEDYQQNGPLAQTLYLHNHNSYNNDNP >LPERR02G12100.1 pep chromosome:Lperr_V1.4:2:9751959:9758087:-1 gene:LPERR02G12100 transcript:LPERR02G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVPPVASLLAGPAVAWPATVARLRPPHGVAVGPSPSGHHPTRYRASRGGARRLPIPSAAGGGTVGDAFVIEDTTNVKFPREIAVPGYTEPLIILGTGYREKFFLKIYAAAFYVDCSIGVDTTRWREKVGIEAFDASLIFDSIFKALVVKSLSIILVRDVDGKTFVKALDDIIARQIKKPSAEEEQGLSTFQKTFLGRSLKQGTTVYLTWVEPSRLLISIAGSQDPCQVDAEITSATVNYALYDGFFGSSPVSPTLKSSTAQLLEAILTK >LPERR02G12110.1 pep chromosome:Lperr_V1.4:2:9761294:9765542:1 gene:LPERR02G12110 transcript:LPERR02G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATRSTTPESLPPLPPAFLDFLRENGLDPMMYSMADTIPRYIRLKPGMEPQIPEIESELKCRLNEVSWLPYFYAIPPEVQIAGSRAYQQGKIYGIDAASGAAILALDVQPGDHVLDLCAAPGAKLCMLADMLGTTGSLTGVDVAKHRLAACRTMLQKYSLGDRSRLFVADGTSFSILPVNSSLGESTGLEDNGSIFSEWTSKRSWKERQKSKKAKTAGSPHLTSISEPELIYYGKHSGLVGLRKCDVLRPSADVEALTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLLGLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADNWPCRSGSIFKTLRFDPATSQTSGLFVAKFTKLQT >LPERR02G12120.1 pep chromosome:Lperr_V1.4:2:9766648:9768118:-1 gene:LPERR02G12120 transcript:LPERR02G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSRVQALYELCKRTFPSSPSSTADASPSSPPPDHAIPTISSLLDTITPADVGLRDDNLEDDGRGFAIGESDLLKYSEMAQPFTYLHVYNCDAFSIGIFCLPTSVAIPLHDHPGMIVFTKLLYGSMHVKSYDWVEPAVLASSNKPVRLGKLHKDDVLNAPCPIAVLYPQSGGNIHCVTSLSSCSFLDVVAPNPQYRFQSDEHVCSYFHDFPFSSFSARHDKVAHGPDHFAWLETIDEPANMNVRNGMYAGPIVQV >LPERR02G12120.2 pep chromosome:Lperr_V1.4:2:9766648:9768118:-1 gene:LPERR02G12120 transcript:LPERR02G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSRVQALYELCKRTFPSSPSSTADASPSSPPPDHAIPTISSLLDTITPADVGLRDDNLEDDGRGFAIGESDLLKYSEMAQPFTYLHVYNCDAFSIGIFCLPTSVAIPLHDHPGMIVFTKLLYGSMHVKSYDWVEPAVLASSNKPVRLGKLHKDDVLNAPCPIAVLYPQSGGNIHCVTSLSSCSFLDVVAPNPQYRFQSDEHFDFLTGQLCSAPTAAARHDKVAHGPDHFAWLETIDEPANMNVRNGMYAGPIVQV >LPERR02G12120.3 pep chromosome:Lperr_V1.4:2:9766648:9768118:-1 gene:LPERR02G12120 transcript:LPERR02G12120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSRVQALYELCKRTFPSSPSSTADASPSSPPPDHAIPTISSLLDTITPADVGLRDDNLEDDGRGFAIGESDLLKYSEMAQPFTYLHVYNCDAFSIGIFCLPTSVAIPLHDHPGMIVFTKLLYGSMHVKSYDWVEPAVLASSNKPAARHDKVAHGPDHFAWLETIDEPANMNVRNGMYAGPIVQV >LPERR02G12150.1 pep chromosome:Lperr_V1.4:2:9783005:9787721:1 gene:LPERR02G12150 transcript:LPERR02G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSSAYGATSDDDDADAGGTDATPTPSWAVTSFSPAAAAPPPLKRPRWEPLPYLPPPPHPVPQPAPPFRAGPPPASIASGRYVSKRERALLAASRSPAESPSPHPPSGAGEVGSAAHLLASAGMDHTVHVFNVWSKGNTTARVFKFHTSAVKDMRWSLNGFSLLSGGLDCSLRLVDVEKGKEIKVFKEDQAVEVVKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRSLGTILDIEFSSDGKQFICSTDTSRSNISENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAMFSARSPFRLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIEAFKEPCTDVAYHPVMPNNSKSALQHQRHPAGNPGVEYRIWIVY >LPERR02G12160.1 pep chromosome:Lperr_V1.4:2:9788148:9788384:-1 gene:LPERR02G12160 transcript:LPERR02G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCRWRRASGHAGASNGSDIDRGLRLGRSSRRSASLIWPRDHRRLVLVLGNVYTVPAMAGVFYLKLTYAVYIARFAS >LPERR02G12170.1 pep chromosome:Lperr_V1.4:2:9792155:9796679:1 gene:LPERR02G12170 transcript:LPERR02G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITRLPHSPRLLFPSRLSSAAAAAGNSTTVKMARSALDEVTDSGAFDRSPSTFRSSVSSSDNSARFPAVAGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDDEPGAEPDPFNGAKSIRELYEIASPYYVGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPGLDLYPAHLQASVDEINELVYDAINNGVYKCGFAKKQGPYEEAVTRLYEALDKCEEILSKQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLIREYPNLFNYTKDIYQIPGISSTVKMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRSEKFGA >LPERR02G12180.1 pep chromosome:Lperr_V1.4:2:9799932:9807020:-1 gene:LPERR02G12180 transcript:LPERR02G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDHKILSVPGKEREYNSQPKDDMFPIMKQPGTKTREADPMDDVAQHLIGNLLPDDEDELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDVDPLESITFGTAKASLVNGTGSSSNQYNIQNGGGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQNKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFLQQFNNEFEQDETRHNSFHLGSPSANSPPSLWSQLGSPTEENKLNAFNEAAFSGGMSLLGGNHLSGFSSGYPPIKSPIGKNSYWNNHADNMFHGSSTFHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNKTNLRDHGQPSSLQSQALSNSYIPNNQPQRQSSLYRNIRGSLGPSEHFSQLHVGSAPSVFPFENNFGYFSESPETSYMRQGKFGCTGSTRVGGGLMTKFGAYPRVNVPSMQNGSVGFESLLERGRNQTFGNSGCQEDSRVQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFYKAFAGRKWEKFNSEKVVSLAYARIQGQAALVNHFQNSSLMNEDKRCRPMLFDPKHTESSNQILLNGPIISMAQQDATQERREFPENTREANFSEKMQVIGLPGSFSEGG >LPERR02G12190.1 pep chromosome:Lperr_V1.4:2:9812869:9819860:1 gene:LPERR02G12190 transcript:LPERR02G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANQEDVDPNNNMAQMDPVSIAGIIRHLPCVVDYTMLTGVCRWWRFVARQHQPRPRELPWLLMPSTGAASNFCLADGEDEGHAHRRPGLPPDARGARFTGSVHGGWLAAAELPARLRTRGPALINLRTSDCVILARQLVYYRDFGGIYRGKMVFHAVALSSAPTEEGNANAPPKFAAAVVGAKSNIVFSNAGMDHWTPPMTRSNAKPAEWQELIPKRPIEDVTFYTGGTLGGGFYVLTDDENLVMYKPDKDVVDGKLTMPVVASYDFGDHRAATPEPDQLIARYLVESRGDLFMVVRFVSKEEGTVAFDVFKLDHQTGPVSGDCGPSAVGLRAIVQPASWTKLTGVKSLSGRKIFLRRCCSVAIDDKQNSAPLEIYFLDDSARLLGGRTDQPFPCGDTGMTSWFLNQEIVRCLTRDPPSDCSPWIWFFPYPDESPKINLLLRRSTRNRNSQTPPMADATPPPMADTIPPPPPPMADAPPPPPPPSPMVDAITPPPPPMADESNMAWAELPDESLAGIVRHLPCLVDHFMFAGVCTRWRFIAGQNLPRELPWLFIPSTTTASFFFCVICESTHQVPRIPDNARGARRFCGSFRGGWLAIAGFPIEIPGSHCAPALTRKIPALLNPCTGQRVDLPTGLRNNVPDVTTINLIHAIILSAEPSGPRPYCAAAIVSGKPNIAFWRPGMNNWTPPMLKWSAGIKQWQKLLSKDPIEDVTHFLSGQLGVGFYVLNSKEELLVYTPNPNGKPRELTMSSVQTFRTRRNPPQKEKSTASGSGSGEVLARYLVESRQQLLMVVRYVPTEKATVAFEVFRLEGKPTSLTWRKLSFDELADRTIFVGRGCSVAVELRSRCPLYIYFLDDSARFQFDAAGPFLCTDTGNCRLFDQHITRCLPRGPPSDCSPWIWFFLPENDALRKWSVMQTFREMAEKGLVNLDDCSVSIVT >LPERR02G12200.1 pep chromosome:Lperr_V1.4:2:9821685:9823978:-1 gene:LPERR02G12200 transcript:LPERR02G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSARRAAGPAAIRLFSTAFAAGRSHPVAGALPPGAASSLWMVRGVVTAPRRFAGAAAASPSGGVEVTAPTTTPTAAAEKKGESEKVAASYWGVAPTRLVKEDGTVWKWSCFRPWDAYDADVSIDLDKHHEPVTLGDKVARWTVKSLRLPVDLFFQDIHYQGHALKEVAAPLGYH >LPERR02G12210.1 pep chromosome:Lperr_V1.4:2:9840022:9846001:1 gene:LPERR02G12210 transcript:LPERR02G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAGPLRRALAPLVVLAFLLVAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITRSGEVINYQAFTNSKGLYTVAETMPESDRWESCLARPISSFHQHCTRRGDTHSGVKFTYSKPSGNSHTVKTFLYKPTNAPLYCS >LPERR02G12220.1 pep chromosome:Lperr_V1.4:2:9872421:9874888:1 gene:LPERR02G12220 transcript:LPERR02G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPPEWADLPVDALLAVFERLGAVEVLMAAGVVCRSWLRVASNEPGLWRRLDFTAGCFDPTVDMEAMARAAVDRANGRLQHFSAERFATDALLSYIAKRTNCMKSLRLLNCLEISEKGLVAIGKTSPCLEELELTTCSVNILLKDVGQAFPNLKCLRLNHRWYDVQLDEFRDNFHALGISCSMPKLCHLQLFANRLRNNALAAILDNCPHLESLDLRQCFNIKVDAELQAKCARLKDVRLPNDSTKDYEYETFIERPSLDPLALPFPGALSPFHVNDEDGDDDLGIHFGHRLTGYGFIIGGYHIHGQIIDDD >LPERR02G12230.1 pep chromosome:Lperr_V1.4:2:9887611:9893884:-1 gene:LPERR02G12230 transcript:LPERR02G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSATVGSIVGASGTYPVVLLWDATLSTESIESLPRKQRGHILRYSAWGRQQVDAVEPSWSCRGAPRRAVRAGAFPSAAPKPKYPTSGSVSLLSSQVRVRSPPACADLQRAGAPSCCSESSSKEGTEDLEEAMGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLTFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFIQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >LPERR02G12230.2 pep chromosome:Lperr_V1.4:2:9887611:9893884:-1 gene:LPERR02G12230 transcript:LPERR02G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSATVGSIVGASGTYPVVLLWDATLSTESIESLPRKQRGHILRYSAWGRQQVDAVEPSWSCRGAPRRAVRAGAFPSAAPKPKYPTSGSVSLLSSQVRVRSPPACADLQRAGAPSCCSESSSVIDLVAFGGLGVSHSQKEGTEDLEEAMGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLTFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFIQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >LPERR02G12240.1 pep chromosome:Lperr_V1.4:2:9899750:9904643:1 gene:LPERR02G12240 transcript:LPERR02G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTQTDMAEGEGEGEVEVETRDWAEMPSDALAAVFGKLDVTDLLTGAGIVCRAWRRLAATDPTLWRRVDMSHQGDIMEDDEAAAMARAAVDRAAGTMEAFWADSFVTDVLLLYISERASSLKSLQLSMCLNVSNEGMAEAMKGFPLLEELDITFCSLYGDVCATLGKACPQLKCFRLNERWTFEMDYAVYDGMDDDTEALGIASNMPELRELQLIGNKLTNDGLISILDQCQHLESLDIRQCYNIQMDDALKSKCARIRNLKLPRDSISDFKYRAYIVSSVAYSGSDLELDMYDDLLDVVTEDDDADFDEMDDYTDAGSDDAMYDDEFDI >LPERR02G12250.1 pep chromosome:Lperr_V1.4:2:9905426:9911718:-1 gene:LPERR02G12250 transcript:LPERR02G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLIWANLGWVPQKNHFPLSTPSRAKPFSRILPFASCAAAIFYRTSLSPNLRHDAPQAASRPTPRFSPQLPVGRRRHRRPTVSVAAIFYRQSPSPSRARPSSCSLCRDPPPAASHTTPPSSPPDPGSPAPSPTGHRRPLRLPAAPPSLRPHLLLDATSGFTPSAPPPLDYLASDMYSNLVWTRLRDWVGVFFPVPASSQLALADWWLQARACFQKSYRRAFDSLIMMVSGAIWKERNARIFERIYRAIGTLVADIKEEVEAWRKAGIFNTACD >LPERR02G12260.1 pep chromosome:Lperr_V1.4:2:9926931:9932304:-1 gene:LPERR02G12260 transcript:LPERR02G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTAATAPSRKTETYTDTKRRDDVRGVNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLADLSRSQDAAAGDGTTTVVVLAGSLLRRAQTLLSAGAHPTAAADALHRLATRSVDVLHGMAIPIELSDRDSLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKITGIKDMGRTATVLVRGSNQLVIDEADRSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELHGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >LPERR02G12280.1 pep chromosome:Lperr_V1.4:2:9958698:9969346:-1 gene:LPERR02G12280 transcript:LPERR02G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDSGGAAAETSHATGRWVVTLAGAALLDSFSESAMREWVIWATTSRRRRSLRCPRCRLRSRALFSAPAVALPSPAAVVALSPSARSPPTRIDQIVARGTTVYKECADDSLSWILALKDDKAFWEWVVLGDSMEVQQINIYVSRTSHGAGTYRTARNRKTRIRSIGRMCAFKPICSIYLANHAGVADYFNRRGVSAIFLFRRNLLHQFVSQLANKHDRYLKQLNGTHKAHANILASYKPKLNTTSLIQSLKQADDYTRGALENLSSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVCRHVKIHTKPLPEQIDNWDEVCSTCGMVMVPGKELAASVGDPDLLLLDESIDHFDFETIE >LPERR02G12280.2 pep chromosome:Lperr_V1.4:2:9958698:9969346:-1 gene:LPERR02G12280 transcript:LPERR02G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDSGGAAAETSHATGRWVVTLAGAALLDSFSESAMREWVIWATTSRRRRSLRCPRCRLRSRALFSAPAVALPSPAAVVALSPSARSPPTRIDQIVARGTTVYKECADDSLSWILALKDDKAFWEWVVLGDSMEVQQINIYVSRTSHGAGTYRTARNRKTRIRSIGRMCAFKPICSIYLANHAGVADYFNRRGVSAIFLFRRNLLHQFVSQLANKHDRYLKQLNGTHKAHANILASYKPKLNTTSLIQSLKQADDYTRGALENLSSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVCRHVKIHTKPLPEQIDNWDEVPGKELAASVGDPDLLLLDESIDHFDFETIE >LPERR02G12280.3 pep chromosome:Lperr_V1.4:2:9958698:9969346:-1 gene:LPERR02G12280 transcript:LPERR02G12280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDSGGAAAETSHATGRWVVTLAGAALLDSFSESAMREWVIWATTSRRRRSLRCPRCRLRSRALFSAPAVALPSPAAVVALSPSARSPPTRIDQIVARGTTVYKECADDSLSWILALKDDKAFWEWVVLGDSMEVQQINIYVSRTSHGAGTYRTARNRKTRISGMVMVPGKELAASVGDPDLLLLDESIDHFDFETIE >LPERR02G12280.4 pep chromosome:Lperr_V1.4:2:9958698:9969346:-1 gene:LPERR02G12280 transcript:LPERR02G12280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDSGGAAAETSHATGRWVVTLAGAALLDSFSESAMREWVIWATTSRRRRSLRCPRCRLRSRALFSAPAVALPSPAAVVALSPSARSPPTRIDQIVARGTTVYKECADDSLSWILALKDDKGTLKVMVPGKELAASVGDPDLLLLDESIDHFDFETIE >LPERR02G12290.1 pep chromosome:Lperr_V1.4:2:9976705:9983009:-1 gene:LPERR02G12290 transcript:LPERR02G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSHHHQTPREDGFFHPSDGACPAESSGRTECKSQGLTVMRKVQKADREKMRRDKLNEQFQELGGTLDPDRPRNDKATILGDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPPAYPFSVPVPIPSGVVPIHPQLQAYPYFRNQTSGTVPNPGTPYMVYTQPVRPPTDQPSNQFNDPVQHSSSNRSHSMVQDCRRKLSTLQQASCRGESEFDDVATDLELKTPGSSVALQPEVANTDSSSDLKKKQCIQETNGSTLTEGSSSSRCSSSGPPDVSNSVEDESVADDHRSTVQI >LPERR02G12290.2 pep chromosome:Lperr_V1.4:2:9976705:9983009:-1 gene:LPERR02G12290 transcript:LPERR02G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSHHHQTPREDGFFHPSDGACPAESSGRTECKSQGLTVMRKVQKADREKMRRDKLNEQFQELGGTLDPDRPRNDKATILGDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPPAYPFSVPVPIPSGVVPIHPQLQAYPYFRNQTSGTVPNPGTPYMVYTQPVRPPTDQPSNQFNDPVQHSSSNRSHSMVQDCRRKLSTLQQASCRGESEFDDVATDLELKTPGSSVALQPEVANTSKSGGDEPTFSCLSFSQDSSSDLKKKQCIQETNGSTLTEGSSSSRCSSSGPPDVSNSVEDESVADDHRSTVQI >LPERR02G12300.1 pep chromosome:Lperr_V1.4:2:9988428:9990055:1 gene:LPERR02G12300 transcript:LPERR02G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTDPQPTGDKAKEITPTVVLGDICTHVVAVKEPSSSSSGSSNQDWKERFIKLQAFLRSNEQSGQEEYIRMLRSLSSVGRSKHAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPADALPLPTQPASVRHLAFPPR >LPERR02G12310.1 pep chromosome:Lperr_V1.4:2:9995219:9998569:-1 gene:LPERR02G12310 transcript:LPERR02G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDLVSSDSESGSEVEVFMHSPDRKRAACEVDHCHNRGSAYARAGHHHESQSFLERERMARLLHPWSHEVKKGKEKVGEGERHEALVPDDPLVVKKSVGPHGFTLVGDSKCGDGENEGAMCCSNQFGGSVSMTRKESDRMGFQERDDQHGLLHSSSGTPCDNWKGILGARPSDLDDNTLLYSRDNGKRKLEIPMHGPSTVPTNEVTGAGDVFMEGGSSTWLSRIRGLNYPFPDENQLRTRQIETDEEFARMLQEQFNNEQPGLQNCDEVDTTLAWTLQEEDVERARNAAREGQSSSSQRDRSMAHLYSYGRHSPAQSFTAWANDHMPNRRGLQRSSNRAETEQYNMLISQLTRGCFREENMDLETRMAILDSLQEAFGNYADEFISESDDDDYENLITLDDNNHHRGVSDDEINNLPLSVVEIALPLVLPSGIYRACINFIKRWLRMKISCPVCKSDVI >LPERR02G12310.2 pep chromosome:Lperr_V1.4:2:9995219:9998569:-1 gene:LPERR02G12310 transcript:LPERR02G12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDLVSSDSESGSEVEVFMHSPDRKRAACEVDHCHNRGSAYARAGHHHESQSFLERERMARLLHPWSHEVKKGKEKVGEGERHEALVPDDPLVVKKSVGPHGFTLVGDSKCGDGENEGAMCCSNQFGGSVSMTRKESDRMGFQERDDQHGLLHSSSGTPCDNWKGILGARPSDLDDNTLLYSRDNGKRKLEIPMHGPSTVPTNEVTGAGDVFMEGGSSTWLSRIRGLNYPFPDENQLRTRQIETDEEFARMLQEQFNNEQPGLQNCDEVDTTLAWTLQEEDVERARNAAREGQSSSRRNAVSSQSQRDRSMAHLYSYGRHSPAQSFTAWANDHMPNRRGLQRSSNRAETEQYNMLISQLTRGCFREENMDLETRMAILDSLQEAFGNYADEFISESDDDDYENLITLDDNNHHRGVSDDEINNLPLSVVEIALPLVLPSGIYRACINFIKRWLRMKISCPVCKSDVI >LPERR02G12310.3 pep chromosome:Lperr_V1.4:2:9995219:9998569:-1 gene:LPERR02G12310 transcript:LPERR02G12310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDLVSSDSESGSEVEVFMHSPDRKRAACEVDHCHNRGSAYARAGHHHESQSFLERERMARLLHPWSHEVKKGKEKVGEGERHEALVPDDPLVVKKSVGPHGFTLVGDSKCGDGENEGAMCCSNQFGGSVSMTRKESDRMGFQERDDQHGLLHSSSGTPCDNWKGILGARPSDLDDNTLLYSRDNGKRKLEIPMHGPSTVPTNEVTGAGDVFMEGGSSTWLSRIRGLNYPFPDENQLRTRQIETDEEFARMLQEQFNNEQPGLQNCDEVDTTLAWTLQEEDVERARNAAREGQSSSLHALISLLRGVMLFLLRAKGTVPWHTYIHMADTHQLKVLLRGQMIICQTEGVCKEAPTGLKQSNIIFAYFQMLISQLTRGCFREENMDLETRMAILDSLQEAFGNYADEFISESDDDDYENLITLDDNNHHRGVSDDEINNLPLSVVEIALPLVLPSGIYRACINFIKRWLRMKISCPVCKSDVI >LPERR02G12320.1 pep chromosome:Lperr_V1.4:2:10003679:10007325:1 gene:LPERR02G12320 transcript:LPERR02G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGGDSSASRADDVDDWVSTKRPPAPMERRERSVAFGADPLHSRADDSASWVSNKGYSAPPPPSDGRRGGPVWGFNRDGGPDADSWGRKREELSGLGGGSSGGARPRLNLQKRTLPLANGTDGDGKEEKEELHPKIRSSNPFGAARPREVVLAAKGENVREEEEEEEKLEIQPRARTSNPFGAARPREEVLASKGEDWRKIDEKLEAMKVREAPPERRSFGRRGSPVGEEENGNRPLPESRVERAWRKPDAVEAAEEGSDKPDTAEAARESEEGSDANEETVPAN >LPERR02G12330.1 pep chromosome:Lperr_V1.4:2:10022389:10029126:1 gene:LPERR02G12330 transcript:LPERR02G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRAHVTAYSPRLSSPTRLPSSVPPAAQGGGARLTVAASRGRQPVEGRAQGPTWCRWAAGWCIEQEAGGRLTDARRPASAARTDLSAMDKTPTSDLVLALLANDNAGSNAGSAQEPLTTNGKNSGMRNRFKQTVNRGRKGSQISPSKTYPLRSSRSSVRVLRSASKKRNGTPIVPTNDNIAVQQAVKKRKRSKPLRPEDSRLRSASKKVNKANTELVNDSAGVQPAAKKTRGRPPKGGTPKNEYLVIRKRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDCLLSEGKMEESLFDSSGEISSEDIFCALCGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQEVKLSIHDSWEKVFPEAASFANGSKQIDVSDLPSDDSADDDYDPDLAQGRNVDEEKSSGEDESEGSDSDHSSSEDSESSENRKSKTSKNGRTIDDIGLPSEDSEDGDFDPASPDSDKDQNDESNSDQSEQSDFTSDSDDFCAEIAKSCGQDEISGPSLSQVRTVDPTDGSAFDSEPNAENLNLAFMETDLEQDMVLPVSSKRQVERLDYKKLYDEAYGKVSSDSSDDEEWSGNSTPQKGKLEDSETDSLAESPQRGKGFSRRAPVRYQNGEHTQQNVRRGDSVGDQQTEVLCSNSNGSTARKRHFGPAINQKLKLYFNEDPYPSRATKENLAQELGLTFNQVSKWFSSTRHYLRVATTKKENNIENFTAENNNSNTVDSIKLRGLNEMVMEKVSVDRNDMVSEERTGQSNLNEGIPLRHDTSGEQSVVVTPVLHQDNQGNDSSSNVGTPRVKSAEKMVPGLENADEARRKAVQRELRKMKTGRTKSPMVY >LPERR02G12330.2 pep chromosome:Lperr_V1.4:2:10022389:10029126:1 gene:LPERR02G12330 transcript:LPERR02G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRAHVTAYSPRLSSPTRLPSSVPPAAQGGGARLTVAASRGRQPVEGRAQGPTWCRWAAGWCIEQEAGGRLTDARRPASAARTDLSAMDKTPTSDLVLALLANDNAGSNAGSAQEPLTTNGKNSGMRNRFKQTVNRGRKGSQISPSKTYPLRSSRSSVRVLRSASKKRNGTPIVPTNDNIAVQQAVKKRKRSKPLRPEDSRLRSASKKVNKANTELVNDSAGVQPAAKKTRGRPPKGGTPKNEYLVIRKRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDCLLSEGKMEESLFDSSGEISSEDIFCALCGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQEVKLSIHDSWEKVFPEAASFANGSKQIDVSDLPSDDSADDDYDPDLAQGRNVDEEKSSGEDESEGSDSDHSSSEDSESSENRKSKTSKNGRTIDDIGLPSEDSEDGDFDPASPDSDKDQNDESNSDQSEQSDFTSDSDDFCAEIAKSCGQDEISGPSLSQVRTVDPTDGSAFDSEPNAENLNLAFMETDLEQDMVLPVSSKRQVERLDYKKLYDEAYGKVSSDSSDDEEWSGNSTPQKGKLEDSETDSLAESPQRGKGFSRRAPVRYQNGEHTQQNVRRGDSVGDQQTEVLCSNSNGSTARKRHFGPAINQKLKLYFNEDPYPSRATKENLAQELGLTFNQVSKWFSSTRHYLRVATTKKENNIENFTAENNNSNTVDSIKLRGLNEMVMEKVSVDRNDMVSEERTGQSNLNEGIPLRHDTSGEQSVVVTPVLHQGSCIISKEIDLPKCETRDNQGNDSSSNVGTPRVKSAEKMVPGLENADEARRKAVQRELRKMKTGRTKSPMVY >LPERR02G12330.3 pep chromosome:Lperr_V1.4:2:10022389:10030109:1 gene:LPERR02G12330 transcript:LPERR02G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRAHVTAYSPRLSSPTRLPSSVPPAAQGGGARLTVAASRGRQPVEGRAQGPTWCRWAAGWCIEQEAGGRLTDARRPASAARTDLSAMDKTPTSDLVLALLANDNAGSNAGSAQEPLTTNGKNSGMRNRFKQTVNRGRKGSQISPSKTYPLRSSRSSVRVLRSASKKRNGTPIVPTNDNIAVQQAVKKRKRSKPLRPEDSRLRSASKKVNKANTELVNDSAGVQPAAKKTRGRPPKGGTPKNEYLVIRKRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDCLLSEGKMEESLFDSSGEISSEDIFCALCGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQEVKLSIHDSWEKVFPEAASFANGSKQIDVSDLPSDDSADDDYDPDLAQGRNVDEEKSSGEDESEGSDSDHSSSEDSESSENRKSKTSKNGRTIDDIGLPSEDSEDGDFDPASPDSDKDQNDESNSDQSEQSDFTSDSDDFCAEIAKSCGQDEISGPSLSQVRTVDPTDGSAFDSEPNAENLNLAFMETDLEQDMVLPVSSKRQVERLDYKKLYDEAYGKVSSDSSDDEEWSGNSTPQKGKLEDSETDSLAESPQRGKGFSRRAPVRYQNGEHTQQNVRRGDSVGDQQTEVLCSNSNGSTARKRHFGPAINQKLKLYFNEDPYPSRATKENLAQELGLTFNQVSKWFSSTRHYLRVATTKKENNIENFTAENNNSNTVDSIKLRGLNEMVMEKVSVDRNDMVSEERTGQSNLNEGIPLRHDTSGEQSVVVTPVLHQGSCIISKEIDLPKCETRDNQGNDSSSNVGTPRVKSAEKMVPGLENADEARRKAVQRELRKMKTGR >LPERR02G12340.1 pep chromosome:Lperr_V1.4:2:10030012:10035975:-1 gene:LPERR02G12340 transcript:LPERR02G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLLARALLPPALNPNPNSHRNRVSPSAVPLRRHHGLTASVRASLSTAAPATAAVADGRVPKRCFRRGDDGHLYCEGVRVEDAMAAAERTPFYLYSKPQVVRNFTAYHDALEGLRSVVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNVDSEFDLENIVAAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYANDIKLVGVHCHLGSTITKVDIFRDAAVLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAILPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTSCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEDDGSIAKIRHGETFDDYLKFFDNLPA >LPERR02G12350.1 pep chromosome:Lperr_V1.4:2:10051706:10056647:1 gene:LPERR02G12350 transcript:LPERR02G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRTLEHRLSSATLDGHYEEKRKSNAEYSEDKKKAKIMSLKKKAMSASQKLRHSMKKGRSSKVMSISIADERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKDFGVDTILEDFEFEEAGKLTECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVREFEKNFAVKFPACSIAAKYHIDQSTAILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASQLPDFFGGTCHCEGGCMKADKGPWKDAEVMQMVQSGDGWCGNLNLNHSDAEEKVMICEDDTTYPKKQDSFKGEVQLACDEGHTLSRKISRGRIEHPTLSPVREELPSMKLPIPGSPYSCDVPMVEKVIDAICHSKGLTDENLLMPKAVINASNGSNPPLFGSIVALVMSIATTLHVNRNMPRKVLGATVGGTRPTNLGAHQISKISMEALSTAEYDSTAKRLSDIEEKVIAILTNPAEIPADKDEMLKSAVSHVSALEEELAATKKALLETLERQEEIMAYIEKKKKKSKTKKA >LPERR02G12360.1 pep chromosome:Lperr_V1.4:2:10074826:10076443:-1 gene:LPERR02G12360 transcript:LPERR02G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLALVPVLAVFFFSVRDVASKSFAISNNCEYTVWPGILSSAGSAGMDSTGFVLAPGESRTMSVPTGWSGRLWGRTHCSTDDATGKFTCVTGDCGSGRQDCAGGGAAPPVTLAEFTMDGSGGMDFYDVSLVDGYNLPMLVAPQGAAAGGNCAPTGCMVDLNGACPADLRVASTMASGGVACRSACEAFGSAQYCCSGKYGNPNTCRPSAYSLFFKNACPRAYSYAYDDATSTFTCAGGDTAYAITFCPSTTSVKSGGQNSAGLPLMNDTMVYLAGDQVNAAAARHSLVVVLLAFAVSMALTCILH >LPERR02G12370.1 pep chromosome:Lperr_V1.4:2:10080486:10082473:1 gene:LPERR02G12370 transcript:LPERR02G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAQCRAFAVVSVVLAVFTASSSSTSFTIINNCANTIWPGAITGDGKAGLDSTGFELAPGQSRTVSAPSGWSGRFWGRTFCYAADSGKFTCVTGDCGTGRVDCTGGGGVPPATWAEFTLNGNGGMDFYDVSLVDGFNLPMLVTPQGATTTAGGNCVPTGCEADLNAGACPADLRVSAPGGGVVACKSACEAFRSDQYCCKGMYEDPNTCFPSNYSQVFKSACPKAYSYAYDDKMSTFTCPGTATTYNITFCPGATSGREDGSKQQTGAAAARPPLRLVLLFVAILALARDFF >LPERR02G12380.1 pep chromosome:Lperr_V1.4:2:10096050:10097927:1 gene:LPERR02G12380 transcript:LPERR02G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFQLESVDGDVPSTTLPPSPQVTAMEVCPAAAQVTTSSTITSPAAATPSPALALTTTVDVPSANKGKQVQSSPMAIEPSAGSDNERTASDEIIGWRHGPELDQVLILDRIEDQKNMTILIQLMAKSSDLVLKVVKNSSTKDTLLERIAPLAEKADQAQEELAILRNEIAGYRKIRNEFKDKLRDFLGHDPALFEAKKQAEEQVQKLQAELTQLRDKNEELIKAKDLDEKKLTHAINLNVKSHEQANYYKDKSETLSKKPEVQT >LPERR02G12390.1 pep chromosome:Lperr_V1.4:2:10112273:10112544:-1 gene:LPERR02G12390 transcript:LPERR02G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCEVDRITDSALDLHGNVLVTTSGDLQSWAAMIRELQSWTATASCEAAWITDGSLDHLHYNGLVTTSCDLQSWAAMVRKLQSWMAAR >LPERR02G12400.1 pep chromosome:Lperr_V1.4:2:10121387:10121677:1 gene:LPERR02G12400 transcript:LPERR02G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGENRCRYVVPIACLDHPDFLLLLRKAEEEFGFDHDAAITLPCHESDFEALLAALAA >LPERR02G12410.1 pep chromosome:Lperr_V1.4:2:10153208:10156128:-1 gene:LPERR02G12410 transcript:LPERR02G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSNLLLTSKEEREKEGRCGRGVVGPTKEIKSGSIGLPGYENVRRRQLCDLDAAAAVRATSAAGTAAKRFDPIDGGGAGAGVRLSCVGGHTGSVWQRHTGDKDCKVY >LPERR02G12430.1 pep chromosome:Lperr_V1.4:2:10182200:10183150:1 gene:LPERR02G12430 transcript:LPERR02G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASIHGHHLPPPFNTRDFHHHLQQQQQQQQHQLHLKTEDDQGGGTPFGGRGTKRDHDDDENSGNGGHGSGDGVGELALVPPSDGSAGGGGESATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITAFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDDELLAAQGQGDSAGLQQAAAQLAAGGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAAGGRPAPF >LPERR02G12440.1 pep chromosome:Lperr_V1.4:2:10202286:10207557:-1 gene:LPERR02G12440 transcript:LPERR02G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRIDWLNKFVENMWPYIDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAYGLKATAQVIDLQVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEEFKLVVKDPESQALELTVYDWEQVGKHDKIGMNVIPLKDLIPDETKSLTLDLVKTMDANDPANDKLRGQLTVDVAYKPFKEGDSDVDTSDESGIIEKAPEGTPEGGGLLVIIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >LPERR02G12440.2 pep chromosome:Lperr_V1.4:2:10202288:10207557:-1 gene:LPERR02G12440 transcript:LPERR02G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRIDWLNKFVENMWPYIDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAYGLKATAQVIDLQVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEEFKLVVKDPESQALELTVYDWEQVGKHDKIGMNVIPLKDLIPDETKSLTLDLVKTMDANDPANDKLRGQLTVDVAYKPFKEGDSDVDTSDESGIIEKAPEGTPEGGGLLVIIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >LPERR02G12450.1 pep chromosome:Lperr_V1.4:2:10213964:10216205:-1 gene:LPERR02G12450 transcript:LPERR02G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKKSRSRLASVRLGRSLAAEPSSSPSRRRGSGSGSGGRRVRLGAPPLWSGGGARVHPAPRGLSPPSPPPAPLERPHTCFDVFTPDSPFGGRSASSASLSNCSTWEEAKVVLSVTVEGSVGPVKAMVRLGASVGEAIAAIVERYGKEGRSPCLDPAALEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHRNDGSNRIYLQSGESDINLVDKKDLEATDL >LPERR02G12450.2 pep chromosome:Lperr_V1.4:2:10213954:10216205:-1 gene:LPERR02G12450 transcript:LPERR02G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKKSRSRLASVRLGRSLAAEPSSSPSRRRGSGSGSGGRRVRLGAPPLWSGGGARVHPAPRGLSPPSPPPAPLERPHTCFDVFTPDSPFGGRSASSASLSNCSTWEEAKVVLSVTVEGSVGPVKAMVRLGASVGEAIAAIVERYGKEGRSPCLDPAALEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHRNDGSNRIYLQSGESDINLVGGKITQSFGGQRIVTLNNDQLFAIVIKKLDKIGRLTKRIWRLLTCNCT >LPERR02G12460.1 pep chromosome:Lperr_V1.4:2:10230583:10231532:-1 gene:LPERR02G12460 transcript:LPERR02G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFYGKEHTLTWTDLSSLLLFHDRCKTDLVKAGHSQPSGSGSAWPSASNSQWQRPLDSTPSFRVRRSTSSRGDFGELTRRMDTLDLRTEEIGQNLTEHMA >LPERR02G12470.1 pep chromosome:Lperr_V1.4:2:10297117:10299525:1 gene:LPERR02G12470 transcript:LPERR02G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAKEEEAAAAAMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAGSSGTASQQPTAVQALPPPPNSTASSPIPISSIAPSSPRHPHHHSQPQQQQPHHHHHHHHSGSRKRHSMPPAYAPAEPVSHHHHQLVVVDPSSAVYSPPPPALPPPPPQQSALVLSGGKEDIGALRMLEDSVKRLKSPKASPGVMLPKPQADAALALLADWFLESSDGVSLSSVAHPKLRSFLRHVGLPDLQRTDLAGPRLEARFAEARADATARVRDALFFQLAADGWREQVVTISVNLPNGTSVFHHAVPVPAPAPSDYAEELLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLPCQIHGFTRLVRDLARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHTHQKHELGHASLLRVAHVPFNSSSSDFRAAFEMLDDILTSARPLQLAVLEESYKLVCIDDSAAREMAEMVQNGSFWTEVEAVHSLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSRDEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCTPSMVRWLSAPGSLAGGIDRAHRLVFIAANSKLERRDFSSDQDKDAELLTEGEDDVLNDPGNLDRSSV >LPERR02G12490.1 pep chromosome:Lperr_V1.4:2:10384157:10399976:-1 gene:LPERR02G12490 transcript:LPERR02G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQVTRDRLLFEMLRSTRKHSKSTWKVLIMDKLTTKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIAMFLSDMSGRNPLYKNSPVQKELVTQIKKDPSVLPRIGALSEMNLEYFAIDSQGFTTAHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKYKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLSMDGNKYVQEVPSKNGSSTERREALLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEGATARYHRSCRCEPQNNDTIEGSLHERTRMSEGGKLNNIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSADDMIAVSNMRCLCGPETKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKISKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITVSSSIVSNLRSKAERHSLSRIATACRIMPF >LPERR02G12490.2 pep chromosome:Lperr_V1.4:2:10384157:10399976:-1 gene:LPERR02G12490 transcript:LPERR02G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQVTRDRLLFEMLRSTRKHSKSTWKVLIMDKLTTKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIAMFLSDMSGRNPLYKNSPVQKELVTQIKKDPSVLPRIGALSEMNLEYFAIDSQGFTTAHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKYKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLSMDGNKYVQEVPSKNGSSTERREALLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSKLNNIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSADDMIAVSNMRCLCGPETKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKISKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITVSSSIVSNLRSKAERHSLSRIATACRIMPF >LPERR02G12490.3 pep chromosome:Lperr_V1.4:2:10384157:10399976:-1 gene:LPERR02G12490 transcript:LPERR02G12490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQVTRDRLLFEMLRSTRKHSKSTWKVLIMDKLTTKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIAMFLSDMSGRNPLYKNSPVQKELVTQIKKDPSVLPRIGALSEMNLEYFAIDSQGFTTAHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKYKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLSMDGNKYVQEVPSKNGSSTERREALLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSADDMIAVSNMRCLCGPETKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKISKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITVSSSIVSNLRSKAERHSLSRIATACRIMPF >LPERR02G12490.4 pep chromosome:Lperr_V1.4:2:10384157:10399976:-1 gene:LPERR02G12490 transcript:LPERR02G12490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQVTRDRLLFEMLRSTRKHSKSTWKILQKKGFHMNLEYFAIDSQGFTTAHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKYKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLSMDGNKYVQEVPSKNGSSTERREALLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSKLNNIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSADDMIAVSNMRCLCGPETKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKISKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITVSSSIVSNLRSKAERHSLSRIATACRIMPF >LPERR02G12500.1 pep chromosome:Lperr_V1.4:2:10409346:10424853:1 gene:LPERR02G12500 transcript:LPERR02G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVAKNELQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDKEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQPDKARQAFQRLDPENIDALVALAIMDLQTNEVGGIRRGMDKMRRAFEVYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENEKAIETFKKVTRIDPKDHQAFMELGELLVQSDWAAAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGNVGSSVVNWSVQYRDQSFFNRLEEEGAPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKGKNNIQLSIELIGDALKIDDKYPNALSMLGSLELQGDDTWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQVHEAASGSIFVQMPDKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQSEQQNKQRMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGNEKRRRKGGRRRKDQKSKAQYGEEEEDEYRDEPEAEDDYTNMARSNDGDDPEKAPGDLLVAAGLEDTDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPTAGENDMSD >LPERR02G12500.2 pep chromosome:Lperr_V1.4:2:10409346:10425425:1 gene:LPERR02G12500 transcript:LPERR02G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVAKNELQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDKEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQPDKARQAFQRLDPENIDALVALAIMDLQTNEVGGIRRGMDKMRRAFEVYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENEKAIETFKKVTRIDPKDHQAFMELGELLVQSDWAAAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGNVGSSVVNWSVQYRDQSFFNRLEEEGAPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKGKNNIQLSIELIGDALKIDDKYPNALSMLGSLELQGDDTWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQVHEAASGSIFVQMPDKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQSEQQNKQRMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGNEKRRRKGGRRRKDQKSKAQYGEEEEDEYRDEPEAEDDYTNMARSNDGDDPEKAPGDLLVAAGLEDTDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPTAGENDMSD >LPERR02G12510.1 pep chromosome:Lperr_V1.4:2:10426831:10428702:-1 gene:LPERR02G12510 transcript:LPERR02G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTIIPLCLSLLFFQVSIAQFSYGGSPLQSPRGFRGDQESRQQCRFEHLATLEATHQQRSEAGFTEYYNTESRNEFHCAGVSVRRLVVESKGLVLPTYANAPKLVYIVQGQGVFGIALPGCPETFQSVRSPFEQQMASTSEAQSISQKLVDEHQKIHRFHQGDVIAVPAGVAHWLYNNGDSLVVAFTVTDTSNNANQLDPKRREFFLAGKPRSSWQRQLYSYQTEQISSNQNIFAGFSPDLLSEALGVSKQTVLRLQGLNDRRGAIVRVEHGLQALQPSFQAEPMREDQAQTYLPTKQLQPTRSESGGACGQQNGLDEIMCSFKLRKNINNPQSSDIFNPRGGRITRANSQNFPILNVIQMSATRTFLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRSVFNGELRQQQILLIPQNFVVAVKARREGFAWVSFKTNHNAIDSQISGKASILRALPVDVVANAYRLSREESRAVKFNRGDEMAVFAPRQERQQYADWQINEQ >LPERR02G12520.1 pep chromosome:Lperr_V1.4:2:10440436:10452217:1 gene:LPERR02G12520 transcript:LPERR02G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALMDSELGSLAKASAAVWAASSYARLAASRLRPGAPRLAALLPVVALFCAIPFSFSTATFRGCSGFLLSWLGVFKLLLLAAGQGPLDPTLPLHHFVFSASLPVKLRRFATAAKGKDVADPALCPPGNDSAAGKILVSGAVIPVIIYTYQFKSAMSQYQLLVLYTGHIYFSLQLLLASVHAVVHGALGMEMEPQVDRPYLASSLQDFWGRRWNLMVPAILRPSVYRPVRSRLGVAAGVLVAFLVSGIMHEVMFFYIMWRPPSGEVTAFFLLHGACTAAEAWWARHAGWWRPPRAAAVPLTLAFVAGTACWLFFPAMIKGGLDDMVLRECQGMVAVMEQSGRWFAGVTNLSLTFAATREGIAVITVPVAVAASMHYARLVATHIRPGFGRLLALTPVLALLVKLPLTIPLYSARGIAAFFLVWLAEFKLLLLASGRGPLDPSLPPLPFVFCAALPVKLIRERPSSDDSVVVANGNAKRASLSLLPVVSLAIKFAIMAEAVIYLIRRKNEMHRYAAFALYAVVTYCFLDSLLPCVAAAVSGALGMELEPQFDRPYLSSSLGDFWGRRWNLAASASLRASVYEPVRASSGSPAAGVLGAHARGGRILHHVPGAAHGQVTAFFAQHGACVFGDLALLPRDLWGRDG >LPERR02G12530.1 pep chromosome:Lperr_V1.4:2:10462585:10462815:-1 gene:LPERR02G12530 transcript:LPERR02G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRSGTGSPHHRRLDCSLGPATARRPRWIGCTGLSVLDRQRLLRAARRRGAEGEGRRREKCSSQQEILTERRDH >LPERR02G12540.1 pep chromosome:Lperr_V1.4:2:10467272:10470057:1 gene:LPERR02G12540 transcript:LPERR02G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIQPIWPQAQKGRHRWRCHRSIRCPETHRTPCSPSPSGTPSPLPIPARPLYRISVAPPRLLEDRTDLAPAPAASGSGVPVPSLPPLARSTRWLHRRKRAALLPPPAGHASVCDSGASDKLAGRPPPLLQARVAASLIPAPEKTTSRATLLPGDADSQLAPRSLVPLIASRLGVGWTKGSASLYRGGIHQR >LPERR02G12550.1 pep chromosome:Lperr_V1.4:2:10475706:10476371:1 gene:LPERR02G12550 transcript:LPERR02G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEMASKAYIDGVRALADHDDVASAGAADVAELVSALAGGWNASLVVEAPDYAATTSPATSLALAAAARRTGARYALVLPDGDAAAYGGGDAEVVVGEADEAMAGLHGVDLLVVDARRRDAAAVLRSARPGARGMVVVRHGGGRQRKDYLATAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLQSRRAARSTSRWIRHVDHDTGEEHVFRRQ >LPERR02G12560.1 pep chromosome:Lperr_V1.4:2:10480655:10481449:-1 gene:LPERR02G12560 transcript:LPERR02G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLSANIVGVEDRHDMELMEMEHLFDKFLLPSDMCMVTKKLFIPEEHAFKLGNMVKDREGYFVIFFQDGAVPGKLWRFRFMKQSKKPALTKGWGCFVREKGLVAGDTISIFRGAVCRRLFIFCRLGARTRLSSATMVRHCLSMPHATSTLAYNSQVVRPGTGMLARNSASSGQERLHVSDEVSGRVPRSHKASLARADAQPYNVSPHGRHRAMVHRQKEPMTEMPPILESMFVGATPLVVKTVRLFGVNINVFPKQESDN >LPERR02G12570.1 pep chromosome:Lperr_V1.4:2:10501409:10502413:-1 gene:LPERR02G12570 transcript:LPERR02G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRPCRAGPPVWPSISPPHRSRVPLGVSALPALLTPAHLDTAAGRRHLLPPSEGVIASSSVQAGIGARVSPSPPPSKSELAQGRDLLLGWFLGHFAIGFRGSTSLSIASIFDFPAEDEVTEEID >LPERR02G12580.1 pep chromosome:Lperr_V1.4:2:10507079:10507795:1 gene:LPERR02G12580 transcript:LPERR02G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLPTSIHDGAEVPDDKDQVVEMKYLFGKVLMPSDVSWVTEQLVIPNNHVGKLRVVDKDQEGFFVVVVEDGAVSGKIWRFRYLNRNNVDHCLTKGWGCFVREKGLRPGDTVSFFRGGNARERLFIFCNRGTTTTTSHHCLPPPARDLPSSGHGEVGGSTPAARPPPPLVSPRRRRRGTVHPEGEEPTTEMPMILESMTLVGAPPLAKRVRLFGVYINVPPQQPSGGEPERKYNP >LPERR02G12590.1 pep chromosome:Lperr_V1.4:2:10512425:10516639:-1 gene:LPERR02G12590 transcript:LPERR02G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPSSPLPTPRSPRPDSAPPHDASADSAPCLDFGDPASLAALRSLTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAASALLRSAPXXXXXALDRSRALDAARRALAADDLTAAATAAHEFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVSSVANAAGVNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKSFRSGSFSKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSILAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCAEAFHAPADREKIKSCLSELGEISASFKKILHSGLDHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >LPERR02G12600.1 pep chromosome:Lperr_V1.4:2:10522820:10528043:-1 gene:LPERR02G12600 transcript:LPERR02G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRQPKYVFQWCRHLRISPLEISSCPFSVLTASASAQSDYSSDDDKLNRASADIARKRSRALCSATVVQTLHCLKKRPAIAYAYFKDTESIGFNHGFSTYSEIIQILSHSHQGKMLVSLFSELVSSSNGSGPEILPLVNHLRRTFPTPYSLSFAINCLIKAYITSYDAQATICLFSGICRLGVVPSVWAWNLLLNFIAESGEYEMVITAYKEMKCFRMVPDVHTFVLITRSLFQAKKVDEALQIWAEMIEMGVKLDTRGYSSFIIGLCDCEKYDLACVILQEVTREKVPVEAMAYNMIMDGLCKELRLDEAEKILEKKARQGYTPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIESNSHIVSYLLQCFRKLGMTSEVIAHFQRFRGSGLHLDRVVYNIAMDAYCKLGDMNEAVKLLNEMKSGGLTPDKIHYTCLINGYCLKGEIQNAQQVFEEMWKANIKPDVVTYNILASGFCKSGLVMEVFDLLDHMMDQGMEPNSLTYGITIDGFCRAGNLSEAEVLFNIVEEKGIDHIEVLYSAMVCGYLHSGWTDHAYMLFVRVTQQGNLVDHFSCSKLINDLCRVGNVQGASTVCNMMLEHNVVPDVISYSKLISAYCQNGNMDKANLWFHDMVQRGLSIDVIVYTILMNGYCKIGRLQEACELFVQMTKLGIKPDVIAYTVLLDGHLKETLQQGWQGIAKERRSFLLRANHNKLLSSMQDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAGDLFKEMIDKGIKPDALTFSVLNQSSLSPLAIEGTPDAKLSLVHGLEANGSLVDRRVLKIVEQEENILCLAGTALGLDSSLHGSKWQMPPLLGIEMEMKRNNMSMIYEISHCSFGDKEIKTLQPVILQCQGYLQITSDFRQNTMAIKILYLKSSFVFTLADVDHTACVYDATEEFGSILF >LPERR02G12610.1 pep chromosome:Lperr_V1.4:2:10528098:10528722:-1 gene:LPERR02G12610 transcript:LPERR02G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLPHCHRLKPPNSSSPSRSARRRQPHTPRLPSPRANQSCGGGVRLIRASRAGRAVLVPCPVGSGGGCSARSLVVRVERWWLVRAGANCVSWTTAGSDNGVNS >LPERR02G12620.1 pep chromosome:Lperr_V1.4:2:10532623:10535904:1 gene:LPERR02G12620 transcript:LPERR02G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVERCAASLEDFAGQESCAVLGVGDDVRCLLATLRRVQAVVSHEERRGRVLTGKVDAWVAQVKDAMYDADDALDVSMVEAGKLLADQEDGGGPPTPKAPRCSLMFSCFKPSPAPKFRHEIGFRFREIDARLREIEAEMPGIPVPESSSSSSSRRRELFSRSICDKCSDAIRPHAVGTQVQRSLDGLVPRMIREGKKKVDVFAIVGGVGIGKTTLAREIYNDERMTETFPICVWVKMSKDLSDLEFLKKIIIGAGANVGEIETKEELLGLLGSALSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRNEQVATGMKAIVHRVDKMDAENGWALLCRQVLPECSSEELVALKDIGIKIAKRCDGHPLAIKMIAGVLRSRGKSKAEWELVLKSDVWSMRPFIQELPQALYFSYVDLPSELKECFLHCSLYPADCPMQRFHLVRHWIAEGLVNDGDDKMLEDSAEEYYAELISRNLLQPDADNLDQCWITHDLLRSLACFLITDESILISGQQRLSMGPLSSLSKPRHLTLCNMESRLEDPISVKQQMSLRSLMLFNSPNVRAIDNLVESASCLRVLDLSKTALDALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLQTLSLKNCQRLQRLPWTIRALLQLRCLSLTGTSLSYVPKGVGDLKHLNYLAGLIVGRDNSGPDDCDLNDLQTLSELRHLHIENLDRATSGTFALANKPFLKDLHLCEQAPLIEEQNTDRENQEDQKETEEEEKEAHDLTNSQSCREESIKASEKIWNELTPPQSIEKLVIKNYRGGKFPNWMTGPKLSMSFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSLITIGSEFLSTATSSSATTLFPKLEVLKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPKGLKNVVLRELYIEGAYSLTEIKDLPRISDELHLKDSRALQRISSLPALQSLTIDNCPKLKHVSGLDTLQHLRLVFPPSTETFYFDELIIFWSIAFPRWLEQLIHKRNGLRRFELQCSLPLLKSCLDGGKNWSIVQQIPEVRITSPDGKRYIRYNKRRCIYETNAQSED >LPERR02G12630.1 pep chromosome:Lperr_V1.4:2:10536737:10537630:-1 gene:LPERR02G12630 transcript:LPERR02G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTTRKSTGGKAPRKQLVAAVHAAARKSAPFIGGVKKPRRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQLFKVDMRFQSHAVLALQEAAEAYLVGLFEDTNLCALHSKRVTIMSKDIQLARRIRGERT >LPERR02G12640.1 pep chromosome:Lperr_V1.4:2:10552665:10557543:-1 gene:LPERR02G12640 transcript:LPERR02G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMVRRGAAAMAAAAREEESASPAQRLVDAALRGDVAAVEACLQTAADDDDDAVDDGVPVASRVGVALLRVRCCEVVPREEVAGQVDVEFRELKTDVSPLFAAAHAGHADVVRTLLVAGANVNQKLFLGYATTAAAREGNINILEMLIQAGATQEACEDSLSEASLFAEVESIRLLVCSEMIRPEAAAHALVTASSRGFDNVVVILLQNGIDVNSMARVLLRSVKPALNANVNCTPLVAAAMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYESSGEILKLLLDKAPWLLETPLKGRNLLCHAILCQNPNAVSLLLHAGANPRFPIMTKGTHVSYPIHFAARLGYAPVLKQLMLDGALINAQTSTGDTPLMISARCGHSDCFLELIKLGADLGMVNNEGDTATKLAKKSSFSSTITDILCRGLSSGACITSSDITVFSPLHFFAGSNSPEALLMMLHFSAADLNKLDGSGVTPVMVAAESGHADIFRILVMAGADITVTSAEGKSVMSIIRSKAPETRDCFEQILLQACLVEVITVTGQKSFRPLHYAARIGDTASLLQLLKMGNDPNSPDEDGYTPLMRAAAAGMLDACKALVSRGSADCGLANGRGETALSVARRSGKAAATGEWLLDHVSRAHVLAGEVLMKHTRGGRGSPHAKTVRMMRSGVLTWGSTRRRNVACREARPGPSAAFAKNRKGGGDQQLIILVETVTGREIHFQASRALSVELWVRGINLIGADCAAWSRPDNEPTELT >LPERR02G12640.2 pep chromosome:Lperr_V1.4:2:10552663:10557543:-1 gene:LPERR02G12640 transcript:LPERR02G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMVRRGAAAMAAAAREEESASPAQRLVDAALRGDVAAVEACLQTAADDDDDAVDDGVPVASRVGVALLRVRCCEVVPREEVAGQVDVEFRELKTDVSPLFAAAHAGHADVVRTLLVAGANVNQKLFLGYATTAAAREGNINILEMLIQAGATQEACEDSLSEASLFAEVESIRLLVCSEMIRPEAAAHALVTASSRGFDNVVVILLQNGIDVNSMARVLLRSVKPALNANVNCTPLVAAAMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYESSGEILKLLLDKAPWLLETPLKGRNLLCHAILCQNPNAVSLLLHAGANPRFPIMTKGTHVSYPIHFAARLGYAPVLKQLMLDGALINAQTSTGDTPLMISARCGHSDCFLELIKLGADLGMVNNEGDTATKLAKKSSFSSTITDILCRGLSSGACITSSDITVFSPLHFFAGSNSPEALLMMLHFSAADLNKLDGSGVTPVMVAAESGHADIFRILVMAGADITVTSAEGKSVMSIIRSKAPETRDCFEQILLQACLVEVITVTGQKSFRPLHYAARIGDTASLLQLLKMGNDPNSPDEDGYTPLMRAAAAGMLDACKALVSRGSADCGLANGRGETALSVARRSGKAAATGEWLLDHVSRAHVLAGEVLMKHTRGGRGSPHAKTVRMMRSGVLTWGSTRRRNVACREARPGPSAAFAKNRKGGGDQQLIILVETVTGREIHFQASRALSVELWVRGINLIGADCAAWSRPDNEPTELT >LPERR02G12650.1 pep chromosome:Lperr_V1.4:2:10571318:10586587:-1 gene:LPERR02G12650 transcript:LPERR02G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSVQAQQWSSSGQLAAAASQGRQRSSSLSWRSSPELPRRGTMQCRKAQQKLSASAGVAQEVGDDRLSKNPCDFHPSIWGDFFLHHSSPAASSEQQVWTVQVEKLKEEVANMITTSVTSSLLEKLHLIDSLERICVDYLFQEEINSLLTQISTANINDCNDVHTVAMWFYLLRKHGYNVSQDVFAKFKDGEGNFVAKNPLDLLTLYNAAHYRVHGEKILDEAILFTKRCLNSMLPSLEGSLAREVKSALEIPLPRRVAIYEANYYISTYEKEGTVHPIILQLAKLNFNLMQIQYQEELEIATRWWNDLEIQSKLPFARDRIVECYLWMLGVYYEPSRSCSRGRVILTKVISIATIFDDTFDSYGTIHECELFTKCLQSWEQVADDLPDCMKHVLRKVFESYQTIEHELSQQEKYRMPYLRSFTADLVKNYNKEVKMREEGYVPKSVEEHLQISARTGACHLLACASLVGMDDMATKESFDWVSTMPKMVQALCTILRLVDDLETYEREQLTPHVASSIDSYRKQHNVSMEIARFKIEELKEEFWKDFNDEWLYPENGQPRKLLEAIFNLTRTMEFMYNQNDNFTYCHYLKDTIRSLLVEAFPVLRLERRRELHNGHLHLAAADTAVEQQRPACLCCVTETTLQSRIMEVAAAALPGHDVFAKFKYEEGYFAVNNPRDLLSLYNAAYLGTHGETILDEAISFTRRCLESTIPNLEGLLAHETKCALDIPLPRRVRIYEAKDYIFTYEREHATHEVILELAKLNSNIMQLHYQEELKIVSRWWKDLEVESRVSFARDRIVECYYWITIDLVRAFNAEVKWRDARYVPATVDEHLHISMRSGGRYLLSCALFVGMDHVATPESFIWVSRAPKIIQALCKILRLSDDLETYEREQVALHVASTINSYMKEHNVPIENGRGMVKELIEDTWKDFNQEWLTLGNVQPKQLLERPRSIVQVYIYTTFYMTNSTVDCKSSSL >LPERR02G12660.1 pep chromosome:Lperr_V1.4:2:10595206:10598461:1 gene:LPERR02G12660 transcript:LPERR02G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMELGSAASAGGRSWESESRIRGGTGVRALMEEGIPATTPLMVKGNLATAAMLEEGIPTTAAYPLPFFVVSNHFSHCTEQLQKQASHEQQ >LPERR02G12670.1 pep chromosome:Lperr_V1.4:2:10596281:10599542:-1 gene:LPERR02G12670 transcript:LPERR02G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRLLPFSPPGRRPLPSQHLATVRVPSTPVPLPRRSRQRWQRIPSNDPVRLCNCWCQASIGFCIVRVDHLEIGSVSDINIKTEVYQ >LPERR02G12680.1 pep chromosome:Lperr_V1.4:2:10606508:10606949:1 gene:LPERR02G12680 transcript:LPERR02G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPRRKRRPQHRCTSSSSRGDGAAGSRAERARVGNLPAKSRKNKGGTPLASDAAAAAPTRALGRAVGLAATALPFMNF >LPERR02G12690.1 pep chromosome:Lperr_V1.4:2:10618639:10629425:1 gene:LPERR02G12690 transcript:LPERR02G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATPAHLLLCVLLHLLLLLVAPVAVMARVTAVIVFGDSTVDAGNNNAVATVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFASGVCFASAGSGLDAATAGVFVRRPLCPVSIVFNTTESSVEEKGESVIPLWKQLDYFRDYKRRLAAHLGAAEAAAVVSDAVYAISVGTNDFIENYFALTTRRYQQFSVAEYTDYLVGLARSLLVELYGLGARKVAFTGLAAAGCLPLERARRGMLCAEEYNAAALGFNAALRRVVGELADDLPAAQLRFADVYDLFADMLGYPARYGKFHARSLSSLAAYVRGGLTSERFVKAEVGCCGSGTYEMGYTCSLWDARTCRDADRYVFWDAVHPTERANRIISEYLFNTTFSHFL >LPERR02G12700.1 pep chromosome:Lperr_V1.4:2:10637944:10639360:-1 gene:LPERR02G12700 transcript:LPERR02G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPDAGRASRQTAAAAAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETARQVLVDSPSRANSSDFAADSPSLSDDRCRMRATPAHKRSPNGKFGGGECGAGAGQWRRMSLPAMLLGETVLEIVQASKFAGDIVTIVDGNKNREPPKTPKSVSRTRKVTIEATPLRARRAREKQSQRGTVRAEASTPPSRGRVRSRIQFKPISPSLGRPSVSANRVSPRNRPWAKKTVMFPNPTFLASAAYDSPSPSKKQKRFYKTRSPVIARQQQTPHKFLVKSPPSSLGSKLKSHGKLLPSRPVAVSPPRKSQAAGAAVASASKSRRCTFSPSRFASRLVSSPGKAQAAAAGSSNKGRRCSFSPSRLATRLVSPIKARLSLNRSRDGGVHGGGGGGAVVSGQKQRPGVSMTVRTVSRKIPS >LPERR02G12710.1 pep chromosome:Lperr_V1.4:2:10642474:10644537:1 gene:LPERR02G12710 transcript:LPERR02G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCTCLPLLFLILLLAVRPPLAAAAGDRAAPHASASSSYRRISWASNLTLLGSASLLPDGAGVALTTPSRDGVGAGRALFSDPVRLLLPHDAASASSPRSAAATPASFSTRFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDSALHDLDGNHVALDAGSIFSVASAQPSVDLKARVPITAWVEYRAPRRRLNVWLSYSPSRRPEKPDLSVDVDLSGLLHTYMYAGFSASNGNGAALHVVERWTFRTFGFPNSSYSPPPTKSIAPPTKSIAPMLSNSQSPPPHKHRRHVFYKVLGGVLGGVVLLGLVVAGSVVWLTRSMRRKSPAVTSEDMPEATLSMEVARAATNGFDSGNVIGIGGSGATVYEGVLPSGSKVAVKRFQAIGSCTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECEHRILHRDIKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGVSVVNWVWTLWGHRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPAMRRAVSMLDGTAPLILVPDKMPPVLLQPVPNQASSMNSAETANTAFFSCR >LPERR02G12720.1 pep chromosome:Lperr_V1.4:2:10647295:10647981:-1 gene:LPERR02G12720 transcript:LPERR02G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDERRRAAMSKLRVDVDSSSPSDSSDDDREGQEIAGSHHHNPAAAADAGGGGMNRHRRLLSKQLSMKETTREIKWEKRRRQQILRRSSMVAVNEAVGERARCLTDEDLDELRGSFELGFGFDEEKGGADLCDTLPALDLYFAVNRQLSDPKLRSPTSTLSASALSSSSTLVSDAASSPRSPECGGPSSPAAIDAWTIFSPGDNPQLIKTRLRHWAQVVACSVKHDC >LPERR02G12730.1 pep chromosome:Lperr_V1.4:2:10660827:10661198:-1 gene:LPERR02G12730 transcript:LPERR02G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGMVRRVENPGSDDGGGSSSAAGDGGGGRRKVLVHVRSGEVVTSYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAAAPTT >LPERR02G12740.1 pep chromosome:Lperr_V1.4:2:10670179:10670808:-1 gene:LPERR02G12740 transcript:LPERR02G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPLLLVAAASPAALAGFDVGQMLADKPQYATFLKLMTQTKVAEETNRLKSASLLVLPDKAVKPIASLPADKQRLAISNHVLLKYFDPIQLGEMKDRTAMLPTLLSVADKRLGVVNYTKAADGQMYFGAPGAACVSKLVKVVAARPYAVSIMEVSEAILPPSLGGTGGPGIGGRRPKGGKGKVKPASADGEAKPPPAPTTAVPK >LPERR02G12750.1 pep chromosome:Lperr_V1.4:2:10677626:10683308:1 gene:LPERR02G12750 transcript:LPERR02G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELEGAAQLSSLAFHELSSAAAGPDVNLAPLLRFCLLTLPRSADTELAFRRCTRLLATLRWILSRDPAPSLLPALEVFFKNIVSSNRLMNCFTAANAAMLQRSRTTPVGSCYGWEFFVMELMSHHFISSVQDEEGFLSALSWSVKDKLEIPEIGLSGALSLLHRSSLFSWPAAFHAHFLLLACRCVGDGYLKVHLLAFQHAMNVYLSCLPALGVFRRISGEENPSSSFIKGIPLDSCIQDESYQKLTCDINRLVLFCKLRFNHNLPINRSDMFDFIEENQQVLHEQFREDIVTALKRIVSNALVLAKQEEMDNLDLSVTEEIICLTAALRLMSSSFLQIMYGIRQMTVADASQTTIYLEQCKLYNFISEIICLLGHYEASELHKYDFVDTIGRLVDGEQDAMLIVGFGFMWKGCIFMMMMAMNLCGNKRHGAVLFDLIHGPEKSEACSASHDGTSKGVVLRKSSTAIALQFKNIQKTYTQDKLGHEYGEGCSVHLAIKGVNFKPFLNFRDAGIALQIVVWIIQIGGCGTKSLKGIVAINGSEAGDILGSKGDNRFFAICFTLHLFFFSENKTDMASWPHGPHPRFLIREPSRFEQGRRVNMTKRAQAEAVSPCAMCISV >LPERR02G12750.2 pep chromosome:Lperr_V1.4:2:10677626:10683308:1 gene:LPERR02G12750 transcript:LPERR02G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELEGAAQLSSLAFHELSSAAAGPDVNLAPLLRFCLLTLPRSADTELAFRRCTRLLATLRWILSRDPAPSLLPALEVFFKNIVSSNRLMNCFTAANAAMLQRSRTTPVGSCYGWEFFVMELMSHHFISSVQDEEGFLSALSWSVKDKLEIPEIGLSGALSLLHRSSLFSWPAAFHAHFLLLACRCVGDGYLKVHLLAFQHAMNVYLSCLPALGVFRRISGEENPSSSFIKGIPLDSCIQDESYQKLTCDINRLVLFCKLRFNHNLPINRSDMFDFIEENQQVLHEQFREDIVTALKRIVSNALVLAKQEEMDNLDLSVTEEIICLTAALRLMSSSFLQIMYGIRQMTVADASQTTIYLEQCKLYNFISEIICLLGHYEASELHKYDFVDTIGRLVDGEQDAMLIVGFGFMWKGCIFMMMMAMNLCGNKRHGAVLFDLIHGPEKSEACSASHDGTSKGVVLRKSSTAIALQFKNIQKTYTQDKLGHEYGEGCSSDILRKCTSSDQRGQLQTFLEFSGCWNSSSDCSDLADFIECTPGFFAICFTLHLFFFSENKTDMASWPHGPHPRFLIREPSRFEQGRRVNMTKRAQAEAVSPCAMCISV >LPERR02G12760.1 pep chromosome:Lperr_V1.4:2:10682369:10683271:-1 gene:LPERR02G12760 transcript:LPERR02G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAATLLLLVVVGVLALHHQASPAAAFNITRILGEFPDFTTFNSLLTQTKLADEINRRQTITVLALDNGAAGDVTSLPSDEQRKVLSVHVVLDYYDEDKLGAMKSRSAVLTTLFQSSGQATDRMGFINYTKRADGQMVFGSAEPGASLNSHMVKVVVRRPYNISVIQVSSAIVPPGVAGGGGAPPPGAAKEKTPVSAPTPSPSKGKKEAPVPGPSDDDAGADAPADAPADGPVADGPAADGPMADGPTADGPAEADAPAHEKSDAADAPEGSAAGRAMAGAGAGLGVIGFLVIIILSL >LPERR02G12770.1 pep chromosome:Lperr_V1.4:2:10686679:10687041:1 gene:LPERR02G12770 transcript:LPERR02G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVTTSPAGLLQGAGGSDELGHSSRVYRPASGRVPFLWEDEPGKPKSPPPLDGPPPLLCPSPAMQSARLASRGGGGSGRRRRQKHGTEPEVEGCLPVKLHLGRAMKRWHLICFFRGQ >LPERR02G12780.1 pep chromosome:Lperr_V1.4:2:10688539:10693040:-1 gene:LPERR02G12780 transcript:LPERR02G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRERDRDRDRDWDRDRDRDRDRPRDHGRDREVDRHRGGRDSDRDRDRDRDERDRRRDHILNLGCCGQVDLVAVLLDIVGNELLLSMYEPHGLPNFYLQLV >LPERR02G12790.1 pep chromosome:Lperr_V1.4:2:10697001:10699594:-1 gene:LPERR02G12790 transcript:LPERR02G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRPGSLSTPAPTPRTAASPSASPSPSPPQKLATTPPTIPVKFSPPAAPVKVPPPPVQVPPPQYEKVSAVGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVECGKAGNPKELTASKVQKV >LPERR02G12800.1 pep chromosome:Lperr_V1.4:2:10705998:10712909:-1 gene:LPERR02G12800 transcript:LPERR02G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPPPPATARTGRPRAPAVARGPVPPSCRLRASKNPIPGPSRPWLDGCCVHALLFFAVLALVLSPPPPQHLLPASAAAASVDERRRPYPCEDVARYYAGLDGLAGDELMSKLRAVVSPHSALRYKDVWDALKILDTADAHYPEASPDVIEIYSQRAVPKILAGKPDGWNREHLWPRSYGLTNGPSLTDLHNIRPADVNVNSSRGNKYYGPCASTSIRCVRPANHEAAPDTETDSERWAPPLKVRGDVSRSLMYMAATYGSDQKDGAPHLELSDSPNIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSHGESSNIVRTLPKAWVNEFHYENKGKDGNEFVELMVHKALDAKDLMLVLYNGANGRMYNFLNLDNKDDFSIAGSRSSYLIYTTFIALQNGPADGIALVYKNGNRNEVLHFLSYEGSLKALDGPAKGMLSVDMMLKETDESSQQDSLGLAGNKIGDFVWRKFEGYATPGKKSLFIMATSRREPDARTNRTIRAMATGLKLTEPAQELRRASNRTASSRSTFGSASSSIHGKKLQTSSSMTTAKPDNTARGKGDKSGGSSVWPPALATRSRSSKDMHRTARSLSTPQKSNLSPRPSVDKMAMPSPKQRTQKAIPGALAGEKTQVVPSVRAPGTATKKTMGVASSVPSIQRTRSVPARPVEAPKVDEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDAIAYVISQDDPSKPASNHQEGTNEKVIELISEEKHEAPDNANLNGGDNADVGISRKVQVVKEAINESELKEGANETSLKEAVDGNELNEVIGGSGLHEDVNATKFTEDAIEPVLIEKQEAKENVEIVVPPKKTLKPVQGSRKDDERSNEVNEEGISKPAEERQSKVMALIGRFETAMSG >LPERR02G12800.2 pep chromosome:Lperr_V1.4:2:10705998:10712909:-1 gene:LPERR02G12800 transcript:LPERR02G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPPPPATARTGRPRAPAVARGPVPPSCRLRASKNPIPGPSRPWLDGCCVHALLFFAVLALVLSPPPPQHLLPASAAAASVDERRRPYPCEDVARYYAGLDGLAGDELMSKLRAVVSPHSALRYKDVWDALKILDTADAHYPEASPDVIEIYSQRAVPKILAGKPDGWNREHLWPRSYGLTNGPSLTDLHNIRPADVNVNSSRGNKYYGPCASTSIRCVRPANHEAAPDTETDSERWAPPLKVRGDVSRSLMYMAATYGSDQKDGAPHLELSDSPNIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSHGESSNIVRTLPKAWVNEFHYENKGKDGNEFVELMVHKALDAKDLMLVLYNGANGRMYNFLNLDNKDDFSIAGSRSSYLIYTTFIALQNGPADGIALVYKNGNRNEVLHFLSYEGSLKALDGPAKGMLSVDMMLKETDESSQQDSLGLAGNKIGDFVWRKFEGYATPGKKSLFIMATSRREPDARTNRTIRAMATGLKLTEPAQELRRARSVPSSPDRKLSPSPATSSSNACRPSSSFSNRTASSRSTFGSASSSIHGKKLQTSSSMTTAKPDNTARGKGDKSGGSSVWPPALATRSRSSKDMHRTARSLSTPQKSNLSPRPSVDKMAMPSPKQRTQKAIPGALAGEKTQVVPSVRAPGTATKKTMGVASSVPSIQRTRSVPARPVEAPKVDEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDAIAYVISQDDPSKPASNHQEGTNEKVIELISEEKHEAPDNANLNGGDNADVGISRKVQVVKEAINESELKEGANETSLKEAVDGNELNEVIGGSGLHEDVNATKFTEDAIEPVLIEKQEAKENVEIVVPPKKTLKPVQGSRKDDERSNEVNEEGISKPAEERQSKVMALIGRFETAMSG >LPERR02G12800.3 pep chromosome:Lperr_V1.4:2:10705998:10712909:-1 gene:LPERR02G12800 transcript:LPERR02G12800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPPPPATARTGRPRAPAVARGPVPPSCRLRASKNPIPGPSRPWLDGCCVHALLFFAVLALVLSPPPPQHLLPASAAAASVDERRRPYPCEDVARYYAGLDGLAGDELMSKLRAVVSPHSALRYKDVWDALKILDTADAHYPEASPDVIEIYSQRAVPKILAGKPDGWNREHLWPRSYGLTNGPSLTDLHNIRPADVRGDVSRSLMYMAATYGSDQKDGAPHLELSDSPNIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSHGESSNIVRTLPKAWVNEFHYENKGKDGNEFVELMVHKALDAKDLMLVLYNGANGRMYNFLNLDNKDDFSIAGSRSSYLIYTTFIALQNGPADGIALVYKNGNRNEVLHFLSYEGSLKALDGPAKGMLSVDMMLKETDESSQQDSLGLAGNKIGDFVWRKFEGYATPGKKSLFIMATSRREPDARTNRTIRAMATGLKLTEPAQELRRARSVPSSPDRKLSPSPATSSSNACRPSSSFSNRTASSRSTFGSASSSIHGKKLQTSSSMTTAKPDNTARGKGDKSGGSSVWPPALATRSRSSKDMHRTARSLSTPQKSNLSPRPSVDKMAMPSPKQRTQKAIPGALAGEKTQVVPSVRAPGTATKKTMGVASSVPSIQRTRSVPARPVEAPKVDEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDAIAYVISQDDPSKPASNHQEGTNEKVIELISEEKHEAPDNANLNGGDNADVGISRKVQVVKEAINESELKEGANETSLKEAVDGNELNEVIGGSGLHEDVNATKFTEDAIEPVLIEKQEAKENVEIVVPPKKTLKPVQGSRKDDERSNEVNEEGISKPAEERQSKVMALIGRFETAMSG >LPERR02G12810.1 pep chromosome:Lperr_V1.4:2:10715683:10717810:1 gene:LPERR02G12810 transcript:LPERR02G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQPQPATGSRLLDAVPLFVVVLLAAHVLALVSLGETAAAEEDAVAPTTPPHDLVLALVVGEIKS >LPERR02G12820.1 pep chromosome:Lperr_V1.4:2:10718696:10720172:1 gene:LPERR02G12820 transcript:LPERR02G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPVVARGNEQGDAAGQLQVTSSKLRTAVSMLNRTGHARFRRGPVVVQETAAACAESAEVARDPIARCDGLSASASSSFPSSLTTGATGGEGSVSNARALLPSEGDDGARCHCSKKRKSPWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHGDPGTLPAGEAHNDR >LPERR02G12830.1 pep chromosome:Lperr_V1.4:2:10740485:10745254:1 gene:LPERR02G12830 transcript:LPERR02G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQGRMIETTGKIKQLQAQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTVGKVFILEPKSLLLSEQEQKFNDSESAIASMQTSKEYLEKQLAEVENNIKELLQQDPGLARQILSMTVQ >LPERR02G12830.2 pep chromosome:Lperr_V1.4:2:10740485:10745254:1 gene:LPERR02G12830 transcript:LPERR02G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQGRMIETTGKIKQLQAQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTPKSLLLSEQEQKFNDSESAIASMQTSKEYLEKQLAEVENNIKELLQQDPGLARQILSMTVQ >LPERR02G12850.1 pep chromosome:Lperr_V1.4:2:10749749:10751784:-1 gene:LPERR02G12850 transcript:LPERR02G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYCCCCSLLFALLVHVILRALARRRQPRPRLPPGPWQLPIIGSLHHLLRGGGGLPHRAMADLSLHYGPLMLLRVCERVVVVVSSAEAAGEIYGGGRDAAFSERPGSPGIDELYRSGQGIIFAPYGDHWRQLRRILMTELLSQRRVESFRRIREEEASCLVSSLMVHVQHDSSGGGVVNIDQLLGRFMADSAVRAIFGDALPDRDAFLRMIKRGTELSSLFDVRDLFPSSRLARLLASWSGGKAELHRLDMFRLMDDILKQHDEKKAAGGGERDDGKQDMVDVLLRIQSEGDMRVSLTHGVIRTFLTVRFSFFFFQVFYGQQNKKYLEVPDVLGAALETSTTTLQWVMADLMANPNVMQKAQAEVRRVFTGQATINEAALGNLQYLKAVIKETLRLHPPSPAFPRVCLEEGCKIQGYDIPRGTIVLTNVWAISRDPKYWVEPQKYMPERFEDDSAVDFRGMHFEFTPFGVGRRICPGMNFSHVNVEIALASLLFHFDWELPSGVKPDNVDMTELFGVTMRRKTELFIRPIPHVPL >LPERR02G12860.1 pep chromosome:Lperr_V1.4:2:10773846:10774043:-1 gene:LPERR02G12860 transcript:LPERR02G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMERKRGNKKVRTAKRREREHLGKDFIEPEVEGGEGNGGRIYAEAKREGTKEGIESSDLEHY >LPERR02G12870.1 pep chromosome:Lperr_V1.4:2:10776796:10776999:1 gene:LPERR02G12870 transcript:LPERR02G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVVVCDEQERVVATYQAPGRCPYCGGGVVAADIESAPRLCYVPLSFRVRRRFYCSLCSRRLVNVA >LPERR02G12880.1 pep chromosome:Lperr_V1.4:2:10777360:10777614:-1 gene:LPERR02G12880 transcript:LPERR02G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHHASHGGGAPSNANQRHMQKQRQRSCEDSQVPASFLNPTAARLLREAIISAPADGEKPAAEGSSDILAFARSVDRVDSTLE >LPERR02G12890.1 pep chromosome:Lperr_V1.4:2:10798234:10804926:-1 gene:LPERR02G12890 transcript:LPERR02G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPARVLLPVKLLQGYSSRPPMRWHFDEGAVQLALAMSICTSENYITVGHQPQKRHMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHTKDEPRAKLNPFSWTKMSSLLLVDSPAGVGYSYSENKDDYATNDTSRVLDLYDFLSKWFGEYLEFLSNPFYIAGCSYSGVIVPVLAEEILKRNEESSRVKINFKGYSLCNPAVDVGIENNAFVPYSFRMGLISDELYQNLVSSCNGKYWNNKVPSCLVNLEQFHKQIKGINMEHILCPPCRYQMGITKEATEEYDFGQMFELISETSEYGLECTNQELVLEKLFDTNSSRVKLHAKPIEISQKWKRCPNYIQYTRDILTVTEYHLNVTSKGYRVFLYSGDHALLVPFSATLEWLKTLKYKEVDKWHPWFVEKQIAGYSVRYENNILFATIKGAGHVPSDYLPFEAFVAYQRWIDGSDSLY >LPERR02G12900.1 pep chromosome:Lperr_V1.4:2:10809253:10812249:1 gene:LPERR02G12900 transcript:LPERR02G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQALSADAATVLKLAVALARRRGHAQLTPLHVAFTLLRSPSSPSDPPPFGCCPGNGDGTRTSYCAYGLLRRACGRAHPAAAPPHPLQCRALELCFNVALNRLPVTNAVAVADASATSSISASLSHPDPTLSNALVAALKRAQANQRRGCSVEPQPTLQMQQQQPPLLAIKVELDQLIISILDDPSVSRVMREAGFSSNAVKASLEEEGAMMLPTCLGHHVAAAACVEPPHIGAPWPPQQFSPCKEEDVRAIMEVMVRRQGRTNPVVVGDTASVAEAAVAELVRCVEAGRERDVPDELRGARVLRLHLSYVHLRLMTRADVDAQVADLRRAAHAVVATAAAGLVVYVGDMRWAIDDDHQHQHHALSAEDHMVAELARLMSDLRAASRGRAWLVAAASYQTYMRCKRRAPSLEAAWSLQPVVVPADGAGIGLALGVGGRIAGPPAPAPSSATEDGRIKLSGISKLGGDDCVPALCAECADGYEKEASLVRAKADGTTLALTYFPGWPQANEPQTSHKAELMELRWKWSNLCQRVHPRSHTDQPSIAPPMPWWCSTMNRDGEAQTELNDPTSAGLRLSFGTPADHRRSESKEQGADTTTLNLLSPDSATAAATYHDTRGRWSEGVDGELAVQGFDAGDVDTGSGVRRRVWLDELLFSGDLKRKAEDGRLSSEPKRRRGVISLDLNLCADGDEEDDDEEEAAAPSDLTNEGQSDDVEPGRRRVNDCVDSHK >LPERR02G12910.1 pep chromosome:Lperr_V1.4:2:10819858:10827448:1 gene:LPERR02G12910 transcript:LPERR02G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRSSSSAAAAAAAAAKAPPSSPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRTYEHLREHGFEGLKAIWPMPTMVAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLTTYLGLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILFAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKIVASYQTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKLYCTRVPYRVIPGIY >LPERR02G12920.1 pep chromosome:Lperr_V1.4:2:10828136:10832451:-1 gene:LPERR02G12920 transcript:LPERR02G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELANKFSVLHLDGEGDGADVTEALIQTSPSIKEAVSDKNVLSDTMVVNHDEGSLASSSSGDYRMPLVWIDLEMTGLDVSKDRILEIACIITDGKLTKQIEGPDLVINQKKDLLDSMDEWCKTHHAASGLTKRVLQSMISERDAETQVLDFVRKHVGSAPPLIAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKQTPRKEKNHRAMDDIKESIAELKYYKDNIFKRPKSNR >LPERR02G12930.1 pep chromosome:Lperr_V1.4:2:10839318:10840535:-1 gene:LPERR02G12930 transcript:LPERR02G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAADSVLHGDLLEHVLLCVPHDELAASTALVSREWRRAARAAHQRNRLRQRQLPCYLVAHVQGAAVGRSTHVYDPLARAWASDGCRRADARALPFRRCACGGAGGDRVYALSLTSMAVSDDALGDGASAWRELPPPRVWRVDPVVAAVGPRFVVLGGGCGATVAAGVVEVLDDEAGGVQEWKTCAPMPAPLAASRRVSAAASWRRVYVVERRTGWASWFDPAARQWGPVRRLQLPGVNIDVESWAACGAMASGFGDDANDRLLVLAGSSSDGVVLLWSVDGDTLMVDANANASMPREMSERLSLGGDIGVAAAGAASGYVYNASEPSKGAVRYELIADVAGVGDRHDVDRTKYGYDEKTCGGRSRWEWEWLPCPPAAAAAMSPSSSSAAVIVFACSGSKQK >LPERR02G12940.1 pep chromosome:Lperr_V1.4:2:10844145:10846753:-1 gene:LPERR02G12940 transcript:LPERR02G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDDEAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSSKPAVTGVLVFVSTPDPIGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKDKITGSDIPLKSHALVQLSVLPEGTVVDSR >LPERR02G12950.1 pep chromosome:Lperr_V1.4:2:10864622:10866430:-1 gene:LPERR02G12950 transcript:LPERR02G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTKHVELGAEYVDIELKD >LPERR02G12960.1 pep chromosome:Lperr_V1.4:2:10874739:10878242:1 gene:LPERR02G12960 transcript:LPERR02G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGYIEYNCSGLARAPAADLRAGDHGHLPGLYEHMYAAAYMAKSVPGLPVPPCPAQFGGRCSDQHLAA >LPERR02G12970.1 pep chromosome:Lperr_V1.4:2:10886058:10887098:1 gene:LPERR02G12970 transcript:LPERR02G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKSFSYTVGYALLPEKVSSVVQPSLVAAAAERGMRLVAVDVSRPLAEQGPFDLLVHKMYDRGWRAQLEDFTARHPSVPVVDSPAAIDRLLDRATMLDAVSGLPVPVSVPTQVVVTDAAALANNPDDGLRFPLIAKPLAVDGSAESHDMRLVYRRDGLRGLRAPVVLQEFVNHGGVLFKVYVVGDHATCVRRSSLPDVPSHRLLDTYGGDASVPFANISNQPLPDDGDADADMPDAGFVDEVARGLRRGLGLHLLNFDMIRERSEEHGDRYFVIDINYFPGYAKMPGYETALTDFFLEMLAASGRPVHGHGGQLGGSGLDIEARKLEAGPGIGLTEVESGRAQA >LPERR02G12980.1 pep chromosome:Lperr_V1.4:2:10890158:10891880:-1 gene:LPERR02G12980 transcript:LPERR02G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMVRVAIATGASLVVHLVVKSFAQAQHPALTLLLPAVVFVGIAVAANGGSSGGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGAGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATKFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQNRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRAEIKDMLGDDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWENPEEFRPERFLEKESGVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIIGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >LPERR02G12990.1 pep chromosome:Lperr_V1.4:2:10895174:10897278:1 gene:LPERR02G12990 transcript:LPERR02G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVRVAIATGASLVVHLVVKSFAQAQHPALTLLLPAVVFVGIAVAANGGSSGGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGAGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATKFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQNRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRAEIKDMLGDDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWENPEEFRPERFLEKESGVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIIGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >LPERR02G13000.1 pep chromosome:Lperr_V1.4:2:10898356:10901502:1 gene:LPERR02G13000 transcript:LPERR02G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHQSELADELAEMKHLFCARPLTLAETIWEMDVETLTPYVPGDAKPVVSLINKFLGFPDD >LPERR02G13000.2 pep chromosome:Lperr_V1.4:2:10898356:10901502:1 gene:LPERR02G13000 transcript:LPERR02G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDRRTVFVTVGTTCFDALVKAVDSPQVKEALLQKGYTDLVIQMGRGTYIPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHSKPLIVVVNEDLMDNHQSELADELAEMKHLFCARPLTLAETIWEMDVETLTPYVPGDAKPVVSLINKFLGFPDD >LPERR02G13000.3 pep chromosome:Lperr_V1.4:2:10898350:10900734:1 gene:LPERR02G13000 transcript:LPERR02G13000.3 gene_biotype:protein_coding transcript_biotype:protein_coding LQVHRLQTPSSSVWQPRRAAAPPAAAPPTEPLHSPRRRLVVYSSPAKPLPPICGGARDLVTERRYSSSFRLPRTDSGGRRPYLVPDFLLVSSEIKESIVPQTVLISK >LPERR02G13000.4 pep chromosome:Lperr_V1.4:2:10898355:10901502:1 gene:LPERR02G13000 transcript:LPERR02G13000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDRRTVFVTVGTTCFDALVKAVDSPQVKEALLQKGYTDLVIQMGRGTYIPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHSKPLIVVVNEDLMDNHQSELADELAEMKHLFCARPLTLAETIWEMDVETLTPYVPGDAKPVVSLINKFLGFPDD >LPERR02G13010.1 pep chromosome:Lperr_V1.4:2:10948225:10954626:1 gene:LPERR02G13010 transcript:LPERR02G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFSVQAQQWSSSGQLAAAASQGRQRSTSLSWRSSPELPRRGTMQCRQAQQKLSANAGVAQEVVDDRLSKNPCDFHPSIWGDFFLHHSSPAASSEQQAWTVQVDKLKEEVANMITTSVTSSLLEKLHLIDTFERICVDYLFQEEINSLLTQIITANIDDCNDVHTVAMWFYLLRKHGYNISQDVFTKFKDGEGNFVAKNPMDLLTLYNAAHYRVHGEKILNEAILFTKRCLNSMLPSLEGSLAREVKSALEIALPRRVAIYEANYYISTYEKEGTVHPIILQLAKLNFNLMQLQYQEELEIATRWWNDLQIQSRLPFARDRIVECYLWMLGVYYEPSPSCSRGRVILTKVISIVTIIDDTFDSYGTIDECELFTKCLQSYQTIEHELSQQEKYRMPYLRSFTTDLVKNFNKEVKMREEGYVPKSVEEHLQISARTGACHLLSCASLVGMDDMATKESFDWVSTMPKMVRALCTILRLVDDLKTYEREQLTPHVASTIDSYRKQHNVSMEIARFKIEEQKEEFWKDFNDGWLCPENGQPRKLLEAIFNLTRTMEFMYNQNDNFTYCHHLKDTIRSLLVEAFPVY >LPERR02G13020.1 pep chromosome:Lperr_V1.4:2:10977809:10978125:1 gene:LPERR02G13020 transcript:LPERR02G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRPGEQVHAKTRRRLQFGTPEGALQAAEALLRHPPITPAQGSNAQRWFDDLARLVDTAQRQLVDNLPLSGIPNPSLIYGRATACKAELSR >LPERR02G13030.1 pep chromosome:Lperr_V1.4:2:10994062:10994556:1 gene:LPERR02G13030 transcript:LPERR02G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAAAAVPLVAVVAMLYARLAASLTDAGPRRLAAFLPTMVLLPILPLALPYYSYRGFSAFVFVWLGEFKLLLLAFDAGPLNHHPPLRPLPFVLTATLPIKLVDINDDDNNAAGATATATSRPSSPAIPNVAVIIVSSAIKVAAIAATVYVLNAGKDGSMALHR >LPERR02G13040.1 pep chromosome:Lperr_V1.4:2:10994608:10995135:1 gene:LPERR02G13040 transcript:LPERR02G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGAVVSGTLGMELEPQFDRPYLSSSLGDFWGRRWNLAASASLRAAVYEPMRARFGSPAAGMAAAFLVSGLMHEVVVLYLTSRPPTGRVTAFFALHGACVCAERWWLRRRACSSGSKREAALGLLPRAVAASLVVGFVAGTAFWLFFPAMYCGGMDELYFAVNLPLGMVCTYP >LPERR02G13050.1 pep chromosome:Lperr_V1.4:2:10997383:10999201:-1 gene:LPERR02G13050 transcript:LPERR02G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRRSKHRKVVKFYASCFGFREPYRVLVDGTFVHHLLVHRLLPADDALQALLSASRPPPLFTTKCVLAELRRLGKSHSDAFDAAGLLATAKCEHDKVVSAVDCILSLIGERNPEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFLEQPSVQQRKFAQLDEEKRLHMGKSEYLKLLKVASEGNTENASDDEKNKRPISSLVQNALGVAEKSKFKKKRPKGPNPLSCKKKKPKPQPSPAQNQGPKADGEAKRKRVRKRKRSYKDSKQTETLLMQHCLSNGSYGAAYNVVWLPFAQESTKDVFSSQ >LPERR02G13060.1 pep chromosome:Lperr_V1.4:2:11000921:11006810:1 gene:LPERR02G13060 transcript:LPERR02G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTESTTMARAVPPPPEAAAILLAGAELWRPVARGGGWATAGALLLLLVSHLTVLLLRRIRLHRRRLLLPEAVSSSSSSSSAVADATTSSNSAAGIEGLVTEGDLAELVGNLGVAAREPEREGWQEVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHDQLQYDENCGIEIGRTVKKFPLLTPREYILAWRVWEGNDKSFYCLVKECEHSLAPRQRKFVRVQLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQHHISSISILTMQRLIKKFPQALETDVDANQPQQGNTGATVPSHFARTSSQPVKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKKDKGLISQRSRSRADVTESRHLE >LPERR02G13070.1 pep chromosome:Lperr_V1.4:2:11010926:11012734:-1 gene:LPERR02G13070 transcript:LPERR02G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPAPPTATVATTLPEWDRLIQLAAASGSYTRCLRHYASLLAAGLRGGASTFPSLAKSCAALRLPRLGAAVHAHALLAGASASSVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVSVFNAMRRAGVSPSEGTIVGLLSGCVDSVSAITPGMCVYGYSVKSGLGADLQVLNSVLAMLVRGTHLDAARFLFDGISNKSAVTWTALASGYLHRGDYLEVFDLFSRMRGDGKNVDSVVLVNLISAAVLFGSLSVAKSVHGIVIKLGFESEEGLVASLINLYAKCGDLESAREVFDAVHMANVVVWTSMISGYVEAGCLNEALTMFDSMVCVNIQPNRATVSSALSACAKLGSVNLGKRVEEQAIAIGLHSDLRVATGLIDMYCKFGSIKLARKIFDGVSNRDLAVWSAMINGYACNGEGREALVLFKEMKEKGFQPDAIVFTHVLTACNYSGLVDEGLEFFRSMTMEYGTEPSIEHHMCIVDLLCKASHVRSALKFFQQMPSQVQNKVVAPIISSYSTHCADSSIELIPEELLNLDAQDSDQCVLMSNMLSCLGKWKKATSYRRQLSKPGLIKKPGWSCIELSG >LPERR02G13080.1 pep chromosome:Lperr_V1.4:2:11019992:11020741:1 gene:LPERR02G13080 transcript:LPERR02G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGEISALLCEPSVPEEQPEASEFDDVVPAILESIRSHEKAFEPSPEEAAWADSCFVQTSELSDSDWGKLKAALLNALEKEIPNDTSEAVHEQGAHAILEVKSLSLTPESVSQNDDVQMEQKVDSEDDTDTIEASEVANVIRGTNEHGKQMDGYTAEYEDGDVASSEGLEQTESRETIFKVWDLDVSLSDDEDELELIKDLKKLLKDNPQESEFQPPSGTAKTLSQINIDDLVADLSDLSLQQTNE >LPERR02G13090.1 pep chromosome:Lperr_V1.4:2:11022858:11039452:1 gene:LPERR02G13090 transcript:LPERR02G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRWLSGPLVFPLVLLLLALVPSPEAAESTPGTRTRKIGGGAASVFSLFNLKPESKFWSESVIRTEFDDLEGSASRDNSKKALLNFTRAGNIANYMSLAEVDSIYLSIPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEATEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKPIDKSTHYGYRIRPCNLGFFNQKVTRNFILGDWSKKCKEALSNFELLKEGKSKDDIVYDKAVQVLHGKKDELHDILESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFSWGPAVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALSRMEKWNLFKDTSEEHHSYTVARDSFLAHLGSTLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKLRNIKQLPVNVKSVTEGLSSVLLQFQKPIFSQHMLSLSEDPALMMAFAMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNSLKGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSDVDSWESHLQCNGRKPIKAAIAATAEYVSGLLPSHLAYSPAHETASEDWTWSVGCNPLSITSKGWQLSEFQRDVIARNYIVTAVEESIQVINSAVQQLITERTTERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEDASHGFAIAVNSTISSLHPVQCTRERKLDVQLDLTTVPAFVAVFLLLWFLLRPRRPKPKIN >LPERR02G13100.1 pep chromosome:Lperr_V1.4:2:11040706:11055237:-1 gene:LPERR02G13100 transcript:LPERR02G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNDPINYESNWPEVDKINSYAVFMGYLMMAVRGLGFLVLTWTTVVLLGGFVSTLQKKDFWCLTVITLVQTAGRRAVAYVLFVLQLLVLAVLLCPLGVLYILGLYISAGVSIWRLIQHDYGNNADGAWNLKPALNVLYYLAAAQGAVFGYMSIYGVSARSRISEEVAGYYSLEDTDLISDYLDETVAGCMKDPSFARGRNIVTYAMDGLLVNESTSPESHLSGVRILGTILQLNPQGRPGRCSEQRVVMRQRLSGSPSFAHTIHRLMETSEVDYLIHPSRARDVVGNVLRGGRDGNKNGLIRGYAAVVVQGLRILEKLAADEENGRVIVGTQGLVAKAMGHLVWNELHSDHHDEWCGIATVSLELISRLMVAAPGDGHGENRNRLMVISGSMEMEAAIRSTLERIIRCDRCQVLLKRQAARVLLDLPSSAVTMSGGSESSNAIFVGILLHVYLLPDHYFVDTWDSVHLAKKSSYIRGLAEENLQACITSSLQREDLSGATSMVHVVRVALGKLTSTLVSAENYGDRIHAATILEQLCRHRENHQYVEELKRAITGVMREVLKEFDCHGPTGEGIQGQQDTDLEQCVISHNNGHENQQKVDLHDGIKLREALVSLCQKISWDWIKQCQDLTTNQLNEIAAKICSEQGMSVTTFREFVDQAQSKLRQEKKAQRLVTVGASS >LPERR02G13100.2 pep chromosome:Lperr_V1.4:2:11040706:11055237:-1 gene:LPERR02G13100 transcript:LPERR02G13100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNDPINYESNWPEVDKINSYAVFMGYLMMAVRGLGFLVLTWTTVVLLGGFVSTLQKKDFWCLTVITLVLKEFDCHGPTGEGIQGQQDTDLEQCVISHNNGHENQQKVDLHDGIKLREALVSLCQKISWDWIKQCQDLTTNQLNEIAAKICSEQGMSVTTFREFVDQAQSKLRQEKKAQRLVTVGASS >LPERR02G13110.1 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.10 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.11 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDANHFPSSPGWHKRRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.12 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.2 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.3 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.4 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.5 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.6 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDANHFPSSPGWHKRRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.7 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKETLPEDTPHTGQASDQSPKGCRTSNYLQRKRSVGSLYSGYNNNKTEITSLKTSKRSLPQNRFSSRTIAQQTAEKTEKTLSYTRPLQYGLSQSTNYNAAQTAGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.8 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSDSGMDHLRVHPRFLHSNATSHKWALGDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETVIKLLNDENSALKERIKKVEESLSRELHIEQDKNKSLTERLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13110.9 pep chromosome:Lperr_V1.4:2:11083674:11094843:1 gene:LPERR02G13110 transcript:LPERR02G13110.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGESSAAAGGGEGCTADAVIDVSSSETDSDPGFVGGGKARPRRAAAGGEAAERKRARVLAAAAAVLPPGFLDPLPPSPPPRGRSRVTRQFWHAGDYDGKPELLGGDPSRHHSAFAELLDNSLDEVANGSTYVNIDILENKKHGTRMVCVEDDGGGMDPDKLRHCMSLGYSAKSKVKDTIGQRTQSIGMLSYTFLRETGKDDIIVPMIDYEKTQYWKRMVRTTSFDWQTSLATIVEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDADLNDIQIRGGNRDQKNIQLAKQFPNSGHFFTYRHSLRSYASILYLRFPSVFRMILRGKEIEHHNIIGDLMMKNHVIYKPVMIDGLPGDIDMVTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPLWRVWTLPGIQGRGIIGVLEVNFLEPAHDKQDFERTNSLARLESRLILMQKTYWFDNCHRIGYYNPTNRKSGREYKGTPSRSTSHILKTSEKFCNNENALPSTPSIEATTSEGTTIRSQSEEGNVANNGDGQAIDNPETLENVQKQLGTANKEQEALVDIFTEERTRRDQEVENLQTKLKEASSTIQNLLNQLNDARNCHKS >LPERR02G13120.1 pep chromosome:Lperr_V1.4:2:11098828:11101210:1 gene:LPERR02G13120 transcript:LPERR02G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQYSIECLNVSLGSWLLVLYMTVKCILRS >LPERR02G13130.1 pep chromosome:Lperr_V1.4:2:11104396:11108705:1 gene:LPERR02G13130 transcript:LPERR02G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAVAAVSASGEEEPLIRQVVGGDDDNELELNAERHFASFVQRFGKSYRDADEHAHRLSVFKANLRRARRHQLLDPSAVHGVTKFSDLTPAEFRATYLGLRKTRRSFLRELGGSAQDAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGEMKVLSEQQMVDCDHECDPSEHDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYTGRDGACKFDKSKIAASVQNFSVVSIDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVTAIHTSKE >LPERR02G13140.1 pep chromosome:Lperr_V1.4:2:11114374:11123648:1 gene:LPERR02G13140 transcript:LPERR02G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAASSAAGAAAAGEEAGATRAGVADGNGKGKGKGKGKEKVADGEAAAVILAGGGGGGKVRYVAYPARMAEFNDVVEDAALFKAALKGMHVQMGTKLKVPIIGGKDLDLHKLFKEVTSRGGLDKVKADNRWREIPASFNFPPTATNASFMLKKYYFSLLYHFEQLYLFEAQGSYQEIDSKNNPSIEMKDEGQASQKRKRASNSSSDLVSSDDVDVIIEGKFEHGYIVTVTIGSKSTRAVLYNCTEEPAAQTPAPRVAINSIGLEGKPRRRRRRKKLSTTDPMHPKPNRSGYNFFFQDQHRKLKPELPGQDRLISKMIGKRWNALSPEDKAVYQEKGAVDKVRYQKQLAAYREAVKTGQPISNAVPIQQRPPQTEVTIDEVDNKVIEGDIQLSNQGYSSNSSSSSDESADSGEKVVEDDEEFNTETSPEHSMETTDSHGRPDRSADGDRFELRRRENPKIDEKNDMPPN >LPERR02G13140.2 pep chromosome:Lperr_V1.4:2:11114374:11116928:1 gene:LPERR02G13140 transcript:LPERR02G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAASSAAGAAAAGEEAGATRAGVADGNGKGKGKGKGKEKVADGEAAAVILAGGGGGGKVRYVAYPARMAEFNDVVEDAALFKAALKGMHVQMGTKLKVPIIGGKDLDLHKLFKEVTSRGGLDKVKADNRWREIPASFNFPPTATNASFMLKKYYFSLLYHFEQLYLFEAQGSYQEIADSKNNPSIEMKDEGQASQKRKRASNSSSGKQPVIMRDIVSLF >LPERR02G13150.1 pep chromosome:Lperr_V1.4:2:11142347:11143909:-1 gene:LPERR02G13150 transcript:LPERR02G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVAFIVLLALATPSIAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTENDFFFPGLINPGNTGNAVGSLVTAANVNNLPGLNTLGISISRIDYAPWGLNPPHTHPRATEIIFVFVFPRGLVHFQKNIGNSPAVAIAAFNSQLPGTQSIAETLFDAAAPAVPSDTLARAFQIDGRMVEIIKSKFQHK >LPERR02G13160.1 pep chromosome:Lperr_V1.4:2:11155041:11155334:-1 gene:LPERR02G13160 transcript:LPERR02G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTRLREAAREVDVLAKGIAVVPGEVDEVASIILASYQAHDPGFNPYVPNDNFPEGTEEDA >LPERR02G13170.1 pep chromosome:Lperr_V1.4:2:11156813:11157987:-1 gene:LPERR02G13170 transcript:LPERR02G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNFNDDMRTHVGREHDWSENDLAILRGGAHDPAPGLIPLCQDSVRPEILQVLSIVGDDVGSSAAAASAVPEDDGGSSEGSEDNVPIGRLARRLSGGSGAALRTQRASGRFRSMTPRHPPHLHAAAGLNVPSPIVVLEAGWPRVWRLGDHGADSRLSRNRGQTGDKRGDHDDATTTAVEGALAARAATGANGSERSVGADGCPRVGAGSAGISPASPSGPPPKKKRRTISCVTWSFDAARRSSDSFPVYISFLSREH >LPERR02G13180.1 pep chromosome:Lperr_V1.4:2:11163421:11164821:-1 gene:LPERR02G13180 transcript:LPERR02G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAPDTYTYNTVLKGLCIARKWEEAEVLMSEMITNGCHPNEVTFATQIRSFCQNGLLHRALHLLEQMPRYGCTPDVVIYSTLVNGFSEQGNVDAALNLLNTMVCKPNTVCYNAALKGLCMAQRWDDIGELIAEMVRKGCLPNEATFSMLINSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNTIINSLSERGRADDALKLLNSMACKPDALGFNAVLKGFCRAERWHDATELIAQMFRQDCSLIEMTFNILIDALCQNGMVTHATHVFEQMPKYRCTPDIVTYSSLINGFSEQDLVEVAIELFRGMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCTPNEVTFNILINALCQKGLVDRAIDVLEQMPSYGSTPDIFTYNALINGFSEQGRLDDALKLLGTMSCKPDAISYNSTLKGLCRAERWQDAEELVAEMLRKKCTPNEVTFKYANRLLVPNRVG >LPERR02G13190.1 pep chromosome:Lperr_V1.4:2:11170936:11172231:-1 gene:LPERR02G13190 transcript:LPERR02G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLEAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARQKRKRIKSDLAEDERQERMIARQIERAQQSMAKTDGENGDVDSLDGSGDDESGSEDEYSDSENDHEGKEDEDAKETNKAAGKIAIAIQRAAPGPKVNPFDEKPKVKFGFEEEDEMGARDKKLEMAKKKGKDTINAAEARRSALDELMKEEEKAKERSNRKDYWVCPGIVVKVMSKSLAQKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQNELETVLPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVIKAIEYEDICKIAQ >LPERR02G13200.1 pep chromosome:Lperr_V1.4:2:11180353:11180934:-1 gene:LPERR02G13200 transcript:LPERR02G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKIIFELIFALEHDTINAKPCYWCKGVGSRFMLTLTRLSVKRAYLIVWIFQNVKACGSYCCAFYV >LPERR02G13210.1 pep chromosome:Lperr_V1.4:2:11180987:11221045:-1 gene:LPERR02G13210 transcript:LPERR02G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNALRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSNPWKLSKKWEDLNPVEWGEVIFQDGIDDHSASSVWAMNRNYLVSPINGTLNYKRLGKQERGDPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELSICASCVDVMLLAHAKVETVKSKETLHKKGASKRRWWTFGWNSVELPSEENALVEAQLDEEEKLTKEEWQAINKLLTYQQDDDMSSPLEKVSSNTIRFLVDVSIGQAAARIINIDQTEILCGRFEKLQVVTKLYPRSTRCDVTLRYCGLSSPEGSLAQSVISEGKSNALDVSFVHAPVGMDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTVTMETATALQMKIEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTTDQPLLGNEHFVLDLGHFTLHTRDGTLDEERQSLYSRFYISGRDMAAFLVYDTVEDIYSVPENLGQNALPCPAVDDKQFCSLLDRCGMSVIIDQIKVPHPSYPSTRISFQVPNLDIHFSPKRYCKIVELLGVVCKLKGSDTEESHTHENCNLVPWYPADLAGDARTLGLGYSLAEWHTCYVVLSGMYLYILESEVSQDYQRCCSMASRQVIEVPSTSVGGSLYSIAVCSRGLDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNIFGDPVSTPEPSTPRLSSLGSVDLLVNGSVIETKVSLYGKLDRKKKDPEELLMLELLGIGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSTSSNYLACSVINDNLETIDSSTPDEEGHPKSFSVEEDSFMDALTDFTSDQSSNLHDLEIPDGDQQKLKPAEVFYEAEDNNVNDFVVLTFLTRTPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDVSMVNSVPKNDSDTAPVMHNVRPTGKEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFAFQSYSSDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKIRNDFSWHGGEETDPSAVRLDVLHAEINGINMAVGVNGILGKSMIKEGHGINIEVRRSLRDVFKRVPILSMKVQIGLLHAIMSDKEYNVITSCISTNLSESPNLPPSFRENVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALLELRNGPDAESPLAELAVEGLWVSYRTTSLFEMDLYLSILKFSVHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMLILDYRWRSSFQSFVIRIQQPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPIFFQKENFIQLSPGRQLIVDGCNIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFRNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLENVLNDNEDDHLQDEEYKRISAPQAAADTPSAQMLNFTFEAQVISPEFTFYDSSKLTIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVFIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCTNFKRVWTAPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRRPLGFRLVHVLPGSIEQMNSSQLAKENECSIWIPVPPPGYLALGCVANIGRQPPSNHTVYCLRSDLVTSTAFSDCIHTLSSTPGTISGFSIWRVDNVIASFHAHNSIEEPTRADALDLHHVLLRNPNCYIVKDLNADSSVPSNRPADQLTHRKSTSGWDAVRNFSRPSSYCMSTPHFERIWWDKGGDTKKTYSIWRPLPRFGFSSVGDCITEGFEPPTLGILFKCDNAIVSERPTQFTKVAQIEKKGFDELFLWYPVPPPGYASLGCVATKTDEMPSKDSVCCPKLGLVNQANISEDPMSRSSSSKGPNCWSIWKVENQGCTFLARSDTKKPPAQLAYSIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATYGRFDTMNAVLICSIAASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANIDLLVETLISWRRQIDLEIKSSIRNQDTVENAKKADDLSCSALDEDDFQRIVFENKLGCDIYIKKHEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLTNSTESRYYVVIQIFESKGLPIIDDGNDHSYFCALRLLIGSDVSDQYKVFPQSARTRCVKPVKTCEAQTHHAKWNEHFIFEVPEQASTNLEIEVTNLASKAGKGEVLGSLSIPIGRGATTLKRAASIRIIQQAADIKRVLTCPLTRKGQAINDEDMKQCGMLVLSSCYVERSTQTNFQSWKDSISNAKSGFWIGLSPDGPWECYTAALPLSTIPKSLNNSQFAFEVTMRNGKKHASLRALAVIVNDADIKLEVSVCPVNMLNSSVSNAGSTSSTSIVDEVFENQCYRPISGWGSNPASDKGRDVEQWSTKDFSYSSKAFFEPRLPPGWKWTSPWKIEKSSSVDSDGWAYAANFENLDWPSSWKSSKSPHDFVRRRRWVRSRQPVQEQSAEIPRKIIAVMEPHSSTALPWTTMIKDMDLCLQLRPFPEKSQESYSWSQVLSLGSESLPKQQQSSLSRQNTLKQSSVPSKNSVLRLAELEKKDMLSYCYPPVGIKQYFWLSVGIDASIVHTDLNMPVYDWKICFNSIFRLENKLPYEAEYAIWEKSKEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWILEKDTVLILDLLSLEHVTSFWMVQKRNQRRLRVSVEHDLGASDAAPKTVRLFVPYWIKNNSSIPLSYRIVEVEPTENSDADSLSRPDSLSRAAKSSKFSLRYSSKSLVRRGSVAQRNMHILEVIEDCSTDHVMLSPQDYINRSAGVRFESRDNNFSPAQVAICVAVGSCKRYSIGVSLFELENKEHVDVKAFASDGSYYWFSAQLKMTSDRTKVVNFLPRALFINRIGRSIILSEYHSEIEEHLHPHNPPKAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNSITGNDQALVRINVRSGTKSSRYEVIFQLACWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPRTSMTYDIDVVMDHQPLATSSRVKKALCVTVLKEGKFHVTQISDWLPDTRTREQSTERLLSPIYQPSEVDSGQSSPDLDSEFHVTLELTELGLSIIDHMPEEILYLSVQQLSLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQKMENQADYVIKFTMTMQTNNSLEFCVYPYLGVQVPENCVFFVNIHEPIIWRLHEMIQHLKFDRISSSQTSAVSVDPILKIGLLNISEIRFIVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAISNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILVITHRRVLLLQVPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIHSSIDRAYQAYGPNSTKELLRWKVPRPYAPRNTSGRTVQDLSFG >LPERR02G13220.1 pep chromosome:Lperr_V1.4:2:11227404:11230070:1 gene:LPERR02G13220 transcript:LPERR02G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEADVHGIVIVGGGICGLATALALHRKGISSLVLEKSEALRADGVAIGVHANGWRALEQLGVAAELRATTNAITAYRSVLQQQNKTTVLPARTELRCMTRKDLVEALAKNLPAGTIRFGCRIAAVDQDSSSHFSILTTEDGHTIMAKLLIGCDGANSVVAKYLGLGNPSEIPRLVVLGLTRYPQGHPFGTEFLTIAGDDIAIGRLPINDNLVHFFLSRRRPSTEMARDAGAAREYVMEKLNECPDEVVDMVRRCDPSSLWTMTQVWYRPPWEVALAGFQRVAAAGGAATTVAGDAMHVMGPFIGQGGSSALEDAVVLARSLSAAHAASNNGCRREVRDGEVGAAVGRYVWERRMRVVRLSLESFTMGNLLRTKSPVGRLVCLVVMVLFGTRSRRHADYDCGCL >LPERR02G13230.1 pep chromosome:Lperr_V1.4:2:11232468:11237078:-1 gene:LPERR02G13230 transcript:LPERR02G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWPPSWFVGFYLRPKQPSVRRHSSKSRTFLTREPQKTHTRTASPLHGGKNIILLNYLSNDSILLSLVVSLSSLPSLVRLLPCPFPIRRPPCILRSAARPPPHTHTHTTRPASETGADLDFAAISERYNNSIVAIHPPRRRGRRLTGGEERRGAARGAGGRIGRGCMVEAAAGRRSGTNPQTTTPRGPAPGADGRRVAAELRGIPGRIAGNGACAVASLYTQQGKKGVNQDAMIVWENFCSREDTTFCGVFDGHGPNGHLVAKRVRDLLPIKLGADLATDEGRQTSTSNIKSNGDETGSPQNMGRDAEQNGEYPEIFTALRTSFLRVFNVMDRDLKSHKNIDCFFSGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISTPEVSYHRITEKDEFVVLATDGVWDVLSNTEVVSIINRATSRASAARFLVESAHRAWRARFPTSKIDDCAVVCLFLDTVVMDSDDLSAVDAVAKLVTVADMSKNV >LPERR02G13230.2 pep chromosome:Lperr_V1.4:2:11232468:11237078:-1 gene:LPERR02G13230 transcript:LPERR02G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWPPSWFVGFYLRPKQPSVRRHSSKSRTFLTREPQKTHTRTASPLHGGKNIILLNYLSNDSILLSLVVSLSSLPSLVRLLPCPFPIRRPPCILRSAARPPPHTHTHTTRPASETGADLDFAAISERYNNSIVAIHPPRRRGRRLTGGEERRGAARGAGGRIGRGCMVEAAAGRRSGTNPQTTTPRGPAPGADGRRVAAELRGIPGRIAGNGACAVASLYTQQGKKGVNQDAMIVWENFCSREDTTFCGVFDGHGPNGHLVAKRVRDLLPIKLGADLATDEGRQTSTSNIKSNGDETGSPQNMGRDAEQNGEYPEIFTALRTSFLRVFNVMDRDLKSHKNIDCFFSGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISTPEVSYHRITEKDEFVVLATDGVWDVLSNTEVVSIINRATSRASAARFLVESAHRAWRARFPTSKIDDCAVVCLFLDTGELSETSNSMARDMANAVEVSSGQHSTNTVQLSTGVSSDGVSAVVMDSDDLSAVDAVAKLVTVADMSKNV >LPERR02G13240.1 pep chromosome:Lperr_V1.4:2:11266917:11267270:-1 gene:LPERR02G13240 transcript:LPERR02G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGKLRVSQRPKGKEVKLIDPNVITEEDKEKYNQILKDKDCAMKIQKLIEQNMQLGEVLENRKRTPIIEELAAEEKDMEEQKEKSNNNYQKFSEEEPSQGTHRGAWQREGAANMK >LPERR02G13250.1 pep chromosome:Lperr_V1.4:2:11276201:11281994:1 gene:LPERR02G13250 transcript:LPERR02G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALLLLLPCVVITVLGDVAADAAIGSAQTTFLDLQNRARADVGVGPLAWDDTVAAYARRYADTRKGDCKLEHSGGPYGENIFWGSGGGNWTAADAVAMWAAEKQYYNCSDDSCAAGLVCTHYKQMVWAKTTKVGCAVVNCDAGLGTFMICEYDPPGNVKGVLPYAGCGHFNQTSAQNSPQDFHNLHNAARAGVGVSMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVPQISWTASDAFFSWLGEGKNYNCTSNTCNDGRGCSEYTQLVWANSTRVGCASVTCDSNAGGGTFISCNYDPPGNLVGERPYSCGFSSPEKVPGNGNNQRENSNSATGNSSNQSSSGSKNNHVPVILEIVLPVVIGLGIISVISICLWRNRSRSQKIPSPCSEEVEDIKSVLLDPSMIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQGLRELKNELLLVAKLQHKNLVKLIGACLNEEDKLLVYEYIPNKSLDTFIFDDMKREQLAWDARYKIICGIARGLVYLHDESRVKVVHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTKHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITDIVDPPLHCRSAESEVLKCIHIGLLCVQENPGDRPHMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKMTITELEPR >LPERR02G13250.2 pep chromosome:Lperr_V1.4:2:11276201:11281994:1 gene:LPERR02G13250 transcript:LPERR02G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALLLLLPCVVITVLGDVAADAAIGSAQTTFLDLQNRARADVGVGPLAWDDTVAAYARRYADTRKGDCKLEHSGGPYGENIFWGSGGGNWTAADAVAMWAAEKQYYNCSDDSCAAGLVCTHYKQMVWAKTTKVGCAVVNCDAGLGTFMICEYDPPGNVKGVLPYAGCGHFNQTSAQNSPQDFHNLHNAARAGVGVSMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVPQISWTASDAFFSWLGEGKNYNCTSNTCNDGRGCSEYTQLVWANSTRVGCASVTCDSNAGGGTFISCNYDPPGNLVGERPYSCGFSSPEKVPGNGNNQRENSNSATGNSSNQSSSGSKNNHVPVILEIVLPVVIGLGIISVISICLWRNRSRSQKIPSPCSEEVEDIKSVLLDPSMIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQDDMKREQLAWDARYKIICGIARGLVYLHDESRVKVVHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTKHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITDIVDPPLHCRSAESEVLKCIHIGLLCVQENPGDRPHMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKMTITELEPR >LPERR02G13250.3 pep chromosome:Lperr_V1.4:2:11276201:11281994:1 gene:LPERR02G13250 transcript:LPERR02G13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALLLLLPCVVITVLGDVAADAAIGSAQTTFLDLQNRARADVGVGPLAWDDTVAAYARRYADTRKGDCKLEHSGGPYGENIFWGSGGGNWTAADAVAMWAAEKQYYNCSDDSCAAGLVCTHYKQMVWAKTTKVGCAVVNCDAGLGTFMICEYDPPGNVKGVLPYAGCGHFNQTSAQNSPQDFHNLHNAARAGVGVSMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVPQISWTASDAFFSWLGEGKNYNCTSNTCNDGRGCSEYTQLVWANSTRVGCASVTCDSNAGGGTFISCNYDPPGNLVGERPYSCGFSSPEKVPGNGNNQRENSNSATGNSSNQSSSGSKNNHVPVILEIVLPVVIGLGIISVISICLWRNRSRSQKIPSPCSEEVEDIKSVLLDPSMIRSATDDMKREQLAWDARYKIICGIARGLVYLHDESRVKVVHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTKHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITDIVDPPLHCRSAESEVLKCIHIGLLCVQENPGDRPHMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKMTITELEPR >LPERR02G13260.1 pep chromosome:Lperr_V1.4:2:11283178:11293531:-1 gene:LPERR02G13260 transcript:LPERR02G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSACDRACSSLLCLVLVLLAVAPAPAAATSGVFEVRRKFTRHGGGGGGEGHLAALREHDGRRHGRLLAAVDLPLGGSGLATDTGLYFTRIGIGSPAKGYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGQLVTCDQDFCVATYGGVLQSCTSTSPCQFAITYGDGSSTAGFFVNDFLQYNQVTGDEQTTPANASVTFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVKKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVNLKEIDVGGTALPLPTNTFGSGNTKGTIIDSGTTLAYVPEAVYMAIVTAVFDKHQDISLHKFEDFDCFQYSGSVDEGFPEITFHFEGDVSLNVSPHDYLFQNGKNLYCVGFQNGGLQSKDGKDMVLLGDLVLSNKLVLYDLENQAIGWTDYNCSSSIKIKDDKTGSTYTVNADNISSSGCKFDWHKSLILLLATTDAALLRRAADAADRSAGHTAPHPNFGCVVARPHLGTDNAEAWVVGEGSLYAQGTPCAELLAAREAGEHARGATAYLNLEPGDCYGDSTAVTSLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADGKIAASSGHASWISGKSSRDRVFQLRGRSDAIIVGGNTVRRDDPRLTARHVKDGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDIQKQLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFVAPKIIGGANAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGEHFTWPTVEHYYQAHKFIGVDIPQAREIVQEIKLAKSPEEAARIGRTRQREFQQLVRPDWDSIKIDVMYRAIKCKFSTYSHLTDMLSSTAGSVLVEASPHDLFWGGGREGEENAGGSRSTGRREST >LPERR02G13260.2 pep chromosome:Lperr_V1.4:2:11283644:11293531:-1 gene:LPERR02G13260 transcript:LPERR02G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSACDRACSSLLCLVLVLLAVAPAPAAATSGVFEVRRKFTRHGGGGGGEGHLAALREHDGRRHGRLLAAVDLPLGGSGLATDTGLYFTRIGIGSPAKGYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGQLVTCDQDFCVATYGGVLQSCTSTSPCQFAITYGDGSSTAGFFVNDFLQYNQVTGDEQTTPANASVTFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVKKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVNLKEIDVGGTALPLPTNTFGSGNTKGTIIDSGTTLAYVPEAVYMAIVTAVFDKHQDISLHKFEDFDCFQYSGSVDEGFPEITFHFEGDVSLNVSPHDYLFQNGKNLYCVGFQNGGLQSKDGKDMVLLGDLVLSNKLVLYDLENQAIGWTDYNCSSSIKIKDDKTGSTYTVNADNISSSGCKFDWHKSLILLLATTDAALLRRAADAADRSAGHTAPHPNFGCVVARPHLGTDNAEAWVVGEGSLYAQGTPCAELLAAREAGEHARGATAYLNLEPGDCYGDSTAVTSLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADGKIAASSGHASWISGKSSRDRVFQLRGRSDAIIVGGNTVRRDDPRLTARHVKDGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDIQKQLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFVAPKIIGGANAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGEHFTWPTVEHYYQAHKFIGVDIPQAREIVQEIKLAKSPEEAARIGRTRQREFQQLVRPDWDSIKIDVMYRAIKCKFSTYSHLTDMLSSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTTVPV >LPERR02G13270.1 pep chromosome:Lperr_V1.4:2:11296925:11302442:-1 gene:LPERR02G13270 transcript:LPERR02G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGNGVPEATGMEASSGSGPPRNNHCMPFEDCVAGIISAFQQPTLRFLREQMEKAGCPVLLRMIRAMNCTSTNRSGSYCSGKGVCDYSPFLLSFKRIRACFLLEITVCCDQMQIQDHINQLLIHELIHAYDDCVTKNIDWTDCAHHACSEIRANHLSGNCHYKRELLRGFMKIRGQEQECVKRRSLMSVRNNPYCSNSAAKDAVEAVWDICYNDTRPFDRAP >LPERR02G13270.2 pep chromosome:Lperr_V1.4:2:11296925:11302442:-1 gene:LPERR02G13270 transcript:LPERR02G13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGNGVPEATGMEASSGSGPPRNNHCMPFEDCVAGIISAFQQPTLRFLREQMEKAGCPVLLRMIRAMNCTSTNRSGSYCSGKGITVCCDQMQIQDHINQLLIHELIHAYDDCVTKNIDWTDCAHHACSEIRANHLSGNCHYKRELLRGFMKIRGQEQECVKRRSLMSVRNNPYCSNSAAKDAVEAVWDICYNDTRPFDRAP >LPERR02G13270.3 pep chromosome:Lperr_V1.4:2:11296925:11302442:-1 gene:LPERR02G13270 transcript:LPERR02G13270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGNGVPEATGMEASSGSGPPRNNHCMPFEDCVAGIISAFQQPTLRFLREQMEKAGCPVLLRMIRAMNCTSTNRSGSYCSGKGIRANHLSGNCHYKRELLRGFMKIRGQEQECVKRRSLMSVRNNPYCSNSAAKDAVEAVWDICYNDTRPFDRAP >LPERR02G13270.4 pep chromosome:Lperr_V1.4:2:11302446:11303372:-1 gene:LPERR02G13270 transcript:LPERR02G13270.4 gene_biotype:protein_coding transcript_biotype:protein_coding AIKGVPIPSRELPSVRRPPDSLQSGARVAGVEIEQPPPSPSPALRRLRPPLRRSAPNKESLAHLKPSGFRGDVAVAGASQ >LPERR02G13280.1 pep chromosome:Lperr_V1.4:2:11312201:11326593:1 gene:LPERR02G13280 transcript:LPERR02G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEESLNQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKSMVRENILGFIVQVPPLLRFKSEEERIPLYHIVEESFPRLLSIFGKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDSDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYEEASIEVKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFNSHVGHLRAKAAWVAGQYAHISFSDQDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPQLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEISNALYYNPTLTLAILHKLGVVTEIFNLWFGMLEQVKKSGVRANFKREHDKKVCCLGLTSLISLPANHIPVEALNRIFKATLDLLVAYKEQVAESKKQDDADGDDMDGFDADEDEDDEVESDKEMGLDDEDADEVNGLHLQKLAAEARGFQPADEYDDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPTKFQNLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >LPERR02G13290.1 pep chromosome:Lperr_V1.4:2:11397375:11402087:1 gene:LPERR02G13290 transcript:LPERR02G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGEGAARALGAGFDLTSDFRLKFAKVGRKLVELDEAGARDVPVPGGGAGAVLRGVPREVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALFDLSGAWMTDAKEAKHLAFDGYFISLYKLHLRTSPLVLRGEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGKSLSPIHRKTRDGKSKVPDVFVKMVQQTNNLHLSFYSESSTKDGLTLTCSKRGGDVSVASHSKWLQTVPKIPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEELQHFLEFQVPLQWAPLFNELVLGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSILGDSLSSSMSEWRESEDIGAGYIEPIQWKSYSSVCMSKVDYNPEWHKRVPGGGGVFIVTGAQLVTKGTWSRKTLHLRLLYTHVPNCTIQRTEWTAAPASSQRGSFLTTISTTLSSPFMQQSSAAAAAAAPAPAPAALLNSGVYPDGPPVPVQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDAMPPAMTAIGG >LPERR02G13300.1 pep chromosome:Lperr_V1.4:2:11403761:11406443:1 gene:LPERR02G13300 transcript:LPERR02G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAAAVAASSSSGCAAATPHRHSHRHRQAPCSGGGAWMLPFRAGLASSPSSSSSAAAGDPSQALSALLPLVVAATAVTALGNPATFSWVSKEYYAPALGGIMLSIGIKLSLDDFALAFKRPVPLTIGYLAQYMVKPLLGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMVCTSLCIGSPLAINRSKILSSEGFLLLFPIVAFHVAAFIVGYWISKLPILRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGSRIRDIGSRFFPQASAGVSS >LPERR02G13310.1 pep chromosome:Lperr_V1.4:2:11412325:11417751:-1 gene:LPERR02G13310 transcript:LPERR02G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGWVEILGPTISVASIMLSEIHRMRAKKKVADTLEMDLAFIKEEFKMMQSFLMDADEKRSQMTSTTTTTSFKAWFRRIRDLAQNVEDNLQEFFLHLEKPSRASSKLLPLDNITKQMHSLTSEIEHVNKSYGIYANAIFCLNPAAVQPQSNPGTTVIAPRNSRLIGREMEKSHLIQLISQNCEQCQITSIWGMIGIGKTSLVRSIFESEEISSMFQTRAWITISHPFNLHDFVTSLSRELSAHNYIVNGNGSDKNEESIKATNRRRCLIVLDDVLSIEEWNLIQPHLPGETNTHIIVITKEASISEYCSTYKYKLECLKENAAFALFKNKVFMDSSNIEMHLDMTTQAKLIIKECDGHPLAITSIGGFLARKPKTATEWKKLTDDFCDGSGRNKSLEIISTALAPAYDDLPCHLKLCLLYLSVFPKGHNIRRKRLVRRWVAEGYTSKTHNLSEEEVGESYFTELMNRNIVRPSKTVTQNAGTIGYCQVHNLIHKISISKSMEENHGFVLDGSSNTEDTMRHLSIIDTGERNNTLNCADLSHVRSLTVFGEWRASLDASKMRLLRVLDLEGTFGLKDHDLSQIGNFLHLTYLSLRGCADIYQLPDSVGNLWDIQVLDVSGTSIIKLPKTITKLKKLQYLRSGNVPKDEAISSSKLKESSDISKLVHEAIDDVEMPDVVAKTVQFGTTALDMTAAYCTKIVQNTDEVKKRDILHKYCNVLLPSILWGLDMNGVKAPDGIGQLNDLQTLGVVNVAAGKAIIRDLEKLKKLHKLGLTGVNKKNSQAVLSAIANLALLHSLSLRAEGEPGLQGCLDHKFSPPSKLQSLKIYGNLVTLPTWITQLQNLAKLKLRSTQLKLAPSMEILGNLPNLAILRLWKNSFVQSKKIFFEFQQGTFPSLVVLEIKDLEGLESLRFMQGTVPKLELLQVENCIHVQNNGFSGLSFPPSLREVMVKGDYNDKFIENLRTQLAQNKNQPVLERA >LPERR02G13330.1 pep chromosome:Lperr_V1.4:2:11489351:11497311:1 gene:LPERR02G13330 transcript:LPERR02G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSGSGPEAGECIAWDAHRGPVFALTISPYGDLWSGSEGGVIKVWYGEGIENSLALQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVINVDGQDPYGYETKQNPFSPPKKDKTRSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSRRVEALAMSIDGMIWTGSANGCLAQWDGSGNRLQEFQHHSYSVQSIFSFGKKIWVAYNDGSIQLLDLEGNLLGGWTAHSSPVLSMAAGGSYIFTLAGHGGIRGWNLSSPGPIDNIMCSRLIEADVLYKKFEYMKVLVGSWNVGQEKASYESLRVWLKFPTPEIGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKDYSFERVGSRQMAGLLIGVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVNRRNEDFDHVFRTMTFATPLSGVMTTSVSGSTGQLLRGANGSRMPELSNTDMIVFLGDFNYRLYDISYDDAMSLVSRKCFDWLRENDQLRAEMRSGRVFQGLREGNFKFPPTYKFEKHISGLSGYDSSEKRRIPAWCDRILYRDSRVSSVSECSLNCPVVSSVALYDSCMEVTDSDHKPIKCVFNLDIAYVDKQAMRQKYGELMSSNSKVVHLLQDLEALPEVNINTTDIILRDQNPSVVKLQNRSTKDTACFEIIGQAPNVSGTHFSGFPTWLKVSPAVGIITPGQTVEVTLQHGDLRGQQNYNGTSGEILCGIGPQKIAATLLAKITGVHSTVANYYEIHVEDQNCRSKLSSRGYNFW >LPERR02G13340.1 pep chromosome:Lperr_V1.4:2:11502014:11509278:1 gene:LPERR02G13340 transcript:LPERR02G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAYTQRRWAHRRGGFVTGGTGWSQRRAPAAAASAAGAKKSEWWAVDGEMHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQEIRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRRPSVEQNKDVGFGASKQGETWERVTQIRDKFEYDRERRMRERAFAPMSMENNFGQHESRFRNQHDSNHASTNIESRFDSDDQAFGNRPNPGFQQDSSFRNRRDLDFQNESSFRSRQYPNFQNQRDPRNRMIEREKRGEDTEPRPLAKPSRPRASSFPSEHSRRAAVTTAAADRSVSGNQMGNGLACTVLVEGCDKMVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >LPERR02G13340.2 pep chromosome:Lperr_V1.4:2:11502014:11509278:1 gene:LPERR02G13340 transcript:LPERR02G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAYTQRRWAHRRGGFVTGGTGWSQRRAPAAAASAAGAKKSEWWAVDGEMHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQEIRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRRPSVEQNKDVGFGASKQGETWERVTQIRDKFEYDRERRMRERAFAPMSMENNFGQHESRFRNQHDSNHASTNIESRFDSDDQAFGNRPNPGFQQDSSFRNRRDLDFQNESSFRSRQYPNFQNQRDPRNRMIEREKRGEDTEPRPLAKPSRPRASSFPSEHSRRAAVTTAAADRSVSGNQMVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >LPERR02G13350.1 pep chromosome:Lperr_V1.4:2:11509329:11510248:1 gene:LPERR02G13350 transcript:LPERR02G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPVPCLALYEGDDTPKPTFFDIFEEVEVDCGVDSLKNNKKTMVRDTNTPSTFLQNPLDSDDKIQLPHLPEDLPRDSTSVLSGKPSIPGCVVLLVESVATVIWHCRVREDDEWTRHEYDIGTHELIPPLDGKDHEKVPIYSIAACQGKFYFNTKFSYIGMLEFTPGPMFRMSKVFLVESNEELYMVYLAYRGLFGRDDLDYETRVYKMDFSEQQWCRTNDLAGRAFLLTPWYFAASCLASEHGLYEDCVYASFPTDDEISSVKDGWEDFVELPAAHKALWITPTDP >LPERR02G13360.1 pep chromosome:Lperr_V1.4:2:11517649:11519664:-1 gene:LPERR02G13360 transcript:LPERR02G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRVVGVSSSRGAALYCVPSGCRAHPARRSLLRLLRRRRLAVDHVLLNLGSFQLLDLPSSPTCWRPTSRRGGEESCSGSGTDRSCSSPPPSRPSRLAAERARGHSSPPPSSASIDVTSCYDSSAIARLRASLFPSPHPTGTHPRTRYERRAAGDCSLTRGDVESSRSAHGRSPLAGAGVPGVRDSGIRELLNRSSCPRSPATFCSSEEASPDISCELGDGYHGGVYFLDGRTFRRDPFMVVTQELMPPQRRYPSTDNGEWPPGVGVAAANRCFRTKLGRPPPASVQGGSSPRTSLGGFCVGCLAASTAVAVRSVGHHLHLGHEVLRASASVRKTADPFRREGPVLHRLCRAVGFSSALCDHHDHGGHETIPVVLFGDRHWVRCTGSDRTFTEFNCLHLRVHVA >LPERR02G13370.1 pep chromosome:Lperr_V1.4:2:11522694:11523418:-1 gene:LPERR02G13370 transcript:LPERR02G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQAGVVRSLGDIKRIIRRYGRRNGYSVRIKCLWGIRHAAKVRALLRQKIISKRWKVKGMGMAHLHALVRVHRRIAIVVPLLDKQLMEAGTGLPNQIPPPALVDIAGCVLHDEAHPEEEEAAEEEQALELPLATRLLDAGTGLRHLRVRSVAIESIIHQLGELIEDVAAAVMTGQLERHITMQYLLQAKVLLNEVWDLRVPTQEFSRM >LPERR02G13380.1 pep chromosome:Lperr_V1.4:2:11527699:11532054:-1 gene:LPERR02G13380 transcript:LPERR02G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKVTLCQQRNNYQGGRRLRYSWPNFPEDVWGHIHSLMPLRDAARAACVSHTFLCSWRCHPNLIFSKRTLGLEHNSCRKSEISRAFTSIVDRILKNHSGNGIKTLNLDIFDCPNLNTCDLNNWLQNAIKPGIEEISLVLPWKYRKLYSFPCSLLFGGSGRSLQYLDIKGCAFRPMVGLCLRSLTKLCLCQVRITGDELGCLLINSLALKELELYKCSDIICLKVPLLEQLSNLDVCACKMLQMIEIKAMNLSTFNFTGNLVQFSVGESLKVKDLNMDCLNKSDFICYAITNLPYVVPNVETLTLYSISERVDTPMMTEHKKHKCLKNLTMMGFCSAKSMVELTCYILKNTESLQCITLDTIANWPEDENGFIGRCCVRRTKRRECLSIGKVMILEAHKALLAIERYILDEIPAAVRLNVHRPCTRCHKLYSS >LPERR02G13390.1 pep chromosome:Lperr_V1.4:2:11532269:11535274:1 gene:LPERR02G13390 transcript:LPERR02G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEPAPAEVKIADGLL >LPERR02G13400.1 pep chromosome:Lperr_V1.4:2:11550644:11551976:-1 gene:LPERR02G13400 transcript:LPERR02G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTQKNHSLKATEWDIPIRREAAAAFELAKMVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKTD >LPERR02G13420.1 pep chromosome:Lperr_V1.4:2:11564638:11568043:1 gene:LPERR02G13420 transcript:LPERR02G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATMRPDIDSTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGISNGSLVEIIEHGLNDENQKVRTITALSLAALAEASAPYGIESFDTVLRPLWKGIKSHRGKVLAAFLKAVGFIIPLMDTAYASYYTKGVMQILIREFQSPDEEMKKIVLKVVKQCVSADGVEADYIRNSILPAFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVAHLGASDIDADQEVLLMDGILYAYQQLTSDDSNVILNGFETVMNALGQRAKPYVPQICGIIKWNLNYSSAKVRQRAADLMSRIAIVMKLCQEERLICHLGTLFYECLGEEYPEVLGSTLGALKAIVNVVGMTKMAPPIKDLLPRLTPILKNRHEKVQENCIGLVGRIADRGAEFVPAKEWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIIAETCSPFTVLPALMNEYQVPELNVRNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDVLMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLIWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDNDGANVYSRLFLLKGIIKNCLFFLFSMRQAMLPLWTMRASL >LPERR02G13420.2 pep chromosome:Lperr_V1.4:2:11564638:11568132:1 gene:LPERR02G13420 transcript:LPERR02G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATMRPDIDSTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGISNGSLVEIIEHGLNDENQKVRTITALSLAALAEASAPYGIESFDTVLRPLWKGIKSHRGKVLAAFLKAVGFIIPLMDTAYASYYTKGVMQILIREFQSPDEEMKKIVLKVVKQCVSADGVEADYIRNSILPAFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVAHLGASDIDADQEVLLMDGILYAYQQLTSDDSNVILNGFETVMNALGQRAKPYVPQICGIIKWNLNYSSAKVRQRAADLMSRIAIVMKLCQEERLICHLGTLFYECLGEEYPEVLGSTLGALKAIVNVVGMTKMAPPIKDLLPRLTPILKNRHEKVQENCIGLVGRIADRGAEFVPAKEWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIIAETCSPFTVLPALMNEYQVPELNVRNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDVLMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLIWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDNDGANVYSRLFLLKGIIKNCLFFLGGES >LPERR02G13430.1 pep chromosome:Lperr_V1.4:2:11568367:11569035:-1 gene:LPERR02G13430 transcript:LPERR02G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQTGGDEESPAAKAPLLAPSSNGGQTPTTTPSPSLVCKALNSTADLAKHLPTGAVLAFEVLSPTFTADGSCTSANRALTGCLIAACALCCFLLSLTDSYRDAATGAVRYGFVTPSGRLLLIDSGSSTTTPTQQVVRDERYRLRARDVAHGALSFAVFLAVAMVDRNVVSCFYPVESPATRQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPVAPPEAAS >LPERR02G13440.1 pep chromosome:Lperr_V1.4:2:11578287:11581090:-1 gene:LPERR02G13440 transcript:LPERR02G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVGGEDENGGGGGGRDGESGTAAVRLEELRKRMAEFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWEEAEKVHLGEELADVLLYLVRLSDICGVDLGKAALRKMEINARKYPASQCKGSSKKHTYYSSNSDVSGNGNGANHLNGNEHNNSNNDNNGL >LPERR02G13450.1 pep chromosome:Lperr_V1.4:2:11581426:11585678:1 gene:LPERR02G13450 transcript:LPERR02G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGKGIGQWLSDTAELVMMCCNPVNKRDCPNNPESLAATTVFSVNEIEALYVLFKQIDRGAVEDGKINKVREKFKLKVFGPNKGGTLFADRVFDLFDTKHAQALGFEEFVRALSIFHPDAPIDDKIDFSFQLYDIKNQGFIEREELKQMMEAALAESDLNLKDDVIETIIDKTFEEADTKKDGKIDFEEWHALANAHPCLLKNMTLANLRTLKNITVTFPEFVFHSQVKD >LPERR02G13460.1 pep chromosome:Lperr_V1.4:2:11597107:11599909:-1 gene:LPERR02G13460 transcript:LPERR02G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLNLMPLAAGELLAQLCGDGGNYTANGIYQSNLARLAVTLPINASSSPELFATDTARQEGTPDDAVHALALCRGDVTNATACGACVAASFQDARRACPNDKLATIFYDDCLLRFAADTPPSITENGTLFQAWNRRNISGDAAVVAGDVRRLLAETARAASTSTARRFATGFMDASSVSIPTLYSLAQCTPDLPAGDCLLCLQRLIAMMNSTTSVRLGGRILLLRCNFRFEAFVFYAGEPTLRVSPPGATPPPVPDPMARTNGNKRKSWVVAAAVAPVAALAFCLIVCYCRWSRRLRKDYEEGALGGFKKGTNLFAKWKERFQSNFSDENKLGEGGFGPVYKGLFSDELEIAVKRLASHSGQGLIEFKNEVQLIAKLQHRNLVRLFGCCSQGEEKILVYEYLPNKSLDFYIFDENRKSFLDWNKRLAIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNINEGTTRRVVGTYGYMAPEYASEGLFSPKSDVFSFGVIIVEIVSGKRNASLHQCEDFINLIGYAWQLWAEERWLELVDASLVPNSQSPSLMRCINIALLCVQENAVDRPTMSDVVAMLSSESMVLDGPKHPAYFNVRVTNNDEPSTTGTCSTINV >LPERR02G13460.2 pep chromosome:Lperr_V1.4:2:11597107:11599909:-1 gene:LPERR02G13460 transcript:LPERR02G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLNLMPLAAGELLAQLCGDGGNYTANGIYQSNLARLAVTLPINASSSPELFATDTARQEGTPDDAVHALALCRGDVTNATACGACVAASFQDARRACPNDKLATIFYDDCLLRFAADTPPSITENGTLFQAWNRRNISGDAAVVAGDVRRLLAETARAASTSTARRFATGFMDASSVSIPTLYSLAQCTPDLPAGDCLLCLQRLIAMMNSTTSVRLGGRILLLRCNFRFEAFVFYAGEPTLRVSPPGATPPPVPDPMARTNGNKRKSWVVAAAVAPVAALAFCLIVCYCRWSRRLRKDYEEGALGGFKKGTNLFAKWKERFQSNFSDENKLGEGGFGPVYKGLFSDELEIAVKRLASHSGQGLIEFKNEVQLIAKLQHRNLVRLFGCCSQGEEKILVYEYLPNKSLDFYIFDENRKSFLDWNKRLAIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNINEGTTRRVVGTYGKRNASLHQCEDFINLIGYAWQLWAEERWLELVDASLVPNSQSPSLMRCINIALLCVQENAVDRPTMSDVVAMLSSESMVLDGPKHPAYFNVRVTNNDEPSTTGTCSTINV >LPERR02G13470.1 pep chromosome:Lperr_V1.4:2:11604708:11610027:1 gene:LPERR02G13470 transcript:LPERR02G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVGALLILGGLMPRAVTAAATDDGGAFCDNLRRVTATLPNNASSSPQHYVTAAAGQAPDVVYVLALCRGDINASACGECVADTFTTLNDKCADFNAGYYYGGLCTCAYSFENLLEPSNVTQGSDDDGQPFQRWNVNSIPGDAENVGFIAGLIRELLSETVRTAAAATARRFATGVVDTGRTFPLVYSLAQCTPDMSAGDCLACLRRLVGMVNSTLAVRMGGQMHVVRCYFRYEAYLFYEGQPMVRISGAAAPPPAPVIPTNTERHKIKLWVIPIVVIPVVAFFCFIVYCGWLRRHRKGKVRLQEKRTDNLQGEEELVWGLGGKDPEFLVFDFDQVREATSNFSEENKLGQGGFGAVYKGQFSNGMEIAVKRLASYSKQGFTEFKNEVQLIAKIQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDFFIFDENRRALLDWYKRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNKTRRVVGTYGYMAPEYASVGIFSIKSDVFSFGVLYLEILSGRKNSGFHCGDFINLLGYAWSLWGEGRWLDLIDESLVPKHHSAEMVRCINIALLCVQENAADRPTMSDVVAMLSSRTMVLAEPKHPAYFNARVTNQEPPAVTESCSINDMTVSAISAR >LPERR02G13480.1 pep chromosome:Lperr_V1.4:2:11609849:11612157:-1 gene:LPERR02G13480 transcript:LPERR02G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCESLQLWERKVSSEVDAEWVLRKTDDLNRVLGVGSGMEIRHLIRLGYAEDSKTMFLYSDSTVIMLSLDSLRSKKLWETNVVTPLPYSTHIAGMFQVATLYHTLESTCSHI >LPERR02G13490.1 pep chromosome:Lperr_V1.4:2:11614403:11621882:-1 gene:LPERR02G13490 transcript:LPERR02G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTRRPDATRPRRTAPRTHQANAARPHDATSHTASTHGSSELSSPHAPARSRAKPSREKQTPLLPSPRADHRFARCLSPPILPACFLRLPTARGCGGEPHPPSRRRPRPPLPGLARVSGIRALILPFPRRRSVPSRAVLRSVPHPAPIRRGPRNPRVSPRQWMRVINLLTSSVPRNMLKRRIMNHQQQTVPLRASANGFPHRKLDREGSGRHDGKTQLLRSSSGGFSGTENGGKLGHESPSRDRLVYVMAHLIGHHVEVHVKNGSIISGIFHATNSDKDFGIVIKMAQVIKDGSARGQKSACDVVKKPETMIIPARELVQILAKDVALGGDELPKGPSHEKRKDLMIDAAISRSHFPEERELERWAPDEGDSECLELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRLAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKYKLSTNISSNTNQVDPKALLLCPSTADQESSSHVVGTDLAANFPTLNPDKSRLDDKLTNDSSGDRSTRNLQTENIISEGGRLSISEDLEAPSSSSRASEPSSSGQAKKSSESQAADSTLSGKLPSSAEHVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSLRPPQPPASDGAYYYANNMPTAAPLAPPMGMGFPPAYGGQPMVYNAPPGQPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMPQYRGRNF >LPERR02G13490.2 pep chromosome:Lperr_V1.4:2:11614403:11621882:-1 gene:LPERR02G13490 transcript:LPERR02G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTRRPDATRPRRTAPRTHQANAARPHDATSHTASTHGSSELSSPHAPARSRAKPSREKQTPLLPSPRADHRFARCLSPPILPACFLRLPTARGCGGEPHPPSRRRPRPPLPGLARVSGIRALILPFPRRRSVPSRAVLRSVPHPAPIRRGPRNPRVSPRQWMRVINLLTSSVPRNMLKRRIMNHQQQTVPLRASANGFPHRKLDREGSGRHDGKTQLLRSSSGGFSGTENGGKLGHESPSRDRLVYVMAHLIGHHVEVHVKNGSIISGIFHATNSDKDFGIVIKMAQVIKDGSARGQKSACDVVKKPETMIIPARELVQILAKDVALGGDELPKGPSHEKRKDLMIDAAISRSHFPEERELERWAPDEGDSECLELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRLAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKYKLSTNISSNTNQVDPKALLLCPSTADQESSSHVVGTDLAANFPTLNPDKSRLDDKLTNDSSGDRSTRNLQTENIISEGGRLSISEVLKLKTLILFSDLEAPSSSSRASEPSSSGQAKKSSESQAADSTLSGKLPSSAEHVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSLRPPQPPASDGAYYYANNMPTAAPLAPPMGMGFPPAYGGQPMVYNAPPGQPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMPQYRGRNF >LPERR02G13490.3 pep chromosome:Lperr_V1.4:2:11614403:11621882:-1 gene:LPERR02G13490 transcript:LPERR02G13490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTRRPDATRPRRTAPRTHQANAARPHDATSHTASTHGSSELSSPHAPARSRAKPSREKQTPLLPSPRADHRFARCLSPPILPACFLRLPTARGCGGEPHPPSRRRPRPPLPGLARVSGIRALILPFPRRRSVPSRAVLRSVPHPAPIRRGPRNPRVSPRQQTVPLRASANGFPHRKLDREGSGRHDGKTQLLRSSSGGFSGTENGGKLGHESPSRDRLVYVMAHLIGHHVEVHVKNGSIISGIFHATNSDKDFGIVIKMAQVIKDGSARGQKSACDVVKKPETMIIPARELVQILAKDVALGGDELPKGPSHEKRKDLMIDAAISRSHFPEERELERWAPDEGDSECLELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRLAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKYKLSTNISSNTNQVDPKALLLCPSTADQESSSHVVGTDLAANFPTLNPDKSRLDDKLTNDSSGDRSTRNLQTENIISEGGRLSISEDLEAPSSSSRASEPSSSGQAKKSSESQAADSTLSGKLPSSAEHVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSLRPPQPPASDGAYYYANNMPTAAPLAPPMGMGFPPAYGGQPMVYNAPPGQPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMPQYRGRNF >LPERR02G13490.4 pep chromosome:Lperr_V1.4:2:11614403:11621882:-1 gene:LPERR02G13490 transcript:LPERR02G13490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTRRPDATRPRRTAPRTHQANAARPHDATSHTASTHGSSELSSPHAPARSRAKPSREKQTPLLPSPRADHRFARCLSPPILPACFLRLPTARGCGGEPHPPSRRRPRPPLPGLARVSGIRALILPFPRRRSVPSRAVLRSVPHPAPIRRGPRNPRVSPRQQTVPLRASANGFPHRKLDREGSGRHDGKTQLLRSSSGGFSGTENGGKLGHESPSRDRLVYVMAHLIGHHVEVHVKNGSIISGIFHATNSDKDFGIVIKMAQVIKDGSARGQKSACDVVKKPETMIIPARELVQILAKDVALGGDELPKGPSHEKRKDLMIDAAISRSHFPEERELERWAPDEGDSECLELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRLAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKYKLSTNISSNTNQVDPKALLLCPSTADQESSSHVVGTDLAANFPTLNPDKSRLDDKLTNDSSGDRSTRNLQTENIISEGGRLSISEVLKLKTLILFSDLEAPSSSSRASEPSSSGQAKKSSESQAADSTLSGKLPSSAEHVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSLRPPQPPASDGAYYYANNMPTAAPLAPPMGMGFPPAYGGQPMVYNAPPGQPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMPQYRGRNF >LPERR02G13500.1 pep chromosome:Lperr_V1.4:2:11629860:11632147:-1 gene:LPERR02G13500 transcript:LPERR02G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQRAMGLLRRSIGLGPLPTQRALSTSPATAAEGAAAAEVAKESKRRKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKLQDIPKVETPVTA >LPERR02G13510.1 pep chromosome:Lperr_V1.4:2:11634948:11644265:-1 gene:LPERR02G13510 transcript:LPERR02G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVSRVETWARDQAARLPAWARPPPSVSLPRWPWPWSWPPPPRAPAWPGDRRRQRERMFREEFERRRRQLRELCRAVRVDTVAELQELLCAVVLAECVYKRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVTHRYLLAESGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQKLADAVESVQNDDQNGEENLGTSYREKSKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALSTLAILRVLASSSLSKEPNRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNTQTPEPSFANNTNVKSDEKETKSMRPKDNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSALQKQTNIFGKAPAQLDSFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGLTEEDDRSEKKNNVSEVGGSKRWNRPYLPSYIPFGEVQSVITELRERLQSHSMKSYRARFQKIYDMCMCANAPLFAGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGCPGGKGAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMASYSSHQEAQPALQKMRILVGHPLKQPPNYTSEDFMVPVATGTESNPDYGFDSLFEDKGCCKGLNEFLLYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYLDSTTVNLQELPLEVRQFKEELQLGIHDLSRKTDLIIVVHNLAHRIPQYHQSNASQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNTLISSAMEAYQASPDMTKVVNSSPFLMQNARNSLRPISSVSGTLRNEDPSDRTSFYPVNFALSPFQRKDIVMHVEGVTALRQLVHQVIRSNEEPAFEELACERLSLELEREKAASLQAKQKPQKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >LPERR02G13520.1 pep chromosome:Lperr_V1.4:2:11645272:11645880:-1 gene:LPERR02G13520 transcript:LPERR02G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATAEAFNVLDLRRGGAAFRGCNPNPALLGALAGLGAGFDCASRAEMEAVLALGVAAERIVYANPCKLESHLEYAASVGVDLTTFDSEEEARPVPVTATSRRGDSEQAATGGEAKTYTSTVFGPTLDSFDEVVRGYQLPELCTGDWLVFHDVGAYTTVCSSDFNGFSTSSMKTFLSYSS >LPERR02G13530.1 pep chromosome:Lperr_V1.4:2:11650131:11656408:-1 gene:LPERR02G13530 transcript:LPERR02G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHRGSSRVLHTVRVAPSSNAGASTMPERTVPLTFLDVIWLRSPPVERVFFYRLSDNDGDVDAVLSRLVESLSQVLHDFYPLAGRLRRTPGTANRYELFYQVGDGVAFTVAEHDCVGVDELTADEPRDVTKILPLHEDGAVLAVQATVLLPARRGIALGVTVHHAACDGSSSTHFLHTWAAVCAGAAVPSTPVINRTCIREREDIYDMMTTTEMKDHYQKKFRSPDAVDDKLLATFTLSKENLQSIKDAVAGDAACRSAPPLRCTSIVATFSVTWHCHIRSAELVNEADSEEPQNDGVARFNFLTNHRARMEPRVPDKYLGNCVGPCFASASNKKISATGMDGLFTTCSVIAAAIDEGTRYNADYWDRCVEHGKELRGTDAGPPVSVAGSPRFSVYDVDFGFGRPVNVEIVSVAKTGAISVAELARGGSVASPPPPHGGGFRVVRTDRVAPSPPACCPVLPERTVPLTFLDSIWLRSSPFNRVFFYRLAGCTERIDAVLSRLADSLSRALHVFYPLAGRLRLTQGKINRYELSLATPSPSMTASALTSWHRTNRGRFQRLPRSCRTFRTVSWCWPCRPPTRRLRRRRLDALPPHLGHHLRRPPIIDRTFVRECDGVYNYMTTRLKEHNARSPDFVADKLLTTFTLTRENLQLIKDRVFLAAARCGVAPPRCTSIVATYAMMWQCAFKLLNIGQVARPGDGGRALGPCAQLPK >LPERR02G13540.1 pep chromosome:Lperr_V1.4:2:11667388:11668782:-1 gene:LPERR02G13540 transcript:LPERR02G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPCHCGGFRVLRTARIAPSTNAAGHPLPERAVPLTFLDAMWLHSPPVERVFFYRLGSGDVDDVLSRLSDSLSRVLHDFYPLAGRLRRTPGKSNRYELFYQPGDGVSFTVAEHDGVGEVDELATDEPRDVAEIAPLVPELPKDGAVLAVQATVLPPACRGIALGVTVHHAACDGSSSTHFLHAWAAICAGAAVPSPPVINRTCIREREDIYDMMTATTERDQNMFRSPDVVDGKLLATFTLSKDNLQSIKDTVAGEAARRATPPPRCTSIVATFAVTWHCYIRSAELVNEAEETRNDCRVHLLFATDNRARMEPRVPDKYLGTCVGGCFASAPNKDVAATGADGLFTTCSAIAAAIEEGTLYHADYWDWCLEHGKELRSIDAGPPLSVAGSPRFRVYDVDFGFGRPVKVEIVSVAKTGAMSVAEARGGSGGIEVGIALPPERMERLRRCFHDAVAGLAVTSNQ >LPERR02G13550.1 pep chromosome:Lperr_V1.4:2:11701826:11702077:-1 gene:LPERR02G13550 transcript:LPERR02G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAGSPRFRVYDVDFGFGRPAKVEVVSVSRTSAMSVAEDRSGEGGVEVGIALPPERMERFTRCLADAIAWLSSPERNYRR >LPERR02G13560.1 pep chromosome:Lperr_V1.4:2:11702111:11704333:-1 gene:LPERR02G13560 transcript:LPERR02G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPSSPAGGLLRVLRTTRVAPAAPALPERALPLLFLEAMWLDAQPVERVFIYHLGPDVDANTNRYKLHYQPGHGVAFTVAELDGVEGVDELATDEPRELAKIAPLVPEIPKGGAVLALKATPPIIDRTLICDRKDMHDAFASPDNEVKELIRSPDAGKLVATFTLSRAHLQGVKDDVAAEAARRGVLPFRCTSTVATYGLTWLCFVRTVAESKAAEEDAHLVFSVDHRSRLEPRVPDKYFGNCVGPAFPTAPKKGLTAGTIADGVYTACAAVAAAVDEAVRGEDGYWET >LPERR02G13570.1 pep chromosome:Lperr_V1.4:2:11714724:11715455:1 gene:LPERR02G13570 transcript:LPERR02G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPAEAGGIRILRTTRVAPATPAGDPELPERALPLLFLDAMWLRALPVERVFFYHLGPDDDDVDAVLSRLVESLPRALHAFYPLAGRVRLTPGVTNRYELHYQPGDGVAFTVAELDGVEGVDELATDEPRELAKITRLVPEIPKGGAVVALQATVLPPLRRGLAIGVSVHHSACDGVGSTHFLHTWAAACAGGWAKLPEPPVIDRTLIRDRKDMHDSFLSPYNEANDLLTSPEVGKLVA >LPERR02G13580.1 pep chromosome:Lperr_V1.4:2:11759109:11765059:1 gene:LPERR02G13580 transcript:LPERR02G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVIVGHRGFAAAGLPYTSPVHIRPRSRLKTARVTLEIESRETRIVSGLRHRAGDGRVW >LPERR02G13590.1 pep chromosome:Lperr_V1.4:2:11779485:11781799:1 gene:LPERR02G13590 transcript:LPERR02G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRLLGLSYLAARPEAPPATSNELRPPPLMPAGTVYGYTAVNPRVPSVNNMEGACVLNMSPWDLPNKHDDDDCSPKEHGVRGTPAASHRSDSDMDDGTMRQSRCSWQRRERIVGGTEVGDDLVVGGSNGGEGTPVCRSSGGGPLALNNDVQKRRRPACGQWPLRVGFFWVGFGFLAQIIFEYGPLNCQYAKMAIFTYRQEDPFEEYFVHVPRRPGFAFSSFEYFNMEMKEEDDEIKNQEPANDMKDGRENTLIIKENEPMLLEEDDKMNKTQACTVKADILTCKKNDDKRWRRSSIGDYHLRNSRSYYTPSKKARFASNILEAADKMDYMDNNMSSTSSSSYDEKSDEDYIIGGARKTHAKTRKGKKVVQKIQAKKRIKKRSLKSIL >LPERR02G13600.1 pep chromosome:Lperr_V1.4:2:11803179:11803712:1 gene:LPERR02G13600 transcript:LPERR02G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVKENKPMLLEEGDKLNKTQACTAKADIWTCKKNDGKRWRCSSTVDRLITLCEYHLGNSRSYYTLSKKGASATASMTNSKLTIKALTDGSKTTLMKASSSKPKAAGAPSSSKAAPAAQPAKRTSTNGSSGGENYFYDFFGPFRRKDRTNCSNLRVSDSAEDKRQVLTSWKQQTK >LPERR02G13610.1 pep chromosome:Lperr_V1.4:2:11803718:11810449:1 gene:LPERR02G13610 transcript:LPERR02G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNMPSTSSSSNDEKSDEDYVIGWRSQDPYVSNLESSGTSVGRKKVPSPQPPTLIHYVSSTNPNAPNILCFLWCSLSLPASLANPSNQTKTTSRRCGSCSKLPDLEAAD >LPERR02G13620.1 pep chromosome:Lperr_V1.4:2:11832726:11836226:-1 gene:LPERR02G13620 transcript:LPERR02G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTPSAAAEELRRRLRRLVAAVTSGGAGDAAFDAAGEALAALRDAELGGQRRKDGAAAGVGAGAERGSPAEAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLNAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSTPENQEEDLVTNNERKAFSELFDRISSTSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISVLQSGTMEARSNTAAAIFSLSALDSNKVNIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATKSGVIDVVLKAISDDSLVDESLAILALLSSDHETVEEIGETGGVPCMLRIIKDDQCKRNKENAVAVLFSICMYDRTKLKDVAEDESLNGSLAWLAQNGTSRARRKSVGILDKLKRTIHKAHYSC >LPERR02G13640.1 pep chromosome:Lperr_V1.4:2:11849359:11855632:-1 gene:LPERR02G13640 transcript:LPERR02G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSKKKNKVILPPQLPPEVDDDEVVVSDEDVEFFRGNEGHARALARLDRKSIDSYVTRVAHHDDDEVERLYEERERRRKAAEALRPKSNKDEDLEVDPVDALPVKTLQGELVYNNAKKPRFDEISNGPESKSKDKGADAKQATQRGEQKARSKETKGDGRLPNVQPQIEAPNGKLQSKVLEEVEEELSAEELFEKKKAQLAEIGMSMLEDPESNIRSLNDMLNICNDKDQKVVKLGLTSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFYNVAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRSLFVNEGKHRGEATIEAVRLIADHVKLNDCHLHPDSIGVFLSLRFDEDIGKDDSEEEKGRSKKKKQRQNQEVPKQLPVSDKKKAKQELISKAREEVDAELRAVSFTLDAKERRRIQKETLSALFETYFRILKHTLSTSNSRGNAINVSTDGSHPLLAPCLEGLGKFSHLIDLDFMGELIACLKKLSGHTDHHSQILHDNTLSVSERLQCCIVAYKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKHQDMIRAAAFIKRLATFALSFGSAEALSALITLKHLLQKNSKCRNMLENDSGGGSMSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISIANPLEAYRDLSMERELSKPANKVPPLNCKKKRRSKDFVALSPTVLQGSDFLADGDELKEKLQNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKRVKSKAGKKKVARA >LPERR02G13640.2 pep chromosome:Lperr_V1.4:2:11849359:11855632:-1 gene:LPERR02G13640 transcript:LPERR02G13640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSKKKNKVILPPQLPPEVDDDEVVVSDEDVEFFRGNEGHARALARLDRKSIDSYVTRVAHHDDDEVERLYEERERRRKAAEALRPKSNKDEDLEVDPVDALPVKTLQGELVYNNAKKPRFDEISNGPESKSKDKGADAKQATQRGEQKARSKETKGDGRLPNVQPQIEAPNGKLQSKVLEEVEEELSAEELFEKKKAQLAEIGMSMLEDPESNIRSLNDMLNICNDKDQKVVKLGLTSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFYNVAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRSLFVNEGKHRGEATIEAVRLIADHVKLNDCHLHPDSIGVFLSLRFDEDIGKDDSEEEKGRSKKKKQRQNQEVPKQLPVSDKKKAKQELISKAREEVDAELRAVSFTLDAKERRRIQKETLSALFETYFRILKHTLSTSNSSHYIIIRGNAINVSTDGSHPLLAPCLEGLGKFSHLIDLDFMGELIACLKKLSGHTDHHSQILHDNTLSVSERLQCCIVAYKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKHQDMIRAAAFIKRLATFALSFGSAEALSALITLKHLLQKNSKCRNMLENDSGGGSMSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISIANPLEAYRDLSMERELSKPANKVPPLNCKKKRRSKDFVALSPTVLQGSDFLADGDELKEKLQNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKRVKSKAGKKKVARA >LPERR02G13650.1 pep chromosome:Lperr_V1.4:2:11857073:11860454:1 gene:LPERR02G13650 transcript:LPERR02G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFGPTCGSREGIVRQNFGFSERQKIHSTPRISKFQAFSFDLGEVGERGRGTPPAAGMASNFSFPEMSPAQIAEALHNYGLAPTPNLRADDIANPQPDLLPAVFSAFLNPTGDDGENQQLGFQALAALDNPEHHVEALRVLRLHRKVKAFLESIQFPGFTLRDLIRPDPRRVLQVLSALVNYLYYREEKLALLQPIINQFPNSHDRRIELKAKIAEHQKAIEDHELAAQMEEPMVLKLQEEVNSLKLKLVDYNKQQLALRAKANSINEKKEEKLRKISEADFELMKLAEENLKLRSKIVQSPEKLQAYEKLSKHFSKIQALQEQVTAAKTLEKEVKARKAKISDESVTVMALDAKIVEWDRKAREMDERAKAKMKERDQIIADENQKLAALRSEAEWKLQRFQLREREVEETLAKAAKLCADADSVSIAAAEEQQRIYAKFQEIGQAFNIDKDNFSTILDQVDEVSKETVERFGRQDAETFDASTALKR >LPERR02G13660.1 pep chromosome:Lperr_V1.4:2:11867031:11869945:1 gene:LPERR02G13660 transcript:LPERR02G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEATTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVFRKLEKRQQGRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >LPERR02G13670.1 pep chromosome:Lperr_V1.4:2:11871222:11880813:-1 gene:LPERR02G13670 transcript:LPERR02G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQQSGDPRPQQPAAAAEAAPVVLGGAADWLGFGRAADLDESVASSPASFLLPPAPIDARAQAQPEPKPKQLGAVDVERHLALAHQNYRSGKYREALEHGNIVYEKNSRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKAMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPMAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRTAMFRDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPPFVHPSPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQVLINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPTCYAHIYSEKLVHLPHCYFVNDYKQKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAVARGVRPDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEDRAVDLALNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSGHHREPFKVREDDNEFPFDR >LPERR02G13680.1 pep chromosome:Lperr_V1.4:2:11893462:11901002:1 gene:LPERR02G13680 transcript:LPERR02G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSRLSRVPASPPPPSASAPSPSTPPSTPTAAYACSAPRSXXXTPSSHGRHRRFHKENVDPASSPAAPGGGPYDHSPYRSPSGKPLAAKNRSLPPRPPLKRKLLDVSAVPATDTAANGCGGGDSGVQVVVRVRPPSRAEEDEGAGKEVCVRKTGPGSVEIQGQGFTFDSVADEASTQEDIFQLVGRPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPLSALSDGTASKERGLTPRVFELLFSRIKEEQAKHSNKQLVYHCCCSFLEASAISASKIMTVMVLLVIAVLSNLLHMQIYNEQITDLLDPMQRNLQIREDVGTASVYVESVTKEFVSTIKDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGNLINILVEVSQSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAVSPSHNCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIKQLKEELHRVRSNGSFPGSNGSPSAGWNAQNSLLLKMSLNRPTAFPPIKDESDEEMEIDDNDVEKPCNLEKTSSSFYGDIEESRCKSNLAASIQKGLQVIESHRNSVAWRRSSIGLNTRLMDGHLSVPVCKVDVAIQTDPEESEPRENTMALIPSSQTEATMDENRDNSDHMDLQLVTVDGTIHSNDLKQQGQVLKAVEKVLAGAIRREMLRDKQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEEVMHAENLALQDENKILHQKYENHPEVFSAKIELERIQEELERYRNFKDEKEVLLEEIQNLKNQLHYMLSSSTTLCRPPVEMVQAINTASDRPTISALEEAGDDGHSIAEAAESRWITLTEELRVELEKCRSLSDHLQLELESEKKCSEELKGALEMAMQGHARILEQYCELQEKHASLRSMCHAINDGIEDVKKEAAKAGVRGAESKFINALAREISNLRYQREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEFASLAQKRAELAEQEMNKAFEEIDDLKRNHEQEVLVLNQRFAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >LPERR02G13680.2 pep chromosome:Lperr_V1.4:2:11893462:11901002:1 gene:LPERR02G13680 transcript:LPERR02G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSRLSRVPASPPPPSASAPSPSTPPSTPTAAYACSAPRSXXXTPSSHGRHRRFHKENVDPASSPAAPGGGPYDHSPYRSPSGKPLAAKNRSLPPRPPLKRKLLDVSAVPATDTAANGCGGGDSGVQVVVRVRPPSRAEEDEGAGKEVCVRKTGPGSVEIQGQGFTFDSVADEASTQEDIFQLVGRPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPLSALSDGTASKERGLTPRVFELLFSRIKEEQAKHSNKQLVYHCCCSFLEASAISASKIMTVMVLLVIAVLSNLLHMQIYNEQITDLLDPMQRNLQIREDVGTASVYVESVTKEFVSTIKDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIKQLKEELHRVRSNGSFPGSNGSPSAGWNAQNSLLLKMSLNRPTAFPPIKDESDEEMEIDDNDVEKPCNLEKTSSSFYGDIEESRCKSNLAASIQKGLQVIESHRNSVAWRRSSIGLNTRLMDGHLSVPVCKVDVAIQTDPEESEPRENTMALIPSSQTEATMDENRDNSDHMDLQLVTVDGTIHSNDLKQQGQVLKAVEKVLAGAIRREMLRDKQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEEVMHAENLALQDENKILHQKYENHPEVFSAKIELERIQEELERYRNFKDEKEVLLEEIQNLKNQLHYMLSSSTTLCRPPVEMVQAINTASDRPTISALEEAGDDGHSIAEAAESRWITLTEELRVELEKCRSLSDHLQLELESEKKCSEELKGALEMAMQGHARILEQYCELQEKHASLRSMCHAINDGIEDVKKEAAKAGVRGAESKFINALAREISNLRYQREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEFASLAQKRAELAEQEMNKAFEEIDDLKRNHEQEVLVLNQRFAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >LPERR02G13680.3 pep chromosome:Lperr_V1.4:2:11893462:11901002:1 gene:LPERR02G13680 transcript:LPERR02G13680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSRLSRVPASPPPPSASAPSPSTPPSTPTAAYACSAPRSXXXTPSSHGRHRRFHKENVDPASSPAAPGGGPYDHSPYRSPSGKPLAAKNRSLPPRPPLKRKLLDVSAVPATDTAANGCGGGDSGVQVVVRVRPPSRAEEDEGAGKEVCVRKTGPGSVEIQGQGFTFDSVADEASTQEDIFQLVGRPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPLSALSDGTASKERGLTPRVFELLFSRIKEIYNEQITDLLDPMQRNLQIREDVGTASVYVESVTKEFVSTIKDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIKQLKEELHRVRSNGSFPGSNGSPSAGWNAQNSLLLKMSLNRPTAFPPIKDESDEEMEIDDNDVEKPCNLEKTSSSFYGDIEESRCKSNLAASIQKGLQVIESHRNSVAWRRSSIGLNTRLMDGHLSVPVCKVDVAIQTDPEESEPRENTMALIPSSQTEATMDENRDNSDHMDLQLVTVDGTIHSNDLKQQGQVLKAVEKVLAGAIRREMLRDKQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEEVMHAENLALQDENKILHQKYENHPEVFSAKIELERIQEELERYRNFKDEKEVLLEEIQNLKNQLHYMLSSSTTLCRPPVEMVQAINTASDRPTISALEEAGDDGHSIAEAAESRWITLTEELRVELEKCRSLSDHLQLELESEKKCSEELKGALEMAMQGHARILEQYCELQEKHASLRSMCHAINDGIEDVKKEAAKAGVRGAESKFINALAREISNLRYQREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEFASLAQKRAELAEQEMNKAFEEIDDLKRNHEQEVLVLNQRFAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >LPERR02G13690.1 pep chromosome:Lperr_V1.4:2:11904984:11908571:1 gene:LPERR02G13690 transcript:LPERR02G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAHVFSGRRSSRAAPRSPPRAAETEEKAEDKEAVPHTEHYSRGEHGEVYPRPLVPGLRNRHRLEEQEERQLRRNHSDERRDGAIDPPPSPPPRFYRSAPTSPPTTSPIHYPLPLPPPQPSSSSSAPKADVAGELHKGKAPERTAVEEGPTKSDYTAMMRTALATLKDDAAADDEEEAAAAAVMEQAMTGLMDLAYKKREPPELPYEFATRWPIPILDDGTLQARTMRDPVILASGYSVDEIYQNHHKQNSPQTNIYTVTDPHSLFVPNHLLQDMISAWCLDHSDLSPCTTSDKPSIPLEQQIQGVLEKFSGDSASQREALNLIQLLSKTTNGVQPCLAKHPDLITVLINLKKKYKSSWTRALEEDRLSIILNLTMHRQNRKILAEQSELPGALKKIAKKAGNLGERASSLAKVASIVAVLSESGMFRKRMLDARGMKMLRDMLKIKKDTVVTTKAATAILALCADDEGKKSAKHYDVPETLLECHMFTDEILLLLERQPKAKKICDQALKLVNIVMAEHESGAVTSKGIHSAISLIHGIVERDVGKMRVVKNMEDFKERLHQLSSDRMPMETIFKVEEITSILSDAFPAPKL >LPERR02G13700.1 pep chromosome:Lperr_V1.4:2:11923344:11937298:1 gene:LPERR02G13700 transcript:LPERR02G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPAHAVFFPFPVQGHVSMALHLAKLLHARGGVRVTFVHSERNRRRVLRSRGGAALDGAPGFRFAAIPDGLPLSENDDGDAPPPGMVPLLVAIRSVVPHFRRVLDDLAAAGEPPTCVVTDMDHILVAATDMGLPTVLSWAPSPCNLLASLHYQQLIDRGLIPLKGMANLLSNGYMESTVVDWVEGMPADTRLRDFGSFVRTADADDAVLSMYVSYVECLRGTPSAVVLNTFDALEPEVVAALSRLLLPRPVYTVGPLPQLALARVDGAVVGASLWPEDGGCLEWLRGRPPRSVLYVNFGSIVVVTREQLVELAWGLARSGHDFVWVIRDDQAKGGGCNPIGMFPPEFAEEIKGRGYVTSWCPQEALLRHEAIGAFFTHCGWNSMLDGICNGIPMLCYPIAADQQTNCRYARTEWRIGVEVDENIERGHVDRMVREVMGDVKGKEMRRRAMGLKEKADMAVAPGGTSWINLENLINEALIAFLINMWVVVVTHRHAPAGVRRGDEGARLCNELVSSGGVVSARGHQGIFDTLTQHGCIYPRARVELVERERDRHLPCRRRRRQPPPTRCSSRTRRKATSRRRCTWPASSTRGAASTSPSSTPSATTASPSAPVAPPRSPAPPASAFAAVPDGLPLSDDDDGPPDNVELFFSIGSSVPHLRKILDDAAASGTPATCVVTDIDQVLLAASDMGLPAVAFWTTSACGLMALMQCKHLIERGIIPLKDAEQLSNGYLDSTVVDWVPGMPSDMRLRDFISFVRTTDPNDAVLDVVVSAMENLRTATSAVILNTFDELEGDVLAALSRIIPSPIYTVGPLPQLAAATAAASDVDASDAASLWPEDDACLEWLRTKPPRSVLYVNFGSIVHLTKQQLVELALGLAESGHDFLWVIRDDQAKIAGGVDPVDTFPPEFREKIIKGKVGYLTSWCPQEAALRHEAIGAFLTHCGWNSMLDSISNGVPMVCCPMDADQQTNCRYARTEWRVGVEIDDAIERKEVARMVREVMGEAEGKEMRQRAMEWKERAAMAVAPCGTSWLNIERLVNEVLSPHKKDMVESS >LPERR02G13710.1 pep chromosome:Lperr_V1.4:2:11929620:11931211:-1 gene:LPERR02G13710 transcript:LPERR02G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEPPPIREPEPRLVRTTAAPLSPEHPFLRALDCRHGRVLVQGHFVIWDPVTGEQHRLPEPGIWCLMYSAAVFCTVTGCDHLDCHGGPFRVTFIATDDDDKLMLIKASVYSSETGTWTTPAILDDSRRGEYHRTLFVESRRLVGDAIYFTLQNDKAIIKYNWGMNCLSKIDPPSTDVYYIALMEMENGSLGYAYIEGSSLYVWSRNKGLGTGYKARSLSWKTLYQLLITVAKRLWLALQRVFTIQLKSRQVKKVQEPGIYFSVLPYMSFYTPDRGTLLALARTH >LPERR02G13730.1 pep chromosome:Lperr_V1.4:2:11953051:11954673:1 gene:LPERR02G13730 transcript:LPERR02G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFRLSLFLLLVPLVPTTAAAFHSHPHPHHHSPPAGGIVHPRRHHRSVANTATALFYTAPSMHQNHIEAEEEGQSLHALDPFATAAAAAEAPSGEDTIAAVGAAADEATPTVIDSAPQAAATPPPPFLAAPDLDSTTAPTTSQPPQEGVEGSASPSDEPAAATTTTTLPLPNHRHAGSGVDEQRLEQLARVLASLGYNEMASAAPLLADDESELLVRWPGAITVFAAPDVFLRASCPMCSRRHVILEHTALGYFPYSELAAAPTAKIPSASPGFCLNLASERSPFAVHRPRLFVDGVEVTHPELYNDGRYVVHGLHGFLPPLSHDSCSHGSSHHHHHHHRHGLNGMSAATSASVVRIMIREAIARLRDGGYGFVALAMRVKVAELERLANMTVFALDDQAIFAGGGHDYVSAVRFHIVPGRRLTHTDLQRLHPGTMLPTLAGEGQNLVVTQAASGSGTGVNDVRINYIPIKEPDVVINSRIALHGVYVPFPRLHLVNLAAAVALASSNSNATCGPFDDCAAATSAAVPAAHGYGEGQ >LPERR02G13740.1 pep chromosome:Lperr_V1.4:2:11956723:11961854:-1 gene:LPERR02G13740 transcript:LPERR02G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDLDFPSAAGGMGGLDAMGGFGGLDAMGGLGGLGMGGLGGLGMGGLGGMGGLGGLGGMGGMMDGLYGGGMGGGGAAEEEEEGEGMEVGEEKEIGKEGLRKKLVKEGKGWERPAAGDEVQVHYTGTLMDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKILAEGTKWENPKDLDEVFVKYEVRLEDGPVIAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGDQGRPAGRDEAAVPPNATLHINLELVSWKAVTEIGSDKKILKKILHEGEGYERPNDCTLVRVKFIGKLEDGTMFITRGHDGEEPLEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPEHAFGSDETIQDLSVVPPNSTVYYEVELVSFDKEKESWHMKENTEKIETAAKKKDEGNAWFKVEKYARASKRYEKALNFIEYDSSFSDEEKQLSKALKISCKLNNAACKLKLKDYKEAKELCTEVLELDSTNVKAFYRRAQAYMYLIDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKIKEQKRKETKLYGNMISKLSKLDDTETEGCITHVPVKKHGLWPLTALFRRADGSKDSTLWLVLRLLIPVMLVVAVCVAFYLRSGAPEVDCINC >LPERR02G13750.1 pep chromosome:Lperr_V1.4:2:11964815:11965604:-1 gene:LPERR02G13750 transcript:LPERR02G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRFFLLALLAASLSQAFASDPSQLQDFCVADKMSPVLVNGFACKDPAAVTVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYAPYGLNPLHIHPRATEILTVLEGSLYVGFVTSNPENKLFAKVLSKGDVFVFPQGSIHFQFNYGTKNVIALAALSSQNPGVITIANAVFGSRPSISDDILAKAFEVDKKIVDRIQAQF >LPERR02G13760.1 pep chromosome:Lperr_V1.4:2:11966889:11968027:1 gene:LPERR02G13760 transcript:LPERR02G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRSACLLIQLAVVALWCSHGAFASDPALLQDFCVVDKMSQVRVNGFPCKDAKDVVAEDFFFSGLHMAGNTANKQRANVTAVNVAQIPGLNNMGVSLARIDYTPNGLNPPHTHPRATEILTVLEGSLYVGFVTSNPENKLFAKVLNKGDVFVFPQGLVHFQFNHGTDNAVALAALSSQNPGVITVGNAVFGSKPSISDDILAKAFQVDKNIIDHIQAQF >LPERR02G13770.1 pep chromosome:Lperr_V1.4:2:11971927:11972583:1 gene:LPERR02G13770 transcript:LPERR02G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILAFLAAALLALCSVLVVAASDPSHLQDLCVADKSSPVRVNGVACKDAKDIIADYFFFSGLHMAGNTTNKQGSNVTAVNVAQVPGLNTLGVSLARIDYAPTVSTLFIHTPVPPRSSPCLRAPSMLALSSQNPGVITIGNVVFGSNPYISDDILAKAFQVEKTVVDQIQAQF >LPERR02G13780.1 pep chromosome:Lperr_V1.4:2:11974618:11983054:-1 gene:LPERR02G13780 transcript:LPERR02G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSSAAPGRLPPRSAPPNPRPRPAAAAAASAPGSGSPHEAVLLHAAFDGNLRLVRKMARALDDGDGRLGEKVGAVRDENGVCALHLAAGRGSLPVCQYLVEELGVDVNAVEDRGETALTFAINFGKAEILRYLLDHGADTEKLNNDGLTVLHFAAGEGKCEMVEILLSKGAYIDSLTTGGTALHCAAYNGRDSVVKILLDHHADHKKVAWGAYTPLAVAIHSGSTKCVKLLIEAGADVKGIGKETPLLTASSKGLTDIIKLLLEAGADPNVRGCFGQMPIEVAACCGARKDVEILFPVTSRIPSVRDWTVDGIISYVKSLPEVKDEEYCEDLLDMQKSQGREAVKNKDYLGAMSIYTSAISRYPRDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRQGAALMLLKDYKKACSSFLDGLNLAPENIEMKNALRAECAFGYIWTTMPTALVMENHGNE >LPERR02G13780.2 pep chromosome:Lperr_V1.4:2:11975053:11983054:-1 gene:LPERR02G13780 transcript:LPERR02G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSSAAPGRLPPRSAPPNPRPRPAAAAAASAPGSGSPHEAVLLHAAFDGNLRLVRKMARALDDGDGRLGEKVGAVRDENGVCALHLAAGRGSLPVCQYLVEELGVDVNAVEDRGETALTFAINFGKAEILRYLLDHGADTEKLNNDGLTVLHFAAGEGKCEMVEILLSKGAYIDSLTTGGTALHCAAYNGRDSVVKILLDHHADHKKVAWGAYTPLAVAIHSGSTKCVKLLIEAGADVKGIGKETPLLTASSKGLTDIIKLLLEAGADPNVRGCFGQMPIEVAACCGARKDVEILFPVTSRIPSVRDWTVDGIISYVKSLPEVKDEEYCEDLLDMQKSQGREAVKNKDYLGAMSIYTSAISRYPRDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRQGAALMLLKDYKKACSSFLDGLNLAPENIEMKNALREALQALKMSDSADMEPLD >LPERR02G13790.1 pep chromosome:Lperr_V1.4:2:11983267:11998675:-1 gene:LPERR02G13790 transcript:LPERR02G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSRGPGAPSQRPLLLQAAADGDLRLFKRIASTLDGGKGRLREVVEALKERGGGALHRAAGNGMTAVCAYLVEELQVDIDADDDSGHAPLSYAVCAGFVHTVSYLLDHGANSDKPGENGCTALHLAVEEGDCEMVKLLLMKGADVDSSCSYVTPLHVAALKNQAGAMKILLDYHADTYFTICTPLIAALKVRSLICVKLLIKAGADIKGVGTYTPLVVAAIEGLTDFYECLMEAGADPDVPDENRRRDVEILLPVTSRIPSVHDWSVDGIITHVNRNVEDDPVYRLKPAELKLEASRAYKRKDYVTATKLYSVALNHDPEDATLYSNRSICWLKMDEGMNALLDAQVCRTMRSDWPKACYREGAVHMFLKDYEKACDAFLDGLKLEPGNIEIENRLRYANI >LPERR02G13790.2 pep chromosome:Lperr_V1.4:2:11983267:11998675:-1 gene:LPERR02G13790 transcript:LPERR02G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSRGPGAPSQRPLLLQAAADGDLRLFKRIASTLDGGKGRLREVVEALKERGGGALHRAAGNGMTAVCAYLVEELQVDIDADDDSGHAPLSYAVCAGFVHTVSYLLDHGANSDKPGENGCTALHLAVEEGDCEMVKLLLMKGADVDSSCSYVTPLHVAALKNQAGAMKILLDYHADAGADIKGVGTYTPLVVAAIEGLTDFYECLMEAGADPDVPDENRRRDVEILLPVTSRIPSVHDWSVDGIITHVNRNVEDDPVYRLKPAELKLEASRAYKRKDYVTATKLYSVALNHDPEDATLYSNRSICWLKMDEGMNALLDAQVCRTMRSDWPKACYREGAVHMFLKDYEKACDAFLDGLKLEPGNIEIENRLRYANI >LPERR02G13800.1 pep chromosome:Lperr_V1.4:2:12009174:12012094:1 gene:LPERR02G13800 transcript:LPERR02G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVMALLCLMGLLFHCGAAISAGTSDGLESWGYAKVRPKVNVFWWYYRSPQRVSTLGKPWPIILWLQGGPAVMDMIRLLKILSKEIPALQTSPMFIVGESYGWKLAAMIGVSLARAIRTGTLKLWLGGVVLGDGWISPEDFAVSYAQLLHDVSRLNDNAVKDTNKMAATVKKQTTAGQFAAAQKTWTDLLSLIDSESDSVNMDNFLLDTGMNPVLARSSLRGTQLMFHNSHTSQLTPNTIEGIMNGVIKEKLKIIPKSIVWKEATLEAYEALANDFMKPAIHEARIKLLSFESLLIDEYVLDVICPTIGVEQWVKKLKWKDLKKFLSLRRQPLHYCDSVIYCSKESKAYVRSYKNLHMYWILQAGHMVPVDQPYSAFRMIASTTE >LPERR02G13810.1 pep chromosome:Lperr_V1.4:2:12013432:12013893:-1 gene:LPERR02G13810 transcript:LPERR02G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHLLLAIVLVAYIFHVASSSATTSANITEDSTGTVYDVLQQKNLPRGLLPIGVKSYSLHAGGALEVTLPSECNFFVTVDGKKFQFRYGSSINGIITPGSITRISGVRVQVEFAFLAFNQVSRAGDQLNIQLEKSTQSFPVSAFAQSPSCN >LPERR02G13820.1 pep chromosome:Lperr_V1.4:2:12024229:12027746:-1 gene:LPERR02G13820 transcript:LPERR02G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMYVLNGACEIVKILLSRGAHVDSFSSRGATPLHYSAFCQQDGVMKILLDHHADVNMLLKPVFTPLILALNAGSLKCVELLVKAGADVKGVGTATPLITAANNGLTDFYKCLLEAGADPNVPDEFGHLPIELAAYNNRRKDVEILLPSVDGVIRYVKSMPSVENDPMCKMKPADMKLEASNAYERKDYFTAIKLYTMLTDFWPNDATLFAHRSLCWLKVGKGDSALLDAQACRLMEPDWSKACYVEGAAQMLLKDFEKACDAFFDGLKMDSGSDEIAEALR >LPERR02G13830.1 pep chromosome:Lperr_V1.4:2:12036685:12060344:-1 gene:LPERR02G13830 transcript:LPERR02G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFAYAGGMVQHMLFEAADSGDLYIVKGMAMLLDEGRGRPGKAVQAARLGGIDEAVDGMGVQHIAASKGRLGVCRYLIEELRMDVDDVDEGGKTPLMMAILLKHVSTVKYLPDHGADVNKASHDGSTPLHLATRLGDCGMVQLLLAKGACVDPVAYCGTPLHVAATQGQDGVMKILLDHSADFNKMVDGRTPLAAAMSAGELKCVNLLIEAGGVVSRDHTSTAAKGGSTERFNYSMEETGANSNISDNGKPVSKRKATELKSLGNKAVEKKDYLSTTGFYMDLCPDDATLFSNRSLCWHHMGDGGKALLDAYECRKLRPDWPKAYYRQGSALMLLKDYESACEALYDGFKLDPGNSEIQDALREAMESWKESASTEVNKGRLEVCRYLIEELRLDVDDVDQEGRTLLIIAILFNHVSTVEYLLNHGADVNKARNDGFTPLHLAYCGTPLHVAASEGRDGAMKILLHHSAGVGGVVSGDCTLTAEESGLTEHFNYSMEETGANCNIFDDGEPVSKMNKAELKSLGNEAVEKKDYLSATRFYSKAVELYPDDATLFSNRSLCWHHMGDGGKALLDVYECRKLRPDWPKAYYRQGAALILLKDYESACEALYDGFKLDPGNSEIENALREALESLKASAITEVC >LPERR02G13830.2 pep chromosome:Lperr_V1.4:2:12036685:12060344:-1 gene:LPERR02G13830 transcript:LPERR02G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFAYAGGMVQHMLFEAADSGDLYIVKGMAMLLDEGRGRPGKAVQAARLGGIDEAVDGMGVQHIAASKGRLGVCRYLIEELRMDVDDVDEGGKTPLMMAILLKHVSTVKYLPDHGADVNKASHDGSTPLHLATRLGDCGMVQLLLAKGACVDPVAYCGTPLHVAATQGQDGVMKILLDHSADFNKMVDGRTPLAAAMSAGELKCVNLLIEAGGVVSRDHTSTAAKGGSTERFNYSMEETGANSNISDNGKPVSKRKATELKSLGNKAVEKKDYLSTTGFYSKGSYGVLEGICKHGGRLEVCRYLIEELRLDVDDVDQEGRTLLIIAILFNHVSTVEYLLNHGADVNKARNDGFTPLHLAYCGTPLHVAASEGRDGAMKILLHHSAGVGGVVSGDCTLTAEESGLTEHFNYSMEETGANCNIFDDGEPVSKMNKAELKSLGNEAVEKKDYLSATRFYSKAVELYPDDATLFSNRSLCWHHMGDGGKALLDVYECRKLRPDWPKAYYRQGAALILLKDYESACEALYDGFKLDPGNSEIENALREALESLKASAITEVC >LPERR02G13840.1 pep chromosome:Lperr_V1.4:2:12086477:12099428:-1 gene:LPERR02G13840 transcript:LPERR02G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGLGQWLHEPHGINLCFSTSSITPQVNPSIESGIRNATPVPSVKVSANSQAVRSDGGLAEGIEYTRKTAQKPKRKKHRPKVMKEKKAAKAQKSTTRETPKDKGNKPAGKRKYVRRKGLNTPTEQLPSEDAEAHTIAVPSPGPAKRCLNFDGKDQHENVDLVSQTQVREVPNCHGDPQLLTSVVERSRIQLAQPWRGTSSPIPASVDPVVNMQHSWANSRSNKVTFDLNNSVVNHIPSKFSNLTDSSGQNFQFGSTEQINQLPDFYDGVADKSVSHLNSSAKEMQNCSTDIDHYLGAPQSFIEQSPVGQVPHGYMIPDNPAVPVQHTERVWTAANFNHEVLTRVDASPQGYRIPQNSYIPPTCSERITMNRNLRELPVENDYLKFGINPDNQIGATFGLNDYRFSDVHAIGKKREYNAINGHQVSFGVNFEQPNSDKQFYNDPLSTSSQTFLLPETYKRMRSENYSNRLNGLVGKFSSSSAYPSGNWNINNVPAINRGVRTLADVQRVMALEKSKSSQEMIGMRTAENNIVQQHTGSTVHNTSNKYFVTSSDNQFKDFTAQHPGIAMNSLGENIFHRNGYHQLESLEIRPTEHYSSECFALPNEQSGYLTVGHIQLPGATMNPSIKRNYDPSNDIHQPQPLETQMVKGKDLFQPHKTSTQYDTAGNSCITIPSEQIGSTCAEVRSGFQSINQSARTENYHLDTSRETTSANPTEKRKVRGRPRKQAEPDEVNGKPESRDPLIAPLDYLETIIQKLNLLSINTISDNNVEEAPKNALVPYEGKLGALVPFKGKVKKNQSRAQVVIDPVTNWMWNLLMGPDTSEAPEGMDEDKERVLEEERRVFRGRIDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEGPEKPAEKKSPAPPEQKDSCSGLFCESIKLQGNLFVEEIGGIRSLNTVEDKEGSNSNELIGSSSGDGINRAAGESAVSYMKSQAGYCKNRSPGFAVPEIISMGAVEADDGSLEDVLSSQNSAVSPQNSSEFLLNRTYTMCSSSMQNFTEEDCIMTNMPNGMGNLTANLELSTIQDQQSNPNGKVGSSEYHEVSVIPTSDLNKGLLLDLNRTYQPTHTPYVQNSLFDFTDVSYGSHLDTSFSTGLDGVNISNVTQSEASLCQHPTASVNKNKAKVTDSSSSFIYNRDWSSSQDMYSFPFEPSQEAECSPKIKQSFQQLISSEEVHISAGHSFYDNSFTSDKTDDPYIEQHDCLNLQEVYTARTSQMNSEQFQPECSQQDNDIRVQAKTCEKHCSNLCENKHPHSDVSQGVPSDSIGKSKHTESSPLEFPTAGTKARNARGRTKKKSYDWDNLRKEVLRNFGNRQRSDKAKDTIDWEAVRQADVKEISDAIRERGMNNMLAERIKAFLNRLVNDHGSIDLEWLRDIEPDKAKDYLLSIRGLGLKSTECVRLLTLHHMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELARLALPGPSERSLATPEAPKAAESSQRTHTNSWPVGQLAWSTDRPEHVCGDQQPIIEEPSTPEPKSESAEIKEAEIEDFFGEDPDEIPTINLNVEEFAQNLKSYIQANNIEVEDADMSKALIAITPQAASVPISKLKNVNRLRTEHQVYELPDSHPLLEGEKLHNQLMHPRHSATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSQHPIDVPRSWIWNLPRRTVYFGTSVPTIFRGLTTEEIQNCFWRGFVCVRGFDRQLRAPKPLYARLHFPASKQMNNIGLSPAIGVNYKSAMGVGGGRHHH >LPERR02G13840.2 pep chromosome:Lperr_V1.4:2:12086477:12099428:-1 gene:LPERR02G13840 transcript:LPERR02G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGLGQWLHEPHGINLCFSTSSITPQVNPSIESGIRNATPVPSVKVSANSQAVRSDGGLAEGIEYTRKTAQKPKRKKHRPKVMKEKKAAKAQKSTTRETPKDKGNKPAGKRKYVRRKGLNTPTEQLPSEDAEAHTIAVPSPGPAKRCLNFDGKDQHENVDLVSQTQVREVPNCHGDPQLLTSVVERSRIQLAQPWRGTSSPIPASVDPVVNMQHSWANSRSNKVTFDLNNSVVNHIPSKFSNLTDSSGQNFQFGSTEQINQLPDFYDGVADKSVSHLNSSAKEMQNCSTDIDHYLGAPQSFIEQSPVGQVPHGYMIPDNPAVPVQHTERVWTAANFNHEVLTRVDASPQGYRIPQNSYIPPTCSERITMNRNLRELPVENDYLKFGINPDNQIGATFGLNDYRFSDVHAIGKKREYNAINGHQVSFGVNFEQPNSDKQFYNDPLSTSSQTFLLPETYKRMRSENYSNRLNGLVGKFSSSSAYPSGNWNINNVPAINRGVRTLADVQRVMALEKSKSSQEMIGMRTAENNIVQQHTGSTVHNTSNKYFVTSSDNQFKDFTAQHPGIAMNSLGENIFHRNGYHQLESLEIRPTEHYSSECFALPNEQSGYLTVGHIQLPGATMNPSIKRNYDPSNDIHQPQPLETQMVKGKDLFQPHKTSTQYDTAGNSCITIPSEQIGSTCAEVRSGFQSINQSARTENYHLDTSRETTSANPTEKRKVRGRPRKQAEPDGKPKPRGRPRKEAEPDGKPKPRGRPRKETEPGKPKPRGRPRKEAEVNGKPESRDPLIAPLDYLETIIQKLNLLSINTISDNNVEEAPKNALVPYEGKLGALVPFKGKVKKNQSRAQVVIDPVTNWMWNLLMGPDTSEAPEGMDEDKERVLEEERRVFRGRIDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEGPEKPAEKKSPAPPEQKDSCSGLFCESIKLQGNLFVEEIGGIRSLNTVEDKEGSNSNELIGSSSGDGINRAAGESAVSYMKSQAGYCKNRSPGFAVPEIISMGAVEADDGSLEDVLSSQNSAVSPQNSSEFLLNRTYTMCSSSMQNFTEEDCIMTNMPNGMGNLTANLELSTIQDQQSNPNGKVGSSEYHEVSVIPTSDLNKGLLLDLNRTYQPTHTPYVQNSLFDFTDVSYGSHLDTSFSTGLDGVNISNVTQSEASLCQHPTASVNKNKAKVTDSSSSFIYNRDWSSSQDMYSFPFEPSQEAECSPKIKQSFQQLISSEEVHISAGHSFYDNSFTSDKTDDPYIEQHDCLNLQEVYTARTSQMNSEQFQPECSQQDNDIRVQAKTCEKHCSNLCENKHPHSDVSQGVPSDSIGKSKHTESSPLEFPTAGTKARNARGRTKKKSYDWDNLRKEVLRNFGNRQRSDKAKDTIDWEAVRQADVKEISDAIRERGMNNMLAERIKAFLNRLVNDHGSIDLEWLRDIEPDKAKDYLLSIRGLGLKSTECVRLLTLHHMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELARLALPGPSERSLATPEAPKAAESSQRTHTNSWPVGQLAWSTDRPEHVCGDQQPIIEEPSTPEPKSESAEIKEAEIEDFFGEDPDEIPTINLNVEEFAQNLKSYIQANNIEVEDADMSKALIAITPQAASVPISKLKNVNRLRTEHQVYELPDSHPLLEGEKLHNQLMHPRHSATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSQHPIDVPRSWIWNLPRRTVYFGTSVPTIFRGLTTEEIQNCFWRGFVCVRGFDRQLRAPKPLYARLHFPASKQMNNIGLSPAIGVNYKSAMGVGGGRHHH >LPERR02G13850.1 pep chromosome:Lperr_V1.4:2:12117957:12120805:1 gene:LPERR02G13850 transcript:LPERR02G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDQLEARLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKKYERIQPVNKQATAESEPVKEQATQENAKAK >LPERR02G13860.1 pep chromosome:Lperr_V1.4:2:12124225:12127945:1 gene:LPERR02G13860 transcript:LPERR02G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGGRGGGGGGGGGGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNHPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFGNEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIERLSREKATLQADLWKFKQQQSGALIQIEDLERRVFDMEQRQAKMITFLQHASKNPQFVNKLVKMAEASSIFTDAFNKKRRLPGLDYSTENTETTSFYDDHSSTSKQEMGNILNQSFSDKLRLGLCSTMTEGNIITLSTQSSNEDNGSPHGKHPECERIERECLPLVPQIMELSDTGTSICPSKSSCFASPINDEGLTCHLSLTLASCSMDVDKSQVPNANGSSIDHHVEDNPTEAATDTIEKDDTIDRHFVDNQKTSAHASSTDATTPRADAGVANEAPAAPTAVVNDKFWEQFLTERPGCSETEEASSDLRADPSREQMEEKRQAYDHSQHDKEDVEQLKL >LPERR02G13870.1 pep chromosome:Lperr_V1.4:2:12131270:12139640:1 gene:LPERR02G13870 transcript:LPERR02G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDARGIGVGGKVGECQAEESHPGEGEEPEECAAAAAASSTQESPPVSECVDDKIAQQQQEEEEEEDEESLTASECGGAIPTPDKVEEGGTPWRPRKRSTKGLTRFKVDKDKPTTPVQVKSKRKSKENGKQPVGGVKLVRRKLDFEGERVEFEGGGEFCRAKLMEDLRCHAKVHGLCNDSSAGKGSKIGKKRKKITGEHQDSGELAIVPYQKAPTAASSSALVPTQSCAQLSISQCRNHLKNLRTKVLGLDENTLQVYDALRKWDETDSESFEGVDIGSGSEWDETRQRFEHCVDVFIATVHGPRRFSEWGGSVIDSIVGTFLTQNVADNLSSTAYMNLAAKFPPTERHINAEKHKHKDISAWDDARLENMVKNKSGKPVCSAMTLKKFIATQEEKDTSHWDKLREEAHSKGYNNIKGTGITNSVDWEAVLDTPEVEVARCIENRGQQYVMALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLLSVLGLGDKSVDCIRLLSLKHKAFPAICTKATPNCSACPFSAKCKYYNSSLARASLPPAEEHWHEHGKEQANIHTPGSLFLSNVSHIPGFQQVCQPQIEINSPAGRESIYNCEPIIVIPPSPDHEYEESHNEQELYEDDLCDIEDIISKVQYAVEIDLCSVKHMVNNGSWTANSGKDLALVNPQQASVQNKKLKNIGRLRTEHHAYVLPDDHVILEEFEERVPEDPCPYLLVVISCPDEHTVKGTVLIPCRTATRGNFPLNGTYFQDHEVFADNSSSHVPIIISRECIWNLDRCIVYFGSSIHSITKGQTRQEIEDCFKKGYICVRGFNRSTRYPMPICPTLHATNERNETGENSRKRKKGSQEGKFANKSSSEN >LPERR02G13870.2 pep chromosome:Lperr_V1.4:2:12131270:12139640:1 gene:LPERR02G13870 transcript:LPERR02G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDARGIGVGGKVGECQAEESHPGEGEEPEECAAAAAASSTQESPPVSECVDDKIAQQQQEEEEEEDEESLTASECGGAIPTPDKVEEGGTPWRPRKRSTKGLTRFKVDKDKPTTPVQVKSKRKSKENGKQPVGGVKLVRRKLDFEGERVEFEGGGEFCRAKLMEDLRCHAKVHGLCNDSSAGKGSKIGKKRKKITGEHQDSGELAIVPYQKAPTAASSSALVPTQSCAQLSISQCRNHLKNLRTKVLGLDENTLQVYDALRKWDETDSESFEGVDIGSGSEWDETRQRFEHCVDVFIATVHGPRRFSEWGGSVIDSIVGTFLTQNVADNLSSTAYMNLAAKFPPTERHINAEVCSILPQLIEDMRRKLNLNEQSNGADYGNSGFTKPVDFEKEIGCNEVVKGSYGQEYKTIVENFISIMKKHKHKDISAWDDARLENMVKNKSGKPVCSAMTLKKFIATQEEKDTSHWDKLREEAHSKGYNNIKGTGITNSVDWEAVLDTPEVEVARCIENRGQQYVMALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLLSVLGLGDKSVDCIRLLSLKHKAFPAICTKATPNCSACPFSAKCKYYNSSLARASLPPAEEHWHEHGKEQANIHTPGSLFLSNVSHIPGFQQVCQPQIEINSPAGRESIYNCEPIIVIPPSPDHEYEESHNEQELYEDDLCDIEDIISKVQYAVEIDLCSVKHMVNNGSWTANSGKDLALVNPQQASVQNKKLKNIGRLRTEHHAYVLPDDHVILEEFEERVPEDPCPYLLVVISCPDEHTVKGTVLIPCRTATRGNFPLNGTYFQDHEVFADNSSSHVPIIISRECIWNLDRCIVYFGSSIHSITKGQTRQEIEDCFKKGYICVRGFNRSTRYPMPICPTLHATNERNETGENSRKRKKGSQEGKFANKSSSEN >LPERR02G13870.3 pep chromosome:Lperr_V1.4:2:12131270:12139640:1 gene:LPERR02G13870 transcript:LPERR02G13870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDARGIGVGGKVGECQAEESHPGEGEEPEECAAAAAASSTQESPPVSECVDDKIAQQQQEEEEEEDEESLTASECGGAIPTPDKVEEGGTPWRPRKRSTKGLTRFKVDKDKPTTPVQVKSKRKSKENGKQPVGGVKLVRRKLDFEGERVEFEGGGEFCRAKLMEDLRCHAKVHGLCNDSSAGKGSKIGKKRKKITGEHQDSGELAIVPYQKAPTAASSSALVPTQSCAQLSISQCRNHLKNLRTKVLGLDENTLQVYDALRKWDETDSESFEGVDIGSGSEWDETRQRFEHCVDVFIATVHGPRRFSEWGGSVIDSIVGTFLTQNVADNLSSTAYMNLAAKFPPTERHINAEVCSILPQLIEDMRRKLNLNEQSNGADYGNSGFTKPVDFEKEIGCNEVVKGSYGQEYKTIVENFISIMKKHKHKDISAWDDARLENMVKNKSGKPVCSAMTLKKFIATQEEKDTSHWDKLREEAHSKGYNNIKGTGITNSVDWEAVLDTPEVEVARCIENRGQQYVMALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLLSVLGLGDKSVDCIRLLSLKHKAFPAICTKATPNCSACPFSAKCKYYNSSLARASLPPAEEHWHEHGKEQANIHTPGSLFLSNVSHIPGFQQVCQPQIEINSPAGRESIYNCEPIIVIPPSPDHEYEESHNEQELYEDDLCDIEDIISKVQYAVEIDLCSVKHMVNNGSWTANSGKDLALFEERVPEDPCPYLLVVISCPDEHTVKGTVLIPCRTATRGNFPLNGTYFQDHEVFADNSSSHVPIIISRECIWNLDRCIVYFGSSIHSITKGQTRQEIEDCFKKGYICVRGFNRSTRYPMPICPTLHATNERNETGENSRKRKKGSQEGKFANKSSSEN >LPERR02G13880.1 pep chromosome:Lperr_V1.4:2:12140995:12144630:1 gene:LPERR02G13880 transcript:LPERR02G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPPPRRSPRRPTSPPPHLRPPTQESPLPIRHQGSRAPRHPLPIQPVVSFLDSLDVESLRVKTDEALAIYSSLQLNSLLATDNDSVNSIATVKDRRSSESEISPSTSRADGFGARAAQLLRAAEGIGWHVQGNQDSSNAIRESLTFINSGGEAYNHAREDIDDGSRPSPVWRGCQRRIEAGVEIDAVRSMPGAAQRATPTRWRGWWPAGPRCEGGGRHSAEVLKGDSSKSFEKEIFRFQIDNGCFVNCLCDQPNNWRNQSISLTDLKEVEINGFRGQDHEVDLLKILLRCATALETDCEIF >LPERR02G13890.1 pep chromosome:Lperr_V1.4:2:12155790:12172542:-1 gene:LPERR02G13890 transcript:LPERR02G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVMIDNTRFHCSYCRMLASLIVNRGFVAFGNGGVAHLVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNIQAVEEKICRTQKSLADLRTLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQAKFEERIALLETKISKLERDVNDENATESSLTKALTELPRDIGRLQAEADAHISVKRERDSTIRKIFTKHNLGPVPDDPLTDDAAMHLANIIKAKLSSLTDDLQDKKKSNEAQKQFLWGRYLEVNTRHSEVVGQIESKAASKNGISRRMKDKESERDSAERDLSKYDEHKDKIRSVLKGRLPSEKDAKKEISEAFGSIDREYNDLNSKSREAEQELKLAQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMPADIHMFPKLLKDAMEERDKKKNKLTLGKGMREMYEPFENLAREHHMCPCCHRAFTPDEEDQFVEKQRITCASTAERMNMLTLECSNAEDFFQQLNSFHATYDEFVKLGKEIMPLAEKNLKQLLEDESEKAQTFDDLVSVLAQVKMDKDAVQVLLQPIDMIDGLVQGINNLLPQVENLEYKLDCRGQGVKSLEQIQSELSSVQRTRDTLNNEVDDLRDQQRTLTDGLNNAQMRWHDVREEKLKASGAVLKFKKAEEDLILLAEEEEKLTLEEKHLKENLVPLLKERESLMQEHEALKEKLDQEYHQLAERKGELQQELDALETHNERIKGYNNLIFPLNFSLCNFYYLCLPLNPFRYLNSNKAERLNVLQERHTQAQSDLQKCKERKHEKMKELNKNKELLKGQDQLKRSIDDNLNYRKTKAEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQDKERLLSEYNRCQGTLSVYQSNVSKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEHQHSKIEAQEIFD >LPERR02G13900.1 pep chromosome:Lperr_V1.4:2:12174263:12178144:-1 gene:LPERR02G13900 transcript:LPERR02G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQASSPSPSAQVVGNAFVQQYYQILHQSPDLVFRFYQDASRLGRPPADRYGDMVSVTTMQAINEKIMAMDDMSRAEIKTVDSQESLGGGVTVLVTGLLTVRDGLRRDFSQSFFLAPQEKGYFVLNDMFRYVGDAPAPAAAVDAQPEADTAVPPLPNGTAAQPAAPQHDAPPQQEQHVAEPVAVPPEEEEVYNPPLEEVEAVAEEEQSAPEVINEVPNNVVPVVAPTAAPVSHEEAPKKSYASIVKVMKEAPVPTPVPAARPAPAARPAPPKPEKQSLAPPAPAPVSDVTPFNSNAESSNAHEPEVDAHAIYVRGLPLNATTAQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRAEGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGAEVGYQRVDGGRGGRTSAGPGAPAK >LPERR02G13910.1 pep chromosome:Lperr_V1.4:2:12186104:12193563:1 gene:LPERR02G13910 transcript:LPERR02G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGPLVFLFDWLTHTIRGVLFFFFRIKANELERSEVSIPEDMVSSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDHSGVRAGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMSEIWHLATEPGFIAYSCVAVVGVLFLIFWVVERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFILNYLNKALDSYNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQVATQLCGFVTIVAGTFLLHKTRDMGNEPPPSQSDEICLDGDSERPSHP >LPERR02G13910.2 pep chromosome:Lperr_V1.4:2:12185199:12193563:1 gene:LPERR02G13910 transcript:LPERR02G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVQLWKGEAVKGCEVDLWNRHLTPYEPKPQESPQGRPDPRVAAACRPKNHIILTQPTTPQREKGRLRAVATTKPTVKKRGCGGPVLWWPSPTPQVSPPSLPSLPFSLPPRSPRARATPPPRTYGPRPAAFVPTQLAGGGEARGRPESPSLPRPAAAKEREDMVSSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDHSGVRAGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMSEIWHLATEPGFIAYSCVAVVGVLFLIFWVVERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVIICCLVQLNYLNKALDSYNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQVATQLCGFVTIVAGTFLLHKTRDMGNEPPPSQSDEICLDGDSERPSHP >LPERR02G13910.3 pep chromosome:Lperr_V1.4:2:12186104:12193563:1 gene:LPERR02G13910 transcript:LPERR02G13910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGPLVFLFDWLTHTIRGVLFFFFRIKVSIPEDMVSSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDHSGVRAGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMSEIWHLATEPGFIAYSCVAVVGVLFLIFWVVERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVIICCLVQLNYLNKALDSYNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQVATQLCGFVTIVAGTFLLHKTRDMGNEPPPSQSDEICLDGDSERPSHP >LPERR02G13910.4 pep chromosome:Lperr_V1.4:2:12186104:12193563:1 gene:LPERR02G13910 transcript:LPERR02G13910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGPLVFLFDWLTHTIRGVLFFFFRIKVSIPEDMVSSIDNVRGLTLAISSSAFIGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMSEIWHLATEPGFIAYSCVAVVGVLFLIFWVVERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVIICCLVQLNYLNKALDSYNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQVATQLCGFVTIVAGTFLLHKTRDMGNEPPPSQSDEICLDGDSERPSHP >LPERR02G13910.5 pep chromosome:Lperr_V1.4:2:12185199:12193563:1 gene:LPERR02G13910 transcript:LPERR02G13910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVQLWKGEAVKGCEVDLWNRHLTPYEPKPQESPQGRPDPRVAAACRPKNHIILTQPTTPQREKGRLRAVATTKPTVKKRGCGGPVLWWPSPTPQVSPPSLPSLPFSLPPRSPRARATPPPRTYGPRPAAFVPTQLAGGGEARGRPESPSLPRPAAAKERGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMSEIWHLATEPGFIAYSCVAVVGVLFLIFWVVERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVIICCLVQLNYLNKALDSYNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQVATQLCGFVTIVAGTFLLHKTRDMGNEPPPSQSDEICLDGDSERPSHP >LPERR02G13910.6 pep chromosome:Lperr_V1.4:2:12185199:12185847:1 gene:LPERR02G13910 transcript:LPERR02G13910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVQLWKGEVKLLRDVKWTYGTGISPHMSRNPRKAHRAGQIHAWLLPAGPKTTSFSLNPRRRSERRAGSAPWQQPNQR >LPERR02G13920.1 pep chromosome:Lperr_V1.4:2:12195094:12197557:-1 gene:LPERR02G13920 transcript:LPERR02G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALPVTGLLLLLLAAVVSAAAGGGGGGASAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTSTLRDLAANSAPGDDDDEEAQRRQRRLDLQAKDLIRAARGAIAEAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPAPPPPALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSTMED >LPERR02G13920.2 pep chromosome:Lperr_V1.4:2:12194266:12197557:-1 gene:LPERR02G13920 transcript:LPERR02G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALPVTGLLLLLLAAVVSAAAGGGGGGASAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTSTLRDLAANSAPGDDDDEEAQRRQRRLDLQAKDLIRAARGAIAEAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPAPPPPALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRRCNFAPP >LPERR02G13920.3 pep chromosome:Lperr_V1.4:2:12194266:12195092:-1 gene:LPERR02G13920 transcript:LPERR02G13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRRCNFAPP >LPERR02G13930.1 pep chromosome:Lperr_V1.4:2:12211479:12218935:1 gene:LPERR02G13930 transcript:LPERR02G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSHPSSLYPSPTSPTNFSFFFSPLLLLLLFLAAALVIPPPPPPSPAGSRRRWHLKHGKNSLPVDFPKPIENENSVETAHPNIKPFSVHPLPLTKTSDVLPESSNGSDSLKEEKNQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQQYTWQDFLNLTRDTITSKKQRKVRNKADSPIGQSDGDTEMINGGGSSHSEDGDVETSTS >LPERR02G13940.1 pep chromosome:Lperr_V1.4:2:12224718:12226609:-1 gene:LPERR02G13940 transcript:LPERR02G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAWLLVALAVASLYTAARLHNARLSSRPTFDAWRGGGHSLRSVITLPARDDATWRALRRLASEELARHVARRAERGEPVEVGRHVFEAGVTGHRVARDELPGGGVAEHRRNVRETTELPMKGNVSDLFPAPTCRACGGGWGSWWRSPTGASRSFSCGGWPDGKPASRGGMNVVLDREEEWRKETNPVLDRNTIKALITDLIVAGTDSSSTTVEWAMAELLQNPVSMQKVKEEFRRVLGTRTQIEESDISQLPYVHAVVKETLRLHPSIPMTFYKADATVEMQGYTIPKGTAIILNIWAIHRRPDVWPDPDRFLPERFMGTDISFFGKHPELLPFGGGRRICLGLPLAYRMIHMLLATLLFHFDWKLPDGAEKDGIDMSERLGIVLSMATPLKALATKSSNYM >LPERR02G13950.1 pep chromosome:Lperr_V1.4:2:12238546:12242680:1 gene:LPERR02G13950 transcript:LPERR02G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGQSPDRGSDASGPKQSSVSSHGRHRNSSSSICKDFLRKFVDNELLTSSLEDWFTGHSEDCGFKKPAFDVPFDLSELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTILQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDCAAEWIKKHAQITVSSVDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQTNTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVMMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALASASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >LPERR02G13960.1 pep chromosome:Lperr_V1.4:2:12245251:12250347:1 gene:LPERR02G13960 transcript:LPERR02G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALWWRWWVAAAVASCLLAARPAGAAWCIARSGASEKSLQTALDYACGPAGGADCAPIQASGLCYLPNTVAAHASYAFNSVFQRARAAPGACDFSGIATITLTDPSYGSCTYPASPSTAGQSGSPGSTSGIPSPPDSGGGGGLSPPDLGPGDDSGAVTAAAAEFFLPLAVSCLVYLLLHT >LPERR02G13970.1 pep chromosome:Lperr_V1.4:2:12250714:12252749:1 gene:LPERR02G13970 transcript:LPERR02G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNVISKGPAIYRKSPQRPRKPIASQSRVSLPSLYISLRRRLHASSPSTTRIRSRRRFAATVAMARVKVHELRGKNKADLQGQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKHEKYFPMRKYAIKA >LPERR02G13980.1 pep chromosome:Lperr_V1.4:2:12253017:12255523:-1 gene:LPERR02G13980 transcript:LPERR02G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFQQPPGSLAATVTAPRGEFVSFVHGSIRPHHRRTVALSGVRTHVSAIEQAVVQDATKSEAPVVIVTGASRGIGKAIALAFGKTGCKVLVNYARSSIDAEEVSKEIEAFGGQAITFRGDVSKEADVESMVKENITSQFLLSLKSDQDKIIQAVDTWGTVDVLVNNAGITRDTLLLRMKKSTWQDVIDLNLTGVFLCTQAATKVMMKKKKGRVINIALVVGLTGNLGQANYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFIASYMTSQLGEEFEKKNLLTIPLGRLGEAEEVADLVEFLALSPGGSYITGQVITIDGGMVM >LPERR02G13990.1 pep chromosome:Lperr_V1.4:2:12259241:12262708:-1 gene:LPERR02G13990 transcript:LPERR02G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMEHAIVSAATGVLSPLIGKLSTLLEKEYSSLKGVRGEIVSLREEVSSMNAMLLKLAAEDNPDVQDRVWGNQIRDLSYDIEDCIDDFMLRVDQHGNTASPDGDGKAGVFHRCLSKMKSLGARHDIAGKIRELKARADVVSKRHERYRFQGSSSPFTSSSSGCAVGIDPRLHAFYAKEDSLVGIQEPRDEVIRLLASQGEEEGSVNKLKVVSIVGFGGLGKTTLASAVHRKLGEEEQFDCRLVVPVSQSPDIMRLFQNILLQDFNVKPCTHNDLQGIINQLRSHLLDKRYLIILDDLWDVSVWENALKCAFPDNNLGSRVVTTTRDNTVAEKCCGQQRDCIYNMKPLNETDSKKLFFNRIFGSENDCPNELKSISDEILRKCHGLPLAIITIASLLASQASKEKDEWEHVRNSLGSKLGTDPSLEMMQQILNLSYKNLHPHAKTCFLYLGAYPEDYVIWKDDLVRQWAAEGFVHGVENARGYFNQLVNRSMIQPVKIGYNDEVLSCRVHDMMLELIIRKYSVEENFLTAVVGNSQEVKGSIHNVRRLFHYSEVGRRQAAPAMRIDLQKVRSIAAPVIASGIHQFRFLDMKFLRVLVLEFIYNPKEQSTQSVDLSVMCKLLLLRYIKIHSECMLKLPPRIRMLQHLETLEIVSRADKAGLAIPSDVAQLPRLSYLSILPHMLGGLPDNVGTMIQLRSLAFFILEENSLDIIKSLRLLTNLRELYLISASGGDGDGTEIVALAPAPEMDALQSSVSGMDCKLYLNAWSMWLPRVPQWVGRLKNIYGLELGVGKLCKDGVSVLADLPAMARLDLWIKSAPTESIVIAGAGFPMLKHLIFTCRALCLTFEAGAMPKLRRLDLEFNADGGGDGCFGNALVGVDHLADLRVVSAKVGGFSTALAAAAAAEEPDERSATMFRLRDAVDLHPKRPRLDITYTQGKYGLSGLPGYSAGFDV >LPERR02G14000.1 pep chromosome:Lperr_V1.4:2:12306213:12318290:1 gene:LPERR02G14000 transcript:LPERR02G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMMAMICEKTYYGADDGEVSSEARWFREMVEETMALSGASTVWDFLPAPLRWLDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDDDDPAASGRRRRTMIGVLLSVQSKEPEACPDQLTRTLGKGKPRLAHNRRKKDSSVASEIRSSLEAGTSTSTDTIEWAMSLLLNNPDVMRKACDEIDACIGQPVRLLEAADLPKLQYLRCIIMETLRLYPPAPLLVPHESSTDCTVAGFHIPQGTMLLVNTFDIQRDPRVWDEPTSFIPERFEDGRSEGKMSIPFGMGRRKCPAENLGMQMVGLALGTMIQCFEWERVGEEPVDMTEGSGLSMPKEVPLQAFYRPQARKSFLHAPTLLLMAVLLLLLPLLMLTATARRRRRGGEAAQRHPPEPAGLPLVGHLHLFKRPLHRTLARLAARHGDVFRLRLGPGRVAIVVSSASAADECLGAHDVADDGEVSSEARWFREMVEETMALSGASTVWDFLPAPLRWLDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMECMGAAGDDDDAPAAATARRRSMIGVLLSVQKKDPDACPDQLIRSLCISSLEAGTDTSADTIEWAMSLLLNNPDVMRKARDEIDACIGQPVRLLEAADLTKLQYLRCIIMETLRLYPPAPLLVPHEASTDCSVAGFHIPHGTMLLVNTFAIHRDPQVWDEPTSFIPERFEDRRAEGKMAIPFGMGRRKCPAENLGMQMVGLALGTLIQCFEWERVGEELVDMTEGSGLTMPKEVPLQAFYQARASCHTILRDVPSETNRTPASTLTMVMDATFGGVLVALLLVLFAAAAAVRRSGGGGGGGGGRRLPGPVALPVVGHLHLFRRPLHRTLARLAARHGAGVIMGLRFGSRRVAVVSSAPAAEECLGAHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVSHFADVNVREVRALARRLYRRSGGGGRARVELKSRLFELLMNTMMAMICERTFYGADDDEVSEEARWFRAVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTKFLQRLIDDQRKDMNAADDDHTPTRRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMERARAEIDACVGQRSPEPRLLEAADLPSLHYLRCVIMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPKVWDQPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFELERVGEELVDMGECSGLTMPKELPLEVFYQPRASMVHLLSKI >LPERR02G14000.2 pep chromosome:Lperr_V1.4:2:12306213:12318290:1 gene:LPERR02G14000 transcript:LPERR02G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMMAMICEKTYYGADDGEVSSEARWFREMVEETMALSGASTVWDFLPAPLRWLDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDDDDPAASGRRRRTMIGVLLSVQSKEPEACPDQLTRTFSLEAGTSTSTDTIEWAMSLLLNNPDVMRKACDEIDACIGQPVRLLEAADLPKLQYLRCIIMETLRLYPPAPLLVPHESSTDCTVAGFHIPQGTMLLVNTFDIQRDPRVWDEPTSFIPERFEDGRSEGKMSIPFGMGRRKCPAENLGMQMVGLALGTMIQCFEWERVGEEPVDMTEGSGLSMPKEVPLQAFYRPQARKSFLHAPTLLLMAVLLLLLPLLMLTATARRRRRGGEAAQRHPPEPAGLPLVGHLHLFKRPLHRTLARLAARHGDVFRLRLGPGRVAIVVSSASAADECLGAHDVADDGEVSSEARWFREMVEETMALSGASTVWDFLPAPLRWLDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMECMGAAGDDDDAPAAATARRRSMIGVLLSVQKKDPDACPDQLIRSLCISSLEAGTDTSADTIEWAMSLLLNNPDVMRKARDEIDACIGQPVRLLEAADLTKLQYLRCIIMETLRLYPPAPLLVPHEASTDCSVAGFHIPHGTMLLVNTFAIHRDPQVWDEPTSFIPERFEDRRAEGKMAIPFGMGRRKCPAENLGMQMVGLALGTLIQCFEWERVGEELVDMTEGSGLTMPKEVPLQAFYQARASCHTILRDVPSETNRTPASTLTMVMDATFGGVLVALLLVLFAAAAAVRRSGGGGGGGGGRRLPGPVALPVVGHLHLFRRPLHRTLARLAARHGAGVIMGLRFGSRRVAVVSSAPAAEECLGAHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVSHFADVNVREVRALARRLYRRSGGGGRARVELKSRLFELLMNTMMAMICERTFYGADDDEVSEEARWFRAVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTKFLQRLIDDQRKDMNAADDDHTPTRRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMERARAEIDACVGQRSPEPRLLEAADLPSLHYLRCVIMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPKVWDQPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFELERVGEELVDMGECSGLTMPKELPLEVFYQPRASMVHLLSKI >LPERR02G14010.1 pep chromosome:Lperr_V1.4:2:12323973:12324264:-1 gene:LPERR02G14010 transcript:LPERR02G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWCGVVGADGGFVAVLLRQYWKSIGGGVLVRCGGDLVLPMLVGNDLLGWYGSRRKPSLMFCWADRGYAFERCNPLGGTVEVPTSSLP >LPERR02G14020.1 pep chromosome:Lperr_V1.4:2:12325118:12331534:-1 gene:LPERR02G14020 transcript:LPERR02G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQADQQPNRFGRLSVVPNRLLAFFFVGFLRQVCPMVVIIESFVGLCLGKLQRMITEEAILILGVKEELIELQQRIEQIKPYLNDAEQRGTEHSAFNKWLGRLKDAMYDADDIVDLARFEGTKLLADHPQGSSGKPTSYTGFSTFSCFSNIHIRHEIGGKIRNLNKIIKSIAKDKVFLTGNRAQSTERSSSASKMTNSSPLVEPNLVGKEVMNDSKKLVNLILTHNDKKAYKIAIVGIGGVGKTTLAQKIYNDIKLKGNFNKQAWVCVSKDYSPASVLRHILRITEVHHDADESIGELLNRLASAIKDKSFFLVLDDVWQSDVWTNLLRIPMHAAATGTILFTTRYDTIARELGSSYIHRVELLSVDVGWELLWKSMDITEEKQVQNLRDIGIEIVKKCGLLPLAIKVIARVLAPKDQSQNEWKKILNKSTWSMNKLPSEISGAFYLSYEDLPSNLKQCFRYCAVYPEDSYINMYDITKMWIAEGFIDEQEGQLLEDTAEEYYYELVRRNLLQRDYSNFLQYVCKMHDLVRQLACHLTRDECFVGDPESLGGNRLHRLRCISVVTEKDMVVVPNIGKEKVKVRTFSNASSPLRIDDKIFKRFLCLRVLDLSYSQIQNISCHIGKLIHLRFLDLHGTNISCLPESIGFLINLQTLDLSSCSSLQTLPLEIIKLGNLRCLCLHKTPINQVPKGISWLKLLNDLEGFPVGGYNDNTSTQSGWHLEELAPLLHLRNIEIIKLERAVQCSTDPIVHDKKYLKILSLCCTQHRNKPYLEMDVNNIEKIFEQLIPPRSLEDLVIEGFFGRRNPTWLSNNYLSSLLYLNIIHCKSWVHLPPVGQLPELKYLRIVGATSVSKVGREFVGCLVDNPISTEIIAFPKLEWLVIDNMPNWEEWSFVKEDVVAATQGENDGATAKGKQKGMFLRLKLFPRLEKLDIVRCPMLRALPEQLSQVTSLKILQLRKAGRLKMVENVPFLSDFLLITGCGCLQRVYNLPLVERLKVRRCPQLRSVNRLGSLRLLSLGARMQKISSPWILRLQEQRQLLHGEDLDVIIG >LPERR02G14030.1 pep chromosome:Lperr_V1.4:2:12353855:12357778:1 gene:LPERR02G14030 transcript:LPERR02G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSNASTTPTPTPPSLHSLSLSSSPRGGRHHHNHRGGRATAARHHHRPSSKIIHAAGCVSRYSPLPPFPDEVADDDDDDASLPLRLEPFPCDPIERRTGAKPLVLVPSASSSSSAAEDSAEAAASAIAERFVPDLLAAAGRAKAGGVAKEDEEVKLSAVARIGKVLFQSGPGGSPLSMNSLRDAVKAGEEGSRSQVHKTFYTNVPGECLDDMERSAVEKMGLRFDSSKEHYHVKVFDKNQSDSTISCKCTVQEDGKLGIHKVELNQIRHLVEDISCLYKDLDLRLMLSTKRILKNLDAEVENAVNWLVSSAVIDPDVKGGLRWPLGKESVDERFSIVGVWHTNYKAFRNENLRLKLRHADRFDHRSSTGEVSSEVTFKLIGISEKLEADDQDSNSLKDMLESAVQTIWENAMSYKMAP >LPERR02G14040.1 pep chromosome:Lperr_V1.4:2:12367080:12372863:1 gene:LPERR02G14040 transcript:LPERR02G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVLLRSVEEAQAHAEATSHANFSESTEAVLNLVCAACGKPCRSQTEVDLHTKRTGHTEFTDKTMEAAKPIDLEAPPKPADEAAMDVDASSSAEPQEMVAPEVNKEMLADLETMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDETLLVPANTKTEVNKPSLTPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRMEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAASKPSAPPPVEEKKSALPVRPATKAERMRDCLRYLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLQLCGFEKLEGDEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >LPERR02G14050.1 pep chromosome:Lperr_V1.4:2:12382638:12384699:1 gene:LPERR02G14050 transcript:LPERR02G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGVPVFGDWSAAGDTPYTQKFENLRRSKKTGVYSNPNELIAIPEPPLRSPLHPSSYNTSDSLNQRQRYQPHERKPETHREIVPRRHASPLHQHNLDHHGGHGESPRSPYRESSAAAASPRHRYRPAGMQTPDRKGSSSEGRHPPTPGRSRLKQGGRSFEPAEDEVTVPPFGDWDENNAASGEKYTGIFNRVRHDKLPRNSPIKQQPPSSSGRQEHKVQQFLDK >LPERR02G14060.1 pep chromosome:Lperr_V1.4:2:12386164:12388609:-1 gene:LPERR02G14060 transcript:LPERR02G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGCLPPSCSAIRALSSPPPCSGKRRANAPVVTMASTAKANAPNPPKPKNQFTAPHPVPPQVTHTLPPEKQEIFASLDGWAASHILPYLKPVEESWQPQDHLPDPSSASFHDEVRDLRARAAGLPDGYLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASGTSWAVWTRAWAAEENRHGDLMNKYLYLTGRVDMRQVEKTIQYLIGSGMIQPINLAKVEDPRTENDPYLGYIYTTFQERATFISHGNTARHARRHGDAALARVCGTIAADEKRHEAAYARIVAKLFDLDPDYTLRAFARMMRKKVAMPAHLMRDGSATDGSGLFARYSAVAQRLGVYTAADYAGIIEFLVERWGVARLEAGLSGEGRRAQEFVCSLGPRFRRLEERAQEAAAAKGMLDSAPISWIHGREVQL >LPERR02G14060.2 pep chromosome:Lperr_V1.4:2:12386164:12388609:-1 gene:LPERR02G14060 transcript:LPERR02G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGCLPPSCSAIRALSSPPPCSGKRRANAPVVTMASTAKANAPNPPKPKNQFTAPHPVPPQVTHTLPPEKQEIFASLDGWAASHILPYLKPVEESWQPQDHLPDPSSASFHDEVRDLRARAAGLPDGYLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASGTSWAVWTRAWAAEENRHGDLMNKYLYLTGRVDMRQVEKTIQYLIGSGMDPRTENDPYLGYIYTTFQERATFISHGNTARHARRHGDAALARVCGTIAADEKRHEAAYARIVAKLFDLDPDYTLRAFARMMRKKVAMPAHLMRDGSATDGSGLFARYSAVAQRLGVYTAADYAGIIEFLVERWGVARLEAGLSGEGRRAQEFVCSLGPRFRRLEERAQEAAAAKGMLDSAPISWIHGREVQL >LPERR02G14070.1 pep chromosome:Lperr_V1.4:2:12390382:12392035:-1 gene:LPERR02G14070 transcript:LPERR02G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGGKGFYLINKETSDSTRKNRSKVSQYRMAFDTPRITKTETSKLKNLISASFKPLSLTIPIGDGFHELFPVNLCAGMQLKPPTRAKFSQGFMPTGECDQYCALIPGLPEDLAKICLALVPRSHFPVMGSVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTADAGLKGSHWEVLGSSGHKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYDADHGKECVSDEVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGPNGDSLSSVEVYDPEQNKWTLIESLRRPRWGCFACSFEGKLYVMGGRSRFTIGNSRFVDVYNPNNHAWGEVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAVFNPADNSWQKVPVPLTGSSSTRFCFGIHDGKLLLFPLDEEPGYKTLMYDPVAPTGSEWCTSELKPSGLCLCSVTIRA >LPERR02G14080.1 pep chromosome:Lperr_V1.4:2:12398089:12399893:-1 gene:LPERR02G14080 transcript:LPERR02G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFSRLSIYFCVLVLCHGSMAQLFGPSINPWNSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNEQFQCTGTFVIRRVIEPQGLLVPRYNNVPGVVYIVQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDTPVVALYVFDINNNANQLEQGKREQQVYGRSIEQHYGQNIFSGFNVELLSEALGINTVAAKRLQSQNDQRGEIIRVKNVLQLLKPTFTQQQEQAQSQQEVQYSEEQQTSTRCNGLEENFCTIKARLNIENPSRADSYNPRAGRITRLNSQKFPILNLVQMSATRVNLYQNAIFSPFWNVNAHSLVYVIQGRARVQVVSNLGRTVFNGVLRPGQLLIILQHYAVLKNAECEGFQYIAFKTNANSMVSHLAGKNSIFRAIPVDVLANAYRISKEEARSLKNNRGEEHGAFVPRFQQQSYLAFLNESESKTSE >LPERR02G14090.1 pep chromosome:Lperr_V1.4:2:12402645:12404735:1 gene:LPERR02G14090 transcript:LPERR02G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPPPESPLVADVGGGGGLGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDRRLSQINSDLFPSPDLASSAAAAEFDRGRGSPSSEGEISASVAAAAAAFDAAEQLIQAWDGTPEALVFEAPEDEVAEYLAAVDVAIEHLARGAGAVAGRAGVAVQLAMARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDSAAPETARGGPLINPFEDQVFDPVRPEAVDDLRAIADRMARAGYSRELADSYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLSVSDELREECFIESTKGCIMQILNFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDAIRGTLFEFGKVLQQESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDVLLDDDTDDQTDLARAEDQDQEHLESMTPLGRRLLKLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKVRDSELGKILGDHWIKRHNGKIRQYSKSYLRISWTKALSFLKDDGHGSGSGSGSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAYLGRYGPQVDGGRNSGKYVKYTPEDLESQLSDLFEGVPGSANYCKRRT >LPERR02G14100.1 pep chromosome:Lperr_V1.4:2:12409110:12409724:-1 gene:LPERR02G14100 transcript:LPERR02G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATRFLKDLFSAIAAAVRARSTAVRAKTSAVRTRLIVFGIFRNRKLLLSAINRKIHALVSTSSHADYAATAAEEEEATSGGAGGEQYHLIRKAAVLNSLPSFVVEQERSAVVLLSSLPSFAMDRDAGGEAAAAEEEEQESGEKQQQQQVSVIEMARGAAEGEFRLEDEIDSVADAFIRRFHEQIKLQKLESFKRLCEMLERN >LPERR02G14110.1 pep chromosome:Lperr_V1.4:2:12418240:12422545:1 gene:LPERR02G14110 transcript:LPERR02G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGADDGEVSDVAAASKIRKRCALSSSGGGATSDTLRRLRLKKRGVVVLGVSPWSSRKMSESSWNGRHGVAGAADSTASARKLVGALRQLSSPDDENAARRSSAAHRRCVSVELSKRSRTKSKTVLEGDGQRSWHNGHGHWFSDMLSNGSAMEVHACRSQGCASPSPCSRGGETMSPHMKEMCSSLAASKELVRALAGIWCPGGGGGGDGGLIPSTPAAAVNARLGTALADAEREAAAARREVERERRSRERIEKVFDEMLVRGGLAGNGGGEEEIRAVQEELEKEREMLRLADELREERVQMKLLDARLQFEEKNAVVEQLRVELEAFLDTKKQQPPPPDNHRFQSIPVAAANKNGDDDGNDDEEEDGGGSRGEEDGSDGSEMHSIELNMEGNSKDHYSWSYTTASNSKEMTMARSKNAESLQHGSLAAALGMDRHWQEAGVGEEVDGDRWDDGGCSERSKDVDEEDAERYQAIKNLREQMLAGHGFVFVSQDWGQC >LPERR02G14110.2 pep chromosome:Lperr_V1.4:2:12418240:12422545:1 gene:LPERR02G14110 transcript:LPERR02G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGADDGEVSDVAAASKIRKRCALSSSGGGATSDTLRRLRLKKRGVVVLGVSPWSSRKMSESSWNGRHGVAGAADSTASARKLVGALRQLSSPDDENAARRSSAAHRRCVSVELSKRSRTKSKTVLEGDGQRSWHNGHGHWFSDMLSNGSAMEVHACRSQGCASPSPCSRGGETMSPHMKEMCSSLAASKELVRALAGIWCPGGGGGGDGGLIPSTPAAAVNARLGTALADAEREAAAARREVERERRSRERIEKVFDEMLVRGGLAGNGGGEEEIRAVQEELEKEREMLRLADELREERVQMKLLDARLQFEEKNAVVEQLRVELEAFLDTKKQQPPPPDNHRFQSIPVAAANKNGDDDGNDDEEEDGGGSRGEEDGSDGSEMHSIELNMEGNSKDHYSWSYTTASNSKEMTMARSKNAESLQHGSLAAALGMDRHWQEAGVGEEVDGDRWDDGGCSERSKDVDEEDAERYQAIKNLREQMLAGHGFVFVSQDWGQC >LPERR02G14120.1 pep chromosome:Lperr_V1.4:2:12431628:12432916:-1 gene:LPERR02G14120 transcript:LPERR02G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSREEEGKPGGEKRRRIDGDGSPTEVVSGGGSTGAFDVLPDELVVSILADVAASADSPAHLASVMLTCRRFRELGKNNVVLARASAASVAVRAAKWSNGSYRFLLTCARAGNAEAAYILGMIMFYCYEKREFGSQLLAAAARRGSSEAMYSMAIIQFNGSGLPKDGRNIRFGASLCARAASRGHIDAIRELGHSAAAAAGGDCRPPGPHTCLYTDYGCSHVVAGGRRRQHAANAFLAEWFASPRRALAAGTRMCSQPACGRPETRRHEFRRCSVCNAAVYCSRACQAMHWKTAHKNTCAPVVNWLLAAGAAANADANAVAAAAAAYMAAMP >LPERR02G14130.1 pep chromosome:Lperr_V1.4:2:12441357:12447556:1 gene:LPERR02G14130 transcript:LPERR02G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRALLRDLDALKQRPDPAAIDRMRERVAGMVTPVAVAAGAAARSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVGMTKTDAAVQTLSGINPDVALESYSLNITTVKGFETFLGSLKARSSDGHNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQLKPARDAAAKAKMEAEASAADECPVHLDNDWNIRQVIPHRLLVVNGADKIVDLSRALHPMLKHTSFLRHPACRIALLHLGMLDKYFLEKLFVVDDSDTATPSILSTGTDSLPEGLVRELPNADSYQEPVAPVTSGAIDDDDLEELQRQLDALNSS >LPERR02G14130.2 pep chromosome:Lperr_V1.4:2:12441357:12447556:1 gene:LPERR02G14130 transcript:LPERR02G14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRALLRDLDALKQRPDPAAIDRMRERVAGMVTPVAVAAGAAARSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVGMTKTDAAVQTLSGINPDVALESYSLNITTVKGFETFLGSLKARSSDGHNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQLKPARDAAAKAKMEAEASAADECPVHLDNDWNIRQVIPHDCIVVDDSDTATPSILSTGTDSLPEGLVRELPNADSYQEPVAPVTSGAIDDDDLEELQRQLDALNSS >LPERR02G14130.3 pep chromosome:Lperr_V1.4:2:12441357:12447556:1 gene:LPERR02G14130 transcript:LPERR02G14130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRALLRDLDALKQRPDPAAIDRMRERVAGMVTPVAVAAGAAARSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVGMTKTDAAVQTLSGINPDVALESYSLNITTVKGFETFLGSLKARSSDGHNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQLKPARDAAAKAKMEAEASAADEYSLPEGLVRELPNADSYQEPVAPVTSGAIDDDDLEELQRQLDALNSS >LPERR02G14130.4 pep chromosome:Lperr_V1.4:2:12441357:12447556:1 gene:LPERR02G14130 transcript:LPERR02G14130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRALLRDLDALKQRPDPAAIDRDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVGMTKTDAAVQTLSGINPDVALESYSLNITTVKGFETFLGSLKARSSDGHNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQLKPARDAAAKAKMEAEASAADEYSLPEGLVRELPNADSYQEPVAPVTSGAIDDDDLEELQRQLDALNSS >LPERR02G14130.5 pep chromosome:Lperr_V1.4:2:12441357:12447556:1 gene:LPERR02G14130 transcript:LPERR02G14130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRALLRDLDALKQRPDPAAIDRMRERVAGMVTPVAVAAGAAARSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVGMTKTDAAVQTLSGINPDVALESYSLNITTVKGFETFLGSLKARSSDGHNTGVDLVLSCVDNYEARMVVNQVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQLKPARDAAAKAKMEAEASAADEYSLPEGLVRELPNADSYQEPVAPVTSGAIDDDDLEELQRQLDALNSS >LPERR02G14140.1 pep chromosome:Lperr_V1.4:2:12477650:12478138:1 gene:LPERR02G14140 transcript:LPERR02G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHQQLRDHGDHLRLLFTTTPPTPPRDAAAATALFPAASPTVADHLDLSLSIRIGPAPTPAPPPQTQKKAGDVDAVEEEVRAVKREAAEQARVASAEKAYAERVMELARRELELAEREFARARAIWERARGEVQRVERIKDEVAAALEITCHACMQRFHS >LPERR02G14150.1 pep chromosome:Lperr_V1.4:2:12482377:12483390:-1 gene:LPERR02G14150 transcript:LPERR02G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDPTKSTYFRVAPCFGGPSYAAEYMSKEFKEAKLLAISEGLHLAAEWVHFPVVLESDCLTVIQQILSKDRERSRWPFLLQQIKASMASLQDIVLAHCNRDCNRIARELAQLAKRTVHCAVWWNNAPDGILQALKHDCIPISKN >LPERR02G14160.1 pep chromosome:Lperr_V1.4:2:12488747:12491268:1 gene:LPERR02G14160 transcript:LPERR02G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRALLLLPLQSPTRRPSAASLARLGGAFSSSVRCCASAAGGPGEQEEPPQDTVLRAISQVASSKGRVAQTTNVIMGGTVTDDATDEWLVLDKQVNSYPTVRGFTAIGTGGDDFVQSMVIAVESVIQEQIPKAQISQKVSAKGKYVSVKIGPISVVSSEQVGY >LPERR02G14170.1 pep chromosome:Lperr_V1.4:2:12501329:12502123:1 gene:LPERR02G14170 transcript:LPERR02G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCPKPGKGGKIHPSPLAGGGDGDPIRAALRLLPAAIFALATALRPEDQQVLAYLVTRCLQGAAGAGGHHPEQQPARRRRAHPPAIGCGCFDCYTSFWSRWDCSPSRELIHDAIEAFEDHLAAAESSSSSSTSSKRRDKGKRRPPPPSMTTPMSPNSPSPPLPPPPPAAEKVHEPSPPPVSLLPPPPPLPPAPEAAMATFESDDGDDDEKVPADSSAAAAAEENASEGEEEEEERRRGWADVMGILNLRLWGIWSPAVESAI >LPERR02G14180.1 pep chromosome:Lperr_V1.4:2:12510835:12514220:-1 gene:LPERR02G14180 transcript:LPERR02G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRAAAAPSLRRLLSTTASAPSPAASSPPPPPAAAEAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAVTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYTTAYLEIEKLKKSFSIPL >LPERR02G14190.1 pep chromosome:Lperr_V1.4:2:12516450:12516659:-1 gene:LPERR02G14190 transcript:LPERR02G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAILVHAVIYFALITIFLIAIGVHIYAG >LPERR02G14200.1 pep chromosome:Lperr_V1.4:2:12528394:12528776:1 gene:LPERR02G14200 transcript:LPERR02G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFALFAILTLALHVHIYTG >LPERR02G14210.1 pep chromosome:Lperr_V1.4:2:12531276:12533016:1 gene:LPERR02G14210 transcript:LPERR02G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMVIGRDAAHGEVPASEERRRKVELVQEKRKARQGEDGGSEAHEEEEDDDGILSSLLSKVDALQNDAADLNQVKPNCFHPNSEDRHEVSKEVKLGDIAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVQKKLSNPFKSLGELIKGSLKGRGKPMIEAPPLPPVGVPDVTRKDLPLLLISNGNGNDDD >LPERR02G14220.1 pep chromosome:Lperr_V1.4:2:12533901:12535166:-1 gene:LPERR02G14220 transcript:LPERR02G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRRQKMVREGQRHLEEATAAAFDILSSIDHELSNPALWSLSQRQQQQYPPSAADDSDAASGGGVRGSGPGYGIVSLDEAGHCFNTAVAALRVFHRRRIILLRSVWRIPGCLNLYRVAI >LPERR02G14230.1 pep chromosome:Lperr_V1.4:2:12537543:12539741:1 gene:LPERR02G14230 transcript:LPERR02G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELNSSALMEEAVKAREAAERKFHARDVKGARRSAIKAQNLCPSLEGISQMVSTLEVLLASESKIDGESDWYRILSLSASADEEEVKKQYRKLALHLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMLYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAAAAAAAAAAAVAAEATTRPAGLDTFWTSCNRCRMQYEYLRVYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSSVQGTGHSVYQQENTYESYNNQSFQWNQYSKTNSSAGANAYSTTASDKPKRKHEENYIYNYSSSGNEFGQERTSGRGRLSKRRQNINNGYVSVDCNGDNKETVAATPGMTVLADAGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDWNISATRHVAAKGKVERKNNVDIDVEGNGILPHNPSNKFKICNSKGADVEISAADENNLEQKRVPISIDVPDPDFYDFDKERTERTFDNDQVWATYDSEDGMPRLYVMVQKVISRKPFRIRMSFLNSKSNIELSPISWVASGFTKTCGDFRVGRYQISETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYQNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDDDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVAVTEISE >LPERR02G14240.1 pep chromosome:Lperr_V1.4:2:12541407:12546844:-1 gene:LPERR02G14240 transcript:LPERR02G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGEKSGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNDLTGCGLLHS >LPERR02G14240.2 pep chromosome:Lperr_V1.4:2:12541618:12546844:-1 gene:LPERR02G14240 transcript:LPERR02G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGEKSGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >LPERR02G14250.1 pep chromosome:Lperr_V1.4:2:12547259:12549220:1 gene:LPERR02G14250 transcript:LPERR02G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAAAAAATVPATAATAKASRVPFLRNHHHHLPARRGVAAAAAPIRCSAVSPAATPSPSPAPPATPLRPWGPSEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPVITNHLFRHEQGEAFGASGYARASGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTPMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNSLLEGSTAQKGFDFSAWHNELDQQKKEFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELALIRIENLDVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >LPERR02G14260.1 pep chromosome:Lperr_V1.4:2:12550507:12551079:-1 gene:LPERR02G14260 transcript:LPERR02G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSPMGSPWRSPMQFQLYLTPMSGYQGPPRGAPQPWSPHSGPPSQGPYQHSPNFAYRNSHPGQGGGRMNYGPRGSQYSSYGRGGQNYYSNPGSRGRGGRGGFGFQNYYGAQDGRSFYHRSMVDDPWRDLQPIVGNIMIPRDGSKSSSWLPESLRANKDTSDRGQIKSKPGLSLAEYLDLSFNEASNDK >LPERR02G14270.1 pep chromosome:Lperr_V1.4:2:12556620:12560345:1 gene:LPERR02G14270 transcript:LPERR02G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKVKEEAVAEKGKEEAVEKEEASAAAPGEEKKEEAPPPPPPEEVVMRVFMHCEGCARKVKKILKGFDGVEDVVADSKAHKVIVKGKKAAADPMKVVQRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKKEPTVITVVLKVHMHCEACAQVIRKKILKMKGVQSAEPDLKASHVTVKGVFEETKLTDYVHKRTGKNAAIVKAEPVVPPENVGDAGAKDDKKAAEHREEKEESKEEKKDGDDAGGDEKEKEKEKDDNNAAEGEEKDKEKDPTAMAAANQYMHYPRFSNPGGHGVPGYAYPYAPQLFSDENPNACFVM >LPERR02G14280.1 pep chromosome:Lperr_V1.4:2:12575401:12577894:1 gene:LPERR02G14280 transcript:LPERR02G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAPAADPPPSAWTRRQDKLLEMLAWRWKPNPPWDRVAALLGDKTPAQASDRYARLADELRRLLVVQPVCDTAAPADGDAGGMMVLLPAPTGVEVEASATTGVGDAAGPQASTSGAKARGYGSKLKPRRAPVKRKVGGVRKKPDIWSVQEHSQFLLGLDKYGKGKWITLAREFVKTKTSIQIASHHQKFCNRMKKRELNACKRTSIHDITVPAVLPAEATPAARPSIHNPALPAEVTPAADDAIKSGVLICR >LPERR02G14290.1 pep chromosome:Lperr_V1.4:2:12580215:12585628:1 gene:LPERR02G14290 transcript:LPERR02G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLMFHSVLMHVSAAMVVLVYIPLSIPVKIFLWAFVKPLRRENLRGKVVLITGASSGIGEELAYQYAAQGACLALVARRKKALEDVAAAARERGSPSVLVLPADVSDADQSRRVVEATVAHFGKLNHLVANAGIWSICSFDDVTNITAFHKMMDVNFWGSVYPTYYALPHLKASRGKLVVSSSVAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGVTILAPGYVESEMTKGKGIQSGGQIAVNEEARDEQIGVFPVGRVDDLCEVALDGIRRGDWYITWPSLFRPLKLVAFLAPEVLHLACQVMYSTSSSKGKGAPLGKRIMEATGAKRLFPEGLRRNPVIKTEDDGECCDHGKQDSAT >LPERR02G14300.1 pep chromosome:Lperr_V1.4:2:12587224:12592749:-1 gene:LPERR02G14300 transcript:LPERR02G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNANSPSSAPSSLTVHSVPLASASASLPVPHAACAELHPASERRRRRRPAASATSSPFGGSARAAAMSHVRSSSDRHRDKEKDRRDTHRREDKDHHGSGRRDRDKDREKDKDDRREKDKDDRREKDKDDRREKERDSERGRGGRDRDRGKDRDRGEPERERKDRDKEKSRSSRDKSKEEREDNKDRDKSRGKDRVGDDGGDLSKGEDGELKKRVDAPVEAEKPSTAELRERISRSREERLNDKKQGGILDDNDDANEILSWVGKSRKLDEKREAEKEKALRRARALEEQDNILAENDEDEDDEEQQEDNQVGEHLSGVKVLHGLDKVMEGGAVVMTLKDQSILADGDINQEVDMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPFSKKPILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGHVQKKTEDLTSAAKMASDYYTQAEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGAGDLGSRNDARRQSARDEEQKADAEKRNYGYQAAIAKAEEASKALRQEKNVSGKQAEPEELVYGDDYEDLQKSLEQARKLALKKQEETATSGPLAVAELATARIGQKDADAAEGEGQQNKVVITEMEEFVWGLQLNEETRKPDAEDVFMDEDDDMIMTDTVAKDDMNGLAVVKEETNTEGPVKDEEEEEVKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGPPPPPKKPKN >LPERR02G14310.1 pep chromosome:Lperr_V1.4:2:12596561:12596974:1 gene:LPERR02G14310 transcript:LPERR02G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALFLSLLLLLLAAVGKQCNGGAAARQGELAAGACRGTDLVVRQRATGRVVEGKPEYAVEVSNRCRCAQSRVLLRCYGLSSVESVDPRAIRPVDGERCVLHGGRPIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >LPERR02G14320.1 pep chromosome:Lperr_V1.4:2:12597906:12619544:1 gene:LPERR02G14320 transcript:LPERR02G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGRRRGPSAGGRGGGGGGGRGGGWRSGSSAAKEQRLRLGAEELLESRLGFAPYTDSERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFCAATKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRNDLLHVVEKNEEDLNATEAFESIYGVKSLYSDDHVYYDRVERPQDYVNCIIDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDAVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAFEQLISNLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRNLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRRGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYVVAREPQGTPISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRAMQNLDGTGDMEDFLTLDNGLKKTRVPNGLGKENKPDGTQSAEAGSDHSKNQQKSITRSNEPLALHIQNDTADEQVDRSTDYQGWLDAKKRKWKNVREQKKRRRLMLDKFICCPHQIIQLASSTLPGRFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKIPAVLNAILQIGCVCKVDKSAKRRNIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSSSEGRAVYVMYFPTSFKIQVVVVNPFRNKELSPSFLEKQYRDACQALGSLHESITFHVDYHTSIDAGSKYVQRMLLEYRQQHPGPVVGVIECPKLKAIKAAVRALDDFPCVTIPCNARDNNYQALGWQSTAGRTSMQRCVASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALAYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGPIGSFANDTSTGPNGTETEFDDASLCIPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFAFMLAEFRKLGANIIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNATSEDGSDGDNDIEIVSSWNIAEYLPKATQDHFVLIVSEFLYIPWKYMKEQVALRSTARDDTSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELANRDTDPHAHKGDAALEFIKHICAVLALDQNVQHDILEIVPHPDVKNILQRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAISQCGQPYNREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMQVFLNVAVSQKFQLLQDCVQWILEVR >LPERR02G14330.1 pep chromosome:Lperr_V1.4:2:12620679:12621119:-1 gene:LPERR02G14330 transcript:LPERR02G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGERRNILAKTISRCRSLGHRSTRPTTGGGPPTASGGVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCDGPLELPCDVDAFMDVMWEMEQADPTASPRCAARFAVGGSGSGHHGYQMMSTPARLLVAGRS >LPERR02G14340.1 pep chromosome:Lperr_V1.4:2:12627328:12627552:-1 gene:LPERR02G14340 transcript:LPERR02G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSKKTTGTRDEHRDVSKRITLGMTSTGGDGEVSYGQLAAVQPGDGDDRTLGSPMTSTTSTPGRSLRRRSLC >LPERR02G14350.1 pep chromosome:Lperr_V1.4:2:12629115:12629362:-1 gene:LPERR02G14350 transcript:LPERR02G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHRDGSFNDLLSLTVDRNDHISLDAIVKQGENASKTPDDVVTKINVADEDEEVMEATTARTSAGLREIIEKQLSVE >LPERR02G14360.1 pep chromosome:Lperr_V1.4:2:12630287:12636196:1 gene:LPERR02G14360 transcript:LPERR02G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSAVASRFAAYWVADALAGDEVLDFSVTKALVARKPDSLTGAPAAVREHVALRCLQEVVSIASEGEGEAAAAPGMLGVDASRSCEDVLLQLIGEVGRPGSLEKGILPHFNQDIQKFICVKGPTLPVTSFELLRDVHLENTRLSSLSMMEQNENNKHDNFESFSNTSHDLVNKEKAGFATDGAQLPRDGLANSVNDGKTGNLQKDAMASTPGFQQLCTSDNSFFDQAQGDNAIDAVRVNIRSPKDGATNVDKHTSVSAEPSLATCKNMLGSNSGSMSERDKTYHNTMVQPQSCGIKNPNTLHNNDGNESVLTSIQSFKDCIHEGWAMQATISPAFNRSKALLPVTSETSHMPESITVEDRVMTSDLRITITHLNSQQHDESNQEIDYGSVGSPLVGRNSDHEQPILQTAAALPSEGCNGVIQSQSWGVNNPNTLHKNDGNEPLVNFTGVQSSKVSVHEGSSMQTVVSPAFDRSNDALLASTCKTSHFPEFITVEDTVMTSEPNLSRAHPNSPQHDKVNQDVDYGSASMPSLIKNSGHDERTLQTAATLSSEGCDGAIQGHRSEIKNPPENATKHTKMFEQHNSGNVHLEAAGSDKANQGLYDDGNTMKRNTVCGELDVQTTLKSCSFSMVLPNKIAEPNHLSEQNIEKNTIGVQKDRGNIQNSYQGVNDKRDKKASHQKTMGDTVVETSDMHSSDDSLSGLAAAVLLSMTAKITVCTQDNDANGSLEGLSQQDLCIKCGKDGQLLKCSSCLLAAHDSCFGSSLTFDDSSQFYCPVCFYTKATQAYQKAKITYSEARKNLSIFLGRRQLVEQREQPAAVRQKATNCEGNLNGCTASKRQDNHQYLADEQPVWQRKRQKSDATGDACPQKVAIEKEPVVQNRDVVPMNKCSVLQNNRNRAPVAEHEQPEENAEPHGEFCNDNSSCKQRHSYQTKHNPAGNRNVDSDKEDDLTASHLSEDSDEIEATSSNHSSKQSSPPWRNMRNSKARCQDNDTAIICNSKKLLGIPDQHMASPSRKRNYAYPPKRYCNPVAPNGRRTKLCWTEQEEAALREAMSKFTPKGDGSIPWVQILEYGRDAFHKSRLASDLRVKWRNMQKKSGS >LPERR02G14360.2 pep chromosome:Lperr_V1.4:2:12630287:12635311:1 gene:LPERR02G14360 transcript:LPERR02G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSAVASRFAAYWVADALAGDEVLDFSVTKALVARKPDSLTGAPAAVREHVALRCLQEVVSIASEGEGEAAAAPGMLGVDASRSCEDVLLQLIGEVGRPGSLEKGILPHFNQDIQKFICVKGPTLPVTSFELLRDVHLENTRLSSLSMMEQNENNKHDNFESFSNTSHDLVNKEKAGFATDGAQLPRDGLANSVNDGKTGNLQKDAMASTPGFQQLCTSDNSFFDQAQGDNAIDAVRVNIRSPKDGATNVDKHTSVSAEPSLATCKNMLGSNSGSMSERDKTYHNTMVQPQSCGIKNPNTLHNNDGNESVLTSIQSFKDCIHEGWAMQATISPAFNRSKALLPVTSETSHMPESITVEDRVMTSDLRITITHLNSQQHDESNQEIDYGSVGSPLVGRNSDHEQPILQTAAALPSEGCNGVIQSQSWGVNNPNTLHKNDGNEPLVNFTGVQSSKVSVHEGSSMQTVVSPAFDRSNDALLASTCKTSHFPEFITVEDTVMTSEPNLSRAHPNSPQHDKVNQDVDYGSASMPSLIKNSGHDERTLQTAATLSSEGCDGAIQGHRSEIKNPPENATKHTKMFEQHNSGNVHLEAAGSDKANQGLYDDGNTMKRNTVCGELDVQTTLKSCSFSMVLPNKIAEPNHLSEQNIEKNTIGVQKDRGNIQNSYQGVNDKRDKKASHQKTMGDTVVETSDMHSSDDSLSGLAAAVLLSMTAKITVCTQDNDANGSLEGLSQQDLCIKCGKDGQLLKCSSCLLAAHDSCFGSSLTFDDSSQFYCPVCFYTKATQAYQKAKITYSEARKNLSIFLGRRQLVEQREQPAAVRQKATNCEGNLNGCTASKRQDNHQYLADEQPVWQRKRQKSDATGDACPQKVAIEKEPVVQNRDVVPMNKCSVLQNNRNRAPVAEHEQPEENAEPHGEFCNDNSSCKQRHSYQTKHNPAGNRNVDSDKEDDLTASHLSEDSDEIEATSSNHSSKQSSPPWRNMRNSKARCQDNDTAIICNSKKLLGIPDQHMASPSRKRNYAYPPKRYCNPVAPNGRRTKLCWTEQEEAALRVITPRL >LPERR02G14370.1 pep chromosome:Lperr_V1.4:2:12637836:12643039:1 gene:LPERR02G14370 transcript:LPERR02G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGIVWQTPANPPERQDYIFRDGRRRYVRPYYFEFISHVKNRWTGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDEQVVQTDYTVQSSQKISHFLHRHEPPVLGGDITILQNEVDVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLAPLFRLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQIVDANIHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSDNFVPRSTMGARINRATTLACSLPSSDPDSSADISSKDTEVGEEFSIDPMCTNCPNLAPVGYDADEEGLWLHCVRYTGPDWSYECPYPDWASLDNVSRKKLKS >LPERR02G14380.1 pep chromosome:Lperr_V1.4:2:12656680:12657086:1 gene:LPERR02G14380 transcript:LPERR02G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAMVAAGPESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRDLERALARLVGAAYSSPAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >LPERR02G14390.1 pep chromosome:Lperr_V1.4:2:12678096:12682582:1 gene:LPERR02G14390 transcript:LPERR02G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPAEEQLNPKVGGGSSSSHAAAAAYGGGGGGSGAGRHGDGRGYPDLHHHHQPMAAPRVEKLSAGPEKARVKSNVIAREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPSDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRKVGENGRVVSRNDEASSSGHKSPNKDREDSPKELPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAVENGQGSLDSPTENG >LPERR02G14400.1 pep chromosome:Lperr_V1.4:2:12686648:12688633:-1 gene:LPERR02G14400 transcript:LPERR02G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSMERSTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETLYLAMYLAYAPKSARMLTARMLLGLNVGLFGLVALVTLLLPAGGLLRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVISAVVWFAYGMLKRDVFVALPNVLGFVFGLAQMALYMAYRGSKKPPSSASAVGEEVKLPEHVKEVAVVATAPEGRASCGGGGAEVHPIDDVVLPPPVVVEVKVDDDEEENRTDGDMVRPEQMIKPDMAIAVEV >LPERR02G14410.1 pep chromosome:Lperr_V1.4:2:12705874:12710307:1 gene:LPERR02G14410 transcript:LPERR02G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARVADVPMALDVVAAAAPAILAEVDAGVGRGGGGQKKRFTVIGHRGKGMNALASPDRRMQEVKENSLRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIFTEEDGKISDKRVTDLQLKDFLLYGPQHEQGKCGKPLLRKMKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNVELKFDDYLEYQEEELTHILQAILKVVFDYAKDRSIIFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELITVPEPDTNTDNLSSEAAKDAATPNFSQREISFLLRLIPELVQ >LPERR02G14420.1 pep chromosome:Lperr_V1.4:2:12712395:12716790:1 gene:LPERR02G14420 transcript:LPERR02G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLASRLLLRRCPTACASYGVIDMSGISALSYSKVFPDAHSQLVDFVEAVVPFMGESITDGTLAIFLKKPGDRVEADEPIAQIETDKVTMDVASPEAGIIEKFVASEGDTVTPGVKVAIISKSLAPNKTHPQPSEDTSQKQSSAPPPAEKNKVEAKPQKVESSITHASKQTSSSEPQLPPKERERRVPMARLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDQFVEKHGVKLGLMSCFVKAAVSALQNQPVVNAVIDGDDIIYREYIDISVAVGTSKGLVVPVIRDTDRMNFADIEKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSSILGMHSIVQRPVVVNGSILARPMMYLALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >LPERR02G14430.1 pep chromosome:Lperr_V1.4:2:12717565:12722508:-1 gene:LPERR02G14430 transcript:LPERR02G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKMKKEDNDSARPPPRKGGLKFAPKVPKKDLKIVPKMEIQEESKEYTIDKDMMKLMKLETSQSQSMDALGNKAEAKKNDSDVSAAKLPKGYTQPWDYMLTNYPITHPIRRPYSGDPAILDEEEFGQSSSNKGHDGELTAAGLMGQKDKPKLLFFQLPLSLPLPRAKPVTEEDKVTRSDWTDQKKGHQSIQGCHLEELPGGLMGKILVYNSGKMKMKLGDVLFDVSAGMKCEFLQEVVVINTREKHCCSLGEISNRVIVAPDIDYLLDSMNKE >LPERR02G14440.1 pep chromosome:Lperr_V1.4:2:12731055:12732859:-1 gene:LPERR02G14440 transcript:LPERR02G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFEAREFEVETSDSGQNRAQCSSGEIELRWQLYEAAIWQWLKSKFSALNSLHLSALNANHFLQAMRHTVWVGSHVVVVTVHHGVPLRHFGANDGNTKLMLEFMFNGFHRRDFSSNAMCDQSSYDQRRFFVEFTEPKPTAALGEFLWDRYISVVPPSSRGPHVDVLLLCPLVCCLRESA >LPERR02G14440.2 pep chromosome:Lperr_V1.4:2:12731055:12732859:-1 gene:LPERR02G14440 transcript:LPERR02G14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFEAREFEVETSDSGQNRAQCSSGEIELSLHLSALNANHFLQAMRHTVWVGSHVVVVTVHHGVPLRHFGANDGNTKLMLEFMFNGFHRRDFSSNAMCDQSSYDQRRFFVEFTEPKPTAALGEFLWDRYISVVPPSSRGPHVDVLLLCPLVCCLRESA >LPERR02G14450.1 pep chromosome:Lperr_V1.4:2:12732529:12742250:1 gene:LPERR02G14450 transcript:LPERR02G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEEFIEYFWRIRGEILGRFCAATASKLGVASAPHPIDLVSSRGELPRAAVFSASSLTGVENHHNFVMAGCSLATLRGQYRGRCIQSHSKLPTRNMSINLPKRNHCHKVQLPWQSNLGRKQKNGACTPLGIHGRGYRMETSIKCYFLQSLMDSESLVSPNLLLLSDEALLTISIVFAYLAGVVPSGHVFPYDRNHNLNQHLGAPNPSDSGRDVKLLPGGNTVFDPSDTWSEVRMKLSEALQSNGQDASLGGSDNELKNNRKNYPLSMLAIHGGPRLRLLLTTFQNVPESSELVDGIRIEWLQISTTLIDALIEPAFMNWIRGEKALENSKIKEELTKMITRKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSDSCFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMSTNVVGSSLALCSLSTRELQKLRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPVDNQAEVTNWWRLGFGRPSTATLLDYIHISSFSLPVRRTKELRALAGWRYYFSLFLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >LPERR02G14450.2 pep chromosome:Lperr_V1.4:2:12733017:12742250:1 gene:LPERR02G14450 transcript:LPERR02G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRGEILGRFCAATASKLGVASAPHPIDLVSSRGELPRAAVFSASSLTGVENHHNFVMAGCSLATLRGQYRGRCIQSHSKLPTRNMSINLPKRNHCHKVQLPWQSNLGRKQKNGACTPLGIHGRGYRMETSIKCYFLQSLMDSESLVSPNLLLLSDEALLTISIVFAYLAGVVPSGHVFPYDRNHNLNQHLGAPNPSDSGRDVKLLPGGNTVFDPSDTWSEVRMKLSEALQSNGQDASLGGSDNELKNNRKNYPLSMLAIHGGPRLRLLLTTFQNVPESSELVDGIRIEWLQISTTLIDALIEPAFMNWIRGEKALENSKIKEELTKMITRKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSDSCFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMSTNVVGSSLALCSLSTRELQKLRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPVDNQAEVTNWWRLGFGRPSTATLLDYIHISSFSLPVRRTKELRALAGWRYYFSLFLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >LPERR02G14450.3 pep chromosome:Lperr_V1.4:2:12732529:12742250:1 gene:LPERR02G14450 transcript:LPERR02G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSLVAINASNNSFTGHIPSSFCISSPSFAVLDLCYNHFSGGIPPGIGKCSALRMLKAGHNNISGALPDDLFHATSLEHLSFPNNGLEGTIDGELVIKLSNLVFLDLGENRFSGKLPVSMGQLKKLEELRMDHNSLSGELPSTLANCTNLAAVVLKSNKFTGDLAKVNFSSLPNLKTLDLCTNYFTGTIPASIYSCTNLTWLRISFNKLHGHLPEKIENLKSLTFVSLSYNKFTNITGALHIFKNLRNLTTLLIGGNFMHEDMPEDATIHGFENLQVLGINDCALTGKIPSWISKLSKLELLLLYNNQLSGPIPTWINSLNFLKYVDLSNNSLTGELPTTLMEMPMLRADKIADHSNPRFFWMPVFVAPSLQYHTINAFPKRLNLGNNKFSGVIPKEIGQLKALLSLNLSFNNLQGEIPQSASNLNNLMVLDLSCNHLTGAIPPSLVKLHFLSKFNISYNDLEGPVPITGQFSTFPSSSFAGNPKLCSPMLLHHCNPPEADPVSTISTKQYVDKVVFAIAFGVSFGVGVLYDQVIISRFFG >LPERR02G14450.4 pep chromosome:Lperr_V1.4:2:12739269:12741563:1 gene:LPERR02G14450 transcript:LPERR02G14450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISHPSCSNKTSSFHMHFFCLALVLLLLNFTSPVGSCIEHERLTLLQFLAGLSHDNGLATSWRNNTDCCNWEGIICGVDGAVTELLLASRGLEGHISSSLSELTRLSRLNLSYNSISGGLPSELISSSSIVVLDVSFNRLSGDLQEVNSSSPDRTLQQPVHWSISIHHMGEMSSLVAINASNNSFTGHIPSSFCISSPSFAVLDLCYNHFSGGIPPGIGKCSALRMLKAGHNNISGALPDDLFHATSLEHLSFPNNGLEGTIDGELVIKLSNLVFLDLGENRFSGKLPVSMGQLKKLEELRMDHNSLSGELPSTLANCTNLAAVVLKSNKFTGDLAKVNFSSLPNLKTLDLCTNYFTGTIPASIYSCTNLTWLRISFNKLHGHLPEKIENLKSLTFVSLSYNKFTNITGALHIFKNLRNLTTLLIGGNFMHEDMPEDATIHGFENLQVLGINDCALTGKIPSWISKLSKLELLLLYNNQLSGPIPTWINSLNFLKYVDLSNNSLTGELPTTLMEMPMLRADKIADHSNPRFFWMPVFVAPSLQYHTINAFPKRLNLGNNKFSGVIPKEIGQLKALLSLNLSFNNLQGEIPQSASNLNNLMVLDLSCNHLTGAIPPSLVKLHFLSKFNISYNDLEGPVPITGQFSTFPSSSFAGNPKLCSPMLLHHCNPPEADPVSTISTKQYVDKVVFAIAFGVSFGVGVLYDQQSTADFVTTLSNSNSVVTWVKLI >LPERR02G14460.1 pep chromosome:Lperr_V1.4:2:12743964:12749532:-1 gene:LPERR02G14460 transcript:LPERR02G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLRLKGFGHHQHHRERKARQPPPAKLDELAEAAQDVEEMRSSYDGFISAGAATTNGVYEFAEALEELGSCLLAKTALNDDDDDSGRVLMMLGKAQYELQKSADRYRTDIIHTITTPSESLLKELQTLEEMKQQCDMKREAYETMRSSYGDKGGSKYSKIEAFSTEQLEASFVEYQEDSALFTFRLKSLKQGQFHSLLTQATRHHAAQLSFFRKGVKCLEALEPRVKAIAEKHHIDYHLSGLEDDGSDNDGYSTYDSCSDDGELSFDYEINDRDHDFLTSRGSMDLDKKDLTTSPKPVIENKQEPAKQPGEEIVFPQLKPNFAHSAPLFPGNLSDQTDRLRQMRPSSTRLAYRLPTPVGDDNPKPSGSHRPHHSAQFFETKPRAPTNLWHSSPLTKDHTAATKPPSSGTDDLKKFKRESWSGPIPIKAGSGKPFSQADHRSSSTMAYPGAMPARPHIRYASSSAVSPKISPKMSPLPTNSLKISELHLLPLPPANVDPVRPSGLVGYSGPLVSKRQAPPAPPARISPTASRTASPLPRPPAALARSYSIPSNSQRTPIITVNKLLESRNSREGSDASSPPLTPLSLSDLSHQPAAKGTAGSTRRKETL >LPERR02G14470.1 pep chromosome:Lperr_V1.4:2:12769607:12772307:-1 gene:LPERR02G14470 transcript:LPERR02G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKAAGDDPAIGIPYHPAVAAAGAQGQYYYAPDPYAAGAPKGVPLQQTMFRDTPAPFHCQSCGAAAVSSVRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKDHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAVPA >LPERR02G14480.1 pep chromosome:Lperr_V1.4:2:12798749:12801144:-1 gene:LPERR02G14480 transcript:LPERR02G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIEMIASSLRNCSLNGGGGRRRGRRHASSAAGAAEGSDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTTVDPRSSSPPPSRSASSSSRRCSRGTRRAASSTTTSSGYTSVSSVGAAAAWRSHDASAYAGGYGAYGYNGGYGYGYGYGYDGGDGDDEESSSSSTSSSSRSSFSRGSAVSSTLSSFSPTDESASGGAGSGYGGDGNGSGHVLVAAGCRACFMYFMVPKSADVCPKCGSSGLLHLSRNGYV >LPERR02G14490.1 pep chromosome:Lperr_V1.4:2:12810025:12815227:-1 gene:LPERR02G14490 transcript:LPERR02G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSESTRGSRQHAPRPSNPSPGPRHGNAGPTRRGRRSPPVPSPPSAAAASPRGGGGSASSNSMVPAIREYVDTSQTVVGFRISEENDDSHTQEVGNFSECHSSEQGSSGFSPNNGSLGHTAPQEPELMESLKIDQSSTISSGNGSQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPPRRQRKIRPYNKDLFLQANFKFVVLDTGIYQIESMDPDKMLPWEDIVCVRYHSPCEVQCPICLESPMCPQITSCGHIYCFPCILRYLLMGKEDNRGESWKKCPLCFMMISTKELYTIYINQVQHFHVGDNVTFTLLNRSKNSLTPSIKNLTDECNSIDEDPCNAFSKFILTSDVELSVREAKSDLINWLHMADAGLVDDLEKLPYVSTALEQLEERLKYWSEYRNCSVSPPLKDSFSPVTSSKLGNPNNARSSQQNSEHKLSPLSDEDIIAGVYELGVSPESNKFFNKGIPSKVEDRGVVPIDSNEKDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYISHFSLTTAFQFCEIDLGDMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTNFSHSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETGDLTGKSESTGEQGPAATPALSFASIISSTRAAHNSLDTHKPNGVGKKGKKPTKVLLSTGGGRRY >LPERR02G14500.1 pep chromosome:Lperr_V1.4:2:12818035:12820836:-1 gene:LPERR02G14500 transcript:LPERR02G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDARRERRLPGFFDREVYDILDGRGRALVAASAAAASGGNAADEEEEEAAPVEEEEKKTRAEETVFDSGRPAAEESLFSDDEEDEEEETPAPAIAAAAAPAQAPARAVIALPISEKAEASRQHRTEQGGASKDKQPEQQSASRDPPPPPTTQQQQQGGQKRRRADDEEDGNGRGGGRSGSGELQSRLVEILDRNSRMVAAQLEAQNANSRLDREQRRDQAASLVVVLGRLADALGRIADKL >LPERR02G14510.1 pep chromosome:Lperr_V1.4:2:12825022:12825273:-1 gene:LPERR02G14510 transcript:LPERR02G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPLLLLVACVAASAACSTRPPSPLLLPLLLARRPPSPLLLPLLLARGPPSPLLLARRPAVGAVCCAAAEDGIRWWREKK >LPERR02G14520.1 pep chromosome:Lperr_V1.4:2:12858298:12867582:1 gene:LPERR02G14520 transcript:LPERR02G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHLSQYSHPTLAASSFSEELRLPTERQVGFWKPESLPHHMGSKSVASSPIEKPQPIGTKASPGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQDPGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNDLVGQPIEKVDLNHADDEPFELTEEIEAQIIGNLLPDDDDLLSGVLDEVGYASNANNRDDADDDIFYSGGGMELEADENKKLQEFNGSANGLGLLNGALNGEHPYREQPSRTLFVRNINSNVEDSELKILFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNRALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGATRRLSQHMSSELCQEEFGVCKMGSPSTSSPPIASFGTNNLATITSTGHDNGSIQGMHSGLQTSVSQFRDTSFPGLSSTIPQSLSTPIGISSAATHSSQANLGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVSNGAPYNLNSMAQVVTGSNSRTAETMDNRHIHKVGSANLNGHSFDRAEGALGFSRNGSCSVRGHQLMWNNSNNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIENVLPMHHHHHVGSAPAINPSLWDRRNGYAGELTEGPNFHPGSVGSMGFPGSPQLHTLELNNMYSQSGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDPNANQSDNKKQYELDIDRILRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEENHQDISITSVNCDTSSNGVDNTTGPTKDSE >LPERR02G14530.1 pep chromosome:Lperr_V1.4:2:12867747:12868073:-1 gene:LPERR02G14530 transcript:LPERR02G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSEEAINGLIARFMDVPMCDNRDTAAGHLPSCNGSIDDAVGLYVAVVAATATAGISPSPALPSAPHPALRWGRSWLGENTDMIPSRLSRSSGGGAGGGTRGRTSR >LPERR02G14540.1 pep chromosome:Lperr_V1.4:2:12869931:12870098:-1 gene:LPERR02G14540 transcript:LPERR02G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANEEMDSLIARFMDVTMCDNRDAAASHLVSCNGSIEDAVGLYFAATAAELN >LPERR02G14550.1 pep chromosome:Lperr_V1.4:2:12876697:12877863:-1 gene:LPERR02G14550 transcript:LPERR02G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPSAQELYINSDCYLSNHVDYPRFAEVGLDSEVPTLYECIIGDDEIRSKLLKVLTINGCPLIHCLSQSNILTSANGCNNSNRSGYLKKE >LPERR02G14560.1 pep chromosome:Lperr_V1.4:2:12878004:12878750:-1 gene:LPERR02G14560 transcript:LPERR02G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKNKKIVYKMFINQLLNRDPDATIAYLTLLDRRLYLKNVWMDQGFFKQPEMGCPSFLVILMPPPTLFASPNIFDGDNNNNYSTYVKRWIIHALQKQARILKDRNKE >LPERR02G14570.1 pep chromosome:Lperr_V1.4:2:12885530:12891346:-1 gene:LPERR02G14570 transcript:LPERR02G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLRLLFPSARGPRHHHPGARPSSPASPHWCATHGRRRQPRAARCSSSTSPEPEPASLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVRHGCLVQIESVERLDVGAFVSIRGVCRVNIMNLSQMEPYLRGDVSPIMDMPCEGIELGLKISKLRESMCNLHSLQMKLKVPEDEPLQTNIKASLLWSEREIFEEYNEAFIPDLPERLSFAAYQTVSGMSDSELLTLQKYKLQAMDSTNTLERLDGGIEYVEHNIGMIAARLAIQNI >LPERR02G14580.1 pep chromosome:Lperr_V1.4:2:12893084:12893569:-1 gene:LPERR02G14580 transcript:LPERR02G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSSNSSAAAARTETETEMEQLTARFVDAVTMHGANQQDGAATPHAVEEACVVVAMDDLVARFGDVAVSDGPARGEAPCVVRVRLPDGQTFDRVFGATRPVTALFRYCGAAVAACGMAGRPFRLLRFAGAASYEIPPRGDASLQDLGLGHCIVYIVLSP >LPERR02G14590.1 pep chromosome:Lperr_V1.4:2:12895315:12897089:-1 gene:LPERR02G14590 transcript:LPERR02G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVKLMESRRGQQTGVPCLRIQESGAGSGNTGSWDRSGNCKPAGYMRADQLRASSD >LPERR02G14600.1 pep chromosome:Lperr_V1.4:2:12897125:12897806:-1 gene:LPERR02G14600 transcript:LPERR02G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEYSKARQALSVTADERSLVDAVSSTAAPPSGDGEGQAPHAASFFERFALGGIRVDRVQPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPLKVSTDMSVSFASLAGARPGDLLRITARALGHKGAYSGTHVLISNAATGEVVAEGRHSLFGKMKVVSSPTPTSKL >LPERR02G14610.1 pep chromosome:Lperr_V1.4:2:12901381:12907510:1 gene:LPERR02G14610 transcript:LPERR02G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPMVILYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVLQPLVFQVYDIDPQFHDVSEKMLKLEEQQFLGEAVCLLSEVITKPNRLLTLKLGVSEHKLANPSKFGELIVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSENPLIIECFNFSSNGKHDLVGKIVKSVAELEKMHHSQNGENLFVPASTNDSHSKEVLKTQVYVEKYLENNRNTFLDYISSGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAMLEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFDPDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDVHGSGISTVQSLLAEIPGQFMTYMRTREIQSVS >LPERR02G14620.1 pep chromosome:Lperr_V1.4:2:12913628:12915042:1 gene:LPERR02G14620 transcript:LPERR02G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHHPHDLPAAAARSSESHWLPPMGPSAAAAHAVEAQGAAAEMSRQYRGVRRRPWGKWAAEIRDPNKAARVWLGTFDTAEAAAAAYDAAALRFKGSKAKLNFPDRVRIA >LPERR02G14630.1 pep chromosome:Lperr_V1.4:2:12919220:12923978:1 gene:LPERR02G14630 transcript:LPERR02G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLTSAITWRPAAAAGARGGPLAGGGVDDSSRNHHQRPPRFAVDSPFFAASRGFSLETLVPRNQDVSLAELPPTVSAVKNPSAKIVYDEYNHERYAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >LPERR02G14640.1 pep chromosome:Lperr_V1.4:2:12924889:12930115:1 gene:LPERR02G14640 transcript:LPERR02G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRVGRGPVSAAALLVAACAFAVLFSSPVGAATAAVEVDGGAAQGNTERISGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTPAGLPLPFKSPRMMRSAIQFLSRKWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPTLDTILTSISIDDILRKQRLLANPSMKQAMFFPQPAQPRDAFHQILNGLARKLPHPESVYIKPGDNRLNWTAGPVADLKRWK >LPERR02G14650.1 pep chromosome:Lperr_V1.4:2:12929508:12930029:-1 gene:LPERR02G14650 transcript:LPERR02G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAAAVLLLLVIATSGLADVNAATARLMSPPSSWSSKPHQLLPTTASSVASTSAAEAEELNGMMECMIGCFTQVFGCAFGCLGKGPDMALCVVGCNQKSVVCMLRCAITPPKPKPTPPPPSPTPKPPKPSPPKPPKPSPPTPTPSPTPPPPPGPPYAAYAGRSTDKTTLG >LPERR02G14660.1 pep chromosome:Lperr_V1.4:2:12940401:12943777:1 gene:LPERR02G14660 transcript:LPERR02G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVIARTGRLRQRYDNEYRLVAGCVPYRVKKEEGNRCSLGEDDPEQVEVLMVSTPNRADMVFPKGGWEDDEDVYQAASREAMEEAGVKGIINRVTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVGSAQELTDQSSMYMMLQATSDSAVALC >LPERR02G14660.2 pep chromosome:Lperr_V1.4:2:12941022:12943777:1 gene:LPERR02G14660 transcript:LPERR02G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVIARTGRLRQRYDNEYRLVAGCVPYRVKKEEGNRCSLGEDDPEQVEVLMVSTPNRADMVFPKGGWEDDEDVYQAASREAMEEAGVKGIINRVTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVGSAQELTDQSSMYMMLQATSDSAVALC >LPERR02G14670.1 pep chromosome:Lperr_V1.4:2:12956286:12956993:1 gene:LPERR02G14670 transcript:LPERR02G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYFFSSAPEKKATKRRQKQQQESGGNETRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARAIRGAAARTNFAFPDLPPGSSLTPYLSPDLSADDLHSQSHYASATGNGDNFVPAALPAQDQYSHHHAAATAAAMAPYGGGDAQMGSMGGNASGGGGAAWCDASELDFGGGYDDVDASAAAHGVYFEEGYVHSPMFSPMPAADEVGDGFQLGGSSSSYYY >LPERR02G14680.1 pep chromosome:Lperr_V1.4:2:12959487:12961454:-1 gene:LPERR02G14680 transcript:LPERR02G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQNWLPASNALLEMMIYHLPSPFKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEANSQAATLVQDIRKRKGLKEQMTPLSDFEDKL >LPERR02G14690.1 pep chromosome:Lperr_V1.4:2:12969090:12973381:-1 gene:LPERR02G14690 transcript:LPERR02G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAATPPPVSLSHHHYIAKGQGAGRVLLLPQRRRHILPPSLFHSSSSDREAERANPPKMVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHF >LPERR02G14700.1 pep chromosome:Lperr_V1.4:2:12985113:12992004:1 gene:LPERR02G14700 transcript:LPERR02G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRKRAADDSSEPEAAAWEPATRGGGSVPRPSRKPKPETEYFPEKGNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEHDIWFNVGDQKALEEGGELYEKTVYLFGSTEPQLLDVNGESKIVLIPVVVAIDCPFPPSDKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRLSRIDSLKTKVYTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNILYPLESPIVCEFNWEMDDYEDFADEKVNEEKLREDEKEKFKEFLKEKVKERKRELKQVTVN >LPERR02G14710.1 pep chromosome:Lperr_V1.4:2:12996645:13001209:1 gene:LPERR02G14710 transcript:LPERR02G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVDGAAGPDRLRRHDSLYGDAEKVSNDKHHGTGGSWVRTLQLAFQSIGVVYGDVGTSPLYVYSSAFPGGIKHQDDLVGVLSLILYTLILIPMIKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNEQTEDANVSNYSIEAPNSQLRRAQWVKQKLESSKGAKIALFTITILGTSMVMGDGTLTPAISAQVVWISVAILFVLFSVQRFGTDKVGYTFAPVISVWFVLIAGIGVYNLAVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPQDVSDTFYKSIPGPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVGVTLAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGIAEFLYLSSILSKFAEGGYLPFCFSLVLMALMAAWHYVAVRRYWYELDRAVPAAETTALVSRRDVRRVPGIGLLYSELVQGVPPVFPRLVDKIPSVHAVFVFMSIKNLPIPRVAPAERFIFRRIGESAHRLFRCVARYGYTDQIEGTKEFAAFLVDRLKLFVHEEAAFFAGDGEEEVAVRREREAAAEEEKRFIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNHVYTFLRKNLRQGHKALSVPKDQLLKVGITYEI >LPERR02G14710.2 pep chromosome:Lperr_V1.4:2:12993931:13001209:1 gene:LPERR02G14710 transcript:LPERR02G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVDGAAGPDRLRRHDSLYGDAEKVSNDKHHGTGGSWVRTLQLAFQSIGVVYGDVGTSPLYVYSSAFPGGIKHQDDLVGVLSLILYTLILIPMIKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNEQTEDANVSNYSIEAPNSQLRRAQWVKQKLESSKGAKIALFTITILGTSMVMGDGTLTPAISAQVVWISVAILFVLFSVQRFGTDKVGYTFAPVISVWFVLIAGIGVYNLAVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPQDVSDTFYKSIPGPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVGVTLAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGIAEFLYLSSILSKFAEGGYLPFCFSLVLMALMAAWHYVAVRRYWYELDRAVPAAETTALVSRRDVRRVPGIGLLYSELVQGVPPVFPRLVDKIPSVHAVFVFMSIKNLPIPRVAPAERFIFRRIGESAHRLFRCVARYGYTDQIEGTKEFAAFLVDRLKLFVHEEAAFFAGDGEEEVAVRREREAAAEEEKRFIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNHVYTFLRKNLRQGHKALSVPKDQLLKVGITYEI >LPERR02G14710.3 pep chromosome:Lperr_V1.4:2:12997724:13001209:1 gene:LPERR02G14710 transcript:LPERR02G14710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVDGAAGPDRLRRHDSLYGDAEKVSNDKHHGTGGSWVRTLQLAFQSIGVVYGDVGTSPLYVYSSAFPGGIKHQDDLVGVLSLILYTLILIPMIKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNEQTEDANVSNYSIEAPNSQLRRAQWVKQKLESSKGAKIALFTITILGTSMVMGDGTLTPAISAQVVWISVAILFVLFSVQRFGTDKVGYTFAPVISVWFVLIAGIGVYNLAVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPQDVSDTFYKSIPGPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVGVTLAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGIAEFLYLSSILSKFAEGGYLPFCFSLVLMALMAAWHYVAVRRYWYELDRAVPAAETTALVSRRDVRRVPGIGLLYSELVQGVPPVFPRLVDKIPSVHAVFVFMSIKNLPIPRVAPAERFIFRRIGESAHRLFRCVARYGYTDQIEGTKEFAAFLVDRLKLFVHEEAAFFAGDGEEEVAVRREREAAAEEEKRFIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNHVYTFLRKNLRQGHKALSVPKDQLLKVGITYEI >LPERR02G14720.1 pep chromosome:Lperr_V1.4:2:13006378:13011488:-1 gene:LPERR02G14720 transcript:LPERR02G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSFPSLAPRSPGGGGDHPPPSRSRGGLFPPLSFLGMTMSPLLDPAAEVSSFGCCWRWNKTGTMAVKSRQETVSSVQWRDGYDKREIREKRQTLAVFFFQPCLELPAVHGTWGFEGFHGMFLQCRCCDQRKAGGLSVLVSDGECRAWFIQ >LPERR02G14720.2 pep chromosome:Lperr_V1.4:2:13006378:13007082:-1 gene:LPERR02G14720 transcript:LPERR02G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSRQETVSSVQWRDGYDKREIREKRQTLAVFFFQPCLELPAVHGTWGFEGFHGMFLQCRCCDQRKAGGLSVLVSDGECRAWFIQ >LPERR02G14730.1 pep chromosome:Lperr_V1.4:2:13007699:13010689:1 gene:LPERR02G14730 transcript:LPERR02G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLSNLQQQPKLETSAAGSSKGDIVMPAVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEVTCPHHDATRALGDLTGIKKHFSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHSLATMVGSLHGQQHDMFSHGVPSLSSSPTDMIANLSSNDHNSDSHLRSLSPYALITRNTALFSNHISPKESGFPLDGNVSSYPYISMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSPRDHMNISPGNQGDSLGNSTVNTVCMKTTEDENSYMSGHGNILINAPWSSGIMRPATVPLIGLMNHPFSMRSEKEIPNIFPENQTQHNRQENISGVGDAGLTQDFLGLGGNGNLEMSSETYNADVTALSYSDEQEKSQEHIYSYHQSSLDPAALEKPSWDS >LPERR02G14740.1 pep chromosome:Lperr_V1.4:2:13015700:13020704:1 gene:LPERR02G14740 transcript:LPERR02G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTSNNQGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETILSDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMSFVFIGISLLAPDDSKVDTKDGSSTTQEPATDANRPGKMQMEETEVDGTNSFTSSVKVKAKRILSKAKSACSMSLGLGEETISASSVLAMPMVSSRSGFRGIATDRTKYIPLRSTDWDNL >LPERR02G14740.2 pep chromosome:Lperr_V1.4:2:13015700:13020704:1 gene:LPERR02G14740 transcript:LPERR02G14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTSNNQGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETILSDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMSFVFIGISLLAPDDSKGL >LPERR02G14740.3 pep chromosome:Lperr_V1.4:2:13015700:13020704:1 gene:LPERR02G14740 transcript:LPERR02G14740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETILSDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMSFVFIGISLLAPDDSKVDTKDGSSTTQEPATDANRPGKMQMEETEVDGTNSFTSSVKVKAKRILSKAKSACSMSLGLGEETISASSVLAMPMVSSRSGFRGIATDRTKYIPLRSTDWDNL >LPERR02G14740.4 pep chromosome:Lperr_V1.4:2:13015700:13020704:1 gene:LPERR02G14740 transcript:LPERR02G14740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTSNNQGNEKFVPKSVMHFQTWRIVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETILSDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMSFVFIGISLLAPDDSKVDTKDGSSTTQEPATDANRPGKMQMEETEVDGTNSFTSSVKVKAKRILSKAKSACSMSLGLGEETISASSVLAMPMVSSRSGFRGIATDRTKYIPLRSTDWDNL >LPERR02G14750.1 pep chromosome:Lperr_V1.4:2:13022125:13023126:1 gene:LPERR02G14750 transcript:LPERR02G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWWVARRRRAEPAAIDITWVSCRGVRSSVPFHTPCLYASIYLHHPSPSSSSSHGGRRHHHRVKTATDRTGGSNPEWDAPLRLYLSSSSPASDGKGEEEEEEVLVRFELKSEVAVLGDVLTATAAVPVAELVADGRTRRVSYQLVGNDGKHPNGVISFSYAFHVGGGADDDRSTCTTSSSSSSCRDGGELFTPPTASREIALPAPTMYPVIDWSPTEQVIPLLLYPPPAKDRATTTAVKGSSCYAYGYPPPPPMTPPVEPFAVFPPPSPACGGVYPPTATTVREPVSSGGLYPRVDLDPVSCCYPPPTATLYGAGGCGYAAAPEWDGRCLHG >LPERR02G14760.1 pep chromosome:Lperr_V1.4:2:13027013:13030867:1 gene:LPERR02G14760 transcript:LPERR02G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYWRHGGGVVRDLIINNKSVVCTTPGPLVVASLTVSPDQRTTEKFMVTTTVLMTFLGAALFAVGILGRFSGRHRGHSAATRIFFRASFALFLPFMSFMFSQAKSKDVPYRAYLILLWMLLVELLRKKVYAMVAPAADTFSRGVGRYSLFDAVEDATRMVWVGYLIYSYVHGFAVKSFFVILWIFSVAKLCKRALCIHLVKGSFDLAKNASLVSGYMAQLVAARRQLDGDGGGVMRNCNYVVMGESQFKKKIKAKPHGYEIDGLEETLDGDQLVRVSTMWKLADSDPLFKYDERRRQKLEDICLGLALFKLLRRRMERCHMAEARTAEARAIVLDGLLALGGGDANAAAAANAERAFDVVEMELRFLEEYYQAIIPLALPNPSIFIANFAFSIVFIFLYCVAVLLVTGNGHIFAVMGSLFRGLVGVSIDMVVQFRCFRHQIATLVGLVCSSSDLIVTFLLTLTLFSVETYELAQYLLSDWFAASMLCRYAQKPDLRKQRAAQRAIRCGLWVRHRSRPVIKVHQVTMLKLHELHPRRLWILVSRVLSRRLVGLHPAVVTTEAKVAIIAALRAVLASDSAGAAGDLQFSRCVAALRRHGLRAPVWACDSSGGAATVILVWHLATALLETGGRPLPAKGKAAVTLSRYCAYLVSYEPGLLPDDQEWTEEAYGRVKDELGGFFRSCCTTVNRRGKLMQFDDAWHDDEETTTTKAKTASVMARGVKLGKQLEEMASHSTTDFEKVWTMLLEFWAELLVTVAPRPSSGPEGHALALAKGGEFITHIWAMMTHAGVRVSRRHDYQTLPVTHVV >LPERR02G14770.1 pep chromosome:Lperr_V1.4:2:13035334:13041951:1 gene:LPERR02G14770 transcript:LPERR02G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLNKAAVSLISAMARKVAKLSIKFATGREKLIGDSFNCTLYGLVECPSALASFEQITTSAQGKKVALFLDYDGTLSPIVDDPERAFMRPEIREAVKNIARLFPTSIVSGRSREKAFRSLVEATKAIDGARVENKLCLSIHYRNVDEKLWDLTEKTVHEVVQNFEDLRMSKGQMVHDIYSKDSWNKGNAVEYLLDRLGLNFEDVLPIFIGDDMTDENAFKVLRQRQTRFGILVSKDLEKIKVTAAMYTLKDSYETIIFILISIFCRLHVTCVLNIICYLGDGVPQFLG >LPERR02G14780.1 pep chromosome:Lperr_V1.4:2:13056359:13058715:-1 gene:LPERR02G14780 transcript:LPERR02G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTWNALSYLTFVLCSRLIVHTIHLLTAFLMESQIQTQLLLQRHVGNVRHPWLSRWVIWFDTGSKGFSQFICR >LPERR02G14800.1 pep chromosome:Lperr_V1.4:2:13070731:13071267:1 gene:LPERR02G14800 transcript:LPERR02G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPRRRRSTSTAAATRRRLQSLLALAGEYIKYLFMKRGRLLGKVARRSLALILLSPSSGFHGHHSISSSGGKRYLYPAVALAEHEFSCSNSPSPAFLPVKRLRSRLKLRAREASSSCFGCGLSSPPPAVTDQATAEQDYYYEEEVDGWAYGGGMDADVDYRAEEFINMFYEQLRAQL >LPERR02G14810.1 pep chromosome:Lperr_V1.4:2:13078745:13080358:1 gene:LPERR02G14810 transcript:LPERR02G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDAPAGSSLHGVTAREPAFAFSTEAAAEDDAVAAAAGNRKFQLPVDSEHKAKSIRILSLANPHMRTFHLSWLSFFTCVVSTFAAAPLIPIIRDNLNLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVIMVTAPAVFCMAIIDSAAGFIAIRFLIGFSLATFVSCQYWTSTMFNIRIIGTVNALASGWGDMGGGATQLLMPFVYEGILKCGATPFAAWRIAYFAPGLMHVVMGILVLTTGQDLPDGNLGSLQRNGDVAGRDSFARVLRHAVTNYRTWVLVFVYGYSMGVQLTTNNVIAEYYYDGFGLDIRVAGVIAACFGMANLVSRPLGGVLSDLGARYWGMRARLWNIWILQTAGGAFCLWLGSADALPASVTAMVLFSFCAQAACGATFGVIPFVSRRSLGVISGMTGAGGNVGAGVTQLVFFTLSNYSTGTGIRNMGIMTMVCTLPLVLVHFPQWGSMLFPANADADEERYYASEWSEEEKSMGRHSASLKFAENCRSERGRRNAVLADAATPPGNTPQHV >LPERR02G14820.1 pep chromosome:Lperr_V1.4:2:13089101:13090230:1 gene:LPERR02G14820 transcript:LPERR02G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSEEAMNDLIARFMDVTKCDDRDAAAVRLASCNGSIEDAVGLYFAVAAATAEDDQPVVHPPIPPPARPSSTRLSPPTGPSTAIISVPCSAPPCHRPLRRCPSRWRQRPDLVWAAQRCDRSTGLSLR >LPERR02G14830.1 pep chromosome:Lperr_V1.4:2:13091138:13091962:1 gene:LPERR02G14830 transcript:LPERR02G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASEEMDGLIARFMDVTICDNRDAAASHLVSCNGSIEDAVGLYFAATAAELDEEEASPAVRPPIPTRTERLIDHTYPNASSAPVVRTPLPPPIVRPRARAVRPLDGNYLSAVLGTTAPPTPAPVPVAVEATTGLGENTDTIPSPADEAKYPALAAAQVVEDQEEEDVALEEDEPLAEGEAACSVRVVFPDGRVAEKAFGAGRPVTELFRYCDSSVGGGRRRRRAFRLVPFAGAASDEIRRGEATTFEELGLHCWTLHLLFGLGPRRTGDHD >LPERR02G14840.1 pep chromosome:Lperr_V1.4:2:13099293:13099686:-1 gene:LPERR02G14840 transcript:LPERR02G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMSKPAKPRGVQGAHGVVMGAREDVEDQCARGRAVDEDGDLIAAVIKEDDAEEPMQSNHGGRAIMPVVPDPWMTRDESAQRGLGEKASKTVAVREWRRGRDAGAACSC >LPERR02G14850.1 pep chromosome:Lperr_V1.4:2:13103065:13103577:1 gene:LPERR02G14850 transcript:LPERR02G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDQQQVIAKLPTSGARNLLLFMFTKKAMLAAVAAKCRELWQLLLKAGSACGLPHCSSSAAADDGYYFGRSYEFSCSATPVAFFPAKGRRSYLPPCVGGKQAMEMMTMSPGRPVGGEECSTPERSPQCWREQEINGLAEDFINRFYAQLRLQERPRQQLECRASPSLSP >LPERR02G14860.1 pep chromosome:Lperr_V1.4:2:13105821:13112494:-1 gene:LPERR02G14860 transcript:LPERR02G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGASGWLRGKVKGVTSGDCLQIMGSAKEFPPPEKTLTLSYIMAPRLARRGGVDEPFAWESKEFLRKLCIGKEVTFRVDYKAPTGREFGTVYLGDKNVAYSIIAAGWAKVKEQGPKGGEQSPYLAELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRPPNTTVVAEAASTADGATNGGDSEEAPAPLTTAQRLAAAAVSTEIPPDRFGLEAKHFTETRVLSRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKSAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRSRLIGKQVTVEMEYSRRISTVDGQPTTNMADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINMAELLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKECPVMHITDLTTNSAKKARDFLPFLQRNRRHCAVVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNVASVLLEAGLAKLSSFGLDRIPDANILQRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSFDNSWNRAMIVNGPRGAVESPNDEFEVFYIDYGNQEVVPYSRIRPADPSIASPPALAQLCSLAFIKVPNLEDDFGHEAVLYLDRLINSPKQYRAMIEERDTSGGKSKGQGTGTILSVTLVDAETETSINANMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRAGGRR >LPERR02G14870.1 pep chromosome:Lperr_V1.4:2:13117180:13118070:1 gene:LPERR02G14870 transcript:LPERR02G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGHRASTDKLGPLVDGTGLFYKPLQAGDRGEHELAFYTAFAAHPAVPPRVRDAFFPRFHGMRLLPTPARSAGGEPYPHIVLDDLLAGIPSPCVADVKIGACTWPPRSPDAYVAKCVAKDRETTSALLGFRVSGVRVVDAAGADVWRPDRSELKGIDAAGVRRVLRRYVSTGGGDGIDCALAAKVYGGEGGVLAQLRELKAWFEEQTLFHFYSASILFGYDAIAAAAGGGGGGGAGVRVKLVDFAHVDEGEGVIDHNFLGGLCSLIKFIDGIVVEASEKSASGAS >LPERR02G14880.1 pep chromosome:Lperr_V1.4:2:13140195:13141465:1 gene:LPERR02G14880 transcript:LPERR02G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQAQQYSFPHDPRAIRPALENAAASTSAFLDEPAAALLLQQQLLAAVSCGGDPRSELTGSQRRRHHINLDDCGGFVPRKRARVGGDGGEEGVAAAACLLSSVMDGDGHRALLPPPPVPQVFGIGDVQQTKNSSSRAVGSGAASTSGRAVVSHDGLLLSYLYRQSVEVDALVRFENERLRAGLEEARRRHLRAVVSAVERAAARRLHAAEAELERALGRNAELDEKLRQMGAESQAWLGIARSHEAAAAGLRATLDQLLQQQSPCADAAAAEGEGEGDAEDAQSCCFVAQAQPCDGKRRACCRACGEADACVLLLPCRHLCLCGGCEAAADACPVCAATKNASLHVLLP >LPERR02G14890.1 pep chromosome:Lperr_V1.4:2:13152899:13154128:-1 gene:LPERR02G14890 transcript:LPERR02G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGEAWADQEQGNGGGRGGEAKRSEIYTYEAGWHIYGMNWSVRRDKKYRLAIASLLEQYPNHVEVVQLDESSGDIAPVLTFEHPYPPTKTMFVPDPHSVRPDLLATSADHLRIWRIVSPDDDDAAAANNSNSNSGSVRCNGTAKPSVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGGSNSAGADGAAVSPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGSGNGNAAAAAATEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSNKLQILRV >LPERR02G14900.1 pep chromosome:Lperr_V1.4:2:13158343:13158639:-1 gene:LPERR02G14900 transcript:LPERR02G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRREQRRRRIRRPAVALLQVVQMSAAAEKKAVAAAGRLPPVSPVELQESLWDQPDGGAMSPSAAVGSPGAPGSGKASSFADHLLGYL >LPERR02G14910.1 pep chromosome:Lperr_V1.4:2:13161038:13167055:1 gene:LPERR02G14910 transcript:LPERR02G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGELARLRAEREELDRRIRLLESQLEATPRSSASPAGEAIGGGGGGIGAAACPIRRRGNGFSAADGLPADMIYRYSRHLLLPDFGVEGQRKLSGSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGLSKVKSAADACREINSSIKVVEHHHTLKPCNALEIVRNYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSSVCTVCGENSAFTQDDFQEFDYENFTQSPMSDKSAPSLDLLPESDRITCKEYKRLVDNGEPHLLLDVRPAHHFQITSVSQSLNIPLSELEEKLQILETSLKETMNTSTLDKVPPLFVVCRRGNDSQLAVQLLREKGFLSAKDIIGGLQSWARDVDHDFPVY >LPERR02G14920.1 pep chromosome:Lperr_V1.4:2:13169015:13169353:-1 gene:LPERR02G14920 transcript:LPERR02G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTTGDEGRFHGHHSSTTTPTGAAASSPRTMRRSFSSASSGSHGGGNAPKCVCAPATHAGSFKCRLHRTNSQGHGHPHPSPPASPAAGASAAPQPATAASRTVEAQ >LPERR02G14930.1 pep chromosome:Lperr_V1.4:2:13170322:13170888:1 gene:LPERR02G14930 transcript:LPERR02G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIITVSISVFFFLLFFCTYISTIAASPKPAKRAPWRRPAPEVGRPGEEESAGWTPRWCRTGRRSARECSSAPAVCLTSFDDGDNLHLLPHCSHAFHPECIDPWLESRVTCPLCRANLEKPPLLPQAAAPPSLSPPSHAMAIPVEERSWHSGGSGCTGSRSSSGQTPSTREGFGERYGQKRDR >LPERR02G14950.1 pep chromosome:Lperr_V1.4:2:13194818:13202236:1 gene:LPERR02G14950 transcript:LPERR02G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGDQPEGTSSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLIYPSADFSADALVPSPKQYRQMYERSINDPAGFWSEIAGAFYWKEKWNPSEVCSENLDVTKGPVEISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKVTYSELLDRVCQLANYLKSVGVGKGNAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAALAESEKQGVTVGLCLTYENQSAMKREDTKWQAGRDVWWQDIVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYCATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATCLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIQGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDISTLADPGVVDQLIALKDC >LPERR02G14960.1 pep chromosome:Lperr_V1.4:2:13201799:13210485:-1 gene:LPERR02G14960 transcript:LPERR02G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQEASKGIARRRGGGGACVRRHRRATTSTAAGAMGGYELVRSDDAPAAGPPDLELGGGGCDSTTKAPPPRSQGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGITIADFVMPFFLFIVGVSLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVHSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDRGLDLLRRYRCQLIVALLLSTMYTVILHGIYVPDWEYQISGPGSTEKSLSVKCEVRGDTGPACNAVGMLDRTILGIDHLYRRPECSINYPQNGPLPPDAPSWCQAPFDPEGLLSSAMAIVTCLIGLQFGHAIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKPTIPMEWMGKHALMIYVLVACNILPMFIHGFYWREPKNNLLKFIGVGA >LPERR02G14970.1 pep chromosome:Lperr_V1.4:2:13212498:13212919:-1 gene:LPERR02G14970 transcript:LPERR02G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFEGIRLSAEWVHEPAILESDCANVIQCLRAKADRSNLCHIIQEAKTWFSSSSSLQAGEGELIVLFRLAEMASVGQGL >LPERR02G14980.1 pep chromosome:Lperr_V1.4:2:13213433:13214200:1 gene:LPERR02G14980 transcript:LPERR02G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLDATRQDGGSKSAAGAAGAREEEEGTGEERERMRMAARWAEWRDGRLVASLPSRHRLNHCGEIRWPHASSPKIGNGRETGFPTLLQQNRRSSHRQQNRHKIAAAEGSGQPLLACRFLLVPQSPPPPCWTPDKTLALARAYNAPRLAVGRAHLTSADWAAVADAATPTKTACQCLRKVEKLRRHDQVSWKRIIQMNKP >LPERR02G14990.1 pep chromosome:Lperr_V1.4:2:13216553:13221677:1 gene:LPERR02G14990 transcript:LPERR02G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSSPALRAGDLAGRRFAAAGAGAAVAGRWGAVGVGRSVVLAHPLRPVPRSASAAAAAPRGRARRAVVRAVFERFTERAVKAVVLSQREAKELGEGAVAPRHLLLGLVAEDRSAGGFLSSGINIERAREACLGIGARDLAPGAASPSKSGSGLEMDVPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQGELAKDGREPVGASSFKVPKKSPAGAGRAAFSKSLNTKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLRERYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSVLLKSPDEYWQEIRAAQTMHEVVSSNQVKYSPGQESGSTTIEAPQDKTESISELQVDEPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDDELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIAMTSNVGSTSISKGRRSMGFLTEDTESSSYIAMKSLVMEELKGFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRVLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPCLSRLNEKIVQLSDPTRTF >LPERR02G15000.1 pep chromosome:Lperr_V1.4:2:13222733:13224414:-1 gene:LPERR02G15000 transcript:LPERR02G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGANAGISCVMRRIRGGEDIQGSMAAAFGSGVLFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDPYYYRARSMLQKLGLEKYEKNFKKGLLTDQTLPLLTDRQVVASIVIYTISEDGKHYMSTLIC >LPERR02G15010.1 pep chromosome:Lperr_V1.4:2:13225164:13225910:1 gene:LPERR02G15010 transcript:LPERR02G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPRLLPLHTVDLLRWDLGLPRDYRASILRRYPEHFALEQPEGDERVWLRLLSWDDDLAVSELEKSAGIGADTNCLPFPVSFTKGFGLRSKCVNWLREWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSQLIEKYAHPLVAIREECATLMRAALPPRRRRNRQSDSCSEQDEEYEGGEEFELIE >LPERR02G15020.1 pep chromosome:Lperr_V1.4:2:13236079:13237386:-1 gene:LPERR02G15020 transcript:LPERR02G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVTDAAGEVEDQDGGAQAAAAAAAAGMDGIQYCSEHPYRPGAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAADPPPPAPVHPSRSKLISFTRKKTSSSSSSSSSSASVALKRSKSVAPRPEEHHHHNHYASSSSSSVTAESPRKKSFWSFLYLSSSSPYTHQPAAAAVATSTSYANGGGGGGARRKSVSVASAAWATRGGAGAAAAHEQQQQTGRRQQLEAIGEPESPSQVSSSSSSFGRKVARSRSVGCGSRSFSGDFLERISNGFGDCTLRRVESQREPKPTKMRALGHLGASGADDEDDDDDVYQHGHHRIKCAGFFGGMGPTPSYWLSTAEGAAGGGGRKSGGRSHRSWAWAALASPMRALRPTSSSTSTKTITAVHSGHGSTPAAALSISSPVPASSMAAAATD >LPERR02G15030.1 pep chromosome:Lperr_V1.4:2:13245859:13254525:1 gene:LPERR02G15030 transcript:LPERR02G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKSPTESTPPTKTPAQSKSAVAAEKPRPSVAPKPELTNCGGGEHWWLVGSVEMAGLSTCKGRRLAAGDAVTFSFPNSPVAAAAGGKSRPGRASLVSSSSEIMRFSTPSHGEVGRIPNEWARCLLPLLKEGKVKVDGECKSAPEVLSIMDTVLLSVSIYVNSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLTPFKKAAFTPEDLYSRKRPLETKSSAPTTKLTTEKLKLSSCENEDDHGEGIVSDSDLDDIIGISDSSALEERDPPDALKCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLKDRRELVLYLNVFSGDATIEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITKNSTQLCEEASGLGELPIQTHDDVKKLASPFSFSKLRKPKVPLIAGGNLIVCPMTLLGQWKAEIEAHTAPGSVSIYVHYGQNRPKEASLIGESDIVLTTYGVLSSEFSNEGSTENGGLYCIHWFRVVLDEAHMIKSPKSLTSLAAAALIADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWHKLVQKPYEEGDERGLKLVQSILKPIIPILILPPANIEVKYCDLSEAEQDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSVPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRICRECLLSSWRSAMAGLCPVCRKSMSKQELITAPTDNRFQIDVEKNWVESSKISFLLQELEVIRNSGAKSIIFSQWTAFLDLLQIPLARHNFSFARLDGTLNLQQREKVIKDFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKNVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEWRGRKRELTEEAIQFYQYNMGLINGARNLQCKSGASST >LPERR02G15030.2 pep chromosome:Lperr_V1.4:2:13245859:13255466:1 gene:LPERR02G15030 transcript:LPERR02G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKSPTESTPPTKTPAQSKSAVAAEKPRPSVAPKPELTNCGGGEHWWLVGSVEMAGLSTCKGRRLAAGDAVTFSFPNSPVAAAAGGKSRPGRASLVSSSSEIMRFSTPSHGEVGRIPNEWARCLLPLLKEGKVKVDGECKSAPEVLSIMDTVLLSVSIYVNSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLTPFKKAAFTPEDLYSRKRPLETKSSAPTTKLTTEKLKLSSCENEDDHGEGIVSDSDLDDIIGISDSSALEERDPPDALKCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLKDRRELVLYLNVFSGDATIEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITKNSTQLCEEASGLGELPIQTHDDVKKLASPFSFSKLRKPKVPLIAGGNLIVCPMTLLGQWKAEIEAHTAPGSVSIYVHYGQNRPKEASLIGESDIVLTTYGVLSSEFSNEGSTENGGLYCIHWFRVVLDEAHMIKSPKSLTSLAAAALIADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWHKLVQKPYEEGDERGLKLVQSILKPIIPILILPPANIEVKYCDLSEAEQDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSVPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRICRECLLSSWRSAMAGLCPVCRKSMSKQELITAPTDNRFQIDVEKNWVESSKISFLLQELEVIRNSGAKSIIFSQWTAFLDLLQIPLARHNFSFARLDGTLNLQQREKVIKDFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKNVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEWRGRKRELTEEAIQFYQYNMGLINGARNAEGGWIGGRQQRPAPLTDVELNGCGDQVGHAPLGARHHVQRPHALTHAHREQHPARRSPPLGLSAVAAGVSRPEAVLLLPGPDPREQVGLRPRPLPQQRVSLLLLLLLFLRAAPVERRRPLPLP >LPERR02G15030.3 pep chromosome:Lperr_V1.4:2:13245859:13256300:1 gene:LPERR02G15030 transcript:LPERR02G15030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKSPTESTPPTKTPAQSKSAVAAEKPRPSVAPKPELTNCGGGEHWWLVGSVEMAGLSTCKGRRLAAGDAVTFSFPNSPVAAAAGGKSRPGRASLVSSSSEIMRFSTPSHGEVGRIPNEWARCLLPLLKEGKVKVDGECKSAPEVLSIMDTVLLSVSIYVNSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLTPFKKAAFTPEDLYSRKRPLETKSSAPTTKLTTEKLKLSSCENEDDHGEGIVSDSDLDDIIGISDSSALEERDPPDALKCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLKDRRELVLYLNVFSGDATIEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITKNSTQLCEEASGLGELPIQTHDDVKKLASPFSFSKLRKPKVPLIAGGNLIVCPMTLLGQWKAEIEAHTAPGSVSIYVHYGQNRPKEASLIGESDIVLTTYGVLSSEFSNEGSTENGGLYCIHWFRVVLDEAHMIKSPKSLTSLAAAALIADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWHKLVQKPYEEGDERGLKLVQSILKPIIPILILPPANIEVKYCDLSEAEQDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSVPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRICRECLLSSWRSAMAGLCPVCRKSMSKQELITAPTDNRFQIDVEKNWVESSKISFLLQELEVIRNSGAKSIIFSQWTAFLDLLQIPLARHNFSFARLDGTLNLQQREKVIKDFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKNVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >LPERR02G15040.1 pep chromosome:Lperr_V1.4:2:13254746:13255511:-1 gene:LPERR02G15040 transcript:LPERR02G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVAPVDHKDGSSSRQRQRSASFHGRGAEEQQQQQQQRHALLRQRPRTQPDLLAGIRAGKQQHGFRPRDACSDGAETERRRAPSRVLLTVGVRQSMWPLHVMARAEWRVADLVAAAVELYVREGRRPLLPSSDPAAFGLHFSQFSLQSLGPDEKLMELGSRSFFLCPKAAANAAVAAAATAAVAAGASPAGLSGEDDEAKSAKKPNVLAPWLGFIHFWPLL >LPERR02G15050.1 pep chromosome:Lperr_V1.4:2:13260904:13264210:-1 gene:LPERR02G15050 transcript:LPERR02G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAIDPPPTMDAAAAAVLLLEPKLEDDEQLSPPSPFGCPSDEHLMMPAAAAPRPLEALLQGPQLPPFLSKTYDLVSEPQLDGVISWGAAGNSFVVWDPSTFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSNLQPGSSGEPSLDPELNTLRREKSALLQEVSRLKQEHLQTIEHMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKLHRQQKEIDSTRVKRKFLKHVPHGNIDSGESSSQLTGESSLDFPSSSPTPLDLQGTHGDISDLQNFLLEDEDFNLAILPDNIGLDGVEEPDDIGALVQGFDTQEEFELGSGVELLEMPRSSGPRDQDPTIGRSKGKNVLSPGLDATSSEADFLGSFSDNMGVLSGTMLQTAGKLMDADDDERIWGMDASSALQSSCRGTSQQAYGSLVNDPYLMEMGNRPEKFWDLDFQVLDEGDLQLDKCVIDDPALQQQKGTMDP >LPERR02G15060.1 pep chromosome:Lperr_V1.4:2:13267299:13269441:1 gene:LPERR02G15060 transcript:LPERR02G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEFLNRARREEARRRLIRLPSSTSRDRRRRVFILSRARLEATGLLPPSSTQEIVSALSPSDLTERSNTGRREVLYELENKFPIAFNGLIVAN >LPERR02G15060.2 pep chromosome:Lperr_V1.4:2:13267299:13269441:1 gene:LPERR02G15060 transcript:LPERR02G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEFLNRARREEARRRLIRLPSSTSRDRRRRVFILSRARLEATGLLPPSSTQEIVSALSPSDLTERSNTGRREVLYENKFPIAFNGLIVAN >LPERR02G15070.1 pep chromosome:Lperr_V1.4:2:13272704:13278391:-1 gene:LPERR02G15070 transcript:LPERR02G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPVRPAPAAAYSLLATSPPTSNGGCSPHDDASPSFESRRVGVEDWLRLQRHSSGSSGGDDGDGSSSVSISTLAAAADKGGDPTDRPPGSSSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDFAATAAAAADDHRRHHQAASPQSLSHRFWVNGSLSYSDKVPDGFYLIQGMDPFVWTLCNDVQDGARVPSIESLKAMNPTESSIEVVLIDRVTDYDLKQLISTTIDISRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGHDREYLIDLIGSPGFLSEPDSLLNGLSSISVSSPLCPPKYNSFGIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTTVDLDEAMGSNIGPNSSHATNCNFQATFSHHGHGAQSSGQDGNIFMQNSFPEDAQNDPFSEISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRILHKHGASENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSRTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSALNPAQRTLATTLFCQYHGIPETSNKNSPSISRGHQLTVHDYLQIPRRYGLLIAYKVD >LPERR02G15080.1 pep chromosome:Lperr_V1.4:2:13279974:13283085:-1 gene:LPERR02G15080 transcript:LPERR02G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQSINKLSCHYMYSWYFTREELEKLSPSRKDGITESMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQVIRVAYGTMYRKDPATARRIHQKDVFEKQKALILTGERLVLATVRFDFNIQHPYKPLLDAMEKLGITQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHNVKLPVHGAHVWWHQFDVAPKPLEAVLQQMREMVHMKGKLFALPSPVKQKEAPLEGMLLISSSPDSVLTRSSLSVSSSSPEINDRLHVDSSQDIVSMHIEDGGKLHPERCLSNLIADRNNPSKAHNKENLGQASKIKQGDAVISCDQQVSISPQGGDRANLCSEGGWHTDVDSKSTQSVEPPTTNCNHCSDSLNVDSSCSDRTVAASTVGTMEKASSVLPVQMKVDHLRVQRKKVDVARIKDLLMRRKRQRERQEQPIPSVDLSEEAWIERELESGIVIQKADHVVASDDLSDEDWIERELESGIVIGQTNEKPLALDGLSEDDWIERELESGIIVESGPAGKKLKSSFC >LPERR02G15080.2 pep chromosome:Lperr_V1.4:2:13279974:13283085:-1 gene:LPERR02G15080 transcript:LPERR02G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQSINKLSCHYMYSWYFTREELEKLSPSRKDGITESMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQVIRVAYGTMYRKDPATARRIHQKDVFEKQKALILTGERLVLATVRFDFNIQHPYKPLLDAMEKLGITQKEVKQVAWNFVNDWYVMYQITLLKTTLCLQYKPQYIAAGSLYLAAKLHNVKLPVHGAHVWWHQFDVAPKPLEAVLQQMREMVHMKGKLFALPSPVKQKEAPLEGMLLISSSPDSVLTRSSLSVSSSSPEINDRLHVDSSQDIVSMHIEDGGKLHPERCLSNLIADRNNPSKAHNKENLGQASKIKQGDAVISCDQQVSISPQGGDRANLCSEGGWHTDVDSKSTQSVEPPTTNCNHCSDSLNVDSSCSDRTVAASTVGTMEKASSVLPVQMKVDHLRVQRKKVDVARIKDLLMRRKRQRERQEQPIPSVDLSEEAWIERELESGIVIQKADHVVASDDLSDEDWIERELESGIVIGQTNEKPLALDGLSEDDWIERELESGIIVESGPAGKKLKSSFC >LPERR02G15080.3 pep chromosome:Lperr_V1.4:2:13279974:13283085:-1 gene:LPERR02G15080 transcript:LPERR02G15080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQSINKLSCHYMYSWYFTREELEKLSPSRKDGITESMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQVIRVAYGTMYRKDPATARRIHQKDVFEKQKALILTGERLVLATVRFDFNIQHPYKPLLDAMEKLGITQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHNVKLPVHGAHVWWHQFDVAPKPLEAVLQQMREMVHMKGKLFALPSPVKQKEAPLEGMLLISSSPDSVLTRSSLSVSSSSPEINDRLHVDSSQDIVSMHIEDGGKLHPERCLSNLIADRNNPSKAHNKENLGQASKIKQGDAVISCDQQVPLDAIAEVGKCMVQNVSICTISSNTFNGKSLNQVSISPQGGDRANLCSEGGWHTDVDSKSTQSVEPPTTNCNHCSDSLNVDSSCSDRTVAASTVGTMEKASSVLPVQMKVDHLRVQRKKVDVARIKDLLMRRKRQRERQEQPIPSVDLSEEAWIERELESGIVIQKADHVVASDDLSDEDWIERELESGIVIGQTNEKPLALDGLSEDDWIERELESGIIVESGPAGKKLKSSFC >LPERR02G15080.4 pep chromosome:Lperr_V1.4:2:13279974:13283085:-1 gene:LPERR02G15080 transcript:LPERR02G15080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQSINKLSCHYMYSWYFTREELEKLSPSRKDGITESMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQVIRVAYGTMYRKDPATARRIHQKDVFEKQKALILTGERLVLATVRFDFNIQHPYKPLLDAMEKLGITQKEVKQVAWNFVNDWYVMYQITLLKTTLCLQYKPQYIAAGSLYLAAKLHNVKLPVHGAHVWWHQFDVAPKPLEAVLQQMREMVHMKGKLFALPSPVKQKEAPLEGMLLISSSPDSVLTRSSLSVSSSSPEINDRLHVDSSQDIVSMHIEDGGKLHPERCLSNLIADRNNPSKAHNKENLGQASKIKQGDAVISCDQQVPLDAIAEVGKCMVQNVSICTISSNTFNGKSLNQVSISPQGGDRANLCSEGGWHTDVDSKSTQSVEPPTTNCNHCSDSLNVDSSCSDRTVAASTVGTMEKASSVLPVQMKVDHLRVQRKKVDVARIKDLLMRRKRQRERQEQPIPSVDLSEEAWIERELESGIVIQKADHVVASDDLSDEDWIERELESGIVIGQTNEKPLALDGLSEDDWIERELESGIIVESGPAGKKLKSSFC >LPERR02G15080.5 pep chromosome:Lperr_V1.4:2:13279974:13283085:-1 gene:LPERR02G15080 transcript:LPERR02G15080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQSINKLSCHYMYSWYFTREELEKLSPSRKDGITESMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQVIRVAYGTMYRKDPATARRIHQKYKPQYIAAGSLYLAAKLHNVKLPVHGAHVWWHQFDVAPKPLEAVLQQMREMVHMKGKLFALPSPVKQKEAPLEGMLLISSSPDSVLTRSSLSVSSSSPEINDRLHVDSSQDIVSMHIEDGGKLHPERCLSNLIADRNNPSKAHNKENLGQASKIKQGDAVISCDQQVPLDAIAEVGKCMVQNVSICTISSNTFNGKSLNQVSISPQGGDRANLCSEGGWHTDVDSKSTQSVEPPTTNCNHCSDSLNVDSSCSDRTVAASTVGTMEKASSVLPVQMKVDHLRVQRKKVDVARIKDLLMRRKRQRERQEQPIPSVDLSEEAWIERELESGIVIQKADHVVASDDLSDEDWIERELESGIVIGQTNEKPLALDGLSEDDWIERELESGIIVESGPAGKKLKSSFC >LPERR02G15090.1 pep chromosome:Lperr_V1.4:2:13292537:13294072:1 gene:LPERR02G15090 transcript:LPERR02G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCFVTMCVATVVVALACSGGVAVDAAAAAAAAQELRRGFTAAHDRSSSQFEAVLSDPSGVFALGFLRVNSTMLDLAVLHLPSSFPLWRAIPDRPAQWGAPASLSFDGDLLLTDPASNKVTWSAAAAGDRVVLLNTSNLQIQTAASASSVVWQSFDTPSDTIVQGQNLTSAAALRTSDRRFAMRMGTNYFGLYIDPPLSSSSGVAAAMYWKHTALQAKAEIVAGGGATYARVEPDGYLAMYQKEGPPADVLSFDTFNHGVRSLRRMTLETDGNLRAYYYDNPQSRWVLDFTAITDPCSLPTTCGAYAVCVPPTGRCACLANATDGSGCAAAVAAAGGLCGRTGGEVGGLYWEVRRNRVEPANKELLPFENSPSAADCEARCARNCSCWGSVYSNGTGYCYFMDYPAQMMVEADERKVGYFKVRSLENPASGGGGGKAAGVKVALLVVGVTVVVVAAAFGAYRVWKRRCRTAAGDVRGQVGVDDERLSPGPYKNLGSFSSVELSSSFRR >LPERR02G15100.1 pep chromosome:Lperr_V1.4:2:13295183:13298143:-1 gene:LPERR02G15100 transcript:LPERR02G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAESTGRGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRPGHSAAAAAVAAAHADAFPAFTVERGIRGLEPAVVTSFPTAKFGDGSRPSPAALEESQCPVCLEEYEAKDVVRVLPACGHAFHVACIDAWLRQHATCPVCRASLRANANPKRRPPASMLPPPVYCPLAAPRPVLPTPDFRQQQQLLLFPTASSSSSSDVDTLRPGSVAAAAASRLEIVVSDEPAASSAAGQCAPPHRCRDALPEQGSASDHC >LPERR02G15110.1 pep chromosome:Lperr_V1.4:2:13299407:13312765:-1 gene:LPERR02G15110 transcript:LPERR02G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAVPGSAAGLRGAAVRFPVPVGFRGASRSLLSGRRFTGAVSVGGSGGRVAVRAAGASGEVMIPEGESDGMTPSAGSDDLQAPTLDDECTEAGAEVKTVLSGTTHDTGASDVEGVKRVAEESAAEQKPRVVPPTGDGQKIFQMDPMLNGYKYHLEYRYSLYRRLRSDIDQFEGGLEAFSRSYEKFGFNRKYDFFYYWYSSFFPYSAEGITYREWAPGAHMLLRTDFYLQLQSAALVGDFNNWNPNADRMSRNEFGVWEIFLPNNADGSSAIPHGSRVKVRMDTPSGVKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGYRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFAVPVQDGGVGFDYRLHMAVPDKWIELLKQSDECWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRAPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYHGMQEFDRAMQSLEEKYGFMTSDHQYISRKHEGDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHSADHFTAIELLINLLIRTTTAAACFKVETAASAWLVRSIDWVAATEKLGGMEGGREQQMQIVCVRSASNGGGGGEEAAPEWDDQASSRSALSLFKEKEEEIERKKLEVRDKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKSCLKKEKEYRACLEAYNEKSNEKATLVNRMMELVGESERLRMKKLEELNKTIESLY >LPERR02G15120.1 pep chromosome:Lperr_V1.4:2:13318990:13323669:1 gene:LPERR02G15120 transcript:LPERR02G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFAAHSPASNLPFIADVRGRRRKRSTNSLPSNSRALQGQVRFPVSRAVECQCQRIDDLARVTDGNNGAWVKDAIDKASQDLGGDVRVLPGKGVGGNGSLNGSATAKSPPQRWKASSVEDEAWELLRESMVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTPEDGSADLIRALNNRLVALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRLSVDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTFII >LPERR02G15120.2 pep chromosome:Lperr_V1.4:2:13319485:13323669:1 gene:LPERR02G15120 transcript:LPERR02G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFAAHSPASNLPFIADVRGRRRKRSTNSLPSNSRALQGQVRFPVSRAVECQCQRIDDLARVTDGNNGAWVKDAIDKASQDLGGDVRVLPGKGVGGNGSLNGSATAKSPPQRWKASSVEDEAWELLRESMVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTPEDGSADLIRALNNRLVALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRLSVDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTFII >LPERR02G15130.1 pep chromosome:Lperr_V1.4:2:13330055:13332665:1 gene:LPERR02G15130 transcript:LPERR02G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKPNPFDSDSDDDIAPKPAAKKSSVYSVPDGAAKRQYKDGFSESGGLEKQSVQELENYAAYKAEETTDALGGCLRIAENIKEDAANTLVTLNKQGQQISRTHEKAGESLLGSLGGFFSKPWKPKKTRQIKGPAYVSRDDSFKKKASRIEQRDKLGLSPRGKSANRSYDEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMASDMGSELDRQNKALDDLQDDVDELNSRVKGANQRARKLVGK >LPERR02G15140.1 pep chromosome:Lperr_V1.4:2:13334237:13335688:-1 gene:LPERR02G15140 transcript:LPERR02G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNNGKGGGGGGGGGGGLPMTAPRPRGASPLSSRTLSRSRKMSRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNAPSDADALAGAKAVEDIDRILREIRSDSDPNDSDPASDLAGGAAYNATALNATEAAAAYAAAVGRYALGPKISDWDGQRRRWLRQNPGFPATVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLERYEGRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDNVFIENSFYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDEKENLDVKAKISTTS >LPERR02G15150.1 pep chromosome:Lperr_V1.4:2:13337927:13339557:-1 gene:LPERR02G15150 transcript:LPERR02G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLGGKPNPSAEDLTTILESVGAEVNHDKMELLLSELAGKDITELIASGREKFASVPSGGGGVAVAAGAPAAGGGAAPQAEAKTEEKVEEKEESDDDMGFSLFD >LPERR02G15160.1 pep chromosome:Lperr_V1.4:2:13341710:13344789:-1 gene:LPERR02G15160 transcript:LPERR02G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTLILALALSLLFIVLSKLLLSFALKPKLNFPPGPWTLPLIGSIHHLGNHPNTHRALRNLSQKHGPLMQLWLGEVPAVVVSTPDVAQEILRNQDLNFADRHISTTVATVSFGASDIFFSPYSERWRHLRKLSMQELLTAARVRSFQVVREEEVARLVRGLAADAARGGGVAVDLGRRIGKLVNDVVMRCSVGSRCRYRDEFLDALEMAKNQLTLLSIADLFPSSRLARMVAVAPRRALSGRQRMERIIEDIIREHKEEMVVSAGDEVAAGKDCFVNVLLRLQKEGGTPIPITNDVIVVLLFDMFTGGSETSSTVMTWIMAELMRWPRVMAKAQAEVRQALKGKATITEDDIVGLNYLKMVIKETLRLHPPAPLGAPHRCRETCKVMGYDVLKGTCVFINVWAMGRDPKYWEDPKEFKPERFENSDIDYKGNNFEFLPFGSGRRSCPGINLGLANMELALASLLYHFDWKLPDGMSPKDLDMQETPGIVAAKLTSLNVFPLTHVAPLVGA >LPERR02G15170.1 pep chromosome:Lperr_V1.4:2:13354812:13357175:-1 gene:LPERR02G15170 transcript:LPERR02G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPSLPRSSSRLCVGVPHAVPHRSAEPVPDAAAQLSLMRAHARAGRMKPARKAFDAMPPQDRSLVAWTALMSGYATHGPATEALDLLLRMAEWPLRPDAFVFSVALRACAAVGGLGVGRQVHAAAAKMGYVGSDLFVANGLVTMYASCRSLGCAEKVFDGIAQPDSVSWTSMLSAYTENGCDTQALILFLEMVHSGVPCDAYTLSVALRAATNLAYVRLGCQLHCYMIKSGFVNSEFLENCLIEFYGRCRELQLMQKVFDEMNVKDLVSWNTIIQCYADNLCDDEALVHFRDLMYECAECDEYTLGSILHVITRRCDFDYGREIHGYLIRAGLDSDKYVMSALMDMYVNWATLRKSYSMLPLTMLRYYLSVHGKLDQFIVASSLKSCASDLDLAAGRMLHACVLKFDMNLDPFVTSSLVDMYAKCSSLEEAHRLFSRTKDPCTAAWSALISGSCLNGQFKRAMDLFRTMQMEHVQPNEFTYTSVLTACMALGDAVSGVEIHSNSIRNGYGASDSVLRSLVSFYIREGQFHQALNLCLLLSKSEIPWVTLFQEFAELGDHVGILNILHVVQRSGRVLDYPTARLILSSCGEKTHIHEGLQAHAYLMKCGLASSAGPCNYLIDMYSGCGSLKHAFEAFRNTSCKDSSSWTSIIIASVENGCPETAIRLFVQMLRKNKSPTSLTFLSVLRACAEIGLVNEAFQFFASMTDVYKIQPSEEHYSYMIEVLGRAGMFKEAEHFIDSVVPSESGASAWGLLCSAAKQNGNAKTMRFAIDRLSKFIPDGY >LPERR02G15180.1 pep chromosome:Lperr_V1.4:2:13358429:13359363:-1 gene:LPERR02G15180 transcript:LPERR02G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAESTSETAPAPAPAPAATEAAPPTTTAAEAPPAAAAAPEAPLAKADA >LPERR02G15190.1 pep chromosome:Lperr_V1.4:2:13364129:13364575:1 gene:LPERR02G15190 transcript:LPERR02G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGHRLCANNCGFFGSPAMLDLCSKCYRDRQGPDAAPAVGCPPSVPSSSPSNPPLFLPSSSSSATEAGVVVVAVEAKAGRCTSCRKRVGLTGFACRCGGTFCGAHRYPERHACGFDFKAAGRDAIARANPLIKGDKLKDKI >LPERR02G15200.1 pep chromosome:Lperr_V1.4:2:13386363:13386632:1 gene:LPERR02G15200 transcript:LPERR02G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANTKLYLQNCYMLKENERLRKAAVLLNQENQALLSELKHRLARSSSPSPGAAPGDGNNAAVTAAAAPDRYTGSPVQVQDKAAPKAN >LPERR02G15210.1 pep chromosome:Lperr_V1.4:2:13391325:13395515:-1 gene:LPERR02G15210 transcript:LPERR02G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKILQANEQDASGSDDAVVPRCEDVLFYGPLDKCPVCGGQLECKGSKYKCNGSHSEWATCNFSTKNPSRKSGPIKVPEDVKNDFVRKFLKQHEGKNYKRDLSDEGHIFSGMMIALSGRMLRPHAYFKEQIMKHGGKVNNSVIGATCVVASPAERHQGGSGGFAEALERGTPVVSENWIVDSIQKKQRQPLDAYDIASDVVPEGRGLPMSKLDPTEEAIETLAAEVKLAGKRSVYKDSKLEKDGGHIYEKDGIIYNCAFSLCDLGSEINKFCIMQLIMVPENHLHLYYKMGPIGHDQMAEERLEDFGSRVNDAIKEFVRLFEEVTGNEFEPWERDKKFAKKSKKMYPLDMDDGVDVRHGGLALRQLGVAAAHCKLEPSVTFIMKQLCSQEIYRYALTEMGHDLPDLPIGMLTDLHLKRGEEMLLQWKQDSESGPKSGAFADAFWMEISNKWFTLFPTTRPYTMRDYEQIADEVVSGMETVRDINVASRVIGDKFSSTLNDPLSQCYKELGCSIHRVTEESEDYKMILKYLEKTYEPVKVDDVVYSATVERIYSVESSAFPSYDEIKKLPNKVLLWCGTRSSNLLRHLHDGFLPALCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPMSEDVKRMEEKKMGVKGVGRKTPDPSEHFTWRDGVTVPCGKLVPSRNKDGPLEYSEYAVYDPKQVSIAFLVGVRYEEQNMELVPDE >LPERR02G15220.1 pep chromosome:Lperr_V1.4:2:13400392:13408862:1 gene:LPERR02G15220 transcript:LPERR02G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHHLCLLRPTTHTLPLALRPRAPHSRPPTVAPHHHRPAHLHLRPLHATAAAAGGGGSPGGADGGKSPSATSSPLGAALVGFARSNFLPLALITGMTLGLVDPTLGCLAHKYSLSKYSTFGIFLISGLTLRTKELGAALEAWPAGLFGLASILLFTPFLAQFIMQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTVISNLLGIVTVPLSLAKYIGAGAGVSLPTEQLFKSLVTTLLIPIIVGKVARETSKGIAGFVDGNKQGFAVTSAILLSLVPWMQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLVFNAVALHILSQLEQRESVFARNEYARAVILVASQKTLPVLVAVVEQLRGALGESGLLVIPCVAAHINQIIIDSIVVNWWRQRDQQFANAK >LPERR02G15230.1 pep chromosome:Lperr_V1.4:2:13415390:13416655:-1 gene:LPERR02G15230 transcript:LPERR02G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDNFNPTMKMTATYGTNKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREMVSYESPRPNIGIHRFIFVLFRQKRREAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >LPERR02G15240.1 pep chromosome:Lperr_V1.4:2:13449647:13453866:1 gene:LPERR02G15240 transcript:LPERR02G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSGEVVVTTLRELNPCVFLRPALPRPRPRAYIVTGAASCPCHTVSQSHPSEYSPTQQRQHPARDHATPRVVSMSDSGKKRPASANGTEKKAQPTTKPTSSGTSGIFPRIALVVLVALLYRQLQPPAPKICGTPGGPPVTGPRVQLKDGRHLAYHEFGVPKDQAKHKIIFVHGFDSCRYDAVQVSPELAKELGIYLLSFDRPGYGESDPHPGRTEDSIAFDIEQLADIMQLGPKFYLIGFSMGGEIMWSCLKNIPHRLAGVSILGPVGNFWWSGYPANVSTAAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKFFPASSVISFNPAILSPEDMAIIPKFAFRTYAAQVRQQGKHESLHRDMIVGFGKWKWSPLEMENPFPAGEAAVHLWHGAEDLIVPVELSRYIAQKLPWVRYHELPTAGHLFPIADGMADRIVTSMLLGDQ >LPERR02G15250.1 pep chromosome:Lperr_V1.4:2:13459120:13459806:-1 gene:LPERR02G15250 transcript:LPERR02G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRLLLLSLAVLLPAAAMGDSDAVQDFCVPDTGSHGRPVELGLLPSYPCRNPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVLAGFVDSGGRLFAKVLVEGEVMVFPRAMVHFQLNVGDAPATVYGTFNSENPGVVRIPATVFGSGIREAVLERSFGLTPAEIRRLEKRFGPPKKAEMED >LPERR02G15260.1 pep chromosome:Lperr_V1.4:2:13465911:13466402:1 gene:LPERR02G15260 transcript:LPERR02G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSESCAARPVDERPAVCSCGPSPAAATSSEKHHQPPQAEAEKKKSGRGAADEAAEGGDQQPSTLPVARRSAAGDQESARERLKRHRTEMAGRVWIPDMWGQERLLKDWVDCAVFDRPLAATRGLLTARDALVAECVAAPARRLPPYGSTGRPALRVHNGCS >LPERR02G15270.1 pep chromosome:Lperr_V1.4:2:13471851:13475382:1 gene:LPERR02G15270 transcript:LPERR02G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASARAARVVTVLPVILVLIMAGQAVQVAEALSIGVNYGQIANNLPSPARVSSLLRSLKISKVKLFDADPHVLRAFLGTGVEFVVGIGNEAVPSMTTQAAAEGWLRLHVAPHLREGARITCITVGNEVFKGNDTVLQASLLPAMRSVHAALVAMGLQGRVNVTTAHSLDIMGVSYPPSAGAFHASAVPHLQPFLSFLSETRAPFLVNCYPYFAYKDDPARVRLEYVLFQPNAGVVDPRTGLAYDNMLYAQVDAVYAAIQALGHTDIDVKVSETGWPSRGDPDEAGATPENAGMYIGNLLRRIEMKQGTPLRPQVPIDVYVFALFNENLKPGPASERNYGLFYPDGRPVYNVGLRGYLPPLASHGRALQPYRGIVTGR >LPERR02G15280.1 pep chromosome:Lperr_V1.4:2:13476031:13480693:-1 gene:LPERR02G15280 transcript:LPERR02G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSLHLRLGPLPPPHHRRRHINPPRHAPFLLLLPSPHHHHLRVAHHGSRSAPPPRPPWRRPDVRARAGTIQAPGLARPGGAVETDRLPSEVRDRAMEAVDHFGGRVTIGDVASRAGMKVEQAERALQALAADTGGFLEVSEEGEVLYVFPKDYRAKLAGKSFRMKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSGVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPLFRWFLLRKTNNDIERRNKAREQRAQELALAEPSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >LPERR02G15290.1 pep chromosome:Lperr_V1.4:2:13483807:13490340:1 gene:LPERR02G15290 transcript:LPERR02G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDLETVPFRVLKREAEYEIREVESYYVVETTMPGRTGFDFNGSSQSFNVLASYLFGKNMTSEQMEMTTPVFTRKGEPSGEKMDMTTPVITKKSANENKWKMSFVLPSKYGRDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDVSQRESKLRDALQKDSQFLAKDDTVVEIAQYNPPFTLPFTRRNEIALEYMGASVTVEFIILGFLKKNTCYQVKPAVSFAVVFLLSDGVGFGYSESTGPEHWGSLSPNFTMCSKGRYQSPINILKDGVVYNPKLGPLEMDYTAANTTIVDNVFNVALRYNDTAGTVKVDGKKYKLKQLHWHSPSEHIINGQRFAVELHMVHYSDDGNITVIAVLYQYGKPDSFLFQIKDKLAELYAEGCKAEKGDPLPVGLVDMRELKQGADRYFRYVGSLTAPPCTEIVIWNIFTEIREMTKKQAAALMAPLHGSYRNNCRPTQPLNGRTVQLYHV >LPERR02G15290.2 pep chromosome:Lperr_V1.4:2:13483975:13490340:1 gene:LPERR02G15290 transcript:LPERR02G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTGFDFNGSSQSFNVLASYLFGKNMTSEQMEMTTPVFTRKGEPSGEKMDMTTPVITKKSANENKWKMSFVLPSKYGRDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDVSQRESKLRDALQKDSQFLAKDDTVVEIAQYNPPFTLPFTRRNEIALEYMGASVTVEFIILGFLKKNTCYQVKPAVSFAVVFLLSDGVGFGYSESTGPEHWGSLSPNFTMCSKGRYQSPINILKDGVVYNPKLGPLEMDYTAANTTIVDNVFNVALRYNDTAGTVKVDGKKYKLKQLHWHSPSEHIINGQRFAVELHMVHYSDDGNITVIAVLYQYGKPDSFLFQIKDKLAELYAEGCKAEKGDPLPVGLVDMRELKQGADRYFRYVGSLTAPPCTEIVIWNIFTEIREMTKKQAAALMAPLHGSYRNNCRPTQPLNGRTVQLYHV >LPERR02G15300.1 pep chromosome:Lperr_V1.4:2:13492523:13493188:1 gene:LPERR02G15300 transcript:LPERR02G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTLDSILRGAGDDGGGEPEDACEGEFSGSGSDSDECHGDGGVDGEDYDPYSPAESLWLRIGEGIDWSEVGAVLEREDSTKGASNPKSHTCCGAAPAPRMSTCAGGVGTMPTSAKAVGVVVIAGLPAAAGKKISREHGGRRRCRRLGLARAGSRVFAGEDVEIAEPGSPKVSCLGGVRSRAQQQPYCPAGGDAGRWRRRWCAWLVGVACRRCWSAPPSV >LPERR02G15310.1 pep chromosome:Lperr_V1.4:2:13494409:13494951:-1 gene:LPERR02G15310 transcript:LPERR02G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTAVARVATVARRMEEAGGVGGRRVARYFSDGTGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKADAAKRAAAAKADKKGGEAHPS >LPERR02G15320.1 pep chromosome:Lperr_V1.4:2:13495400:13499590:1 gene:LPERR02G15320 transcript:LPERR02G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGRKVSVAAVQFACSDVESENVATAERLIREAHKKGANIVLIQELFEGHYFCQAQRLDFFQRAKPYKGNPTIIRLQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKYYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDENLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTIKFYGNSFIADPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >LPERR02G15330.1 pep chromosome:Lperr_V1.4:2:13499380:13499997:-1 gene:LPERR02G15330 transcript:LPERR02G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTCRGHPAAAAAVMPPPLLFELDLGGGAAGAQDQRRRHYLGLCTEGLGTESSESSGGDVDLGSACGDVGDDDDDDTDRYLPCKRQHRPNDDDEEETAVAAAPLPAWTRRAFPPPISVIGAGGKPWLYLRAQRGDGRLVLREVRIPSRELLHARREDGRFKLHFAHPDEQQQQQQEEEQLLLRDDQDPAEEMIQEQVREKNE >LPERR02G15340.1 pep chromosome:Lperr_V1.4:2:13502603:13504782:1 gene:LPERR02G15340 transcript:LPERR02G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLYGVNIAFHKNKSEPQLREWIKPSYNPVVSPELRMNLFQFHDPTTTCVPIPEPRLQEMGLSQTPDPLSIDRDVGVPRLFPSQRASVEWPQHIRYVPDNPNDDYKHLCYDNGIFYAPNTFFDHVKQRHILLNVAHDKAKGWASIYTIPRMTWLDLNGKQLLQWPIEELETPMGECVDVYVKVIKPGEHLEVTDLQTYQADMKVSFEVSELEKEESRSNDTRKLCGHKSAVVKRGGGMGPFGLWIWSSTGLDGKTTIFFIFFRDGYNNLIVLICANHIKCSNISIFLFSLSLLHINIVFPKNKSEPLLREWIKPSYNLVVSPELGMNPFQFHDPTTTYVPIPKPGLQDMSLSQTPDPLCIDKDVRVPRLFPSQRASNGLNTLEFNLKYVLKNSLTSTFYDYYTVGTYKEVTKQYVLDNPNDTFLDPVKQHRILLRWVNESDSVAHDKANGWASIYMAWIVSTSGNSKEYMA >LPERR02G15350.1 pep chromosome:Lperr_V1.4:2:13504835:13505148:1 gene:LPERR02G15350 transcript:LPERR02G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECVDVYVKVIKPGEHLEVTYLQTYQRSRGSRSNDTKKLCDHKGASMKRGGTWALSDFESCPPLA >LPERR02G15360.1 pep chromosome:Lperr_V1.4:2:13523813:13528699:1 gene:LPERR02G15360 transcript:LPERR02G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRNWIFGPWMLLFLLQLAGASHVVHRSLEAEQEPGSVPASIVSPLLRTGYHFQPPRNWINVITVSDNGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIKPDIPSDQYGCWSGSATILPDGTPAILYTGIDRPNINYQVQNIAFPKNKSDPLLREWVKPGYNPVASPEPGMNATQFRDPTTAWYADGHWRMLVGGLKGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPVQVSGQNGLDTSETSPKYILKNSLDLTRYDYYTVGTYNNVTEKYVPDNPSGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTHDKAKGWAGIHAIPRKIWLEPSGKQLLQWPIEEVETLRGKPVSISNKVVKAGQHLEVTGLGTYQADVEVSFEVSGLEKAEAFDPAFADDAEKLCGIKGADVKGGVGPFGLWVLASSGLEEKTSIFFRVFKNGHGKKPVVLMCADPTKSSLTPDLYKPTFAGFVDTDISTGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGDKAHLYVFNNGEADIKVSHLTAWEMKKPLMNGA >LPERR02G15360.2 pep chromosome:Lperr_V1.4:2:13523813:13528699:1 gene:LPERR02G15360 transcript:LPERR02G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRNWIFGPWMLLFLLQLAGASHVVHRSLEAEQEPGSVPASIVSPLLRTGYHFQPPRNWINGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIKPDIPSDQYGCWSGSATILPDGTPAILYTGIDRPNINYQVQNIAFPKNKSDPLLREWVKPGYNPVASPEPGMNATQFRDPTTAWYADGHWRMLVGGLKGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPVQVSGQNGLDTSETSPKYILKNSLDLTRYDYYTVGTYNNVTEKYVPDNPSGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTHDKAKGWAGIHAIPRKIWLEPSGKQLLQWPIEEVETLRGKPVSISNKVVKAGQHLEVTGLGTYQADVEVSFEVSGLEKAEAFDPAFADDAEKLCGIKGADVKGGVGPFGLWVLASSGLEEKTSIFFRVFKNGHGKKPVVLMCADPTKSSLTPDLYKPTFAGFVDTDISTGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGDKAHLYVFNNGEADIKVSHLTAWEMKKPLMNGA >LPERR02G15370.1 pep chromosome:Lperr_V1.4:2:13541644:13543167:-1 gene:LPERR02G15370 transcript:LPERR02G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIISAVVSDLLSRAISLVIDKYCRQQQGVEENLQQLHCFLLRIHTVVEEANGRSITNQAMLLQLKTMRDVMYRGYYFLDNFRHRIAPRTAQDEVGDHSLTLSPYSPLKRSRFSRITSKMVSEEQEKKELWKLLVRLECIISGMQEFVMLLTSYPRLIRQPYCNYLLLENCMFGRQAEQDRIISFLLESHPPSVETVHVLPIIGPIRVGKSTLVEHVCHDERVRKYFSTIVFYYCTNIIEGGDCMSPLPDININKQIKHQNPGSTKQSLSVIELADDTDEETCRRILHSLKGDVMPPVTKIIITSRSEKIATFGTTEALQLDFLQKEAHWYFFKTISFGGTNPEEEPKLASICMEIAVLLGGSFIGINMIGGILRSNVCVQFWYRLLERMKAITDRHFRLLGQHLQDAYAIKSGRAYMWSPKLNRYVAATYNLYEESSARLNDQPIVLASNIVTENNEPQEKLVVLQWQSSIPPYYSYLARYEMLGQPLHGLPKMKRSRQLSEGLL >LPERR02G15380.1 pep chromosome:Lperr_V1.4:2:13545490:13548086:-1 gene:LPERR02G15380 transcript:LPERR02G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >LPERR02G15390.1 pep chromosome:Lperr_V1.4:2:13550448:13552917:-1 gene:LPERR02G15390 transcript:LPERR02G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLVASPATRAASPSRLVAAVPPPPPWRSRGELHFSVVWGKMRGGASVSAGQRQVVRRCSSAGEPRPAGDGGLSSFCIIEGPETIQDFVQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTSESRGASIEESEMPEIPSSIPFLPNTSPKTMKQLYLTSFSFITGIIFFGGLIAPVLELKLGLGGTSYEDFIRTMHMPFQLSQVDPIVASFSGGAVGVISALMLVEIRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNTKSFSLSCDKGHNMWSTTERCTNCSGAGKVMCPTCLCTGVAMASEHDPRIDPFD >LPERR02G15400.1 pep chromosome:Lperr_V1.4:2:13554599:13556499:1 gene:LPERR02G15400 transcript:LPERR02G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAATVVVVFFLVVAAHARLGEGGGTLRGSVGCLDCAPGHDLSGVVVAVRCGGGAAPAGLRAAQTDERGGFEVAVPANVDDGVRRGHPRCAARVLGGAEQLCALGSRLAVFTRCGGAVATTTTTTMAAEAAAGAGEQKSPATPRSPRTPTPRQTPAGTTSPPRGATPRYDGPGLPLIYFFPFLPIIGIP >LPERR02G15410.1 pep chromosome:Lperr_V1.4:2:13555596:13560781:-1 gene:LPERR02G15410 transcript:LPERR02G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAEKTTSDAAPAAAAAAPVLCQRIGCNATFTDDNNPDGSCQYHPSGVSASSFETPIFHDGMKEWSCCKQRSHDFSLFLDIPGCKTGKHTTEKPVTKAISTNPQKAVPIQSSNQGVGANSCSRCRQGFFCSDHGSQPKAQKPAATNDINTVPVEKPVVPPAKKRIDLNEPRICKNKGCGKTYKEKDNHDTACEYHPGPAVFHDRMRGWKCCDIHVKEFDEFMEIPPCTKEYS >LPERR02G15420.1 pep chromosome:Lperr_V1.4:2:13566255:13571199:1 gene:LPERR02G15420 transcript:LPERR02G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVGGVMETPEIFTDGAAAATATVVIRRAVVIGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLHFLPISLHKLIDQVLRQFFRSTRFAPVVEGRKPYRVPNGGSVGLSSVLEADTRKIVAVARDTFDKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQKEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLARQLITSIYNVLDSCGSVRVSFSRRTPRKVSDIILKEFTGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCRWKFSAFHKTLRERGVVRLFTGLEDISNNWSYPPLNDAIEVATRVREVIAERGWSVG >LPERR02G15430.1 pep chromosome:Lperr_V1.4:2:13572389:13573315:-1 gene:LPERR02G15430 transcript:LPERR02G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTVEDLPGDVLACALRRLDGPSLAAASCATASLRALADDPDTWRALCLSRWPSLAHHHLLSPRRLFADAFPFPLLDADDDQQRPLPTRLVSAVDVYHRSEAIASRVVETSTSSSWFLTSPFRVDAVEPKSPAPAPAPVSAAELELSWIVVDPATGRAVNVSSRRPVATERHWYTGETLVRFAVVLAGCKLEATVSLSEEYYPGYGHGQGQKLTEVSLAVDDADGAAVSGEGCLRLLAAAMAGPRKGGGENQEIEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVLSFLATVVLR >LPERR02G15440.1 pep chromosome:Lperr_V1.4:2:13581376:13583778:1 gene:LPERR02G15440 transcript:LPERR02G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPSPAVRALLSFLTSQLEWKQQIVNELESSSHKQNHISSRGELVKEKIILSQEKMIRRLNGHIQNLQQQLTQCRDNNMTANSSRSLTSYISEIQRQQMMDD >LPERR02G15450.1 pep chromosome:Lperr_V1.4:2:13584389:13589126:1 gene:LPERR02G15450 transcript:LPERR02G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVAVVDISKPRIDAWNSDQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAMEDLFKPDRVLIGGRETPEGKKAVQALKAVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNALPEVADYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAHISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPIAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >LPERR02G15460.1 pep chromosome:Lperr_V1.4:2:13606428:13606769:1 gene:LPERR02G15460 transcript:LPERR02G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSSGGAGLDLKLNLSLPAARVAAAAAVEAEEESSPSSCVSSEREAVVQWSDSPEATPMVLAACPRCFIYVMLAEADPRCPKCRSPVILDFLHHAAAAAAADADGRRHRKG >LPERR02G15470.1 pep chromosome:Lperr_V1.4:2:13611785:13615077:-1 gene:LPERR02G15470 transcript:LPERR02G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIGQLSPDVRQGYLEHLFQRFGNCTVRLKDGYGFAEFDSNNDAARAMRALHGKFVCGKRITVNWSKQQRRFPKDFRSSRIADSSHSHRRAPRDGNFRSRDSIAQNNRPASHDQGNSPDGALEKKSSDGALEKKSSDGALEKKSSDAALEKKSSDAALEKKFEDDVEDLKDVRGTVGEDPMEMKRNEDGTNDANAIEHDRWLETGKGNHGRDDDFDRYEPYHGYVRQEERGRVVRASSHETYHNRHSSQKSKEYPAECFDMNHDKSKSPPAVYNHGAAGHIPRDCSQKTDGKFEEWRDALIRQEEKMPRLGKSESTLRRQPAAHDSPQKTDGKFEAWRDAFICQREMVVRPTRFGSASRRHPEESHVDMVVETHHTIQDVVQDDIKQFSDGTRSAQKLRNVSREDKRHIRCSEGISSQAPKESRKRSRSKRSRWSSLSAGSTTSCSRSRCSHSRSCSCSRAHSPSHSAYSSSKSSQPTQPKRLRSVAISNVNYPAPLPPSGSPQHNSPSVAENKNLDFLVNSPLAGNLDFKTATRLKHMCDYQQEAPVVPFKLKIQSNGESTVFGHDANVDGCTATNLNKNMVHDDNFANGVESWKTNSENASSVKSNKDILVKNGGKSLKLTTIEVVSALKHYGMEAHGTDLRNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKKYSIVDQYVRSSSGWWECH >LPERR02G15480.1 pep chromosome:Lperr_V1.4:2:13616216:13617360:-1 gene:LPERR02G15480 transcript:LPERR02G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTIDSGEAQQSDAIAGDKKNYLKQAFVSLTNIQELTINGAFLTYLSRGYLLTELPGVFDHLRKICIGGCSLDWTKVLGVCSIFQNAPTFSELEIRVACLVPSTFICHCFPSLDYSAFNFILRGYVVSDKLLQHLQNFSRPEDVLHQPIWDQDQMEIEEPTMHHLVTIKDFLGLEYEVALVGLLLRWCEDEDWHNDECMCKALTRLLALHRVSNKAKIIIISDSQ >LPERR02G15490.1 pep chromosome:Lperr_V1.4:2:13617394:13627263:-1 gene:LPERR02G15490 transcript:LPERR02G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLLGLDCSFGPEDWAELRMRVGRVRFDQIDRGRSGALRLPRIASWRLRLRRSALALPSPHISESDRERAQLIRVERFSNPSGQHKAKVESTPAMSSDRLSSLPSEIKGTILSKLNVLDAIRTSILSKAWRNVWTTSPGIILSDTYQHPIRTSISGFSEMTARFRFITLVDLAMLLHDGPLVLFSIQCARGYHDVFDRWMYMLSRKNPRSITIKFLTGTYYKIPSSIISLSDLEYLQIRRCMISLPQEFEGFKRLTVLNLKHFSSTDNGNFEDFHLHAPNLSDVYVTLDKTKVEGNRKYDPKHDLFSLTRIEALVIKRCILALPQGFEGFKRLSVLNLKCIFSTDNDINNLISSCPWLNKLRLKYFEGITCLRIHSQRLELLVVEGKFEEFHLHAPNLSHMYLGLDLGEAQQSDAVVGDKKNHLKQAFVSLTNLEELNINGSFLTYLSKGCLLTELPGVFDRLWKICIGECFWDWTEVLGVCSIFQNAPTFRELEIWSFSRPEEFWHKPIWDQDQTEIEEPTLHHLVTVTIYGFLGLTYQVSLVELLLRWSPALEELKIFRSDDEDSQSDEYMCKVLTKLLALPRAKVESTSAESSDRLSSLPLEIKAIILSKITSILSTAWRNVWTTSPGIILSDIYCFSGSSERTERSKFITLVDLALLLHDGPLVSFLIQCLRKYHDVFDRWMYMLLRKKPRSITIKFLSGRYYKIPSSLIYPGDLEYLQIRRCKIILPQEFEGFKRLTVLNLKHFSSTDNDLNNLISSCPSQALHVLKVKGIFEDFHLHAPNLSDVYVTLDNTKVVESRKYHLKQDFVSLTRIEALVIKRCILALPQVFEGFKQLSVLKLKHIFSTESDINNLISSCPWLNTLCLKYFEGISCLRIQSETLQFLEVDGEF >LPERR02G15490.2 pep chromosome:Lperr_V1.4:2:13617394:13627263:-1 gene:LPERR02G15490 transcript:LPERR02G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLLGLDCSFGPEDWAELRMRVGRVRFDQIDRGRSGALRLPRIASWRLRLRRSALALPSPHISESDRERAQLIRVERFSNPSGQHKAKVESTPAMSSDRLSSLPSEIKGTILSKLNVLDAIRTSILSKAWRNVWTTSPGIILSDTYQHPIRTSISGFSEMTARFRFITLVDLAMLLHDGPLVLFSIQCARGYHDVFDRWMYMLSRKNPRSITIKFLTGTYYKIPSSIISLSDLEYLQIRRCMISLPQEFEGFKRLTVLNLKHFSSTDNGINNLISSCPCLNTLRLKRFEGINCLRIQAQTLQVLKVKGNFEDFHLHAPNLSDVYVTLDKTKVEGNRKYDPKHDLFSLTRIEALVIKRCILALPQGFEGFKRLSVLNLKCIFSTDNDINNLISSCPWLNKLRLKYFEGITCLRIHSQRLELLVVEGKFEEFHLHAPNLSHMYLGLDLGEAQQSDAVVGDKKNHLKQAFVSLTNLEELNINGSFLTYLSKGCLLTELPGVFDRLWKICIGECFWDWTEVLGVCSIFQNAPTFRELEIWSFSRPEEFWHKPIWDQDQTEIEEPTLHHLVTVTIYGFLGLTYQVSLVELLLRWSPALEELKIFRSDDEDSQSDEYMCKVLTKLLALPRAKVESTSAESSDRLSSLPLEIKAIILSKITSILSTAWRNVWTTSPGIILSDIYCFSGSSERTERSKFITLVDLALLLHDGPLVSFLIQCLRKYHDVFDRWMYMLLRKKPRSITIKFLSGRYYKIPSSLIYPGDLEYLQIRRCKIILPQEFEGFKRLTVLNLKHFSSTDNDLNNLISSCPSQALHVLKVKGIFEDFHLHAPNLSDVYVTLDNTKVVESRKYHLKQDFVSLTRIEALVIKRCILALPQVFEGFKQLSVLKLKHIFSTESDINNLISSCPWLNTLCLKYFEGISCLRIQSETLQFLEVDGEF >LPERR02G15490.3 pep chromosome:Lperr_V1.4:2:13617394:13627263:-1 gene:LPERR02G15490 transcript:LPERR02G15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLLGLDCSFGPEDWAELRMRVGRVRFDQIDRGRSGALRLPRIASWRLRLRRSALALPSPHISESDRERAQLIRAKVESTPAMSSDRLSSLPSEIKGTILSKLNVLDAIRTSILSKAWRNVWTTSPGIILSDTYQHPIRTSISGFSEMTARFRFITLVDLAMLLHDGPLVLFSIQCARGYHDVFDRWMYMLSRKNPRSITIKFLTGTYYKIPSSIISLSDLEYLQIRRCMISLPQEFEGFKRLTVLNLKHFSSTDNGINNLISSCPCLNTLRLKRFEGINCLRIQAQTLQVLKVKGNFEDFHLHAPNLSDVYVTLDKTKVEGNRKYDPKHDLFSLTRIEALVIKRCILALPQGFEGFKRLSVLNLKCIFSTDNDINNLISSCPWLNKLRLKYFEGITCLRIHSQRLELLVVEGKFEEFHLHAPNLSHMYLGLDLGEAQQSDAVVGDKKNHLKQAFVSLTNLEELNINGSFLTYLSKGCLLTELPGVFDRLWKICIGECFWDWTEVLGVCSIFQNAPTFRELEIWSFSRPEEFWHKPIWDQDQTEIEEPTLHHLVTVTIYGFLGLTYQVSLVELLLRWSPALEELKIFRSDDEDSQSDEYMCKVLTKLLALPRAKVESTSAESSDRLSSLPLEIKAIILSKITSILSTAWRNVWTTSPGIILSDIYCFSGSSERTERSKFITLVDLALLLHDGPLVSFLIQCLRKYHDVFDRWMYMLLRKKPRSITIKFLSGRYYKIPSSLIYPGDLEYLQIRRCKIILPQEFEGFKRLTVLNLKHFSSTDNDLNNLISSCPSQALHVLKVKGIFEDFHLHAPNLSDVYVTLDNTKVVESRKYHLKQDFVSLTRIEALVIKRCILALPQVFEGFKQLSVLKLKHIFSTESDINNLISSCPWLNTLCLKYFEGISCLRIQSETLQFLEVDGEF >LPERR02G15500.1 pep chromosome:Lperr_V1.4:2:13627433:13635013:1 gene:LPERR02G15500 transcript:LPERR02G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAARRLAVFVAVAAAAAVVVLAVGVGATPETACRAAEEEDRRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGVCIAGDAAYDARAMLAKSASGGERAALERCAELYDRAGSAFAAAYDGINRRDYAAGKEKAAEAMSLARRCDGAFADAGVAAASPLERQTADSVRIAIVCTAITNLIKRTPAIPFPAQKQTKRIPSHENHSIPPRRRTGSINQSIHRSNTMPTMKPYTAALLAAAVAAATVLAVVEATVVTTCRAAADRDRRVDYGFCVAELGKHHDSPSADAWGLAKVAALTGIVDADNAAYDADDLIARGAADAGALRRCKEAYGAAGLAFAEAHDAINARRYAAGKGKAAEAALLARRCDAAFGAKGAVPPVIARHGSYAAKIAIICTAIANLIQTLMMKPVLLAVAVAAAAVLGGVVEATVVTTCRAAADRDGRVDYDFCVAELGKHHDSPSADAWGLAMVAALTGVVDADNAAYDVDGLQGGRGGGAAAAVARCKEAYGAAGLAFAEAHDDINGRDYAAGKAKAAEAASLARQCDAAFGGAVPPVIAQHSSYAAKIAIVCTAITNLIE >LPERR02G15510.1 pep chromosome:Lperr_V1.4:2:13637297:13637746:1 gene:LPERR02G15510 transcript:LPERR02G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKTAADGSGGGGGSNKKTPETKKDGATDTSNGNAPPDEKKPPKAEEDKNPPNGNAASPTTPARGGRARAKKATRPPSTAAKRTPTLDAFGRDLTAWAAGGADPVVGREEEIDRVAGLQQCSAGCSRTAHRWGAAAALEGGGLGGVPS >LPERR02G15520.1 pep chromosome:Lperr_V1.4:2:13638248:13639470:1 gene:LPERR02G15520 transcript:LPERR02G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKKNQQQQGIKEEKVVGPDHIAQIVSKWTGIPVASLGEDERKKLLELPRLLHRRVVGQEEAVVRSRLGLGNPGQPSGSFLFLGPTGVGKTELAKALAEQLFGNEKMLVRIDMSEYASSSSVTRLVGSAPGTQGHERGGQLTDRVRQRPYSVVLFNEVKKADAAVLNVFLQILDDGRLTDSHGRTVDFTSTIIIMTSNLGARHSPESARTASPRMPRPSTTAHFKPELINRLTETVVFRPLSGEQLRKVARRMQLRAMAARLAEKGIGLEVTDVAIDAVLARSSDQVRAYGRCLQKEVMTRISKMVVREEVDDDCYVVVDAGKGNEEGELVFTVDKQGDGSEENEPASAFVDGDGEEEEAEAAGEESSRD >LPERR02G15530.1 pep chromosome:Lperr_V1.4:2:13644488:13645905:-1 gene:LPERR02G15530 transcript:LPERR02G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHPPRFAPATPRLLAYVDDDRGSQPPSSPSHSALPRAYKFTTTRDPHPSFRFARLAPLPRRRTSHTIATSSNSTKPSPLRARGSGRTRFLPSQASTESTTGEDEPDDDDAEAETNASTTPCRSSEPRRLCFAVHCSSTLSAWMRRSHGGEKATMRCEAEEQEARSKEQEEEERTCALELAAAGVDLYAELNNDARLIASVCRARLPLRSYPGRRRAPTIAVMSALIFCAQTPPTAQAVLTEPPPCSGRLLFVGALSAAGHNRRPLPPARRQPISRPIEAIWGSIGNPLAAVILPDLSTDSDEQQFAEIFLRRGP >LPERR02G15530.2 pep chromosome:Lperr_V1.4:2:13641775:13645905:-1 gene:LPERR02G15530 transcript:LPERR02G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHPPRFAPATPRLLAYVDDDRGSQPPSSPSHSALPRAYKFTTTRDPHPSFRFARLAPLPRRRTSHTIATSSNSTKPSPLRARGSGRTRFLPSQASTESTTGEDEPDDDDAEAETNASTTPCRSSEPRRLCFAVHCSSTLSAWMRRSHGGEKATMRCEAEEQEARSKEQEEEERTCALELAAAGVDLYAELNNDARLIASVCRARLPLRV >LPERR02G15540.1 pep chromosome:Lperr_V1.4:2:13650337:13660738:-1 gene:LPERR02G15540 transcript:LPERR02G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWAVLLGSWPTRSSRLFFPPDRSSRSVSLPSTDAPSSAGRAKRGRRSPKTAGAVTRRKAGERGELLNLFPGEMHRAKKAKVESTTYAVSPYRFSHLPPEIKVTILSKVNVVEAIRASILSSAWRNLWTTSPKILLCDMYHTFDPPETTARSKFITLVDLALLLHTASLDSFILACFRTYHDVFDRWMYMLSRKKPKSIRIKFYEGHNYKIPSSLFSISDLEYLHLKKCIISLPQKFEGFKRLTVLNLKYFSSTDKIKGNFQDFLLHAPNLCTVYVTPDKTEIQQSVVNAGNRKNFLNFVSLTSIQRLDVKRCIVALPREFEGFKRCLRIHAQALKNLEVEGNFEDFHLHAPYLSHLYLTFDKTEPQQSVAAVGDKKNYLKQAFGNQTNIEEITISGSFLTYLSKGCLLIEPPGVFGFLRKICIVKCLWNWTEVLGACSIFQNAPNFRELEIWGKTIWDQDQTKIEEPNMHYLVTVTIKGFAGLEYEVDLVGLLLRWSPSLEELKIFRVKDHNDDDDDEDEDEDDEDNECICKVLTKLLALLRASNKAKITAKLEPTSALTLDKFSRLPQEIKATILSKLNSLDAIRTSILSSAWRNVWTTLREIHLADQYLSWGSSETTMRSNFITLVDLALLFHNGPLVSFTIIGAKRYHDVFDRWMYMLSRKKPRSITIKFYSGHYYKNLSSLFSINGLEYLHLKRCIIGMPQDFEGFKLLTDLSLKYFSSTDSDINNLISSCPLLNTLCLKYFEGISCLSIQAQALQYLEVKGNFEDLHLHAPNLSNVYVTLDKIEAKQSVVVSGNRKNYLKQAFVSLTTVQRLVIKRCFIALPREFVSFKHLLVLNLKYFSSTDNDLNNLISSCPWLNTLRLIYFEGINCLRIQSEKLEVLEVEGIFGDLHLHAPNMTHVYLTLDETDPQQSVAVVEDRKNYLKQAFASQTSIKVLTISGSFLKYLSKGCLLKKLPGVFDCLKKICIEKCFWNWREVLGACLIFQNAPNFRELEIWSFLCPEDFRRKKMWDQDQMKIEEPTLQHLVTVTIKGFAGLEYEVALGRCLVQIYTADGAYVICDQNFTSNLEIRG >LPERR02G15540.2 pep chromosome:Lperr_V1.4:2:13650337:13660738:-1 gene:LPERR02G15540 transcript:LPERR02G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWAVLLGSWPTRSSRLFFPPDRSSRSVSLPSTDAPSSAGRAKRGRRSPKTAGAVTRRKAGERGELLNLFPGEMHRAKKAKVESTTYAVSPYRFSHLPPEIKVTILSKALKNLEVEGNFEDFHLHAPYLSHLYLTFDKTEPQQSVAAVGDKKNYLKQAFGNQTNIEEITISGSFLTYLSKGCLLIEPPGVFGFLRKICIVKCLWNWTEVLGACSIFQNAPNFRELEIWGKTIWDQDQTKIEEPNMHYLVTVTIKGFAGLEYEVDLVGLLLRWSPSLEELKIFRVKDHNDDDDDEDEDEDDEDNECICKVLTKLLALLRASNKAKITAKLEPTSALTLDKFSRLPQEIKATILSKLNSLDAIRTSILSSAWRNVWTTLREIHLADQYLSWGSSETTMRSNFITLVDLALLFHNGPLVSFTIIGAKRYHDVFDRWMYMLSRKKPRSITIKFYSGHYYKNLSSLFSINGLEYLHLKRCIIGMPQDFEGFKLLTDLSLKYFSSTDSDINNLISSCPLLNTLCLKYFEGISCLSIQAQALQYLEVKGNFEDLHLHAPNLSNVYVTLDKIEAKQSVVVSGNRKNYLKQAFVSLTTVQRLVIKRCFIALPREFVSFKHLLVLNLKYFSSTDNDLNNLISSCPWLNTLRLIYFEGINCLRIQSEKLEVLEVEGIFGDLHLHAPNMTHVYLTLDETDPQQSVAVVEDRKNYLKQAFASQTSIKVLTISGSFLKYLSKGCLLKKLPGVFDCLKKICIEKCFWNWREVLGACLIFQNAPNFRELEIWSFLCPEDFRRKKMWDQDQMKIEEPTLQHLVTVTIKGFAGLEYEVALGRCLVQIYTADGAYVICDQNFTSNLEIRG >LPERR02G15540.3 pep chromosome:Lperr_V1.4:2:13650337:13660738:-1 gene:LPERR02G15540 transcript:LPERR02G15540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWAVLLGSWPTRSSRLFFPPDRSSRSVSLPSTDAPSSAGRAKRGRRSPKTAGAVTRRKAGERGELLNLFPGEMHRAKKAKVESTTYAVSPYRFSHLPPEIKVTILSKFEGFKRLLVMNLKYFSSTDSGINILISSCPWLHTLRLIYFEGISCLRIHAQALKNLEVEGNFEDFHLHAPYLSHLYLTFDKTEPQQSVAAVGDKKNYLKQAFGNQTNIEEITISGSFLTYLSKGCLLIEPPGVFGFLRKICIVKCLWNWTEVLGACSIFQNAPNFRELEIWGKTIWDQDQTKIEEPNMHYLVTVTIKGFAGLEYEVDLVGLLLRWSPSLEELKIFRVKDHNDDDDDEDEDEDDEDNECICKVLTKLLALLRASNKAKITAKLEPTSALTLDKFSRLPQEIKATILSKLNSLDAIRTSILSSAWRNVWTTLREIHLADQYLSWGSSETTMRSNFITLVDLALLFHNGPLVSFTIIGAKRYHDVFDRWMYMLSRKKPRSITIKFYSGHYYKNLSSLFSINGLEYLHLKRCIIGMPQDFEGFKLLTDLSLKYFSSTDSDINNLISSCPLLNTLCLKYFEGISCLSIQAQALQYLEVKGNFEDLHLHAPNLSNVYVTLDKIEAKQSVVVSGNRKNYLKQAFVSLTTVQRLVIKRCFIALPREFVSFKHLLVLNLKYFSSTDNDLNNLISSCPWLNTLRLIYFEGINCLRIQSEKLEVLEVEGIFGDLHLHAPNMTHVYLTLDETDPQQSVAVVEDRKNYLKQAFASQTSIKVLTISGSFLKYLSKGCLLKKLPGVFDCLKKICIEKCFWNWREVLGACLIFQNAPNFRELEIWSFLCPEDFRRKKMWDQDQMKIEEPTLQHLVTVTIKGFAGLEYEVALGRCLVQIYTADGAYVICDQNFTSNLEIRG >LPERR02G15540.4 pep chromosome:Lperr_V1.4:2:13650337:13660738:-1 gene:LPERR02G15540 transcript:LPERR02G15540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWAVLLGSWPTRSSRLFFPPDRSSRSVSLPSTDAPSSAGRAKRGRRSPKTAGAVTRRKAGERGELLNLFPGEMHRAKKAKVESTTYAVSPYRFSHLPPEIKVTILSKVNVVEAIRASILSSAWRNLWTTSPKILLCDMYHTFDPPETTARSKFITLVDLALLLHTASLDSFILACFRTYHDVFDRWMYMLSRKKPKSIRIKFYEGHNYKIPSSLFSISDLEYLHLKKCIISLPQKFEGFKRLTVLNLKYFSSTDKIKGNFQDFLLHAPNLCTVYVTPDKTEIQQSVVNAGNRKNFLNFVSLTSIQRLDVKRCIVALPREFEGFKRLLVMNLKYFSSTDSGINILISSCPWLHTLRLIYFEGISCLRIHAQALKNLEVEGNFEDFHLHAPYLSHLYLTFDKTEPQQSVAAVGDKKNYLKQAFGNQTNIEEITISGSFLTYLSKGCLLIEPPGVFGFLRKICIVKCLWNWTEVLGACSIFQNAPNFRELEIWGKTIWDQDQTKIEEPNMHYLVTVTIKGFAGLEYEVDLVGLLLRWSPSLEELKIFRVKDHNDDDDDEDEDEDDEDNECICKVLTKLLALLRASNKAKITAKLEPTSALTLDKFSRLPQEIKATILSKLNSLDAIRTSILSSAWRNVWTTLREIHLADQYLSWGSSETTMRSNFITLVDLALLFHNGPLVSFTIIGAKRYHDVFDRWMYMLSRKKPRSITIKFYSGHYYKNLSSLFSINGLEYLHLKRCIIGMPQDFEGFKLLTDLSLKYFSSTDSDINNLISSCPLLNTLCLKYFEGISCLSIQAQALQYLEVKGNFEDLHLHAPNLSNVYVTLDKIEAKQSVVVSGNRKNYLKQAFVSLTTVQRLVIKRCFIALPREFVSFKHLLVLNLKYFSSTDNDLNNLISSCPWLNTLRLIYFEGINCLRIQSEKLEVLEVEGIFGDLHLHAPNMTHVYLTLDETDPQQSVAVVEDRKNYLKQAFASQTSIKVLTISGSFLKYLSKGCLLKKLPGVFDCLKKICIEKCFWNWREVLGACLIFQNAPNFRELEIWSFLCPEDFRRKKMWDQDQMKIEEPTLQHLVTVTIKGFAGLEYEVALGRCLVQIYTADGAYVICDQNFTSNLEIRG >LPERR02G15540.5 pep chromosome:Lperr_V1.4:2:13650337:13660738:-1 gene:LPERR02G15540 transcript:LPERR02G15540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWAVLLGSWPTRSSRLFFPPDRSSRSVSLPSTDAPSSAGRAKRGRRSPKTAGAVTRRKAGERGELLNLFPGEMHRAKKAKVESTTYAVSPYRFSHLPPEIKVTILSKVNVVEAIRASILSSAWRNLWTTSPKILLCDMYHTFDPPETTARSKFITLVDLALLLHTASLDSFILACFRTYHDVFDRWMYMLSRKKPKSIRIKFYEGHNYKIPSSLFSISDLEYLHLKKCIISLPQKFEGFKRLTVLNLKYFSSTDKIKGNFQDFLLHAPNLCTVYVTPDKTEIQQSVVNAGNRKNFLNFVSLTSIQRLDVKRCIVALPREFEGFKRLLVMNLKYFSSTDSGINILISSCPWLHTLRLIYFEGISCLRIHAQALKNLEVEGNFEDFHLHAPYLSHLYLTFDKTEPQQSVAAVGDKKNYLKQAFGNQTNIEEITISGSFLTYLSKGCLLIEPPGVFGFLRKICIVKCLWNWTEVLGACSIFQNAPNFRELEIWSFLCPEDFRRKKMWDQDQMKIEEPTLQHLVTVTIKGFAGLEYEVALGRCLVQIYTADGAYVICDQNFTSNLEIRG >LPERR02G15550.1 pep chromosome:Lperr_V1.4:2:13675941:13676165:1 gene:LPERR02G15550 transcript:LPERR02G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWITYEAQKPPLSKTNDVQSLRRRLSPVDHQEQTPRSSMRSKSIRVVGLEGKRVIKKDGSPLPLTSPSDAAIL >LPERR02G15560.1 pep chromosome:Lperr_V1.4:2:13678495:13683573:1 gene:LPERR02G15560 transcript:LPERR02G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWANFPMEYRAKNILWRGDFNFFKDKSAAINPDTGVNSKLTRMIKRWWRRGQKIAGISCLYDEFVMEAQEGGHPDEPRIKNAYMNRYGFDVKPEIKNTPALRRAGSGIEDISSIRTENWDLLKLSTALMIICYPGEKVIKCNPQEMFSADELSKLEADAHKYGWALKGSCSRIYKEIVFAHKRRIKQQKQLGFLIEKARKVYEAEQVELLTMQK >LPERR02G15570.1 pep chromosome:Lperr_V1.4:2:13687732:13708710:1 gene:LPERR02G15570 transcript:LPERR02G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMSCGGSEPFRGGFSSSLPIELSDDEMTAEEEIAASMMASSPMQPVVSAGAGDEPGVLDPLVLGQSPSPPPPPVCVRMVDPDADVSGGQGQLPPLQADGSPSSPLPKRLRSQDVSSSSNEVAGDVGAGSSLGIGSLPLPAATGAAATGVGGDAPAVGYVAPTLRASAPAAQPFAPPPPTLSSIAPPFPAIFPSIPPPLFPTPPLFGTPAAASLNFPPMPAAGFSMTAPLFPTAPPPLFAPPPADSSSLFGNPMMMPPPPLPPLAGFPSPSSFNFLSQMAATGEPSFGTGGSNAVGVATPALAAFGRDLTAAAAELDPVIGLDDVIDRVILILCRRTNNSAVLVGEPGVGKTAIVEGLARRIAAGHVPPPLAGARVVEVDLGAMVAGTDCRAVFEERIKALIQESEDSAGGNGKVVLFVDDVHMLVGAGGQQGGGAMDGASLLIKRALKRGRVRCVGATTLEDYRTHIEKDTAFTRRFQKVLVEEPSAAATVAILQGLKSKFEEHHSVKIQDDALIAAVELADRYVTTGGEFPTVSKVSSGGGGGGWGFPGIPAPAASVSVTKPKEKTALRKYGRDMAAAAAAGDAADPVIGCDDEVDRLVCILCRRTKNSAVLVGAPGVGKTAIAEGLAQRVAAGRVPAALAGARVVELDVAAMVAGTKYRGMFEERMKAVIKEAESAAGKVILFVDEMHMLLGAGAGKGSSMDGANMLKPALARGRIRCVGATTIDEYRKHIEKDAAFERRFQKLVEEPSTQATVAILRGLKKRYEEHHGLKIQNAALVAAAQLAGRYITGRQFPDKAIDLIDEACSTLKLQIDSQRGMTTTRMQNNNENTSAKATVVPDHIAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHEQVVGQSEAVKLVAQAVLRSFLFLGSTGVGKTELAKALAKQLFDTEKMLVRFDMSEFVGSGSVLRLIGAPPSYHGHEDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIILMTSNLGAEYLAEGVTGERTMDSARDLVMKQVWKYFSPEFLNRLSETLIFEPLSHDNLKEVVKIQMKSVIASVADKGVSLLATDDALDMYGARPVKKWVQKNVMTKLSEMLITGDAGKGSTISIDATDDKKGLNFQVLKKNDVLLRGKRPVEELPSDSDSNDDVVEIIPIPKRRKAARRKASKISAAAAAAVHRSTMPIAPISKYRMSTLLTYGRDMTASAGDTDPVIGRDDEVDRLLDVGAMVAGTLWRGMFEDRMKNVIREAEDADGKVVLFIDEMHMLLGAGAGKDGNTDAGNMLKPALARGRIRCVGATTLDEHRKHIEKDAAFERRFQKVLVEEPSVQATVGILRGLRETYEEHHGVEIQDAALVAAAQLAGRYITARQFPDKAIDLIDEACSTVTLQIDGQRGMTTTRMQDNNESTSVNGVEEIIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHEQVVGQDEAVKLVAQAVLRSRAGLEQSGQPIGSFLFLGSTGVGKTELAKALAKQLFDSEKMLVRFDMSEYVSAGSVLRLIGAPPSYYGYEDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQILDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLAEGVTGKRTMDSARDLVMKKVQMYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSVVASVREKGIYLFASDDALDVILSESYNPMYGARPVRRWVQKNVMTKLSEMLITGEVEKGSTISIDATDDKKGLNFQVLEKNVVEEIAWTRIVTTTCLKSPPYLSKLDVGLLTSRASLDLSISE >LPERR02G15580.1 pep chromosome:Lperr_V1.4:2:13709607:13716215:-1 gene:LPERR02G15580 transcript:LPERR02G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTRPMGQSLRPPLPFAASSRSPFAAPNDYHRFPSPAAAGPSGSGGIGAGGVGGGGDIEEGLVIRTPQKRKAPSKENDVAESSHCMITSPGFTGSPLLTPVSAKSAKTPKSKTKNNKAGPQTPTSNVHHSIHQLLLVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGTEVDNGLSALQAEVENLSLKEQSLDNRISDMREKLQGLTEDENNHRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGGATPSAHANVPQHQPTEVFNATSAGAGQCSNSFAVENNVQHCQSVPPDPSGSHDFGGMTRIIPSDIDTDADYWLLSEGDISITDMWKSAQVQWEEGLDTDVFLSEDISTPRAHNQQPAEAAGPLMQHPTSVEQDMEELLLWP >LPERR02G15580.2 pep chromosome:Lperr_V1.4:2:13709900:13716215:-1 gene:LPERR02G15580 transcript:LPERR02G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTRPMGQSLRPPLPFAASSRSPFAAPNDYHRFPSPAAAGPSGSGGIGAGGVGGGGDIEEGLVIRTPQKRKAPSKENDVAESSHCMITSPGFTGSPLLTPVSAKSAKTPKSKTKNNKAGPQTPTSNVHHSIHQLLLVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGTEVDNGLSALQAEVENLSLKEQSLDNRISDMREKLQGLTEDENNHRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGGATPSAHANVPQHQPTEVFNATSAGAGQCSNSFAVENNVQHCQSVPPDPSGSHDFGGMTRIIPSDIDTDADYWLLSEGDISITDMWKSAQVQWEEGLDTDVFLSEDISTPRAHNQQPAEAAGPLMQVTNMHQP >LPERR02G15590.1 pep chromosome:Lperr_V1.4:2:13719537:13723987:1 gene:LPERR02G15590 transcript:LPERR02G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLAAVSSAKPLAAAASSASLAPHSLSFPRAARPLRLASSSRSARASSSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >LPERR02G15590.2 pep chromosome:Lperr_V1.4:2:13719537:13724564:1 gene:LPERR02G15590 transcript:LPERR02G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLAAVSSAKPLAAAASSASLAPHSLSFPRAARPLRLASSSRSARASSSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >LPERR02G15590.3 pep chromosome:Lperr_V1.4:2:13719554:13724564:1 gene:LPERR02G15590 transcript:LPERR02G15590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLAAVSSAKPLAAAASSASLAPHSLSFPRAARPLRLASSSRSARASSSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >LPERR02G15600.1 pep chromosome:Lperr_V1.4:2:13731783:13735723:1 gene:LPERR02G15600 transcript:LPERR02G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLHQQPQASPAATSSPVGLLRAACLRSHSHPLQCKALELCFNHAVAEVRALAARHGGEGVWVVAHGTYQTNMRCRAGHPSLETMWGLHTVAVPAGSLALTLTCAHADRDDSAAMAAVSHQPTKAMCDRAGNGSTSSPHCLSLLDAAGSCSSQQMMSVVSPCCAGDCASAAATKAMARSSVVMPPSTTTTSIPPWLLHHCRDQHEAPHMRKWMSAHGGSPSRRTALNISTAATSPCSSSISSYEQQYYHHHQPYYHPWLVADDETTKQQHPWKTKDDSSASNGGGASVDQAERQTTSTSRFKEVSAENLKALCATLEKAVAPWQRDAVVPDIASTVLRCRSGMNSSSSASSRDTWMLFLGGDAESKERVARELARLVFGSTHSFVSIGGAGNRYDASSSDSGGEIRRRKRSRPETETTTSEDHLDALYDAVKDNPRRVIMMERVDRAGRRCHEGIRDAIESGVVRRSSRDGETAVLGDAIVVLTCESFDDDGDDQSRIKKKAKTADGATQGDHELNDDDDQQQRRKDASSSSPLSSCFDLNMSVEEDFAVDEERCSGEAGGGQLLLKAVDRVLFFRSMES >LPERR02G15610.1 pep chromosome:Lperr_V1.4:2:13758441:13767609:-1 gene:LPERR02G15610 transcript:LPERR02G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRMYPERPRTFSTVRSKSSVPPIFRLLMRINPRAFIVLLLLAFSGVLYIGASTSPIVLFVFCICTLSLLFSLYLTKWVLAKDEGPPEMSQISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRTTTPQQEASGIGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLVSPIEDPMAIMQKGYSITILLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISTFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAIGIIFRMLGHATGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFLFIEGRLNRHNPQL >LPERR02G15620.1 pep chromosome:Lperr_V1.4:2:13767654:13768338:-1 gene:LPERR02G15620 transcript:LPERR02G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDFIFFVVGLPGCLHSRAPPDLSLRPDLPAATPPPSAAAAAAGETVPTTLFPSPSAADRARRLHQAAVVSPPPTGCHTASTTEYAKDPGATYPKVGRSLLFL >LPERR02G15630.1 pep chromosome:Lperr_V1.4:2:13777863:13790853:1 gene:LPERR02G15630 transcript:LPERR02G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDLVAGAGAGEGPIVRRRSGEVDLPPMEVLRAAAAAADVYSTSPTKRLHTFREILPSLLSGGSGSKEERIEMMINAIGPIDISTLFGKNELSKLSYEDCFVALDLIQSLLVENLSWVQEFFFIPSLLQLLIQLVCHPHLEVRKVAYVVTKKILASSAGLGQDLLLRLQQVLKRHKFSFIEIIATDSPNIFMELVTKDVSTSNEYAAQATLCSLQTLAAILPNSRLPKFEMKVNAQSSATANARTLALGRTKNTTALITTGIAHAVEHDEKWLGYASTLVLLEFLYALAVGGGISIVLLTKPALPMHFWGRHPDMSEFLRSFLHKHVKGVNGVLRFKGAPRQVNLDGLVIERP >LPERR02G15630.2 pep chromosome:Lperr_V1.4:2:13777863:13790853:1 gene:LPERR02G15630 transcript:LPERR02G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDLVAGAGAGEGPIVRRRSGEVDLPPMEVLRAAAAAADVYSTSPTKRLHTFREILPSLLSGGSGSKEERIEMMINAIGPIDISTLFGKNELSKLSYEDCFVALDLIQSLLVENLSWVQEFFFIPSLLQLLIQLVCHPHLEVRKVAYVVTKKILASSAGLGQDLLLRLQQVLKRHKFSFIEIIATDSPNIFMELVTKDVSTSNEYAAQATLCSLQTLAAILPNSRLPKFEAFISLLKAIASACATAIATMNIFIITNLTQWPSYVGKNQRMTMELTLFIFFPIALITTGIAHAVEHDEKWLGYASTLVLLEFLYALAVGGGISIVLLTKPALPMHFWGRHPDMSEFLRSFLHKHVKGVNGVLRFKGAPRQVNLDGLVIERP >LPERR02G15640.1 pep chromosome:Lperr_V1.4:2:13778626:13780843:-1 gene:LPERR02G15640 transcript:LPERR02G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCRDVPCISMPDLGKGGAHCSNAHNLLFEEDILLVMNKEITREEAMHLLQEEWGEAKRQFDEKLDRLLEMFSAKEAKSEACEKIGGETSISIRATTTNDAETLPSTLAPPSSTPVKCLRDLPKVTHAKCLMLSFDVKCGTDQTMVMLQTRMEVFMDVPTSIQLMDPFSSRTKTGVKQDTPTPTKCSVKCSRQKNLDALMLVQGQVEWTYAKVVKTILVAAKEDGLFSGVELYKLFPAIVDEDIPETWSVTGAISLQRLSAKRNSYAVHASLASANYWPIMLFELTVYNGCNMDMMPSLIDDKNKFQVQQGMQFFTEASTFILGGAIKMVESFRQNWRCVILKTSQVRTGQVINVVQYDWNHSLHPHIRDQVFCLEGNQIHIKQKITTLVMDCESSTDFSKNIEGKRKCDMILNSEGNLQSVNAKEKVNVQLKNIKEELSHGIQEN >LPERR02G15650.1 pep chromosome:Lperr_V1.4:2:13793353:13796833:-1 gene:LPERR02G15650 transcript:LPERR02G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTYATEEENAGDRDRAKARNPREIEADGSGCSSTVELSGPRDRVPVVAIRDTDGEQCEHTICYMQDIAEGHCVALWFENPYVGYCFECEDSLTIVGDEKGFKGNSFQDCHELLCYLRDDLDKEKNHTKPFLAVGKATTEKINTISEGDYPQFRASESEDIVMVKTSFDSNTLEQIWQSKDDVHCPLQTPIREEIVLIASGRDVERNNSAVLDNAIRDSIRPEDSTEAKMGILSAKVTIEDKGKTRSHDIVYGEAEDINSVASIEACLELHFKADMIEQRCENCSMVSQKESTISGKDGELMVACTNVNRTVDGDEAEQSEGKTCQSEQSSSLIKLDVECSSSSRQSVVSDAQHQVMPAVHMKTKGDISGMSCGEKDLSSCSIVNEKTECLEEALEDVPTHCLAEKQVNLLDSVKMPP >LPERR02G15660.1 pep chromosome:Lperr_V1.4:2:13802583:13806631:-1 gene:LPERR02G15660 transcript:LPERR02G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSAPLLRFHHRLLLPSSSSSPRRCPAHAAVALHPFNSISSSSCRLPPRRTTAARSTASPSAPEAATEEAFGEEEGEDERVVLPTNESSDHLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMDPLTDKDLKKIKKEMDRIIRKNLPLVREEVSREEAQKRIQALNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKINRRAVELESVAGAYWRGEENNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQIHLQHGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATLALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNTEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPTQARVLPVTDNELQYCNEVASELKSRGIRAEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHSGEFGTMPVDEFVSRIQLAVVNKSSSL >LPERR02G15670.1 pep chromosome:Lperr_V1.4:2:13813737:13817515:-1 gene:LPERR02G15670 transcript:LPERR02G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLSDSGKSHNLPPLRRACSDSDAILDVLSTFPSPIYPIEEGDLQPVGEAGLFNAVAEGGGNGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLIIDATSGVNCNMGEANGTGDVGDVCHADQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQNIGADLAVRVASLFQLRNALSMENKQLRRQISSLQQAKLIKDGQTQMLKKETERLKQISVRHRRSRSVTSCFEPNSFGPDPSAINWQMLDMSKLSLNGSAAPPRGGYGM >LPERR02G15680.1 pep chromosome:Lperr_V1.4:2:13822460:13827176:1 gene:LPERR02G15680 transcript:LPERR02G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASPPPSIGGGGGGDALSFLSKGWREVRDSASADLRLMRARADSLRTMADRELEQLLVSAAASAAAAPPVAAGAPIAEVEFVRTRIQPKLSELRRHYASSSSFGGGMAARRRRGATSTRVDLSGITAIRNALVSEAAWRRAAAWSGVGEVEEEEEEGKEEWDVVRMIRGGLKELERRSQSSEILGGLPGPGELVEKFKSGLKSFNMEPPGPGSKEVAPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSKQNHSLAADSSFLGDDNSTDELDLRIASVLQSTGYHADDSSWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARSAKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIRKWLEERLGFVSNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVSRAYCDKILRLSAATQDLPKSIVCNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRRSDLEGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYKTPEEFVARVNEAMAREPQPLSPEQRYNLSWEAATERFMEYSDLDKVLSQPVEGNMHRNRTRRTLQPNLSGIMDGGLAFAHHCLTGNEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >LPERR02G15690.1 pep chromosome:Lperr_V1.4:2:13831640:13832935:-1 gene:LPERR02G15690 transcript:LPERR02G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSTAAEVPSYFLCPISLEIMRDPVTLATGITYERDNIEKWMFAGGGGKAAPTCPVTRRALAPAEREATPNHTLRRLIQAWCAAHAVERFPTPRPPVDPCRVAALVDDGARGGRRQRLAALREIKSLAAESDRNRRCVESTPGAVEFLLSLVSTIPNSTSSDDLFDSPITTSSPEEDALGVLYSLKPSESTLLRLLANNNLLDTLAASLRRPSYRTRAYAILVLKSATSAMAPDRLAHVGGDVVEEAVRVIADGVSRKATKAALHVLCSLFPWGRNRVKAVEAGAVAALVDLLLDGGDAGVLGRRAAELAVAAMGHVCGCAEGRAEVVAHPAGIAVVAKAAMRVSPAATESAVRALHAVATHAATPAVMQEMLAVGVVDKLLLLLQVRDAGAGGERARARAREMLRANARVWKDSPCLQAHLRASYPC >LPERR02G15700.1 pep chromosome:Lperr_V1.4:2:13847525:13848256:1 gene:LPERR02G15700 transcript:LPERR02G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDGRPSPRSRQLQGPRPPRLAVSKESHKVRKPPVVPQPRGAGSVSAAVAAPAMSSPGFDDAAAAAAAEPSAAPTATMFFQQSQPPEFLLSPTAALSPAARYAAIERSVRPLPPTVPSSSSSQYYYAAAAADADDPILLDVDASDAFGAALGHARPGILSPVPSALPPAASSGLFSPIDPAALTWLSELSPFLHSSGAGAGAAVPPPFAPSPRSLLLATPTIPSPATFSLMEFFSSNFPDL >LPERR02G15710.1 pep chromosome:Lperr_V1.4:2:13851819:13866124:1 gene:LPERR02G15710 transcript:LPERR02G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSRAPPLLLLLHVRLYLVLRGAASSSSLRQSGIRIRLWGTCSFVTPNFTCYCVIAEPRSPGPVIPALDSSTPIFASSFTMEPLFCGILFSSTEMYLFIFGQLIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLVFVGMSLRTYLDAAFRDGKSPIDPSTLVNRFMYALTYIFFSSFLVNLSGGSDPLFLDKVKVEDMDAYAPKDLLIVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYRDELEEVLQIVKPQHFLPVHGELLYLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKQDLRLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPNKELGSSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALXPSARSGPLPFLHPSRLPTGAARHGGARLPLVPMPLRSRAPPLLLLLHVRLYLVLRGAASSSSLRQRAFFFLMSAGSQESRTPRRRLRKTEGPTKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIVSIALILLIKKYEMVIPALDSSTPIFASSFTMEPLFCGILFSSTEMYLFIFGQLIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKVIKNGEMLGVSHLRNRRVLSNGFVALGKQDLRLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPNKELGSSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVITIATENTTSSFVEDSETKSSGKFGSFSSSRHPSSSDRSLEDGDKTRPENPEGEAEENPPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKPKLSKISIMGKDSSDSSSAPVKSPKKNKWKPEEIKSLIQMRGEMNEKFQTVKGRMVLWEEISTSLLNQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >LPERR02G15720.1 pep chromosome:Lperr_V1.4:2:13866435:13878785:-1 gene:LPERR02G15720 transcript:LPERR02G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDSDGEDVFFDACDDVIIRSSSELDSLSSVGCSTSEDGYDLWAGELISVKERRQRFLRGMGFLEPGPPGTVFPQCLAEITTDCSFQDYEGTISSVCSSFRSCFSDNISAMEDSVNCTMDVDNARTSTVQEGQYNFLSEIIEEVGSDEPETVLGLSYVVRKFSHDRFSHDHDRGARIASCPKQSEFKSLRETIRRIKNPARFSLDDVHPRSLNSGTLYRTKVHQQNKNWMDFTAVYMCQEFQAHKGLIRVMKFSSSGWYLASGGEDCVVRIWQIKEIESTPSLYGKDTGAENMNNNKDVKNKALAIIPDKIFSITETPLQEFHGHTSDILDLAWSKSDFLLTSSKDNTIRMWKVGCDHCLALFKHVNYVTCVQFNPVDERYFISGSIDGKVRIWDVSDKQLYDYYDIKDIITAISYQPDGKGFVVGNVKGRCFFYNKSDRYFGRSNKIRIKRRNIFAAANKITNIQFSKGNSPRMIISSKDHKIRVSEDLKITQKLQGKWSSKALVPPSLTPDGRYLISAGGDSKVRIWNFDDKKKKKAVCSRELFFSEGVTAVASWSRPTTTTTTDGGDGAAAAVLDAPTLCRDRKRCKFGTWFAQQGPDDDGGATWPEERLLPMLRHVSCAGVDGCSAKVAAAWNVVVLTGSNGGVIRAFHNFGLPSSKESALSSVDRSTSEAGHDLWTCEPMSVNERKQEFLKEMGFLESGPNGTIFPRWLPEITTDCSFHEFIFRSCFSSNNVLATNYTRDVENRMISTTVQEGKHNVLPEILQEVASDEMLSTPNEPEVVLRSSRLVQKFSRDRLGHDNARSARITSSPKHSEFFRLVKQSEFKSLWEIIRTKRTPARTSMDDINSRILNSGRICSTKIYQHNKKWMDFTVVYMCQQEFQAHKGLIRVMKFSSSGWHLASGGEDCAVRVWQIKEIESRPDLYDSDTGPKDMDNIKGVKNQAVAIMPNKRHLCMNFKAIQVISWIWHGQSQMKHLLTSSKDRTIRMWKVGCDDCLAVFKHGNYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKILYDYYDIKDSITAISYQPDGKGFIVGNVKGRCLFYDQFGRYFERGKLMRLKRRKWCAAANEITNIHFSKGNSPMVIVASKDHKIQAYEDLKITQEFQGKWRSKALVPPSLTPDGRYLISAGSDSKVRIWNFDDVAGGGKKKKAVCSRELFFSEGVTAVAPWSRPTPTPTTTTDGGDGAVEAAARNVVVVTGSSGGVIRAFHNYGLPPRL >LPERR02G15730.1 pep chromosome:Lperr_V1.4:2:13939091:13942494:1 gene:LPERR02G15730 transcript:LPERR02G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLVDLAVNGVGGKGAHPEAAAGAFAMEVETAAEGVGNGEGSPTRREIVLGRNVHTASFAVKEPDADDEETGEREATMASVLAIYRRSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTLISGEIDCADFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINCLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLREAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNDLKEKRATWYQDGTCQPPCIAGDVGQENCLCSLHKK >LPERR02G15740.1 pep chromosome:Lperr_V1.4:2:13945665:13946279:1 gene:LPERR02G15740 transcript:LPERR02G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGYVYTRRALLLAPASYGHGESSAVLAGGGDAVAAAGTTSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSAAAGGSRSTMPPPPPPPGNRGDAGVRRKALRAMPTMVYTAAAGNAAATACAICLADMEAGERVRVLPKCDHAFHARCVDRWLLARSTCPTCRHPLCLAAGKAPAPATAPPFLVPLRPEGFVTPYDF >LPERR02G15750.1 pep chromosome:Lperr_V1.4:2:13952903:13953381:1 gene:LPERR02G15750 transcript:LPERR02G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR02G15770.1 pep chromosome:Lperr_V1.4:2:13970713:13971915:1 gene:LPERR02G15770 transcript:LPERR02G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRALVHHGGGGAFDLEASMQHPPPPFPFAQDPHLHHGMVPVRPNPMMQEFGNVVKTSPSDEEDVDDGHHHGGGGKIQWHRVKWSSNMVKLLVSSVAYIDEDVDADYGGGASARQKHAMLKRKGKWKLVSAVMTDRGFPVSPQQCEDKFNDLNKRYKRLTEILGRGTACQIVEQPELLEAMQISGKLKEEARKQLISKHLHYEEMCSYHNRNRMCLLEDPTLQKSLRLALRSGEEHTKKNQFGYDDEDFSDDDEFDDLEVSAEDHHHRIHGGAKRLKQHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSHPTRAVHGIRVEKRRLKIKAAMLKVEHKHLKWQMYCKEKDRELEKMRLENEKMKLENERMELELKLKEIEMGIKPKKIFGD >LPERR02G15780.1 pep chromosome:Lperr_V1.4:2:13974089:13976256:1 gene:LPERR02G15780 transcript:LPERR02G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGELKVKGGEAPLVVDDAAAVAPSPPVAAEEEEEKKVDEAPAVAADVETEPADAGRRRSLSDLLKEDAESDGSEAEKTTVQETESPAAAAAEDGATAAVAEEQVAVVETTSPATSEQQGSAAAAVVEADKTVEKESPAVAEAKAGAGAGAEAAAEEQAAVVETSEQQAAAAEAEADKTVEKESPAAAATEAEADKTVEKESPAAAEAEAGVGAEVLVAEEQAPVVETASPATSEQAAAGEEEEEEEEEEAAAAAVNPDAGQEGGGSSNAGEVAEKAAAAAAAESDAVEGSGEKQEVVEKEEETATAAAAKPDAVQECGGDEHVVEEEEKRVDPSSVQVATTAAEEENMAVDVSAPASEN >LPERR02G15790.1 pep chromosome:Lperr_V1.4:2:13980007:13981046:-1 gene:LPERR02G15790 transcript:LPERR02G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALRSVASLLLCLRLFFHPSHAIEVRGSVYGEKEEKIPLPAAVIVPDPSPELRAPSPVMPLSLAPTPAPPPVSGAGGDDDMRPRLPTERWRRGGSQHAHPHAHVAAAPSPLSLAPAPAPESEAAARRSGSGTAFIRSSPAVPVPRGITDTATILPMPTPGDNPQAVGAAAASARASLVSIVVGLTMMASFWALH >LPERR02G15810.1 pep chromosome:Lperr_V1.4:2:13986194:13986923:-1 gene:LPERR02G15810 transcript:LPERR02G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDGEEESSGVHEKHQAKKDPENAHGHKVKEEVAAVAALGAAGFAFHERHEKKDAKKHAADQY >LPERR02G15820.1 pep chromosome:Lperr_V1.4:2:13990336:13993364:-1 gene:LPERR02G15820 transcript:LPERR02G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKARRPAASRRRWPSAGVGMGLGVAAAAYVGVDYARHLSPAWHGRLQPALWAALALAAAARAPFYRRWDAELRAAPRFLAAMGFMLAAFLCEAISVRFVSTVLGLRWHRSTAPLPDTGQWLFLALNEKLPQIMVDLLRAHIISLHHYLMLFIMLGFSSLFDCIKGPGLGIAARYMFTMAVGRLVRTVTFLATILPSARPWCAEARYQLPDHPHPWAQKYYAPYASDPDAIRRVIQEDMPYAFIEEYPGEYRPKWGHMNFLVDILRPTAEEGSSWYHFLKKASGGCSDLMYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFIWSAADNGRTRRLAKLDKLQNRLFRAAKDSDIKEIRGLLNEVELAGQEQKGFSKRVILAFSSTMIVFTLLCVLLAFTLTSDG >LPERR02G15830.1 pep chromosome:Lperr_V1.4:2:14000466:14001764:-1 gene:LPERR02G15830 transcript:LPERR02G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASRALHIVVFPWLAFGHLHPCLELAGRLASRGHRVSFLSTPRNIARLRHRPAASHVEFVELPLPRVHGLPDGAEATSDVPAENSAALWEASDGLAAPFSAFLDKQVVVDWVIFDSLHHWAPAAAADRNVPCVLIIPYSAAACAHFGVPTTAVAASDDKFPSAIARRFVSALEGCRLIVVRSCVEFEPESVPLLSRIFGKPAFPIGLLPPQINNKSGGDKTCTSWLDARPRSSVVYVALGSEAPLSAEQYRELALGLELSGAPFLWALRKPDYHDGDAADDVTLQLLPPGFEDRTRGRGMVRTGWVPQLEILGHEAVGAFLTHCGYSSVIEGLRFGHPLVMLPLFIDQFPLASYLQGVKKVGVQVPRAQDGTTFDRDGAAGAIRAAVVDQERNKVFAGNAKKLQGLVADRECHERCIDAFVQQLRSYKDQ >LPERR02G15840.1 pep chromosome:Lperr_V1.4:2:14004560:14014412:1 gene:LPERR02G15840 transcript:LPERR02G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGHHPPPANGGGNQDATAGANGGGNHAQYMEANGHGVANWGNHAAPGNGGGGNQAAAAAAVAENGHAEANGGQNHAAAENGGGIHPPPPALRRIADEALYEVLLRMSAAEIARSSTACRRVRDMVGTDAFRRDYHRSRSRRPMPLFFYRVYANDGLVRVHLRGVDIAARASPLVIRFAHADPTVRFADPRVFRIEGSCDGILLLSYHTRLYACNPCTRRWGRLPPLHVDNRRIVGFYAAIGPANRREYRVLYHEGIRESDCRYWILTLSLPDQPARFIGRPTNLDAVGLALAIGITASHEMPHVTIGQRLYWPSQFDSLNVLVFDMVTEIFRWILPPRQVEEDGRLVLVEGDQLLEIDGMLAMTLVSQERVDIWVLQDDIGEAWELRYQIRLPVGQLNILSGYDADHFLSAAVLVEPREQNVLAQCPNVIIQSEAGGNAVKFYCLRGHSPVLSRYMHQESLLMHAFLPMRQGDAINGDPPFFQGHHPPPANGGGNQAAAAGNGGGNHAQGAEANGHGAANGGQNGGNHAAAAGNGGVARPLPALRRVADEALYEALLRMSAAEVARASTASRRVRDMVATDAFRRDHHRHRSRRPMPLFFYRVYANDGLVRIHLRGVDIAARESPPVIRFANAADPSLPFAADPRVFRIEGSCDGILLLSYLARLYACNPCTRRWGRLPPLHVDNHDIVGFYGHGGFNKREYRVLYHEGIQESDCRYWIFSLSFPDQPARYIGRPTNLDAVGLVLAHGIAPSHEMPPVTIGHRLYWLPQIGQDNFNVLVFDTVTEIFWWIPPPREEEDDDRLVPVEGDQLLEINGLLAMTLVLQERVDVWVLQDDMGEVWELRYQLMLPVEQLNLNNGYDDEHFISAAVLVDPRDQNVLAQCPNVIIQSDAGGNVVKLYALVGHLPVLSRYMLQESLLMHAFLPMRHSDAIDGDPPFFQGL >LPERR02G15850.1 pep chromosome:Lperr_V1.4:2:14016780:14018168:1 gene:LPERR02G15850 transcript:LPERR02G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSEASCIRVKNPPLCHCGYPCKLQRPNIGVPAKFTPFFRCKLSTHDGWPMCDFQEYIYGPKSFWPTDEEVRLFETGKTHWPCERRPHPCCKCGILATVGVVPGELGYGYYCGNAYGNNWEGRMCNWEDFSGHTKLREQLGRQSESLKSNTIEKIRRKLRNKYDILLPEREVEAMLSEDMRRHKACTGYYTYEECITYWRLHREKYPADITPEEKIAKRQKIEEERERQRRLSKEKARKDPNTRLSRQSMWRDSADATPAGEPAWATLARLPHHCIRRVRVVSPCHPFWRGEKGYPAQIKLRPGISRNDLLLWNLQNGVSRKRRGNATTE >LPERR02G15860.1 pep chromosome:Lperr_V1.4:2:14018520:14021471:-1 gene:LPERR02G15860 transcript:LPERR02G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAEGPRRFRNTCILAHVDHGKTSLADHLIAAYGGGDRSRVSERMAGSARVMDHLEEEQRRAITMKSASIALRRGDGHRVHIDSPGHIDFCSEVSAAARLTDSALVVVDAAEGARVQTHAALRQAFVERLRPCLVVNKLDRLVHELRLSPAEAHARLRRIVSEVNSYYSGLRSGSYLTSLYDAAVSPELIPIHDAVDDDEEEDAFQPQKGNVVFACAREGWGIRLIEIAKRLAPKLKADPADILKGLWGQNYFDERSRKVVGKEAMATANPKPMFVKYVLEPLWNEYQKMTRKLRLAKAVFDMVVECTPKPIAAQASRVARLMPAAKTPELTAAAEVEKVRRCVASCNASTSAPVVVFVSKMFAVPYRFLPSKGVNGEPINHRGSSAESGECFLAFARVFSGVLRAGQKVFVLSPMYDPLRREEDAMQKHLQEVELQHLYKMMGPDLEIVSAVRAGDVLATEGLGQHVLKNATLSSTKSCQPFSGMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARIQLEVSKPLVSFRETIQGEGVSVMESLRASQEFVERTTPNGRFTVRVKVFRLPNAVTKVIEDSKELLAQIIEGEKNGVLDSRFSQDGSDAKATLRKLLISAIDSDLEALSAQVDEEKIESYRKMLLGYLERIWALGPLQVGPNLLLSPDVKSSDGMVASQNGRDGILVHGTCHVSDRLGLVNASNAETTNGIDDSQSSAGPLDLEAVRNSIASGFQFATNAGPLCGEPTRGLAFLIEPYIFPDNADAMNQSDHCSIFSGQIITAVREACRSAILESKPRLVEPIYFCELTTPSEQLGAMYAVLGDCRARVLKEEMQEGTSLFTVHAYLPVAESSEFSKKLSNATAGAASALLAFGHWETVSHDPFFVPKTREEIEEYGDGSNVGPNLAKKLMNSVRRRKGLHVEEKIVEFGTKQRTLAKKV >LPERR02G15870.1 pep chromosome:Lperr_V1.4:2:14021717:14025942:1 gene:LPERR02G15870 transcript:LPERR02G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLPRTEARVLEGHEGAVLAVRFNRDGNYCLSCGKDRIIRLWNPHTGALVKRYPSHGREVRDVKSSSDNARLVSCGGDRQVFYWDVTSARVLRKFRGHNSEINSVKFNEFNTVVVSAGYDRTVRAFDCRSQSSDPIQTIDSFQDSVMSVNVTNTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKSTGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGSIFFWELVDAPVVASFRAHSSVVTSVSYHPTKACMLTSSVDGTIRVWT >LPERR02G15880.1 pep chromosome:Lperr_V1.4:2:14035882:14038731:-1 gene:LPERR02G15880 transcript:LPERR02G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARRRRFSFRATSFLFVLLLASLSCCLHVLAFPGSEMKAAEASHRDIGLTKPAEDGEKQFADAAYPVKWPRTPTTVLASPMVPVQDQAAAVAGTRAPHQSDIHIQQGMLFLMRDLFPGTVLPEGTKLASRDVAGGMPPVPRFISKADAEAVCRSGTAHDGRGTGRPTGHVGPSRKIGSNGLAPIPLARDEREGAAASSLQGAAVFSLQGAAASSLQGAAVFSLQGAASGRRLSAAAGLLLVFFVAIATADVFGFQLARYINKEAKTAASPEKSLESTSNISLAAQEFSSVPYSHLETILGMFHILPGSKKASQVADTLRTCAELTSDLEPRACATSHEAVAPGGVARIGGAVVPCHPMPYPYRVFYCHRPSDAVAMRVELISAAGDVVDADAAAGALGLAGATVWDGRYFQLLNARRGEPICHYMPTAYVLWLVE >LPERR02G15890.1 pep chromosome:Lperr_V1.4:2:14048305:14055776:1 gene:LPERR02G15890 transcript:LPERR02G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGILSGRPIEWPTDFIKETFTMEQPLLNRPVSCSSKSTTSRSVFTEAGYFSIITFSWMGPLLDLGRRKTLDLDDVPILDESDSVQGIFPNFEAKLVSLSATGKYTDVTTFKLVKALVLATWKILLVTAVCTLFRTVSSYVGPYLIEYFVDYLNRSPRYTKEGYLLVLTFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAVIYQKGLSLSNQSRQSSSSGEIINAVSLDAERVANFNWSMHELWLFPVQIILAMLILYSTLGLAAFAALAATVLTMLANIPIGRIQQNYQEKMMDAKDARMRAMSEMLQNMRILKLQGWEMIFLSKIMELRKVEMSWLKKDAYTSGMLISVFFGAPAFVAMVTFGTCLLLGIPLETGKVLSALATFRQLQGPNYSFPDTISVIIQTKVSLDRICSFMRLEELSSDVVTKLPRGTTNVSVEVRNGHFSWNTSSEMPTLRNINVHIQQGMKVAICGTVGSGKSSLLSCILGEIPRLSGEVQTCGKIAYVSQSPWIQSGTIEFNIFFGTKMNMERYEKVIEACSLKKDLEILPLGDQTIIGERGINLSGGQKQRIQIARALYEDVDIFLFDDPFSAVDARTGLYLFEVLKDGQITQVGNYAEILNSGEEFKKLVFSHKDALSTLDRLEHPSGNIESSHPGDSESTLFREDEQKGDYNGPDGIIQNGQLVQEEEREKGRVGFAVYWKYITMAYSGALVPLILIAQIVFQLLQIGSNLWMAWAVPISKDVNPPVNTSTTVLVYVALAFVSSLSIFIRSHLLVMAGCKTAMMLFDKMHQCIFRASMYFFDSTPSGRILNRQYYIDGARELQRLIGVCRAPVTQHFAESVAGTNIIRCFGKERQFINSVSHLMDNLLRPSLYNAAAMEWLCFRLDILSSSIFAFALILLVTLPTALIEPKTAGLAVTYGLSLNMLQGWAIAVLCSLENIMISVERIFQYMVVPSEPPLTISENRPNCQWPANGEIELRNLHVRYAVRLPFVLKGLTCTLLGGMKTGIVGRTGSGKSTLIQALFRIVDPCIGQILIDGLDIRTIGLHDLRKRMSIIPQDPVMFEGTLRSNIDPLEEYSDEQFWEALDSCHLGDEVRKHDLKIDSKVKENGNNWSAGQRQLVCLVRVVLKKRRILVLDEATSSVDPITDNLIQKTLKQQFSKCTVITIAHRITSVLDCDKVILLDNGEIAEHESPAKLLEDNSSLFSKLVSEYSKGSKL >LPERR02G15900.1 pep chromosome:Lperr_V1.4:2:14064293:14084292:1 gene:LPERR02G15900 transcript:LPERR02G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDLQQVSKARFTVGSHVWVEDADVAWIDGLVEEVTGDEVIINCTSGKKVTANASSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAAFGELSPHPFAVADRAYRLMKNCGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDPASFHYLNQSNCIKLDAMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFAEGDEADSSVPKDDKSKFHLQTASELFMCDEKALEESLCKRVMVTRGESIIRNLDPRAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKHLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLEILSSTEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLGESYDEVSATNRLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSCSASKIQRKVRSYLAQKHFLQLRLSATHLQAICRGQITRHYYEDLRREAATLKIQTNYRMHFARKSYRDVCSASTSIQSGLRGMAARKELQYRRQTIAAVTIQSYCRSYLAHSQYMGLKKAAITTQSAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKSLENKKLQKKLQEFELQSNETKDLLIREQETAKAALEKAALVPEVQVDTTRVNELTAENEKLKTLVVSLETRIDETEQRFEEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMEAENQVLRQQALFRAPVRTIPENTSPKSDSTNGSPRGDEQMTPHGTPPASKEYGKFAQPRPSFFERQHESVDALISCVTENIGFSEGKPVAAITIYKCLIHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGVTPRKKTQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVRGRSFGTSSLPRGRSFSNQGSYWQAIVDNLDELLKILQDNCVPSIFIRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEVWCGQVKPEYVGSALDELRHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRALITKESGQDSSDNTFLLDDEISMPISLEEIGDSIEAKEFQHIAPPPELVAIPAFQFLKS >LPERR02G15910.1 pep chromosome:Lperr_V1.4:2:14091265:14092784:1 gene:LPERR02G15910 transcript:LPERR02G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLATWGQPLLLSFLILSPSLRLPRGKNNFSSLLLHLSSPNPIELEAFFIGFLRENEEQNVHSPEKPKLALKMKGKKGKGKKTFEGPPI >LPERR02G15920.1 pep chromosome:Lperr_V1.4:2:14099468:14100331:1 gene:LPERR02G15920 transcript:LPERR02G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSQEVAAIVRKITGLHAAIAKLTSQIISSPYRQWIRRLCSDAEARLEERYSDEVAAAPTARSTTSPASPTWHVRHVARCDVEHALLLARHHLASRSSCRARCRSCSPRARFDCYDRSAAATGRARRLARALGVGVSFRTAADVDARRDLAAYDVVFLAAENGEADVVVHLCRHMAPGAALVVEAEAVARAGFDVLDVCRHRDDGDGDAVVRSVVVVVVARKAADGAGRDGNTAAWHVSREAPACGCGDATTPSERRRGGGKGGRKRPVRSCRLDAINEARRRAW >LPERR02G15930.1 pep chromosome:Lperr_V1.4:2:14101836:14102583:-1 gene:LPERR02G15930 transcript:LPERR02G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFEAAETAAIVAALTRVIADGRGGCAGAATVPPPAPSLIVPPAMGSVAVTESLSLVGAVSSAGGHAGEASAATATARRYRGVRRRRWGKWAAEIRDPRKAARLWLGTFATAEDAARAYDAAAIRFRGSRAKLNFPEDACRLRRPATAPAPMPVAGSRCPCHGDDGSPPIAPRKVGFVGGNGANGRWLGSWTVGPPPPSPSAAATLHRGVVHGSNGTEEGRE >LPERR02G15940.1 pep chromosome:Lperr_V1.4:2:14103419:14106010:-1 gene:LPERR02G15940 transcript:LPERR02G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVVALTRVIADGCGGGATVPEPAPWFVVPPAMVVSPSRRSLGCWSAWCPPVVEGRWLVGGG >LPERR02G15950.1 pep chromosome:Lperr_V1.4:2:14120695:14124936:1 gene:LPERR02G15950 transcript:LPERR02G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGIKFFPMGSGDSGDNQEGTGDNQDQAGNEEVQQPAAADKGKAIAVDDEGGSLSKGSKRLDEPAASSTNVRTGKKGKGKLGKVKGSVSVIISSHIFAERERRRRIKKLFEELHALMPHLSPKADKVTIVGEAVSFVRSLEESLAELEKRKREKDSLVARCALLALGSGASSSWAPAAADPPAPLEAPGGMRVWAGPRLVLNLFGDEQAFIGASLPRRPGVITMVLEVLDRHDIEVINMQISANESRSLVNFHTRLDREHGMFMETVTAQEIYLVALSEIMAWLGE >LPERR02G15960.1 pep chromosome:Lperr_V1.4:2:14135867:14136830:-1 gene:LPERR02G15960 transcript:LPERR02G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGLQVPWYFRCPISLELMRDPVTVATGQTYDRSSIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSLGVERIPTPKQPADPELIRSLISQGPVVTALRRLRALARESDKNRVVMATAETRSALVEMAFGGNGEVVEAEAMAVLAMVGMGEAEAVEIVGRKERVARLGELLGGAAAATVEARVNAGAHAAGALVAVVGGSEALQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPAADSIANSDDFLQPY >LPERR02G15970.1 pep chromosome:Lperr_V1.4:2:14160536:14164035:1 gene:LPERR02G15970 transcript:LPERR02G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLATRRRRRRRSATAAEHHLPVSAPTKNPQKIKAPKDIPEVPAATEKTPLAQALQIPTPAAPPPAPMPETVQIATGKEHRITYGSGGGGGGEPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTEVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLSWDSRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSDGVVDPKMPQKPTSRALKKALLVALRCVDPDASKRPKVGHVIHMLEVDDFPYRDERRGSRAPGQARLASKPAIETGDRDSDNSGNNSTRQTEPFRWRNPES >LPERR02G15970.2 pep chromosome:Lperr_V1.4:2:14160037:14164035:1 gene:LPERR02G15970 transcript:LPERR02G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLATRRRRRRRSATAAEHHLPVSAPTKNPQKIKAPKDIPEVPAATEKTPLAQALQIPTPAAPPPAPMPETVQIATGKEHRITYGSGGGGGGEPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTEVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLSWDSRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSDGVVDPKMPQKPTSRALKKALLVALRCVDPDASKRPKVGHVIHMLEVDDFPYRDERRGSRAPGQARLASKPAIETGDRDSDNSGNNSTRQTEPFRWRNPES >LPERR02G15980.1 pep chromosome:Lperr_V1.4:2:14166605:14170088:1 gene:LPERR02G15980 transcript:LPERR02G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKEYVRETFSWQYYYWYLTNDGMEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFSAGPTSSSME >LPERR02G15980.2 pep chromosome:Lperr_V1.4:2:14166768:14170088:1 gene:LPERR02G15980 transcript:LPERR02G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKEYVRETFSWQYYYWYLTNDGMEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFSAGPTSSSME >LPERR02G15990.1 pep chromosome:Lperr_V1.4:2:14174327:14181324:1 gene:LPERR02G15990 transcript:LPERR02G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKGQDKEQQKENNNISKLNLREFDNKRVVLNINAHVYQLPNRLLSHLGGNLVVLQLGRWWNSDDNTYMEVQGLEKLSAISNLKNLRYLGIRGLSKLTELPNEISKLRQLEVLDVRGCQNLTRVTSSNVKNLRLLTHLDLTECYMLEHIGREITSLSKLQVFKGFVFSIDSRWNNVCRLQDIGAKMKHLQKLSINVTTDANVAKNEMAQLKHLSGLTSLTITWGELPSILTSEERKADRNQLLERWTSLELPPSLVKLDIRCFPDKEIPSKWFEQGPNKSKVLKKLYVRGGAVEKLNLPRDNNIETLRLRYLKAFNMKWNDMLGMMKNLRYVEVFVKDAKVMKSEKRKYQVENVKEDKKKAKDEEMKLMEKIKKEMSIPKFMLDEEGVWVKDRKDKETEQSKGAQTQMTSEISNNVEKAHNASNGVKTPTTELPKGDT >LPERR02G16000.1 pep chromosome:Lperr_V1.4:2:14183828:14184830:1 gene:LPERR02G16000 transcript:LPERR02G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVGSLSVETVVGARNDPSCSDVKIPPNMACELHIPLRNLSIKVAAALTIATDPAGTFHCRTIPAGFSKVKVEQVVDTYDDLELEIDGGEGETTLGEAIQNIILWNKRYIVIPGRVAGSVRPSPPSHPSPPPSPTAPTPGPSPSDPALGTSTSPPTPPDEESPAPASTTAARPPPPSKKRIWEQGLCTDRLPKIPTLKKLSYNLTPEELDEAVKEEVREHFKPKKKNPPSSRILRNFPKRPKKIAEKPAPKPTSDYNRSLGKARQKAARVGKEVAQLGEQAQKELDQTPFLSPEEQ >LPERR02G16010.1 pep chromosome:Lperr_V1.4:2:14184843:14186302:1 gene:LPERR02G16010 transcript:LPERR02G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGITRAQLMQQEAIPVAEAKPKRDYVSGEPFLPADELKTVGTQMHRFQEWYMKASATERDTIGAKIYSLDYFHKHDDYLWIPFKDVFDLYQLDALNVSMVTAWVMRFKGPKKNNYKHVAFMEPRQINTPMVQAQAHSINNSILTFLAQNNHKDSIYLPYNKSLINIVSRYIYSFHWVLFAFGVSHSTVLVFDSMDHEDIFFIEINVDKQQTGTNLCGYYVFDYLHLAPRQFFYDFRYMDLSGKKPCDDMLRAVQEQLMGFINEQILDPAGEFYVGD >LPERR02G16020.1 pep chromosome:Lperr_V1.4:2:14188223:14193192:1 gene:LPERR02G16020 transcript:LPERR02G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEQGDLEINGTEPKAVRFSGDVKEADALQMVQSENEEGEMEEEGVVLSSDTRMVEQGLDINDDANNASAGVDMETELQHLPNGKVPDERAEDDDKVLVESALDGIALDDVVTNMESKLDGIERNLLKYCDYAKAPTKRRSLRPQRNATSVRRETAVPERTDQIYVGESSQMVSDEPGNESSVTNLKSEIREDQILRESADFSTSCNEILGPILLEENKELAVAENMIEENNDAQLCVVNESKEEINVSALASPHEDSLMQETDLSPLGASHKDSLIQDNLSSLTNSHKNSLNEETNPPLTHSHEDSLVEETNLSSLTTSHKDSLMQETDLPQTISSQENNLKLEFKEGCDIDMLPQDVDLIELSGERRTVDDELFSNVGTEAASKMKEKNLEQSNPFRISDHNLIGGSEVSVIHSSPGLDQCSAEGSCTESQKEQQLVTVSGDVAGSTNNIQQLPLENKGVQVIEIEDDTPIEVGGFDSSKAKSEMICSSMDNMIDPIVHSGDLPVIQDGYNLAISDYLGADIPCYPPMQSDLHAGIGGNDSEGITVMDDPIYGSLTDIGLHDILVSVFLFVLPLCQSQIHCFMDVWGQPTQDDYKFF >LPERR02G16030.1 pep chromosome:Lperr_V1.4:2:14193637:14195415:-1 gene:LPERR02G16030 transcript:LPERR02G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >LPERR02G16040.1 pep chromosome:Lperr_V1.4:2:14200647:14202471:-1 gene:LPERR02G16040 transcript:LPERR02G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVIG >LPERR02G16050.1 pep chromosome:Lperr_V1.4:2:14206104:14207279:1 gene:LPERR02G16050 transcript:LPERR02G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSTSSKRRRDDADYATTTLPQSTRRSARHEKKKKHLYLALDDWNGGYSIHKLDADAILYDDDDDAAGRRLPEPAAVRIASPAPRDYMSFAAVGTNIVIDTNPQCRHEHASPTLVYDTETSALTVGPHVPDGIHGLADASMGAVGETLYALTSSFTLDKKISLQALSWAPTSIPDRYPWEPPMEWSWKATVDKDYTIPYHGKEVVGYALHPDGRTIFVSSGVTTHTLDTSNGVWKDLGDWALPFRGQAFFDPDLDAWVGLHRNRDNVNICCCPVASRSTVVTSTRPMTPRCKVLREKLTRRKEGDTKYMFIRTGADVSLTYMGGGRFALVEGILRSEDLLNDGAVIHVTLFGLQYDHNGDLQTKVRRATRSYAVSKTTPFFSHAAFWM >LPERR02G16060.1 pep chromosome:Lperr_V1.4:2:14226874:14229707:-1 gene:LPERR02G16060 transcript:LPERR02G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFSKATGYGSSLTLDPLRMLQIGILRVMLDLVMASRDDDSGRDLRADDSYGFDRNSGVFTFAQTEQGFQPNKDKNFKDGKEKEALLEEIQDLKNQLHYMLLLSMALCRPPVDYYRQLTLSQIVQPFEFWKKLVMMATSMLRLLRLVVESEKKCSVELKGALEMTMQEHARILEQYCELQEKHAYLLSMCCAINDGIEDVKKEAAKAGVQRAESNHLSLLKQREFKPLQSVEVSKSSDLSLWLYGYDK >LPERR02G16060.2 pep chromosome:Lperr_V1.4:2:14229039:14229707:-1 gene:LPERR02G16060 transcript:LPERR02G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFSKATGYGSSLTLDPLRMLQIGILRVMLDLVMASRDDDSGRDLRADDSYGFDRNSGVFTFAQTEQGFQPNKDKNFKDGKEKEALLEEIQDLKNQLHYMLLLSMALCRPPVDYYRQLTLSQIVQPFEFWKKLVMMATSMLRLLRVVDYSCKKA >LPERR02G16070.1 pep chromosome:Lperr_V1.4:2:14229758:14233124:-1 gene:LPERR02G16070 transcript:LPERR02G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVWGFGGTGRSGTLATSRLMACLWVSFRRRLWKEVRVRKTGPGSVDIQGQGVWQSEELRLLLHMQKAPYHSNMEEGSKFTRTSRVNLVDLAGSEQQILTDTAGDRLKEARNINHSLSQV >LPERR02G16080.1 pep chromosome:Lperr_V1.4:2:14236834:14240462:-1 gene:LPERR02G16080 transcript:LPERR02G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDFDIESSSRLSKHSKEAKNRRHRRISPARRREDVPARRGEEAAAATGPLLLRREEAHRRSRGGLLRRSGASGGRRSIWRRKLTRSCVSARPARDPSTASPGEDGPLQRCCGRLVRLGPRDKVVVSLG >LPERR02G16090.1 pep chromosome:Lperr_V1.4:2:14241495:14251201:1 gene:LPERR02G16090 transcript:LPERR02G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRTSHRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNSNSNQICRSLVLHNVFDSIVKEEEEFYLEIKEDVHTKCCINGAVLDNIHIIRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.2 pep chromosome:Lperr_V1.4:2:14241495:14251201:1 gene:LPERR02G16090 transcript:LPERR02G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNSNSNQICRSLVLHNVFDSIVKEEEEFYLEIKEDVHTKCCINGAVLDNIHIIRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.3 pep chromosome:Lperr_V1.4:2:14241495:14245942:1 gene:LPERR02G16090 transcript:LPERR02G16090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRTSHRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNSNSNQICRSLVLHNVFDSIVKEEEEFYLEIKEDVHTKCCINGAVLDNIHIIRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.4 pep chromosome:Lperr_V1.4:2:14241495:14245942:1 gene:LPERR02G16090 transcript:LPERR02G16090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNSNSNQICRSLVLHNVFDSIVKEEEEFYLEIKEDVHTKCCINGAVLDNIHIIRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.5 pep chromosome:Lperr_V1.4:2:14241495:14251201:1 gene:LPERR02G16090 transcript:LPERR02G16090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.6 pep chromosome:Lperr_V1.4:2:14241495:14245942:1 gene:LPERR02G16090 transcript:LPERR02G16090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRTSHRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.7 pep chromosome:Lperr_V1.4:2:14241495:14245942:1 gene:LPERR02G16090 transcript:LPERR02G16090.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDRERRDHRREDRERRQDMEQKGCQLEGDDRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16090.8 pep chromosome:Lperr_V1.4:2:14241495:14245942:1 gene:LPERR02G16090 transcript:LPERR02G16090.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGISGQSSPPVPDLSKKKRKERERKKNSSPIEAAAGSEASTGAGSCAGSRWWLSTLPDSPTEVAASLRCGGSRIPGMDRSRRNYRSRPRSPDGGGREDLDRLKQPRLELEEETAGKEEGMHQRGGLELEEEGEHKREEGGDREQRHRDSGRRSDDFREQKRRDTEWKDRKWEEDEQDREQRRRDRERRDHQREEEDRELRLRDMERKGCQLEGDDRRRDKERRDRPQEEDDRERRQDMEQKGCQLECDNRSTGDLCLRFDSERSLKEAKKIFDGSLYGGRRITCSYMDNKRYTRRYGL >LPERR02G16100.1 pep chromosome:Lperr_V1.4:2:14252641:14256023:1 gene:LPERR02G16100 transcript:LPERR02G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPPLPLLPAVVPLLLLVLLATTCLASGGGEPEEFQIPRDGKVLELDDGNFEAAVRAVDFLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIIVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTAKDFSEDMMVFYDFDKVPALVSVNPKYHEQSIFYGPFDDGAFLEEFIRNSLLPLTVPINRETVKMLNDDGRKVVLTILEDDESDENFLRLIKVLRSAASANRDLVFGYVGVKQWEEFTDTFDVSKSSELPKMLVWDKKEEYEIVEGSERLEEGDHGSQISRFLKGYRAGRTIKKKVGGYSPTLLGVNAVYILIFLVAVLVVLMYFSGQGEEDQRSRQRAHED >LPERR02G16110.1 pep chromosome:Lperr_V1.4:2:14255664:14256641:-1 gene:LPERR02G16110 transcript:LPERR02G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASIPTSPPPDATDPGLPDLSVAYDLATRGQWKALLTHLNAASPHPHHRLLLSALSALALAKLRRYGDAAALIASLHPDPGCPAPPFLLRLLHALLPLFLPDGRPLALDRLYTLLSSVRARRPDASHPEWRRRDALVASLLAADHLAHREFDVALALLEGVVSRDPANPSLLSRLGYAHLQIGNLAAASAVFRHVESVAAAGDDDASHSALLARNRALERVVAKDHAAAVREYERCIEAGGDAVAVNNKALCLMYSRDLGDAIKTLEAALESQPTAALNETVVVNLCSMYELAFVNHADVKRTLTDWIARVAPDDFDPSCTRM >LPERR02G16120.1 pep chromosome:Lperr_V1.4:2:14258917:14262089:-1 gene:LPERR02G16120 transcript:LPERR02G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGHGGRGGAFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDYTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALSMMKRDNEGEEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKRSASWTN >LPERR02G16130.1 pep chromosome:Lperr_V1.4:2:14267086:14269616:-1 gene:LPERR02G16130 transcript:LPERR02G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQQRWRPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGSLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSIRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTIHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVSTYTSAKALQKVKRLPATVPQKDNVPDVAMKESTDGALERQSTKASSDVRQISKGDEDHQNKNSSLTVDRTAKHKQTSKFVGGPSNWDPYSKGVSLSSLRISQLPEPLSIVKDNKQEDVEPMSTECNPEVKSKPRFTVGEPDETQGAISPKSLKDAVKPKHKRKKKQHNKN >LPERR02G16130.2 pep chromosome:Lperr_V1.4:2:14267086:14269616:-1 gene:LPERR02G16130 transcript:LPERR02G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQQRWRPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGSLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSIRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTIHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVSTYTSAKALQKVKRLPATVPQKDNVPDVAMKESTDGALERQSTKASSDVRQISKEPLSIVKDNKQEDVEPMSTECNPEVKSKPRFTVGEPDETQGAISPKSLKDAVKPKHKRKKKQHNKN >LPERR02G16140.1 pep chromosome:Lperr_V1.4:2:14271914:14278870:1 gene:LPERR02G16140 transcript:LPERR02G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAIPIAYQTTQSSPDWLNKGDNAWQMMSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLAARSELTATAMRYHDGSVEADMLKPFYPAATMVYFQCMFASITVIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGLLGGATTGLFAEPILCSLFLSIPGSKGAIYGGVGGSQFGKQIAGALFVTAWNVVITSIICVLISLVLPLRIADQQLLIGDDAVHGEEAYAIWAEGELSDITHHNESTHSGVSVGVTQNDCFPFRILNPMRCP >LPERR02G16140.2 pep chromosome:Lperr_V1.4:2:14271914:14279406:1 gene:LPERR02G16140 transcript:LPERR02G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAIPIAYQTTQSSPDWLNKGDNAWQMMSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLAARSELTATAMRYHDGSVEADMLKPFYPAATMVYFQCMFASITVIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGLLGGATTGLFAEPILCSLFLSIPGSKGAIYGGVGGSQFGKQIAGALFVTAWNVVITSIICVLISLVLPLRIADQQLLIGDDAVHGEEAYAIWAEGELSDITHHNESTHSGVSVGVTQNV >LPERR02G16160.1 pep chromosome:Lperr_V1.4:2:14281834:14286226:-1 gene:LPERR02G16160 transcript:LPERR02G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDESRYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQGKYYKKDNSAPTFSDQTVEEIMKIVDEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDEGDRDEHEEDGEECDSEDEYTKQVKQAHASCEIQKI >LPERR02G16170.1 pep chromosome:Lperr_V1.4:2:14289940:14294114:-1 gene:LPERR02G16170 transcript:LPERR02G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFPGQAPSRLHSETAPPPPAAPAAGVGKRKRSFSEDDAYLVLHRYQPATILTMMQEMGKHVQPGRRGIDWRALVRRTSTGITSPREYQMLWRHFAYGHELVESVVPGALPLEDDSDLECDIEIVPTPGNEALAEATSFAKSIISGSSREQASGQRVNSEANALNTQNEKIVRVPSDKQLVPSQGLTNGTGPVSSLKQPSNAGSSSDPFESNGHAKKKKKPKPWSKEEDGELAAGVQKYGEGKWQDILDEYRFDSSRSYLQLQQRWAFICKRQGSTKPANHKPVNATSSEDLNAAKKTYMMFIDMPMKKKSGPQHSVQHNAPMFTTTPEVKPAVASLPLPSPSPVVPVPSPSPVVLAPSPSPVVPAPTPSPPPQLQQAPAQSAPPPSKVSNASNKTRNNSKKQVAQPSPVNNGPLSLQAIAFAAGGRIATPSVATNLLKAAQSTKAVHIRSRGTGSSKSSTGSKTPSMAGEPGTQIGSAQYLELQNTSGSSPVLTTHATEQVPSVSEVAGVTPLGQSDGVHLSETKKPLNTMPVSGTSDKMEIDDNSNYFAVTMEDLFPEDTKQEDAEDHKTEEAIDPKDADMLEFDRFVAQGCLNKDYVDKSKTVKVAPEVQGVVSSQKKQPKTLPTVGKSNPISAGATVTTKKTKSPVPQGGMSSGIVAAGNVGVLNRSGGKAHAPTTTATQNTVQKQQNTSSKGNVPKIVAPGTVAPANNRANTAVNGASKANVPASQKPA >LPERR02G16180.1 pep chromosome:Lperr_V1.4:2:14300767:14301336:1 gene:LPERR02G16180 transcript:LPERR02G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPSPATSAAAAVSTPRRRRHRLLPSSAGGGASFSSSSSSSSFSFFPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARPPPSSCPSKHAGGGALPLPPSLLSRASSDPYASAVVPAEFAGAMAPPPPLEFYTVGKTRRLRLGRRRGPRLGDALAEWLSVLSLYRSCKRAAACFAAGAKPAN >LPERR02G16190.1 pep chromosome:Lperr_V1.4:2:14306986:14311474:-1 gene:LPERR02G16190 transcript:LPERR02G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMSFVVEQELKAASFPVSAGKNKTAPVEEPDRTPPPPPGDRLSPDKGSGGGKARRSLSKEPQRQLSRSSSEKLKAGKASTSGIGKAVEVLDTLSSSMTSLSPVGGFVSGAKTKESRVSILAFEVANTIVKGMSLMQSLSKENMRYLKGTVLRSEGVRRLVSSDINELMRIAAADKRQELMVFSREVIRFGNRCKDPQWHNLDRYFSKLESEIIPQPNLKEIAEAEMQQLMTLDLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIKQELKSQRKHVQNLKKKSLWNKMLEDVMEKLVDIVHFLHVEIQESFGSYALQSNEASESHQTLGSAGLSLHYANIIAQIDNIVSRSTVPPQSTRDALYQGLPPTVRSALRTKLHNCPEPLQVPIIEIRSSIEKTLQWIIPVANNTARVHHGFGWVGEWATTGKDGMRRAPGQPDVLKIETFYHADKQKTEACILDLVLCLHHLISYGARSSSRSPSRSPARSPPLTSPQQPPANGGAGGLSSEDRAMLQDVCAGRRRRRAAGGHYSRSQELASAARRGGDGEVAAMAQLSKNDRLSKSSGGAPARGGGGKLFPLTRRPSPAVVSPAVDFDIDGIKALDDDHQKRQ >LPERR02G16200.1 pep chromosome:Lperr_V1.4:2:14316146:14320226:1 gene:LPERR02G16200 transcript:LPERR02G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAHRRHHRWVKEWVPQDLVVAGGPCLLYKWVREDRLSALKSKDKEQGAEVAKSEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYEGCDKKFLDSSKLKRHFLIHTGEKNFICPHEGCGKAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGESATNRSVAGDHSHNNNTPKLSATPPVPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKSHMSKRRSKPVMTDKMPLPKIPKRRGYTVPPPYQPVNVVEEHQWPRNEQYEDDSEETEEEGDNVEDGTRYRAASSDDDEETEDEE >LPERR02G16200.2 pep chromosome:Lperr_V1.4:2:14315989:14320226:1 gene:LPERR02G16200 transcript:LPERR02G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAHRRHHRWVKEWVPQDLVVAGGPCLLYKWVREDRLSALKSKDKEQGAEVAKSEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYEGCDKKFLDSSKLKRHFLIHTGEKNFICPHEGCGKAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGESATNRSVAGDHSHNNNTPKLSATPPVPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKSHMSKRRSKPVMTDKMPLPKIPKRRGYTVPPPYQPVNVVEEHQWPRNEQYEDDSEETEEEGDNVEDGTRYRAASSDDDEETEDEE >LPERR02G16210.1 pep chromosome:Lperr_V1.4:2:14322096:14326542:1 gene:LPERR02G16210 transcript:LPERR02G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAVLASLSAAAALLLVLAALLPLAAASDSDHKYQAEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSDNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSEAIESSYWFEFFIGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGIGTQLAALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSMLFVRRIYRNIKCD >LPERR02G16220.1 pep chromosome:Lperr_V1.4:2:14328195:14329940:1 gene:LPERR02G16220 transcript:LPERR02G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACPRLLSLLPLLRTPPSAAAAFFSTAPPSRGCPLHAALARRGAPVSASLALYSRIRAASPPPPTPFTFSFLLSALASSSPPPSLAAARVAHAQAFRCGALAHPVVTNSLLKLYLSLGVPGHAHARGVFDSAGSALDVVSWNTMVSGYGKGGDLGAAREVFDRMPERSLVSWSAMVDACVRCGEFGEALWVFDRMMREERFRPDAVVLVSVLKACAHLGAVERGRWVHRYLEEEGFGGTIGNVMLETALVDMYCKCGCMEEAWRVFDGVRGGDVVLWNAMIGGLAMNGYGERALGLFRRMLQKGFLPNESTFIVVLCACTHTGRVDEGKEIFDSMQKHGVKPQREHYGCLADLLGRAGCVEEAEALLLDMPMEPHASQWGALMSSCQMHNDINVGERVGKRLIELEPDDGGRYVVLFNLYAINGRWEDAKAIRQMMEERGAKKETGLSFIEWNGLVHEFVSGDVRHPQTRKIYALLEDIERRLKLIGYVKDTSQVIMDMDDEEDKGITISFHSERLALAFGILNIPQDMPIRIVKNLRVCRDCHVHSKLVSKLYQREIIVRDRHRFHVFRDGVCSCNDYW >LPERR02G16230.1 pep chromosome:Lperr_V1.4:2:14335176:14336162:-1 gene:LPERR02G16230 transcript:LPERR02G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSTAAAFYATLARGLDELDRSLASASAEQFVTVASLRAALALIRGAHAGMARLVASLHLPGGGAWLDEYMDETSRLCDALRSLRLGASSLEGFSDSASRLSSALRAGGAGAAAAVVRAAAACRREAVAIGEENRVLVEARHDALALRLGSELGSSPDVAAKLAAGFNGFRGVLCATRMVASFLLTLLSWGVLHYRPDSAAGACAGATADCFGAAFASALARAQQRAAALAAARAAAEVANGGGGGGAMMQEFRRARAAVEQAKDAVERGGDAAAAAAAAEVTLRADALRSGCEDVIAMIDDLFDEVAEGRKKLLDLCSGGAGGN >LPERR02G16240.1 pep chromosome:Lperr_V1.4:2:14346065:14348320:-1 gene:LPERR02G16240 transcript:LPERR02G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVAAQSAAAAAGELAVLFVLRPLLAFSFVFSIVALSWYVAWRTVLVHVPVVQEIAGLRRKKPVKPKPENRFRFVDFYRSLAENERNNKPEGTS >LPERR02G16250.1 pep chromosome:Lperr_V1.4:2:14351664:14353162:-1 gene:LPERR02G16250 transcript:LPERR02G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWTLAGYGERLGEVGGFQFHRFPTIERLARVSEQELREARFGYRAKYVVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVAACVALFSLDQNHAIPVDTHVWKVATQYLMPELAGKSLTPKLSVAVANAFVAKFGSYAGWAQNVLFIGQLSAQKLMVAETTNDSTKPTKRKRSGNNVKT >LPERR02G16260.1 pep chromosome:Lperr_V1.4:2:14353331:14354720:1 gene:LPERR02G16260 transcript:LPERR02G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYTPAALMYLLSHIVTAIFVILIKKYSFVSWHIVSTGEDPLIFDVTLKSNIFQNGQIHGLESAAIDFLQS >LPERR02G16260.2 pep chromosome:Lperr_V1.4:2:14353377:14354720:1 gene:LPERR02G16260 transcript:LPERR02G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYTPAALMYLLSHIVTAIFVILIKKYSFVSWHIVSTGEDPLIFDVTLKSNIFQNGQIHGLESAAIDFLQS >LPERR02G16270.1 pep chromosome:Lperr_V1.4:2:14356829:14360185:1 gene:LPERR02G16270 transcript:LPERR02G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLPDELLAEILLRLPPDEPSHLFRAALVCKRWLRAICDPAFLRGYRRFHGSPPLLGLLHRRMVMQGDPEPRLVRTTAAPLSPEPPFRRALDCRHGRVLLHCPFVVWDPVTGDQNRLLEAGIPWLIYSAAVFCAVTCCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETGAWTTPAILDDGFKTWEERFHARLECCRRGEYYRTPYVQPRRGALVGDAIYFTLRNDNAIIKYKWGTNCLSKIDPPSPHVYFIALMEMENGSLGFAYIEGSSLYVWSRNKMAWTLAGYGERLGEVGGFQFPTIERLARVSEQELREAGFGFRAKYIVGTAKKLQAEPDGGKKWLSSLRIRELPEVIEALCTLPGVGPKVAACIALFSLDQNHAFPVNTHVWKLGDRSGGGAPDGGRGDGKQDFAVELELGLGKRRKKN >LPERR02G16280.1 pep chromosome:Lperr_V1.4:2:14363305:14371666:1 gene:LPERR02G16280 transcript:LPERR02G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLFTAGRRRAELHGPSRRRCVRKTRAAPSSGSAGVPYGSATPDLMRRIRRRRARPEEHAREPTPEGKMTTTEDACAGGARAVAGAEAEDDDQRAKLMRTNADVPGMSMSACKSSGLLRSSAIENIRVTSRIVGRRDGLGSKHCTAAMISMNISLAVLTSGSGSRLSNKSFRDVFSLAMGRGERKSPG >LPERR02G16290.1 pep chromosome:Lperr_V1.4:2:14371263:14375682:-1 gene:LPERR02G16290 transcript:LPERR02G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSPLKLILQSAILLSSLVLLLATIVPSIDEQAAALIAWKATLQSQQPLQSWGSKMLPCSNWRGIRCGAEQGQMVINKIALRGMRLQGSLDALNFSALTTLTSIDLSHNRLTGRIPCNIVSLKELRALRLHNNQIRGSLLQPALAALTKLRYLVLSNNLLSSSIPKEIDKFEDKKVLIQFGFGFDSLDLPIPHSGELGDRRIKIVLAFSLGIEGSIVIFHIMWLCEGEMKGGRLQILTAEDNNLAGPLPTSLIKCKSLVRVRLGQNQLKGDISELGLHPNLVYIDMSSNKLFGRLSHRWGECTKLTYLHASNNHITGIIPSSMGKLSQLGSLDVSSNKIEGHIPPEIGNMVSLFYLSLENNLLRGSIPVEVGSLQNLEYLDLSWNDLSGPIQGSIENCLKLRLLKLGHNHLCGSISIKLGMLINLQELLDLSDNAFDGIIPSQLSGLSMLEALNFSHNTLNGSIPTSFQGMISLSSMDVSYNNLEGQVPHIKFLEEAPVEWFVHNKNLCGTVKALPPCDFTQKGGEGKKFKHILLGIGAAVGIAAVFITALVTWQYRKKKSGEQSKNGVGDTKVFSVWNFDGGDVCKQIYEATENFNETHCIGTGGNGCVYKAHLSTGEIFAVKKIHMTEDNELVFMREIDALTSIRHRNIVKLFGYCSAVHGRFLVYECMDRGSLSRYLENHDAAIELDWMRRISIVKDVANALSYIHHDCFAPIVHRDITSSNILLDLEFRACISDFGIAKILDVETSNCTKLAGTKGYLAPELAYTTRVTEKCDVYRFGVLIFELFMGHHPGDFLSPLPMAKENTSLKDLLDSRLPLPEVKTASEMFMLIMAAVQCLDPSPSRRPTMRDVTRMFSIADDLSNPDDLHADILIPAYYQ >LPERR02G16300.1 pep chromosome:Lperr_V1.4:2:14388045:14389633:1 gene:LPERR02G16300 transcript:LPERR02G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLELDSSSRTAGFSSLLAPSRGYDYKYLEKIQSLSLVSSGGSSSSSSGTARSNEYGGSMSGSESSGSHHRYYVPSSFLRAGFEVLCVRDITKQMVRDGFVLNLMGEYSRTPGGCPPVLERWFSELDVGWVLLRSSAAREKELDDEGGGGLGDLARRWMRGYAVMVHALAATSTTRVRPLKLQTVAVELASDQLQDDDLRFARFAEASVSRMLAFAAALAACNTWRCPMDKLLHLMQLHSCIPDQSGILMTSLEQEAGRLVDSEEMQSLFNKMDHVVSSTGGNLATAIWRMAKKAEAVTPVLSGYTDSWETFPRNAEIHEVTRLIVKYARLFWENQSVLENILCSYYSNDEPEDHQTQYLTTLIVQMITNLERHLEKKSESFSDSSLRYMFLLNNSYFIHDQFLATTYSLAWKAIIKNYEQYQESYMLLSWEHVLYCLHDKMPLWFPKYSSPLARFDSEFQKTCRHQKMWKVPCPKLRKTLREDIIGKVIAGLKKYLQDHPEQEKCCSDHQDIKDMVNELFEG >LPERR02G16310.1 pep chromosome:Lperr_V1.4:2:14392541:14395777:-1 gene:LPERR02G16310 transcript:LPERR02G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASSLLPASSPSAPRPRVSASAAAASFPCCSTRAGGLRLRSRPSRLPQQKAVRSSGRAGRVVRCTAASDAAQLKSAREDIRELLKTTYSHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELKHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDITEAEQCPPEGRLPDAGPRIPSEHLREVFYRMGLDDKDIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKLLTMLQDIKEKRDQDLLVLPTDAALFEDSSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDEDKDAEPAIEEKAADPAPAPAAAAPAEEKEAEPTPVLATVGAAAASPADDNNGAAPQPEPFVAAKYSYGKKELSDTMKQKIRAEYEAVGGSPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >LPERR02G16320.1 pep chromosome:Lperr_V1.4:2:14398594:14401940:1 gene:LPERR02G16320 transcript:LPERR02G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIAWGKNAKTNRRPSVASSKPGLPFGVDNENDEVENDETREATTDCPTKPANTAESLQQQGNKLAEEGKYHEALGKWEAALTLMPDNAILHEQKAQILLEVGDAWRALTAVTRATELDPLWPEAWVTLGRAQLNFGEPDSAVASFDKALAIKPDNDEAKSDRETAARLVKKRGQLHSSGMSANKRRFTVGDNVEKPEEDEGKEKDAAS >LPERR02G16330.1 pep chromosome:Lperr_V1.4:2:14402255:14404708:1 gene:LPERR02G16330 transcript:LPERR02G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKGNKRFGGGGEPAAKRQDTGEGGSSESADDGIVVAQISKTRRVAVRTWNGKVVVDIREFYEKDGKTLPTRKGIQLPMDQWKILRDNINIIDEAIKENT >LPERR02G16340.1 pep chromosome:Lperr_V1.4:2:14409861:14425501:1 gene:LPERR02G16340 transcript:LPERR02G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDGEARGQEDHAAAGRLGAEEGAVGGGGMASAGVPDVRGGLGDGGKVCGGQERRLFAEGDEPQVDGGGFPSEFVESVENGCTPSEGSGQIGEAKESSSQEGYMEMAGEKHGSCPVVSAEPSDLLTCLAPNGGAWNNKTLFSRFSVDISSSGNGHVCDMLDKATEGTICENDGLVRNEDDLGGATGVKTGTEDLQMVCTRPQCDNKGLSGLHHDSERWPQVVDGVGFVIYGNNEQMQDDFIPKIKAEVSMSVDDDSIPSFSGRIDDSLGKADRAGETLSNLGTCHMSNGDLCSNVLYAPLSEGCQSKNTGHTTVMANKVTQRSQCGQGDLVCDGGVLSGGEEVKKSLDNLQMFSKEPQCENNGLPHLAEFSIQQLSNSMNELEKDELLANTRVEVCSPIHEDLVPSISGSSINVPFDSKAGQIVKTSEPITTVEKVPCGSQGGGMTSYESKPFKEACADENQCSAVEVKTCEEGLQSRQIETFGDENQHFLMDVPEGSLASACEAKNTDNIRSCDPCAEIEFPFQQSYEKYVISEFPQERDLTSYSQSQPCENEPCYSGRETPVFCLGHQDSADNASTSSHLVQELDTCTSTDDKACYVENGNDSLNQKEAPVVFFRRRNPARAASSRNSYLEKSDQINKANNNTRRSKKVDSVSSLLKSTMVKFPNKTTKGRSVINRPLNSSAWGSLQKLMDGFNQNCGSSTHSHQTCLGKERSNSGSSEKNQLTIRKIRTSRCSKNKNTLFSDIGYVASELIGQSTCLVRTGTDVSSDDIGHIPKSGALALFNTLDCTHRTAQCVEGNHTLKLASILTDTQQLERGMEIVAQEPCPVYSHGECVASTSERSLNNANVGFSPDSVLDIASVTCESNTSASLDVVHENPSCPGGLIGGGLRASASSTSHCGKDHASSLMNLEEQVKTVTENGMRKEDDIPSHAMMENDIGEGKQTLKKSTTARKSRNMRKQECQKNDGKKGKNINRNRGSTKISSGEAPKLVSFSNDSSSPDLSELLLHTKPSKIGSCSKVLISATHDVSMHGYDNMQSHFVTENDDEGSAFDDVKSLRCKKKDSHGGKKGKVRDTHGKGRRKKKNIAGDLSPAAELAFKNSSAASTELPTNVACKNDGTSVSLPPAWVQCDDCKKWRSIPGELEDSIAKDNCRWTCKENKDKKFADCSIPQEKTNAEINAELCLSDASGDEADNDGSSSKAPKEPKFSQIRSNLFQHRNRRTQSIDESMVCNCKPPQDGRMGCRDGCLNRILNIECTKRTCPCGEQCSNQQFQRRNYAKLCRFNTGKKGFGLQLNEDVSEGQFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMFAQDDFEAVSSKPMAVEEDAEEVLGANGFSSHGTNLDSFDHEASAKAEDSNECPSANPELESEQQTSGTLFDISEPENSSVALSPQYTEDVARTPIHVSRTVLSMPLQFPEYGIQSSEISQRTPCTLDGPNAPITTNGISLSSDLGSHQPSFHANKKNNVKHHSNVKPLPAPIDNEHILGVEGRLNNLLDGNGGISKRKDATNGYLKLLLVTAAEGDNVGGTSKKSVRDLSLILDALLKTKSRAVLLDIINKNGLQMLHNILKQNRSNFHRIPIIRKLLKVLDFLASKQILTSEHINGGPRYAGTESFRESMLGLIRHNDTQVQQIARIFRDNYIINRNIPRSDRTEYSRASISAHDVHGISMAGGSVPASTCSMDLKSIRRKRKSRWDYQPDDHYKMVGLKIHKVCPVQSELDLQTGLMGNKLHGNWGTNSYLNDVPVVGSSTEGDDEAPPGFEPQQECQPGQKLMEGRVSVAKNGKSHLVCLSIPFHHCHPIQEGVLVLLLRCLRMNTTVQDIVAGLQIETEERIGIGEMGREQNFHIITKDEDFLTIIRDFERAPEVYQNCVRP >LPERR02G16340.2 pep chromosome:Lperr_V1.4:2:14409745:14425501:1 gene:LPERR02G16340 transcript:LPERR02G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDGEARGQEDHAAAGRLGAEEGAVGGGGMASAGVPDVRGGLGDGGKVCGGQERRLFAEGDEPQVDGGGFPSEFVESVENGCTPSEGSGQIGEAKESSSQEGYMEMAGEKHGSCPVVSAEPSDLLTCLAPNGGAWNNKTLFSRFSVDISSSGNGHVCDMLDKATEGTICENDGLVRNEDDLGGATGVKTGTEDLQMVCTRPQCDNKGLSGLHHDSERWPQVVDGVGFVIYGNNEQMQDDFIPKIKAEVSMSVDDDSIPSFSGRIDDSLGKADRAGETLSNLGTCHMSNGDLCSNVLYAPLSEGCQSKNTGHTTVMANKVTQRSQCGQGDLVCDGGVLSGGEEVKKSLDNLQMFSKEPQCENNGLPHLAEFSIQQLSNSMNELEKDELLANTRVEVCSPIHEDLVPSISGSSINVPFDSKAGQIVKTSEPITTVEKVPCGSQGGGMTSYESKPFKEACADENQCSAVEVKTCEEGLQSRQIETFGDENQHFLMDVPEGSLASACEAKNTDNIRSCDPCAEIEFPFQQSYEKYVISEFPQERDLTSYSQSQPCENEPCYSGRETPVFCLGHQDSADNASTSSHLVQELDTCTSTDDKACYVENGNDSLNQKEAPVVFFRRRNPARAASSRNSYLEKSDQINKANNNTRRSKKVDSVSSLLKSTMVKFPNKTTKGRSVINRPLNSSAWGSLQKLMDGFNQNCGSSTHSHQTCLGKERSNSGSSEKNQLTIRKIRTSRCSKNKNTLFSDIGYVASELIGQSTCLVRTGTDVSSDDIGHIPKSGALALFNTLDCTHRTAQCVEGNHTLKLASILTDTQQLERGMEIVAQEPCPVYSHGECVASTSERSLNNANVGFSPDSVLDIASVTCESNTSASLDVVHENPSCPGGLIGGGLRASASSTSHCGKDHASSLMNLEEQVKTVTENGMRKEDDIPSHAMMENDIGEGKQTLKKSTTARKSRNMRKQECQKNDGKKGKNINRNRGSTKISSGEAPKLVSFSNDSSSPDLSELLLHTKPSKIGSCSKVLISATHDVSMHGYDNMQSHFVTENDDEGSAFDDVKSLRCKKKDSHGGKKGKVRDTHGKGRRKKKNIAGDLSPAAELAFKNSSAASTELPTNVACKNDGTSVSLPPAWVQCDDCKKWRSIPGELEDSIAKDNCRWTCKENKDKKFADCSIPQEKTNAEINAELCLSDASGDEADNDGSSSKAPKEPKFSQIRSNLFQHRNRRTQSIDESMVCNCKPPQDGRMGCRDGCLNRILNIECTKRTCPCGEQCSNQQFQRRNYAKLCRFNTGKKGFGLQLNEDVSEGQFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMFAQDDFEAVSSKPMAVEEDAEEVLGANGFSSHGTNLDSFDHEASAKAEDSNECPSANPELESEQQTSGTLFDISEPENSSVALSPQYTEDVARTPIHVSRTVLSMPLQFPEYGIQSSEISQRTPCTLDGPNAPITTNGISLSSDLGSHQPSFHANKKNNVKHHSNVKPLPAPIDNEHILGVEGRLNNLLDGNGGISKRKDATNGYLKLLLVTAAEGDNVGGTSKKSVRDLSLILDALLKTKSRAVLLDIINKNGLQMLHNILKQNRSNFHRIPIIRKLLKVLDFLASKQILTSEHINGGPRYAGTESFRESMLGLIRHNDTQVQQIARIFRDNYIINRNIPRSDRTEYSRASISAHDVHGISMAGGSVPASTCSMDLKSIRRKRKSRWDYQPDDHYKMVGLKIHKVCPVQSELDLQTGLMGNKLHGNWGTNSYLNDVPVVGSSTEGDDEAPPGFEPQQECQPGQVCFDPGVSPGLYLERYQHNLTISYGIPVAFVQHFGTPEVDGGEGECRKKWKVAPGVPFNPFPPLPPYPRGSPCPSTQMSPHEHNSSGHCGRTADRDGRTHRNWRNGARTKFPYNHQGRRFPNNNQRF >LPERR02G16350.1 pep chromosome:Lperr_V1.4:2:14409907:14410110:-1 gene:LPERR02G16350 transcript:LPERR02G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETATGGGGVPSSRGAVRPPVRAPRPGRGEEDAAETAARVGSSPRRCEEAAEADREEGGRGGEGV >LPERR02G16360.1 pep chromosome:Lperr_V1.4:2:14426713:14440806:1 gene:LPERR02G16360 transcript:LPERR02G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEDEAVPEAPERCVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAAFVDAPEPGIVSSVVCGADHTIAYCHDDLQLYSWGWGDFGRLGHGNSSDVFNPQPIRALQGVRIAQIACGDSHCLAVTNAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLIPEKVSSLKGEKMVLVACGWRHTITVCSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDITISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCFPVQVNFPNEQKIVQVACGWRHTLALTETKNVFSWGRGTSGQLGHGEIVDRNTPKMIDALSSDGQACKQLESSKAVPVSAKVWVSPSERYAIVPDEKAGKGIPTGNGTETHVPQGDAKRMRV >LPERR02G16360.2 pep chromosome:Lperr_V1.4:2:14432609:14440806:1 gene:LPERR02G16360 transcript:LPERR02G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEDEAVPEAPERCVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAAFVDAPEPGIVSSVVCGADHTIAYCHDDLQLYSWGWGDFGRLGHGNSSDVFNPQPIRALQGVRIAQIACGDSHCLAVTNAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLIPEKVSSLKGEKMVLVACGWRHTITVCSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDITISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCFPVQVNFPNEQKIVQVACGWRHTLALTETKNVFSWGRGTSGQLGHGEIVDRNTPKMIDALSSDGQACKQLESSKAVPVSAKVWVSPSERYAIVPDEKAGKGIPTGNGTETHVPQGDAKRMRV >LPERR02G16370.1 pep chromosome:Lperr_V1.4:2:14437846:14438472:-1 gene:LPERR02G16370 transcript:LPERR02G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAATTASRAVIDTSRPFQSVREAVEVFGERCVRSRASSDSGGASAGGVVLPSLKKLEAELAEARGELERLRQSQSQMEMAVSSITVQLDAGLAILSGVDKGKELAVVDAGDGDSGRVRSDRWEWDEGRAEEWMARLEYLPSLSEALAIKMVEDDDQLGEKRQRKAKRKKQKSNAMNKKKKQQQQKKKKNGISFIGRLFSRKDKSR >LPERR02G16380.1 pep chromosome:Lperr_V1.4:2:14443636:14444304:1 gene:LPERR02G16380 transcript:LPERR02G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGDGDFTFPAPPATATAKMSPSLQEDGLGLLWPRIPPTAAASSNLLWPFSSPSPTAAAAEEDERDEEERMDKLWEHARGDDEDDDVDVDMEKSERMDMLWEDFNDELLLQLRRRHHKRDLATPSSSPNDDDGCSLSSPSPSSAGYCYQGCAPTMLRASSRAGAVGHLYGRGGDGGRRSRPPTGWEILLRLFRKLFAVDKSSPSPPTPCSHRRHGSIYVP >LPERR02G16390.1 pep chromosome:Lperr_V1.4:2:14445805:14447643:-1 gene:LPERR02G16390 transcript:LPERR02G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNFDRDALEKFVDFSGLPKVVTFDSNPTNQKYRLKYFHIDGTKAMLFLNFSDDRAEAFRTQFYEAAKQYSAISFLIGDVTASLGAIRHFELKESDVPLIFILASKSKYIKPTVEPDQILPWLKKYADGRLAPDVKSEPPILRPSRRVRSEPIPVVNNQPVKTVVADNLHDAFFKSRKNVLLEIYAPWCEFSQKLAPILDEVAVSLQDDEDIVIAKMDGTVNDIPSNLSIEGYPSMYFYSFGGILLSYDGGRTAEEIIDFITKNKGSKPGEEPTPEYVKDVL >LPERR02G16400.1 pep chromosome:Lperr_V1.4:2:14450770:14451481:-1 gene:LPERR02G16400 transcript:LPERR02G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTTFPLLLLLLLLLLVASASAESEAVLTLAGHWQLHGCGRVARLHRRPVLRSMYEVAAAALRSHDPPIVLAKVDASAGRNSALAADHGVDGSYPTIRILRARGATWQAYSGPRVASSSTSRGRPSRPPSRSPPRARRIIPSATTAWSS >LPERR02G16420.1 pep chromosome:Lperr_V1.4:2:14455580:14458559:-1 gene:LPERR02G16420 transcript:LPERR02G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEFSLLLLLLLLVASASAESEAVLTLDAGNFTAVVEAHDFIVVEFYAPWCGHCKQLAPEYEEAAAVLRSHDSPIVLAKLDASADGNRALAADHGVDSYPTIRILRARGATSHDYAGPRDAAGIVGYLKRQAGPASVEITASPESSIGDDGVVVFESFMAVAEKMRADYEFRHTTDAGVLPRGDRTVTGPLVRLFKPFDELYVDSQDFDIDALEKFVEVSGFPKIVTFDTNPTNQKYLLRYFDNAGTKAMLFLSFSDDRADAFRTQFYEAAKQYSANNISFMIGDVTASQGAFQYFGLKESDVPLIFILASKSKYIKPTVQPDQILPWLKEYIDGTLAPHVKSEPIPVVNNEPVKTVVADNLHEVFFKSGKNVLLEFYAPWCGHCQKLAPILDEVAVSLQDDQDIVIAKMDGTANDIPSDFALEGYPSMYFYSSGGNLLSYDGERTAEEIINFITKNKGSKPGEATTPEPVKDELDTW >LPERR02G16430.1 pep chromosome:Lperr_V1.4:2:14459143:14462492:-1 gene:LPERR02G16430 transcript:LPERR02G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQHHLDQDPRSAKYRKLTKELCDVIDDFSLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVEKYMKDDEFVQQRTSKKH >LPERR02G16430.2 pep chromosome:Lperr_V1.4:2:14459143:14462492:-1 gene:LPERR02G16430 transcript:LPERR02G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQHHLDQDPRSAKYRKLTKELCDVIDDFSLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFSKIAAAPLDWDYYRYPLTEKYMKDDEFVQQRTSKKH >LPERR02G16440.1 pep chromosome:Lperr_V1.4:2:14462798:14464606:1 gene:LPERR02G16440 transcript:LPERR02G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLTTAPPWHPPAAAAAAPAAAAAVTVEAVLPLLERAIAEGDTRRLGAAAHAVLVKTALTHHTLLSNRLVALYAALPTPAASIAAFADLPHRNAHSYNALLAALARGGRATLHDALRVLDGMPPGFRNVVSYNTVISSLARHGRDAEALRVFARLARDRGVGQQVVAIDRFTVVSAASACAGLGDARPLREVHGVVVVSGMEMTVIMANAIVDAYSKARRVEDARRVFDQMTVRDSVSWTSMIAGYCRANRLDEAVQVFDKMPEQDAVAWTALISGHEQNGEEDVALELFESMLGEGAMPTPFALVSCLGACAKLGLVARGKEVHGFVLRRSIGSDPFNIFIHNALIDMYSKCGDMVVAMAVFDKMPERDIISWNSMVTGFSHNGQGKQSLAVFERMLKAEVQPTYITFLAVLTACSHAGLVSDSRRILESMQDHGVEPRAEHYATFIDALGRNRRLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDNARQVRALMKGKGLRKDQAYSWIEVQRAKHTFIADDTSHHEANEIYDMLDKLYNHMLIIRDPVEDKQDKLVLC >LPERR02G16450.1 pep chromosome:Lperr_V1.4:2:14468574:14469860:1 gene:LPERR02G16450 transcript:LPERR02G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPQRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGYWNPIGADETVTSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCMAGGSGNSTSSSSNRKSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDEVSLPNK >LPERR02G16460.1 pep chromosome:Lperr_V1.4:2:14481380:14488664:1 gene:LPERR02G16460 transcript:LPERR02G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFDPEYENFSQRINPPRVCIDNSTCSECTLVKVDSMNKNGILLEVVQVLSDLDLTISKAYITSDGGWFMDVFHVVDKQGQKVTDENTIKHIEKALGPDSNLLGGAKAAGGGGGGSSSPGRSVGMHSIGGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVATGQAIGDPSRIEHRLRLVLAGGEATPQPPVAFVSPGAATHVDRRLHQLMHADVDDGDDGGVVVVGEAAEGEGERPVVSVEYCEEKDYSVVNVKCRDRAKLLFDIVCTLTDMHYVVSHASVSSQGIYGLQELYIRRKDGRTLQKDEAERVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGEQAINVFYVRDASGQPVDMKTIEGLRVQIGQTVMLNVKKVPSSSSPTTAKLPGQQPGSGGALSRTSFFSFGSLFAKLRA >LPERR02G16460.2 pep chromosome:Lperr_V1.4:2:14481374:14488664:1 gene:LPERR02G16460 transcript:LPERR02G16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFDPEYENFSQRINPPRVCIDNSTCSECTLVKVDSMNKNGILLEVVQVLSDLDLTISKAYITSDGGWFMDVFHVVDKQGQKVTDENTIKHIEKALGPDSNLLGGAKAAGGGGGGSSSPGRSVGMHSIGGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVATGQAIGDPSRIEHRLRLVLAGGEATPQPPVAFVSPGAATHVDRRLHQLMHADVDDGDDGGVVVVGEAAEGEGERPVVSVEYCEEKDYSVVNVKCRDRAKLLFDIVCTLTDMHYVVSHASVSSQGIYGLQELYIRRKDGRTLQKDEAERVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGEQAINVFYVRDASGQPVDMKTIEGLRVQIGQTVMLNVKKVPSSSSPTTAKLPGQQPGSGGALSRTSFFSFGSLFAKLRA >LPERR02G16470.1 pep chromosome:Lperr_V1.4:2:14488274:14490370:-1 gene:LPERR02G16470 transcript:LPERR02G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISRENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMTSDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQDGFANRAESEAKRLSSTCQSDRSIQLTFISSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKEDKKNNTGALQLLQLQEEACRQSGKDSLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQGIGRLFRCLCNPAYDVDDDFEPRK >LPERR02G16480.1 pep chromosome:Lperr_V1.4:2:14496144:14501507:-1 gene:LPERR02G16480 transcript:LPERR02G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWWKWRWRRKPAAPAASAAATSPRDSVELGSTSACASPRRWGAGAVPRCCVGVAASPVGRQQQQQQGLPLPRPVSKSAPMPLASSLAEVESAGPAAGGPPACGGSLSAAESAYSSDEADDVADHQNYRYTDPVVHTSGRTILPDCHNGMVEEKRFVSCSALEDHRKFFEVPIPNAKEVHRLQSLDPSTGESSHPRGRMLPEDTFGTRLRNHSPGPRGNAFSASCSREKFGFSPASPMKRMDDPRSQSQPLPLPPVPVTSSSIPSFSITSSQFQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVILDDSNSKERLKQLNQEIDMLKQLSHQNIVQYYGSELADEALSIYLEYVSGGSIHKLLGEYGAFKEPVIRNYTRQILSGLAYLHGRNTIHRDIKGANILVGPNGEVKLADFGMAKHVTSLAEIRSCRGSPYWMAPEVIMNRGYSLAVDIWSLGCTIIEMATGRHPWHPYEHVPAMWKIINSKDIPETPECFSKEGKDFLSLCLKRDPAQRPSAASLLGHPFVHDHQAVRTQTYNATQPRNGLSSPAGTSHRKPNRESSSKRNIAPLPGIAGLNAREFAGFSTAHPSPHNTSSSPTAVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPSHPALSPGIATAYPTNQVQNQSRRSTVVPDPWQEMNQLKPPSPYGSSKRF >LPERR02G16490.1 pep chromosome:Lperr_V1.4:2:14516873:14520492:-1 gene:LPERR02G16490 transcript:LPERR02G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFACAHHAEKRHRLDRTLTNLSKRGYIGSYHYEKDAKYRPFSAFLPEGSNTKMLYVKLVLVILMCGSLVSLLNSPSINHDDEHHTQSTAGVSRTSYDPGDVSYVSEVTLDWPKISKAVQHATGASEDGGGARVALLNFDDDEVNQWRTVLPRTAATSVRLDHVSSNVTWDHLYPEWIDEEESYGAAPTCPDLPSPSLSAAGDGEEEAAFDVVAVKLPCRRDGSWSKDVARLHLQLAAARLAANAVSRRGETATAAHVVVVSRCFPIPNLFRPRDEAAPRDGDVWLYRPDAADLRLKLSLPVGSCRLAMPLPSPPPSPPAPRRREAFATILHSEQLYACGALVLAQSIRMSGAAGARDMVALVDETISARHRAALKSAGWKVRPIRRVRNPRAESGAYNEYNYSKFWLWSLTDYDRVVFLDADLLVSLPMDHLFAMPELSAAGNHGSLFNSGVMVLEPCAATLRLLMGHVADIDSYNGGDQGYLNEVFSWWHRLPSRANFMKHFWEEDGRGRELAAARRAAIASDDPPVALAVHFVGMKPWFCFRDYDCNWNSVELRQFASDEAHARWWRAHDAMAPRELREFCLLDERQKALLRWDAGEARAGNFSDGHWRIPIADPRRRICAAAAGEVAAAACVEREIKGKRVDGNRVTTSYAKLIDNF >LPERR02G16500.1 pep chromosome:Lperr_V1.4:2:14528870:14531860:-1 gene:LPERR02G16500 transcript:LPERR02G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRLPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELEKSVMEYPEERKLPYLTPESTFKIVVDSFGKVISFQEQNEIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMYALLIKAFQGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNVPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGTVAPYTVPDEKRGNHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFYPVIREDGIANPAKYPEHPCFKLIASCEQILSMRYSRVLLTMVKVGPYTEEVEKEAEQWHREFRENHHKWMEEGNLHSAVFSPAEQAADGKPAIDRDSKPKYRGKTFHLFANAEDEPPRSDQGSAKFRGFNTG >LPERR02G16500.2 pep chromosome:Lperr_V1.4:2:14528870:14531860:-1 gene:LPERR02G16500 transcript:LPERR02G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRLPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELEKSVMEYPEERKLPYLTPESTFKIVVDSFGKVISFQEQNEIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNVPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGTVAPYTVPDEKRGNHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFYPVIREDGIANPAKYPEHPCFKLIASCEQILSMRYSRVLLTMVKVGPYTEEVEKEAEQWHREFRENHHKWMEEGNLHSAVFSPAEQAADGKPAIDRDSKPKYRGKTFHLFANAEDEPPRSDQGSAKFRGFNTG >LPERR02G16510.1 pep chromosome:Lperr_V1.4:2:14534015:14540724:-1 gene:LPERR02G16510 transcript:LPERR02G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANQESSAAAEEPVAPAAGTAAMDPMRLASRWRSPAEWEAAAAEMDAEPAPSELNTTNSSGLFAVVSTDRMSVRYLGVNQHGHDVGVVQANRPAPTHRPVYYFEMAVKNAGHKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKNEPFGPKFTSGDTIGAGINYFLQEFFFTKNGSLVGSVQKEIKGPLYPTIAVHSQDEEVTVNFGNEPFCFDIEGYIFEEKMKQQSVSDKLFLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKSLRQLIMSGDIDSTFKRLGEWYPQVIKAGQLEDAVKYARSNLAKFLTHKAFDGLLKESVALLAYEKPAESSIGYLLEPPQREFVADAVNAAVLTTNPNLKDPESCLYSCLEKLLRQLTIQVFLIKVFKLHLHLSSELRDETLCRSLRKGVHLGVDRVYRDSMRHVQQTQLILKFRRILFSLYKVNTKLRVTG >LPERR02G16520.1 pep chromosome:Lperr_V1.4:2:14543522:14550846:1 gene:LPERR02G16520 transcript:LPERR02G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPATEEEGSPPVAREPPPRIRRRLLQSRGGAPATAEEIEAKLREAHVRRQQFHEALSCKARHTVRCPSGLSQEEDPKQRLESKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNDAELRLKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAVEKRRMGLLEGEKKRAQGRFSQIQLAARTTSCQRDTDRSKLKEQLEDRLQRARRQRAEYMKQRGSPHSFIFTGSIKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMTFDKLALCIESPTVLQTTKAFLDRLESRFILSQSSSPSSPENIDHLLKHLGSPKRGTLSNRGGRTRVTPTKAARNSDVSKLPRYSPRVVLCAYMILGHPTAVFNERGEREKLLVESAENFVKEFELLIKTILDGSNGACILKQPKLDDLSPGSSDHQESSAVVADQKKFRSQLASFDKAWSAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKQVMVDQTLLREKVRHLGGEAGIERMEVSLSETRSKYFEAKRNKSPLSTTTKNVASTSSSGGSTISDRQENSNTNDDTPSQAVRSLFRVPSSPTESNTRDITMSNPMTTSNTVPEKRPTDNEQMVNEILHGFLADSSNNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLHEMAPKGWKEEIINNIDLEILSQVLESGSKDIQYLGQILQYSLGVLRKLSSPAKEEEMKRTHDKLLGELIEHSECNNKGPNSFVIAVIKGLRFTMEELKAQPLVATLRSGHGVPDQQQFMRSAADDMGLPECKGERLDKLLRIGLLQLISGIDGLQMQSVPETLKLNWLRLRSVQSQFQQVIVIATSMLVQHQVLATDDPNITAPELENATSELFNTLTELLDNFSDVSIGMIMELMIRCSSASTSGSSSDERTENRKQILARVFLKSLQTNDAVFKKVSRSVYCAFRAITLGGSGAKGQKLADAALRRIGATKLTSRVVKAAEILIRVATISEQVHGPWYNHLL >LPERR02G16520.2 pep chromosome:Lperr_V1.4:2:14543522:14549122:1 gene:LPERR02G16520 transcript:LPERR02G16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPATEEEGSPPVAREPPPRIRRRLLQSRGGAPATAEEIEAKLREAHVRRQQFHEALSCKARHTVRCPSGLSQEEDPKQRLESKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNDAELRLKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAVEKRRMGLLEGEKKRAQGRFSQIQLAARTTSCQRDTDRSKLKEQLEDRLQRARRQRAEYMKQRGSPHSFIFTGSIKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMTFDKLALCIESPTVLQTTKAFLDRLESRFILSQSSSPSSPENIDHLLKHLGSPKRGTLSNRGGRTRVTPTKAARNSDVSKLPRYSPRVVLCAYMILGHPTAVFNERGEREKLLVESAENFVKEFELLIKTILDGSNGACILKQPKLDDLSPGSSDHQESSAVVADQKKFRSQLASFDKAWSAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKQVMVDQTLLREKVRHLGGEAGIERMEVSLSETRSKYFEAKRNKSPLSTTTKNVASTSSSGGSTISDRQENSNTNDDTPSQAVRSLFRVPSSPTESNTRDITMSNPMTTSNTVPEKRPTDNEQMVNEILHGFLADSSNNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLHEMAPKGWKEEIINNIDLEILSQVLESGSKDIQYLGQILQYSLGVLRKLSSPAKEEEMKRTHDKLLGELIEHSECNNKGPNSFVIAVIKGLRFTMEELKAQPLVATLRSGHGVPDQQQFMRSAADDMGLPECKGERLDKLLRIGLLQLISGIDGLQMQSVPETLKLNWLRLRSVQSQFQQVIVIATSMLVQHQVLATDDPNITAPELENATSELFNTLTELLDNFSDVSIGMIMELMIRCSSASTSGSSSDERTENRKQILARVFLKSLQTNDAVFKKVSRSVYCAFRAITLGGSGAKGQKLADAALRRIGATKLTSRVVKAAEILIRVATISEQVHGPWYNHLL >LPERR02G16530.1 pep chromosome:Lperr_V1.4:2:14549532:14550547:-1 gene:LPERR02G16530 transcript:LPERR02G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCPAQSMLSASTACVFLRTAKPQTAAVSASALARGRVFLVSCNASSSPSPPPAQQQEEAESDCNEEECAPEKEVGSLSAEWLAEERTQVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGAAGAAAEGSENTAAIAGGLALIFVAGVSSILLQVGKNTPPPTITQYSGPPLSYYVTKFQPSTPALLQQQPTAAAAVDAPAPEEASSPAPAPAADEPQLTS >LPERR02G16540.1 pep chromosome:Lperr_V1.4:2:14552015:14555614:-1 gene:LPERR02G16540 transcript:LPERR02G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFSLLEPPKDLDPKEKISGKSNLTFEEALVSEHRAVAKAQKLPTKLMAPVLQMIQYSTVGLHELVEKIYGRLHEEVFEGLELHAKQDGLEASCRILKILGSGGTEMYEVGWLLRDKTIISTSVIKGEDLIRRRPPVSRNTLRIFIRDATSQNTPWVIHENLAKRYGIPIEPPSDMMFGEGLQKRGRKRHEDGPMGDARKKMKNGEERINVPIKYPIDDLLVQPSTDDHALSKRPPLATDFRIPKYSVGDLLMVWDFCLSFGRVLNLSPFSLADLENAICHKESNALLVEIHAAIFHLLIKDEGDYFSVLQHKKRKLKVTLVTWAEYLCDFLEMTKIEELSSNISTVRKGYYSLIDTDMKLKILRELVEEAITTSPVREKLSEWVDQRQALAATKRETTRKAKDEQTSSIEGVQDGGENVDDQGKGKEENDKSNISRSKTEGKRHGVQHLETEIEKLSIRSCPLGKDRHHNRREGRLFVESADSKEWGYYSTKEEFDALMSSLNVKGIRERALKRQLEKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >LPERR02G16540.2 pep chromosome:Lperr_V1.4:2:14552015:14555614:-1 gene:LPERR02G16540 transcript:LPERR02G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFSLLEPPKDLDPKEKVFQIRFTREIFKDYQLNLYRQRVWSCKISGKSNLTFEEALVSEHRAVAKAQKLPTKLMAPVLQMIQYSTVGLHELVEKIYGRLHEEVFEGLELHAKQDGLEASCRILKILGSGGTEMYEVGWLLRDKTIISTSVIKGEDLIRRRPPVSRNTLRIFIRDATSQNTPWVIHENLAKRYGIPIEPPSDMMFGEGLQKRGRKRHEDGPMGDARKKMKNGEERINVPIKYPIDDLLVQPSTDDHALSKRPPLATDFRIPKYSVGDLLMVWDFCLSFGRVLNLSPFSLADLENAICHKESNALLVEIHAAIFHLLIKDEGDYFSVLQHKKRKLKVTLVTWAEYLCDFLEMTKIEELSSNISTVRKGYYSLIDTDMKLKILRELVEEAITTSPVREKLSEWVDQRQALAATKRETTRKAKDEQTSSIEGVQDGGENVDDQGKGKEENDKSNISRSKTEGKRHGHLETEIEKLSIRSCPLGKDRHHNRREGRLFVESADSKEWGYYSTKEEFDALMSSLNVKGIRERALKRQLEKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >LPERR02G16550.1 pep chromosome:Lperr_V1.4:2:14559349:14561625:1 gene:LPERR02G16550 transcript:LPERR02G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPDNTKPSAAAAVAGGVGHRKHLAMLERLSKRAAATPPSQDTPSASPIAAFLSRFAAAKLAAESALSACRSSTPPEDAPSSLAAAAAAVDELDRLVAEASHSLPPYELRSALAAVSDLRAAQRLAASDLRPKKSFSFRNKSKTPKTPPPPQDPPTLPPPPPPPEQQPKAIVEAIQLGFGFRGREGATLVKDLRVSDEKDGDFTLADLVSCQVYLKGKCRALYVHKLRDCRVLVGAVFGSVLIEDVERCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCSGVRFAPHALRYEGIEEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQIIDISDVQEGDDGSDSITLILES >LPERR02G16560.1 pep chromosome:Lperr_V1.4:2:14562337:14567099:1 gene:LPERR02G16560 transcript:LPERR02G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRSRMRVPRGPRAASSPDKIRHTSSRYVVTNVYDEALLLLDSTIDLAMGGSEYDDDWELPTADITLVLVGKLGCGKSATGNSILGSEAFLSEYSHASVTNTCKMASTTLMDGRRINVIDTPGLFDVTTSFEDAGKEIVKCMNMAKDGIHAVLMVFNATSRFSREDSSTIETIKEFFGEKIVDHMILVFTYGDLIGESKLKSMLNNAPENLQKIVELCQNRVVLMDNMTKDRKLQQKQLEKLLDVVDSVSTNNGGRPFSDQMLTRIKEVHARRKEVHDAVGYTEEQISELKEEISRARDEQLAHITSMVEEKLNITVDKLQQQLKEEQIARLEAEKRSQQQLTEEQNARLESERRSDEEIRKLKKRLEAAQRENEEFRKMAQNNKCSIL >LPERR02G16570.1 pep chromosome:Lperr_V1.4:2:14570969:14574776:-1 gene:LPERR02G16570 transcript:LPERR02G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSRDVVDGAVDWRGRPCRPRHHGGMRAAAFALGMVALEMAAVAAVGSNLITYVFGEMHLPLAEAANVVTNFAGAVFLLALLGGFLADSYLGCLPTILLFSLLELAGFLLLSLQASLPRLRPPPREKAGGVEAAVFFAALYMVAIGSGCLKPNMIAHGADQLAVAGGGGGGGGGAGMGMSGYFSAAYLCFCGGEVVALTAVVWVQTREGMGVGFGVSAGAMAGAIACVAAGAPLYRNTPPRGSIFTPIARASLVFVASFTKRKLICPLSSSANLANTESDAGDGRRPACGDSGFRHANKFRFLDKACMTAPSQCGGDGGGATPESPWELCTAAEVRQAKTLLAVAPVFACTIVFNTVLAQLQTFSVQQAAAMDTSIPLPFTTGGAKFRVPPASLQAIPYALMLLLVPASDLLLATATRRRRPALALAPLRRVGVGLFAVGFSMAAAAAVERMRRREKAVVSVMWLAPQYVVFGVSEMFTAVGLVEFMYSQAAAEEGGARAFMTALAYCSYAMGFFLSSALVSLVNRATAGRGGGGEKGWLGDDDLDRDRLDLFYWALAALSGVNFCCFLLCARWYNSGAQPGRASDDDDDDAEGSVMEINA >LPERR02G16580.1 pep chromosome:Lperr_V1.4:2:14592004:14598047:1 gene:LPERR02G16580 transcript:LPERR02G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTGNYGMLVLERWSQYLAKANWSTTSPKLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLHPESDDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSVNKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKIEFHHGNWSHLPRVIRSHRLSLLQEINELGLLLRIQLPQNSLRSLVCGNLQPSPHKRTHELYPSSPNPIFSTPLNVGFNTKNEPSALTNKHFYWPMRETRADSYSASINKVPSEKKQEPSSAGCRLFGIEISSAVEATSPVPAVSVVGQEQPAASVDAESDQLSQPSQANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRHKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWHEFCSMVKRIYIYTYEEAKQLIPKSKLPIIGDTIKPNPNKQSPESDMPHSDLDNTAPVTDKDC >LPERR02G16580.2 pep chromosome:Lperr_V1.4:2:14592191:14598047:1 gene:LPERR02G16580 transcript:LPERR02G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSGAAAAAAGGGGGGGSGSSCDALYRELWNACAGTLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLHPESDDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSVNKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKIEFHHGNWSHLPRVIRSHRLSLLQEINELGLLLRIQLPQNSLRSLVCGNLQPSPHKRTHELYPSSPNPIFSTPLNVGFNTKNEPSALTNKHFYWPMRETRADSYSASINKVPSEKKQEPSSAGCRLFGIEISSAVEATSPVPAVSVVGQEQPAASVDAESDQLSQPSQANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRHKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWHEFCSMVKRIYIYTYEEAKQLIPKSKLPIIGDTIKPNPNKQSPESDMPHSDLDNTAPVTDKDC >LPERR02G16590.1 pep chromosome:Lperr_V1.4:2:14598574:14600886:-1 gene:LPERR02G16590 transcript:LPERR02G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKELREMLPVVIFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPAPKTASTDPVDLEAQTVEEDGSLDVQYQEGHNDANTRQADQALEHGNEGPMHQSEEPRVNAETSVRVATEPQMEAEGSQSTTCRPSKSKK >LPERR02G16600.1 pep chromosome:Lperr_V1.4:2:14602952:14608641:1 gene:LPERR02G16600 transcript:LPERR02G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADPAAAAAAAAVTRKERRRERKKERRRRARRGAAEAARKAAEVVAADPEVERRLREIEEAEVDASERARRDFEEAERRWLEAAAARAAEKASAVAAAAAAAGEASTAPEGSSRDRECKDDHGNEAEEDDEWEYVEDGPAEIIWEGNEITVKKKKVKVPKKAKEKQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLESTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSIHLRGNVYVHYKSLDSALLAYSNMNARYFAGKQITCEFVAVTRWKVAICGEYMRSRLKTCSRGVACNFIHCFRNPGGDYEWADWDNPPPKYWIRKMAALFGPSDDAVYYKGSDTPRFGQSHRSDRRRPRSSDPRYTPSRSRDEDAHKQHSPRDYSHSKQERSSHTEHSRDRRESTTSDKHRSREIKDKSSKYYSNIENERESHKKMHGERQRSNHGDGRKGDHGKVRSRKDRSERQESFEPVVSSDWPSDHTDADTTKSPSSSKSTVRHHIRRDHHDRDASDDRWAPTNSDVDSDLETQYQSSKGKGSRLERKDNAPSDAETAHERSSSRTTKLRTRDGNIRRERKRENGNSEHSNSEEEVTSDSDARDTSSEAWRSRSRSSDENRHMSRRKRSRSSRDS >LPERR02G16600.2 pep chromosome:Lperr_V1.4:2:14602952:14609839:1 gene:LPERR02G16600 transcript:LPERR02G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADPAAAAAAAAVTRKERRRERKKERRRRARRGAAEAARKAAEVVAADPEVERRLREIEEAEVDASERARRDFEEAERRWLEAAAARAAEKASAVAAAAAAAGEASTAPEGSSRDRECKDDHGNEAEEDDEWEYVEDGPAEIIWEGNEITVKKKKVKVPKKAKEKQPIQQEDRPTSNPLPPQSAAFASHRIESAQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLESTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSIHLRGNVYVHYKSLDSALLAYSNMNARYFAGKQITCEFVAVTRWKVAICGEYMRSRLKTCSRGVACNFIHCFRNPGGDYEWADWDNPPPKYWIRKMAALFGPSDDAVYYKGSDTPRFGQSHRSDRRRPRSSDPRYTPSRSRDEDAHKQHSPRDYSHSKQERSSHTEHSRDRRESTTSDKHRSREIKDKSSKYYSNIENERESHKKMHGERQRSNHGDGRKGDHGKVRSRKDRSERQESFEPVVSSDWPSDHTDADTTKSPSSSKSTVRHHIRRSSVEAPNLERHRSTSHKSTEEHHIRRSSRHRDIEDDYYDEKYDRREQSEKHRDHHDRDASDDRWAPTNSDVDSDLETQYQSSKGKGSRLERKDNAPSDAETAHERSSSRTTKLRTRDGNIRRERKRENGNSEHSNSEEEVTSDSDARDTSSEAWRSRSRSSDENRHMSRRKRSRSSRDS >LPERR02G16600.3 pep chromosome:Lperr_V1.4:2:14602952:14609839:1 gene:LPERR02G16600 transcript:LPERR02G16600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADPAAAAAAAAVTRKERRRERKKERRRRARRGAAEAARKAAEVVAADPEVERRLREIEEAEVDASERARRDFEEAERRWLEAAAARAAEKASAVAAAAAAAGEASTAPEGSSRDRECKDDHGNEAEEDDEWEYVEDGPAEIIWEGNEITVKKKKVKVPKKAKEKQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLESTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSIHLRGNVYVHYKSLDSALLAYSNMNARYFAGKQITCEFVAVTRWKVAICGEYMRSRLKTCSRGVACNFIHCFRNPGGDYEWADWDNPPPKYWIRKMAALFGPSDDAVYYKGSDTPRFGQSHRSDRRRPRSSDPRYTPSRSRDEDAHKQHSPRDYSHSKQERSSHTEHSRDRRESTTSDKHRSREIKDKSSKYYSNIENERESHKKMHGERQRSNHGDGRKGDHGKVRSRKDRSERQESFEPVVSSDWPSDHTDADTTKSPSSSKSTVRHHIRRSSVEAPNLERHRSTSHKSTEEHHIRRSSRHRDIEDDYYDEKYDRREQSEKHRDHHDRDASDDRWAPTNSDVDSDLETQYQSSKGKGSRLERKDNAPSDAETAHERSSSRTTKLRTRDGNIRRERKRENGNSEHSNSEEEVTSDSDARDTSSEAWRSRSRSSDENRHMSRRKRSRSSRDS >LPERR02G16610.1 pep chromosome:Lperr_V1.4:2:14609271:14615804:-1 gene:LPERR02G16610 transcript:LPERR02G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDVDGRGHDLFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFEAGQPWSVKVPGNPAPIAVGATTMSSNEALKAGDSADGRYVPNEGFYADIVVEDPNYASQSQPSVSSEDHAEGIHASTIDGEEAVVDVSESHTTDPDIHIESIEDLTAGVSEVKLPEDKATEEPTEEREYQNLSTEEVDSLLDKCLLQALYTSVKDKDLPIPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSTGLITAKEDKYKKEVVLTGINRKHPDYMAFKPEKRVQEPVEQHDNVVAEGSSTKQLEVAEIYKPSSHVNPIFMAVGADTGKYYSPSEASDIVFRYIEKENLVKPTDKTKVILDATLCDALYKGAIKKGSAYPSEIHKRDLGSTFLNRMQIHHRVARGNEEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDADSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVEHYGVPKRFIEVLDKTKR >LPERR02G16620.1 pep chromosome:Lperr_V1.4:2:14615915:14619551:1 gene:LPERR02G16620 transcript:LPERR02G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSKGGGSGVAAAKAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLQAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSAALYQSTEQWAPKAATMILSLTLDDNGSSLTDSEQ >LPERR02G16620.2 pep chromosome:Lperr_V1.4:2:14615915:14619723:1 gene:LPERR02G16620 transcript:LPERR02G16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSKGGGSGVAAAKAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLQAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSAALYQSTEQWAPKAATMILSLTLDDNGSSLTV >LPERR02G16630.1 pep chromosome:Lperr_V1.4:2:14621827:14623714:-1 gene:LPERR02G16630 transcript:LPERR02G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAAHCGGGGGGAAPFHVTAVDSGKKAMELLGQRRSNRLSTSSPPASADVSEQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKINDVQRLRKCSGVKPKTAMVQWQLPLPVVCMKKKWKF >LPERR02G16630.2 pep chromosome:Lperr_V1.4:2:14621847:14623714:-1 gene:LPERR02G16630 transcript:LPERR02G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAAHCGGGGGGAAPFHVTAVDSGKKAMELLGQRRSNRLSTSSPPASADVSSETTEMNESCALKWTIFQEQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKINDVQRLRKCSGVKPKTAVMNSSSFEVSHYFQFIFKFILLTYALMCLSQLFHRWSNGSFLSLWCA >LPERR02G16630.3 pep chromosome:Lperr_V1.4:2:14621847:14623714:-1 gene:LPERR02G16630 transcript:LPERR02G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAAHCGGGGGGAAPFHVTAVDSGKKAMELLGQRRSNRLSTSSPPASADVSEQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKINDVQRLRKCSGVKPKTAVMNSSSFEVSHYFQFIFKFILLTYALMCLSQLFHRWSNGSFLSLWCA >LPERR02G16640.1 pep chromosome:Lperr_V1.4:2:14647213:14657018:-1 gene:LPERR02G16640 transcript:LPERR02G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQWQQRPAPEREGSHNYDIESTEGSVGLWRRNGGSSEALLHYNDDGGSAREPLLRKRTMNTTSQIAIVGANVCPIESLDYEYFTAFFVYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIVIIILGIIGGIFGGLFNFLLDKTLRIYSIINERGAPFKILLTITISVITSLCSYGLPWFAACTPCPVDAVEQCPTVGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGIVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRLTGHNGFPVVDEPPLTESPELVGLVTRAHLLVLLNGRMFMKDQVKTSSSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDVVDMVLDDLRKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTIIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLSIFSDKKFKGILNSLTDADAATSHILRFLLEDKEHSSYNFTTIMKEYKSIQDAYKTSDKYSNTVCFRAFEHLLDRELISVADNKGRNQALEYRPVKLLISSCELAQSLKLNTTCPAVLQKLLDRERYM >LPERR02G16650.1 pep chromosome:Lperr_V1.4:2:14663566:14664849:-1 gene:LPERR02G16650 transcript:LPERR02G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGLLPLRAISARAGALATSLPLVGAWRRLVHGGRAQEDEDEAAAAAEGEVEEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLEHAPENGLLVPHLVAVAHQVHAARERLLRGLATLVDASSSSSSATAAFTVPVWRCRFCPEVHVGGATGHEIRTCDGPGGAARNARHVWRRGTARDVVGFPYCYHLFDRAVKPRVSHKEKYAVPRLPAILELCIQAGVDVARYPTKRRTRPVYSVDGRIVDFEPDDEDEHIPDDTNPSPETIEEEEEMAVGTRTLEAWMEMRGGAARLMREYGVVTCGYCTEVQVGPKGHKVRMCKGTKHQQRDGQHAWQEATVDDLVRPNYVWHVPGDVGGGGGDAPLANELKRYYGKAPAVVELCVRAGAPVPAEYRNMMRLDVVPPARDEYDLVA >LPERR02G16660.1 pep chromosome:Lperr_V1.4:2:14666045:14666446:1 gene:LPERR02G16660 transcript:LPERR02G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDASASSLSTFACFHRFDSLPLTATSSSPLSSDAAAASAEENPTAAAKVAVKVLFFARARDLTGLAETTLEVAAGSTAGDCLARVLAEFPKLEEIRRSMVLALNEEYAPEAAAVGDGDELAIIPPISGG >LPERR02G16670.1 pep chromosome:Lperr_V1.4:2:14669428:14672951:1 gene:LPERR02G16670 transcript:LPERR02G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVHDMIRSLIISKSNQENFVTIFPSSEVASVMTSGKIRRLSVQYIDKECGMVPMLPTLSHARSFKFKVLRVLEMDDCRKLENNHLKSIGRLSQLKYLGLKRTPISELPEQIGELKYLETLDLRITNSYCSTSTIDGIGEMQSLQQLSSFDVCRSSITSLQELGRLSNLRVLVMAWRSFGMIGDVRSYNNNLVSSLGRLGACSLQSLYIQGYNSSLQDFSLDLWCPYPSLLQKFVASKCLSVIPNWLGSLINLSYVNVDVLRAAQRDLDILGELPNLLFLRLGSEAAPKESLIIRSQCFQSAFTWEIISATGCFDFGIQNLLSLKEAVVNIDCCAAWAGEGYAAEAAIKNSARALPNNPSLNIERFSANDDDKKDFGLFETEVTM >LPERR02G16670.2 pep chromosome:Lperr_V1.4:2:14667420:14674241:1 gene:LPERR02G16670 transcript:LPERR02G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIFGAMDSVLSKLASLLTFEYKLLKEVKQDITFMKYELESMHAFLKKMSEVEDELDEQVKFWRKEVRELSYDIEDYIDEFVIRLKDEPRCELHGISSFISRIAKLIVSTRDSHQIAKEIRGIRASVGEASRRHKRYKVDDTLSKPSKVTVDPRLPALYKDASDLVGIDGPKNELIRRLTEGVSGPELQLKVVPIVGSGGLGKTTLANQVYQNLEGVFESQAFVSVSQKPDLMKILRDILSAIGYNGLEVAWDEGKLIHEVRKYLRFVRYFVVLDDIWSISVWEILRCALPENNRSSRIVVTTHIADIAKACCAPHHCDVYHLKPLDSATSRRLFFRRICGSEDSFPGHVKGEVEKILKKCGGMPLAIISIASLLATKAQIKEQWEIVNRSLEYGLDKHTGFEGMNWILSLSYNHLPQHLKTCLLYLCMFPEDYIISKDILVQQWIAEGFVCPEHGRKLEVGYSYFNELINRSMA >LPERR02G16680.1 pep chromosome:Lperr_V1.4:2:14671728:14674207:-1 gene:LPERR02G16680 transcript:LPERR02G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDAASEMSDWEVLSAASAHGGEEGDFVVLVSGEVAGGGGGSDVFHDHFALALDPSDAGFSGEGEWLGGEEEMGEEGLGLVDGFDSVSEGRLDLLAAEEEGDWSARLQLELGDGGVDGTIGEIERESSVLGGAVPREATLSGEERREEAEKVGIEQEKDAAHRCGELEFVPEENFNSDDAAAVAADVYRFESPENSDVQLADGKAHVEASAMGTVEKEPVQGNSGNAASGCSEPDGEANAGSFPLAESLDGGSSLHEAAAAATGDAIVAVDEEPVQDCGDTASGAGEQGVEAKDGSLPLAQAPSTGEGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLIVLGRRMYRMRRKARGLPQIKIAFDDKKSSQFADRTARLNEAFFMARRVPMLRTSGAVFPWSMVQDR >LPERR02G16690.1 pep chromosome:Lperr_V1.4:2:14676001:14678973:-1 gene:LPERR02G16690 transcript:LPERR02G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDHGSVSFGRFAAESLSWEKSSVFDHNRRQEELNSLTMPGLVAQKKAFFEEYYKRARLLKAQEATNQAEATSEEGADHQDTHGRNIQEPNLAAVNSEDPVTSALSSSFVPSTGMSSSEEKKYQEPHGLGYLTYNPLFSQTTGLQNIQQEAASIASQIQHSDFPCATYTNTRHVLNHEPLARKVLAPKHVVSDDNGENVAVSRIVLPIASLQSERLKVHIEKQEPRKNIVNNNGSTKTSKEPSTSLNHIPRIDSRRNPETRNSQDLKDPFHKRVEMKLHALSDRMNVDRAAASSRSASYQHADRMNADASSRSSITSCRSTFQNGNRGATSSRSALCQDADRVLTHSKTAPQASHRSLREPHGAPTLPRAVFVNKGSYVPHIALSNSSATKKFAASHPKHSVMSNSSQNANTFRTAQVSLKRSAGVSSIYNGPQNKRKQLSKPSSWDENKPNRGYARTSAPSSARSQQMETILLEEEMYRQRML >LPERR02G16700.1 pep chromosome:Lperr_V1.4:2:14682580:14683233:-1 gene:LPERR02G16700 transcript:LPERR02G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHQASPAAMAGGSGGGGPQYRGVRRRKWGKWVSEIRQPGTKVRIWLGSFDSAEMAAVAHDVAALRLRGRDGAQLNFPGSVGWLPQPATTDPFDGSIKKLLI >LPERR02G16710.1 pep chromosome:Lperr_V1.4:2:14692227:14696793:1 gene:LPERR02G16710 transcript:LPERR02G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKENVVEEGPITPAPPPGSSSSAPRLQKAPSQSLKQLITLTAKDDAAAAAAIVAAPVVHAVITRADSKSKPNSNSTSNETAATTAPVVVIASLNKSYSSAAAAPPTHHRRATVDADPAPGPIPIPGGVPQGFSGEHVIAGWPSWLTSVAGEVVAGWLPRRADTFERLDKIGQGTYSNVYKARDLDTGKIVALKRVRFVNMDPESVRFMAREIHVLRRLSTGAHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCFMAQILSGLKHCHDRGVLHRDIKGANLLIDGDGVLKIADFGLATFFDPVRPQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSTGCILAELLVGKPILPGQTEIEQLHKIFKLCGSPSEEYWGKAKLPDVTLFKPQRPYRRKIAETFRDLSPAALDLLDTLLAIEPSHRGTAAAALHSDFFRTEPLACEPASLPKFPPSKEYDAKLRGKDQAAATTRQNTAAIGGKGSVSVKPAAARNNDTKTAAAAQDADHQRRQASAAAARVANPKSASHHYGSLEDSVPGFRMEPPPGTAAAASGGSGFGSTWYRTKDGGGGGGVARTASSSVRVSNGAAHLTTQRSYAQSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSSHHHHHHNLSSTAAAPATVSTNGFGGRNKRIHYSGPLMPPGGNMEDMLREHERQIQQAVRKARTNHNSSSNNRIYY >LPERR02G16720.1 pep chromosome:Lperr_V1.4:2:14695887:14698051:-1 gene:LPERR02G16720 transcript:LPERR02G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLTPYTMGRFHLNHMVVHAPLTRSRCYNNIPQEHVAMYYSQRATEGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTTDYQPNGQAPISCTDKKITPAVLKDGTVEEFSSPRRLREDEIPQIIDDFRLAARNCIEAGFDGVEIHCAFGYLIEQFMKDSVNYRTDRYGGSIENRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMVQAMNKLGVLYCSMVEPEVVKVDGKVQTPYKLWHFRKAFAGTFIVAGGYNREEGNRAVFQGYTDLVAYGKWFLANPDLRKRFELNAPLNKYDRSTFYTSDPVVGYTDYPFLSPSL >LPERR02G16730.1 pep chromosome:Lperr_V1.4:2:14698941:14700162:-1 gene:LPERR02G16730 transcript:LPERR02G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESRITWTARNNLDAAHITHPHRVLLSPLRLRAAASCCHGDIAVGHRPHRRRRRPEFQKCHLDHPVKKFFGECTSLKIKLDRCFRQEKALKRKANIEESKKFKEQLLAYKREIAETNQE >LPERR02G16740.1 pep chromosome:Lperr_V1.4:2:14704558:14706092:1 gene:LPERR02G16740 transcript:LPERR02G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKEEEAAGGPWRRHRRRRPLWPCHRARTSPERDREPGVGEVIRQEPDHRVPCQEGAPVLEKEGCVGSSGKKRTSRDNPLRLPCTSSKKPAGTAPYSPRPTAKPSTPRSVDQLPIIDRSA >LPERR02G16750.1 pep chromosome:Lperr_V1.4:2:14715883:14718081:-1 gene:LPERR02G16750 transcript:LPERR02G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTSLLTAQRPTQEDQARFVHALLTMSEAFFPHEFSWKNRAFYGRYILPEIRHQGNKPTCLFNAMCTAAEIEMARTAAMNYQPMYTRFDVDSFVRDYEDFAARIEYGYQCVQIYDVQSIHTFEDVCNTINQGRPVLGMLGLTIDFDHLPPTGIYRYYRERRKIPETTHEVVFIGYGLYFGERYLVFMNSHGERFGDRGFGRVFFSSVSDLMTLRVPSISPFRGP >LPERR02G16770.1 pep chromosome:Lperr_V1.4:2:14725882:14728030:-1 gene:LPERR02G16770 transcript:LPERR02G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGCFHVYPGVGGEPYKSLAEVDVAIDQHLHGLRIPEMGDEELNKLSEMDRAIQLALFWPDGTRRRSNGGYYEKDKCNLIQALLDKYNDDHYLVEDVAYELKEFLQFGTIYEDNMWYYHLNFTTKIKGADCGVDNLFFAEISHMQGVCEWAVSCCCMLKPDDNGRCYGCRNDGCHGMKHPNNPDAYTPGCLNGYLPFGGGPLGCEFDPNLSLEDEEAMLRHKFKGLEKPFVIVEPPYASLMLVEK >LPERR02G16780.1 pep chromosome:Lperr_V1.4:2:14734137:14736809:-1 gene:LPERR02G16780 transcript:LPERR02G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRREATAAGRRWCPAEVLRRLVSSEASPERVPVRPPPELPPFEHRPAPYAGWGGDEILAKRKQFLGPSMFYYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEHINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDVVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETSVLFEKLKDLKILVGKGGLHGNVFRIKPPMCFTKDDADFLVDAMDYAMSGL >LPERR02G16790.1 pep chromosome:Lperr_V1.4:2:14744120:14746023:-1 gene:LPERR02G16790 transcript:LPERR02G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFTPPARYAASHGAASVTPEDIGMGVLVIEMPESVAATTPRDVAARSPPGSARLSRSLRRLWSFGRQGAVAGSSCSGAGDNGGGEHGVSVAVGIHVVEAPAPELTAARASCS >LPERR02G16800.1 pep chromosome:Lperr_V1.4:2:14746322:14747194:-1 gene:LPERR02G16800 transcript:LPERR02G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVEQAGAASSFMDSSSPSPTISAQPGQAMTVSGIATVAAVLIVFATLTLAFVLLQCYCDERRRAVTSSSSSTTRGPRRRQRIHSGGVDPDVLRSLPITVYRAPAAAKEDGDVDSVVECAVCLAELEDGEEARFLPRCGHGFHAGCVDTWLASHSTCPLCRLAVVKPDDAYSTPPPILIRPVAPEPPARYAGSHGAASVTTEGIATGVLVIEIPESAASTPRDVAARSPRLSRSLRRLCSFGRQGVPAGSSCSGAGDGGVEHGVSVAVGIRVVEAPAPELTAARPSSS >LPERR02G16810.1 pep chromosome:Lperr_V1.4:2:14749502:14750365:1 gene:LPERR02G16810 transcript:LPERR02G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAASSRQPSSSSCTHQVPRAENPLLLLPSSRAAKLSLGCPILDRLLSGGLPAASVTEIAGESASGKTQLCLQIALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSASDLVSLLSRAQHLLAHPRRLPVRLIVVDSIASLFRADFDASPADLRRRSALFFRISAKLKELAHRHQCVVVVTNQVVDVVEGEQGNTVAWSSGRRVSPALGLAWANCVNTRLFLTRDADGPGGGARRRMKVAFAPHLPERACEFVIRRDGVFGVEPSER >LPERR02G16820.1 pep chromosome:Lperr_V1.4:2:14753490:14754590:1 gene:LPERR02G16820 transcript:LPERR02G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPELMGEQMDNEELNLSLSLQPSYPSRFPTEFSCCYCPKRFRCSQALGGHQNAHKLQRNLAKRNREAFLAVSQRKGANAGIKDGGSAESTRKISVGKKHHEDVWLLQGSSGSSSSGTVMHNSIEQDVEDGGLSDGMIDLSLKL >LPERR02G16830.1 pep chromosome:Lperr_V1.4:2:14763354:14768743:1 gene:LPERR02G16830 transcript:LPERR02G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSAHSERGERRSLARAHTHRSRTRACAAAQISASSSPATARIRRSSQSSLSDLTSGGGGGGDGGRRQGRSLQRSGSKRVLDPTGGGAGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTSRLSLPLFTGGKVEGEQGAAIHVVLQDANTGVAVTSGPESCAKLDVLVLEGDFNQEDDEDWTEEEFESHIVKEREGKRPLLTGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAVDSRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYDDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPASYSSSNAQKQLSQPAKAGQTSTGTTSEADGSASTYNGNQPVRYTANSQSIPANVTTQYDRCSLTPESQFNGSTLQNQASRGSNMLALGPSQQQQQNFEFSALGGQSMQPTSLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFTFPNYMPATPPNFNFGDDRVRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >LPERR02G16830.2 pep chromosome:Lperr_V1.4:2:14763354:14768743:1 gene:LPERR02G16830 transcript:LPERR02G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSAHSERGERRSLARAHTHRSRTRACAAAQISASSSPATARIRRSSQSSLSDLTSGGGGGGDGGRRQGRSLQRSGSKRVLDPTGGGAGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTSRLSLPLFTGGKVEGEQGAAIHVVLQDANTGVAVTSGPESCAKLDVLVLEGDFNQEDDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAVDSRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYDDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPASYSSSNAQKQLSQPAKAGQTSTGTTSEADGSASTYNGNQPVRYTANSQSIPANVTTQYDRCSLTPESQFNGSTLQNQASRGSNMLALGPSQQQQQNFEFSALGGQSMQPTSLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFTFPNYMPATPPNFNFGDDRVRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >LPERR02G16830.3 pep chromosome:Lperr_V1.4:2:14763354:14768743:1 gene:LPERR02G16830 transcript:LPERR02G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGAGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTSRLSLPLFTGGKVEGEQGAAIHVVLQDANTGVAVTSGPESCAKLDVLVLEGDFNQEDDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAVDSRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYDDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPASYSSSNAQKQLSQPAKAGQTSTGTTSEADGSASTYNGNQPVRYTANSQSIPANVTTQYDRCSLTPESQFNGSTLQNQASRGSNMLALGPSQQQQQNFEFSALGGQSMQPTSLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFTFPNYMPATPPNFNFGDDRVRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >LPERR02G16840.1 pep chromosome:Lperr_V1.4:2:14769814:14772581:-1 gene:LPERR02G16840 transcript:LPERR02G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGKQLAIPAKVLPLENAVFTEVDADELSCNSTSMHCTEHGQASVALKDDQECSTSHVHGSGPSQESNGCMLNRRIHEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLKGLNHLICKIVESGAKDFARAILRTSLLASCVVACESKALALGDSKEIIAQRLHDRLQDCPGGDHLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYRANCSTHQEVQFHLSAFKSFLDIAGDNLSGKIFSEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGADNVNQCFLEASRFGSTELVRILLKIAHENSLAVDVDLALVYSSHYCKFETMECLVDEGHATAFLCPLVKASERGCLKVVQWFVNRGVLDIEMCLAVTTAASCGHYEVASYLLAHIPRHVLEALSTQILKAARGQGSGSFEGVAFLLRTNFLSDAASTYAVADKIATTSADDIPQDLVAFLREQWSHAAFVEGVDAGEEHFVNITRVLRRGASPIRLHDLPEPMALAIMYLPLYRACASASGPLLPQRLRGELVEAIDRLGVPVNLENTRRDLLAVLEHYLPSFVLGGA >LPERR02G16850.1 pep chromosome:Lperr_V1.4:2:14779526:14780411:1 gene:LPERR02G16850 transcript:LPERR02G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIASVFLLLALATGPGVPATASGVPIVAPGISASGVPTTPGVSAYDVLATHQLPRGILPEGVTSYTLNGDSTFDVHFGSECHVRRGGFEITYDAMITGCS >LPERR02G16860.1 pep chromosome:Lperr_V1.4:2:14787119:14800573:-1 gene:LPERR02G16860 transcript:LPERR02G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGAAAADMEPEITLEHTPTWIVAAVCSVIVIISLLFERLLHRLGKRLKNTHRKTLYEGLLKVQEELMLLGFISLLLTVTQDATQKICVSESVMRHLQPCKTNNFAAKKTAHFAGVLGGARRLLAGGAAKSNYCANKGLIPILSVEAIHQLHIFIFVLAVSHVVLSAITVLLGIAQTRKWQKWENKIQKSNENGPQVIKHVQEFQFIKDHFRGHGKRWKTFGWLRSFFKQIIWSVTEEDYITMRLGFIMKHCRGNPKFNFYKYMIRALEVDFKKVVGWYIYIWISTVPFIMLLVVGTKLEHIITELAHEVAEKHTAIEGELVVSPSDDLFWFHRPKLVLVLIHFVLFQNAFEIAFFIWLMVTHEFKPCIMGNKGYVIARLVISVISQLICGYSTLPLYAIVSHMGSSFKKAIFHENVTEGLANWAQNARRRNEREPRMQNVGDPPVVESNGGAIQMASSPTKSVE >LPERR02G16860.2 pep chromosome:Lperr_V1.4:2:14787119:14800573:-1 gene:LPERR02G16860 transcript:LPERR02G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGAAAADMEPEITLEHTPTWIVAAVCSVIVIISLLFERLLHRLGKRLKNTHRKTLYEGLLKVQEELMLLGFISLLLTVTQDATQKICVSESVMRHLQPCKTNNFAAKKTAHFAGVLGGARRLLAGGAAKSNYCANKGLIPILSVEAIHQLHIFIFVLAVSHVVLSAITVLLGIAQTRKWQKWENKIQKSNENGPQVIKHVQEFQFIKDHFRGHGKRWKTFGWLRSFFKQIIWSVTEEDYITMRLGFIMKHCRGNPKFNFYKYMIRALEVDFKKVVGWYIYIWISTVPFIVTHEFKPCIMGNKGYVIARLVISVISQLICGYSTLPLYAIVSHMGSSFKKAIFHENVTEGLANWAQNARRRNEREPRMQNVGDPPVVESNGGAIQMASSPTKSVE >LPERR02G16870.1 pep chromosome:Lperr_V1.4:2:14811031:14815524:-1 gene:LPERR02G16870 transcript:LPERR02G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGGAAAADVPQITLEHTPTWIVSAVCAVIIIISLIFERFLHRLGKRLKNSKKKPLYEGLLKVKEELMILGFISLLLNVFQGATQKICVSENIMRHLQPCKLEDFAGAAKTTAHFGGVMGSTRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRNWQHWENKIQMSDENGPQMIKHVQEFKFIKDHFRGHGKRWKTFGWLRSFFKQFYGSVTEEDYLTMRLGFIMKHCSGNPKFNFYKYMIRALEADFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFIMLLLVGTKLEHIITELAHQVAEKHSAIEGDLVVSPSDDLFWFNRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFHSCIMGKPGYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVAEGLTNWAQNARRRNARGTNGGGVQMASPPTRSTEQGTARLI >LPERR02G16870.2 pep chromosome:Lperr_V1.4:2:14811031:14815524:-1 gene:LPERR02G16870 transcript:LPERR02G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGGAAAADVPQITLEHTPTWIVSAVCAVIIIISLIFERFLHRLGKRLKNSKKKPLYEGLLKERKKKKRKGLPRTRRDSCKRRGRNQLKQWLIGAGAVVAELMILGFISLLLNVFQGATQKICVSENIMRHLQPCKLEDFAGAAKTTAHFGGVMGSTRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRNWQHWENKIQMSDENGPQMIKHVQEFKFIKDHFRGHGKRWKTFGWLRSFFKQFYGSVTEEDYLTMRLGFIMKHCSGNPKFNFYKYMIRALEADFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFIMLLLVGTKLEHIITELAHQVAEKHSAIEGDLVVSPSDDLFWFNRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFHSCIMGKPGYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVAEGLTNWAQNARRRNARGTNGGGVQMASPPTRSTEQGTARLI >LPERR02G16870.3 pep chromosome:Lperr_V1.4:2:14811031:14815524:-1 gene:LPERR02G16870 transcript:LPERR02G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGGAAAADVPQITLEHTPTWIVSAVCAVIIIISLIFERFLHRLGKRLKNSKKKPLYEGLLKVKEELMILGFISLLLNVFQGATQKICVSENIMRHLQPCKLEDFAGAAKTTAHFGGVMGSTRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRNWQHWENKIQMSDENGPQMIKHVQEFKFIKDHFRGHGKRWKTFGWLRSFFKQFYGSVTEEDYLTMRLGFIMKHCSGNPKFNFYKYMIRALEADFKKVVGWYVYIWISAVPFIMLLLVGTKLEHIITELAHQVAEKHSAIEGDLVVSPSDDLFWFNRPKLVLLLIHIVLFQNAFEIAFFFWLLMGTSFKKVIFDENVAEGLTNWAQNARRRNARGTNGGGVQMASPPTRSTEQGTARLI >LPERR02G16870.4 pep chromosome:Lperr_V1.4:2:14811031:14813577:-1 gene:LPERR02G16870 transcript:LPERR02G16870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNSNLSKIISEDTRSFFKQFYGSVTEEDYLTMRLGFIMKHCSGNPKFNFYKYMIRALEADFKKVVGWYVYIWISAVPFIMLLLVGTKLEHIITELAHQVAEKHSAIEGDLVVSPSDDLFWFNRPKLVLLLIHIVLFQNAFEIAFFFWLLMGTSFKKVIFDENVAEGLTNWAQNARRRNARGTNGGGVQMASPPTRSTEQGTARLI >LPERR02G16870.5 pep chromosome:Lperr_V1.4:2:14811031:14813577:-1 gene:LPERR02G16870 transcript:LPERR02G16870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNSNLSKIISEDTRSFFKQFYGSVTEEDYLTMRLGFIMKHCSGNPKFNFYKYMIRALEADFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFIMGTSFKKVIFDENVAEGLTNWAQNARRRNARGTNGGGVQMASPPTRSTEQGTARLI >LPERR02G16870.6 pep chromosome:Lperr_V1.4:2:14813649:14815524:-1 gene:LPERR02G16870 transcript:LPERR02G16870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAKGGAAAADVPQITLEHTPTWIVSAVCAVIIIISLIFERFLHRLGKRLKNSKKKPLYEGLLKVKEELMILGFISLLLNVFQGATQKICVSENIMRHLQPCKLEDFAGAAKTTAHFGGVMGSTRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRNWQHWENKIQMSDENGMIKEYSPMKK >LPERR02G16880.1 pep chromosome:Lperr_V1.4:2:14826171:14831034:1 gene:LPERR02G16880 transcript:LPERR02G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGDGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKALYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMVMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGASGSGQA >LPERR02G16890.1 pep chromosome:Lperr_V1.4:2:14839297:14840403:1 gene:LPERR02G16890 transcript:LPERR02G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRKPELIPGLPGDVAMECLARVPLRSHRAMRHVCRGWRRASSGEDFRRHRRAAGAEEHVVFLVQATPARGVVGDGKEFTAAVKCALAAANLTTGEWRRVEGGVTFFARCAAAGDGHVAVLGGWDPAAAAPCCLSRDVRVLDVSTGTWRRGAAMPDARGFFGCAGGGGGEVYVAGGHDESKNALRSAYAYDVAADAWRALPDMSEERDEPQLVVETRGNRVLAASGYPTDAQGAFKKTAEMYAGDGDWTSTGDILPKTCMAAVGGKVWAIGAGKGGVKEWDVAAGAGGGAWRDVADGPPGMKACVQAVGLGGGDGVFVFGKADDAAEKEGRHAAWVMDAGGAAWRRVAVPPGFAGFAYSAAAVRV >LPERR02G16900.1 pep chromosome:Lperr_V1.4:2:14846036:14848569:-1 gene:LPERR02G16900 transcript:LPERR02G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAPATAGKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGENSDPEFMKVNPMKFVPALVDGDSVIGDSYAIALYLEDKYPERPLLPQDLIMKALNLQIASIVCSGIQPLHNLTVLRFIEQKVGTGESLPWTQQQIDRGFTAVENLVKGCAGKYATGDDVQLADVFLAPQIYAAVTRFQINMSNYPTLARLHDEYMKHPAFQAALPDRQPDAPSST >LPERR02G16910.1 pep chromosome:Lperr_V1.4:2:14848452:14852534:1 gene:LPERR02G16910 transcript:LPERR02G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGGAGAGANAAVHHNARSAEDVFRDYRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGVAKKQSKVSNGSSKSNKSNPKPSKQSNSNSKPAKPAQTKDEEDSGQEGGEEEDQAYMCGACGESYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >LPERR02G16920.1 pep chromosome:Lperr_V1.4:2:14854015:14860255:-1 gene:LPERR02G16920 transcript:LPERR02G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPALLRHALPELGRGHPRGGALQHSHQAARGASRTRSGWWRRSGRPPPSSPTTPWSTATAAPATSRPRAASWPACRSAFAPDTFTCNPIVRALCVRGRVGDALEVFDDIVRRAVITSFCEKGLVDRAVEVVDQMSKHGCTPDIVTYSTIINGLCKERRVEDAVKLLRDLQSYWCKPDIVTYTTVLKALCGIEQWEDAEERLAEMVFKNCPPDEVAFNTIITSLCQKGLVKHATRVVDQMSKHGCIPDIPIIVLFMHVEDAVKLLNSLQFYGCKPNIFTYNTVLKGLCTVERWDDAQKLMLEMIQKDCLPDELTFSRIFTSLCKKGLLLQAIEILILIYEKGHIPNSSTYSIIVNQLTKATFAKSAKIEEALDLLNVMVSKGLCPDKATYQSLASGFSREDGMHSAIGMFHRVQDIGLSLDTNVCNAVLINLCTIWRADLAIDFFIHMVYNGCMPDELTYIILFEGLAYEGFLEEAKELLCNFSKPPSTRLRPRHRLRLAARRDRQRGGVALGRRDGHARLRGLLRRGDLDEAVRLATSSTPGPVPPDAVTCGVLIKRLCADRRFDEAERVLRALRAAGAADVVAYSTLVNGYCRAGRVDDAHRVIASMPLPPNCFTYNPLVRAYCVRGRMGDARVVLDDMLRRGCPPDVVTYTILLEAACKESCYGQAMDLLDEMREKGCVPNIVTYNVLINAMCNQGHVDEAIELLNTLPSCGCRPNSISYSTLLKGLCSAKRWEDAEWIVAQMAQNDCPPDQFTYSILLEGICERSGYKSALKHLEKMRAEGCTPNIVTYNVLINAMCKEEHIDDAIDLFNDLPSYGCKPDAVSYTTLLKGLLTARRWEDAEELMAEMVQNGCPPNVVTFTTLISSLCQKGLVDLAIKVLAQMPEHGCMPNTVTYNCIIDGLCKERRIEEAMKLLNSMQFGECKPDIITYNTILKGLCSIERWEDVKEIMVDMVRENCPPNEVTFNTTINFLCQKGLLEGAIESLEQMLENGCTPSSVTYTTMINGFCSTGANRLSQNGQPPKTPTPHVLINSLCKKGLLMQAVEIMRLMRKKGYFPNLPTYNIVIGGLSKAGKMQEALDILNEMKRFCVPQVITYNEMIASLSKAGKMEEALDLLNDLVRQGLIPDTVTYQSLAFSISIENSIGKAVKIFHRLEDMGISPGNMFYNAVLLGLCRNQKTDHAIDLLAYMVGSNCMPDESTYIILVDGLVHEGFFGEAKELINKLDCKGVLSKSFMEEVRQLSDSMCALSN >LPERR02G16930.1 pep chromosome:Lperr_V1.4:2:14860509:14864233:1 gene:LPERR02G16930 transcript:LPERR02G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLHPTISMLHCYLS >LPERR02G16940.1 pep chromosome:Lperr_V1.4:2:14863910:14866078:-1 gene:LPERR02G16940 transcript:LPERR02G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAAAMAAAAAAPLSSLHALSCRGLGVPIPFPCEARPRVAPPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAVAHLERPWDPDASASAAAAAAAPNLLSVAADDQLKALADRFHRPGGVDLWNDRDGPQVFASPDTGMASARFFPKNAIHSVQPYALLGGDAESTVADRNNGADATVRSDRVQGVRQNAAKKEMQGIGGDHEPAVEYIERGGVWEPVNNLDAGDDSNSSGGRWTNDNVNANLEGVGDVDFRPKQRAMVGRDRRKGGAARREATKSIAVGSDEFRDQRGNGLSLDPEGTSEYHLGQRWQEKNSGSRGKRPIGRRKALRTDGSSAIGRDRMVDGSSFSDSEVTRDGFEPKWKARTREGTMNGVRRWDPSNEGFRNERRKGWMDNEFDSNSDSGGDAKLMPKWKARNRLNQSESIEGTMNGVERWDLPNEGSHNERRKGWMDDEFDSNSGSRRDEKSMPTWKARNRLNRRENGRDRPELKYNADTNNGERTGRYSRGNNGDVRRDHFVNRFASDLEEPKWKPRRKSGARMNNGHRKDINDMNGGFRRGSNGGDINGRFRRGSNRDDMNGRFRSSNEDNVNGGFRRGSNRDDMNGGFRSSNGDDMSGGFRRGSNRDNMAGRFRRGSNGASRLLDAMDNNRGVGSEDGNYMMSRNERQLRGDAYSLRPTSELHNSGRDRESDEL >LPERR02G16950.1 pep chromosome:Lperr_V1.4:2:14866276:14868427:1 gene:LPERR02G16950 transcript:LPERR02G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRSLKIKASTCKRLVRELRSYEEEVEKEAAKTTGMKEEGADPYDLKQQAELKVSNEHGVEIEEAESTIREVEPVLTPIED >LPERR02G16960.1 pep chromosome:Lperr_V1.4:2:14868800:14875661:1 gene:LPERR02G16960 transcript:LPERR02G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAAESSALMTAAAPPHRRVQDRRKWEGPSSGGRSSSADEHEPRRIRAEAHCPRCSKHMDILFSHRAPPPSTPSAAGGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPPDAARDPSFWEAIRGSSSSRDDGDSGGGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGAGGVGGEDGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDKAKKVLAVAVYNHYKRIYHKSLQKGSGADLGGFNGEADDDDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVIVDEDAVGSVDQPGCGAKILHGDGAFDRYLSEMKAAGDAAGSEADGEAELSPSRAMGM >LPERR02G16960.2 pep chromosome:Lperr_V1.4:2:14868800:14875661:1 gene:LPERR02G16960 transcript:LPERR02G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAAESSALMTAAAPPHRRVQDRRKWEGPSSGGRSSSADEHEPRRIRAEAHCPRCSKHMDILFSHRAPPPSTPSAAGGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPPDAARDPSFWEAIRGSSSSRDDGDSGGGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGAGGVGGEDGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDKAKKYTTIINEYTINHYKKDLGGFNGEADDDDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVIVDEDAVGSVDQPGCGAKILHGDGAFDRYLSEMKAAGDAAGSEADGEAELSPSRAMGM >LPERR02G16960.3 pep chromosome:Lperr_V1.4:2:14868800:14875661:1 gene:LPERR02G16960 transcript:LPERR02G16960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAAESSALMTAAAPPHRRVQDRRKWEGPSSGGRSSSADEHEPRRIRAEAHCPRCSKHMDILFSHRAPPPSTPSAAGGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPPDAARDPSFWEAIRGSSSSRDDGDSGGGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGAGGVGGEDGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDKAKKVLAVAVYNHYKRIYHKSLQKGSGADLGGFNGEADDDDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVIVDEDAVGSVDQPGCGAKILHGDGAFDRYLSEMKAAGDAAGSEADGEAELSPSRAMGM >LPERR02G16960.4 pep chromosome:Lperr_V1.4:2:14868800:14875661:1 gene:LPERR02G16960 transcript:LPERR02G16960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAAESSALMTAAAPPHRRVQDRRKWEGPSSGGRSSSADEHEPRRIRAEAHCPRCSKHMDILFSHRAPPPSTPSAAGGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPPDAARDPSFWEAIRGSSSSRDDGDSGGGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGAGGVGGEDGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDKAKKVLAVAVYNHYKRIYHKSLQKGSGADLGGFNGEADDDDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVIVDEDAVGSVDQPGCGAKILHGDGAFDRYLSEMKAAGDAAGSEADGEAELSPSRAMGM >LPERR02G16970.1 pep chromosome:Lperr_V1.4:2:14876118:14878399:-1 gene:LPERR02G16970 transcript:LPERR02G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDRLYGFAICLAAGLTCTFLSMFVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIVALFCALFIHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >LPERR02G16980.1 pep chromosome:Lperr_V1.4:2:14878701:14880193:1 gene:LPERR02G16980 transcript:LPERR02G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRALGSAPQWRRRGNPSARSPSPLASYHLYRSPCTSRRAAPEAKTATPHSRRRVSDTEGSRCRVLPSPPPPPNNSSRSVAGATRRRRLLGRR >LPERR02G16990.1 pep chromosome:Lperr_V1.4:2:14878773:14879949:-1 gene:LPERR02G16990 transcript:LPERR02G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKLFFLCSRARSSAASTSPERFSGTQHPVSGSLIQKISFDVDAAPAAPPSAPEILAAIDEPRCLTSAPAAAAAACSAAAVATAERGIAILRCQRRGAGSAVWLSLPLARRGARCRETYINGRRREGRGSAR >LPERR02G17000.1 pep chromosome:Lperr_V1.4:2:14879997:14883298:-1 gene:LPERR02G17000 transcript:LPERR02G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDDVIFCLLGLRRSAYAQILQHRDTHLSINHQTLVFFLPAELNRLYIYSLTSPPPVSALHSPVLLHPLSPSPDSNFFSFCREIERMGIDPFMPWWSSSIADTSSGIHVAATSAVDEATSSFLGEASTAKLQVNLLMQAELLQQQQHQSEGIGLAAMDDATAAAAALMLGVPPPPPCFGFGGRITNNGDLLQQEGDGSMYPPRVVDTLLPSPQLQLIDDTEINTGNLLSFAPPGQQQFTPNTGNLQIGQKPTQSYSGKKGSAASPVNLSEVLPNGNGSSSAWDGALLLNGNGSSAGNGARKPRVRARRGQATDPHSIAERVRREKISDRMKDLQELTNKASMLDDIIDYVKLLQFQVNVLSRSRLGATKADAIFPLPREPKTEGSGILLRPRSSGERQGQSESELAVEVKDEALQLMEESMMTAMQFLQSKGFIFMPLSLASEMRGQKI >LPERR02G17010.1 pep chromosome:Lperr_V1.4:2:14886657:14887919:-1 gene:LPERR02G17010 transcript:LPERR02G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGALSPSSSLSPSRSPSPLPVADPVTVAAAPPGHLAVALPIPKPGSSASGGGGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKPPKSDVQCKNRIDTLKKKYKVEKAKPDSSWPYFHRLDLLLAAVQKPGGAAASAAAAGAGNSGGSGGGGGSAAARSTAPMAPRVNFPQRTRTQFLPPSAGVKRRMPSPPQASVSSESSDGFPPEPPLAAANGKRRRVEEEVNGADSSNRAQGLRELAEAIRRFGEVYERVESAKREQELRMERDRLEVARELEDQRVQFFVKMQMELSKANNAGSSAAAAVVGAAATAVAADGNGTRRTAVAGDVGTSSNHHVRYRFKGGRHHHTPQQPQHQYNENNVAEATRGTGNGSDTDNKEDEDDVEDEEDESQ >LPERR02G17020.1 pep chromosome:Lperr_V1.4:2:14889338:14893176:1 gene:LPERR02G17020 transcript:LPERR02G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASPAAPSLFISLLPLLLFLHHGCWSCRAVESERTLAMIKPDGLSGNYTERIKEVITESGFDIVKEAVVRLDAERASLFYVEHSGRSFFDSLVKYMTSGPVLVMVLERPDAILHWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSPQSAAREISFFFGDARSDAVEHDEL >LPERR02G17020.2 pep chromosome:Lperr_V1.4:2:14889338:14893156:1 gene:LPERR02G17020 transcript:LPERR02G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASPAAPSLFISLLPLLLFLHHGCWSCRAVESERTLAMIKPDGLSGNYTERIKEVITESGFDIVKEAVVRLDAERASLFYVEHSGRSFFDSLVKYMTSGPVLVMVLERPDAILHWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSPQSAAREISFFFGDARSVREDGC >LPERR02G17030.1 pep chromosome:Lperr_V1.4:2:14894832:14895185:-1 gene:LPERR02G17030 transcript:LPERR02G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPCDVGVEPRRGGGGGHRLMWRGLGRRRKKLPVVRLGGGRGGGRSSGRGLMRRLRLRWKAARWLRRAVRRLAAIYMAALTGLPAGESSAAGGPAWIGVDPLFGVPFVPSVRHFI >LPERR02G17040.1 pep chromosome:Lperr_V1.4:2:14898776:14900830:-1 gene:LPERR02G17040 transcript:LPERR02G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGGGGASPPAAKKKANLLDPYSIKHLLDDTVSDVVKSKGYTEDTRLSNRKLVLGAAVIAVALLAQFYRVKFPQNRDVLLVCIAIFVVLNVVQQIVSYTKEKNAILFAHPPAGSFNRTGLVISSKLPTLSDMYTLAIANAGPLSKSSSEPVHFTKSVTKWFSKDGVLVEGLFWKDVEKLIDDYNSEHRSK >LPERR02G17050.1 pep chromosome:Lperr_V1.4:2:14903393:14905030:1 gene:LPERR02G17050 transcript:LPERR02G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLPFASSHPYPSLPSPIPSAPRLRLRIAGSSAPAAAASPNAAVSHRASSSGDRLRALVRRGDLDEALRLIESSSPAAAAAVAPGGTCASLIKKLCASGRTAEARRALAACGHDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTGNALGVLDDMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRAKGCAPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMAEMGEKGCPPNVVTFNMLISFLCRKGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIASGLCREDRIEDAIKAFCKVQDMGIRPNTVLYNAILLGLCKRRETHSAINLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARELLDDLCSRGVVRKKLINKGAIRLLD >LPERR02G17060.1 pep chromosome:Lperr_V1.4:2:14906768:14909057:-1 gene:LPERR02G17060 transcript:LPERR02G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTGEEVVRDDLVTTGRQIKPRARIKSNSGEATRGKKQRRRCLAAVAPRRTATARRRTRPLRRPTGGPRGPGAPRASGPAKPVNIDVPAIAFDELKKITGNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFAAQIAMVSKLKNEYFLELMGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPHA >LPERR02G17060.2 pep chromosome:Lperr_V1.4:2:14906768:14908495:-1 gene:LPERR02G17060 transcript:LPERR02G17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDSYGPPANQAAPPPNVNAPGNRGGPRGPGAPRASGPAKPVNIDVPAIAFDELKKITGNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFAAQIAMVSKLKNEYFLELMGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPHA >LPERR02G17070.1 pep chromosome:Lperr_V1.4:2:14913718:14915489:-1 gene:LPERR02G17070 transcript:LPERR02G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPTPVKATVAPTPVPAPTCTSEGEGLQLVLGVRASRRDEQDDQTTCTQSSEEAMEGEEDETRPRGEAPVESLSFPLFVSSAETGSMNSEVCTRGFDVNTRPSDGCAAAVRPSSPSSMLEVSTRQQATDQEAADDEENSGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLAERLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTLENRRLRREVAELRALRTTPYPPLYGLHHHLPAVATAGLAAAGGGGTVFRACPSCDHNSKVAAAATCYSPHVVTSVGAAPASDVTATVASPATGSSPPASATLFSRRPHFGSFAAVVPPVLRRQPSATS >LPERR02G17080.1 pep chromosome:Lperr_V1.4:2:14930526:14936793:1 gene:LPERR02G17080 transcript:LPERR02G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSASPLLLPSRKLSSSSAAGHHHQKKSYARCVSHARDELHRFRTCLSWMCVDVTTGPRLAASCAAFLLLAVAAPSAVAFLLPDSAPPRPFDGQVQVSLTLAAALAYATLASLLRRPGLRRLLFLDRLRHDSDQVRDGYARDLARSFRVLSSFLLPCALADAAYKAYWYYYTFSSSSSSPAAKSWAWWWCAAAWGAEVASWAYRTAVYFMVCVVFRTTCYLQILRMKGFAREFDRFADVAAVLERHRCIRRQLHKISHRYRRFILGCLVLVTASQFATLLAATRPHANINLATAGELATKISSLSYTRSESLTSAVLQLSSVSLVAGLLVCLHSAAKITHKTQAITSFVAGWHADVTINAFNNDQENPDPGLPAIVGYLAPRNAYWVASGESSDYDDYDDSTFSSSDDDDDSTSTSSDSSKSKYIPFQNNNSCFQKRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >LPERR02G17090.1 pep chromosome:Lperr_V1.4:2:14934263:14935345:-1 gene:LPERR02G17090 transcript:LPERR02G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRGRNLPAHRRGSSSSCDLPSCCWKAKGTGGQNDMALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHDKGCRPYMCGTNYQHSNCLEHFKEAYAKEKLALSALAESSPGLSLSSNTQTSSKQPCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHANEWKKFECERERQDAISTIRAMTPGAVIMGDYVVEFNGGSNNLLSDGDDLDDRLNFFTSLDRTLNERLDFYESSDGSLDESIDILASLFSQGRRIANGDSYNRAYRRYRERPRRNVTAGSAATPDIQHDSANTRRARLGGIRAIGRTSRRHNSMVTHVRSTHGS >LPERR02G17100.1 pep chromosome:Lperr_V1.4:2:14951759:14958520:1 gene:LPERR02G17100 transcript:LPERR02G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQDLPALKPQWLVQGQASSTGATNFWTHSSPRPGPDNQGRGGSSRNHSSGHNRDQNSRASSLRVAGSNGPRRHDRDGMGKSRGYGNFGRNREREREKDFDSRDRESRSVTADRDGFGSFSTCKPERDRLNRSRSKTDKGVVSINNCNTSRSNTGGVSFEREFPQLSSEDKNGKQDNISRVPSPGITSPIQRIPPITATDRWNSVLADVPVSSEPNKNLVASSISRPAPSKQPEAAPNSVASLSMAETVMQVPLRISVGPQLSIEAQKVEEIALRQNTLRPMAFPAIKSSVTSSSKTKGARNGDPSGPSKATHQSLIPSANGSARAPVKTDLSKVSQPRNFKILTREQSCTTHTAKNCPDNPMSPPAPVAPVEPLKRPCVSQKSKVATHGHPLSLLQGAYVDKKLNALGRHKFFQILRTNSSNGSSSTVESGCPSSSVTDVKQDSCLSLGKDISLYHPGMKCMENGKCSCEEANSSDGSQRHLSDNEENNLSLEQAADGVSQNLIVESRSDSSSELAADRGDEFRVFLSNNSEGSSSAPADSDDGYKRSHSVSEEASLSSEATDLGDEYPAEDSLPADFVAFMISLGWEKDKQVEPLGLEEIAVTVRANEELQQKLLSMEDNANIKIVLLYISSRGLDKELQRPNAGTKLQCLKP >LPERR02G17110.1 pep chromosome:Lperr_V1.4:2:14957611:14962089:-1 gene:LPERR02G17110 transcript:LPERR02G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGGAFDRRSSARWRVLLLCAFCFGLGMLFTDRFWTAPDTSNHVMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRSGGSPVTTESSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGTKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHTRCGEGDSAVWSALI >LPERR02G17120.1 pep chromosome:Lperr_V1.4:2:14967492:14967816:-1 gene:LPERR02G17120 transcript:LPERR02G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANLPAVWAAVGPGIAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGFFASFAAIMFNCVKREDANYNYYSPYDDSEWR >LPERR02G17130.1 pep chromosome:Lperr_V1.4:2:14968537:14972069:-1 gene:LPERR02G17130 transcript:LPERR02G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSLPTLSLPATSSSGHRRRRSLPPTTTFPFSRTTPPLRRLLFSASASSSSTTEEGGGGSTNGSLPGLPPVTEEEEDDDEEEFCPVECVTEFKTDEEFLRILERAKATGALVVVDFFRPSCGSCKYIEKGFMKLCKGSGDDGAPVVFLKHNVIDEYDEQSEVADRLRIKENYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCANADAQQLLQKVEMLERVLERGDHAVAEIVEGLQRSNLSEDNHFSKSKPSGK >LPERR02G17140.1 pep chromosome:Lperr_V1.4:2:14975875:14980452:-1 gene:LPERR02G17140 transcript:LPERR02G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPGAVGAGGAAVPLAVLLRREVASEKTAAERPELQSGLFSQAKKGEDFTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILSAVPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLVITVASVGDSRCVVEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIVPVPYVKQIKLSTAGGRLIISSDGVWDVLTAEVAVNCSRALPPEAAAEQIVKEAVQAKGLRDDTTCIVVDILPDKANLTMPPPKKQPGMGVFKNMFRKKPSSDSSSTTDREYMDPDIVEEIFEDGCAFLSRRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >LPERR02G17150.1 pep chromosome:Lperr_V1.4:2:14984337:14985314:1 gene:LPERR02G17150 transcript:LPERR02G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTPTAAAASAAGDDDEVIRDFGPMLRVYKSGRLERPLVAPPVAPGHDAATGVDSKDVHLGDYSVRLYLPPSARAVTNRLPVVVYIHGGGFVAESAASPNYHRFLNRLAAAVPAVCVSVDYRLAPEHPLPAAYDDCLAALRWVFSPDAADTWIAAHGDLARVFVAGDSAGGNICHHLAMHHHDAPRLKGAVFIHPWFWGSEAVGEETRDAEGRARGAGLWMFACPDTSGMDDPRMNPMAPGAPGLERLACDRVMVCAAEGDFLRWRAHAYAAAVAAARGGGVEVLETEGEGHVFYLFDPDGDKAKEMMDRMVAFVNADAAA >LPERR02G17160.1 pep chromosome:Lperr_V1.4:2:14990494:14992060:-1 gene:LPERR02G17160 transcript:LPERR02G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPNPAPRAPASRPRRRLRPPRRSASPDRTYSRRAPSAHIAARLRRPSDGAVAAKRPSASLSSISHSLRSSISLSLRFAKLIWRRGPRWCIVRTSPALTFLARKPQYQCHIRPLPSPIDPLAADIHSIFWGHVDVDLLVDVNDQYSCSLKVPIALHGICSNKLI >LPERR02G17170.1 pep chromosome:Lperr_V1.4:2:14994655:15000069:1 gene:LPERR02G17170 transcript:LPERR02G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAAAVTTTNEAPVAATAAVAHHHPHAHGPPVSHPHHHIPRWVVIPYPPPPGMVAAPPPPPPQFVKHFAPPPQSVTPPPPPQPQPHQPAGGSGGNGAEENRTIWVGDLQYWMDENYLHNCFGSSHEVVTIKVIRNRHSGVSEGYGFVEFFSHESAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDEMLMGLFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDSDKTRAMTEMNGEYCSTRQIRIGPATPRRSSGDSGSSPPRQSDNDSTNRTIYVGGLDPNVTEEELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLVS >LPERR02G17180.1 pep chromosome:Lperr_V1.4:2:15003424:15009165:-1 gene:LPERR02G17180 transcript:LPERR02G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPIISYPRTSNNMWESESESHGERGLVPVAGGGGSSGRHDALKNDGFIRRDHSWYVNSDIPSDLLVKVGDVNFYLHKYPMISRSGRMSRAIYESSSAGGEGEAAAAAVVVEMDDVPGGAESLELAARFSYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEPLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGGGGGRGVRGGGGGTASPRWNGGGGDSKESSPSRQAAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDTPHGASPDEPWAQASAGGIGGGGGLHMIISGAGGRDVDVLGGATAREQRMVVESLISITPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALADLLIPSYGRSGAGAAGDTAYDVDLVQRLVEHFLVQEQTDIASSSPGRGAGDPSLQQDYYGGGGRLQTPTAAAAAGLNAKARVARLLDSYLSEVSRARNLSLTKFQAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAASSSTAAAAALKSSAPPDVATTMMPATRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDVLQKQVDRGGAGHAQSPSAAGKIGGAGKQGGWSSGWRRLGRLAKMSGADGAAAATTGGVAAGGDAAARKGPRRWRNSIS >LPERR02G17180.2 pep chromosome:Lperr_V1.4:2:15003424:15009165:-1 gene:LPERR02G17180 transcript:LPERR02G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPIISYPRTSNNMWESESESHGERGLVPVAGGGGSSGRHDALKNDGFIRRDHSWYVNSDIPSDLLVKVGDVNFYLHKSLELAARFSYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEPLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGGGGGRGVRGGGGGTASPRWNGGGGDSKESSPSRQAAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDTPHGASPDEPWAQASAGGIGGGGGLHMIISGAGGRDVDVLGGATAREQRMVVESLISITPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALADLLIPSYGRSGAGAAGDTAYDVDLVQRLVEHFLVQEQTDIASSSPGRGAGDPSLQQDYYGGGGRLQTPTAAAAAGLNAKARVARLLDSYLSEVSRARNLSLTKFQAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAASSSTAAAAALKSSAPPDVATTMMPATRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDVLQKQVDRGGAGHAQSPSAAGKIGGAGKQGGWSSGWRRLGRLAKMSGADGAAAATTGGVAAGGDAAARKGPRRWRNSIS >LPERR02G17180.3 pep chromosome:Lperr_V1.4:2:15003424:15008749:-1 gene:LPERR02G17180 transcript:LPERR02G17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESHGERGLVPVAGGGGSSGRHDALKNDGFIRRDHSWYVNSDIPSDLLVKVGDVNFYLHKYPMISRSGRMSRAIYESSSAGGEGEAAAAAVVVEMDDVPGGAESLELAARFSYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEPLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGGGGGRGVRGGGGGTASPRWNGGGGDSKESSPSRQAAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDTPHGASPDEPWAQASAGGIGGGGGLHMIISGAGGRDVDVLGGATAREQRMVVESLISITPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALADLLIPSYGRSGAGAAGDTAYDVDLVQRLVEHFLVQEQTDIASSSPGRGAGDPSLQQDYYGGGGRLQTPTAAAAAGLNAKARVARLLDSYLSEVSRARNLSLTKFQAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAASSSTAAAAALKSSAPPDVATTMMPATRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDVLQKQVDRGGAGHAQSPSAAGKIGGAGKQGGWSSGWRRLGRLAKMSGADGAAAATTGGVAAGGDAAARKGPRRWRNSIS >LPERR02G17190.1 pep chromosome:Lperr_V1.4:2:15015059:15016106:1 gene:LPERR02G17190 transcript:LPERR02G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSWLFTPLPLPASSTRSIDPPMEFSASASASAAVCCMCGDNGLPRELFRCTHCRQRLQHSYCSDLYPRVAAYRRCNWCLREGARRGGSLAVKPVATKRRMSSAALEMSDSGCSRSAFCAEPEKPVKKPKAGDDSPLVLTPVVEERTTEEMKPQSSARKTRFRVKVRRYKLLTEVISC >LPERR02G17200.1 pep chromosome:Lperr_V1.4:2:15020554:15022065:1 gene:LPERR02G17200 transcript:LPERR02G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTSELWLLAAALAVPLLYYLTARKLSASGSSSKPLPPGPTPLPLIGNLHNLRGVLHHTLAGLARVHGPVMTVRLGLTTAVVISTKDAAAEAYTKHDRLLSARAAPDTNSALGFSTRSMIWLPSSDARWKALRGIQATHLFSPRGLATVHAVRERKVREIVAYFRSRAGEEVRFGSAVYSGVLNLVSSSFFSMDMAGVGSEEAYGLRGLVEDLLLAATKPNVSDLFPLLRPLDLQGLRRWSEKRIGRAFQILDGIIERRLADYAKTEKRVHGDFLDALLDLVSSGKMARDHVTVMLFEVFMAGSDTMSITLEWAMAELLRNPSAMAKARAELKDVLGDKEAVEEADAARLPYLQAALKESMRLHPVGPLLLPHCAEEDGAEVAGYKVPKGAMVIFNVSAIMRDPAVWERPCEFVPERFLDREHPYDFRGKEFEFIPFGSGRRLCPGIPLAERVVPFILASLLHAFEWRLPDGVSADELDTSERFSTANVLAVPLKVVPVIN >LPERR02G17210.1 pep chromosome:Lperr_V1.4:2:15028547:15032008:-1 gene:LPERR02G17210 transcript:LPERR02G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVSNASVSPRVPLLRPPAAEPWFFRQPNSSSQRRSRGWMVNSSALGVDTYKHTRNGYRRQPKITTYLNDNETRDKTDMIAAIRATLRSMREASTTYTKLFIVDTLEKVGVSHYFSTEITSILDMAYNYWKQKDQDMVMDMETCAMAFRILRMHGYDVSSDMLAHFSEGSRFSNSVQSSLNDTKALLELYKASKVRILEDECTLDKIGSWTAEQLRQQLCSGKISTSVMPQEVKCALQLPFYSSTLEPLEHRRNIQHFSTNGIQMQKPGFLPRHAAEDIIALAVAEFNSAQSLYQKEVEYLDRWVKESRLDQLKFLRILPLDVFFFFASSMFPREASEARMAAIQNCILTIAVDDLFDVAGSNEELENLVTLFEKWDAHDEIGFCSENVETIFNAVYNTSQKIEAWVAKVQNRSVMSHIAELWLDMARVMRKEAEWSRERYVPTMEEYMPVAEVSFALGPIVPTSLYLLGPELADGVARGAEYGELMRLMNVCCRLLNDMASYKREWADGKINSVLLRAGVCGGAAAGDDEAAAVTSSAAVDAAKEEIRRTIENSKRELLRLVTSTSTGEEEEEESVPGMCKEVFWNMCKVVNLTYVKANGYCTLEEMMGAARAVVRDPLKV >LPERR02G17220.1 pep chromosome:Lperr_V1.4:2:15046795:15048321:1 gene:LPERR02G17220 transcript:LPERR02G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWLLVVALAVSLLYYLSILRRYARGGGSKAPLPPGPTPLPLIGNLHNLRGDVIHHRLTSLARVHGPVMLLRFGLTRAVVISSRDAAAEAYTKHDRRLAARLIRDTSRAYGLSERSTIWLPSSNPRWKTLRGIQATHLFSPRGMAAVHAIRERKVRDIVAYFRSRAGNGEEVLFGQAIYSGVLNLVSSSFFSVNMIGAGSEESHGLRDLVEDLLTAVTKPNVSDIFPFLQPFDLQGIRRWTAKRLEKAFGILNGIIDRRLADYDSANGAGSTEKGSNNDFLDALLGLVSDGKMAREDVTVLLLEIFGAGSDTMSVSLEWAMAELLRNPDAMAKVRAELKDVLGDKKALEEADAARLPYLQAVLKESMRLHPVGPILLPHYAEEDGVVIGGYAVPKDTAVLFNASAIMRDPAAWEKPDEFMPERFMRSEHSFDFRGKDFEFIPFGSGRRLCPGVPLAERLVPFILASLLHAFEWRLPGGMSAHEMDLTERFSTTNCLAVPLKVVLTIN >LPERR02G17230.1 pep chromosome:Lperr_V1.4:2:15065181:15066683:1 gene:LPERR02G17230 transcript:LPERR02G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEMQAQLVWAAVATSIAFYLTVLKRLGGRKRLPPGPTPLPLLGNLLKLHGVVHHRLASMARVYGPVMTIKLGLNNAVVISSRDAAREAITKHDRRIAARMIPDTFRACGFAERSVVFMPSSNPQWKNLRGIQGTHIFTPRGLATVRPIRERKVRDLVGYFRAHAGEALVIRHAIHTGVLNLVSSSFFSIDMADLGSDTANELREVVDEIITAFAKPNVSDFVPFLRPLDLQGWRRWTEKRFSRVYNILNDIIERRVEHTRANKEKHDDFLDTLLELMAGGKIDRDSVNGMLFEAFVAGADTIAFTVEWVMAELLRNPGEMAKVRKELKDVLGSKETIEETDTANLPYLQAVLKEAMRLHPVGPLLVPHFAVEDGVEIGGYTVPKGSTVVFNAWAIMRDPAAWERPDEFVPERFMDKEHPYDFRGKELEFIPFGSGRRQCPGMPLAERVVPFLLASMLHPFEWRLPSGMAADEVDLSERYMTANVLDVPLKAVPVLSS >LPERR02G17240.1 pep chromosome:Lperr_V1.4:2:15086471:15087982:1 gene:LPERR02G17240 transcript:LPERR02G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSELSSLWMLAAAALAAVLLYLSTLRRRYVGGKPLPPGPMPLPLIGNLHCLGGTFHHTLAKLARAHGPVMTLRLGLPTAVIISSRDAAAEAYTKYDQRLAARPVPDAFRANHFSDRSMTFTPSSDPQWKSQRSIFATNIFSPRGLAELRAIRERKVRELVGYIRARAGEEMHVREVVHNGVLNLMSSSFFSVDMADVGSASAHGLRQLIEDIVAKVSSPNVSDFVPILGRLDLQGLRRKTGKLLDNAFGILDDIIEHRLDESRDNPAGKHGDFLDALLKLLSDGKIPRFYVTHMLFDVFVAGADTMTTTIEWAMAELIHNPRVMAKVQAEMKDVLGSKETIDEADVARLTYLHCVFKEAMRLHPVGCILVPHLAVQDGVEIGGYAIPKGTTVIFNAWAIMRDPTAWEEPDKFVPERFLQKSSPLDLRGKEAEFIPFGSGRRLCPGLSLADRVVPLILASLLHAFEWRLPDGMSAEKMDMTERFTTINVLATSLKAVPVVKH >LPERR02G17250.1 pep chromosome:Lperr_V1.4:2:15090538:15091291:1 gene:LPERR02G17250 transcript:LPERR02G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPIPLLLFARYGAKRAVSNPVTNRSPIRLISFRYDTSRRPTSFPIPRAAA >LPERR02G17260.1 pep chromosome:Lperr_V1.4:2:15091503:15095509:-1 gene:LPERR02G17260 transcript:LPERR02G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSCSGGRFPGALSGGVVPKKYSKVPPLGVSRAATGAEQKLETWSNARSLQSMSKNELKTTIRKQLQGVELSPSLYDTAWVAMVPQKGSPKVPCFPQSVEWILKNQQDDGSWGISPSGATINKEIMLSTLACVLALKKWNVGSDNIKRGLSHLISVQIVLTGLSFIGRNFSIAMVEQAVPVGFNITFSGMLDLASRMGLEVPIMQTDIDGIFHLREIEIERDAGGNVLAKKAFMAYVSEGLGSLQDWNQIMTYQRKNGSLFNSPSTTAAAAIHNYNDRALNYLDSLTRKFSGPVPGMYPENVYSQLCMVDTLEKMGISLNFAYEIRDILDKTYSCWIQNEEEVMSDMATCAKAFRLLRMHGYDITSDGMAQFTEQSSFDDSIHGYLNDTKTLMELYKTSQVRFSKDDLVLQNIGSWSAELLKQQLSSPKTPRSLILEVESALKFPLYATLERLEHKKSIEQFQPEHFPLLKSGYCGSRANEEIRALSMDEFSSAQSVYQQDLQYLKSWAEDIGLYELKFARLMPLNAIFSSAATMFPPELSDARIAWSQNSLLTTAVDDLFDVGGSIEEMENFVALIDKWDKHGEVGFCSKHVEILFYAVYNTNKQIAAKIALIQNRDVVDHIAELWLGAMRGMMAESKWAENKHIPKSMEEYMAAAEESFALGPIVPVAAYFIGPHLSKEVVRSEEYGQLFKHMNIVGRLLNDVMTYEREIKMGKANSVLIKAFSRDGGSPESIEAAKDEIRRTIDSSRCELQRMVFREGGIVPRPCREIFWHMTKVVSVFYLEEDAYYSPKEMLNRANAVVMDPLQITIPPSC >LPERR02G17270.1 pep chromosome:Lperr_V1.4:2:15110117:15110544:1 gene:LPERR02G17270 transcript:LPERR02G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNFAYSPNKPKPAPKMKGKKGKGKKQIEEPPIKPPLLCDFMEWIDEKMSEENVSMVAQWIKW >LPERR02G17280.1 pep chromosome:Lperr_V1.4:2:15113272:15115408:1 gene:LPERR02G17280 transcript:LPERR02G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMLLAVAASLLFVFLSKLISLATKPRLNLPPGPWTLPLIGSIHHLASSDSVHRVLRRLAREHGPLMQLWFGEVPTLIVSSPEAAQEILKNHDLTFADRHLTSTTAAFSFGGNDVVFGAYGERWRQLRKLLTQELLTAARVRSFRRIREEEVARLVRGIAASADGATAVNLSEMVANMINDTVVRCSVGSRCRHSGEYLAALRAMARQTSGLSIADLFPSSKLASAVAIAPRMAVANRGKMERIIGQIIQERREQMESGNETESKCSLDVLLKIQKEGGTPIAVTNEVIVVLLMDMFAGGSDTSSTTLIWTMAELIRSPRVMAKVQSEIRQTFQGKSTITEDDLAQLSYLKMVMKEALRLHCPAPLLAPRRCRETCKIMGYDVPKGTSVFVNVWAMCRDSKYWEDAEEFKPERFENNNIEYKGNNFEFLPFGSGRRICAGINLGLANMEFALVNLLYHFDWKLPNGMLPEDLDMSEAPGLVAAKGTSLSACPVTRIVPSYE >LPERR02G17290.1 pep chromosome:Lperr_V1.4:2:15126704:15128203:1 gene:LPERR02G17290 transcript:LPERR02G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGLWLLWAALATTIVLYLSILRRYAGGGKRLPPGPTPLPLIGNLLSLRGVAHHRLASLARTYGPVMSIKLGLNSVVVLSTKDAAREAITRHDRHLAARAVPDTFRACGFADRSVVFLPSADPWWKSLRGIQGTHIFTPRGFAAVRPIRERKVREITDYFRKHVGEELVIRETIHTGVLNLVSSSFFSVDIAVLGSESAQEFRELVDQIFEVFAKPNVSDYVPFLQPFDLQGLRRSTEKIFKRIFSLLDDIIERRLAHTRANKEKHNDFLDVLVELMASGNIDREHVMAMLFESFVAGGDTVAFTVEWVMAMLLRNPSVMAKVRAEITDVLGGKETIEEPDAAKLPYLQAVLKETMRLHSVAPLQVPHSVVEDGVEIGGYAVPKGTVVIFNAWAIMRDPTAWERPDEFLPERFLQREPKLDFRGKEFEFMPFGSGRRICPGMPLAERIVPFILASMLHTFEWQLPKGMAPEALDVSERFMSANILAVPLKTVPVLIN >LPERR02G17300.1 pep chromosome:Lperr_V1.4:2:15132749:15140500:-1 gene:LPERR02G17300 transcript:LPERR02G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLQSSFCYGGQCFSASLCENGMGSKQSGRASPPGLTGGPIGLRRHLFRPPAAIVADGVEKMFEARGNNEGSQQATSRNNELQASIRKQLHSVELSPSPYDTAWVAMVPLRGSSQTPCFPKCVDWILQNQQDDGSWSINAFKPTVDKDILSSTLACVLALQKWNVGSEHIRKGLNFIGRNFSIAMDEETVAPIGFGVIFPTMLNLANGTGLELPVKQTDIDRLTQLREIEIEREAGDHSLGRKAYKAYVAEGFGNLLDWNETMKFQRKNGSLLNCPSSTAAALINHHDDKALQYLKTLVVPTLYPLNIYCQLSMVDTLEKMGISQYFVSEIKSILDMTYSSWLQGDEEIMLDITTCAMAFRLLRMNGYDISSDELSHVAEASSFRDSLQGYLNDTKSILELYKASQIEYALKYPFYSTLDRINHKRNIKHFDDKCSQMLKTEFKPVHANQDFLAFAADDFRTSQSNYQNELNYLESWVKENRLDQLKFARQKITYCYLSGAATVFPPEMSDARTSWAKTAWLTAVVDDLLDVGGSKEERENIMELVEKWDDYRQVGFYSEDVQIVFEALYTTVNQIGAKASALQGHDVTKYLVETWRHILRCMMIEAEWQSSQYVPTFEEYMEYGMASLGQGATVMSALFLIGVNLPEDIVRHPEYNKLFRLMGTCGRLLNDIQGIEREEMDGKMINGVSLIRRSGGSMSVDDAIKEVYNRINTSRRELFKLVHREKGVVPRPCRQLFWKMCKILHLFYFQTDGFSSPKEMVSAVNAVIKEPLELRSKYWLAINKKL >LPERR02G17310.1 pep chromosome:Lperr_V1.4:2:15154947:15157332:-1 gene:LPERR02G17310 transcript:LPERR02G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLQLVLGLSVLLILLSKLISFAVKPRHNLPPGPWTLPLIGSIHHLVSNPNIHRQIRTLSEKHGPLMQLWLGEVPTVVASTREAAQEIMKNLDLSFAERHVSATAAAISFGASDVFFSPYGERWRQLRKLSMQELLTSVRVRSFQGIREDEVARLVRGIAASADAGAPVDLSAMISKLVNDIVMRSSVGSRCRYRDEYLDALEEAKSQMTWLTLADLFPSSKLARMLGTAPRKGLASRQRMERIIGHIVQEHRDNMGSIDEPAAAAGTKDCFVDILLKLQKEGGTPIPITNEVIVDIFAGGSDTSSTTLIWIMAELIRCPRVMAKAQGEIREAFKGKKAITEDDIVGLNYLKMVIKESLRLHCPVPLLNHRCRETCKVMGYDVLKGTSVFVNVWALCRDPRYWKDAEKFMPERFEDNNIDYKGNNFEFLPFGSGRRICPGINIGLANLELALASLLYHFDWKLPDKIAPKDLDMRETPGIVAAKLTSINIFPVTRVPPSSS >LPERR02G17320.1 pep chromosome:Lperr_V1.4:2:15187500:15192782:1 gene:LPERR02G17320 transcript:LPERR02G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTAASLPRVTSLRPEVESPWRPSFLQLQAPRQRPGSMVYCNAQLQGSETRERPVDQQRPPTDDTQNDLPYMIESIKSSLRAARNSLGETTVSAYDTAWIALVRSLDGSDAPQFPVAIDWIARNQLPDGSWGDADMFIVQDRLINTLGCVVALATWGVHPDQCRRGLAYIQENLWRLGEDDEEWMMVGFEVTFPVLLEKAKSLGLDINYDDPALQDIYEKRNLKLAKIPREALHARPTTLLHSLEGMEDLDWERLLQFKCPAGSLHSSPAASAYALSATGDKELLEYLETALNNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYRHLSPEGMSYGGLCPVKDIDDTAMGFRLFRLHGYDVSPSVFKHFEKDGEYVCFAGQSSQSLTAMYNSYRASQIAFPSDNDLDSLKAFCRAFLEHRRATGNLNDKWVIAKGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSALRAYFLAAANIFEPDRAAERLGWARTAILAEAIASHFQRNSTGADGVMERLISGLESHDWDSQARESKDSAERSLLYALDELMGLHAFGNASDSLREAWKEWLMSWTKGSHGSCAGDTALLLVRTIEICSGRHGSNEQSQNNSEYARLEQITSSMCRKLGTKILAQNGGSMDDVEGIDQEVDLEMKELIQRVYGSRNNVNKVTRQTFLDVVKSFCYVAHCSPEIVNAHISKVLFENVN >LPERR02G17330.1 pep chromosome:Lperr_V1.4:2:15200240:15201304:1 gene:LPERR02G17330 transcript:LPERR02G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVRSLCLVLLLLVVVGGGFYVANAQESPPQPPLRRTATSTVSRTVSTAITVVIGLFFLLVFLCVIVNQCCDSSGAGAGGHQGQSAVVRRGRRGLDPATVAAIPIVPYAEIRKHRSGGLECAVCLTAFDDGDDLRLLPRCSHAFHPDCIDPWLEGHVTCPLCRANLEKQPPPSPPADVEFTSTPPPRPPAAIEADEVRLEVAVEAAISDEEERRREEEEEAAELERLRAARRAARMPRSHSTGHSLCTLPARASPSPSPAEEDHERFTVRLPPHVREEVLKSRRLRHATSLVLGVRGSSREGSSRGGGAGGSWHGARRWPSFVARTVSWARGGGVGGDSSAKGTGRACRDTP >LPERR02G17340.1 pep chromosome:Lperr_V1.4:2:15204786:15205814:-1 gene:LPERR02G17340 transcript:LPERR02G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAFLALAIALPGAAAQSPSPRPGGGSGGESLGLSDVISISFFMAVFFPVFVVLLAFACLRLFRPIEDEPPSGVTLSSEWSRRGGRRNKVGLDAAEIAALPLVSYRDVRQHRIRDGDGDHGDAPLECAVCLLEFDDGDSLRLLPACPHAFHPDCISLWLEKHLTCPLCRANVLDVPPPPTPPPTASPPPVHETVVVIGGDASANDHAGEQEADERIRIQCLARMRRAAGRQALPRSNSTGHERRGGGGGMERFALRLPEHVRLEILVSHRLRHVTSAVASVRVLEGSTHDGSAVRTAMARLLSLFVPGVGWKGDDEGKSGKTEGSSRRRRADESTARGGA >LPERR02G17350.1 pep chromosome:Lperr_V1.4:2:15223854:15224861:-1 gene:LPERR02G17350 transcript:LPERR02G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALPPKPGPPKPAADGNCEYDCPSPPPSHRHNRVVVIALATTASVLFVLLLAFSIYFFIRRRRQRLLRQEALLANPPAAPAAPAAATDANAGEEGEEEVLHHAWHIRTVGLDEAAIESIALTRYRAGAGMLGATDCPVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRAHVLHNPADDGEHVPPAAAGAADQNSTTGDEATEHGNPSQQQQDLRIEIDRRDDPSSPEPPRRSPASRRHENFRRVASMDSPPASAEAGGHEDEQSSKEKQGNGDRDDDSCGKVSSDSGRLHLNHQMRRSLSGGGRRSLPSHSRHHRTSSSMLPL >LPERR02G17360.1 pep chromosome:Lperr_V1.4:2:15225818:15229469:-1 gene:LPERR02G17360 transcript:LPERR02G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPASSSVAALRGHPVQFLKGSAISKEAKGSISYSSVSNSNNANVKFTGLRVSASLKRDGAFPGDSYSGNDKTVLPMSTSVRGQDHPIADSLLPTDSVIVPEINHVDLTRVADMFSDDDKDTEQDLDSPTEGFSSIPEAIEDIKQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLVERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITTENRKYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >LPERR02G17370.1 pep chromosome:Lperr_V1.4:2:15240776:15242444:-1 gene:LPERR02G17370 transcript:LPERR02G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRSGSWGGGTRTSPLATPRPRHERSKSVATFSPSFPENHGGGVVMEHGERTRDPQELDGGSVDKETGGGAACSGDRVRLLEREAATAKATEMKMLESLIQQTKEMEQAKIALEEAKLELATLRQQQQKGCAATAAAAAEPAAGQWSVMDLMFGGVDEEISGLRAKLRASVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAEVEDANAEADRLRESLHAAEAELWSTTEQLDGLTSDWKDAAAAWRAREKALLARARDAEDGARAARQENAELAELHRVVDDENATLRRALERAVEEVNAANESLEVATGENARLQDALAEKESAMEALRQENEGLRASEAEARGRAKELDAQLAAARKTAADGAAGGEKTADHLSLEKWRGDMQGKLSAAFLDSNRVMAASRKDRMFASLSNIAELKSAAAAAAMDDYDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >LPERR02G17370.2 pep chromosome:Lperr_V1.4:2:15240776:15242444:-1 gene:LPERR02G17370 transcript:LPERR02G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPSSASEQASIRRTDLSDRAVRACRSGSWGGGTRTSPLATPRPRHERSKSVATFSPSFPENHGGGVVMEHGERTRDPQELDGGSVDKETGGGAACSGDRVRLLEREAATAKATEMKMLESLIQQTKEMEQAKIALEEAKLELATLRQQQQKGCAATAAAAAEPAAGQWSVMDLMFGGVDEEISGLRAKLRASVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAEVEDANAEADRLRESLHAAEAELWSTTEQLDGLTSDWKDAAAAWRAREKALLARARDAEDGARAARQENAELAELHRVVDDENATLRRALERAVEEVNAANESLEVATGENARLQDALAEKESAMEALRQENEGLRASEAEARGRAKELDAQLAAARKTAADGAAGGEKTADHLSLEKWRGDMQGKLSAAFLDSNRVMAASRKDRMFASLSNIAELKSAAAAAAMDDYDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >LPERR02G17380.1 pep chromosome:Lperr_V1.4:2:15247003:15250453:-1 gene:LPERR02G17380 transcript:LPERR02G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTTNLLAGAGGSRGTVEYAVDPEPTLPMEGVEPSGTAIGVAGAGGGDADPQAVLLRLVALGDHMGAIRRRIASSLSGESEPLSSAEIHSVSSEISSTAHLIVLNAASLLSATVPFPAPAPVPILPAPLQEIPPVVSVAQEIPPKEAAKGDGDFDVVELDASELLSEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPCQPRANAGGGAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYSCERCDGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHKPAVAEPNKGVVAAPAEASVSMMEEGGVELNREQEEDAQGGFDPESGWRSSEVLLLPPIGLSLQKLDISSGAARAIWDYGMKGCGQVAGKMDEEGVCEE >LPERR02G17390.1 pep chromosome:Lperr_V1.4:2:15269618:15275774:1 gene:LPERR02G17390 transcript:LPERR02G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSSMSGAGEAGVRTVVWFRRDLRVEDNPALAAAARTAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVAALIELVHSIGATHLFFNHLYDPLSLVRDHRVKELLTAEGITVQSFNADLLYEPWEVVDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRISPGELWRRCPSDELVFEDESERGSNALLARAWSPGWQNGDKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDQAPAQPHPTTAHGLTTAGRRRQDQMVPSMTSSLVRAETELSADFDNNSMDSRQEVPSQVHFQPRIEREETVDGGGMVARSNGGGHQGHQQHNLQNTIHRARGNVPSTSEASSSWTGRGGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >LPERR02G17390.2 pep chromosome:Lperr_V1.4:2:15268972:15275774:1 gene:LPERR02G17390 transcript:LPERR02G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSSMSGAGEAGVRTVVWFRRDLRVEDNPALAAAARTAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVAALIELVHSIGATHLFFNHLYDPLSLVRDHRVKELLTAEGITVQSFNADLLYEPWEVVDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRISPGELWRRCPSDELVFEDESERGSNALLARAWSPGWQNGDKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDQAPAQPHPTTAHGLTTAGRRRQDQMVPSMTSSLVRAETELSADFDNNSMDSRQEVPSQVHFQPRIEREETVDGGGMVARSNGGGHQGHQQHNLQNTIHRARGNVPSTSEASSSWTGRGGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >LPERR02G17400.1 pep chromosome:Lperr_V1.4:2:15274199:15279452:-1 gene:LPERR02G17400 transcript:LPERR02G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPSPPPPAAANSPSRQKVALYLALLTLQYGAQPLISKRFVRKQLQLAVEGVVICAVILLVAEGTLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGKSDYVLLYGIIPVTVASVLSGLASSLCQWASQQVLLSHQMGKPSENMVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >LPERR02G17400.2 pep chromosome:Lperr_V1.4:2:15273351:15279452:-1 gene:LPERR02G17400 transcript:LPERR02G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPSPPPPAAANSPSRQKVALYLALLTLQYGAQPLISKRFVICAVILLVAEGTLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGKSDYVLLYGIIPVTVASVLSGLASSLCQWASQQVLLSHQMGKPSENMVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLIALPLVMTSIFIYQKYPYAI >LPERR02G17400.3 pep chromosome:Lperr_V1.4:2:15274199:15279452:-1 gene:LPERR02G17400 transcript:LPERR02G17400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPSPPPPAAANSPSRQKVALYLALLTLQYGAQPLISKRFVICAVILLVAEGTLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGKSDYVLLYGIIPVTVASVLSGLASSLCQWASQQVLLSHQMGKPSENMVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >LPERR02G17400.4 pep chromosome:Lperr_V1.4:2:15275501:15279452:-1 gene:LPERR02G17400 transcript:LPERR02G17400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPSPPPPAAANSPSRQKVALYLALLTLQYGAQPLISKRFVRKQLQLAVEGVVICAVILLVAEGTLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGKSDYVLLYGIIPVTVASVLSGLASSLCQWASQVELVFVLSRKHPISTVDTFNDNTG >LPERR02G17400.5 pep chromosome:Lperr_V1.4:2:15273351:15275469:-1 gene:LPERR02G17400 transcript:LPERR02G17400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLIALPLVMTSIFIYQKYPYAI >LPERR02G17400.6 pep chromosome:Lperr_V1.4:2:15274199:15275469:-1 gene:LPERR02G17400 transcript:LPERR02G17400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >LPERR02G17400.7 pep chromosome:Lperr_V1.4:2:15275501:15279452:-1 gene:LPERR02G17400 transcript:LPERR02G17400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPSPPPPAAANSPSRQKVALYLALLTLQYGAQPLISKRFVICAVILLVAEGTLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGKSDYVLLYGIIPVTVASVLSGLASSLCQWASQVELVFVLSRKHPISTVDTFNDNTG >LPERR02G17410.1 pep chromosome:Lperr_V1.4:2:15280217:15284371:-1 gene:LPERR02G17410 transcript:LPERR02G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARLLTAAEAPTAAAAAAEKSRPAAGSSSSGLRSLSSAASSLWDRLSVIGTSVSRLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYHCVPFREQLLEYYATYRNTEDTGTEDNLLTCLADLFAQITLAKKRTGVLAPKRFVLRVKKQNELFRSYMHQDAHEFWNFLLNEIVDIMEEDCRTANNSPQTITPEEVPSNGVANNLANGVTVGPLVTLVHRTFQGILTNETKCLMCETITAKDETFFDLSIDVEQNSSLTSCLKNFFSSEILNGDDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYVEQLSRHKKLSYRVVYPMELKLGSMSEDADCEYSLFAIVVHVGSSPNHGHYVTQIKSHGNWLSFDDDTVQISEESTLQAFYGSPRENCANTEHGYILFYERLGGKS >LPERR02G17420.1 pep chromosome:Lperr_V1.4:2:15289134:15293319:1 gene:LPERR02G17420 transcript:LPERR02G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAVADVANGVGAAASGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEKFFPDIWAKMNNAQQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRKVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRVLLGFAVGFTNQSAPVYLAEIAPTRWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAVVPAAVILVGASFIPDTPNSLVLRGRLDDARASLRRIRGAGADVDAEMKDIVRAAEEDRRHQAGAFRRIVRREYRPYLVMAVAIPTFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAVAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLTLTFVQTQSFLQMLCSFKFGAFAYNAAWVVVMTVFVFFFLPETKGVPIESLGAVWAQHWYWKRFVKPVAVAPAPATPHHKQADHGPA >LPERR02G17430.1 pep chromosome:Lperr_V1.4:2:15295497:15299539:1 gene:LPERR02G17430 transcript:LPERR02G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAVAFGEKAAGGSGGVTFTVVMSCLTAGSGGLLLGYDIGITGGLTQMESFLLEFFPDVLRKMSSATQDAYCIFDSQVLNAFVSSFYLSSMVASLVAGHLTRTLGRRNSLLISGVMFFAGALLNLAAVNISMLIIGRILLGVAVGFSTLAGPVYLAEIAPARWRGAFTTSLGFFTNIGFLMADMINYGATTIPRWGWRLSLGAGIVPAIAVIVGAASIPDTPNSLVLRGRLDEARDSLRRIRGASADAELKDIVHAAEEDRRYESGALRRLLRREYRPHLTMAVLITVFFEMTGGIVTGIFTPLLFYTVGFTSRKAILGSIITDVVSIASVAVAAFLVDRRGRRTLLISGGAVLIVTQVAMAWIFGAQLGTDGGRAIPRGYAVAVVALVCVYTAGLCMSWAPLSYVVTSEIFPLEVRSAALGLSGAIASALTFMQSQSFLEMLCSFKYGAFAYYAGWVVVMTAFVAVFLPETKGVPIESMGTVWEKHWYWSRFVKPKTGPAKQADEPE >LPERR02G17440.1 pep chromosome:Lperr_V1.4:2:15302751:15305570:-1 gene:LPERR02G17440 transcript:LPERR02G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQLVVVLVKIYAFSCYFRRSRIMRRPVMWIDRSVFLTGSAIWTIALHYKVHIYRWLLRKFSAIQGAPIYCAMILQSLCRSSSLPFFVGISVLTARICNNVTILNHIYCPQLSAGHDANLQGDLFNQDTELCNKQSINSSFFGSKHDYIRLALQCICSTANLKVYTTPKQIGFNGKCIEKYTKRGPFWRILSTNEQYLTYIGALLTLQLFLQLSKVNITTLLLPMLYQTTSSQGSAAVVSNIVIVLVNSFGILGSSFTTKHHGREVTFTVSAILMVFCQITIPLLVESQIGLGGGTRILTGYTTATFLLTCAVSYGLSWSWGSLFCTFPGMKIQSAGQVIGMALSFGLCFVQMQYFLLMLCRLKNAVLPSSFYDYQAF >LPERR02G17440.2 pep chromosome:Lperr_V1.4:2:15302751:15304356:-1 gene:LPERR02G17440 transcript:LPERR02G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPDIPEARQEMHSLYRNYAHMFDMSSDNAGVGSRIMRRPVMWIDRSVFLTGSAIWTIALHYKVHIYRWLLRKFSAIQGAPIYCAMILQSLCRSSSLPFFVGISVLTARICNNIGFNGKCIEKYTKRGPFWRILSTNEQYLTYIGALLTLQLFLQLSKVNITTLLLPMLYQTTSSQGSAAVVSNIVIVLVNSFGILGSSFTTKHHGREVTFTVSAILMVFCQITIPLLVESQIGLGGGTRILTGYTTATFLLTCAVSYGLSWSWGSLFCTFPGMKIQSAGQVIGMALSFGLCFVQMQYFLLMLCRLKNAVLPSSFYDYQAF >LPERR02G17440.3 pep chromosome:Lperr_V1.4:2:15302751:15304356:-1 gene:LPERR02G17440 transcript:LPERR02G17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPDIPEARQEMHSLYRNYAHMFDMSSDNAGVGSRIMRRPVMWIDRSVFLTGSAIWTIALHYKVHIYRWLLRKFSAIQGAPIYCAMILQSLCRSSSLPFFVGISVLTARICNNCICSTANLKVYTTPKQIGFNGKCIEKYTKRGPFWRILSTNEQYLTYIGALLTLQLFLQLSKVNITTLLLPMLYQTTSSQGSAAVVSNIVIVLVNSFGILGSSFTTKHHGREVTFTVSAILMVFCQITIPLLVESQIGLGGGTRILTGYTTATFLLTCAVSYGLSWSWGSLFCTFPGMKIQSAGQVIGMALSFGLCFVQMQYFLLMLCRLKNAVLPSSFYDYQAF >LPERR02G17440.4 pep chromosome:Lperr_V1.4:2:15302751:15304356:-1 gene:LPERR02G17440 transcript:LPERR02G17440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPDIPEARQEMHSLYRNYAHMFDMSSDNAGVGSRIMRRPVMWIDRSVFLTGSAIWTIALHYKVHIYRWLLRKFSAIQGAPIYCAMILQSLCRSSSLPFFVGISVLTARICNNVTILNHIYCPQLSAGHDANLQGDLFNQDTELCNKQSINSSFFGSKHDYIRLALQCICSTANLKVYTTPKQIGFNGKCIEKYTKRGPFWRILSTNEQYLTYIGALLTLQLFLQLSKVNITTLLLPMLYQTTSSQGSAAVVSNIVIVLVNSFGILGSSFTTKHHGREVTFTVSAILMVFCQITIPLLVESQIGLGGGTRILTGYTTATFLLTCAVSYGLSWSWGSLFCTFPGMKIQSAGQVIGMALSFGLCFVQMQYFLLMLCRLKNAVLPSSFYDYQAF >LPERR02G17450.1 pep chromosome:Lperr_V1.4:2:15312615:15315331:-1 gene:LPERR02G17450 transcript:LPERR02G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSETGPGDNAAAAATAPPSSRPSLKAAGGITPAMFGAQVTEPEAEDLSKRKMCSGSKMKEMNGSGIFSAPGENGGSETGSDASNPPSKTSIRMYQQTVTGISQISFSAEGVVSPKKPSSLPEVAKQRELSGTLESEADAKMKKLNSEAKSKELSGSDIFGPPPEVPARPLAARNLELQGNLDFAFPQPRSIHTSVKVSNPAGGPSNIMFGEEPVVKTAKKIHDQKFHELTGNNIFKEDAPPGSAEKSLSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >LPERR02G17460.1 pep chromosome:Lperr_V1.4:2:15324775:15326229:1 gene:LPERR02G17460 transcript:LPERR02G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSRTKKRSDHDHFRDRDSTRHPFSSEEYYWGTMDQREEERGRGDGGDRDRDDAPEPEVEQEAEPSDSESGAESIEISDLKKRMWKDQMLLMKLEGRAGITAAAAAARAGTSSSQEGRDEDQEEETPEVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALIGPSGETSPQATAAGLASCLYRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGDEAWWGSQGEMQAHQGAPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESDTWSKVLRQEEALNRRLKHSLQITPLDGDDDDDDEDGLENVVRGAQDKRKREFTRSGSGSSSGSGSGAGKFARPSDGELAVAGEEGRSPINELMELSYSCLEGVAASGGEIGEGDVAGLVPPPYLVGVDEVAQDVLFDLIGSCPEVDDVLRFMDE >LPERR02G17470.1 pep chromosome:Lperr_V1.4:2:15329758:15332053:1 gene:LPERR02G17470 transcript:LPERR02G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFLAHESSESRTGPKWAGHEGSREIVRSKGRQAGSGAVRTQRRAHSPGTGPHLVHASSTTPPPRRNQRGESTPHDSTLADGCATAPRTRARRRQGRIIAFCGPASGIDPRCFSSSDRMGSVLSSARIDVRSMDRHEKSGFDSNKRVKISTYEYDPFQRIIPTLPDELSFQILARLPRMYYLKLKIVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKVEPNKIDCYALDPLFSKWQRLPPMPSFVDEEESTGRTQSSWFQMWNVVGSSIRIADFIRGWFRRRYGIDQMPFCGCSVGVADGCLYVFGGFSRTVALNCVCKYDPFLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRGGLLPLRSGEVFDPKTGIWSELPEMPFTKAHVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMPDGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDSWKTIVPQVPVHDFTDAEAPFLLAGFHGRVHVITKEANNNLQVLQAVLQNNRENSPSEENIVWNILASKNFGSAEVVSCQVLDV >LPERR02G17480.1 pep chromosome:Lperr_V1.4:2:15333993:15334244:-1 gene:LPERR02G17480 transcript:LPERR02G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNSYKEKLRRMEAAEAAAVGKKGGSAGEKKAAATASGGSRGGGMFGFMKKKVHPKAAAPAPAMETSSA >LPERR02G17490.1 pep chromosome:Lperr_V1.4:2:15339215:15341527:-1 gene:LPERR02G17490 transcript:LPERR02G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGDKRQPRFMATRTYAVMAAENPESFFAAAPPLRDADAVAARLREFVARNSSAEGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLHRRGSCQPYCRFLPDDSFLKFFDVDAQSKVQVAECHAPVVKKAIGDYCKAIEGGSLLKLPFTTIFEYLQLLNMVATSISLVGLRGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSMLRNQWAPLAFCVSFKLETDSDILIQKAHMALNKYKMNIVVANLLATYKEEVIIVTNKERSIIRKMNKDEDLEKQMIKILSQNHSKYICGSTNGCKSPD >LPERR02G17490.2 pep chromosome:Lperr_V1.4:2:15339215:15341238:-1 gene:LPERR02G17490 transcript:LPERR02G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEENPESFFAAAPPLRDADAVAARLREFVARNSSAEGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLHRRGSCQPYCRFLPDDSFLKFFDVDAQSKVQVAECHAPVVKKAIGDYCKAIEGGSLLKLPFTTIFEYLQLLNMVATSISLVGLRGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSMLRNQWAPLAFCVSFKLETDSDILIQKAHMALNKYKMNIVVANLLATYKEEVIIVTNKERSIIRKMNKDEDLEKQMIKILSQNHSKYICGSTNGCKSPD >LPERR02G17500.1 pep chromosome:Lperr_V1.4:2:15347500:15355561:1 gene:LPERR02G17500 transcript:LPERR02G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGAATIMATSASLSSVPDHLRLRRFRLHPPLSLRSVRRRSRDRRRVVLAVLEDRPPPATPEEEARRYGLNGSASGVGYDDAAVEAYLGSNGNGNGRSNGAAVKPAVSESSAAAAAALVPAAPGDDERRRKERVEEIGREDAWFKQTGGDSMPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRSWLNNQKFTYRGGMTEEKKVMRRRVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRARLNGREVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKNMDYVKVPEILWEYTTPQVPTIVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGIYEKDPDRVLQSMIQMGVLVPTGDMTAVRRTAQFFLASFEERLAAQRKEREMATEELGFKKQLSKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRALESERAFKRVAAVQKTIGYGVAAGSLVNLATVLYINSIRMPATIAYSLCAFFGLQVLVGLIKVKKLDQQEKLITGTA >LPERR02G17510.1 pep chromosome:Lperr_V1.4:2:15368840:15370723:1 gene:LPERR02G17510 transcript:LPERR02G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSFSDIINRGNQPSGFGLMGHSVPSYTIDSHGQSSSWLDNSSSHGKRGNNRRSSNHGVSAQDDGCGLVLGLGPSPEIGSSARRPKAPSTLFSQSQSFQSFFTEPGMLSLGLNRGDNGSTIQHMEAPAGNIISFSSAAVVDEGSTSARRRSSGGYMPSLLLAPRPSLQSAFEESHDVAEAEHTDHRLHQLSPEPSPSATTMTTEASLVTVAAGATNPAQSQSPAGAARRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGHHGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQHPDCRKGAQGSTLYCKAHGGGRRCVFDGCLRGAEGSTPLCKAHGGGKRCMFEGGGLCPKSVHGGTSYCVAHGGGKRCAVDGCTKSARGRTDRCVKHGGGKRCAVEGCDKSAQGSTAFCKAHGGGKRCTWGGESGGCEKFARGRSGLCAAHGTLVASQSQRRSGNGGGSTIGPGLFHGLVTMNSKGCSSASGVSTVSESDCTWRAQELIPPQVLVPNSMKSSCSSAPSSGRGRETGGGLAVPEGRVHGGGLLSLLGGSFRNVDVDKL >LPERR02G17520.1 pep chromosome:Lperr_V1.4:2:15371732:15374705:1 gene:LPERR02G17520 transcript:LPERR02G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTRFAGAGPPYPLTETLENRSPTHQDGDHFKSSPNARHRRCLSINKTVQHCGNCIAKAWLANMARTVFPLPVLALCLVALGLSNTANADAAAGRKMVGVYELKKGDFSIRVTNWGATLMSVVLPDSKGNLDDVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDGKTYRLFRNDGNNSLHGGHRGFSKVIWTVKEHVGGGTSPCITLYYHSFDGEQGFPGDLDVYVTYELTTPYVLAVRMNATARGRATPVNLAHHAYWNLGGHGSGSSNILAETVRLFASRYTPADAVTLIPTGRLATVAGTPYDFRAPARVGSRIGVVNGYDTNYAVDGEEQRLRPVAAVRDGVTGRGMELWADQPGVQFYTANGLDGVRGKGGAVYGRHGALCLETQGFPDAVNHPDFPSVIVRPGQVYTHNMVFRFSF >LPERR02G17530.1 pep chromosome:Lperr_V1.4:2:15381071:15381346:-1 gene:LPERR02G17530 transcript:LPERR02G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVSALALVLLVLTASEGQVLPHPCCRINCCNGKPECCDPWLPPGASSVVDAAVTLPAAAVASAAAAKARPAAAASAVARKVSAGNQL >LPERR02G17540.1 pep chromosome:Lperr_V1.4:2:15398522:15398785:-1 gene:LPERR02G17540 transcript:LPERR02G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVGALALVFLVLTASESQVLPHPCCRFNCCDGRPECCAASGPPGASSIDATVTLLLAAVASTAAAKARPVTAASVACKVSAGT >LPERR02G17550.1 pep chromosome:Lperr_V1.4:2:15399818:15404851:-1 gene:LPERR02G17550 transcript:LPERR02G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGDRRDSTAGWTTLPSSLEEKKQQGVGNGDGDAGRKSLRKIVRLFFACMVAGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMFIGFSADIGRRLGDTKEYCSTYTGPRWAAAIVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHEWFPWLKTAACCDACANLKGAFFTAVLLIVISMSVTMYLADEIPLDKHDVDTISGGGGGCAVFVDLFKSLKNLPPAMFKVLAVTAITWLSWFPFFQYNTDWMGREIYHGEPQGAGAKAEVYDAGVRAGAMGLLLCSLALGVTSFVIPKLCRRLTSKVVWSISSFFVFALMAVMVIVGMVSMKGYRPSLVAGLTGPDPKLKAVALTVFALIGIPQAVLFSVPWAVASEVTTEEGGGQGLAIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGAAFAFICGVLALIWLPKTRDRFSIAANIWLDKHGVDTISGGGSGCAVFVDLFKSLKNLPPAMFKVLAVTAITWLSWFPFFLYNTDWMGREIYHGEPQVAGAKAEVYDAGVRAGAMGLLLCSLALGVTSFVIPKLCRRLTSKVVWSISNFFVFALMAVMVMVGMVSIPPNVVTLWYVRMQYLKKWILMC >LPERR02G17560.1 pep chromosome:Lperr_V1.4:2:15406558:15410231:-1 gene:LPERR02G17560 transcript:LPERR02G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDIIHTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFAEDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKALADGVQDEVAEIIKEDLWPNPLKYFNNEAEELGEDEDEEGSDADEGEEDEEEN >LPERR02G17570.1 pep chromosome:Lperr_V1.4:2:15421777:15422088:1 gene:LPERR02G17570 transcript:LPERR02G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHCHQLPIIILLLLLASSPEVLAVRSLGVSAQSSANASPAEQPRKLAEGNAADVASAAARFDTSTKNTTATNSSSPSTVFDPDRMSKRRVRRGSDPIHNKC >LPERR02G17580.1 pep chromosome:Lperr_V1.4:2:15424402:15427683:-1 gene:LPERR02G17580 transcript:LPERR02G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLIASQAGGGGGAGEGEAEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESPTTPHPEQGQ >LPERR02G17590.1 pep chromosome:Lperr_V1.4:2:15427750:15428255:-1 gene:LPERR02G17590 transcript:LPERR02G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPAGERTASSRRAVVPSPVTLARRERIKKTPRVPFPRETKRTRRRGRERERKKRWRYFVVVGGRRSASQLIRRREAIFDDPEGLLDCRW >LPERR02G17590.2 pep chromosome:Lperr_V1.4:2:15427750:15428255:-1 gene:LPERR02G17590 transcript:LPERR02G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding TAPAGERTASSRRAVVPSPVTLARRERIKKTPRVPFPRETKRTRRRGRERERKKRWRYFVVVGGRRSASQLIRRRW >LPERR02G17600.1 pep chromosome:Lperr_V1.4:2:15431989:15436392:1 gene:LPERR02G17600 transcript:LPERR02G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPQMRVTKRLGIVVLIVFFSLLIVHHLIVNSSASGLYRYQVSNADPFAWLSDSLEKPVAQNPENTPEEVILADASASNGSDSGNSTLEGFQWLHTWNHMKQLANISNGLPHANEAIDNARTAWENLTISVHNSSSKQIERERQCPYSVRRMNASTPDNGAFTIDIPCGLVVGSSVTIIGTPGSLSGNFRIDLVGTKLPGESGKPIVLHYNVRLTSDELTGGPVIVQNAFTASIGWGYEDRCPCGSSNNATEVDDLEQCNSMVGREEKGTINSKHHLNTKKSDNPSTYFPFKQGHLAIATLRIGLQGIHMTVDGKHITSFAYRAGLEPWFVTDVRVSGDFKLVSAIASGLPTSEDLENSYNLPMLKSSPITEGKDVDLLIGIFSTANNFKRRMAIRRTWMQYDAVRGGVVVVRFFVGLTSGVSAKYLMKTDDDAFVRVDEINSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPEEKYPPWAHGPGYVVSQDIAKAIKGWYETSHLKMFKLEDVAMGIWINEMKKGGLHVQYKTDERIDSDGCKDGYIVAHYQEPRHILCMWEKFLMTNQATCCN >LPERR02G17610.1 pep chromosome:Lperr_V1.4:2:15438791:15450755:1 gene:LPERR02G17610 transcript:LPERR02G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGTLAGGRDGEKTPHAVCMPFPAQGHVTPMMKLAKILHCKGFHITFVNTEYNHRRLLRVRGADATAGLPGFRFATIPDGLPPCDADATQDTAAICQSTMTTCLPHFNHLLAGLNRSPGVPPVTCVVTDAGLTFGVDAAKDLVVPCALLWTASACGSLGYRHYRLFIDKGLVPLKEQLTNGFLDTPVEWASGMSKHARIRDFPSFLRTTDRDDAMLNYVLHETDHMAAADAIIYNTFDELEQPALDALRAALAPAAAVYTVGPLNLLADNLVRSRTGPLDAVGSNLWREDDACLGWLDGRAARSVVYVNYGSIAVMSNQQLVEFAWGLANSGYDFLWVLRPDLVVDAAATALPPEFLEATRDRGLLASWCPQEAVLCHEAVGLFLTHNGWNSTLESLSGGVPMLSWPFFAEQPTNALYYKCPEWGVATEVGGDVRREAVERKIREAMAGEKGREMRKRAVEWSESAARATRVGGSSFGNLDVLIKDVLLSGRNHPVTRNLAASASPSPIHERQNPIVVSTSRDDMVAAAEKPHAVCLPFPAQGHVTPMMKLAKVLHCKGFHVTFVNTEYNHRRLIRSRGPGAVAGVPGFLFATIPDGLPPSDADATQDPASLCYSTMTTCLPHFTSLLRELNRGAPGVPPVTCVVADNVMSFGIDAAREVGVPCALFWTASACGYMGYRHFRFFLDNGLSPLKDEGQLTNGFLDTEVSRPPARGMSERMRLRDFPTFIWTTDRGDILLNFLMHEVERTDRADAVILNTFDELEQPALDAMRAILPPVYTIGPLGNLTDQVLATTTTDATTASAGAIRSSLWREDHACLDWLDGRDPNSVVFINYGSITTISNDELVEFAWGLAGCGYNFLWIVRPDLVKGDAAVLPPEFLDSVEGRGLLASWCEQEAVLRHPAVGVFLTHCGWNSTMESISAGVPMLCWPFFAEQQTNARHSCAEWGIGMEVGGCVRREAVEGTIKEAMGGEKGKEMRRRAAEWKEHGVRATQPSGRSLVNLDNLIKEVLLPMTPRSDRNPIHCHSVCQTHQKQSEMSAAAVATGGEKLPPHAVCLPFPAQGHITPMMRLAKVLHRRGFHVTFVSTEYNHRRLVRARGAAAVAGLASGFRFATIPDGLPASDADATQDPASLSHATMTNCLPHFKRLLADLNSRSPPVTCVVADGLMGFSLDAAAELAVPCALFWTASACGYMGYRNFRPLIDMGIIPLKEEAQLTNGYMDMAVDWAPGMSKHMRLKDFPSFLRTTDRDDVLMTFQLHQVERAEEADAVILNTFDELEQPALDAMRGIIPAIYTIGPLGFLTEDQQQQEAAPDLDAISSSLWREDASCLGWLDGRNPRSVVYVNFGSVTVMSSRELEEFAWGLAGSGHDFLWVVRPDVVKNKNAAATTTQLPAGFMEATEGRGMTASWCDQEAVLRHPAVGVFLTHSGWNSTVEALCSGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEGRIREAMGGEKGKEMRRRAAEWKEAADRSRGRSRVKLERLIGDVLLSGKGEGKMIDHQIEAVV >LPERR02G17620.1 pep chromosome:Lperr_V1.4:2:15446297:15447311:-1 gene:LPERR02G17620 transcript:LPERR02G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGCRCGDGEVASIGGGPAALLWVGDGVTPAMTRRGQSASGRRPSPMATLLTDERRHAPGASLAALWPDLGSWRSDLITSGLRRACGGAGGCIEAALPSAVPRTDPAPLRQIRMFGATRRLVGRTEALLLEAAAGLLHLGKSVRSTERSWQGGPWRCSAEARWRGALAELEARRGTHGVSGVACDPLLLSLGLVRPAPSFGGSARFYVGLSSAWRGGRQDNGKVFWRPEGDAGNQTEAELSSAPAGGCFRLATGFFPDFRPLAATPLPTKDGHVGWASVGERGSILLSHPLPIQPNCVDGSGARRLSNFLLLASGRRGAGAVATR >LPERR02G17630.1 pep chromosome:Lperr_V1.4:2:15456316:15459169:1 gene:LPERR02G17630 transcript:LPERR02G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMLLALGLSVLIIAIISKLISLATKPRLNLPPGPWTLPVIGSIHHLVRNPSVHRAVRALSEKHGPLMQLWLGEVPIVVASTPEVVQEIVKNLDLQFADRHLSTTSSIISFGASDIFFAPYGELWRQLRKLSMQELLSAARVRSFQRIREDEVGGLVREIAAAADAGAAVNLTERASRLVNDVVTRCSVGERCRYRDEFLDALDTAKSQLKWLTVADIFPSSRLAQMVGTAPRKALAGRTRMLRIIDEIIRERKEQMAAGEDAGKECFIDVLLKLEKEGGTSIQVTTEIVVVLIFDVFTGGSESSSTVLIWILTELVRWPRVMAKAQVEIRQALKGKSTITEDDIVGLNYLKMVIKETLRLHVPAPFLSPRKCRETCKVMGYDVPKGTSVFVNMWAICRDPRYWEDPEEFKPERFENNNIDYKGNNFEFIPFGAGRRICPGINLALANLELALASLIYHFDWKLPNEMEPKDLDIRETVGITAAKLTSLDLCPITRIDPRVA >LPERR02G17640.1 pep chromosome:Lperr_V1.4:2:15459328:15461059:1 gene:LPERR02G17640 transcript:LPERR02G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGAVIQHGETSVSKAPPLPPPGRRSVIVSRLLSVTDIVLRFLAIGGTAASAIAMATTSETLPFSMPFVRFRAEYSDLPSFMFFVVANSVVCGYLVLSLPASVVHVFRPRARCSRVALVFFDTVMLALVTAGASAAAVIVYLAHRGSAKPNWFGICQQLTSFCGRVTGSLVGSFAAAVMLVTLVFLSALALAGRA >LPERR02G17650.1 pep chromosome:Lperr_V1.4:2:15462339:15470374:-1 gene:LPERR02G17650 transcript:LPERR02G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAMARRRATETAMITSTARGGCVWRQQRLWPHGHDDSEVSPPLRCFVLTPRWRRKAKVWGGGGMRRSSPGSVECAGYGDGDGSPLRLPSSELGAAAAATPAPKARRHDAPARRHGGGSTFPPRRFSPNSPRRWCEMWPDLIKKAKEGSLNTIETYIFWNGHEPRRREYNFEGNYDVIRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLRHIPGMKLRLHNGSFENEMETFTTLIVNKMKDANMFAEQGGPIILAQIENEYGYTMLQPNNMQSAHEYIHWCADMANKQNIGIPWIMCQQDNDVPPNVINTCNGFYCHDWFPNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRSAGGPYIATSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILVYGNYTDTNCGDNVTITKYTLDSSSACFINNRFDDRDVNVTLDGITHHLPAWSVSILPDCKNAIFNSAKVKTQTSIMVNEEQKTGRFKWSWMPENLRPFMTDEKGNFRKNELLEQIITTSDQSDYLWYRTSLEHKGDKSYMLYVNTTGHELYAFVNGKLVGQQYSPNGNFTFQLKSPAKLHDGKNYISLLSGTIGLRNYGGSFELLPAGIVGGPVKLIDSNGAEIDLSNCSWSYKAGLAGEYKQIHLDKPGNKWRSHNITIPINRPFTWYKTMFDTPIGEDVVVLDLQGLNKGVAWVNGNNLGRYWPSYIATDMPGCHHCDYRGVFKAEVDAQKCLTGCGEPSQQFYHVPRSFLKSGEPNKLILFEEAGGDPSDVAVRTVAEGSVCASAEIGDTVTLSCGAHGRTISSIDMTSFGVVQGKCGSYKGGCESKTAYEAFSAACIGKESCAVQLGGAFASAGCVSSLLTVQVAC >LPERR02G17650.2 pep chromosome:Lperr_V1.4:2:15462339:15468287:-1 gene:LPERR02G17650 transcript:LPERR02G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGCFLALLAVLLAVATGVECTTVAYGERSLILDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGSLNTIETYIFWNGHEPRRREYNFEGNYDVIRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLRHIPGMKLRLHNGSFENEMETFTTLIVNKMKDANMFAEQGGPIILAQIENEYGYTMLQPNNMQSAHEYIHWCADMANKQNIGIPWIMCQQDNDVPPNVINTCNGFYCHDWFPNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRSAGGPYIATSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILVYGNYTDTNCGDNVTITKYTLDSSSACFINNRFDDRDVNVTLDGITHHLPAWSVSILPDCKNAIFNSAKVKTQTSIMVNEEQKTGRFKWSWMPENLRPFMTDEKGNFRKNELLEQIITTSDQSDYLWYRTSLEHKGDKSYMLYVNTTGHELYAFVNGKLVGQQYSPNGNFTFQLKSPAKLHDGKNYISLLSGTIGLRNYGGSFELLPAGIVGGPVKLIDSNGAEIDLSNCSWSYKAGLAGEYKQIHLDKPGNKWRSHNITIPINRPFTWYKTMFDTPIGEDVVVLDLQGLNKGVAWVNGNNLGRYWPSYIATDMPGCHHCDYRGVFKAEVDAQKCLTGCGEPSQQFYHVPRSFLKSGEPNKLILFEEAGGDPSDVAVRTVAEGSVCASAEIGDTVTLSCGAHGRTISSIDMTSFGVVQGKCGSYKGGCESKTAYEAFSAACIGKESCAVQLGGAFASAGCVSSLLTVQVAC >LPERR02G17650.3 pep chromosome:Lperr_V1.4:2:15463393:15470374:-1 gene:LPERR02G17650 transcript:LPERR02G17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAMARRRATETAMITSTARGGCVWRQQRLWPHGHDDSEVSPPLRCFVLTPRWRRKAKVWGGGGMRRSSPGSVECAGYGDGDGSPLRLPSSELGAAAAATPAPKARRHDAPARRHGGGSTFPPRRFSPNSPRRWCEMWPDLIKKAKEGSLNTIETYIFWNGHEPRRREYNFEGNYDVIRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLRHIPGMKLRLHNGSFENEMETFTTLIVNKMKDANMFAEQGGPIILAQIENEYGYTMLQPNNMQSAHEYIHWCADMANKQNIGIPWIMCQQDNDVPPNVINTCNGFYCHDWFPNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRSAGGPYIATSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILVYGNYTDTNCGDNVTITKYTLDSSSACFINNRFDDRDVNVTLDGITHHLPAWSVSILPDCKNAIFNSAKVKTQTSIMVNEEQKTGRFKWSWMPENLRPFMTDEKGNFRKNELLEQIITTSDQSDYLWYRTSLEHKGDKSYMLYVNTTGHELYAFVNGKLVGQQYSPNGNFTFQLKSPAKLHDGKNYISLLSGTIGLRVYILFKDYFIRLLEQ >LPERR02G17650.4 pep chromosome:Lperr_V1.4:2:15463393:15468287:-1 gene:LPERR02G17650 transcript:LPERR02G17650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGCFLALLAVLLAVATGVECTTVAYGERSLILDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGSLNTIETYIFWNGHEPRRREYNFEGNYDVIRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLRHIPGMKLRLHNGSFENEMETFTTLIVNKMKDANMFAEQGGPIILAQIENEYGYTMLQPNNMQSAHEYIHWCADMANKQNIGIPWIMCQQDNDVPPNVINTCNGFYCHDWFPNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRSAGGPYIATSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILVYGNYTDTNCGDNVTITKYTLDSSSACFINNRFDDRDVNVTLDGITHHLPAWSVSILPDCKNAIFNSAKVKTQTSIMVNEEQKTGRFKWSWMPENLRPFMTDEKGNFRKNELLEQIITTSDQSDYLWYRTSLEHKGDKSYMLYVNTTGHELYAFVNGKLVGQQYSPNGNFTFQLKSPAKLHDGKNYISLLSGTIGLRVYILFKDYFIRLLEQ >LPERR02G17650.5 pep chromosome:Lperr_V1.4:2:15462339:15463359:-1 gene:LPERR02G17650 transcript:LPERR02G17650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHYWQNYGGSFELLPAGIVGGPVKLIDSNGAEIDLSNCSWSYKAGLAGEYKQIHLDKPGNKWRSHNITIPINRPFTWYKTMFDTPIGEDVVVLDLQGLNKGVAWVNGNNLGRYWPSYIATDMPGCHHCDYRGVFKAEVDAQKCLTGCGEPSQQFYHVPRSFLKSGEPNKLILFEEAGGDPSDVAVRTVAEGSVCASAEIGDTVTLSCGAHGRTISSIDMTSFGVVQGKCGSYKGGCESKTAYEAFSAACIGKESCAVQLGGAFASAGCVSSLLTVQVAC >LPERR02G17650.6 pep chromosome:Lperr_V1.4:2:15468891:15470374:-1 gene:LPERR02G17650 transcript:LPERR02G17650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAMARRRATETAMITSTARGGCVWRQQRLWPHGHDDSEVSPPLRCFVLTPRWRRKAKVWGGGGMRRSSPGSVECAGYGDGDGSPLRLPSSELGAAAAATPAPKARRHDAPARRHGGGSTFPPRRFSPNSPRRWCENKIM >LPERR02G17660.1 pep chromosome:Lperr_V1.4:2:15472443:15472986:-1 gene:LPERR02G17660 transcript:LPERR02G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAVPSAPVGPGTVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRPDYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVTEFLV >LPERR02G17670.1 pep chromosome:Lperr_V1.4:2:15475719:15478040:1 gene:LPERR02G17670 transcript:LPERR02G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLDLERHFAFYGAYHSNAVNVFIHMLFVWPIFLTALLLLHLTAPFAHAAAAGAAVYGAFYLCLDRRAGALAALLCFLCWAASAALASRLGFSLGWKVVLVAQLFSWTMQFIGHGKRAPALLDNLVQAFLMAPFFVLLEALHKFVGYEPYPGFHAKVSKLIEEARKEWEEKKAKKMT >LPERR02G17680.1 pep chromosome:Lperr_V1.4:2:15491068:15494454:1 gene:LPERR02G17680 transcript:LPERR02G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARHHQVVAATTTMEHDVHHNHNQRQAMQQQQDMDLPPGFRFHPTDEELITHYLVKKTADPRFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKSLVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAASAAAAFPKTKEEWVLCRVFKKSLELPAAAAAAGRRGAGAGAGTAVADVGAAASVSMPIMADVVGLAPCALPPLMDVSAGGGGGCVSPAPMPHVTCFSNQLEGQSLNPPYLNDPASTVAVDPLAGMSSASPFLDTIYAGHDAVTGGMVHELLMCGGGGWSYCNKGGERDASQDTGVTSEVNGNPGEISSASRQRQQQQHVLDPHASLWAY >LPERR02G17680.2 pep chromosome:Lperr_V1.4:2:15492492:15494454:1 gene:LPERR02G17680 transcript:LPERR02G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARHHQVVAATTTMEHDVHHNHNQRQAMQQQQDMDLPPGFRFHPTDEELITHYLVKKTADPRFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKSLVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAASAAAAFPKTKEEWVLCRVFKKSLELPAAAAAAGRRGAGAGAGTAVADVGAAASVSMPIMADVVGLAPCALPPLMDVSAGGGGGCVSPAPMPHVTCFSNQLEGQSLNPPYLNDPASTVAVDPLAGMSSASPFLDTIYAGHDAVTGGMVHELLMCGGGGWSYCNKGGERDASQDTGVTSEVNGNPGEISSASRQRQQQQHVLDPHASLWAY >LPERR02G17680.3 pep chromosome:Lperr_V1.4:2:15492541:15494454:1 gene:LPERR02G17680 transcript:LPERR02G17680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARHHQVVAATTTMEHDVHHNHNQRQAMQQQQDMDLPPGFRFHPTDEELITHYLVKKTADPRFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKSLVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAASAAAAFPKTKEEWVLCRVFKKSLELPAAAAAAGRRGAGAGAGTAVADVGAAASVSMPIMADVVGLAPCALPPLMDVSAGGGGGCVSPAPMPHVTCFSNQLEGQSLNPPYLNDPASTVAVDPLAGMSSASPFLDTIYAGHDAVTGGMVHELLMCGGGGWSYCNKGGERDASQDTGVTSEVNGNPGEISSASRQRQQQQHVLDPHASLWAY >LPERR02G17700.1 pep chromosome:Lperr_V1.4:2:15520156:15528373:1 gene:LPERR02G17700 transcript:LPERR02G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRQLMGGDLSGLNVKELQSLENQLEISLRSIRTKKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVVEKTNVPVQLGLSTLSQHSDGEQSTAPKLGLQLNP >LPERR02G17710.1 pep chromosome:Lperr_V1.4:2:15522393:15529550:-1 gene:LPERR02G17710 transcript:LPERR02G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWNRLRAYVMQAHSCKGVVTSLWQQLHILQENLKGFQTVPVGVLPGMPSYLEVKTPNKLKVP >LPERR02G17720.1 pep chromosome:Lperr_V1.4:2:15536671:15537502:-1 gene:LPERR02G17720 transcript:LPERR02G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADQSAVQPANQPSTQRSYIIISLTACKRESKARQRAAMYSKPEDAAPATGFPMSGGGGGNAYYQAGGGATTAFAVQGQPPLAAWSTGLCDCFDDCRLCFVTWMCPCITFGQIAEIIDRGSTSCGTSGALYALVCLLTGCNCVFSCFYRAKMRSQYGLQERPCPDCLVHWFCEPCALCQEYRELKKRGFDMNLGWHANMERQGRTPATMPPHMHPGMTR >LPERR02G17730.1 pep chromosome:Lperr_V1.4:2:15539304:15543590:-1 gene:LPERR02G17730 transcript:LPERR02G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEESANPSPPAAAPAAAGAAAAWPRRRCRDVFWLVVFLLHLLVFGGALAVTGLNRFGQADRFNIDRFTNLTAAPPRLAGSPEPAVAVAQAPPPPSLEAEEVTPKSELTESYWRYYGAAGAVGSVLAWAWLGAAAGRKDGGRVVMRAAVHGLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNHSARDAWELFQSTGIEALVAYDCSGAVLLMSTILGGLITGTCTGVWAYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPHLLQRWDPEFFDQMSEALHQRLQYRSARARQILNSRLDQLPNTSNI >LPERR02G17740.1 pep chromosome:Lperr_V1.4:2:15547423:15552221:1 gene:LPERR02G17740 transcript:LPERR02G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >LPERR02G17740.2 pep chromosome:Lperr_V1.4:2:15547388:15552221:1 gene:LPERR02G17740 transcript:LPERR02G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >LPERR02G17750.1 pep chromosome:Lperr_V1.4:2:15556968:15559183:1 gene:LPERR02G17750 transcript:LPERR02G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSHLTTVPLLLLLLVLLSKSHLAATDSNTPGPVASASAVVDQSDAVAGAPGSSSGGGVPDPTLVSCMTELLPCTAYLRSGQNPSKTCCTAMHDGAVDEMHCLCRLLADPELLRTFNVTRDHMFRLPARCNLPLGCQAGAGGSPEPVVQAPPPPEETGERAGGDSSGGERGMDGCVGGRVIVAAVLGGVATVAALLDVL >LPERR02G17760.1 pep chromosome:Lperr_V1.4:2:15558421:15560684:-1 gene:LPERR02G17760 transcript:LPERR02G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELEQRSRYLSSLIRRTKLHASPALAPPPPTPPPEPETKVEVRREPESAKASAAVVVEKREVKEGGGGGQAGRKGEPERAEEGAKKATAAVEKREVKDGGGKKGEEKGKEERKVSVRVRAADMPLGMQRRAVRLAYDAVAAMPRVDSKRLALALKKDFDTSYGPAWHCIVGTGFGSYVTHSVGGFLYFSIDKVYILLFRTAVEPLAHPQ >LPERR02G17770.1 pep chromosome:Lperr_V1.4:2:15564839:15565687:-1 gene:LPERR02G17770 transcript:LPERR02G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAVSLLTKVAQAAAGLGIAASAASTALYTVDGGQRAVIFDRFRGVLPETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIRRAREFNIVLDDVAITHLAYGPEFSVAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLTGAR >LPERR02G17780.1 pep chromosome:Lperr_V1.4:2:15567808:15569784:1 gene:LPERR02G17780 transcript:LPERR02G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDPLASRKVCVIGAGMAGLAAARELRREGHAVTVLEQGADVGGQWLYDPTTDTDASDPHAAAAAPVKVHSSMYASLRLLGPREAMGFSDFQFVPRAGRDARRFPGHREVYLYLRDFCRAAGLADAVRLNTRVVRVAMAAAPLRGDGMGRWVVRSMDAGLWKRCGGEDQVAAAQCVEEVFDAVVVATGHYSQPKLPTIQGMGDWRRKQLHSHSYRVPDPFRNEVVVLVGCGDSGMDIALDLVAVAKEIHLSAKSVESATTPAMSKMLARHGNLRLRPQIDRLCPDGRVIFSDGSRVVADAVMYCTGYRYSFPFLDTAGNFTVDDGEGEGSRVGPLFEHTFPPSMAPWLSFVGIQRKTMVPWYFEAQGRWIAGALSGRWSLPPEEEMVRCAGYTHDVEPHRELMAIISRNTSDDMETFRDRDDDSDNVRRHLQEWYGLAERQAQDGEEEEEEEEEEEEEEEEEDSATAVAVAAEATVHSSL >LPERR02G17790.1 pep chromosome:Lperr_V1.4:2:15572385:15578560:1 gene:LPERR02G17790 transcript:LPERR02G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAARDDGVAALVRLKAIMESRVKEVESQSFVHIKKLQDTVASLQEQLHGAQDTVASLQIELQRTNSELEQTKSTLAEERRNNLRTCDKFNSNRNRSSASKKHLQGRVSLKSKSTAKGGGVSDLGSFIARGKNPELYRNGCTQRIRAIKQRSPSADTSLVENSKQTSALNNHSKTGNIDTNSKPPSTGSIMEQILETKFLANCKRRRGRRSRPSYMHANSGEHGQAEYKSSDTSDANGCLLLLQALEQDLSPLKASAGHDSEGLTDQKDELLIEGADCSLHLASPGSNDVLSVNNMQMKRRKRSKTIRVCESGFEAKCGSELCNALPKSANNNNTLNTEQSPDTPHGNNSPVLQCTAENLMHVTDAAITGQLKSENNSSLVPQSTESEIGDEGNSRVDHQLRTENNALVLEEVHVDKNCILASNGADSSNVSSLDKEENGKAPSEVPVQAESARYIKYTFNRRKRKTASIDSTPQGVVLEKSNSLVCTTENHELHAKPEKQDLLIESPPADNQIIHVAQQLMLLSAQKR >LPERR02G17800.1 pep chromosome:Lperr_V1.4:2:15579708:15581249:-1 gene:LPERR02G17800 transcript:LPERR02G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDAMAHDASSPAARDAKKKRGNRSAKLKQSKLDVRREQWLSQVKDGKEVKAVVSPGAAAGANSGSPILASPHPPLPRRRAEIRTREGEPEDCKEDSVGVSQDAGSSDLESPTHSPVSYRPHVSCLQQKHCSGNGGGRSFSSGSSAWSSSRSVTDSDDDTGGSPENDDDGVLDDWEAVADALSVDDSHNHQDPVQAAPPVVPASCLGPDNAATRQESIKSRTKAWSPDDVLRPQSLPSLSKQASFPASMGNCWVAMGIGSAQKGILSSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYTSASSGGGTVGNEREMGNLRLFRSCSMGPRY >LPERR02G17810.1 pep chromosome:Lperr_V1.4:2:15583257:15587041:-1 gene:LPERR02G17810 transcript:LPERR02G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNSKSANIVTNFMGTLNLLALLGGFLADAKLGRYLTIAISASIAATGVSLLTVDTMVPGMRPPACDDARGAGAHRVAASGEQMAMLYAALYTVAAGAGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMALAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGFHAAKVAYTDRLRCLDKAAIVDADLAAPPAKSSSSSSSPSTVTEVEEVKMVVKLLPIWSTCILFWTIYSQMTTFSVEQATRMDRHLTAAAGFTIPAGSLSVFLFLSILLFTSLNERLLVPISRRLTGRPQGLTSLQRVGAGLLLATLAMAVSALVEKKRRDSAIPISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFVVDAVTKGSWIRNDLDAGRLDLFYWMLAVLGVANFAVFIVFASRHEYKQPAAVAVVAPAKDDGSGNGGGAGEGKEMDDVVVVKEAVEGMDV >LPERR02G17820.1 pep chromosome:Lperr_V1.4:2:15591986:15600490:1 gene:LPERR02G17820 transcript:LPERR02G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQPQPHSPAASTRRRERWLVALGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISFGSPDIVPIFCISLPHSTWGTYPHEYEDFATDTLIADASFLDHWSFDQFQGLLNKSFEDAKLRKLLVQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESVYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRNPKFLAYTEKPDDGFRFVDDHKHDMATPKDWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSSVLDQIEDLISARDYETAMKHSEELRRMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPAALLKRTQVYTKNTSMKVYISGCLVMVFSSIILLLEKSPLLYHAYAFMTIFLWTRIVQNFEFMKAVWRELSKMPFKYTMNLLNVSVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYIWLACWFLSLFTLMPAEIPENNNLVYEAVFYSAFAMVLMGWIFVESANLYCSEQNSSARHSSAVEGSIFGYDERCLQLSDLRIPLLFMILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKIVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLNSRKVM >LPERR02G17830.1 pep chromosome:Lperr_V1.4:2:15601784:15602119:1 gene:LPERR02G17830 transcript:LPERR02G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAPAASVASTPRRGALAVARAVAPSSGGGRAEVARVEEELVGGGVEGRRAVMLAAAAAAVAAMGGGGAAMAGAKNGTPEAKKKYASICVTMPTAKVCHN >LPERR02G17840.1 pep chromosome:Lperr_V1.4:2:15609780:15610037:1 gene:LPERR02G17840 transcript:LPERR02G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLACKSRRYDDDEAEMSEWEYGGGRPARYGSKVRSSDEDYGAWWVGERDVDRKASDFINSFHQRKQQAVAAA >LPERR02G17850.1 pep chromosome:Lperr_V1.4:2:15613919:15617585:-1 gene:LPERR02G17850 transcript:LPERR02G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAAVRQLVAAVDGDWDWESEAYPAYGDFVALPAFAVFFLVVRYLLDRFVFEWIGRKLIFGKDQQKVDYEKEETRRKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANIAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >LPERR02G17860.1 pep chromosome:Lperr_V1.4:2:15620160:15622129:1 gene:LPERR02G17860 transcript:LPERR02G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRAIVHLDISLMNYTFEVQAISAEKLPFILPAVFTIGCRADDPNCLLRYAKIISPHNKLSHHVNELIKDVIKGESRVLAASMTMEEIFQGTKSFRQSIFENVQLELNQFGLIIYNANVKQLVDVEAANQVKVDGAEARMKGEGEGAKEKARVKAEVKVFENEREAEVAEANAGLRQTMVAEVEAAKASPFVEVERTNAARQTEKLKAEQLSKAVVDYEMKVQQANWELYNWQKAAEALLYEQEKAAEAMHMLGDALRGSYPRAAATPFSM >LPERR02G17870.1 pep chromosome:Lperr_V1.4:2:15622757:15626388:1 gene:LPERR02G17870 transcript:LPERR02G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTPFIHLQHRRAAARSAGHVNIFFHADEGKDDDNPYVYPLKYYFYSWHQNHGGPAKKLLIRDAAAGGGGLTDRLACPITKPLHSLVLIRCTSNGQRGGYYVFNPCTRAILPLTDSKLPRKMSSRFNSSLDVITFDVGDETFGSAPRLPVAKEEALWPDLTELDGCLCAYYQNNQRGRVVPITKKKKKKKIVFMTGACRMFSVDVDSDSAAGSPPEILFKPEDVIEMAIPMIFFDDDDEEGFSMPNTFGDFSLQRLGLFEDSLVPVGRTVEEILFSSPATTAWADVLKWLPASTVANLTLVCRSWRHMVATNRFIRSHAVQANTVAKHPQIKLVVDDIAAAGEKFTHVDDLLISGNRPKLCTTSTPFICSPPCHGLNLRIHGTSHFLFNPCMAADGYQLRIYTEDDDYTYSDEERMGGSLAGVIALGYDEAIERHVLVQIYNKYSCLCELMLVGDDRMQWEHGPSPTPVDIDVPAVYVNGKMYWVVEKKDSKIRPRDLLELDMKTRKFKVIRGPPCRRYGDGCGRMTLLELHGELCVACSDRSANAIDMWTAKDHGGGGGWSVERRVELAGFSPEYSSETATPMAVDPVDGRVLLNTGTSLGTTTPRH >LPERR02G17880.1 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIIMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKAVTSRQISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.10 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.2 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIIMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.3 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKAVTSRQISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.4 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.5 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKAVTSRQISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.6 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIIMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWARSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.7 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIIMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKAVTSRQISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.8 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWASLREHPKFDSLVRTRREHNNIKALSKVLADSSIGRQKSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17880.9 pep chromosome:Lperr_V1.4:2:15627021:15640416:1 gene:LPERR02G17880 transcript:LPERR02G17880.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSPPRLPLLTTTTTPPLLLSPRRLLCLCSPRPSRRRRRGGGFGCPLRAVAAAGGRAGIVIDVDEVDEVGDRDLPVDVSFTRRLRPVLTLGDGLAALRQAGEEVKASPPAGAGSGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKPTTGPSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFDLHLIRAYGSVGVKYENGLHSVEERAGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFNQIWSIYDSSATTCYERMVTSYIEELCLSQSRNTQLVYLDAEFLAVIDGKVGMDKEICPTSDQSFVRFSPQLLFSSNMDLCLQSNKTDSFIKSCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELHDAGANQAINQVNHFGSFVRHFFSLPPPDDQIYARMVLTAVDSVAYYATQTPQGPVHMNCAFREPLDYRYQDWSVDCLKGLEKWFINRKPYTTYLGMKMVSALVMEVLEIVKKAKQGLLLVGAIHTDDDIWAVALLARHLSWPVAADVLSGLRMRKVLNSSLKLDKSILFIDHIDQMLLSESVKSWISPDVIVQIGSRITSKRVGTYLESCSPTSYILIDAHPCRHDPSHVVTHRIQATIAEFAASLWQCNFQRKTSRWSDILKVLNSAVSQEIMFQVHSECSLTEPYVAHVIGDALDGDAAIFVGNSMVIRDLDMFGKGWIDHSKNVNDAMMHHFPGFLGAPVAGNRGASGIDGLLSTAIGFSIGSNKHVFCVIGDISFLHDTNGLSLLNQRSQRKPMTIIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRVKHFVVQTKTELHDALVKSHVGHVDCVVEVENSIVDNANFHRIISMFTDHTATTCLEYLLGGPYCKGELDGLSVGRIHAAEYMFYRIQLSAPRTSGISESSFFHEGFILKLCMDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTCLGIPPSSLFPSVKCGLEMAILNLLASRRTCRLYDIFTGSNVVECNQSSSASIEICALLDSSGTPMEVALAVLKLVAEGFTTVKLKVGRRESPAEDAAIIQKIREIVGYKINIRADANRKWTYKQAIDFGLMVKGFCLQYIEEPVDSVNDIVKFCENSGLPVALDETIDNLRGDLIPKLHQFSHPGIVALVIKPSVVGGFENAAYIAKWAHMHDKMAVISSAYESSVGLAGYIQFAHYVDKQNAIISRIKSKGSCGTVAHGLGTYQWLREDVSEQKLKIHAPPLGDGIRASAEDAHGYLQHLSINNKKIERTYSEENLRSYFIQVDWDKFSYHVKLQEAGDCTNEKVVLFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESKIIQHGVENPNQASVTVQVVADLLLKLICDITDGEVFVVGYSMGARIALHMALNKNHKISGAVIISGSPGLRDEASKRRRSAIDKSRAHFLSSCGLDSFLETWYSAKMWARSLWEDLKHLKSPLLIVAGEKDLKFKEISQEICREIRKYRDCESNGLCEMIIVPDSGHAVHIENPLPLVRAVRKFLLHIYQT >LPERR02G17890.1 pep chromosome:Lperr_V1.4:2:15644466:15645284:1 gene:LPERR02G17890 transcript:LPERR02G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRTSTLLVIVLVISLLLLDRPIAHARHLKNTSMSGSDSSLRRGLKDSKKLDEDKTKKVQNMQVGSKSVHGGSPDVQSAKIVVVERRGPTPHPKKHNL >LPERR02G17900.1 pep chromosome:Lperr_V1.4:2:15647035:15648712:-1 gene:LPERR02G17900 transcript:LPERR02G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSKYGGNFVKDVCIDEGVLPHRRISEQKKLDVKPSPKFNFLLIDTNSDLRYGGKGDARKFAHEQKLETVGLAADSNTKKQCDLEGKSTASFPGDISEKKISLQELLKLESAEESQERLKLQREEESQTQHQSTTSAICENNMPLHGEGAGQVSTNDRHDVTAASKTDELITSDVSSNDNASGSSATAAFDKPMSTMEISDSLSASKEINEVGSAEASSDALTSSSSSEVQPSENSNNPNESFTREAITRDALDETTVASSSSPHVVESSDANRKTNNKMSENDGATDVHDIIQTDEQNCADATSSCKISKSYADAHMDSTHVGEHLDVPDNNAKGESLIGNGYPLETCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWGSSPVRMAKAERRRTRRRRGWKKGLLCWKF >LPERR02G17910.1 pep chromosome:Lperr_V1.4:2:15656955:15659652:-1 gene:LPERR02G17910 transcript:LPERR02G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGGPNWILVAGGVLLSTLSVKLGCKLKQLFDAKQRNSSTSKAQRRPEACDLHSSLYRFSDHISCYHCNSGFTGNGVGVKQAPASPASKPIEPTLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGLANGYADSSVDDPELHFISIDKRKGDVERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSTQVPNIL >LPERR02G17920.1 pep chromosome:Lperr_V1.4:2:15669007:15671280:-1 gene:LPERR02G17920 transcript:LPERR02G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVIVRDAAGYGAALADALRPTAGLTRESAPLELPLAKYGLDGEKASGELVNFSDSSGTPQVSFFVLPDYKPPVAACAMNEILALVSSEAQSTEPALIVPFITRSSNYYHGGKTGQLVTLHGAEIGATTEFTQMLVDGTTKHPQSLQIRSEPILCLMEMVCVLNIPTVLVVASVGQHQSKSTSDADLEVLQRVGDHLARHINLEFNKEAVLERGIEKSPVFQEPWRELYR >LPERR02G17940.1 pep chromosome:Lperr_V1.4:2:15676201:15677378:-1 gene:LPERR02G17940 transcript:LPERR02G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRIAVKTEKCQKKAMKEAATVSGVQSVTLAGGERNLLLVIGDGVDTTKLTKKLKNKVGSAEIVELRTVDTFEAAAAMFPLGVIHGAAAPGSKDAARAMAARSAPSPYQYNYQPSPYGHLHHPQHHQQWQYASPYAYQQYPYHPSPMMAGGGVGGYGMGSSYSRAVALSHPANYSPLVEKHDYHPMNRPSSVAAAAGGGGAKKSEKPASTGNGNGGGNGGNGASLKAVPRSRRHESDGNGCCIQ >LPERR02G17950.1 pep chromosome:Lperr_V1.4:2:15681248:15681998:-1 gene:LPERR02G17950 transcript:LPERR02G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMVIRMEAGSEKGNNKAMKVAAAIDGVESVTLAGEGRNLLRVVGSGIDSNDLTTRLRRKVGHADIVELHTLHDYRGGSYTTTSGRSGASNNNYYYSSDQLSGRRNGGGAAAYTTGAGGQYGGYSPYFYQQQPYVDGFYPSQYGTTVVQQQEYYPTSNDPNGCSIM >LPERR02G17960.1 pep chromosome:Lperr_V1.4:2:15685460:15685869:-1 gene:LPERR02G17960 transcript:LPERR02G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYNVSSSSSKAFLVLALLISGAFIHIASADDDDDPPPSPEYYDPPPSPEYYDPPPSPDYYDPPPSPEYYYPPPSPEYYDPPPSPYYYEPPPPDHYGGGYGNPPPPPY >LPERR02G17970.1 pep chromosome:Lperr_V1.4:2:15690086:15690340:-1 gene:LPERR02G17970 transcript:LPERR02G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALLAVVLLLLAAGFIHPTQASGGGGGGGGGGGGGGGGQHCGPCNCHGCCRYDGECMRYCGGGSDGSCCHWRGECYQCCSS >LPERR02G17990.1 pep chromosome:Lperr_V1.4:2:15705006:15720017:-1 gene:LPERR02G17990 transcript:LPERR02G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIVIKVNMANDKCRSKAMALVASTGGVVSVELAGDDKSKFVVVGDVDSIKLTNALRKKVDGSAQLVEVGEAKKEEKKKEEEKKKDEPVAVYQPGYYCHPYMYHHPGYGPYGCPCGCNPKPESTCSIILNNAAVCMSASDPDSGVHLSSSNFNLIRPHIAFLFPHKKAAMAKQKIVIKVAMTCDKCRSKAMALVAATGGVDSVALAGDAKDQVVVVGDGVDSIKLTTLLRKKVGHATLVTVGEVKEEEKKKPETPVVVEYPYPWNYHHYPASQHVVYEYPASSGYSWNQRAKGMCERDRQIVGERTRGDRDDPALWK >LPERR02G17990.2 pep chromosome:Lperr_V1.4:2:15710183:15720017:-1 gene:LPERR02G17990 transcript:LPERR02G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIVIKVNMANDKCRSKAMALVASTGGVVSVELAGDDKSKFVVVGDVDSIKLTNALRKKLVEVGEAKKEEKKKEEEKKKDEPVAVYQPGYYYTPYYHHPGYGPYGCPCGCNPKPESTCSIINSGQGTLLQPKLNTACQKKIVIKVNMANDKCRSKAMALVASTGGVVSVELAGDDKSKFVVVGDVDSIKLTNALRKKVDGSAQLVEVGEAKKEEKKKEEEKKKDEPVAVYQPGYYCHPYMYHHPGYGPYGCPCGCNPKPESTCSIM >LPERR02G17990.3 pep chromosome:Lperr_V1.4:2:15710183:15720017:-1 gene:LPERR02G17990 transcript:LPERR02G17990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIVIKVNMANDKCRSKAMALVASTGGVVSVELAGDDKSKFVVVGDVDSIKLTNALRKKVDGSAQLVEVGEAKKEEKKKEEEKKKDELVAVYQPGYYCHPYMYHHPGYGPYGCPCGCNPKPEREAKKEEKKKEEEKKKDEPVAVYQPGYYYTPYYHHPGYGPYGCPCGCNPKPESTCSIINSGQGTLLQPKLNTACQKKIVIKVNMANDKCRSKAMALVASTGGVVSVELAGDDKSKFVVVGDVDSIKLTNALRKKVDGSAQLVEVGEAKKEEKKKEEEKKKDEPVAVYQPGYYCHPYMYHHPGYGPYGCPCGCNPKPESTCSIM >LPERR02G17990.4 pep chromosome:Lperr_V1.4:2:15705006:15706340:-1 gene:LPERR02G17990 transcript:LPERR02G17990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVAMTCDKCRSKAMALVAATGGVDSVALAGDAKDQVVVVGDGVDSIKLTTLLRKKVGHATLVTVGEVKEEEKKKPETPVVVEYPYPWNYHHYPASQHVVYEYPASSGYSWNQRAKGMCERDRQIVGERTRGDRDDPALWK >LPERR02G18000.1 pep chromosome:Lperr_V1.4:2:15728415:15729078:-1 gene:LPERR02G18000 transcript:LPERR02G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAVIVFALLLVAALCFVASAQDPQANTQGGGAGGGPPAAKHHGGYYPPGPPGYYPPGGHHGGYYPPGPPGYYPPGGHHGGYDPYWHGHHGHHGCRWGCCHRGYHGECHRCC >LPERR02G18010.1 pep chromosome:Lperr_V1.4:2:15735376:15735919:-1 gene:LPERR02G18010 transcript:LPERR02G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAVIVFALLLVAALCFVASAQDPQANTQGGGGGPPAAKHGHGGYYPPGPPGGYYPGPGHHGGYDPYWHGHHGCRWGCCHRGYYGECRRCC >LPERR02G18020.1 pep chromosome:Lperr_V1.4:2:15748239:15748817:-1 gene:LPERR02G18020 transcript:LPERR02G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAALIVFALLLVAALCFVVSAQDPQANKAGGGGGPPAGYGAHGGNYGPPGPSGHYDGNKGGGHHRDYPPHCRRGCCHRGHRGECRRCC >LPERR02G18030.1 pep chromosome:Lperr_V1.4:2:15756669:15757438:-1 gene:LPERR02G18030 transcript:LPERR02G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFAVLLVAAFCFVASAQDPQANKGGGGGGGSPAGYGHGGYYGPPGHYGGPDPWHQGGGHHRDYPPHCRRGCCYHHHGQCRACC >LPERR02G18040.1 pep chromosome:Lperr_V1.4:2:15764882:15767665:1 gene:LPERR02G18040 transcript:LPERR02G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCS >LPERR02G18050.1 pep chromosome:Lperr_V1.4:2:15768164:15771101:-1 gene:LPERR02G18050 transcript:LPERR02G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAAFGAWARPWSWARSWQGTLSFTPIHYFGNFCIYAM >LPERR02G18060.1 pep chromosome:Lperr_V1.4:2:15773156:15774730:1 gene:LPERR02G18060 transcript:LPERR02G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRQAAARRPCADAAVEPAGQGRKRAVRTRGGVGRIVFDVGAMSSAARRRLAARFESELACVRAVLRKAAGAVPAASGAGKSGLRGADSSSSSPGKRKDGRLLPAGGGAPARKRKACFLSGRGEDDAPKKKRTRTPEWPDVGKRLLTAAQIGGDGEMSRRNHPHGSKTDKPQAQPVPTAPPSPPLVVPDDVTDYGEMLAGTTGVDDLLSPLQSRYIALAERPGGGDVFAPALSPLLPPGYGGDLAGAAGVRLLSPLPREHVALAGVAASPSLPPGYGDVIADATGAVAMLSPLPREHVALATGDDGEEYVDICGDSSPIVINHKINHGEIITNNSSPISSSTSSDSDSTSSDESDSTGSTPTPAIPTNAKKQEENLPDPAAAVAATAKPLTDLIARAQGAVSRRRQEAREKARQELEEMETKTPALMSTNAVHPQDMELLGLAAVEHMVSSDVEEVRRALCFGSAALRAAAPSWPSLVEKLGLFLKCDRGGDKEEEEEQQQQPATSFGGGVDDNDDVEEGEIR >LPERR02G18070.1 pep chromosome:Lperr_V1.4:2:15776342:15784794:1 gene:LPERR02G18070 transcript:LPERR02G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLITFLFNVPAAKSSVRKDASDDGVVEDGEDEMGQVHLHDGERAFFVHVTMLEKIGLPPKPSLRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYEMRYGHKNRASKANVKAASNTEDDILSEILGGDGVQTKFSRRESLDPELPGASSSSSSSRRTSGAFSMDGNGDGNLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSGGKPEEALRAFKHGKELERQAAALELELRKNRRMATKAPNVSAVVSTKNLEVSDEAESKKSLPGKRVRKEKNDLASELRDLGWSDADLHDETRPTAMSVEGELSQILREVAPKSEGSKTGSIDKSQVNALKRQALLLKRQGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIRNMDDGNQDDILLDNPRFPDFNFEQLLGTSDDLPINGNFDVTDDDLNDPDMAAALKSFGWSEADEIQMESHAPVSSLNQEALREQVLALKREAVTHMKAGNVAEAMSLLRKAKLLEKDLETEQSDSKVPSPQGHRNTHTEDLSATEMSARSVSAPKSKLTIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPAAKENRSIGSTPPYKVEPPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDGDSVSRINKPSNTSHTVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESGNLTATQQSASTAGQQIKEIKSSPDTSAHPSKQRNAMEGVVSFPVHLAELGASMDAQANSQSIPPTIPRPDHASKVHSEGTHSTLSRPSFTDPLVTAERLHSPSDVQDQKEPQNSHGHDTLKDEILLHKRKAVAFKREGKLAEAREELKQAKLLEKRLEVSQENSTNVRDESMKSIVQESHLIQQSAIANSHADDIPSAAPAQEIKSVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSGQPSSAQPSKKAEAKPTVAAATSKPQSEKTQLEEQIKAEKLKALSLKREGKQTEALEALRSAKRLEKKLASL >LPERR02G18080.1 pep chromosome:Lperr_V1.4:2:15785128:15789742:1 gene:LPERR02G18080 transcript:LPERR02G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTAALACRGLGSPCPRSPSLPPGRRRRLFADEITAVAVARPLLRVSVPRAHGGGSGGDREGGEASRSLRALRRALPDQKWAQPDLLRAAVISTVSILSVPLVASAEMCPPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGKATDAEVIVDPRKQQLTANLRNGKTFMRNQDLDMAAREFRTALELAESIGDRFEEKKAVRGLGASLQRLGKYREAMNCYYKVLELSRETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGD >LPERR02G18080.2 pep chromosome:Lperr_V1.4:2:15785317:15789742:1 gene:LPERR02G18080 transcript:LPERR02G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGKATDAEVIVDPRKQQLTANLRNGKTFMRNQDLDMAAREFRTALELAESIGDRFEEKKAVRGLGASLQRLGKYREAMNCYYKVLELSRETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGD >LPERR02G18090.1 pep chromosome:Lperr_V1.4:2:15794682:15795698:1 gene:LPERR02G18090 transcript:LPERR02G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKVLILFAALLAAAFLVAAADETTQAAGNKKEETNKVDVQDYWRGGGGYPRGGYPGRGYGGYPGRGGYPGGGWRGGCRCCGYGYRGGCRCCASPDEIPEPMYRPEVEPHN >LPERR02G18100.1 pep chromosome:Lperr_V1.4:2:15801864:15802576:1 gene:LPERR02G18100 transcript:LPERR02G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGGGYPGRGGGGYPGRDGGGGGGYCRYGCCGRGYYRGCRCCATADEVPEPMYRPEGEVHN >LPERR02G18110.1 pep chromosome:Lperr_V1.4:2:15807764:15809696:1 gene:LPERR02G18110 transcript:LPERR02G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKALLVFALLLAGAFLVNCAQPQPNTDPAADPGNEDPNGGAGGSSSSGYGNGGGGGGAGAGGYGYGGPRRCRWGCCECGYYRCNRCC >LPERR02G18120.1 pep chromosome:Lperr_V1.4:2:15810098:15814335:-1 gene:LPERR02G18120 transcript:LPERR02G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGVLVSDPWLQSQFTQVQLRTLKTKFASLKNSDADHVAIKDLPPVMEKLRGIHEVLSEEEIRKFLRESYPDMNQTIEFEPFLREYLNLQAKGTSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPTSNELFNLLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSREAEELITLAPDKMLLKWMNFHLKKAGYRKTVTNFSTDDGEAYAYLLNALAPEHSSTTMIETSDPNERAKKVLETAEKLECTRYVTPKDIVEGSANLNLAFVAQIFQHRNGLSESNAAPVVEDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVIWKQASKPPIIMPFRKVENCNQVIKIGKELKFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLRNLRSHSKEKEITDADILIWANNKVKESGKTSRVESFKDKSIANGVFFLELLHAVQRRVVDWNMVKKGEDDEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILVLTASIMYWSLQKQGPYQCPEPSVETLVEEEEEEEEEEEDDRDFEEDDEEEGIIDGVSKLTT >LPERR02G18120.2 pep chromosome:Lperr_V1.4:2:15810098:15814335:-1 gene:LPERR02G18120 transcript:LPERR02G18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGVLVSDPWLQSQFTQVQLRTLKTKFASLKNSDADHVAIKDLPPVMEKLRGIHEVLSEEEIRKFLRESYPDMNQTIEFEPFLREYLNLQAKGTSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPTSNELFNLLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSREAEELITLAPDKMLLKWMNFHLKKAGYRKTVTNFSTDVKACIIDGEAYAYLLNALAPEHSSTTMIETSDPNERAKKVLETAEKLECTRYVTPKDIVEGSANLNLAFVAQIFQHRNGLSESNAAPVVEDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVIWKQASKPPIIMPFRKVENCNQVIKIGKELKFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLRNLRSHSKEKEITDADILIWANNKVKESGKTSRVESFKDKSIANGVFFLELLHAVQRRVVDWNMVKKGEDDEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILVLTASIMYWSLQKQGPYQCPEPSVETLVEEEEEEEEEEEDDRDFEEDDEEEGIIDGVSKLTT >LPERR02G18130.1 pep chromosome:Lperr_V1.4:2:15825772:15833775:1 gene:LPERR02G18130 transcript:LPERR02G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIEQPSDFDPTAALCYPLGGDATITVSRRTRGWPSSAFSPNGVVCLHAGDDEGRRRRRRVRGGRASKRAEGPGPGPDVGGLLAGPLGPAALHGSHPARPGPNPGVGVRFPAAGPGGRPRRLRRALAGQNPRGGTEEDAAEGAGHEVLARRALPRRRRGGARRRQLQRAVADRPRRRAARLSRLHKRARRSSDRRKTCLGLAQIVSCQ >LPERR02G18140.1 pep chromosome:Lperr_V1.4:2:15832118:15832429:-1 gene:LPERR02G18140 transcript:LPERR02G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNDVASLVRQGLRWRRRRTARVVDESALQAEGGGGGEAVVATASVGAALARALLALACTVRFDGGEGSTEEAWAAASAWRPRADEVSHLMVRDSMRYAIYA >LPERR02G18150.1 pep chromosome:Lperr_V1.4:2:15846837:15847976:-1 gene:LPERR02G18150 transcript:LPERR02G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITLVRLLLLLLPVPLRKHLWPASHQAEDLAGAGELLHPIFMVPGVSCSDLEARLTEAYQPSIPSCGALKAKGWFGLYENSSDISEHHYHKCFEEQMSLVYDPIRNEYRNLASVETRVPYFGIVKGYHQKNPLGPKWCLTRLIEALEEMGYRDGDTMLGAPYDFRYAAPIPGQTSQFYSHYFKELMELVEATSEKHHKKVIIFGHSLGGMVILEFIRSTPLAWRDKYIKHLILVAPTLSTGFLSSVIYLASGPQGDLLYVPKATALSLRPMWRSFETSIINIPSTKAYGHKPIVITKQRNYSAYDMEDLLTDIGFEHAIEPFRRRVMPKMNYFKAPMVP >LPERR02G18160.1 pep chromosome:Lperr_V1.4:2:15857298:15860497:-1 gene:LPERR02G18160 transcript:LPERR02G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDVWSLGCCMFEILAHRPAFKAADMASLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQSRRPGNGRRRIIKSNGSSEALEAAAEQAVDTRDSSTYFSDVSTIGTQDACISQLSMDPQARNKEQQNIDALSLQHTEESLMTTTDRQIDETILLQAVRNSSVVDVVLVTSAIQKPDEAPIPNEELTIGVVQEQRKEVKAHSYQESKPDAGDVAIVTEESSPKSVVKLAHADSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKSGGSPKLT >LPERR02G18170.1 pep chromosome:Lperr_V1.4:2:15868845:15871052:1 gene:LPERR02G18170 transcript:LPERR02G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLSTPPITIVVAGAQQKPSWAASRWSVPEVQQAQFSPPPLPLSPYEYKYEDSTKIFDYFLDYVAADPEGAEGVRPEFAADAHTIAVVGRQEWYDYVEPEGKSCPLIIC >LPERR02G18180.1 pep chromosome:Lperr_V1.4:2:15905985:15909678:1 gene:LPERR02G18180 transcript:LPERR02G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGAVAVKQLARRGQRPSSNKENVPPSWAVTARTTPKRRSPLPEWYPRSPLRDISSVVKAVERRSRLGNAATRQQIQSREDSSPSVDPATPEQTEQGVPQTTPAPPTQETLDVAAPCPGSTQVAAGTSATDLAEGKLKASFSPSDCSLQTPSRPNDPALDDLMEKKLTSSIEQIEKMVGKNLRRAPKAAQPSKMTIQRRTLMSMR >LPERR02G18190.1 pep chromosome:Lperr_V1.4:2:15912432:15920102:-1 gene:LPERR02G18190 transcript:LPERR02G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAAEPPLSGDAPAALPPPRRHRWNRVAAELDGRIDARFRHRESVRLLDSFSEIRTFSHNYYTEGQERCRTYMNRVVNESALGFQGIYLASVTASGCLTVHDFETLYCSAYGPSGSLPDESSNYLLHIPNKMSLCAVRWNPANKDEIVCVSRQNDMVLLFDIGCISSTPTEVLRKGRSRYPALSEFRKGLTDVAFSSDDKSWLFASGLDGAVYMWDMRLSKKHCLELIGYPESQFSSVKLNIDNRTVFAASKEGTVHAWDLRGGRASAAFQTHNEVQQLSSVKISTLLGQIPSLKDQTNIISCEILSIDFNPSCSYQLAFHLDNGWSGALNINTFGGGYDLLASKGTEKTSLVAYIFVGSASNSGGMHLLDFHPDTTSACHVDYNEETRGSEEKEPAVNKFIPLSQRVFSCAAHPFCHTVLAGTQASSLLMLSQKNESIKNSE >LPERR02G18200.1 pep chromosome:Lperr_V1.4:2:15924113:15925671:-1 gene:LPERR02G18200 transcript:LPERR02G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGAFPKAEKPAAAVQPKFYPADDVKPRAPTTRKAKPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVEKFDDKYFARDKKTKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMTF >LPERR02G18210.1 pep chromosome:Lperr_V1.4:2:15926616:15929238:-1 gene:LPERR02G18210 transcript:LPERR02G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAAAAAAAAAEKPGEFAPERPERTLFGFMEKPTAAAADLEESEPETASEGGEDDAAAVAVAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSSGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNETDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPYYISPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFAGLWKGED >LPERR02G18220.1 pep chromosome:Lperr_V1.4:2:15931886:15938122:1 gene:LPERR02G18220 transcript:LPERR02G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNTKITVRNAIAQGGFSCVYLACDALHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGNGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSRNHKCFDKPEDMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTKLIKDLLEASPNDRPDITQARALIDWPFISMMLGAYKRTAVMPRRSPPPPPREQANNSLSHGGSKAGDAPLGAFWATQHAQGSQVADNRGPLFDEEPRKPSPSSKHNQSRVDISISAPGDRHGRSGQAVRSTPSNSVSNNGLASGVTTNLFTESQSSVKTKASQPKSEKDPFNNFVADFEANSLHSGTSAAGKKSELEAEVSTLKEQLKKTTLEKAEMTAKYEKLTAICRSQRQEIQELKRTLAETTPPPSNKVSSRIPESGSLRKEKIEGTVWELEQGMLAKNSSLPTSEAKTWQAFPEPKSQPAQVRPKVDHATNGGQNQARNASSRQSPDGWGFGPDSFRTTSGTAASQINRGTAQGSSSQRFSSGAAKKVEQPSGWAGF >LPERR02G18220.2 pep chromosome:Lperr_V1.4:2:15933239:15938122:1 gene:LPERR02G18220 transcript:LPERR02G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNTKITVRNAIAQGGFSCVYLACDALHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGNGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSRNHKCFDKPEDMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTKLIKDLLEASPNDRPDITQARALIDWPFISMMLGAYKRTAVMPRRSPPPPPREQANNSLSHGGSKAGDAPLGAFWATQHAQGSQVADNRGPLFDEEPRKPSPSSKHNQSRVDISISAPGDRHGRSGQAVRSTPSNSVSNNGLASGVTTNLFTESQSSVKTKASQPKSEKDPFNNFVADFEANSLHSGTSAAGKKSELEAEVSTLKEQLKKTTLEKAEMTAKYEKLTAICRSQRQEIQELKRTLAETTPPPSNKVSSRIPESGSLRKEKIEGTVWELEQGMLAKNSSLPTSEAKTWQAFPEPKSQPAQVRPKVDHATNGGQNQARNASSRQSPDGWGFGPDSFRTTSGTAASQINRGTAQGSSSQRFSSGAAKKVEQPSGWAGF >LPERR02G18230.1 pep chromosome:Lperr_V1.4:2:15944191:15945166:-1 gene:LPERR02G18230 transcript:LPERR02G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELIVRVAARLASSLGLDDCSFQDWPCQFRINRYNYTPDTVGESGVQVHTDSGFLTVLQEDERVGGLEVADPDTGEFSPVDIPLPGTFLVNLGDVATAWSNGALHNVRHRVRCVAGVPRVSIALFLLAPRDDVRPRRFRDFGYDDYRRLRQSTGEHAGEALARLAA >LPERR02G18240.1 pep chromosome:Lperr_V1.4:2:15946401:15950893:-1 gene:LPERR02G18240 transcript:LPERR02G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRALLPLLLPLLLLLSRSLRADPDAVVSRIAFGSCANQSAPQPVWDAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSAEDELRRRYEAAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFSGKVLNQRLLLDFLDEAKDSSRRQQAGVYTSYMFGPEGKRVIILDTRYHRDPLSSDGTILGDPQWQWLERELHGPKSDITVIASSIQVISNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPAVFQPLMRLLAVLTPTTMRVFNNNCRYKSCIYGQPNFGAIEIDWNAELPRVKLELRDVQGNPVGGVEFPISELEPSNTRGIRKQGQSFQRHCTPETELPWLVRHQLTLLFFGTIAVLVIAVVLLVIACLSATNIFIKKSKME >LPERR02G18250.1 pep chromosome:Lperr_V1.4:2:15953891:15962193:-1 gene:LPERR02G18250 transcript:LPERR02G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFCDLESVATFGFRGEALSSLCALGRLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYAVIAKGVRLVCTNTTSKNSKMVVLRTQGSSSLKDNIITVFGLNTFKCLQPFTVTISEGCQVDGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFSIPTTSYDVNVAPDKRKIFFSSEHTILLSLRESIENIYCPQQCSFSINHIEDPEMEKDPPVDGSDEDMALTVKENVSSPEYDDDSDETDNDDEVSPENQKLPSSVTKTVAIGSTSRDVNSLPRTSPAQVDRSAWFSANRHEQPKKLSTQVKSYPAQANNVRTGLAAKSSPSSTVQSSLMNFLSQNKRKHEDSCNLISEAPVLRRGTCSEQVKRTHLGANAPATLTSSISNIPVANEPQGTDPLRHHSLQSFLPETTEDSPQHSEPPNIFCHSDEVPCLRLCDAHTTECEVDKQYDRCLSKLDSPSRCSEVEPQNELTNIYLPDADADADCHDTAVNSESLACQVTQFTLADLRRRRKHSFMLSHANKGYSAAKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDRLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFESLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMHTSTIRKNGFVLAEDLHASPCNRYLLKAVPFSKNITFGAQDVKELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSIMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLRTIKNKGAEATFS >LPERR02G18250.2 pep chromosome:Lperr_V1.4:2:15956878:15962193:-1 gene:LPERR02G18250 transcript:LPERR02G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFCDLESVATFGFRGEALSSLCALGRLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYAVIAKGVRLVCTNTTSKNSKMVVLRTQGSSSLKDNIITVFGLNTFKCLQPFTVTISEGCQVDGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFSIPTTSYDVNVAPDKRKIFFSSEHTILLSLRESIENIYCPQQCSFSINHIEDPEMEKDPPVDGSDEDMALTVKENVSSPEYDDDSDETDNDDEVSPENQKLPSSVTKTVAIGSTSRDVNSLPRTSPAQVDRSAWFSANRHEQPKKLSTQVKSYPAQANNVRTGLAAKSSPSSTVQSSLMNFLSQNKRKHEDSCNLISEAPVLRRGTCSEQVKRTHLGANAPATLTSSISNIPVANEPQGTDPLRHHSLQSFLPETTEDSPQHSEPPNIFCHSDEVPCLRLCDAHTTECEVDKQYDRCLSKLDSPSRCSEVEPQNELTNIYLPDADADADCHDTAVNSESLACQVTQFTLADLRRRRKHSFMLSHANKGYSAAKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDRLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFESLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMHTSTISLI >LPERR02G18250.3 pep chromosome:Lperr_V1.4:2:15953891:15955978:-1 gene:LPERR02G18250 transcript:LPERR02G18250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEAEPEDVAEPVGRNGFVLAEDLHASPCNRYLLKAVPFSKNITFGAQDVKELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSIMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLRTIKNKGAEATFS >LPERR02G18260.1 pep chromosome:Lperr_V1.4:2:15963527:15966360:1 gene:LPERR02G18260 transcript:LPERR02G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKVESMRKWVVDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDSKYGTSGPKVDKYTSQYLAHSHKD >LPERR02G18270.1 pep chromosome:Lperr_V1.4:2:15966844:15969473:-1 gene:LPERR02G18270 transcript:LPERR02G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISDIKLIRTDTTLDLSQKAEKGEQKGVCVSPGGRFPAFSSEGKPPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRHGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALDSSSGGLDDTFCYGGKASFDSISSSWTSSKERPTLSDVASWNLEYFRRWAREMPASERISWAIGGMVLTAGLIFTSKRKSYARHHKQAAIARNVRKLEYRANPQQLRRDLGMHR >LPERR02G18280.1 pep chromosome:Lperr_V1.4:2:15970501:15970990:-1 gene:LPERR02G18280 transcript:LPERR02G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHKNHSSMNPPPQIISKASLHSHPPKISSPATVTTTSYFLQRCFLCHKELADGKDIYMYRGDRAFCSVDCRCKQIFMDEDGVADVTGGGAKTSVRAGRRRATVPHEQASAGGGFAY >LPERR02G18290.1 pep chromosome:Lperr_V1.4:2:15980801:15986340:1 gene:LPERR02G18290 transcript:LPERR02G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQTENSRGVSCSPRLWIRQESLHIPFHHSFQATTRSSKPKLGHHRKQTLPSVHKTLLLSTNSYGHRFPFTFLLSPLISSLSWCFSAQPCICFCHSMSQSSPFFSIARAHAGAGGRAAAAALLLRHPVAQLQPRIHGLRYLPSAIVSPAKTLNLHRGLPHATISSFANADDGSSGKTDATEAEEEQNGESELSEMAKAFHISPRMAMSISVMIAFAALTVPLAMQSLVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGELLSSEAEKIASSDKANAQQVGFLSDVAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWVVTIPVGALLSIFYTLLLTKVLAYFM >LPERR02G18300.1 pep chromosome:Lperr_V1.4:2:15986189:15986603:-1 gene:LPERR02G18300 transcript:LPERR02G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAVAESDLEDKRCYHHPRLVRGSNPLPGRLQLNEIRKTNSNLVIIGKPSGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >LPERR02G18310.1 pep chromosome:Lperr_V1.4:2:15989236:15993380:1 gene:LPERR02G18310 transcript:LPERR02G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLLPVSPTLSTPRARDIEQPSPRAPSGDDEFDLVSRMPGLRHPSVLSAMSRAVADVSSARDALRLLGPRPDHELVDSARAFLASRAAEEANAKEEEAVGRCREVVRLDEAHESYGGLLREAEERLERVYRLAMKGRDEEREDAAGAGAVVDEEVVRVLREAEEGKAVERVLLADRQLRHLPEPFGRIRGLLVLDVSRNQLKAVPDAIGGLEHLEELRLAANALVSLPDSIGLLCSLKILDVSGNKLKSLPDSISKCRSLVELDVSYNVLTYLPTGIGQELANLEKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPSGIGRLSALETLNLSSNFSDMRDLPASIGDLLGLRELDLSNNQIHALPDCFGRLERLERLRLDQNPLAVPPMEVVGVGLAAVKAYMARRWRDACAEEERRSSLAAAAAAAGESPRVSTPKEWLTRSVSSLGSWVSDVTGLGAGQSKAEEDAYLQQNL >LPERR02G18320.1 pep chromosome:Lperr_V1.4:2:15996530:16001606:-1 gene:LPERR02G18320 transcript:LPERR02G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGFAPRSDGEWDVVLKVKYGETLKRFNGYVQGSRFSLNLSALRSKIAFAFKFGPDINFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNSSLTTASQTKQQDSDNTPLRPTTIQDPLAQLKSVIDELLKPLSNPLRSTVHEDTQAQIKSAIDDAMKSIPEPIPDALAKLSHEVLGAAPPQLAELMKPFVQLVTPSNKNPSNGCGNGSCSFSSGLPQTQVDSKANVEPKIDTSLGPRPLGTQNSEPRAPPIPPRNDIWPQLPERGSTFYPSIWQSEADPKATSDSRWCLPSHRAGHPFRPHAPMTRASLQVPPAPTSLNYGPSPHFPYPGRLLSSGHLHGDLENSSARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPSLCRKIHPRSAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDQEIDVAVDFMAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAPINLNLPPETNSTNASNLIDVNFEPVDLVFDQHVNSTNKELLENLIHCEVDEPKNPEPALLSVPFVSSTASPHPVVDVPSTSTAAAFVPVVDVPAPELAMAPTVNEPAGNAPPSVGASASDHHGIDILTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDAEINKEMLARNGGSIKRAVMDLIAREKKDQ >LPERR02G18320.2 pep chromosome:Lperr_V1.4:2:15996530:16001606:-1 gene:LPERR02G18320 transcript:LPERR02G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGFAPRSDGEWDVVLKVKYGETLKRFNGYVQGSRFSLNLSALRSKIAFAFKFGPDINFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNSSLTTASQTKQQDSDNTPLRPTTIQDPLAQLKSVIDELLKPLSNPLRSTVHEDTQAQIKSAIDDAMKSIPEPIPDALAKLSHEVLGAAPPQLAELMKPFVQLVTPSNKNPSNGCGNGSCSFSSGLPQTQVDSKANVEPKIDTSLGPRPLGTQNSEPRGLKTLSVEAPATSNVKSSQHQQASLYPSIEDLLFSANLACSGDDKSAGKGIGDTQSKGKSVMMPATPPAPPAASVFRPAPPIPPRNDIWPQLPERGSTFYPSIWQSEADPKATSDSRWCLPSHRAGHPFRPHAPMTRASLQVPPAPTSLNYGPSPHFPYPGRLLSSGHLHGDLENSSARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPSLCRKIHPRSAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDQEIDVAVDFMAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAPINLNLPPETNSTNASNLIDVNFEPVDLVFDQHVNSTNKELLENLIHCEVDEPKNPEPALLSVPFVSSTASPHPVVDVPSTSTAAAFVPVVDVPAPELAMAPTVNEPAGNAPPSVGASASDHHGIDILTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDAEINKEMLARNGGSIKRAVMDLIAREKKDQ >LPERR02G18330.1 pep chromosome:Lperr_V1.4:2:16004525:16009529:1 gene:LPERR02G18330 transcript:LPERR02G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQDAAAAAAGGRKRRRRGGGRSRRKHQNPSSSGVAAASPPPSPQAKRQRGADAASSKGRGRKPKPSSLLDKMRARLSGGHFRMLNEKLYTCSGEDAFEYFTNEPDLFDVYHTGYQEQMSHWPEQPVNVIINWLKGHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLDSSSVDVAIFCLSLMGTNYPSYIQEANRVLKPGGWLLIAEVRSRLDPNTGGADPEKFCESINKLGFSLVTKDAKNKMFILFYFRKKEKSKVVKNIEWPHLKPCLYKRR >LPERR02G18340.1 pep chromosome:Lperr_V1.4:2:16013407:16019468:1 gene:LPERR02G18340 transcript:LPERR02G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEMAKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTIKLVDLGLAREETLTEMMTAETGTYRWMAPENIRPSADNLPAELSEILSTCWKEDPNERPNFTQIVQMLLHYLSTLSPPERLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >LPERR02G18350.1 pep chromosome:Lperr_V1.4:2:16030393:16031379:1 gene:LPERR02G18350 transcript:LPERR02G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAASAHAHQHRAKRPSPGGADGGAIVGSATGTRYRGVRRRPWGRFAAEIRDPASKERRWLGTFDTAEQAACAYDVAARAMRGTRARTNFPVPSSAAVVSPAGGCWPWLTALPPSPHGATTATATQQQPLNTFLLHNLLMSSSPHGCLLLHHAGHGHAHAHAHSHIRSHNPTRTPISTPTPTPTPTPPVAITTPATTTTTTTAAGSATFAAPGAYDDDDDEWGGLLRREPPEAGLLQEVLHGFYPANRPHASPVPAPKQVEMPYYEASPWDVVEDCEDGDVDDDGEYCGLPMMPQGLLEDVIHCPPPYMEVLAPSSAIGRSRRGGT >LPERR02G18360.1 pep chromosome:Lperr_V1.4:2:16045105:16048178:1 gene:LPERR02G18360 transcript:LPERR02G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGEVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLASTRHHAPLCEDIGLTSRCVDAVASLIANPAAHYSSTSASPWWAHDVAELGVDLFWRIMVAVKATGSVHEKTVGDALKAYARRWLPNVSASTAGADQVFDGGDDVKQIATRHRLLLEKIVSLIPAERDAVSCGFLLKLLKAANILSASATSKAELVRRVAWQLEEAAVGDLLIPSISCVSETLYDVDAVVSILDEFALRHAAASAPPAPAPVAVVVSPDESPALSGGGHRRSRSAESVGFDGARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLAIAEAVPDSARPEHDALYKVVDTYLKVHPELSKSARKRLCRVLNCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAALAGGAHGAAAELPSNIKALMLMSKTASSGGSDQDDDMAIAADRIDEQRLRVLAAGASPGDDWSVEGLRRAASKIATLRMKLEEDDDHDGGADADEEFVRKQAAGLARSASLRFRAFCAMPAAKPKRMLSKLWPLARGVTTDRH >LPERR02G18370.1 pep chromosome:Lperr_V1.4:2:16054035:16054791:-1 gene:LPERR02G18370 transcript:LPERR02G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWWPVCIGVSLLVRRSYVAATGTGNQLGSWRMVELGVVRAHGDPDLWTTSPAPDRISQVQLPFLLLYFLWILCWNVVR >LPERR02G18380.1 pep chromosome:Lperr_V1.4:2:16060434:16063449:-1 gene:LPERR02G18380 transcript:LPERR02G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHSSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHMFIDEFIPTIDNDEGILYSHPENLPGMDFMSSDGILLFSKLLVYPCRLLNSWATGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHIVSVEHVRWHKTGKSKAIVDKGVTKGWKKIMVLYKSSQRGVKPDKANWVMHQYHLGAQEDEKDGELVVSRITYQLHGKQIDKSETENADEESDAFAARVGPKTPKTNTPQPCRLNNSPCETENYAVVLEEQDEEEVNIPIVSLKDDAENPAWCAGESQAAQEALEAQPSLDESLRCHEVLDSSYHETLLPSDRPILSQGGNELLDMNLNGLYGLADLYNVDLGTPPDFQLADLQFGSQESIGKWLDSI >LPERR02G18390.1 pep chromosome:Lperr_V1.4:2:16067514:16069672:-1 gene:LPERR02G18390 transcript:LPERR02G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYSCNKKNDGGICGGVCGGSEHGSKGFLSLSRLKCALRGFDLRAVLILLIAVPTLIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYNENVSMANQCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKKNRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDGTPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEKVDQYSYLLPGRCMRESG >LPERR02G18400.1 pep chromosome:Lperr_V1.4:2:16078133:16080468:-1 gene:LPERR02G18400 transcript:LPERR02G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGGYYNCKKTDGICEDVCDSEHGSKGVLSMSRLKCALRGFDLRALLILLIGLPILIFVIYVHGQKVTYFLRPIWEKPPKPFKVLPHYYSENVSMANQCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDGIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYIKDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICHGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEKVDQYSYLLPGRCMRESG >LPERR02G18410.1 pep chromosome:Lperr_V1.4:2:16088424:16090940:1 gene:LPERR02G18410 transcript:LPERR02G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISPPPPPAASDDDDIELLKAVAQAWHAQSGNPRAAASSDDGGGVSGGRRLEAAAAARGGEGRGWDFARSLWDTYELVAVARKLESGLVLADEHPGAAASTPGGGGGGVKRVRESSRSLRSMFLRSSSRRNKSSRKWNMCIWS >LPERR02G18410.2 pep chromosome:Lperr_V1.4:2:16088424:16091105:1 gene:LPERR02G18410 transcript:LPERR02G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISPPPPPAASDDDDIELLKAVAQAWHAQSGNPRAAASSDDGGGVSGGRRLEAAAAARGGEGRGWDFARSLWDTYELVAVARKLESGLVLADEHPGAAASTPGGGGGGVKRVRESSRSLRSMFLRSSSRRNT >LPERR02G18410.3 pep chromosome:Lperr_V1.4:2:16088424:16088847:1 gene:LPERR02G18410 transcript:LPERR02G18410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISPPPPPAASDDDDIELLKAVAQAWHAQSGNPRAAASSDDGGGVSGGRRLEAAAAARGGEGRGWDFARSLWDTYELVAVARKLESGLVLADEHPGAAASTPGGGGGGVKRVRESSRSLRSMFLRSSSRRFDESSS >LPERR02G18420.1 pep chromosome:Lperr_V1.4:2:16089210:16090299:-1 gene:LPERR02G18420 transcript:LPERR02G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGKLQAKVQSADMRAQGSVWPSAAQAPSGQFGMPQAQVAPANTAPFASAHNNLSAGYEQPAQAVPCFPTASYYQGAQAVPHCTAPYADANPMAYQQAHGNAGNPTAGYDQNTIYNNPAYCAGYDQKAIYNHSANPASYEQLNTMASPVILPTLAGYVQNVFSNYPGYQAGHGENALLSNCVLYAAHHHPYNPVPVNGGTATAEVSMNSTAGQIQANSGAATVVVAQAAHCPSDGAFYSNPNSGAQM >LPERR02G18430.1 pep chromosome:Lperr_V1.4:2:16094768:16097502:-1 gene:LPERR02G18430 transcript:LPERR02G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEDEYEICNDDGFVYKRRRGVAPPDREDDSAAAPSSTPAPPSEAVLRRRRRQALLRLRAKYLDELAQWEALSADIHAPLPDPPAPATRPTPDPSADAAAASSSDSAVIDELLVQAELMEDTFKRLLLACKEINEFCAEHEAALVDAVAELPVWGDPRELMNSLCSSGERPATGTSDPRELMNSLCSSGERPATDIKLISELDDIISHFALDLQQSVIS >LPERR02G18440.1 pep chromosome:Lperr_V1.4:2:16100249:16100866:-1 gene:LPERR02G18440 transcript:LPERR02G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREEEEAAAAAAADNDSMDLDGDAPRPSKLRAMPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEARTRRASALAASRKPTPLEQRVKDKSLKRAYQARVAELKEEIRQNKVAKRKQREEREKRKKENVLRTGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSEASRRMQVPGLEN >LPERR02G18450.1 pep chromosome:Lperr_V1.4:2:16101765:16104760:-1 gene:LPERR02G18450 transcript:LPERR02G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTPLLRRLLSPSPSPSPSPSNPLAAAAVSRRTVTYMPRPGDGAPRAITLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVRGDMPTVPAEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNDKVVAQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIAHLD >LPERR02G18460.1 pep chromosome:Lperr_V1.4:2:16106683:16108104:1 gene:LPERR02G18460 transcript:LPERR02G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASASASTSLVFSTSSSKPRQLPLSTGSLAFSSSSAPTRFRRTAAAATRSSGRRPGLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRDLLSSYEYEGDDVPIVAGSALKALENLMANPGIKRGEDEWVDGIFSLIDSVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDLVGIRETRNTTVTGVEMFQKTMDDAMAGDNVGLLLRGMTKEDIERGMVLAKPGSITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINNIIQ >LPERR02G18470.1 pep chromosome:Lperr_V1.4:2:16110674:16114490:1 gene:LPERR02G18470 transcript:LPERR02G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGLGGAGAWALDAERAEEEERENAVAAPPPPAPEPVAGFPSLREAASAAAGGGGKSKKKKGTTLSLSEFTTGAASGRRPSAAVEPKGLTPQEMMILPTGPRERSPEELDRSRLGGGFRSYGGDRRGGGFDDDGGGRRGPGRDADLDMPSRADESGNWSMNKKASFSPSTAADSGARSRYGSLGGGASGSSFGRADDDGDWSRGKKPVAMPMPSRYPSLGSGGGGFRDRDSPASTDSDRWSRAAPPQNGGERERPRLVLDKLKRDASSATPTPPPPADAAARSRPSPFGAARPREDVLAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSRPGSPGSQTSAVGSEGVPKARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEQRRIDRPETNEEKLLKEEINLLRVDLKETEANISDEDKNGLSEKVSKMERELERLTVELDNKVRFGQRPGSGSGKVTAQLPTNSGDESQFTESMEQPRFRNSIDQTPKPAEERWGFQGNRDRGSFGGNRNSDRSLTGQRW >LPERR02G18480.1 pep chromosome:Lperr_V1.4:2:16115197:16116663:-1 gene:LPERR02G18480 transcript:LPERR02G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGVVALSLVVVLLGAGLPRPAAAKTQVFLSKLPKSLVVSVSPKHGDVLHAGDDKLTVTWSPNATAGSDAAFKSVKVKLCYAPASRTDRGWRKANDDLHKDKACQFKVTVQPYAAGAGGNGTFDYVVARDIPTASYFVRAYAVDESGTEVAYGQSSPDAAFDVAGITGIHTSLKVAAGVFSTFSIAALAFFFVVEKRKKDK >LPERR02G18490.1 pep chromosome:Lperr_V1.4:2:16119691:16122264:-1 gene:LPERR02G18490 transcript:LPERR02G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLGLTRRTAGAVSLSARSPLLCSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDFGGLGKKVTNFVMGGWWSGSSTMSANKNFVQQVEEKFSKDTDLILVCQKGLRSLAACEQLYGAGFQNLFWVQGGLEAAEDEDFEREGSQPFKLAGIGGVSEFFGWTDQQRAQASKEGLGYRLVFTGRLVGALVLLDALFLGAQNIGPLLQGLQSH >LPERR02G18500.1 pep chromosome:Lperr_V1.4:2:16124727:16125254:-1 gene:LPERR02G18500 transcript:LPERR02G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSRAAAESSVRFEKRPRPADHDPAADSHQEWRDWTNLAPDLIGEIANHLLSHDVSDYHRFRAACKPWRDLTSDPRAHGHILDRRFRPRNWIVLAITPDSTVATTTRRRLLNLATGSSIRVDLPPLSTHCHMCSTDGLLVLYHRSTNAIRLLDPLTGVLTESPIFTKHNKIGC >LPERR02G18510.1 pep chromosome:Lperr_V1.4:2:16127049:16134424:-1 gene:LPERR02G18510 transcript:LPERR02G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFRGLNFDLPSSLNRSSSKKAIGEPGTSSAQQPKAHHSSNVSASHGGGRRRRISSSRSGDSAGAWRRRRSTGGQEASRRRSKGDPSGSYLRRGVLRASHPLKSRSSNLAVVDESASPRRASPVDGRHLRTPRALDPRPSWTLGDVLAELDTLEATRRTAQPTPLKQPPEWASGASVREKAFVMRVEEEDDTDEDDDSSDGESRAIVANGARFSCNDLESSDVEESEDELDGTIVPYSLMEKRSLEKSILLELEREHHLKVQEEVRNKLSTLEVCHQNEIQQTISAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKLRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEKDSAASKSSQNSQNNVAGTTMEKKSEIKSELPGIKVFADNSALEAESRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFHRVCIYTVPKHLHALNAQARNRDYYRLIGYQEENGQLESTESYLTYVAAYIKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNALPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVVSRCFLPALKEQGSRIQAEAANNLQNYLTDKVYLEEPEGQYLAQQLLSKELFTV >LPERR02G18510.2 pep chromosome:Lperr_V1.4:2:16127379:16134424:-1 gene:LPERR02G18510 transcript:LPERR02G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFRGLNFDLPSSLNRSSSKKAIGEPGTSSAQQPKAHHSSNVSASHGGGRRRRISSSRSGDSAGAWRRRRSTGGQEASRRRSKGDPSGSYLRRGVLRASHPLKSRSSNLAVVDESASPRRASPVDGRHLRTPRALDPRPSWTLGDVLAELDTLEATRRTAQPTPLKQPPEWASGASVREKAFVMRVEEEDDTDEDDDSSDGESRAIVANGARFSCNDLESSDVEESEDELDGTIVPYSLMEKRSLEKSILLELEREHHLKVQEEVRNKLSTLEVCHQNEIQQTISAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKLRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEKDSAASKSSQNSQNNVAGTTMEKKSEIKSELPGIKVFADNSALEAESRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFHRVCIYTVPKHLHALNAQARNRDYYRLIGYQEENGQLESTESYLTYVAAYIKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNALPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVVSRCFLPALKEQGSRIQAEAANNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >LPERR02G18520.1 pep chromosome:Lperr_V1.4:2:16136852:16139398:1 gene:LPERR02G18520 transcript:LPERR02G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSHLLRRVALCAVLAFASQCCHRGKSTVSAVTLSTSSRWIVDEAGRRVKLACVNWPSHLEPVVTEGLGNQPVDAISKKVSALGFNCVRLTYPIVLASNASLSSLTVRRSLLAHGLAGTVAGVEANNPGLLDLTLIESFRAVVENLGENGVMVILDNHVSQPGWCCADDDGNGFFGDRHFDADVWVQGLGNMATMFAGVQNVVGMSLRNELRGPRQNPDDWYTYMQRGAEAVHAANPEALVIMGGLSYDTDLSFLAARAVDVSFANAAAGKLVFELHWYSFADARAWEAENANEVCGHAARGLARRGGFLLDAGFPLFLSEFGADTRGGVSRGGDRYIPCVAAVAAELDLDWALWALQGSYALRQGVAGAEEVYGVLDSSWSNVRNATALARIQSLQRPLRGPGYDDARAYTVLFHPITGRCVVRRAAALELGRCEDTEAWEYAQTASTLALRGATPLCLRAEGSGRPARLDTGGCRDDGDALSTWRLVSDSTMHVAVNATSSQAQGQDVGGGGGLLCLDVGDDGRTVVTNPCRCLHGDGECDPETQWFKLVTSTRATAAAAAAAVARGLIAT >LPERR02G18530.1 pep chromosome:Lperr_V1.4:2:16143536:16143745:1 gene:LPERR02G18530 transcript:LPERR02G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHGGVGRWEYTQPASTLALRGATPLCLRADGSGRPARVDTYRRLPRRRRRPVDVASRVGLHGDALST >LPERR02G18540.1 pep chromosome:Lperr_V1.4:2:16153075:16154646:-1 gene:LPERR02G18540 transcript:LPERR02G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFVAAVVGAVRENVRATDMAVAGAVLFLLSAAASRVAASRRRAPTLWPLVGILPSLVANIRDVYDWGSASVLRAGGTFPYRGTLGGGTSGFITSVPANVEHVLRGNFGNYPKGPYFRERFVELLGEGIFNADGETWREQRRAATVEMHSSRFLEFSCESIGRLVYGRLVPLVERMCGGGEVVDLQEVLLRFTFDNICAVAFGADAGCLAEGLPDVPFARAFELATELSLLRFVTPPLIWKAKRLLNAGSERRLVEATLAVREFASRTVAERRNEMRKVGSLHGRCDLLSRLMSSPGGEEYSDEFLRDFCISFILAGRDTSSVALAWFFWLLATHPDIESRVVDDVIAAGGDIKKMEYLHAALTEAMRLYPPVPVDFKEAVADDVLPDGNVVRARQRVIYYTYAMGRDPASWGDDCLEFRPERWMRGGAFAGGESPFKYVVFNAGPRLCIGKRFAYTQMKTVAAAVLSRFAVDVVPGQVVKPKLNTTLYMKNGLMVRFRRREQQQKTSEGDVVGRCNSNHL >LPERR02G18550.1 pep chromosome:Lperr_V1.4:2:16156790:16160780:-1 gene:LPERR02G18550 transcript:LPERR02G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKKDRALITLKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQSEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTELRPEEKSKTPADTEAAEDIDEVIELPDVPTKAPERVEAPEKTKVLEEPLPA >LPERR02G18560.1 pep chromosome:Lperr_V1.4:2:16166944:16168622:1 gene:LPERR02G18560 transcript:LPERR02G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTAFNAIPPSPADVRVITSDGSIIRAHSSVLASASPVLERMIERAPRGRSGGGRAVRITGASTDAVVVFIRLLHAPAVRTAAAEWEEAALAEHGAALMALAHAYRVSSPLKRRAEEAVAARVTADGAVDAMKLAALCDAPRLYLRCARLAGEDLAAVEASSGWRFASRHDAALRDDLVGLLRDADQRKERWERERRSQNVYLNLSDAMAALEHVFFAADERCDGGPHRRGVLQLRRHVAGCGRKVAGGCPHCRRFFQLLRLHSSVCDDDDDSCGVPLCSNFKAAMEEDKVDKTWKLLVKKVTRARVMSAWASRQVPAPQIVQKSWANYNSSSRSRAARFR >LPERR02G18570.1 pep chromosome:Lperr_V1.4:2:16177172:16177530:-1 gene:LPERR02G18570 transcript:LPERR02G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAVANGFFHDSDRRRQHAKEWEEERKKMRWFMVRDYAHARRHTPRNN >LPERR02G18580.1 pep chromosome:Lperr_V1.4:2:16178726:16184783:1 gene:LPERR02G18580 transcript:LPERR02G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGANPPRATAAKGNWMAQHSAGVMADLDFFIGEDALSRSRASSTYSLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYVKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >LPERR02G18590.1 pep chromosome:Lperr_V1.4:2:16183900:16186036:-1 gene:LPERR02G18590 transcript:LPERR02G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSSVMQFHGIVDEPSSHSSPMHAALERSQRNCFGHESPGEFPLAATCTFFSKPANFAPNYALSLPEVASFDMCLKRPMFKLMTQQEKEHLKQRCGGSWKLVFKYIVVRERNYSRVVAGPGHSVVVTTKGEVYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTILVSDTGRVYAFGKSSFAGAEFSNATDDHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTLSWGRTERLGHSSDPSDVEPRLLSGPLENVLVAQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFSTLSFNPVTVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYKVLPMVVEGLSNVKAVHVSTGEYTTFVISDNGDVYSFGSAESLNAGFQDDEDAADDADFSTPNLVESLKALNEKAAQISPTNSSYWLNSELGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQDRLRNPERVPIDIC >LPERR02G18600.1 pep chromosome:Lperr_V1.4:2:16191030:16193150:1 gene:LPERR02G18600 transcript:LPERR02G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACLGHGNAAAAGGGGGGAGEIEWEVRPGGMLVQKREGRGGEEVIMVRVSTGFSWHDVSIGATSTFGELKVRLSIVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALTAARVLQSPCHPFIQV >LPERR02G18610.1 pep chromosome:Lperr_V1.4:2:16199185:16206524:1 gene:LPERR02G18610 transcript:LPERR02G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGIWDSVWTFIKFLPFFVGLLFLGIIKGALLFPWAWIIMMIGISALAIGLWPMHVLWTYYCIIRSKLVGPVVKLLLLVVASAILVVWLIVGIIGSVLAGLVYGFLAPVMATFDAVGEAKERPLYHCFVDGTWSTITGSCTVVRDLKDLLFHSYFSIMDDLRFHPPPDGKPYEIRVLDIPGAIFAAACGLLVDGIMFALIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASIISSVPLGAYAAVVVYQESSLIMGLYYVISSVSIFDEYTNDVLDMAAGSCFPRFKYRKNDAPTESGPLSRPASFKDKRDGKKAPSRVTSFKGSFEEFNPFKLLDHLFVECKHRGEVLVAEGVITPKDIEETKSGKVRTGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEMTSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEESYLKKRVLLTSDPKRLKEVLPHLPSSMNERKQAEVDALSRRLQGITRSISRYPTAKRRFDDLVKSLSEELERIMGGSQSGSVSQMQKLRSGIVRMLSQKSMVKRTSSRADDQEAQLTIDP >LPERR02G18610.2 pep chromosome:Lperr_V1.4:2:16199185:16206882:1 gene:LPERR02G18610 transcript:LPERR02G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGIWDSVWTFIKFLPFFVGLLFLGIIKGALLFPWAWIIMMIGISALAIGLWPMHVLWTYYCIIRSKLVGPVVKLLLLVVASAILVVWLIVGIIGSVLAGLVYGFLAPVMATFDAVGEAKERPLYHCFVDGTWSTITGSCTVVRDLKDLLFHSYFSIMDDLRFHPPPDGKPYEIRVLDIPGAIFAAACGLLVDGIMFALIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASIISSVPLGAYAAVVVYQESSLIMGLYYVISSVSIFDEYTNDVLDMAAGSCFPRFKYRKNDAPTESGPLSRPASFKDKRDGKKAPSRVTSFKGSFEEFNPFKLLDHLFVECKHRGEVLVAEGVITPKDIEETKSGKVRTGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEMTSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEESYLKKRVLLTSDPKRLKEVLPHLPSSMNERKQAEVDALSRRLQGITRSISRYPTAKRRFDDLVKSLSEELERIMGGSQSGSVSQMQKLRSGIVRMLSQKSMVKRTSSRADDQEAQLTIDP >LPERR02G18620.1 pep chromosome:Lperr_V1.4:2:16204262:16206660:-1 gene:LPERR02G18620 transcript:LPERR02G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHAEFFRSQPAWALALAAAGLLAAASAALRLALWLYASFLRPGKPLRRRYGAWAVVTGATDGIGRAMAFRLAASGLGLVLVGRSPDKLAAVSEEIRAKHPKVEVRTFVLDFAADGLAAGVEALKEAIRGLEVGVLVNNAGVSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAMLPGMVERKRGAVVNIGSGSSSVMPSDPLYSVYAATKAYIDQFSRCLYVEYKSKGIDVQCQFPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >LPERR02G18630.1 pep chromosome:Lperr_V1.4:2:16208356:16214714:-1 gene:LPERR02G18630 transcript:LPERR02G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFQGRGCAERLRSRDKIDEDESCSDDMRIYAGEEKKKKCSYDMKKNVRKDAGKEMSNCGKKKNRTAGSDDAEQRKKKKILNGDNKPKSRKVCTPFFEKARKKMLSTISQNGPMKKKSNDISDKKKTVPLSVNKNKVRKGEMSNRTSPNTPVAKERKIQHSDSMEMKKKKRDASFVHPGKRTSQIFNTNNKEMKGEMSNRTSPNTPVVKERKIQHSDSMEMKKKKRDASFVHSGKRTSQIFNTNNKEMKRKVPSTPLRREQKMQEGRSNDKKEAKKARIIAEGNEKKTCSDQKKKKRKAPFAFFKFMCNKFKELLFIPPAVTPSFEDLNNHHVYLEDSEGKSSKIRLSVVDGSLAFYEGWNNFVLEHFINWGDFLLFEYTEESTFSVRVFGPDSCERLHFNGESIRKGTMKKRKERHTLSDDLVSSYSGQDSEGIYDDSHVSGESSRRKEPKITVDVEIVPSNVAAKSINTASETKDPERVESGVGNESLMALGNKDKNLGDGECKTRSDSVLCIQEETRRSEVIIITDEASSTQENEDTMKQRTSNEASETHHMPINTQKEAERVGDDVWHESSVALNNKMGNMILGKCKNNDVSPICSTGRTNGSEIIPTTDSIPLAQEDNDREKLSTFSCLKEGKSTTREPELAATTPTKCTEIHDLDEDSRQKHQRNSVQVKSTIDIDNYPNNGEMNSSGNDSRLYEAPGGSRCLQKWKEDIVSGQAAHDEIGQVRPEKPQNAGEKFVDNCGPMGQTPVDPRLESDVTDTCLKSVLTIPIEQLPSPDSVSVSKYGDGSADGNHFFNRKGATAQLQATKEPLKPTCSGGSRQGDKILVSASRAHQSELQIPQQENAKFSCCVTPVALVPANDELQDLDDHSLQLCISSTIQKWIELPKSVPKAPLNKGLHDRSVIILKDPMKRLWPVIYYEKPIFVGFTAGWKPFVAANNLQVGDVCKLVKELDEDEPAYQASRKIVVFCCSNNNNVLGCCGWPPGCLAAVLGGLHF >LPERR02G18630.2 pep chromosome:Lperr_V1.4:2:16208356:16212631:-1 gene:LPERR02G18630 transcript:LPERR02G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKERHTLSDDLVSSYSGQDSEGIYDDSHVSGESSRRKEPKITVDVEIVPSNVAAKSINTASETKDPERVESGVGNESLMALGNKDKNLGDGECKTRSDSVLCIQEETRRSEVIIITDEASSTQENEDTMKQRTSNEASETHHMPINTQKEAERVGDDVWHESSVALNNKMGNMILGKCKNNDVSPICSTGRTNGSEIIPTTDSIPLAQEDNDREKLSTFSCLKEGKSTTREPELAATTPTKCTEIHDLDEDSRQKHQRNSVQVKSTIDIDNYPNNGEMNSSGNDSRLYEAPGGSRCLQKWKEDIVSGQAAHDEIGQVRPEKPQNAGEKFVDNCGPMGQTPVDPRLESDVTDTCLKSVLTIPIEQLPSPDSVSVSKYGDGSADGNHFFNRKGATAQLQATKEPLKPTCSGGSRQGDKILVSASRAHQSELQIPQQENAKFSCCVTPVALVPANDELQDLDDHSLQLCISSTIQKWIELPKSVPKAPLNKGLHDRSVIILKDPMKRLWPVIYYEKPIFVGFTAGWKPFVAANNLQVGDVCKLVKELDEDEPAYQASRKIVVFCCSNNNNVLGCCGWPPGCLAAVLGGLHF >LPERR02G18630.3 pep chromosome:Lperr_V1.4:2:16212672:16214714:-1 gene:LPERR02G18630 transcript:LPERR02G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFQGRGCAERLRSRDKIDEDESCSDDMRIYAGEEKKKKCSYDMKKNVRKDAGKEMSNCGKKKNRTAGSDDAEQRKKKKILNGDNKPKSRKVCTPFFEKARKKMLSTISQNGPMKKKSNDISDKKKTVPLSVNKNKVRKGEMSNRTSPNTPVAKERKIQHSDSMEMKKKKRDASFVHPGKRTSQIFNTNNKEMKGEMSNRTSPNTPVVKERKIQHSDSMEMKKKKRDASFVHSGKRTSQIFNTNNKEMKRKVPSTPLRREQKMQEGRSNDKKEAKKARIIAEGNEKKTCSDQKKKKRKAPFAFFKFMCNKFKELLFIPPAVTPSFEDLNNHHVYLEDSEGKSSKIRRIDIFCAGVWSGFL >LPERR02G18640.1 pep chromosome:Lperr_V1.4:2:16214744:16216062:-1 gene:LPERR02G18640 transcript:LPERR02G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSDPHGGVVLLRRGCCKSCKEDTLKSGMVGNDYGGAQIDGVPTAGAYAQDKERIKWMIEEFGG >LPERR02G18650.1 pep chromosome:Lperr_V1.4:2:16218450:16226005:1 gene:LPERR02G18650 transcript:LPERR02G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSARRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDTTSGETFEDAPDDLATGGSRSARSLDESIAVIDFPDESSIAAECRKYKEEREVFAREAVALRRMLRELVGEEASGSMLPAEDADERLLASPTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGKSKEVEVSREVMDAYPGSSKEESELAIRRIVASVDALVGQYDVSCEGSDEDGISLVERKTSLLAERHRQILLGIQQLEQVLAEVKPDFVAMGQCDHANILGIVSEELVSSKRNEADFMQKMNTFWEENKNLAEELQTVKVALDAANAEARKAKADFEQMEHKLATTKERLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMAELQQKSDAMQAAESRVEELKVLLDEKSNEHERCLDELRETYSAWEAAKAAVERLTEQNTMLTSVQASLSEKDGILGRIEEVMSEASFPEDLLSLEMIDRLEWLVEQKKIADMILSEHWKVKDILGSADLPHAVLTGELDSQIHWLLNSLYQIKEDAARMQDESSGMLHRLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYNATSDKFSVLSSQYSELVKAFAKVSDVQLEGNEIMDGAKLVEQCLISIQGRSKSSPVEYESFEKLQTQVYTLDQELTLCKIILEEDKVDRSEVMRLSGELQSVVQETCVLKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKLALDEKNVEFDNLKQTLDRNNSVLEKLKQSWGELNSESENIKQALVVKNSEVDKLKHALDENNSEIENLKQTLNEKNSETDKLKQDIDATSMEMENLKYEISSRESAIMDLREQVEQLSSQVTHSEKLQLNIMALNDEKAKVESMLTEARVSWDSLVESISSISLPFDNPCEEPINKIGQIIEYIKQSQAAKSSVENELYKANEQVNLQASRLADALSTLKVAEDELSRLKEYMSSSSEEKEQIQSHTAAIEEELEKTNEELAMTANKLEDANVTINSLEDALSQARANLSVLDAEKKEAEAQYETETSALNAKLAKCLEELDKSHGNLQSNSTEHHGYLEKLSVLVKVDSLLSLMAEEFGKKVSSLREMGLLVRSMHEQLAAKGFQIDPITEDSESGMLFPLPDYDNFVTERMASGKIRKANVDGVLSFSTIVEQLSNQSEHLSEFFKDLSGYMNDNITLVHQSLQRASSYVAHTLEEHDILRNELQNKDNHSRAQEAELLSLQKELRAMTSNCIYCSQQIQSISDDLLELGYAIELATGNSSTISKIEGSLSVLKDVEAGDYTKVSDALLSTVNRLKLDSESLSNMKGDVFTLLDELKMRLKQTELAAEISSQEQELYVKRASVLEKELETVKGECKRMEIKIQEYQERENMLKAKELELLSLEQTQSTTDTGVTDVISKDQLEVLVERINKLNISSAESHLQRELTMSSSPVDKLFSLIDEVDALRHELDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNCRELESKSNELLEVTVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNTESGNAKSVIQELGGKLQAREKAIDELSTKVKMFDDLHHVRLVQPESNVDRAFEASSSAIGSEISDAEDLGQAGKASISSVPTAAHSRTMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGIIPAQGKHIADRVDGIWVSGSQILMNRPRARLGLMVYLLLLHLWLIGSIL >LPERR02G18660.1 pep chromosome:Lperr_V1.4:2:16226489:16238216:1 gene:LPERR02G18660 transcript:LPERR02G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDICKQEWGLPGDFKGRVSVSRSIGDFAFKKNKELEADDQMLVCGPDICTLGITEDMEFLVIASEGLWICKESAEVVAYVHLRIAAGASPRVICEELAVTGLPSGENTTVILVMLKPGAFEYQPEDPEFFQQYGQYRLVDPVAIAGSNIASSSVAAGGGLTDTETVTTGYEGDYSSDEVFDDLNAEYSGVSAGGTGTDDMSSTGVDNAIAAALADAEDIIARLKAVGDAVSDSGDDEVDDPNAGAKSDSNAGEEVYASPPDDSNTGGEEVVPSASASASASAVADNATTVDEIDPAAIAEVEESNTGDDMVDDPITIANDSATAESSTIRDEDEIKVDESNAAAEADDINAGVDDVKVEVELTADAAVDDTNTGDKADDPTTIASTSASATADSTTTRDEEDVGEVEIDAGNAAVAADQDTAPEDDVLVENDSSEDGVPYSQNQQTSPFPSTSSEKRTRGDLTCEEMDAGGEEAKQERHLVLANKLFLLSHPDVDDLAKVALRSDVLDAVKSDGMATLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAANLFLDSISTFTTYDLFPYDTFVFYTVLTSIISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYDCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAYYQATIKQGDFLLNRIQKLSRVIDL >LPERR02G18670.1 pep chromosome:Lperr_V1.4:2:16238420:16240107:-1 gene:LPERR02G18670 transcript:LPERR02G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSSLRPSPVRLRQLTPGEASGGGFLLVRAAPRRLQAAVRPARRAAMVVEARGWSDRRSQQQRMPSLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDDIIETAKQQYRVLKTENEFRYGYKVVENANLRSALTTSNVIELPKKEELKTVVDKVKDFFGNVTSGAKESFGQITGSVSSAAEAPVEEEKPWVKQRNERKRKQKLKQQQKQNQGIKTES >LPERR02G18680.1 pep chromosome:Lperr_V1.4:2:16240119:16240499:-1 gene:LPERR02G18680 transcript:LPERR02G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVASTYEATQLPLCPLSTSLGDDIGHKNPTPYRSAVPSHATYATSTNYRSRIATNPLTDMWAGNPHPGPHVSNRSPSHRHKISSSPFRFIFPRLLPLHCFFSQALFDSHVLTSTTQQLETGGGD >LPERR02G18690.1 pep chromosome:Lperr_V1.4:2:16243055:16249468:1 gene:LPERR02G18690 transcript:LPERR02G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARFVITSRFTCSGTEQERGWWVRQAGGYAGQSGRERRRDDESRRGEGDSVEEEGKRVRTEYRSPINRTQNHHSYHCQFAAVSLFRLAKSNLATTGLSPKEETRQFFMSGGSGDSSPASGRASLSCLSDLKDIELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSDISDDGLRERIRGYLKGASDEVISEFLQLIKYVSGSYNSSEGFELLNKTIAEHENSKSNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSNDGWTRVIVEKPFGKDLDSAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPINHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVHNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDAGKLKALPYKPGTRGPSEADELSKRMGYVQTHGYVWIPPTLSKF >LPERR02G18690.2 pep chromosome:Lperr_V1.4:2:16243055:16249468:1 gene:LPERR02G18690 transcript:LPERR02G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARFVITSRFTCSGTEQERGWWVRQAGGYAGQSGRERRRDDESRRGEGDSVEEEGKRVRTEYRSPINRTQNHHSYHCQFAAVSLFRLAKSNLATTGLSPKEETRQFFMSGGSGDSSPASGRASLSCLSDLKDIELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSDISDDGLRERIRGYLKGASDEVISEFLQLSMKIQKATNQEARVDYFIWHCLHQSTPSNDGWTRVIVEKPFGKDLDSAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPINHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVHNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDAGKLKALPYKPGTRGPSEADELSKRMGYVQTHGYVWIPPTLSKF >LPERR02G18710.1 pep chromosome:Lperr_V1.4:2:16273140:16273412:1 gene:LPERR02G18710 transcript:LPERR02G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNTGSGSNGDRAPPPPQQLAVARRRRDDQPPPMRLSALYLMMFGATVIIGATGGGAPPTTLPRLLAALVAWLVGCLSLLVSLPQAQ >LPERR02G18720.1 pep chromosome:Lperr_V1.4:2:16286370:16286639:1 gene:LPERR02G18720 transcript:LPERR02G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDNGGSRSSKEAEGELAPAPTPLAAAVGRAVRHGPMLRLATLQLMLFAAHEIVVGAARPPVPLPRLLAAFVAWLVGFLSLFVALMA >LPERR02G18730.1 pep chromosome:Lperr_V1.4:2:16287602:16291516:-1 gene:LPERR02G18730 transcript:LPERR02G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPGDGEGIGGAAVGGITLTLVCSASDRTRGTHPTAKAARAGPGARVAGGVFLGRWIRRSSHVGQSNGGDAPRRKIPRLACRPCTPTLLLRNKLAGAAAELRRGEDYLIIISFSSLLPPPPLLCFPLLQDNQTPAMGASPSHPSSTYAVTSKLTNEGENHRIKYASSTMQGLRPDMQDALAVELDLDATTSFFGVYDGHGGQGNLSRVVTLPL >LPERR02G18730.2 pep chromosome:Lperr_V1.4:2:16287602:16291268:-1 gene:LPERR02G18730 transcript:LPERR02G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHTHAFASQQTRRSSSGIAERKETPAMGASPSHPSSTYAVTSKLTNEGENHRIKYASSTMQGLRPDMQDALAVELDLDATTSFFGVYDGHGGQGNLSRVVTLPL >LPERR02G18740.1 pep chromosome:Lperr_V1.4:2:16293325:16294350:-1 gene:LPERR02G18740 transcript:LPERR02G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMMQPYVANLLAEASMFGATMAEQMDADSYLRAVGVLPPLAPDSPRTPDSYGCGGGFYGDLPATYEFHAAVQEPVAPVPPKEKRPQLCAPYDNDIEATLRVMEENTKERPATNFLEDTQGGRMTPEVRARMVDFMDRFSRCYDLASGTVHRGVYYLDRYLSVTPESDDEMQLRLVAATCVFLAAKYEEQSTLRKINASEVAACCGYTSDTRNKMVVCMENEILTALNYNVAGPTAYTFVEHFTRYYGQSQEDQLVKHAAHMVADGSLVYYGFHCYLPSVVAASSIFLARMHVLGQKWSKDLAELTGYKAIELKDCVCEMYNLMPNPSFPLFEEDFLEDQ >LPERR02G18750.1 pep chromosome:Lperr_V1.4:2:16296803:16300017:-1 gene:LPERR02G18750 transcript:LPERR02G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVRGPGSRWRRYTCWAGRSEFLYDAFHAEVMACLAAIQAARDEGLNKILPETDSTMLKQAQETDGFKLVVTGGLLHEIKVIALTAFASFSVVYCPHECNKVAHAVAAVGTPVGLEDLVASDLAEPLRALSSFLSQPTRSNKNSRVESGDSETETKREAEMENGGGKGDVPADANEHCPGTQSDDAGKAEACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATEIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQALSDFKFMKPGDTGETDATEWALNYIKERAPELLTMVACSEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQKCSASAPALKSIVKKLIKTE >LPERR02G18760.1 pep chromosome:Lperr_V1.4:2:16302857:16307595:1 gene:LPERR02G18760 transcript:LPERR02G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVQVKDSKTLLFGAKEVAVFGCRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEKEYKPDINIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGNLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >LPERR02G18760.2 pep chromosome:Lperr_V1.4:2:16302857:16307595:1 gene:LPERR02G18760 transcript:LPERR02G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVQVKDSKTLLFGAKEVAVFGCRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEKEYKPDINIVSNASCTTNCLAPLAKKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGNLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >LPERR02G18770.1 pep chromosome:Lperr_V1.4:2:16306793:16308894:-1 gene:LPERR02G18770 transcript:LPERR02G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISCWLICVLLGFLLMIRIMGGKRGEDGAARMPPGPWRLPQPPPANAPWPARPPRARRRPPHAPQLGGVPAVVASSPDAAREVTCTHDASFASRPWPPTVRRLRPNREGVVFAPYGTMWRQLRKVCVVQMLSSRRVREEEAARLVAALASAASPSASVNVSAMVAAAVAVGNSSTLKGLTGFSLDDMFPTSRLASAVGGMTRRAEANFRKGNELMDAAVRQHQQVRHAMAAQANGDMEEDLLDTLLRIQKEGALDLPLTMDNIKAVIQDIFGAGSDTSSNIIQWALSELMRNPEVMQKAQAELRNTLQGKQTVNEDDLTDLKYLKLVIKETLRLHPVVPLLLPREDPKHWDEPEVFKPERFEDGKIDFKGANFEYIPFGAGRRSCPSMTFGHATVELMLASLLYHFNWKLPDGIAPNELDMTEDMGINVGRKNPLCLCPFVRVPLLSATVIMMTK >LPERR02G18780.1 pep chromosome:Lperr_V1.4:2:16310915:16312536:1 gene:LPERR02G18780 transcript:LPERR02G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSVGCRRGAARALRPLPVCRVLLSSCAQSNRDVSNVNLTAEEEAAARLIKNSLLKARKWSVQDLIQCLGADCSGIRLSSNIVDTLLFKFGDDWKSALGFFQWAQSRGDYRHTSHACNRMIDLLGKMRQIDRMWELLSDMHCRGLVTVETVAKSIRRLAGARRWKDAVLLFDKLEDMGLEKNTETMNVLLDALCKERKVEVAREVFLVLSPHIPPDKYTFNIFVHGWCSVRRIDEAMWTIEEMKSRGIPPSVITYTTVLEAYCKQRNFRRVYEVLDSMGSQGCNPNVITYTMIMTSLAKCEMFEEALNVSHRMKSSGCKPDTLFYNSLINLLGKSGHLFEASQVFRVEMPRNGVPCNLATYNTMISIFCYYGRDDDAVSVLKEMETQSCKPDIQSYRPLIRLFLSRRGQNDTVRHLLNELTSKHNLGLDLDTYTLLIHGLCRVGDTEWAYQLFDEMVSSEIAPRSKTCELLLNEAQRTNMETYVERIRNYMAYFGISV >LPERR02G18790.1 pep chromosome:Lperr_V1.4:2:16315557:16320011:1 gene:LPERR02G18790 transcript:LPERR02G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRRCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFAGSAAANRAAVARSLLAECECGRSGSGGGGGGAENTLLRAGYGGWLLYTAASAGDAAFVRELVVERDPLLVFGEGEYGVTDMFYAAARGGSGEVFRLLLDHAMSPRCSTNCQSADGGGGARSKVFRLEMMSRAVHAAARGGSVEMLRELIERRSDVSEYLDFRGSTVLHAAAGRGQLEVVKYLMAHFDIMNSTDNQGNTALHVAAYRGHLPVVEELIAASPSTLSAVNRSGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRERTADIQKIINLRNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSLTSDKLIKQIVSAGGVLNSSVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGVGTAESRRPSSCSSSGKCDHAHNGDAKCANGENHGSSEKRLSSASRAKDRLKLILKWPRQKMSRTPKKPEDGMDSIKKLSEQAAVETPAPLRQTFTKTTALNNKRTLAVKTTTTPSSATKKKLNSKLIHGIMEAMPHLTSSSPAPPPPSSSGKLKGVCLELDDEGSMTTPTRTAPVFGRLKDIVLDNDDDDDDDDGGAMVDEPSSSGSSMNDDGGGSAEMPARRHHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >LPERR02G18800.1 pep chromosome:Lperr_V1.4:2:16321026:16324697:-1 gene:LPERR02G18800 transcript:LPERR02G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGVPSVAPRGYAETVGESEGAAGSPVRVDSEDSAAPKRKCISLNSDGFDVKREIFVPAKMSSSERRYLRKRFRAELDSVRDLLKKPEFAVPIPVNRAPALSSSAAPRSKKGQRGNHVVRGAKGRFLPTKPRPEATTVLTEDAVMKQCDAILKKLMTQKYSHIFNSPVDVVKLNIPDYFQIIKNPMDLGTIRSKLDSGAYTSPSEFAADVRLTFSNAMTYNPRGHDVHIMAVQFNKMFESRWKTIEKKLASVVKEAHVEVDRADSKRRKTPPVDCSEVSTECARATESVKPKMTHEEKESFGNCLASLSEDQELPAHIIDLLQQCIDINTDQLGDGEIEIDIHAVNDDLLFELKKHVDRYLQEKEQSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPVEEDVDICGNASPILIEKDEHNKPSKGVSPSSSSSDSGSSSSDSDSGSDSESEQEKVGSPAKLAKGSKRSDQLVEQEKSDVISPVDANRTADDAELREQDSESKPAPEGENSKPERQVSPDKLLRAALLRSRYADVIVKAKGVLSQQTFGELRVVTNRRSWKNSRKKRKQDCWLKEMQLWKLEELKLKPKLSMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSIPSTKDAEEGEIN >LPERR02G18800.2 pep chromosome:Lperr_V1.4:2:16321026:16324697:-1 gene:LPERR02G18800 transcript:LPERR02G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGVPSVAPRGYAETVGESEGAAGSPVRVDSEDSAAPKRKCISLNSDGFDVKREIFVPAKMSSSERRYLRKRFRAELDSVRDLLKKPEFAVPIPVNRAPALSSSAAPRSKKGQRGNHVVRGAKGRFLPTKPRPEATTVLTEDAVMKQCDAILKKLMTQKYSHIFNSPVDVVKLNIPDYFQIIKNPMDLGTIRSKLDSGAYTSPSEFAADVRLTFSNAMTYNPRGHDVHIMAVQFNKMFESRWKTIEKKLASVVKEAHVEVDRADSKRRKTPPVDCSEVSTECARATESVKPKMTHEEKESFGNCLASLSEDQELPAHIIDLLQQCIDINTDQLGDGEIEIDIHAVNDDLLFELKKHVDRYLQEKEQSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPVEEDVDICGNASPILIEKDEHNKPSKGVSPSSSSSDSGSSSSDSDSGSDSESEQEKVGSPAKLAKGSKRSDQLVEQEKSDVISPVDANRTADDAELREQDSESKPAPEGENSKPERQVSPDKLLRAALLRSRYADVIVKAKGVLSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEAREKTVFTGGAELSFDTKIYIMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSIPSTKDAEEGEIN >LPERR02G18800.3 pep chromosome:Lperr_V1.4:2:16321026:16324697:-1 gene:LPERR02G18800 transcript:LPERR02G18800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGVPSVAPRGYAETVGESEGAAGSPVRVDSEDSAAPKRKCISLNSDGFDVKREIFVPAKMSSSERRYLRKRFRAELDSVRDLLKKPEFAVPIPVNRAPALSSSAAPRSKKGQRGNHVVRGAKGRFLPTKPRPEATTVLTEDAVMKQCDAILKKLMTQKYSHIFNSPVDVVKLNIPDYFQIIKNPMDLGTIRSKLDSGAYTSPSEFAADVRLTFSNAMTYNPRGHDVHIMAVQFNKMFESRWKTIEKKLASVVKEAHVEVDRADSKRRKTPPVDCSEVSTECARATESVKPKMTHEEKESFGNCLASLSEDQELPAHIIDLLQQCIDINTDQLGDGEIEIDIHAVNDDLLFELKKHVDRYLQEKEQSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPVEEDVDICGNASPILIEKDEHNKPSKGVSPSSSSSDSGSSSSDSDSGSDSESEQEKVGSPAKLAKGSKRSDQLVEQEKSDVISPVDANRTADDAELREQDSESKPAPEGENSKPERQVSPDKLLRAALLRSRYADVIVKAKGVLSQQTFGELRVVTNRRSWKNSRKKKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEAREKTVFTGGAELSFDTKIYIMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSIPSTKDAEEGEIN >LPERR02G18800.4 pep chromosome:Lperr_V1.4:2:16321026:16324697:-1 gene:LPERR02G18800 transcript:LPERR02G18800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGVPSVAPRGYAETVGESEGAAGSPVRVDSEDSAAPKRKCISLNSDGFDVKREIFVPAKMSSSERRYLRKRFRAELDSVRDLLKKPEFAVPIPVNRAPALSSSAAPRSKKGQRGNHVVRGAKGRFLPTKPRPEATTVLTEDAVMKQCDAILKKLMTQKYSHIFNSPVDVVKLNIPDYFQIIKNPMDLGTIRSKLDSGAYTSPSEFAADVRLTFSNAMTYNPRGHDVHIMAVQFNKMFESRWKTIEKKLASVVKEAHVEVDRADSKRRKTPPVDCSEVSTECARATESVKPKMTHEEKESFGNCLASLSEDQELPAHIIDLLQQCIDINTDQLGDGEIEIDIHAVNDDLLFELKKHVDRYLQEKEQSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPVEEDVDICGNASPILIEKDEHNKPSKGVSPSSSSSDSGSSSSDSDSGSDSESEQEKVGSPAKLAKGSKRSDQLVEQEKSDVISPVDANRTADDAELREQDSESKPAPEGENSKPERQVSPDKLLRAALLRSRYADVIVKAKGVLSQRKQDCWLKEMQLWKLEELKLKPKLSMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSIPSTKDAEEGEIN >LPERR02G18810.1 pep chromosome:Lperr_V1.4:2:16327597:16336146:1 gene:LPERR02G18810 transcript:LPERR02G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQAKSPGAVAGAGGVRKHDAYVARSTSGGNLLACYARTKPRPSKWDDAPKWLPRRAGDDDDARRRSSSADDGLLMPPPPQPVAPRKGAGGWRSWSNADGEEGETKTVDAVQAYVPLPLRCGVVSLRDVGTEMTPGGSKEPSRANTPRVVAVAAPAAAAHSVARGTSSPPRQCGGGVAVADHGAACESDEAAGTRTAVSPATAWGDAERAKYIARYRREEMKIQAWENRERRKAEPGRQELSPSDTSPKSTLCITAPHVSPNAAYAKDVSVRGSIIALAEKFFWNFADSRRLLGFGGESLMAAGSHGGYRCYEVAREREHDLGGSRRSKEYYHHRHPRGHRDSEPRRDGGRSGGRELSNGYGHRRSPHPPSRRRSSRRAEEREPGEVSSGSGAEKSGETPLKAREVRENGVTRVSKEVATISPSKKRKHSPVIWHRNGSKMQARDPVRGIREKKKSVSPVDSIERGSSKKVTSPEPGEVLAYNSGSSSRSSDSGVVQGSADRDLEVEKGDDMNVEVEAGDDYPADHLLDSDSEGNGCRSGTPECTQSPCRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSSHHPSIVDVKEVVVGGSDKDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWILHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGASVKFRKQTHNRLRDKFRAVSFTGGPTLSEAGFDLLNRLLMYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKYIKSPDPLEEQRIKEQGNNGDRGLFG >LPERR02G18810.2 pep chromosome:Lperr_V1.4:2:16327597:16336146:1 gene:LPERR02G18810 transcript:LPERR02G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQAKSPGAVAGAGGVRKHDAYVARSTSGGNLLACYARTKPRPSKWDDAPKWLPRRAGDDDDARRRSSSADDGLLMPPPPQPVAPRKGAGGWRSWSNADGEEGETKTVDAVQAYVPLPLRCGVVSLRDVGTEMTPGGSKEPSRANTPRVVAVAAPAAAAHSVARGTSSPPRQCGGGVAVADHGAACESDEAAGTRTAVSPATAWGDAERAKYIARYRREEMKIQAWENRERRKAEPGRQELSPSDTSPKSTLCITAPHVSPNAAYAKDVSVRGSIIALAEKFFWNFADSRRLLGFGGESLMAAGSHGGYRCYEVAREREHDLGGSRRSKEYYHHRHPRGHRDSEPRRDGGRSGGRELSNGYGHRRSPHPPSRRRSSRRAEEREPGEVSSGSGAEKSGETPLKAREVRENGVTRVSKEVATISPSKKRKHSPVIWHRNGSKMQARDPVRGIREKKKSVSPVDSIERGSSKKVTSPEPGEVLAYNSGSSSRSSDSGVVQGSADRDLEVEKGDDMNVEVEAGDDYPADHLLDSDSEGNGCRSGTPECTQSPCRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSSHHPSIVDVKEVVVGGSDKDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWILHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGASVKFRKQTHNRLRDKFRAVSFTGGPTLSEAGFDLLNRLLMYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKYIKSPDPLEEQRIKEQGNNGDRGLFG >LPERR02G18810.3 pep chromosome:Lperr_V1.4:2:16327597:16333275:1 gene:LPERR02G18810 transcript:LPERR02G18810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQAKSPGAVAGAGGVRKHDAYVARSTSGGNLLACYARTKPRPSKWDDAPKWLPRRAGDDDDARRRSSSADDGLLMPPPPQPVAPRKGAGGWRSWSNADGEEGETKTVDAVQAYVPLPLRCGVVSLRDVGTEMTPGGSKEPSRANTPRVVAVAAPAAAAHSVARGTSSPPRQCGGGVAVADHGAACESDEAAGTRTAVSPATAWGDAERAKYIARYRREEMKIQAWENRERRKAEPGRQELSPSDTSPKSTLCITAPHVSPNAAYAKDVSVRGSIIALAEKFFWNFADSRRLLGFGGESLMAAGSHGGYRCYEVAREREHDLGGSRRSKEYYHHRHPRGHRDSEPRRDGGRSGGRELSNGYGHRRSPHPPSRRRSSRRAEEREPGEVSSGSGAEKSGETPLKAREVRENGVTRVSKEVATISPSKKRKHSPVIWHRNGSKMQARDPVRGIREKKKSVSPVDSIERGSSKKVTSPEPGEVLAYNSGSSSRSSDSGVVQGSADRDLEVEKGDDMNVEVEAGDDYPADHLLDSDSEGNGCRSGTPECTQSPCRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSSHHPSIVDVKEVVVGGSDKDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWILHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGASVKFRKQTHNRLRDKFRAVSFTGGPTLSEAGFDLLNRLLMYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKYIKSPDPLEEQRIKEQGNNGDRGLFG >LPERR02G18810.4 pep chromosome:Lperr_V1.4:2:16327597:16336146:1 gene:LPERR02G18810 transcript:LPERR02G18810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQAKSPGAVAGAGGVRKHDAYVARSTSGGNLLACYARTKPRPSKWDDAPKWLPRRAGDDDDARRRSSSADDGLLMPPPPQPVAPRKGAGGWRSWSNADGEEGETKTVDAVQAYVPLPLRCGVVSLRDVGTEMTPGGSKEPSRANTPRVVAVAAPAAAAHSVARGTSSPPRQCGGGVAVADHGAACESDEAAGTRTAVSPATAWGDAERAKYIARYRREEMKIQAWENRERRKAEPGRQELSPSDTSPKSTLCITAPHVSPNAAYAKDVSVRGSIIALAEKFFWNFADSRRLLGFGGESLMAAGSHGGYRCYEVAREREHDLGGSRRSKEYYHHRHPRGHRDSEPRRDGGRSGGRELSNGYGHRRSPHPPSRRRSSRRAEEREPGEVSSGSGAEKSGETPLKAREVRENGVTRVSKEVATISPSKKRKHSPVIWHRNGSKMQARDPVRGIREKKKSVSPVDSIERGSSKKVTSPEPGEVLAYNSGSSSRSSDSGVVQGSADRDLEVEKGDDMNVEVEAGDDYPADHLLDSDSEGNGCRSGTPECTQSPCRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSSHHPSIVDVKEVVVGGSDKDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWILHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGASVKFRKQTHNRLRDKFRAVSFTGGPTLSEAGFDLLNRLLMYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKYIKSPDPLEEQRIKEQGNNGDRGLFG >LPERR02G18810.5 pep chromosome:Lperr_V1.4:2:16327597:16333275:1 gene:LPERR02G18810 transcript:LPERR02G18810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQAKSPGAVAGAGGVRKHDAYVARSTSGGNLLACYARTKPRPSKWDDAPKWLPRRAGDDDDARRRSSSADDGLLMPPPPQPVAPRKGAGGWRSWSNADGEEGETKTVDAVQAYVPLPLRCGVVSLRDVGTEMTPGGSKEPSRANTPRVVAVAAPAAAAHSVARGTSSPPRQCGGGVAVADHGAACESDEAAGTRTAVSPATAWGDAERAKYIARYRREEMKIQAWENRERRKAEPGRQELSPSDTSPKSTLCITAPHVSPNAAYAKDVSVRGSIIALAEKFFWNFADSRRLLGFGGESLMAAGSHGGYRCYEVAREREHDLGGSRRSKEYYHHRHPRGHRDSEPRRDGGRSGGRELSNGYGHRRSPHPPSRRRSSRRAEEREPGEVSSGSGAEKSGETPLKAREVRENGVTRVSKEVATISPSKKRKHSPVIWHRNGSKMQARDPVRGIREKKKSVSPVDSIERGSSKKVTSPEPGEVLAYNSGSSSRSSDSGVVQGSADRDLEVEKGDDMNVEVEAGDDYPADHLLDSDSEGNGCRSGTPECTQSPCRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSSHHPSIVDVKEVVVGGSDKDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWILHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGASVKFRKQTHNRLRDKFRAVSFTGGPTLSEAGFDLLNRLLMYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKYIKSPDPLEEQRIKEQGNNGDRGLFG >LPERR02G18820.1 pep chromosome:Lperr_V1.4:2:16338552:16341638:1 gene:LPERR02G18820 transcript:LPERR02G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKESKRSRDHVKWDEENLNDIELNKPVREKITEPKTPYHPMVDEDDGSVSPKRSIEESVDKSAHADAIKTALMEAVSSGKFSERDRWESCSNEEEVVKQGTDFEEHRKAHYDEFRKMKELLQKGTPSDEEGDEDGNDKDIREG >LPERR02G18820.2 pep chromosome:Lperr_V1.4:2:16338526:16341638:1 gene:LPERR02G18820 transcript:LPERR02G18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKESKRSRDHVKWDEENLNDIELNKPVREKITEPKTPYHPMVDEDDGSVSPKRSIEESVDKSAHADAIKTALMEAVSSGKFSERDRWESCSNEEEVVKQGTDFEEHRKAHYDEFRKMKELLQKGTPSDEEGDEDGNDKDIREG >LPERR02G18830.1 pep chromosome:Lperr_V1.4:2:16341855:16344683:1 gene:LPERR02G18830 transcript:LPERR02G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKAPASLNRRVTPCHPRGCQRLPPWRLILSPSFPSSSCRLCILISRQLTICNAQSYTDDLWLASGSAQSSTAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIVNKADGFIEHLVSKLHVTYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREAMSSSMPLTPTSSNKHKAIARVSTPTSGGALPELVVYLLDLGMEHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSSIPGIIKKRPQLCGISMSDNLKPMMTYLENIGVNKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENVGKILTRCPHIMSYSVSDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFSIEEIGIMVNRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVTDSRFEDILQKRMDGI >LPERR02G18840.1 pep chromosome:Lperr_V1.4:2:16346343:16347278:-1 gene:LPERR02G18840 transcript:LPERR02G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLERLEAAAEKRMRHEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQDEAKEMLNVRR >LPERR02G18850.1 pep chromosome:Lperr_V1.4:2:16349043:16358031:1 gene:LPERR02G18850 transcript:LPERR02G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSRFGALEECHAVVAADSGQCRGYGFVTFRRHSASRRALLAAADASVVVDGRPVACQLASLGPTSPDRKLFVDNVPARADQGELRRLFSKFGEIEAGPLGADRATGEFRGYAIFFYKSPDGLTKALEQRKMMFDGCELHCRRAHKVNKGKHRIAAHADAEASAQSSGFFNAASPTVHVQPKKLALTSTTQTPLGSNRQVELMEHKDEETDIKEDSSKF >LPERR02G18860.1 pep chromosome:Lperr_V1.4:2:16352053:16354426:-1 gene:LPERR02G18860 transcript:LPERR02G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYSNRELTNGSELKPSQVANEPRVEIAGRDMRTLYTLGPNQVTGLIKKDNNVMVDPDSPSPSNPTKREYLHWLVTDIPETTNASFALYNLGPPVAAVFFNCQRENGCGGRRYIR >LPERR02G18860.2 pep chromosome:Lperr_V1.4:2:16352053:16354426:-1 gene:LPERR02G18860 transcript:LPERR02G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYSNRELTNGSELKPSQVANEPRVEIAGRDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPETTNASFGNEIVSYESPKPTAGIHRFVFVLFRQSVHQTIYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >LPERR02G18870.1 pep chromosome:Lperr_V1.4:2:16362372:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18870.2 pep chromosome:Lperr_V1.4:2:16362373:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18870.3 pep chromosome:Lperr_V1.4:2:16362245:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKHTHRRSTQYAPSRSPSCAPPRASTAPSIHPTNPLPGRRRRPAARIPCAPVGRRLRPASPRICDAPPPRASLFPELFTPATITLPRFGPSSSSGLCAPLALIRFKFNSPSALIRVNLTFVSTNSPNRGCLPLLDRKEEPTNCCFYKAN >LPERR02G18870.4 pep chromosome:Lperr_V1.4:2:16362372:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18870.5 pep chromosome:Lperr_V1.4:2:16362372:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18870.6 pep chromosome:Lperr_V1.4:2:16364178:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18870.7 pep chromosome:Lperr_V1.4:2:16365926:16367988:1 gene:LPERR02G18870 transcript:LPERR02G18870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFIKKANIKVIVYQPQLVLRGYGAPIQAFLYQIPVIGWILQYPFQLFGQLRRKRA >LPERR02G18880.1 pep chromosome:Lperr_V1.4:2:16375682:16376983:-1 gene:LPERR02G18880 transcript:LPERR02G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMPTPPQLSLADLKALSVLGRGARGVVFHVVAAGNADEAVGCPTDVADAMALKAISRVAARHKGAAAAGAPGGGGGGGDGHRRIWFERDVLLALRHPLLPSLRGVVSTDSVVGFAIDRCAGGDLNALRRRQPGRVFSVAAIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQDSGHIMLVDFDLSTTLPPPPPPPPPDTSHPPPQTTSPSSRAVFGCFSSSRRAASRDSPPSSSSSSQSPPSTSRTASASSSSSSSSSPAVAKKSNSFVGTEDYVAPEIVAGSGHDYAVDWWGLGVVLYEMVYGRTPFRGRSRRETFQRVLAARPELPGEPTPLRDLIGLLLEKDPAKRLGAHGVRRHAFFRVVDWERVLDVARPPFIPTAPDGDDAGAVAEALDVEKVLHEVFGSAAGETAAPESGSERGRDEDFSVFF >LPERR02G18890.1 pep chromosome:Lperr_V1.4:2:16378814:16380687:-1 gene:LPERR02G18890 transcript:LPERR02G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAFRLLLLLLLLVHAPRPAAPVPSDRAALLAFRSSLLPPSRAALSSWRGPLTPSWLGLPAAPLALLRRLRSLDLSGNALAGELPCSLPRTLLDLDLSRNALSGAVPTCFPPSLPALRALNLSANALRFPLSPRLSFPASLVSLDLSRNALTGALPPRLVANPDASGLLLLDLSHNRFSGEIPVGVTAIRSLQGLFLADNQLSGVIPTGIGNLTYLQALDLSHNRLSGVVPAGLAGCFQLLYLRLGGNRLSGELRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNFSGNKITGELSGAVAKWQSLRFLSLAGNQLSGELPDWMFSFPALQWIDLSGNRFVGFIPDGGFNVSSVLNGGDGGQGSPSEAVFPPQLFVAVSTDMTGRQLELGYDLQEATGIDLSKNELRGEIPEGLVAMKGLQYLNLSCNYLEGQIPSGVGEMGKLRTLDFSHNELSGEVPPGIAAMKELEVLNLSFNSLSGPLPTTDGLRKFPGALAGNPGICSGDGCNAYSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLGTLLCSSKARNFVFRPARMEY >LPERR02G18900.1 pep chromosome:Lperr_V1.4:2:16387319:16396781:1 gene:LPERR02G18900 transcript:LPERR02G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPVGVGGMEPTSSGQHGEAEAAGLRFGGGDISLGPHGSGGGGGHHLQDGSVDLLARHSSSPAGFFSNLMVSNGFPGSKAGGGGRGGTEAQQHHSMANNSGSGSGSGRKMKSQMSFTGGGPPHLAHIAEDGGGGGFHDRAGAEASVPRTFSAGGSSSGGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYMQLQHDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQTQLQALKHEQEKCTCCNRP >LPERR02G18900.2 pep chromosome:Lperr_V1.4:2:16387319:16387895:1 gene:LPERR02G18900 transcript:LPERR02G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPVGVGGMEPTSSGQHGEAEAAGLRFGGGDISLGPHGSGGGGGHHLQDGSVDLLARHSSSPAGFFSNLMVSNVFNLASHACLRAS >LPERR02G18910.1 pep chromosome:Lperr_V1.4:2:16398213:16402750:-1 gene:LPERR02G18910 transcript:LPERR02G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIHETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFDIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAANLKIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELFYRSLAAKLAVGMPFKDLATVDSILLRELPPVEDTEARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAYKLLPEGTRLAVTLRGDESYEQLDLLKGVDDIIMLLHSVPYGEEKTGRVQAARRLFEYLETNGLNFPVIHHVEFPKSINRDDLVIGAGSNCGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >LPERR02G18920.1 pep chromosome:Lperr_V1.4:2:16402778:16403816:-1 gene:LPERR02G18920 transcript:LPERR02G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHTSFGVVRAPGPRGVPWYSAGLGDKWANPVESPPLARSPRDSRAPRVSVLFVAGASTLSPSPLQLPSCCLVAVAGRRSLRIA >LPERR02G18930.1 pep chromosome:Lperr_V1.4:2:16407076:16408490:-1 gene:LPERR02G18930 transcript:LPERR02G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPQLVLALLLAGTCLGEPPPRPQASTATRTVDGITTIYNFGDSLSDTGNLARQGAAGLLRYTTRLPYGVTIGHATGRCSDGYLMIDFLARDLGLPLLNPYLDKSTDFTHGVNFAVAGATALNTTALAAKGITVPHTNSSLDVQIGWFKEFMSSTTNSPREIREKLKKSLVMLGEIGGNDYNYAFLQTWPIDGGYSLDNVTRMIESVAKAVDLIPDVVKTIVSAAKELLDMGATRVVIPGNFPLGCVPSYMSAVNATDPVAYDGRGCLVALNLFARLHNAWLRRAIGELRRPGVMAARAAVPPWPRVPASDQFHHRRMHVRRLICLDLS >LPERR02G18940.1 pep chromosome:Lperr_V1.4:2:16408802:16411807:1 gene:LPERR02G18940 transcript:LPERR02G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNVVQKNRREFHQDRKRQAHGDPKTGKLKQRTAPVSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVEMVSAEGSSEGAKDKSQLKFNVKKNSRVQIKRLKGKGRKKAKNAKPPTKNKVDAMVE >LPERR02G18950.1 pep chromosome:Lperr_V1.4:2:16411455:16412754:-1 gene:LPERR02G18950 transcript:LPERR02G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPSDELSGGRAPKQSSRQQRQHLYLVLDDGELGYSTRKVDLSPAFDSDEVDDGGTIDRTEQRLPQAGFRLEAPHGCSGQFFPFGTKIIYTGIGDSPWGTVPIISFPHVRGSAPRREWETSIYGCAYAETHGKLFLLDGVFDTLQSPPPLLDNGPAADIKVKFDWSWCSLPRPPYHDVISHAVHPDQRTMVFSMSKLKKWTFRHATFSFDLESSRWTRHGTWMLPFKGRGYFDCDLDAWVGLSSDPDTLGHLCACDVLSADSNDGQPPACKLSKEKLFCVDPVEKHNGATLVYVDGGGDRSRFCLVQCLSVDDRQEGVWKESMPECRRHLLRITTFSPKYDKHGDLRVAKCHHVGSYRLPDIASVYYEQLEI >LPERR02G18960.1 pep chromosome:Lperr_V1.4:2:16416566:16423419:1 gene:LPERR02G18960 transcript:LPERR02G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNFFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPAELLELEDTHNHRSSESSFVNMTAGAAEDASHRIGTDERQPLLPT >LPERR02G18970.1 pep chromosome:Lperr_V1.4:2:16424305:16435913:1 gene:LPERR02G18970 transcript:LPERR02G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMMQPYVADLLAEASMFGVTMAGQMDADSYLRAIGVLPPLAPEFYGGGCFYGDLPATCDFYAPVQEPVPAPPKETRPQLCAPYDDDIEATLRVMEENTKERPSTKFLEDTQGGRMTPEVRAWMVDFMDRFSRCYDLAAGTVHRAVYYLDRYLSVTPESDDEMQLRLVAATVASTEANGGIVSVGGGANEHYNPHDDLYLCLFLQQDSVHRKETPAMGASPSHPSSTYAVTSKLTNEGENHRIKYASSTMQGLRPDMQDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDYLNNLPSAITSVCSRLDGDLQRSNEWRESLYRNSDGKCIQFLPNLWCSEGPYVAPLQEGSTACVVIIRGDQIIVGNVGDSRCVLLKNGETIALSRDHKPAILSERERIERAGGKVSRDKIPVRGLFGKIIGQQWGPYRIQGSLALSRAIGDFAFKKNGSMSPSQQMVTCIPDIRVETITDDTEFLVIASDGIWDRMSNNNVVSCAKKYGSEKPNQLRARRPDHGGFDHGPYQTPAPSFDPFPGALPPFTPRTPDAYGEGGFHGDLQAAVPVPVPAEEITNSNNSATTRPHLCAPYDDDIEATLRIMEKNTNERPSTNFLEDTQGGQVTAEQHASLIKFMGRFSRRYKLAAGTVHRAANYMDRYLSVVKHESNDDERRLRLVAATAVFLAAKYEDQDTLNKLDTSEVAECCGYDRDDTECNRMVLATESKILTALDYNLSGPTVYTFVEHFTRYYDDESEEDQMVQTVAHRLADVSLRVYGFHRYLPSVVAAASIFLARMQVLGEPWSKDVAELTGYKAIELRGCVCEMYNILPNPRFAMDEEYFLEDT >LPERR02G18980.1 pep chromosome:Lperr_V1.4:2:16437770:16438589:1 gene:LPERR02G18980 transcript:LPERR02G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPACTERYNGAAPVPPPRLPPRRPERTTTSSRRPQLCAPYDDDIDATLRATEKDDKERPKPDYLRSVHGDQITASARAALYGLAAGTLHRAVSYVDRVLSLRSLPSYADYYQLNLLGAAAVYTAAKYEEQSTPLKRMNAGAVAWYGGFASGQEVTLMEKAMVTALDYRLSGPTAETFVEHFTRYSQSEEELRVQRLARDVADQSLMNYGCLRYLPSMVAAASIFIARCSLNRLDALVWSTELQELTGYSAQDLAKCILTM >LPERR02G18990.1 pep chromosome:Lperr_V1.4:2:16447656:16453504:1 gene:LPERR02G18990 transcript:LPERR02G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.2 pep chromosome:Lperr_V1.4:2:16447682:16453504:1 gene:LPERR02G18990 transcript:LPERR02G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.3 pep chromosome:Lperr_V1.4:2:16447913:16453504:1 gene:LPERR02G18990 transcript:LPERR02G18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.4 pep chromosome:Lperr_V1.4:2:16447656:16453504:1 gene:LPERR02G18990 transcript:LPERR02G18990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.5 pep chromosome:Lperr_V1.4:2:16440534:16453504:1 gene:LPERR02G18990 transcript:LPERR02G18990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLPGRSWNAALQFAHPRFCFGPLLLHKRSSGIAERKETSAMGASPSQPASTRTTHAVTSKLTNEGENHRVKYGSSAMQGFRTHMEDVLAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.6 pep chromosome:Lperr_V1.4:2:16447656:16453642:1 gene:LPERR02G18990 transcript:LPERR02G18990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.7 pep chromosome:Lperr_V1.4:2:16440534:16453642:1 gene:LPERR02G18990 transcript:LPERR02G18990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLPGRSWNAALQFAHPRFCFGPLLLHKRSSGIAERKETSAMGASPSQPASTRTTHAVTSKLTNEGENHRVKYGSSAMQGFRTHMEDVLAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.8 pep chromosome:Lperr_V1.4:2:16447682:16453642:1 gene:LPERR02G18990 transcript:LPERR02G18990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G18990.9 pep chromosome:Lperr_V1.4:2:16440625:16453642:1 gene:LPERR02G18990 transcript:LPERR02G18990.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSNPLVPFNLTNDGENQRVKYASSTMLGLGPAMQDAHAVDLDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDKDFLNNLPDAIKSVCSRIDNDLRRSNEWRESLNPRGNGNCFQFLNTGVCANLWHSAEEPYVAPLHEGSTACVVIIRGNEIIVGNVGDSRCVLSKNGQAIDLSIDHKPNVSNERERIQRAGGQVWRPIVERAVSVGDFAYKQNGNMHPSQQMVTCVPDIRVENITDDTDFLVIASDGIWDRMSSKNVGELSLRLICEKLIDHCSLWTSDNMTAILVMFKPIVGSDEVAIARTEHHQHNPVGSDEQLNIDSKDDEPLLLSLLFTPPEFLQES >LPERR02G19000.1 pep chromosome:Lperr_V1.4:2:16454462:16460472:-1 gene:LPERR02G19000 transcript:LPERR02G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVWSMRAEYQTQQRRLDWRCFSFRVAEQALKFEEEAFCFVMAASISTAVFLDENLPIHRGPAGKRADGLKAKPLKPLAKQGLQEKKALRDVSNIGKPPVSTRKPLQDVSNTGKPRERNISDGAALKKTALRSHEATKNPVKKTVIFSDETAKCHEWAKGGVEGTHFTGNDSQKLEKDRQDKRVKKKVEKIMSALHDWPDAVFDHVVFPSEVVSAFFEEEKQMELEPEILPDYNGRLSSSGDKLKLVEDPFVDDGLDDYPFEYKPVEFQLRDELILEPVGLVLVDISNGFCTVGAGNLAPVTPNKQIEKMVEEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLAAFEKDGSNVFSNWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYHLPVEVARSMQKTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >LPERR02G19000.2 pep chromosome:Lperr_V1.4:2:16457491:16460472:-1 gene:LPERR02G19000 transcript:LPERR02G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVWSMRAEYQTQQRRLDWRCFSFRVAEQALKFEEEAFCFVMAASISTAVFLDENLPIHRGPAGKRADGLKAKPLKPLAKQGLQEKKALRDVSNIGKPPVSTRKPLQDVSNTGKPRERNISDGAALKKTALRSHEATKNPVKKTVIFSDETAKCHEWAKGGVEGTHFTGNDSQKLEKDRQDKRVKKKVEKIMSALHDWPDAVFDHVVFPSEVVSAFFEEEKQMELEPEILPDYNGRLSSSGDKLKLVEDPFVDDGLDDYPFEYKPVEFQLRDELILEPVMN >LPERR02G19000.3 pep chromosome:Lperr_V1.4:2:16454462:16456704:-1 gene:LPERR02G19000 transcript:LPERR02G19000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASNSAAALEALRAAVPLPPDADLVLTPGGGGAAAEGKQVGLVLVDISNGFCTVGAGNLAPVTPNKQIEKMVEEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLAAFEKDGSNVFSNWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYHLPVEVARSMQKTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >LPERR02G19010.1 pep chromosome:Lperr_V1.4:2:16469070:16476038:1 gene:LPERR02G19010 transcript:LPERR02G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSEKIQNPDLSDIQEKVYASLKQLCVIDIVPYTLTLGYSYWGAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKDDMATEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDFIFTYNMDARVFIQNLLRVPCSETKLESSVSAANYSSEGILPINECSTENGNHNDVQESCQNGINDTSMISTTTKRRQETSNEADACCQEDASQTKKRNNKRVKGAGPPPVKPSEHFDHVVMNLPASALQFLDCFSGLIQKKYWTGSLPWIHCYCFIRSSESEELILSEAENKLNAKITESIFHRVRDVAPNKAMFCLSFRLPIECLRDENEGHVQSVDC >LPERR02G19010.2 pep chromosome:Lperr_V1.4:2:16469070:16475607:1 gene:LPERR02G19010 transcript:LPERR02G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSEKIQNPDLSDIQEKVYASLKQLCVIDIVPYTLTLGYSYWGAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKDDMATEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDFIFTYNMDARVFIQNLLRVPCSETKLESSVSAANYSSEGILPINECSTENGNHNDVQESCQNGINDTSMISTTTKRRQETSNEADACCQEDASQTKKRNNKRVKGAGPPPVKPSEHFDHVVMNLPASALQFLDCFSGLIQKKYWTGSLPWIHCYCFIRSSESEELILSEAENKLNAKITESIFHRVRDVAPNKAMFCLSFRLPIECLRDENEGHSENWRSSSTAQPCGRNCGGEGGACCCAVSTATATACAGTGCCWCWC >LPERR02G19010.3 pep chromosome:Lperr_V1.4:2:16469070:16476325:1 gene:LPERR02G19010 transcript:LPERR02G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSEKIQNPDHILKQILPSGVECNIVTNSNLYGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKDDMATEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDFIFTYNMDARVFIQNLLRVPCSETKLESSVSAANYSSEGILPINECSTENGNHNDVQESCQNGINDTSMISTTTKRRQETSNEADACCQEDASQTKKRNNKRVKGAGPPPVKPSEHFDHVVMNLPASALQFLDCFSGLIQKKYWTGSLPWIHCYCFIRSSESEELILSEAENKLNAKITESIFHRVRDVAPNKAMFCLSFRLPIECLRDENEGHVQSVDC >LPERR02G19010.4 pep chromosome:Lperr_V1.4:2:16469070:16476325:1 gene:LPERR02G19010 transcript:LPERR02G19010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSEKIQNPDLSDIQEKVYASLKQLCVIDIVPYTLTLGYSYWGAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKDDMATEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDFIFTYNMDARVFIQNLLRVPCSETKLESSVSAANYSSEGILPINECSTENGNHNDVQESCQNGINDTSMISTTTKRRQETSNEADACCQEDASQTKKRNNKRVKGAGPPPVKPSEHFDHVVMNLPASALQFLDCFSGLIQKKYWTGSLPWIHCYCFIRSSESEELILSEAENKLNAKITESIFHRVRDVAPNKAMFCLSFRLPIECLRDENEGHVQSVDC >LPERR02G19010.5 pep chromosome:Lperr_V1.4:2:16475627:16476230:1 gene:LPERR02G19010 transcript:LPERR02G19010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALWSASCEPPPPSPSPHGETEPNPTRMPVARYRWWFPESVPGTWIGSSQSRQNSALCGESETMGMGTGGGEGDPG >LPERR02G19010.6 pep chromosome:Lperr_V1.4:2:16475627:16476230:1 gene:LPERR02G19010 transcript:LPERR02G19010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALWSASCEPPPPSPSPHGETEPNPTRMPVARYRWWFPESVPGTWIGSSQSRQNSALSSTQKMNAAFSCTRKTCGESETMGMGTGGGEGDPG >LPERR02G19020.1 pep chromosome:Lperr_V1.4:2:16475085:16475847:-1 gene:LPERR02G19020 transcript:LPERR02G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCEQHGQHQHQQQPLLQKESPLGFKEMEWFDGIDLFQFQAPKGVDGRTTAEVPELFGSQAANDAAYYRPNRSAAAFTGGRQSKKARVEMPDDEEDYLIVPDLG >LPERR02G19030.1 pep chromosome:Lperr_V1.4:2:16485226:16493225:1 gene:LPERR02G19030 transcript:LPERR02G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPSLDILVREPDGFGVWSGPPYPPGSTPPQRLPKTACSAAYFSSDGSRLLATVASASATVYDCRTLTVVRVFELPGLLAAALSPTGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHFQKNMSKATWPMVQFSADESVACRMMTNEIQFFDPKDFTKGFVYKIRMPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSYSGSEFAVVYGFMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRCFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPERFGDIADLTTSLGSIKIEETKKQAQGSKSAQTSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGAPADDD >LPERR02G19030.2 pep chromosome:Lperr_V1.4:2:16485226:16490717:1 gene:LPERR02G19030 transcript:LPERR02G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPSLDILVREPDGFGVWSGPPYPPGSTPPQRLPKTACSAAYFSSDGSRLLATVASASATVYDCRTLTVVRVFELPGLLAAALSPTGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHFQKNMSKATWPMVQFSADESVACRMMTNEIQFFDPKDFTKGFVYKIRMPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSYSGSEFAVVYGFMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRCFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPERFGDIADLTTSLGSIKIEETKKQAQGSKSAQTSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGAPADDD >LPERR02G19040.1 pep chromosome:Lperr_V1.4:2:16489800:16494346:-1 gene:LPERR02G19040 transcript:LPERR02G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGEEEDWKTERAEERREKNGCRHKPKWPVEPRGLFRVGRSRESEVGPLPRRNLTCFLTGATHLKIQRWILSLPSSFLLPPSRSDAHINPRRRRPPAARRRLFRPTRSSSPKTMSYFTCKPNSGLIVDKPIAGLGRTSRLLPHPQYSLRTHSVRFPKLQKQVYPRLVLVAASQKRLAPLCASSGKVNPEADNDPFMESLKKAMEDAKKPRPIQDLLKEQMAKLRDQGSGGGGGNRNRRAGNGDSGGPEDESFKESLDELVQVILATVAFVLVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRSFSESFMQSDGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >LPERR02G19040.2 pep chromosome:Lperr_V1.4:2:16489800:16492551:-1 gene:LPERR02G19040 transcript:LPERR02G19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVVEKTMSYFTCKPNSGLIVDKPIAGLGRTSRLLPHPQYSLRTHSVRFPKLQKQVYPRLVLVAASQKRLAPLCASSGKVNPEADNDPFMESLKKAMEDAKKPRPIQDLLKEQMAKLRDQGSGGGGGNRNRRAGNGDSGGPEDESFKESLDELVQVILATVAFVLVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRSFSESFMQSDGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >LPERR02G19040.3 pep chromosome:Lperr_V1.4:2:16489800:16494279:-1 gene:LPERR02G19040 transcript:LPERR02G19040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTMSYFTCKPNSGLIVDKPIAGLGRTSRLLPHPQYSLRTHSVRFPKLQKQVYPRLVLVAASQKRLAPLCASSGKVNPEADNDPFMESLKKAMEDAKKPRPIQDLLKEQMAKLRDQGSGGGGGNRNRRAGNGDSGGPEDESFKESLDELVQVILATVAFVLVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRSFSESFMQSDGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >LPERR02G19050.1 pep chromosome:Lperr_V1.4:2:16494542:16497065:1 gene:LPERR02G19050 transcript:LPERR02G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAGVDVNAFDPVPRLNSRARKVRPSRRSLSRITTLHPCIAARIFKRPRATGNLTSQVTQQTQRTQSKALATNQPAMARRISLLAVVLAVAAVSAAAQNCGCAADQCCSRWGFCGVGSDYCGKGCQSGPCDVPDTNNVSVASIVTPEFFAALVAQAADSCAAKGFYTRDAFLSAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDPTFTQWPCAEGKGYYGRGPLQISWNYNYGSAGTSLGFDGLGDPDAVARSPVLAFQTALWYWDNNVHSAIVSGQGFGATIRAINGALECDGKNPTAVNNRVAYYQQFCQQFGVDPGSNLTC >LPERR02G19060.1 pep chromosome:Lperr_V1.4:2:16503133:16507395:1 gene:LPERR02G19060 transcript:LPERR02G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAKDGRRLWSLVRRQEALVDKKRSLLFEARSLDVWVCLQMAGVDDYRTRRRPYATREAAQISEVKSGYNHHLVQDGLLLFNLQKGENGSLSTESIKTMHCTINKLSNEALQSVASIITHNKYSFEKTRPIMKKIIKEHLPNHLAKLDNEDIMSQLSKILRNPHSYQSDYVSLLTPVSPLLLSSINQALGELDGMPMQALVVINRKLTEKPCPPKFQFMTRMSTRGRLVKMVRKKFSNILTDIEEGHWLPKKLLKALSVINLYKKIKFRSLDISQSEFFPYPKRTILLQNEVLNALWSLPEIKHKKLKLLRDIFIQDSKVQKMTSKATLRRYLTECLFACDEADLPDEALQAVDFINQTSWCVLLTEDRKEVEVDAVLNLSSQLKTLAYYCAEECQVAEQIENLESEDYSEENYFLLSQTNYFQSNSQHEMDEHSCSNNIPNIADMDESCSSGTVKTIPYAPRAEDSYCRSEEVHKKPCVRSNDSGRTGYCRDDEAVGSKADVANHLKALVCSDNLSEICDETSKMAHMLIRQISEKWLLMKNGEVDELNRQYLGGGLVSQDSQGFPCTAERNLKDAILVHAVERLLPNLPKSCIDKMKRILR >LPERR02G19060.2 pep chromosome:Lperr_V1.4:2:16503133:16507395:1 gene:LPERR02G19060 transcript:LPERR02G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAKDGRRLWSLVRRQEALVDKKRRWLESMITGPDDGRTRRAKRPRYMTESDIRSEEDGLLLFNLQKGENGSLSTESIKTMHCTINKLSNEALQSVASIITHNKYSFEKTRPIMKKIIKEHLPNHLAKLDNEDIMSQLSKILRNPHSYQSDYVSLLTPVSPLLLSSINQALGELDGMPMQALVVINRKLTEKPCPPKFQFMTRMSTRGRLVKMVRKKFSNILTDIEEGHWLPKKLLKALSVINLYKKIKFRSLDISQSEFFPYPKRTILLQNEVLNALWSLPEIKHKKLKLLRDIFIQDSKVQKMTSKATLRRYLTECLFACDEADLPDEALQAVDFINQTSWCVLLTEDRKEVEVDAVLNLSSQLKTLAYYCAEECQVAEQIENLESEDYSEENYFLLSQTNYFQSNSQHEMDEHSCSNNIPNIADMDESCSSGTVKTIPYAPRAEDSYCRSEEVHKKPCVRSNDSGRTGYCRDDEAVGSKADVANHLKALVCSDNLSEICDETSKMAHMLIRQISEKWLLMKNGEVDELNRQYLGGGLVSQDSQGFPCTAERNLKDAILVHAVERLLPNLPKSCIDKMKRILR >LPERR02G19070.1 pep chromosome:Lperr_V1.4:2:16507928:16512548:-1 gene:LPERR02G19070 transcript:LPERR02G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCSKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEDDDAKAAIAISVTEVTQSKEVIEFSKQIREKMKEIDAFDLEGNTEGKIRGTEEVDKLKEQRAEEQAKLLLEAFNKDRVSLMTSLQTANQAIAPVAAAPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRSDYDRRSRERSLERERASSKDRHRGDRGSSRDRDRDYDRRRSHDRYHDRGSRSDKDRESARSHSYDSRGHRRSRSPRDSSRDYDRYGRDDRRHRR >LPERR02G19080.1 pep chromosome:Lperr_V1.4:2:16512781:16516592:1 gene:LPERR02G19080 transcript:LPERR02G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLECTDGEDGLNFHCNLCDSEVVHSMTKILLCGLATASVDSTTGDIFKSPSAVAVAMKSELAEYLIQRSMMLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDDFVASKRNLLSHVSGFLSSESRLNKIKDFIQKMEKENFWALDERDTTGGTILKCIDMKCIFHCPERFDTQDKLAEHRSLCRFRIVNCRNDGCLASFSDNRTEKHDSVCPFKVLPCEQLCQQHVMRCEMDMHCASVCPMKLINCPFYQVGCETAFPQCVLDKHCSELLQTHLLYILQLITRQDASVNDMNQRLQLLEKAQSLNELAGALDVRTLTLTTKEQEAKIKKLERDLKVQEAKLKKLENEFKSGKV >LPERR02G19080.2 pep chromosome:Lperr_V1.4:2:16513593:16516592:1 gene:LPERR02G19080 transcript:LPERR02G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLECTDGEDGLNFHCNLCDSEVVHSMTKILLCGLATASVDSTTGDIFKSPSAVAVAMKSELAEYLIQRSMMLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDDFVASKRNLLSHVSGFLSSESRLNKIKDFIQKMEKENFWALDERDTTGGTILKCIDMKCIFHCPERFDTQDKLAEHRSLCRFRIVNCRNDGCLASFSDNRTEKHDSVCPFKVLPCEQLCQQHVMRCEMDMHCASVCPMKLINCPFYQVGCETAFPQCVLDKHCSELLQTHLLYILQLITRQDASVNDMNQRLQLLEKAQSLNELAGALDVRTLTLTTKEQEAKIKKLERDLKVQEAKLKKLENEFKSGKV >LPERR02G19090.1 pep chromosome:Lperr_V1.4:2:16512784:16521293:-1 gene:LPERR02G19090 transcript:LPERR02G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGFSSWIRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSASTKSSASLSSSPPAAASFGRNVGGGYRRRAKGKQVATELGGTSKVALPCGSSHPRGRNSYVIKLELLSAKYLIGANLHGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEVIITMYDWDILCKCKVIGSVTVTVLGEDKTGATWFDLDSKSGQICMRFSSAKVFPTSESLFDICVGIESQRKITLNKQYLPMTEDSGCLQAIFELPHDEVIIPLQDIDEIKRSQHSLINPAITIFLHTGSGGHGTPPSCSQNGRIRYRFTSFWNRSRTFRALENALQIYRATLEAEKQVRMHLLQQRGSSDVICSKTYDLKTVERSIELAKAYQPFINEHVLVDATSKTFPGTSEKFFSVILSDNSMFFQQYRHGRKDTDLKLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKDNANLIYETKHQAHDVPFGSYFEIHCRWSLRTTSSSTCHVDIKIGVNMKKWCILQSKIKSGATDEYKREVCKILEAACDYVLKEESNSQASHEITKTQTHGSTTVAIRSPAASSSPAIRLAQRWRGLAGDGELVASAPGRGKFSATGRRNGHGLMGLSVAVLL >LPERR02G19090.2 pep chromosome:Lperr_V1.4:2:16514523:16521293:-1 gene:LPERR02G19090 transcript:LPERR02G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGFSSWIRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSASTKSSASLSSSPPAAASFGRNVGGGYRRRAKGKQVATELGGTSKVALPCGSSHPRGRNSYVIKLELLSAKYLIGANLHGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEVIITMYDWDILCKCKVIGSVTVTVLGEDKTGATWFDLDSKSGQICMRFSSAKVFPTSESLFDICVGIESQRKITLNKQYLPMTEDSGCLQAIFELPHDEVIIPLQDIDEIKRSQHSLINPAITIFLHTGSGGHGTPPSCSQNGRIRYRFTSFWNRSRTFRALENALQIYRATLEAEKQVRMHLLQQRGSSDVICSKTYDLKTVERSIELAKAYQPFINEHVLVDATSKTFPGTSEKFFSVILSDNSMFFQQYRHGRKDTDLKLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKDNANLIYETKHQAHDVPFGSYFEIHCRWSLRTTSSSTCHVDIKIGVNMKKWCILQSKIKSGATDEYKREVCKILEAACDYVLKEESNSQASHEIKHPVS >LPERR02G19090.3 pep chromosome:Lperr_V1.4:2:16512784:16513671:-1 gene:LPERR02G19090 transcript:LPERR02G19090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVAIRSPAASSSPAIRLAQRWRGLAGDGELVASAPGRGKFSATGRRNGHGLMGLSVAVLL >LPERR02G19100.1 pep chromosome:Lperr_V1.4:2:16523840:16528568:1 gene:LPERR02G19100 transcript:LPERR02G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQRSNSEERRHARSLDAAAAAAAARKQPWYRRALGGLIPWARRPSATVAAPARAAERRPRLWSCAPLCSYDGLIGIHVDAVAPTRIVVAGGPAASGGGAISSSAPPRRLAAASPTPSWPGAVAPVVEGAWAVPVPCPATPVRRRRCGSPARVAPSAAVEAPAAAAAVELELEGPRRRVSFSEREALWNDALMRRFLRAQEEAPLPRCPHCWRWRAPGKSRLRRMSLPHVADQGEASGGTNGHS >LPERR02G19110.1 pep chromosome:Lperr_V1.4:2:16531470:16542057:-1 gene:LPERR02G19110 transcript:LPERR02G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHFILDPYTEDEIIDDPYQTPPPSLFNPFRGARLPLPPLTLVRSPRNPDAYGGGGGGFDGDLHLPATSELYTPRTPDSNGGGLHPLYGDLPASNELYDVGVFGELASDSPRYGDLPSSCEFYTACTVQETKLMPERVDHPVVSATTTRPQLCAPYDDEIEATLRVMEENTDERPINNFLENIQDRHMTAEVREEMIVFMEQLSRRYDLADGTLHRAAYYLDRYLSVTPEPDDEQRLCLVAATAVFIAAKYEDRSTMKRLNASKVATCCGYASKKIALDMENEMLTALNFDLGGPTAYTFVEHFTRYYDDQIQEDQAVQQEAYWLADASLHCYAFHTYLPSLVAASSIFLARLQHVEVLKKAWSRDLAELTRYRAIELMGCPYVDDLLAEASMFGDTMARQMDADSYLRAIGVLPPLAPDSDSYGGDFYGNLPATCELYAAAVPVPPKETRPQLCAPYDDDIGANLRVMEKNTKERPSTKFLEDTQGGRMTPEVRAWMVDFMDQLSRCYDLTAGTVHRAVYYLDRYLSVTPESDDEMQLRLVAATCVFLASKYEDRYTLGKINASEVAACCGYTSDTRKNMVFAMENKILTALKYNLSGPTAYTFVEHFTRYYGQSHEDQAEQQVAHMIADESLHYYGFHRYLPSVVAASSIFLARQAWSKDLAELTGYKAIELKGCVCEIRASC >LPERR02G19120.1 pep chromosome:Lperr_V1.4:2:16542398:16555830:-1 gene:LPERR02G19120 transcript:LPERR02G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRARASAAAAAAAAERRNPTGPMLPRVLRIGGGPRGSTGFAHPRFCFATNSQEEQQNCGEVREDYLIITNSSLLPSPPLLCFPLLQDNLAFLRKASPAMGASASSSGKSKITNEGENQRVKYASSTTQGLSATMQDALAVELNLDVSRSTSFFDVYDGEGGADVAMYCAKRFHAMLCEEENYLSNLPNAIASACSRLDNDLQRSNEWRESLYPRGNGDCFQFLNTGICANLWRSTEETYVAPSYEGSTACVVIIRGNQITVGNVGDSRCVLSKKGQAIDLTIDHKPYVRTERRRIERAGGRVSAQAEESCDAGVCPVFKDYYMCPELLKNQNRDPSEQMVTCVPACRVGDITDGTEFLVIASGGIWSHMSRQQVVDFVRAELRSVQGNLRTTVILVKFKPFARGIPLLSDIEEEPAEHQHSSEGSDQQLGLHNAGDESDETLPLKSSPAMGLSASSPVTSKLTNEGENHRVKYASSTMQGYVELDLDVIRNTSFFGVYDGLGGADVAMYCAKRFHVMLREEEDYPSDIPNAVVSVCLRLEYDLQRSKEWRESLYPHGNDCFQFLGTGLCANPCRSIEANRYAGPLCEGSTACVVIITGNQIVVGNLGDSRCVLSHNGQALDLSTDHKPNDPREFQRIQRAGGQVATNTFPVVSQGETITRTWDVPRVEKKLPVSRAIGYFELKQNKYMSISEQMVICAPELFFGEENLRTTCEKLLDECVNSGDNLTAILVRFKPGVMPRGMVIPLLSDIEEEPDEPQHDSEGVISNTPLDINFTMT >LPERR02G19130.1 pep chromosome:Lperr_V1.4:2:16556987:16559076:-1 gene:LPERR02G19130 transcript:LPERR02G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCSHDSRTEQVNNAAVSFNKIDTNSVEHAETVLKTNFYGAKMLIEKLRDPSLRSMLLDEGALTEEKIERMASRFLAEVKDGTWSERGSWPAVWTDYAVSKLALNAYARLLAARLARAGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPAELPTGKFFKWCTPQLYSKL >LPERR02G19130.2 pep chromosome:Lperr_V1.4:2:16556987:16558640:-1 gene:LPERR02G19130 transcript:LPERR02G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEKLRDPSLRSMLLDEGALTEEKIERMASRFLAEVKDGTWSERGSWPAVWTDYAVSKLALNAYARLLAARLARAGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPAELPTGKFFKWCTPQLYSKL >LPERR02G19140.1 pep chromosome:Lperr_V1.4:2:16559179:16560855:-1 gene:LPERR02G19140 transcript:LPERR02G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSKETTPPPEAWWTGETVAVVTGANRGIGHALAARLAEQGLAVVLTARDEARVFLLLLKIDQTNLEKRT >LPERR02G19150.1 pep chromosome:Lperr_V1.4:2:16580135:16581315:1 gene:LPERR02G19150 transcript:LPERR02G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITKRVRLVSVAAAVLGLLAAILGFVAEGTKSKACALSQSHSTSVLVCTSHFVRVRSFVRYDGKYCVYRTTPALGCGIAAALLLLASQATVTAASGCFGRCRARGDDDDRRRYVACKLSVISWCVTALAAAAVALFLYGASRNVAARRGLAAARGRRGRGRSGGRNVYGCAVLWNGLFSTASVASLAASACGIAGYQPVRLAGLVQPAQPAFSSASQPNRAYVNVEAADEPTPQQPGGQPYFQPHADPAMAYPYPSAAAAATPPPPYGYGAKAREGTA >LPERR02G19160.1 pep chromosome:Lperr_V1.4:2:16583643:16588664:1 gene:LPERR02G19160 transcript:LPERR02G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLPPELPIVDAAARLDGKSSELPENGALLKNAEESQDLGGDSVAELTSHEGKEVILVDDNDSEQEDDGSGKVDENAPRDFLRSSFVTDESNMIQGAPSASHLESPHMGVQGSIDLMEGISSNLTFNHPFGMDVNHQHQGPNQPRMLPNNFMQAQADSQGYGNQWAYPTLQLL >LPERR02G19180.1 pep chromosome:Lperr_V1.4:2:16597532:16604326:-1 gene:LPERR02G19180 transcript:LPERR02G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLLDHINTPTLSLSHFSTFQHGRSGSEDESRIAQRFLEPSSGDSKWEKGQYDVNLVKRDLLGLGNGLLRRPAHGISLSAYGIGRKEFGLPMGASYLLQSVRTASTAAAGQPKFDIDDEQSEDQKQNKKKKEASPEECDQAVEGLSSAKAKAKAKQVQESLKAGQSIVQKFWARILGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQISRSGETKQTAEDLDEFLNKVRRGESVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTIGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKEEKEEKAKLKEPKPAEEDLALKEMTDPTAREEEQLRKAKQHDKEQLCNISRALSVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGKEEAKKAYIAAREESDEAAEIAEEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQILDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLASQTDENNEDEEEARHDP >LPERR02G19180.2 pep chromosome:Lperr_V1.4:2:16597709:16604326:-1 gene:LPERR02G19180 transcript:LPERR02G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLLDHINTPTLSLSHFSTFQHGRSGSEDESRIAQRFLEPSSGDSKWEKGQYDVNLVKRDLLGLGNGLLRRPAHGISLSAYGIGRKEFGLPMGASYLLQSVRTASTAAAGQPKFDIDDEQSEDQKQNKKKKEASPEECDQAVEGLSSAKAKAKAKQVQESLKAGQSIVQKFWARILGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQISRSGETKQTAEDLDEFLNKVRRGESVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTIGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKEEKEEKAKLKEPKPAEEDLALKEMTDPTAREEEQLRKAKQHDKEQLCNISRALSVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGKEEAKKAYIAAREESDEAAEIAEEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQILDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLASQTDENNEDEEEARQ >LPERR02G19190.1 pep chromosome:Lperr_V1.4:2:16604365:16611275:-1 gene:LPERR02G19190 transcript:LPERR02G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKLKRSISRQLSSGAARVWRQLSMEPSSLDPTPRDGVEAGDGSGAGSAAALLAVPENLDATMRLLFAACQGDVAGVEELLGDGVDVDSIDLDGRTALHIAACEGQGEVVRLLLEWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKQKKTPMAVSNPKEVPEYELNPLELEFRRGEEGHYVAKWYGSKVFVKILDKDNFSDANSINEFKHKLTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEIKGRLQPYKAIRFALDIARGLNYLHECKPDRIIHGNLSPKSIVRDDEGKLKVAGFGSLSLIKVSEDKSQMDQTTSKFNSIYIAPEMYINGTFDRSVDVFAFGLILYEMIEGAPAFHPKTPEEAAKMICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKIYANCTKQTRWRDTFKLPWVRPEKA >LPERR02G19200.1 pep chromosome:Lperr_V1.4:2:16616593:16621179:1 gene:LPERR02G19200 transcript:LPERR02G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLIKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPQNSLLNSVNRTVNGSFDQPSNAGGDVYPVEPYESSSMNQVLDAHWGVLDDEDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGHAEKEGLSRITTVAPGTDESIEKLVQQLYKLVDVHEVQDITHLPFAERELMLIKVSGNTAARRDILDIAEIFRAKPVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSLPL >LPERR02G19210.1 pep chromosome:Lperr_V1.4:2:16621477:16623841:1 gene:LPERR02G19210 transcript:LPERR02G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAKSRPWKGRELGLWGGSKEESRVSNPRLLLPVGCGGCWKFGRSGSIDRRGGGRNPSSEEEEDDAGEAEDRRPAAERGEEPEGEGVPARGPRRRPQGHLSRLQGSTG >LPERR02G19220.1 pep chromosome:Lperr_V1.4:2:16624976:16628157:-1 gene:LPERR02G19220 transcript:LPERR02G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSASSSTSTTAQNPNPFNLPPWLRSLRCPFTFLCPPPPPPPPPPPPPPPPPPEAVSPSPRWGRLPGVRVTTEYDSEEAVFAHKVSCKVAGGLAKLRLSFQSDPHGGEDPRQQLFAAPVVGISAKGFSVLYDVETRNALLRGEASLPGGAVQLRGSHDVKEQQGEVSVITRLGDPSYKLELSSLVPYSGLPRATFHFPIGQVSVEERRNEEDEKMLSLYGIAKSDFLDGILTAQYSDNDLNLRYCYKDNELTLIPSVSLPSNAVSVDFKRRFGPSDKLSYRYKFETDDWNAVYKHTVGKDFKVKAGYDSEVRVGWTSIWVGKEDGKAKVAPMKTKLQLMLQVPQDNFLNPTFLFRVKKRWDL >LPERR02G19230.1 pep chromosome:Lperr_V1.4:2:16632538:16633983:1 gene:LPERR02G19230 transcript:LPERR02G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKFLGGTTTRTMATDEWQKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >LPERR02G19240.1 pep chromosome:Lperr_V1.4:2:16637523:16642437:1 gene:LPERR02G19240 transcript:LPERR02G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANPDGIKRYTPPVHRNRANNRRKSGDRAEKASYLYNDGEKSNAPSLKNLPPIIPHETFFSNPQNDYGQTRLIPLEGCSASEASQLLNDRWVAAMNLYNDQSYDNPDKPVMYSGSSGSSWGHGHMKLPHQMNFFEELRRALDDQTLGTGPSVNTWN >LPERR02G19250.1 pep chromosome:Lperr_V1.4:2:16643228:16652975:1 gene:LPERR02G19250 transcript:LPERR02G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAHFAMLMLLLLCCTINIRCSKAPENATDMVSLLGFEEAITSDPLGVLSTWNTSIHICRWEGVKCSRTHPGRVTALDLAGQGLTGRIASSLGNLTFLRELNLSSNSLSGQSPRLGRLGRLRVLDLSENYLQGTISGAAPTNCSGLRELDLSRNFLIGEIPLEVSLLSNLWLLRLSFNNLTRTIPPTIGNITCIKYIALSYNQLTGSIPDELGKLSNLSRLILGGNNLSGDIWPQSLLNTTSLQFLGLEKNRLGKVLPLNIGDTLPNLVYLSLYENLFGGPIPVSLGNASVLQRIDLSSNSFSGQVPRSIGYLSELFHLNLEGNNIQTSDIQSWEFIYALANCSLLQWVSLDQNQLHGAMPHATRNMSLALQELYLDGSKLSGLVKLGLSDNNLTGTVEWIVNMENLQGVNLHVGSIRCSMATGNSTDMLSVLDFKKHIIEDPKGAWAGVTCSRKHPGRVIGLDLTDRSLTGTISSSLGNLTLLKELNLSLNSFSGELPRLNHLNTLRVLDLMRNSLHGIIPDWVTNCSGLRKLDLSRNSFVGKIPIKLGLLSNLSVLRLYKNSLTGTIPPVLGTITGLKDLSLDYNHLEGSIPGELGKLSGLIALLGHIPASLGNASALELIDLSSNNFSGLVPSLFGKLSSLSELDLQGNNFEANDNNSWEFLHALGNCTELAMLFLGNNQLEGVIPSSIGNLSTTLQALGLATNQLTGLVPPSIGNLRALIAYHLSLENFGSSGSWTLVTIICKASYLK >LPERR02G19260.1 pep chromosome:Lperr_V1.4:2:16653530:16657739:-1 gene:LPERR02G19260 transcript:LPERR02G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASATVASSIEEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQSEDGLVSLALICSFSRMKSHLGLDAAVKQETVPEEKVLAVAEVLRRSPVLRVSEDGKRVGRASELLKPDEIIEQVDSRTVAASPLPYNVKLEDVQSFFTHYAKVNSVRLPRHIANKKYFCGTALIEFSEEEEAKSVLENTLFFAGVNLEIKPKKEFDDETESQKEAYEKTHPKKDEHNEGYPKGLIVAFKLKRIMADDVVQQNSSDNGTATKEETPNSIKTSAGGSEERTTANSDMEEQKSSGEMTETKEVNSGEATESGDKCTLDALPESEKKGDNETLSKDDRGLSRNVNKPISREDLKEVFNKFGTVRYVDFSIGDDSGHIRFEDSKAAEKARMSAVLADEGGVIVKDHIVTLEPVTGEAEKDYWNKIRGIQEKLKDSRSYKGRAGNNYRRGEQFNGKRGRHSDSSEKGSNKTQKVEAAA >LPERR02G19270.1 pep chromosome:Lperr_V1.4:2:16661956:16663781:1 gene:LPERR02G19270 transcript:LPERR02G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKPMVGAGAGYSSSSWGLGTTRPCDACGAEAARLYCRADGAFLCGGCDRVPVAPFFGALTDATPPPHGAGADAAQVEEHAEDDDDEDGLSNEAEAASWLLPEPDDNNCHDDSGGAAAAAFFADTGAYLGVDLDFARSMDGIKAIGVPVAPPELDLAAGGLFYPDHSHSINHSLSSSSEVAVVPDAVSAGAAPSMMVVVTASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRVKGRFAKRADDDTLLPETPCSPAFSSALTASDGVVPSF >LPERR02G19280.1 pep chromosome:Lperr_V1.4:2:16664870:16667934:-1 gene:LPERR02G19280 transcript:LPERR02G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKNGWRVELSTKGGSGRGDRDRSRGSDMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSPRYRSRSRSPRYRRSPSYGKRSSPRDRSPKRRSYSRSPPPPRARSYSRSPPPPRERSYSRSPAQPANREESPYANNA >LPERR02G19290.1 pep chromosome:Lperr_V1.4:2:16669796:16675738:1 gene:LPERR02G19290 transcript:LPERR02G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGLALRRSLLLSKPICASFSARALPPAAHSCLATVAAAAAATPSTRTCRCRSSVSSESSTAETTTDDEEEEGNLDADADTDEEEEVDPLAEVCYLDPEAEAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIITRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQRGTKPLLTLDNPFPTNLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >LPERR02G19300.1 pep chromosome:Lperr_V1.4:2:16670430:16672726:-1 gene:LPERR02G19300 transcript:LPERR02G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPRVFLWASAPPTPPSAAASSSSPSVPSTWTVQHKQPGHTFYRRRHVQSFLTFASADASGGKRSSGENVVMVDPLEAKRLAAQQMQEIRAREKLKRRRQGEAINGALAMIGLTVGLVVEGQTGKGILAQVLYLNIKKQDHIYNQNDN >LPERR02G19300.2 pep chromosome:Lperr_V1.4:2:16670430:16672726:-1 gene:LPERR02G19300 transcript:LPERR02G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPRVFLWASAPPTPPSAAASSSSPSVPSTSRQAWTVQHKQPGHTFYRRRHVQSFLTFASADASGGKRSSGENVVMVDPLEAKRLAAQQMQEIRAREKLKRRRQGEAINGALAMIGLTVGLVVEGQTGKGILAQVLYLNIKKQDHIYNQNDN >LPERR02G19310.1 pep chromosome:Lperr_V1.4:2:16676723:16683143:1 gene:LPERR02G19310 transcript:LPERR02G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDGSAAAAASEAEAAAPVPVQIAAESGDAETEQCQPFFSMCLPIRSVSYSNPWDIVCAPTIKSSEHNSNLDSMLHKYAPSNPCSDWSEYNQAHSESGTEPCDTEGNQLYLSFSHSIGSELVGAGLSNMGNTCFLNAILQPGQQEDAHEFLSCLLANLDECTTDPKPKDKPSSFNEESIVKQLICCECGHCSETYEPFLDLSLEIDQVDNLVHALESFTKLEQVGDPEDKLICEHCNTKVCKNKQLMIDRSPDVIAFHLKRFTTLDNSVEKISKHVVYPLELDLKPFHSDPDVDKELKYDLYAVVEHQGLYSHGHYVCSVRSSSSTWHLMNDSHVETITEAKALDQEGYILFYVRQGKFQWFSSLLEQKDVLHPESTSPVSVLENIDVDCPTSSGEGTNNSSGDNLEKDETSQCKTSFLPEEPAKGCPVTVDLKDEIMPCISSRHDGVAIRCHLGSGAEITNSERPSTPPRRKRFFSDNELNVFEYEDFGNEDEEIHLFGNLKFPSKAKKAKGESASKSMKGPCIDKNVTRLVRSMPSARRKGMMDCLNSQIDAEQDSRSCPRSHPLSKKKLSVPVPINW >LPERR02G19320.1 pep chromosome:Lperr_V1.4:2:16689472:16690605:-1 gene:LPERR02G19320 transcript:LPERR02G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMCAHSHSETSSISHHAVNEILDCTIENLLNQSGDLAPSDMEEVQVPHDSNVVMPFGDISMMFVPPIQGEFTNLLFQAHHETATSRHLDFDQGPNTSTTKE >LPERR02G19330.1 pep chromosome:Lperr_V1.4:2:16712239:16714183:1 gene:LPERR02G19330 transcript:LPERR02G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAPTLLKPGGQRARTNHHQPRRLRRPTPRVSCCSTASLAATKSFMTRTHPPPPPPPPAPSPHRTRNGAEILVEALERRGVRDVFAYPGGATLEIHQALTRSRLIRTHLLRHEQGEAFAASGYARSSPPSPTARPGVCVATSGPGATNLVTALADAHLDSVPLVAITGQVPRHMIGTDAFQETPIVDLTRAITKHSYLVLDVTDIPRVINEAFFLATSGRPGPVLVDIPKDVTQQTAVPSWDTPMRLPGYVSRLPKPPSTDLLDQVIRLVGDARRPVLYVGGGCSASSDELRRFVDLTGIPVTTTLTGIGNFPSDDPLSLRMLGMHSTVYANYAVDNADLLLALGVRFDDRVTSKAEAFASRAKIVHVDIDPAEIGKNKQPHVSICADVKLSLHAINAMLQQQQHKINLDFGAWRSELDQKKSEFPLSYKTFGESIPPQCSTRFTNGEVIIATGVGQHQMWATQYYTFKRPRQWVSSAGLGAMGFGLPAAAGGAVANPGATVVDIDGDGSFTMNIQELAMIRVENLPVKVMVLNNQHLGMVVQWVDRFYKANRAHTYLGDPRKESEIYPDFVTIARGFGIPAARVTKKSEVRDAIEEMIATPGPYLLDIVVPYQEHVVPMIPVYGAFKDIILDGDGRTSY >LPERR02G19340.1 pep chromosome:Lperr_V1.4:2:16715690:16718765:1 gene:LPERR02G19340 transcript:LPERR02G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYETPLGYSIEDLRPAGGIKKFRSAAYSNLLPGDREDHQSHFLPSSSLASSVETMAVLSVADSPPVSAIGFEGYEKRLEITFSEAPVFVDPNGRGLRALSRSQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAILNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVALEMCMTGLDKQKASVFFKTSADGHVTCAKEMTKLSGISEIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFDPASIAYGDLVKRVLRCFGPSEFSVAVTILGGRNHAGTWGKGLDVGRYACSNMVEQELPSGGLLIYQSFTATAEVATGSPRSVLHCFADEITENSTKDGKMDALYWEYDAVEEIDGMESKKMRSC >LPERR02G19350.1 pep chromosome:Lperr_V1.4:2:16720781:16730032:-1 gene:LPERR02G19350 transcript:LPERR02G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPELPADAAGVSPAEQAGDAAAVAAAAVLAAVSVFGLADAGAVEAAVPALPAPRRGGGKKPSSSSSAPAPSSAAAPHAPAQASGRPLEEYVREWQAKKAALGVPPNRCELPFLTGAPKAVECRLCSKIIYPGEEIKCSVSRCEEMFHLSCAAEDTANFVAESFKCPQHNADVTNSIEEAFCRLPLPYVSEDFKIDSTIRDFTAAVCKPPHFTFIRRNVYLIKKKRPGSRAESGCTNCRADSTCKDDCECRGTYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLERLEKGDFIIEYVGEVINDATCEQRLWDMKSRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIDIGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQSALAVAVLENQLLGSLPTQEDTSASRHKPTTHLLPWTNCIEVPFNLRSKRKINRICWGCKRKRSAVAATSPTSISAPVTEAAASLP >LPERR02G19350.2 pep chromosome:Lperr_V1.4:2:16720781:16730032:-1 gene:LPERR02G19350 transcript:LPERR02G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPELPADAAGVSPAEQAGDAAAVAAAAVLAAVSVSVVAAEQPEPPSGGDGDGVLGGHGDGAPVLPVECRWSGRVRSFGLADAGAVEAAVPALPAPRRGGGKKPSSSSSAPAPSSAAAPHAPAQASGRPLEEYVREWQAKKAALGVPPNRCELPFLTGAPKAVECRLCSKIIYPGEEIKCSVSRCEEMFHLSCAAEDTANFVAESFKCPQHNADVTNSIEEAFCRLPLPYVSEDFKIDSTIRDFTAAVCKPPHFTFIRRNVYLIKKKRPGSRAESGCTNCRADSTCKDDCECRGTYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLERLEKGDFIIEYVGEVINDATCEQRLWDMKSRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIDIGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQSALAVAVLENQLLGSLPTQEDTSASRHKPTTHLLPWTNCIEVPFNLRSKRKINRICWGCKRKRSAVAATSPTSISAPVTEAAASLP >LPERR02G19350.3 pep chromosome:Lperr_V1.4:2:16720781:16730032:-1 gene:LPERR02G19350 transcript:LPERR02G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPELPADAAGVSPAEQAGDAAAVAAAAVLAAVSVSVVAAEQPEPPSGGDGDGVLGGHGDGAPVLPVECRWSGRVRSFGLADAGAVEAAVPALPAPRRGGGKKPSSSSSAPAPSSAAAPHAPAQASGRPLEEYVREWQAKKAALGVPPNRCELPFLTGAPKAVECRLCSKIIYPGEEIKCSVSRCEEMFHLSCAAEDTANFVAESFKCPQHNADVTNSIEEAFCRLPLPYVSEDFKIDSTIRDFTAAVCKPPHFTFIRRSILKKSVLVLARSLVAQTAGQILLAKMIANADMCTNKPFRKDKKIKAVKTKRCGWGAISLERLEKGDFIIEYVGEVINDATCEQRLWDMKSRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIDIGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQSALAVAVLENQLLGSLPTQEDTSASRHKPTTHLLPWTNCIEVPFNLRSKRKINRICWGCKRKRSAVAATSPTSISAPVTEAAASLP >LPERR02G19360.1 pep chromosome:Lperr_V1.4:2:16731382:16735684:1 gene:LPERR02G19360 transcript:LPERR02G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALISLSKLTRRLLPPLAAARAPHPILLRHLHGDFTPPPPPQAPPPVASRILQSELIPSADADGEQEPEDLAAVDPDLDEFLARLVAALRPILTAAFPTHARHVLDEMLRLVAEAVMCQLTGVDPGEGAVELSDDLWAAVWEVSATVRDGMHRDRVRAELQNYLHCDEVKEMTRFAADVGIRGAMLRELRFKWAREKLEEVEFYRSLDDMRAQAEMAANPVKLLPPRLEALPQRKGEIKFKIHGLDLSDPVWGEVVERAAEAEAHFVPEEAKAVEGKAKKAEGKLLAVDPRKGDPVPSMEEWKEELRPRRADWMALLERVKARNVELYLKVAEILLAEESFGATIRDYSRLIDLHSKANHVDDAERILGKMKEKGIVPDVLTSITLVHMYSKAGNLEQANQAFEFLKGEGFPPDMKLFTSMINAHLKSGDLKRAEKLLLQMEKSLKPTKELYMDVIKAFAERGITDGAERVKTNMLLAEYELTPELYTSLIEAYGRTGHSDQAFMLFEQMRKSGHEPDDRCIAGMMVAYMMKNKLDQALNFLLRLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQLVQKIRKAGEEPTEMHVFLADMYAKSRQEEKARKSLKILEEKKKLLKADQFERIIGALLEGVLTEEANKYFKMMKACGFVPSATIEIGVKASFGVRGGAGRHRG >LPERR02G19370.1 pep chromosome:Lperr_V1.4:2:16736153:16737402:-1 gene:LPERR02G19370 transcript:LPERR02G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRHTIILMQPSQNRASRTFMDYNSINHALDGLCGLYERKLRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >LPERR02G19380.1 pep chromosome:Lperr_V1.4:2:16738677:16738928:-1 gene:LPERR02G19380 transcript:LPERR02G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWSGVLVDPTRDTSTILSSPPRVFVTKAGASRTRFRRASPPEPYLLSQILALLLLLLPLPPPTPTTTLAAATSSDLCAAAP >LPERR02G19390.1 pep chromosome:Lperr_V1.4:2:16741924:16747914:-1 gene:LPERR02G19390 transcript:LPERR02G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQADQAVINLRPGGGGGLGGGGPRAARLFPFAGSVDFLRPHGGASSSGFANKLGGSRFGPLERVHYTRDQLVELHEIVDIPENILKLKQDIDVELHGEDEHWTHNDSNVQTQSYNRYVEADNRDWRSRSEQLAQTPAIVGEEKSWDSIHESKESYTSSGKQDQLNNQNKLSSQFSAKAQVGRAPALVKAEVPWSIQRGTLSDKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITKADILKDVIGLIFEKAVLEPTFCQMYSQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRAEVNKMTGPDQEMEKQDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSQRVNDVYFNRLKELTSNTQLASRLRFMARDVLDLRSNKWVPRREEMKAKKLSEIHREAENNLGLRPGSTANIRTGHSGTAGPLSPGAFPMNQPGIGGMMPGMPGARKMPGMPGLDNDGWEVPRSRSMPRADPLLNHAPLVNKPPPNNFRLLPQGSGAFISGKTSALVGNGGPLSRGLVVAPSQTAGPPKPVNPAPSVDPVIEQPAAAPKSSSTGLQKKTISLLKEYFHILLLDEAQQCIEELESPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYTKNVFKATDLETGCLLYSSLLDELAIDLPKAPAHFGEIIGRLVLSHCLSIEVVEDTLKKIEDSFFRVEVFEAMMKTIKANPSGESILSSDVAKINACISLISSG >LPERR02G19400.1 pep chromosome:Lperr_V1.4:2:16755643:16759484:1 gene:LPERR02G19400 transcript:LPERR02G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRMRLWNSNLDLVVPRFHTPSVYFFRRGEAAEDGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINAWSDLCRGVPIAVMPFIDRTLVRARDPPAPSHPHVEYQPAPAMLASSEPQPQATLTAAAKPAASPPPTAVDIFKLSRADLGRLRSKLPAEPRFSTYAVLAAHVWRCASLARGLPAEQPTKLYCATDGRQRLQPSLPEGYFGNVIFTATPMAEAGKVTASLADGAATIQSALDRMDNGYCRSALDYLEMQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVIPSANRDGSLSVAISLQAEHMEKFRKLIFDF >LPERR02G19400.2 pep chromosome:Lperr_V1.4:2:16755616:16759484:1 gene:LPERR02G19400 transcript:LPERR02G19400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRMRLWNSNLDLVVPRFHTPSVYFFRRGEAAEDGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINAWSDLCRGVPIAVMPFIDRTLVRARDPPAPSHPHVEYQPAPAMLASSEPQPQATLTAAAKPAASPPPTAVDIFKLSRADLGRLRSKLPAEPRFSTYAVLAAHVWRCASLARGLPAEQPTKLYCATDGRQRLQPSLPEGYFGNVIFTATPMAEAGKVTASLADGAATIQSALDRMDNGYCRSALDYLEMQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVIPSANRDGSLSVAISLQAEHMEKFRKLIFDF >LPERR02G19410.1 pep chromosome:Lperr_V1.4:2:16760197:16760514:1 gene:LPERR02G19410 transcript:LPERR02G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAFFWAAAWSAGWRDPAAPRQAPVLPRLDLSPQRWIWPWANQPPQRGPVRRLPARRLGLVWLQPLFPPSSPRRRQSGVSAFSGDHDDSATRLAAAAVGVQRG >LPERR02G19420.1 pep chromosome:Lperr_V1.4:2:16766831:16770223:1 gene:LPERR02G19420 transcript:LPERR02G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRTAAALAPPPSPTPARDPRRSCTAAARDRADGDGVTGETGPDDDTAGAEETPSVLVTTLQLYKEALINDDEAKVTEIEDFLFSIEEEKNSLLSKITALGAELATERDRILRISADFDNYRKRVEREKLSLMSNVQGEVIESLLPVLDNFERAKTQIKVETEQEAKINNSYQSIYKQFLEILNSLHVEDVETVGKPFDPMLHEAIMREESVEYEEGVIIQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVCDDPAMVEDSVAPQKVKETEDDGFDDDDAE >LPERR02G19430.1 pep chromosome:Lperr_V1.4:2:16770384:16772515:1 gene:LPERR02G19430 transcript:LPERR02G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVAAGVGVLLPFPFYWALWNHPQRWVDLCGGGDPCRRMAQVSHVLKALQLLALASVASFSWPPPLYSVLLLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYIFMMWVESKEDPATRAKLLS >LPERR02G19440.1 pep chromosome:Lperr_V1.4:2:16773209:16776633:-1 gene:LPERR02G19440 transcript:LPERR02G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSAYRDRRFSGSQEEYEAALQASTTVYVGNMSFYTTEEQAYELFTRAGEIKKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQKELEAQRELVDYGGAFQPNAPPQYDRGDRKRGYGDSYRNDRDFQRKRYRNDERSAQRAPDSESKRDANDSEKNPRFREKGDSDEEDDDYDKRRRR >LPERR02G19450.1 pep chromosome:Lperr_V1.4:2:16803731:16805137:-1 gene:LPERR02G19450 transcript:LPERR02G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPVKGRGRVRLNVGGRMFETTAATLASAGRDTMLGAMLDAAWNNSDCTVAADEEEYFIDRDPDCFAVLLDLLRTGSLHVPPHVTDRFLCREALYYGLLDAVRAARWGPLDGDRLCLAASVPGTATGDGTAVRAAPDGGLCVAHGGAVRVYNWVLEERRPVNLHHAPVNDAAYLDESTLLLAARDRPGGGGGVAAFSALTGDLTHRYRVSHDRQVRPFTPGALAFDPHRGNIFASCKGRFNEYGIGVWDSTAGEQVGFLYEPPGCALGDADKLQWIDGTGTLMAATMFPRTDSSFISLLDFRDKNGVAWSWSDVGTPASSMEDKHVLHAVAMDDGQSLCVINQYDDLGFLDIRSSGNASGGVRWRSRSKLAAATRKKQKRNAPPQRAHGEEETCYPKLAAHGGQLFASTGDAISVFSGPDYVLTSTLRGSDGAGAICDFSIGGDRLFALHDEVNVVDVWETPPAPVI >LPERR02G19460.1 pep chromosome:Lperr_V1.4:2:16810464:16816666:-1 gene:LPERR02G19460 transcript:LPERR02G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLGELEEKLRGVGEKLLSSPHEDGPSLLKLIHEAEEYILKVEQAPPESTVRAMTPAMAALVKKELLSHSTYEVQLSAVSCISEITRITAPDTPYGDDVMKDVFSIMVGSFEKLDDTESPLFKRIVAILETVAKVRLCVVMLDLECGDLIQQMFKNFFTTVKSNHSETVVSCMTSIMKLVIEEDEDIDKPIAECLLQHSKIEMKESSAASFQLAEKVIEGCSFKLKPVFRKLLQGAPLNIYSSIIKAICEDNSDVKEDPSVKDMVDDGKLSERTISDELPQDPSKLDEDVTQTTAFGSGATPVDNGTEAPAANPKELSNLDVDTVKDGVKQSPKVANGAADGTSEPVDGTPVVLKPRRGRPPALKSLEKKAVGTKGLGLKKVETTESAGKSTKRSPKPDAKPSTRKASGGGSSQKQQKISLKQQKDETDSKEDTAKDISLKDMVMPKSISKSSARTKSNQGQESTGTKRKRSQEDELETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGVVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFASEEQDKTPDVASEISPKPRGRSRKGRGSSVQLKEGNAETPKSGGVDLPKKRGRPKGSSSNTPKSNISATSSKSIGKAARKDANETPALKKEAEKGSEDNASQSAEKKKDDLPEDGSNKSASKAKEASSGGKDLKDESKPSEGKAKPGRKPKATAAAVVAGEESKANVSAEIEKRKETEGDSAEVAEQEGSAGGASTGGKKRRRKA >LPERR02G19460.2 pep chromosome:Lperr_V1.4:2:16810464:16816666:-1 gene:LPERR02G19460 transcript:LPERR02G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLGELEEKLRGVGEKLLSSPHEDGPSLLKLIHEAEEYILKVEQAPPESTVRAMTPAMAALVKKELLSHSTYEVQLSAVSCISEITRITAPDTPYGDDVMKDVFSIMVGSFEKLDDTESPLFKRIVAILETVAKVRLCVVMLDLECGDLIQQMFKNFFTTESSAASFQLAEKVIEGCSFKLKPVFRKLLQGAPLNIYSSIIKAICEDNSDVKEDPSVKDMVDDGKLSERTISDELPQDPSKLDEDVTQTTAFGSGATPVDNGTEAPAANPKELSNLDVDTVKDGVKQSPKVANGAADGTSEPVDGTPVVLKPRRGRPPALKSLEKKAVGTKGLGLKKVETTESAGKSTKRSPKPDAKPSTRKASGGGSSQKQQKISLKQQKDETDSKEDTAKDISLKDMVMPKSISKSSARTKSNQGQESTGTKRKRSQEDELETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGVVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFASEEQDKTPDVASEISPKPRGRSRKGRGSSVQLKEGNAETPKSGGVDLPKKRGRPKGSSSNTPKSNISATSSKSIGKAARKDANETPALKKEAEKGSEDNASQSAEKKKDDLPEDGSNKSASKAKEASSGGKDLKDESKPSEGKAKPGRKPKATAAAVVAGEESKANVSAEIEKRKETEGDSAEVAEQEGSAGGASTGGKKRRRKA >LPERR02G19470.1 pep chromosome:Lperr_V1.4:2:16820856:16821440:-1 gene:LPERR02G19470 transcript:LPERR02G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKTTKSGSEMTVVRGLDVPRYMGRWYEIASFPNFFQPRDGRDTRATYELQPDGATVHVLNETWSKGKRDYIEGTAYKADPASDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDEGYNYALVGEPRRKNLWILCRKTSIEEEVYEQLVEKAKEEGYDVEKLRKTPQDDPPPESDAAPTDSKGTWWFKSLFGK >LPERR02G19480.1 pep chromosome:Lperr_V1.4:2:16823150:16825563:-1 gene:LPERR02G19480 transcript:LPERR02G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLSTKKTTKGKKPGKAGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGAGKKAFVAA >LPERR02G19490.1 pep chromosome:Lperr_V1.4:2:16829637:16836315:1 gene:LPERR02G19490 transcript:LPERR02G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRSSSLRSAILRLGSSRALSASSAAASGPRRRDARTAAAAATAVTVAAGSGLGIWLLPPSPQPLADSGQVGNVSVADAAAFGRVAAAAVEEEPEEKRKFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSESKVVREGRLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNVAFKMFDLDHNGEIDKEEFKKVMTLMRSYNRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEHLHYDKFSSFLKQLHDEIVRLEFSHYDVKSSKTISAKDFALSMVASADMNHINKLLDRVDDFDDDNDLKDLRVTFEEFKAFADLRQKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCGVDLTDKVVDVIFHVFDANRDGSLSADEFLRALQRRESDIRQPATSGFLGVLEGEDNYH >LPERR02G19490.2 pep chromosome:Lperr_V1.4:2:16829637:16837524:1 gene:LPERR02G19490 transcript:LPERR02G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRSSSLRSAILRLGSSRALSASSAAASGPRRRDARTAAAAATAVTVAAGSGLGIWLLPPSPQPLADSGQVGNVSVADAAAFGRVAAAAVEEEPEEKRKFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSESKVVREGRLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNVAFKMFDLDHNGEIDKEEFKKVMTLMRSYNRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEHLHYDKFSSFLKQLHDEIVRLEFSHYDVKSSKTISAKDFALSMVASADMNHINKLLDRVDDFDDDNDLKDLRVTFEEFKAFADLRQKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCGVDLTDKVVDVIFHVFDANRDGSLSADEFLRALQRRESDIRQPATSGFLGVLEGEDNYH >LPERR02G19500.1 pep chromosome:Lperr_V1.4:2:16834709:16837318:-1 gene:LPERR02G19500 transcript:LPERR02G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSGRLTAAVAVSLAALALLAVIIAALSSGTGGARSTDSRGADHSLREIPHEPHNSTTASSVHADRPTNNSKVMRGKIEQDLARSRAAIRRAARAAAPTSSDESIRRRGSKFAAGDNYVPRGAIYRNARASYVEMERKFKIWTYREGEPPVAHLAPGTDIYSIEGQFMYEMEDPQSRFAARRPDEAHAFLLPISICNLVHYVYRLNATGDLSPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTGANRQLYGNAIRVLCNANTSEGFLPRKDVTLPEVNLADGVLRLPTPGLPPENRTTLAFFAGGRHGHIRDLLLRHWLGRDAAQDTDMPMRVHEYLPDGEDYHAHMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMSVTVPAARIPELRAILGRVSERRYRVLRARVLQAQRHFVVHRPARRFDLIHMVLHSIWLRRLNVRLPY >LPERR02G19510.1 pep chromosome:Lperr_V1.4:2:16838353:16844346:-1 gene:LPERR02G19510 transcript:LPERR02G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVHEDDSFQTSTSFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSILPRDLSQQIFNELVESNRLTESLLETFRDCALQDICLGEYPGVNDAWMEVVASQRHSLLSVDISCSEVTDSGLHLLRDCPNMQSLACNYCDQISEHGLGILSGLSNLTSLSFKRSDGVTAEGMEVFTNLVNLINLDLERCLKIHGGLVHLKGLRSLESLNMRYCNSIADSDIKYLSDLTNLKELQLSCCRITDLGVSYLRGLSKLTQLNLEGCPVTAACLEAISGLASLMSLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLVHLKELINLEYLNLDSCKVGDEGLLHLKGLMLLKSLELSDTEVGSNGLQHLSGLFNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDFGTGCFRFFKNLQSLEACGGYITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNLSNTRVSNAGLCHLKDLQNLRSLSLDSCRVTANEMKKFQATVLPNLINVRPE >LPERR02G19520.1 pep chromosome:Lperr_V1.4:2:16849046:16857322:-1 gene:LPERR02G19520 transcript:LPERR02G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTPSPPAAAAAAAASDDPSPSPSDSPSATFSVERRGDASASCRWTLPDFPRTRARTLYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPTSSSSATTTSSSSKWECFLSYRLSVAHPTDPSKALLRDSWHRFSSKKRSHGWCDFAPSSAAAYLFPPHDSLVITADISVLSEAASFAEADGRFTWKLLNFGTFREMIRTQKIMSPAFFPVANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSASALPSSGGGSGVPDGDRGCWCIFRVSVLNQRPGGSHIHKDSYGRFGADNASLGWGDYVKMDEFLAADGGYLVDGAVVFSASVHVIKESNSFTRSLPMIAGISSAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRKFQVGNRDCRLIVYPRGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKLEERTIMKESQNRYSKLAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETATIQELSDEDSEACSSSSGCQIDSLPKCPSFMWKVENFLSFKEIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESEDTEDMSGDEEDMFRNLLSRAGFSLTYADNCSQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTRAGGKKDVSKCDSNSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEERSAYFLSSASSKTSPDSNGASSPPELNVEGEPTECACSNNYERLKPGTDDIQRRLSVQNTDRCTNDIPAGVLEQSCFTPEVSGTDLLEDEGSDQASRTKWPEQSEELLGLIVSSLRALDSAVPHGCPERRRRPQSVQKIALVLEKAPKKLQPDLVALVPKLVDSSEHSLAACALLDHLQKPDAEPSLRLPVFNALSELEFDCDIWKRASFHALELLADSNDEPLVEAITYVLKAASQCQHIAQAARAVRWRLKDLGTEVPLCVLDFLSKTVHSWSDVADALLKDIDSDCGPDSSHLPTSCSTCADGFSAEGMHSLQDQAVHGKDHLSDVFILIEMLSIPRMFVEVSQVLQRALLRGTFGLQLVAMVLERRHSHKLSLKSGTGTMVNDSQDKQVLFDGQFEPLPVQEDDLTSVLALGEVLSLSTETMVQDFVRMLYAIIFKIYAEDHYRYRILKALVERATNTSDSCRAVDIDMDVLVFLVKEEFGIARPVLNMLREVAEVAQAERANLWHQICATEDENMRLREDMDMEQTKFTKEKDVLMHRLTESEAATAHLRSELKAERDRFIREKKELSEQMLEMENQLEWVRAEKEEQFVKLSADKNNLHARLHEAETHLSQFKLRKREELKKITKEKNDLAERLKGAEASRKRFDDELKRYAAETQTREEIRKSLEVEVRRLTQTVGQTEGEKKEKEDQIARCEVYIDGMESKLQVCQQYIRTLENSLQEEMARHAPLYGVGVEALSLDELETLASIHEQSLRQIHAIQQRKGSSHLLNAPSLPHVPGFYSSPPSMAVGLPSSLIPTSSIAPNGAGTHGNGHMNGSMGNWFNPT >LPERR02G19530.1 pep chromosome:Lperr_V1.4:2:16861083:16865511:1 gene:LPERR02G19530 transcript:LPERR02G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYKGKGCCTSRGSNRIGRTGRPERRRRRRRPRAVLATKRGRRTERELRATLSFSIPTHSSCDGSAANRSLKKFVLGSNFLKEFRETLGNSASSCPRPKREKKKCGALSGISPHCLFFDFRLVEFRPSPACADLLHPVASRVGQGPCPSSFPPHPRPREDQAYQHQFILHASLDVVQDLAWATNTMFLKSVDRFEDLVVFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >LPERR02G19530.2 pep chromosome:Lperr_V1.4:2:16861083:16865511:1 gene:LPERR02G19530 transcript:LPERR02G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYKGKGCCTSRGSNRIGRTGRPERRRRRRRPRAVLATKRGRRTERELRATLSFSIPTHSSCDGSAANRSLKKFVLGSNFLKEFRETLGNSASSCPRPKREKKKCGALSGISPHCLFFDFRLVEFRPSPACADLLHPVASRVGQGPCPSSFPPHPRPREDQAYQHQFILHASLDVVQDLAWATNTIFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >LPERR02G19530.3 pep chromosome:Lperr_V1.4:2:16861104:16865511:1 gene:LPERR02G19530 transcript:LPERR02G19530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >LPERR02G19540.1 pep chromosome:Lperr_V1.4:2:16880802:16886808:1 gene:LPERR02G19540 transcript:LPERR02G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAVAVVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEAGERVLIVDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKECACLHSLLFASERWKDIDKSAVHY >LPERR02G19540.2 pep chromosome:Lperr_V1.4:2:16880672:16886808:1 gene:LPERR02G19540 transcript:LPERR02G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVVLMEAAKSPKENGHSGVAAAVTDAPASAAPTAAKAVAVVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEAGERVLIVDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKECACLHSLLFASERWKDIDKSAVHY >LPERR02G19540.3 pep chromosome:Lperr_V1.4:2:16880672:16886808:1 gene:LPERR02G19540 transcript:LPERR02G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVVLMEAAKSPKENGHSGVAAAVTDAPASAAPTAAKAVAVVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEAGERVLIVDDLVATGGTLSAAIRLLGMRMPSFLTVCK >LPERR02G19550.1 pep chromosome:Lperr_V1.4:2:16892486:16899086:1 gene:LPERR02G19550 transcript:LPERR02G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGGLLLPISMEGGKGGGGGGDDDALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLRRTIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGKVILLRFFLVRIILLWFLLRWLFFLFLFLIIIKRIISWQWMGGERRVIFSSSGAMHTTSASHLPRLLLAGTAARAARETTPPATAADGAERHQTDAMGEMRRRRRS >LPERR02G19550.2 pep chromosome:Lperr_V1.4:2:16892486:16899021:1 gene:LPERR02G19550 transcript:LPERR02G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGGLLLPISMEGGKGGGGGGDDDALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLRRTIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >LPERR02G19550.3 pep chromosome:Lperr_V1.4:2:16892486:16899288:1 gene:LPERR02G19550 transcript:LPERR02G19550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGGLLLPISMEGGKGGGGGGDDDALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLRRTIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >LPERR02G19560.1 pep chromosome:Lperr_V1.4:2:16897103:16899055:-1 gene:LPERR02G19560 transcript:LPERR02G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWCLSAPSAAVAGGVVSLAARAAVPARRRRGRWDALVVCMAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPQEEPQEDDPDKEEPEEDDPDKPTE >LPERR02G19570.1 pep chromosome:Lperr_V1.4:2:16909690:16914301:1 gene:LPERR02G19570 transcript:LPERR02G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDNSPPAAIDISSATDFYGLPTQPAADAHGLGVPGHHHNASYGIMEAFNRGAQETQDWNMRGLDYNGGASELSMLVGSSGGKRAVDQENGGEPKLEDFLGGNSFVDSEQDQAAAAAAAGGFLFSGVPMSSTTTNSNSGSNTMELSMIKTWLRNNGQVPAAGHHQSHPHQQPATTQEAAAAAEMSTDASASSFGCSSDAMGRSNNGAVSAAAAGGASQSLALSMSTGSHLPIVVAGGGGAAGAAESTTSSENKRASGAMDSPGGGVIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHADQLAAAGATIWRAADMDGAGVGVISGLADVGAAYASYHHHHHHGWPTIAFQQQPSPLAVHYPYGGAAPPSRGWCKPEQDAAVAAAAAAHSLQDLQQLHLGNAAHNFFQASSSSTIYNGGGYQGVGGGGNGFLMPASTVVAGGGEHSSTATNQGSTCSYGGGGSEEQQGKLIGYDAAMAMASAGGVYGQLSQGSPASTVSIARANGGYSTNWSSPFNGMG >LPERR02G19580.1 pep chromosome:Lperr_V1.4:2:16923395:16927004:1 gene:LPERR02G19580 transcript:LPERR02G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGERGPNAQYHPLVNPLVSSRPRLPSARESDRRPSLSPSHHEQRRRHGAPLLPPPHPLWSSTGDFQLNSMAQVTATANAQLFGFPAANRYGVPVSSRARPSILNLRTPALRHDRRVQPLRVGAALFPPSFTKYDPIKGIKPLHSVHKLRPKTQVVCQASLSSFTFPELTSKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMAIAFIQISTVLECMRCALAGMYPNVPFVSHTAFIHSDMNMFR >LPERR02G19590.1 pep chromosome:Lperr_V1.4:2:16925783:16928956:-1 gene:LPERR02G19590 transcript:LPERR02G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGENEGEEEGARAFHRPRRPAPEQRGSKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLIMKWLGHIQPSYLPFPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCLLEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAIIAVWSTALQQHYVHLLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFMVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLSLGFLFFGKEGLNFHVVFGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHGMSSSQSEIDQKV >LPERR02G19590.2 pep chromosome:Lperr_V1.4:2:16925783:16928236:-1 gene:LPERR02G19590 transcript:LPERR02G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLIMKWLGHIQPSYLPFPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCLLEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAIIAVWSTALQQHYVHLLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFMVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLSLGFLFFGKEGLNFHVVFGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHGMSSSQSEIDQKV >LPERR02G19590.3 pep chromosome:Lperr_V1.4:2:16925783:16928956:-1 gene:LPERR02G19590 transcript:LPERR02G19590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGENEGEEEGARAFHRPRRPAPEQRGSKAERKAVLDAGAWMFNVVTSVGIIMIAKLCIIPVLCLLEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAIIAVWSTALQQHYVHLLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFMVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLSLGFLFFGKEGLNFHVVFGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHGMSSSQSEIDQKV >LPERR02G19590.4 pep chromosome:Lperr_V1.4:2:16925783:16928236:-1 gene:LPERR02G19590 transcript:LPERR02G19590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAVLDAGAWMFNVVTSVGIIMIAKLCIIPVLCLLEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAIIAVWSTALQQHYVHLLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFMVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLSLGFLFFGKEGLNFHVVFGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHGMSSSQSEIDQKV >LPERR02G19600.1 pep chromosome:Lperr_V1.4:2:16932719:16935683:1 gene:LPERR02G19600 transcript:LPERR02G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAANKKAAKLAAENSSLAKALDAKDAAIAELRQSKSASDGELAGARAKLEAAQKQSASLEYEVRMLQKELEIRSQEREYDLQSVDASRRQQEESHRKVALLEAECQRLRAMVRKRLPGPAAIAKMRDEVEHLATPAPGATPRRPRPVTPMSPRSVSATPMTPRPMTPMSSSVGRPLSSAGRPMTPRRAATPERDAAARQLRAVEEENKALKQTLAKRDAELQFVQMKYADEACKLSVLQRQLSELSEENKQLTDAHGQTESWASALISELEQFRAAKQKGQGGVASEKSLLDDFAEIERLEMASGGQGLRPPGASPKKAHSQSISSEKNGKDGGLENGTVSNGQPEWVQDMCKLVMNKHETSGENIDTILEGITRALDQSAIQEKGDDMNGLYDWTRVKEMVFSLTEKITSIVGISAESNVASSEQLLLDRSEFSARLEHLVHICHDLLDGKANLEKFVHEVCLVLEYIVSQYKNTSVQQQSGTVDKNMEDSDGDGDESLINMNGGCDIKSPESAAALDTDIQTKAQKESIQSVECQKLDLIVVNQEENQLDEELTRVILDQSEKFSHENNSTSCEIESPPAHQSAEGLPENEGKQLISSSDISAAAEKLAECQETITNLSRQLRALKSPAVSGNLDSSVSNSRPSSDKSDYKPQSLACILAEGEDSGTEGSSSPATKKVNSKKEPDAASRKSISQDGNANAALEAVQEELKQTIVHPMLPESKKESISADAKKKRRSPSLLGRIMFRKKVEGS >LPERR02G19610.1 pep chromosome:Lperr_V1.4:2:16938500:16940115:-1 gene:LPERR02G19610 transcript:LPERR02G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVLLPIAALFAVAFFVYRRRSLSRNAPPELPKTVGIGGGGVVGVGGGDAAASPGLGKVNIRYNATSGRAGLRFQQLHHHHHHAHVVDSRHHRGGVGVRVRRGGGAATAAGVEVGVVVAVAAVGALPGLRLRPRHGGGXWEVPVGSSERMQAVRLNPVTAAAAAAASSASTKRWLPGAIPSPLRGGAGEHEAAGTLCLARMSLPLPGPPLAGAPFPQDAYFEITVIYLNMRRPEWSSASRPASWRTKDSSESDRAKLMNATHDTTPATNTKHDHHHDDKQRHTVMSLGLAAASASPSRPSLPGTYASSIGFHSNGAVYLDGMKLVYESEKSAWLGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNAEAFTSPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFLRATSSSIGDGGRSGDHLDFDYDSGDLFSMGRVDSGWLETTSQVSKSRKENGVGGGDPDGDSDLFEISLRD >LPERR02G19620.1 pep chromosome:Lperr_V1.4:2:16943180:16944599:-1 gene:LPERR02G19620 transcript:LPERR02G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGELVRRQRLIDEEKRQTERSSIVDYVNRALSHYNANNPGAEFDPVKALAYASVSFRRALWVHVSFLARRRSSAADAAKLAEAAAATDDSDAKRGRKRRSRKRSKAPESPDKQFFAELRCEDYDSATVVTCTIIDKEGPHGFKTKCEFCPASFGILHPGDGKFVCGKRNQWNEFFLLRNELLMPYTWPKKESEKEPCPELGRSDLGWSQKAVDVFRKISPRGRA >LPERR02G19630.1 pep chromosome:Lperr_V1.4:2:16944932:16948920:-1 gene:LPERR02G19630 transcript:LPERR02G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFKRRVAGVWAWDAAPDAAGSSGVGGGGGGPPPPPPSSPLTSPSRGSSPPVHRPSTAEEETESERDQWNLDLCLHQYWSDTYDKFRCQVPWQEQQRMLSSTHVDRAIFQLQEDCFENLMLCGGWSRHHDLLKINLYAGNELIDIIAKSRVNTISLAGMGLGRRRAIALALQQCVKSAASELLSWIRVIKLFALKLWYCWTSPAIKRFLPVPRRLSWLMQTAMDSCALVACTVCTEAQHRLEFARLHGAWSFVFKAACPRKLRNCCQFYKFWNRKKGAYIELVLIMIQKKGGVQTTNSRPGPLLLPLSSFRCYDLYHSYDPENKLAAARLIYLHGPCIGVFFIDSKYHSYGRSRSRYCYDDDLDHVSYGGVSRDPLFRRDLTKKDEDAGNHAVVCYAYRFVEDELQLRILDNHTINGPRRWIKYKAFHQLITLRVDPLDRGLIYCSSR >LPERR02G19640.1 pep chromosome:Lperr_V1.4:2:16951093:16957573:1 gene:LPERR02G19640 transcript:LPERR02G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNRRDMCYGQEQHVKLAVFMQLILLLVCYGVGNGVHCSTLQENSADLQSLLDFKMGIIEDPYSLGTAAPTSAGGVVSSAPLDGHGLNLTDKSLAGKVSSSLANLTSLSFLDLSSNHFFGQFPLLNHLQQLETLYMNSNSLDGITPDTLTNCSKLRNIDLSGNQLRGTIPPKIGSLTNLEFLDLGNNQFTGIIPATVGNLTQLQFLRFRDNQLEGNIPDGVWKLSNLTSLVLGQNRLSGGIPQALNLPILLLLGLEENMLGPVLPPNIGYARPSLKRISLFSNKFEGHIPTSIGNALGLQILDFSKNNFTGQIPTSIGRLSQLSKLNLEMNQLEASEDQGFTVGWKQTIRDSSPSNAFVGPIPPSIGNLTRLIELFLDNNKLDGSIPPSLGYLTQLLVVDLSCNNLQGSIHHIGDGNLKQLVKLHLSSNKFSGGIPDALGQSQNLVIIQLDQNILTGDIPLSSGNLKALNILDLSHNYLSGTILSALNDLQLLSKLDLSYNQLQGEIPRNGIFENTTAVSLDGNWRLCGGAVDFHMPLCSAASRKIERKCDLVRLLIPIFGFMSLTMLIYVITLGKRKSRKAYLFLFSFGKQFPKVSHSDLVRATGNFSNSNLIGRGSYGSVYKGKLTMAKIDVAIKVFNLEMRRADRSFVSECEVLRTIRHRNLLPILTACSTIDYRGKDFRALIYELMHNSNLDRWLHCGHAGVVHKGLSMDQRVSIAVNIADALVYLHHDCGRPIVHCDVKPMNILLDEDMSAHLGDFGIASLVLDSPLTSDGNSGRNSSIVVKGTIGYIAPEYAQSVHASTYGDVYSFGVVLMEMLIGKRPTDSMFENELSITNFVEKNFPDNISHIIDAYLQEECKGFIHATRRTGNATYKCLVSLTQVALSCTRPIPTERMNMREIAVRLHAIRTSYVEAIKK >LPERR02G19640.2 pep chromosome:Lperr_V1.4:2:16951238:16957573:1 gene:LPERR02G19640 transcript:LPERR02G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQCDMCYGQEQHVKLAVFMQLILLLVCYGVGNGVHCSTLQENSADLQSLLDFKMGIIEDPYSLGTAAPTSAGGVVSSAPLDGHGLNLTDKSLAGKVSSSLANLTSLSFLDLSSNHFFGQFPLLNHLQQLETLYMNSNSLDGITPDTLTNCSKLRNIDLSGNQLRGTIPPKIGSLTNLEFLDLGNNQFTGIIPATVGNLTQLQFLRFRDNQLEGNIPDGVWKLSNLTSLVLGQNRLSGGIPQALNLPILLLLGLEENMLGPVLPPNIGYARPSLKRISLFSNKFEGHIPTSIGNALGLQILDFSKNNFTGQIPTSIGRLSQLSKLNLEMNQLEASEDQGFTVGWKQTIRDSSPSNAFVGPIPPSIGNLTRLIELFLDNNKLDGSIPPSLGYLTQLLVVDLSCNNLQGSIHHIGDGNLKQLVKLHLSSNKFSGGIPDALGQSQNLVIIQLDQNILTGDIPLSSGNLKALNILDLSHNYLSGTILSALNDLQLLSKLDLSYNQLQGEIPRNGIFENTTAVSLDGNWRLCGGAVDFHMPLCSAASRKIERKCDLVRLLIPIFGFMSLTMLIYVITLGKRKSRKAYLFLFSFGKQFPKVSHSDLVRATGNFSNSNLIGRGSYGSVYKGKLTMAKIDVAIKVFNLEMRRADRSFVSECEVLRTIRHRNLLPILTACSTIDYRGKDFRALIYELMHNSNLDRWLHCGHAGVVHKGLSMDQRVSIAVNIADALVYLHHDCGRPIVHCDVKPMNILLDEDMSAHLGDFGIASLVLDSPLTSDGNSGRNSSIVVKGTIGYIAPEYAQSVHASTYGDVYSFGVVLMEMLIGKRPTDSMFENELSITNFVEKNFPDNISHIIDAYLQEECKGFIHATRRTGNATYKCLVSLTQVALSCTRPIPTERMNMREIAVRLHAIRTSYVEAIKK >LPERR02G19640.3 pep chromosome:Lperr_V1.4:2:16951093:16957573:1 gene:LPERR02G19640 transcript:LPERR02G19640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNRRDMCYGQEQHVKLAVFMQLILLLVCYGVGNGVHCSTLQENSADLQSLLDFKMGIIEDPYSLGTAAPTSAGGVVSSAPLDGHGLNLTDKSLAGKVSSSLANLTSLSFLDLSSNHFFGQFPLLNHLQQLETLYMNSNSLDGITPDTLTNCSKLRNIDLSGNQLRGTIPPKIGSLTNLEFLDLGNNQFTGIIPATVGNLTQLQFLRFRDNQLEGNIPDGVWKLSNLTSLVLGQNRLSGGIPQALNLPILLLLGLEENMLGPVLPPNIGYARPSLKRISLFSNKFEGHIPTSIGNALGLQILDFSKNNFTGQIPTSIGRLSQLSKLNLEMNQLEASEDQGWEFLYELRNCMSLTMLSFSRNNLQGTIPHSVANLPSNLQVLLLGGNKLSGIAPPDNNKLDGSIPPSLGYLTQLLVVDLSCNNLQGSIHHIGDGNLKQLVKLHLSSNKFSGGIPDALGQSQNLVIIQLDQNILTGDIPLSSGNLKALNILDLSHNYLSGTILSALNDLQLLSKLDLSYNQLQGEIPRNGIFENTTAVSLDGNWRLCGGAVDFHMPLCSAASRKIERKCDLVRLLIPIFGFMSLTMLIYVITLGKRKSRKAYLFLFSFGKQFPKVSHSDLVRATGNFSNSNLIGRGSYGSVYKGKLTMAKIDVAIKVFNLEMRRADRSFVSECEVLRTIRHRNLLPILTACSTIDYRGKDFRALIYELMHNSNLDRWLHCGHAGVVHKGLSMDQRVSIAVNIADALVYLHHDCGRPIVHCDVKPMNILLDEDMSAHLGDFGIASLVLDSPLTSDGNSGRNSSIVVKGTIGYIAPEYAQSVHASTYGDVYSFGVVLMEMLIGKRPTDSMFENELSITNFVEKNFPDNISHIIDAYLQEECKGFIHATRRTGNATYKCLVSLTQVALSCTRPIPTERMNMREIAVRLHAIRTSYVEAIKK >LPERR02G19650.1 pep chromosome:Lperr_V1.4:2:17021829:17023145:1 gene:LPERR02G19650 transcript:LPERR02G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSVFLLRTSLSIWFIGDSSRCQTPCPKNTNSISVAKGPLQQQTLSRKTFPHRKRVSLKSQSAKGSSDRQNFTDRLSDHDVFALATDIALATDEALATDYQQRQTFCCKIVLLPTHS >LPERR02G19660.1 pep chromosome:Lperr_V1.4:2:17033306:17040519:1 gene:LPERR02G19660 transcript:LPERR02G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNQPVKITMLMLLALLLLSYGVGSIKCAAVPANHTDMLALLDFKAIASDPTSSLSSWNSSIYYCTWRGVICNPKNRGRVTALNLAGYGLSGAIAASVGNLTSLHTLDLSNNQFSGQIPALGNLQKMHFLNLSFNSLDGPIPDSLTNCSNLRIIDLYSNSLEGEIPLKIDLLSDLLDLIIAHNQFTGVIPPTLSNITGIEKLRLGYNNLQGSIPDELGKLPNLSVLLLGGNKLSGEIPQSLFNLSSLQRLTLELNLLGGSLPSNIGYAFPNIQQFYLGGNKFEGHIPTSLGNASLLKLIDLSNNTFSGQIPSSFGKLRNLIILNLEKNSLEANESQSWDFVTAITNCTNLEIFSVASNQLRGYIPNSIGNLSSALQQLTLSANMFSGVVPSSIGNLGQSLIRLSLDRNNLSGTIEEWVGKLTKLQNLQLELNSFTGQIPSSIGNLTEMTSILLGANEFEGPIPSTMGNLKRPTILDLSQNNLQGSIPLEITYLGELVNLDLSSNKLSGEIPDGWGKFQSIQIIQMEQNTLTGKIPESFGELKSLSTLNLSYNSLSGAIPTSLTALESSTIDLSYNRLQGGIPMDGIFENTTAVSLYGNWGLCGGVTGFHMPPCRHVSEGSSKSYYLIRVLIPIFGFMSLLLLVYFLFLVKKRSRNSYLSSQAYGQNFPKVSYNDLAQSTKNFSESQLIGKGSCGSVYRGKLKEPDMEVAVKVFDLEMRGAERSFMSECETLRSIQHRNLLSIITACSTVDNTGNVFKALVYEFMPNGNLDTWLHNKQEGNCPKRLEFNRRISIAANIADVLDYLHHDCGRPTVHCDLKPSNILLNDEMTAVLGDFGIAKFYADSGLAPRSSISSVGVKGTIGYIAPEYASGGHVSTSGDVYSFGILLLEMITGKRPTDPAFKDGLDIINFVESNFPHQIFSVIDADLVEECKEFSQATEVTAEVENAVHQCLISLIELALCCARRSPSERPNMKQVASKMHDIETPYPWKSKK >LPERR02G19670.1 pep chromosome:Lperr_V1.4:2:17042926:17044203:1 gene:LPERR02G19670 transcript:LPERR02G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLVLTLSHCLVLLSYVAAILASPALKGHYISREPQLLDFPNERLYRAYLVIQRFKGTITSDPKNITSTWSGHDICSETAYLGFYCDTPGQAKILTVTAVVLNGYGLRAPTVEGFIDQLPDLAFFHAASNNFGIGVPLLAGLTYLYKLSVVANDIQPQVSGSAGAQGTFTAGRCLQATINLKFHFDTDPKKKSKRKGWGAIPGATDSKVLLLNYNDLSGQLPSNLGFSKVSYLALANNKLTGPIPSSISHLQDSLQEMLLLNNRLSGCLPHELGMLTNAVVLDAGMNQLTGPIPPSFSCLTSVEQLNLGSNRLYGEIPDSLCKLAAGPAGRLANLTLSSNYFTSVAPSCLRLIKDGVLNVKNNCIPGLANQRRPAECASFLSQPKTCPAATAVHAVCPASAPAPADRVVKDYSSYVTYATLHK >LPERR02G19680.1 pep chromosome:Lperr_V1.4:2:17049299:17051023:-1 gene:LPERR02G19680 transcript:LPERR02G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVESDGDLAATREKAEREVLDTWIWDKEMDLLQREYQEKDEEDRLRYEDLRERDLCIYRAIEEQDGECRLENDELEEIYGPFGHEYESDYDSDGSAVSFYTQEILMKYCGGFRYKDGNPYYAADREERWEKQILKQMKFPLPELSENWAAEGSLVVEGPFNLDPNLTCTDHLMPQLPKWDVRWVNRDGKEPCRRAIQVLGLNLSSPSNVPLEIYGTFAFRDIRNSQLRNYVFDYSRENPCKLKPGARSLQPLITPARGIYAVGIVLVEYRLIIKGQKEDEDQILIDGYSIYAPSFYAEFQNLNWHINTGHFGTVDLTLFAIPKAIVIDLEFEVCQVEDNHEHDSLTIVATYNTVGTSFIIFNGKLNIGKLPALTLSARHDRQLSITVYTYYSPSGCHPDGVVRNSKFLRIFMLMTSILALKNMGRAQQHWPVTWTAFRWP >LPERR02G19690.1 pep chromosome:Lperr_V1.4:2:17063736:17069301:-1 gene:LPERR02G19690 transcript:LPERR02G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGITPDAYILSEGDQFVEGCPKRSQLWVKLKEMAASDSSSFRKSNATSLKMLLAKEMIKEVESKVKLPNVVARLMGLEEDLPAKGPVLHQTKSGFRKSQSSDQLKVTNMDSKQQLQQHFIKSTTQDIHPFYQERVGYNDVYEVSEAQTRMGYFQDEISPKGGSSGNTSDRMDILPGNFKETKCFGMSEKTLHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLIGSHTNLVPPPKKRIMVLKPLVSVEGDGIRKTGTKQIIEQNGAALRELHQTSNSKEENPSPPSRIVLLRPTPGKPSLTKSKLTPRTTSFLPIDPSDFRGALDDNGATLGSKKVAPDIIHNQKDGYHQQDESLLSPSYSNGYGGDESSLGDSEIDQNSDSDIDNIEGNGGSFSDVGGCSPVSKCTKRHDNPYSGSSLSKISHFTESSVTKEAKQWLSQRWATVTCDEISQEQERFPRSTCTLGEMLSLQELKNDDFIKWVPSSSTSQSCGTESELSTQAKYVIACRKDERNGDTPVRLPISASVPLIPSTLNNISANAETSNHQGHERTKRVLAPNKEKISFRGRVSDFFFPRGKRTRQISANHTCDWYSGKTKVCGLDSKPDVNHDLDGNEKTAICEDTPGICAVQISTSTSEGTTDLADVPTPLDCPSGNQNKLGLEVLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTISKNAKAVSRSSAIEAVACSLSWDDTTSESTLPGTRGHSSFLPDVDDDESECHILVQNIMSSAGLDDAESSMLFTGWHLPDCPLDPVLFNKVLELREQSSYRRLLFDCVNVALVEIGENTLLSTFPWSKAHCRTWGDDASPALGVEVWSILKDWIYGARMFVVNKRDNAGIMMDRIVKQEVEGTGWVKIMRTQLVNITEQIEGGVWEELVGEVVLDFVCL >LPERR02G19700.1 pep chromosome:Lperr_V1.4:2:17075677:17079843:-1 gene:LPERR02G19700 transcript:LPERR02G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRDPRSGPSDPSSMKPPRPPRGPSFQASEASRPLPVPSSPGGRLRKRVRFAIEANNHETCVRQDANTGKAEKNRPLGCDAKTTEYKFFKKLREQSGCRSHSFSKQNKDTRMESHNVMPDRKLPAQKSILSSEETPATPSKNEEIYHEQVNEKASHHEYDNNDTPQLNPHDYLPNIHVHTPITQISFEAVGISRNSGIEPWSGQIFSEKRGKILKLAAKTMSMESSEFLQRRSEVFTDILQRLGTNNMMKKHHKESMRLMKVCGHTPTGPECHFRDMLDYRLSEFGSPTKLITGKESSSYTSRDARQCMTLPWGYNRGCSSSLDWKIDLPQRSNEACESMALPWVHTGGLSDSSWKRDTAHNQVSNLLLEDVQPHTKSKPASASESDCIIETRPCAYHGWEPSAGLSGSIPNRFSIPCQVEKKHVSYDISNTFWQPDLRSPLEQCFPPSVRLEGQSQKEAEFSDNYGAGLLEQFISSSVGLEGQDQHEAGLFNYDTGLQSSFHQLHAKCSASSFLDTRNGILNHSDFSYISNLPSSESSNIVSNADRSCLNSIFSTSEHPFQLSSKRLHDSSVHISSLVGLEEKYSTEAGIFDNSDIGPTRGLDQSSFSNYTSGVLDHHRLRYMPPKGSSTLFMDANDAA >LPERR02G19700.2 pep chromosome:Lperr_V1.4:2:17075677:17079843:-1 gene:LPERR02G19700 transcript:LPERR02G19700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRDPRSGPSDPSSMKPPRPPRGPSFQASEASRPLPVPSSPGCDAKTTEYKFFKKLREQSGCRSHSFSKQNKDTRMESHNVMPDRKLPAQKSILSSEETPATPSKNEEIYHEQVNEKASHHEYDNNDTPQLNPHDYLPNIHVHTPITQISFEAVGISRNSGIEPWSGQIFSEKRGKILKLAAKTMSMESSEFLQRRSEVFTDILQRLGTNNMMKKHHKESMRLMKVCGHTPTGPECHFRDMLDYRLSEFGSPTKLITGKESSSYTSRDARQCMTLPWGYNRGCSSSLDWKIDLPQRSNEACESMALPWVHTGGLSDSSWKRDTAHNQVSNLLLEDVQPHTKSKPASASESDCIIETRPCAYHGWEPSAGLSGSIPNRFSIPCQVEKKHVSYDISNTFWQPDLRSPLEQCFPPSVRLEGQSQKEAEFSDNYGAGLLEQFISSSVGLEGQDQHEAGLFNYDTGLQSSFHQLHAKCSASSFLDTRNGILNHSDFSYISNLPSSESSNIVSNADRSCLNSIFSTSEHPFQLSSKRLHDSSVHISSLVGLEEKYSTEAGIFDNSDIGPTRGLDQSSFSNYTSGVLDHHRLRYMPPKGSSTLFMDANDAA >LPERR02G19710.1 pep chromosome:Lperr_V1.4:2:17081446:17094344:-1 gene:LPERR02G19710 transcript:LPERR02G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLQEALDAAATRRGLTSAEVTALVDVCMDLAKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKARPSDGDSDITEKPVEPIRVHSEKELLRELEKISFALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSSQLSDRRSSIVKQACHLLNILSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAVLRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPDRSRRLFMSFDPAIQRTINDEDGGLHKRYPSPSLREKGVQLSRVSSQASGTHLAGYSTSAIVAMDKSAAVSSESSLSSRSLLSQSKTIGRSAERSIESVLSSSKQKVSAIESLLKGVSMSGRQNFSAMRSTSLDLGVDSPSSRDPPIPLAGTASDHLSSQNSLLLDSSLPSVNNTRNGGSHMKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKADSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSAAVLNFILNLSIEEQNIVRRALKQYTPRIEVDLVNYLQTKKERQRPKSYDQVDFGNSSEDGYALTPRNSYAFGRFSGSSFDNETGKKMNVVQGSTFPDISTGRRSSDASIDNVKQCFELPEAAVNAASRESKSIARTVVEAVRSWTDYPEKSDATIDDENSTGTPRLEFGRLVISDGRSAVVSTSVEDTQDGNSFVELSSVKTIPNTSNGPSIPQLLHQISNIGKATSLEKQEALQQLVTASTNNDNSIWTKYFNQILTSVLEVLDDSDSSIRELSLSLVAEMLHNQKDSMEESIEIVLEKLLHVTKDVMAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVTCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLNSTQMRLVTIYANRISQARSGAPVDANH >LPERR02G19710.2 pep chromosome:Lperr_V1.4:2:17081446:17094344:-1 gene:LPERR02G19710 transcript:LPERR02G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLQEALDAAATRRGLTSAEVTALVDVCMDLAKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKARPSDGDSDITEKPVEPIRVHSEKELLRELEKISFALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSSQLSDRRSSIVKQACHLLNILSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAVLRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPDRSRRLFMSFDPAIQRTINDEDGGLHKRYPSPSLREKGVQLSRVSSQASGTHLAGYSTSAIVAMDKSAAVSSESSLSSRSLLSQSKTIGRSAERSIESVLSSSKQKVSAIESLLKGVSMSGRQNFSAMRSTSLDLGVDSPSSRDPPIPLAGTASDHLSSQNSLLLDSSLPSVNNTRNGGSHMVNSVNHHVAKKERSRSPYLSSLSSESISGLSLPYARGSSARSQYGSTMDESNDSWSTRRTPQMQMDRHYLDMTYRDASHRNSHNNQVPHFQRPLRKQGAPRASASSRHSFDDGHIASNDMLRYTDGPTSISDALSEGLSASSDWVARVAAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKADSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSAAVLNFILNLSIEEQNIVRRALKQYTPRIEVDLVNYLQTKKERQRPKSYDQVDFGNSSEDGYALTPRNSYAFGRFSGSSFDNETGKKMNVVQGSTFPDISTGRRSSDASIDNVKQCFELPEAAVNAASRESKSIARTVVEAVRSWTDYPEKSDATIDDENSTGTPRLEFGRLVISDGRSAVVSTSVEDTQDGNSFVELSSVKTIPNTSNGPSIPQLLHQISNIGKATSLEKQEALQQLVTASTNNDNSIWTKYFNQILTSVLEVLDDSDSSIRELSLSLVAEMLHNQKDSMEESIEIVLEKLLHVTKDVMAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVTCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLNSTQMRLVTIYANRISQARSGAPVDANH >LPERR02G19710.3 pep chromosome:Lperr_V1.4:2:17081448:17094344:-1 gene:LPERR02G19710 transcript:LPERR02G19710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLQEALDAAATRRGLTSAEVTALVDVCMDLAKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVRVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKARPSDGARMQYKVIERSVSDSDITEKPVEPIRVHSEKELLRELEKISFALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSSQLSDRRSSIVKQACHLLNILSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAVLRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPDRSRRLFMSFDPAIQRTINDEDGGLHKRYPSPSLREKGVQLSRVSSQASGTHLAGYSTSAIVAMDKSAAVSSESSLSSRSLLSQSKTIGRSAERSIESVLSSSKQKVSAIESLLKGVSMSGRQNFSAMRSTSLDLGVDSPSSRDPPIPLAGTASDHLSSQNSLLLDSSLPSVNNTRNGGSHMVNSVNHHVAKKERSRSPYLSSLSSESISGLSLPYARGSSARSQYGSTMDESNDSWSTRRTPQMQMDRHYLDMTYRDASHRNSHNNQVPHFQRPLRKQGAPRASASSRHSFDDGHIASNDMLRYTDGPTSISDALSEGLSASSDWVARVAAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKADSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSAAVLNFILNLSIEEQNIVRRALKQYTPRIEVDLVNYLQTKKERQRPKSYDQVDFGNSSEDGYALTPRNSYAFGRFSGSSFDNETGKKMNVVQGSTFPDISTGRRSSDASIDNVKQCFELPEAAVNAASRESKSIARTVVEAVRSWTDYPEKSDATIDDENSTGTPRLEFGRLVISDGRSAVVSTSVEDTQDGNSFVELSSVKTIPNTSNGPSIPQLLHQISNIGKATSLEKQEALQQLVTASTNNDNSIWTKYFNQILTSVLEVLDDSDSSIRELSLSLVAEMLHNQKDSMEESIEIVLEKLLHVTKDVMAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVTCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLNSTQMRLVTIYANRISQARSGAPVDANH >LPERR02G19710.4 pep chromosome:Lperr_V1.4:2:17081448:17094344:-1 gene:LPERR02G19710 transcript:LPERR02G19710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLQEALDAAATRRGLTSAEVTALVDVCMDLAKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVRVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKARPSDGDSDITEKPVEPIRVHSEKELLRELEKISFALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSSQLSDRRSSIVKQACHLLNILSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAVLRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPDRSRRLFMSFDPAIQRTINDEDGGLHKRYPSPSLREKGVQLSRVSSQASGTHLAGYSTSAIVAMDKSAAVSSESSLSSRSLLSQSKTIGRSAERSIESVLSSSKQKVSAIESLLKGVSMSGRQNFSAMRSTSLDLGVDSPSSRDPPIPLAGTASDHLSSQNSLLLDSSLPSVNNTRNGGSHMVNSVNHHVAKKERSRSPYLSSLSSESISGLSLPYARGSSARSQYGSTMDESNDSWSTRRTPQMQMDRHYLDMTYRDASHRNSHNNQVPHFQRPLRKQGAPRASASSRHSFDDGHIASNDMLRYTDGPTSISDALSEGLSASSDWVARVAAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKADSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSAAVLNFILNLSIEEQNIVRRALKQYTPRIEVDLVNYLQTKKERQRPKSYDQVDFGNSSEDGYALTPRNSYAFGRFSGSSFDNETGKKMNVVQGSTFPDISTGRRSSDASIDNVKQCFELPEAAVNAASRESKSIARTVVEAVRSWTDYPEKSDATIDDENSTGTPRLEFGRLVISDGRSAVVSTSVEDTQDGNSFVELSSVKTIPNTSNGPSIPQLLHQISNIGKATSLEKQEALQQLVTASTNNDNSIWTKYFNQILTSVLEVLDDSDSSIRELSLSLVAEMLHNQKDSMEESIEIVLEKLLHVTKDVMAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVTCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLNSTQMRLVTIYANRISQARSGAPVDANH >LPERR02G19720.1 pep chromosome:Lperr_V1.4:2:17103815:17106035:1 gene:LPERR02G19720 transcript:LPERR02G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALLVCLVLALAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFRQYQRKLRSLIGDEQARRLVNGALVLITLGGNDFVNNYYLVPMSVRSRQFAIQDYVPFIISEYRKILAYSKYSQPTHRATVKSIGDTTGRRVQRLYELGARRVIVTGTGPLGCVPAELALHSRGGECAAELTRAVDLYNPQLVDMVRGLNRELGADVFVTANTYRMNFDYINNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCEDREAFAFWDAFHPTEKANRIVVGQFMHGNTDYMHPMNLSTILAVDDEERL >LPERR02G19730.1 pep chromosome:Lperr_V1.4:2:17106660:17115486:1 gene:LPERR02G19730 transcript:LPERR02G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEHLVDPYALRSVSDLPPPFRSVFGFRYFNSLQSECFPACFFSDVNMVISAPTGSGKTVLFELCILRLLSRFLTSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNIKFGSLGINCLEMTGDNEFYNIKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVISRIKMLSRLGIMKSSPLANVRFVAVSATIPNIEDIAEWLAAPPEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQGFIFGLKIKENIDTDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACIVHGVGYHNGGLCLKDRSVVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLACAVEHLNAEIVQLTNPEHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLGIQLRRNEKKPLNDINTDKEGRLRFHVLGANGKKKKRIQTREEKIFVLTNDCLTGDPLVHDLSLNQETNSICSNGCRVAKCMREYFIYKKNYRSSITSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNFPFGDTVKCSLSSLGSKIDINIEDAGNRQGKSTIIVTLTRLSQATLSSKQNYADMVVGSEEDNVILFHEKISPYSVKLYVPCPPNARATLKVDLIFEEYVGLDIHKKHVISREDLQVTKVSGTKKAELLYNLPAESCLVSSRTTRTNLSQYHNGQNPLSKEVYIREDDARANAPYKADNDVEIVGIREYNNLASLEVPSFTLLTEEDYGDVQDVLVSEPAELECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDKSSLHADEVTPMYSNRTEAGVSPTNTAERGRDILSRTAVKSSFLFTGRMDSLPEMSKIQSRTQDKASIQLADRKDSSSEKSKTLSKTPDENSLQFVGKMDSSSQKSKSCFRSPLAAFQPMQCTKQVAASVQPLRIQDYCKDILANAKGSETGSSFLGVKSVFSFL >LPERR02G19730.2 pep chromosome:Lperr_V1.4:2:17106660:17115486:1 gene:LPERR02G19730 transcript:LPERR02G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEHLVDPYALRSVSDLPPPFRSVFGFRYFNSLQSECFPACFFSDVNMVISAPTGSGKTVLFELCILRLLSRFLTSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNIKFGSLGINCLEMTGDNEFYNIKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVISRIKMLSRLGIMKSSPLANVRFVAVSATIPNIEDIAEWLAAPPEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQGFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACIVHGVGYHNGGLCLKDRSVVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLACAVEHLNAEIVQLTNPEHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLGIQLRRNEKKPLNDINTDKEGRLRFHVLGANGKKKKRIQTREEKIFVLTNDCLTGDPLVHDLSLNQETNSICSNGCRVAKCMREYFIYKKNYRSSITSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNFPFGDTVKCSLSSLGSKIDINIEDAGNRQGKSTIIVTLTRLSQATLSSKQNYADMVVGSEEDNVILFHEKISPYSVKLYVPCPPNARATLKVDLIFEEYVGLDIHKKHVISREDLQVTKVSGTKKAELLYNLPAESCLVSSRTTRTNLSQYHNGQNPLSKEVYIREDDARANAPYKADNDVEIVGIREYNNLASLEVPSFTLLTEEDYGDVQDVLVSEPAELECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDKSSLHADEVTPMYSNRTEAGVSPTNTAERGRDILSRTAVKSSFLFTGRMDSLPEMSKIQSRTQDKASIQLADRKDSSSEKSKTLSKTPDENSLQFVGKMDSSSQKSKSCFRSPLAAFQPMQCTKQVAASVQPLRIQDYCKDILANAKGSETGSSFLGVKSVFSFL >LPERR02G19730.3 pep chromosome:Lperr_V1.4:2:17106660:17115486:1 gene:LPERR02G19730 transcript:LPERR02G19730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEHLVDPYALRSVSDLPPPFRSVFGFRYFNSLQSECFPACFFSDVNMVISAPTGSGKTVLFELCILRLLSRFLTSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNIKFGSLGINCLEMTGDNEFYNIKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVISRIKMLSRLGIMKSSPLANVRFVAVSATIPNIEDIAEWLAAPPEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQGFIFGLKIKENIDTDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACIVHGVGYHNGGLCLKDRSVVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLACAVEHLNAEIVQLTNPEHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLGIQLRRNEKKPLNDINTDKEGRLRFHVLGANGKKKKRIQTREEKIFVLTNDCLTGDPLVHDLSLNQETNSICSNGCRVAKCMREYFIYKKNYRSSITSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNFPFGDTVKCSLSSLGSKIDINIEDAGNRQGKSTIIVTLTRLSQATLSSKQNYADMVVGSEEDNVILFHEKIRATLKVDLIFEEYVGLDIHKKHVISREDLQVTKVSGTKKAELLYNLPAESCLVSSRTTRTNLSQYHNGQNPLSKEVYIREDDARANAPYKADNDVEIVGIREYNNLASLEVPSFTLLTEEDYGDVQDVLVSEPAELECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDKSSLHADEVTPMYSNRTEAGVSPTNTAERGRDILSRTAVKSSFLFTGRMDSLPEMSKIQSRTQDKASIQLADRKDSSSEKSKTLSKTPDENSLQFVGKMDSSSQKSKSCFRSPLAAFQPMQCTKQVAASVQPLRIQDYCKDILANAKGSETGSSFLGVKSVFSFL >LPERR02G19730.4 pep chromosome:Lperr_V1.4:2:17106660:17115486:1 gene:LPERR02G19730 transcript:LPERR02G19730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEHLVDPYALRSVSDLPPPFRSVFGFRYFNSLQSECFPACFFSDVNMVISAPTGSGKTVLFELCILRLLSRFLTSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNIKFGSLGINCLEMTGDNEFYNIKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVISRIKMLSRLGIMKSSPLANVRFVAVSATIPNIEDIAEWLAAPPEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERQYEHLKEAALTCSDKQLQACIVHGVGYHNGGLCLKDRSVVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLACAVEHLNAEIVQLTNPEHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLGIQLRRNEKKPLNDINTDKEGRLRFHVLGANGKKKKRIQTREEKIFVLTNDCLTGDPLVHDLSLNQETNSICSNGCRVAKCMREYFIYKKNYRSSITSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNFPFGDTVKCSLSSLGSKIDINIEDAGNRQGKSTIIVTLTRLSQATLSSKQNYADMVVGSEEDNVILFHEKISPYSVKLYVPCPPNARATLKVDLIFEEYVGLDIHKKHVISREDLQVTKVSGTKKAELLYNLPAESCLVSSRTTRTNLSQYHNGQNPLSKEVYIREDDARANAPYKADNDVEIVGIREYNNLASLEVPSFTLLTEEDYGDVQDVLVSEPAELECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDKSSLHADEVTPMYSNRTEAGVSPTNTAERGRDILSRTAVKSSFLFTGRMDSLPEMSKIQSRTQDKASIQLADRKDSSSEKSKTLSKTPDENSLQFVGKMDSSSQKSKSCFRSPLAAFQPMQCTKQVAASVQPLRIQDYCKDILANAKGSETGSSFLGVKSVFSFL >LPERR02G19740.1 pep chromosome:Lperr_V1.4:2:17115392:17126831:-1 gene:LPERR02G19740 transcript:LPERR02G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRLLARGRHRVRLPAPLSAPGCRAAFLSGAAEEVPQADAPPPPPTPGRKVLESFREEFEIGGRVISFETGKMARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPRGFYHEVQIMANVITSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRVDGKFVLNPTVEELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHTEAIKYINPQIRLAKRAGKEKKEYKISLISDKSYEKIRTLSEAPIEEVFTDSTYGKFERGEALENITQSVRAKLEEECDEDSLKYLNKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYFTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVGKRGGLNRREVGHGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDPATGDISSYRILTDILGLEDHLGDMDFKIAGTRAGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDLEISSARAMNDGSAPRLATLNFSSDSLRKLLFHRKKIEQETGARVSVNDGTVTIVAKTQPIMDKALEKVEFLVGHEIEIGRTYKGVVSSIKEYGAFVEFNGGQHGLLHISELSHEPVSKVSDVVSVGQVLSLTCIGQDVRGNIKLSLKATLPHPHKKKDLDSKDTDLLPSREVVGWAAIENMPSKDANGVPSISKDEDNMIEEIPGCSTPTVIIRSAAECDAQDVTNGSTKKRPKSAKSSPKPSKPDSERQEVKRATSKKTSGASTAKKNKKEKAEDSASDGLDTIPEQNRSNIQNCSSPSSGSIKLDDVVTAKVYQIRAFGLVLDLGDEVRGMHKFAENGRKTFQNTNCSGMGTDCCYSDTQVPQPASEISDPLVALHQTVGDTVSAIEFDARGEHLAAGDHAGRVILFRRTDNNESHEQQPPPSRAELERTDYAAAAPPVYSYMAEFQSHEQEFDVLHSLEIGEKVKKLRWCARPNNTSLCMLATNDRTVKLWKVSEHRSRKENDGQQPRRRRGTQASLSEIVMHGEDGTGVRTNGYYYEWASKKARGYLSPDSSEHSEKAGEVGEGYTAKCRRVFTRAHRFNINSISNNCDGETFVSADDLRINLWHLEVTGQCFNIVDMKPADMEDLVEVITSAEFHPSSCSLLAYGSSRGFVRLVDLRQSALCDRNVRIFQDRENSIRPRTLFSEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVENSPMATYKVHEFLRPKLSELYNSDNIFDRFSCCANKDGGYFATGSYSNTFRVFSRGATENPNGTTLEASTNPYSDVLALELKLVVCAPEHLLPVQVKMETDLTAGRTLNVTWHQR >LPERR02G19750.1 pep chromosome:Lperr_V1.4:2:17138026:17140868:1 gene:LPERR02G19750 transcript:LPERR02G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCTWGAQQFCSAAAPISPPLLPSLIRRCPSSRRATRDLPRHCLRSALALRIVRKGGWSRRRRMPLGLILSSLGKSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASTASSTSAETSENKN >LPERR02G19760.1 pep chromosome:Lperr_V1.4:2:17141204:17146175:1 gene:LPERR02G19760 transcript:LPERR02G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKAKEIVASSSVVVFSKTYCPFCARVKQLLAQLGASYKAVELDVESDGSELQSALANWTGQKTVPSVFIKGKHIGGSDDTMAMHKGGNLVPLLTEAGAIATPSL >LPERR02G19770.1 pep chromosome:Lperr_V1.4:2:17146230:17149947:1 gene:LPERR02G19770 transcript:LPERR02G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEGDRVGGGGGGGGAAIGGGGQQFVDRSKVRILLCDSDTTSSREVLRLLCNCSYQVTCAKSPRQVINTLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKDKTEDLPVSTEGGDQPSSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSLDSELQRGANRIDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVG >LPERR02G19770.2 pep chromosome:Lperr_V1.4:2:17146230:17149775:1 gene:LPERR02G19770 transcript:LPERR02G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEGDRVGGGGGGGGAAIGGGGQQFVDRSKVRILLCDSDTTSSREVLRLLCNCSYQVTCAKSPRQVINTLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKDKTEDLPVSTEGASPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSLDSELQRGANRIDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGNPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINSAQVHAPQTLHPQYNVYPHHGVSMMPPFQYNPAGMGMQSNLSTQNMWPPVSSSPMPEETCNRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQTNYTDITSTGDDNSEDEDDDPSSREVEMVSSPE >LPERR02G19780.1 pep chromosome:Lperr_V1.4:2:17151732:17153819:-1 gene:LPERR02G19780 transcript:LPERR02G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYINEKGDKVYTTKVKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >LPERR02G19800.1 pep chromosome:Lperr_V1.4:2:17165771:17167691:1 gene:LPERR02G19800 transcript:LPERR02G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSPAMSMGTSLAAAVVSLLLLVRASNAEIRTTIIVSDSRPLILFEQFGFERGGKATLSIRRSAWNIRPGSKRTSVDPSLMGFVLISGNQFPRINNESAYAAADPGDNDNGSDADGYCVLTSEHALPVVRLSDVPPGGVTTTVTIDDPDEYAVLFSNCQDGVEATVDVRTEMYNVRGGVSDGPRDYLPVGLRPLPTIYTAVSAVYFAFLAAWACACVRHRATAERIHAVMGALLLFKALKMACAAEDSWYVQRTGTPHGWDVAFYVFGFLKGVLLFTVIVLIGTGWSFLKPYLQEREKNVLMIVIPLQVIENLLLVVIGETGPTGQDWIVWNQVFLLVDVICCCAVFFPIIWSIRSMREASKTDGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASFAFYLFVFYNFKPVGKNPYLYIGDTEEDEAAEREMDDDGGF >LPERR02G19810.1 pep chromosome:Lperr_V1.4:2:17166672:17177380:-1 gene:LPERR02G19810 transcript:LPERR02G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYFWLVAALLYFVGIAFFVIYSERDPLYSVAIDAVSGLEPAAASTTEPTLDPVFDLTLSISPRSRVRGEECYKPFTTVEVAYHDVLLASGPTSQGRAVAWGTSVRLPGFVLDALVDDERRGTGAAVFDVTTKIPSGGNKGMIGETGRRRRRLRAEDPVRCFQGEHRLRGGRRLAVPICSGILTLLLAALFSLAIGYLISWAATAPTKTVEYSVGINAVHGLDPATELIREHTVNTEFDLTLSIKAYQELVGGQCYQPGTTVEVTYRGVLLASAPIDKLCADEGQTREQHVVAWGTGVRLPGFALDALVADARNGAEAFDVMVKMPSKHSGPRHVMGRLVTCKGRRVGDATAAALWTPCDVSRTDITVTSGNTGKTQTGDAGAMEMEAASSADRRGCCSDVDVRQRICFGIFILVVSVLVAVFFGFLIKLGASVHTKTVEYSVGINAVHGLDPATELIREHAVNPEFDLSLSVKAYQELVGGQCYDPGTTVEVTYRGVLLASAPVDELCADVGQTREQHVVAWGTGVRLPEFALDALVADARNGADQAFDVAVKMPSKHSHYYYEDVMGILITCKGRRVGDATAAALWTPCDMSSLDIPVPSGNTGKMQTGDGPAATSTTQIWAAACVVLAVLCLIAFGSTAIVLSSRGPVYSASIDAVSGLDLGPAKDGQAPTLDPVFNLTIRISNRRQISTDKDCLDPGTTVEVTYRNILLASGPVEFCAKAGKTVDQPVNVWGSGVHLPGFALDALTAEARRGKEAFDVTVKVPNGESLHHHLISRLSCKARRVGVDRDATLRIPCQVDTIDVDLKSTENNMGTTQPGGARAKELKRYGLRKDQPVPMRTMTVKSRTPLRNPKT >LPERR02G19810.2 pep chromosome:Lperr_V1.4:2:17177387:17182595:-1 gene:LPERR02G19810 transcript:LPERR02G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGAAAFDIAFMDGFLVLCKDRRVGDPDALQAPCVHTGVNTVVSASQPGALFSGPEYSVAIDAASGLDPSTDPALPPQSLNTLRITSMSSVGAYCLDPAMVVVVAYQSLVLASTTPRPRVCARGWGRAAQVSVIASSGSWSDLDWSAFAGFRAGRPRDGHAARGCGVRRRADDPAEGQRLSAASALVQSSAGWGCPRLGNSVPHDYGNRSKLGRSTASTSPVRLQS >LPERR02G19820.1 pep chromosome:Lperr_V1.4:2:17182679:17188471:-1 gene:LPERR02G19820 transcript:LPERR02G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISPPPKPELPVHWAVAQPDDTQSRGARGGRRIQIDFIWVLTVVVILVSIVFLALIIATIVEEGRKPSYYVKIDAIAGLDPETDLQRTAIDPVFNLTLRLASHRDDKGVCFEAGTIVEVYYAGVLLAGAAVPKLCSWPRRSALEGGVVAWGRRVPDPVPRLVREALVEELLFGGGTAEFAVLLTVERYTGVWDVMLCTAKVGDDEGLTTPCRLYAEDVDEPVLQPGYGRYSSPQAEAPATPESGEDAMGAAYDAEEEEKPILPISRPAESDEKKGTEDQSFSEGACACLCLCGGLVVLLAILAGIIGLINWAAQAMKDPQYAVEITAVSGLDPATDLISGRAAVGLDPVFNLTVSVASTSTLYGACIDRGSSAKISYSYSDGTGYSYNHKDLQLASGMVQEMCVPPMGKSEQLVVARGVGVSVPGFLLGGLADEMRRGEAVFDVKLITRHENGWQWSTVTCSGRVGTKSSSLMVVVAIGILLIFEAAEEPGLSVAIATVSGLDPATDLARPTVDPQFHLTLGVASRSRLSHACFFCRGTAVAVSYHGVQLATAPVTPRG >LPERR02G19830.1 pep chromosome:Lperr_V1.4:2:17191748:17192326:-1 gene:LPERR02G19830 transcript:LPERR02G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPDEENEFDVWRFVYICCAFAIILPAVGALIWYVVEITIKSQYSVEIGAVVGLDPATDLQGGKGALNLAFNLTVTLAWRSSLRGACVTPGATVTLWYASDEEHPLATGLVPAFCVGPQESREVLVVARGADVSVPGYLLQGLADGIRLGQVMFEFNLVTPVDKENNRIDWCRATVVQKASCNYIGDSPA >LPERR02G19840.1 pep chromosome:Lperr_V1.4:2:17194156:17194833:-1 gene:LPERR02G19840 transcript:LPERR02G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVFEIQLQGIRTQHYNAIAALHYCRWLNYCAVVAAVLVLLWLFVQCMIEPEYSVEIAAVSDLDPATDLNGRATVDPVFRLSVSVASQSWFFGACIDPHTVVKVSYSHLRLPLAAGHAPEVCVGPKELGEKRTVVARGVGVSVPGYMLDNLPKT >LPERR02G19850.1 pep chromosome:Lperr_V1.4:2:17195383:17196291:-1 gene:LPERR02G19850 transcript:LPERR02G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDVIREGPGDGGRTVEG >LPERR02G19860.1 pep chromosome:Lperr_V1.4:2:17196595:17197359:1 gene:LPERR02G19860 transcript:LPERR02G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRSREFDDPLLVNGRGGGARRHSALKEFCWTILPGILGALTAIVLMSAVLYYPYKWSFDDGKRPEFSVAVAGFSGLDPDSDLGRPTLDATFDLTLRIKEPRRYSVACTERGTTAYVSYRGAPLASGPVAQLCGKNEDTTEEGSVMAWGHAVAVPEFARQQLAEELGRGEAQVDVTLKAPARYCYMCTQTVIACKPRVGSGEFSPTCGVDKQLPTLPDNPNEKYPGYPGFPGYPGFFPIIPRARSARRLLQH >LPERR02G19870.1 pep chromosome:Lperr_V1.4:2:17200285:17205163:-1 gene:LPERR02G19870 transcript:LPERR02G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVHLPAVAGDREDAASERRASDALSLDASAAYLSAPNTPAAALAGAGPLRRSKSGAKSSKGMCAICFDPMKSDHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIIPRGRSGLNVSQARLPQQDTYMALLRQVPSSLREAPRSHTSEPVDFNDDEPLQQMVADDNHDARCSRTVEIKTYPEFSAIPQSSSENDFAVLIHLKAPCATPEQVTGRSFNTTSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHCGRQQALQAVNSLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILSHTICTVPVHGFGFGADHDSDALHSIAQASGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMQLTVECVHPYVQLRTIKSGSYLSKVTGDGRNGSIDVGHLYADEERDFLLYLSFPQSREQTMLLKVTCAYRDSVTSEAIKIQADEVKILRTKSPTSEPVCMEVDRERNRVRAAEAIEAARAAAERGALSDAVAILEDCRRILSESFSRKSGDHLCISLDAELKEMQERMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQRSQNQCREPQGPRP >LPERR02G19880.1 pep chromosome:Lperr_V1.4:2:17214652:17218262:1 gene:LPERR02G19880 transcript:LPERR02G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNESAAAAAAAAEAEEAALGLNVPLFVDSILYMVDDLRHGAFEYCVQLGSGIPPPIHRIRFPNFPPFLAARLFWEGAPQAIGAATATQKAEELERGVIGILNLVKDVLDTRMSNWEKYCFRHCFAIPEGFLMREDENSSAKEVLIDGNSDSDLDAELDSLRKKLEDANNESEELQREISSLERQTECQRNLDSSMAELLKLFESKSFQGNFEDLVKAIPLFHQKIKGMKRKITGSTLDKNVWHLNGLGDHKRLASGFSAGNEDIQELVNVLKMYPGQKENVLQM >LPERR02G19890.1 pep chromosome:Lperr_V1.4:2:17218747:17219139:1 gene:LPERR02G19890 transcript:LPERR02G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNPTRRHPPRHRSGRIPPPGPPHLRLRPTPPPSTTLWVPKNPSRHSAAAYADDDEDMDDDAITALMDIDDSPRSSGAGAVFLDEDEDAEVFTGNRAPRGINEARGPLPFSGFFNSFDGADFDDTDLA >LPERR02G19900.1 pep chromosome:Lperr_V1.4:2:17226566:17227579:1 gene:LPERR02G19900 transcript:LPERR02G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCVGGLEPFPWLEVEETHLTSTPPKLTQHTTPNCKLHYCPPSSLFSTALERVHGLELKPGLHGHGPSRRRLRRPLHRRGAQPAGVPPAPARRQVLAQVGVPDGEVPGEVRRAGDVLPAQGEGEGAHQGFVGVRGVRRRRPRRLP >LPERR02G19910.1 pep chromosome:Lperr_V1.4:2:17236004:17237790:1 gene:LPERR02G19910 transcript:LPERR02G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCLESLGPLLGGVANGTNVSTYICNRFTDTAYAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPETGYDYSNFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASASRLTGPLLFKSGVIDFAGSGVVHLVGGVAGFWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFGTITKVFGESGTIDGQWSAVGRTAVTTSLAGSVAGLTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCAVVDPWASVICGFVSAWVLIGCNKLALKLKFDDPLEATQLHGGCGAWGIIFTALFARSNYVEQIYGVPGRPYGLFMGGGGRLLAAHIVQILVIVGWVSVTMGTLFYVLHRLGLLRVEAAQEMDGMDPTSHGGFGYVDEDEGGRHDAPPAFRPKSAAEMTRVEPRRSPEQAAAGQV >LPERR02G19920.1 pep chromosome:Lperr_V1.4:2:17237917:17240337:-1 gene:LPERR02G19920 transcript:LPERR02G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIRGRPAARRPNLPPPFPASSPLPLSLSPRALPLRPPSAAAEGPFFVAALLVAFAVARGRRRPSGFPAWFAVPAFGLVEAACFQVIATVISRGGVAEDIGWARKEVIDTATFLLVHILAPYVRLQLGNMTFSFTWHFHVLLLAVGIPECNFMFGKSQVTTCLSAAACLHMQDGFYGRYLRVYIWSGGDVLISSALDYMFCSNSLL >LPERR02G19920.2 pep chromosome:Lperr_V1.4:2:17238023:17240337:-1 gene:LPERR02G19920 transcript:LPERR02G19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIRGRPAARRPNLPPPFPASSPLPLSLSPRALPLRPPSAAAEGPFFVAALLVAFAVARGRRRPSGFPAWFAVPAFGLVEAACFQVIATVISRGGVAEDIGWARKEVIDTATFLLVHILAPYVRLQLGNMTFSFTWHFHVLLLAVGIPECNFMFGKSQVTTCLSAAACLHMQDGFYGRYLRVYIWSGGDVLISSALDYMFCSNSLLSANRYFIEP >LPERR02G19920.3 pep chromosome:Lperr_V1.4:2:17238023:17240337:-1 gene:LPERR02G19920 transcript:LPERR02G19920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIRGRPAARRPNLPPPFPASSPLPLSLSPRALPLRPPSAAAEGPFFVAALLVAFAVARGRRRPSGFPAWFAVPAFGLVEAACFQVIATVISRGGVAEDIGWARKFGKSQVTTCLSAAACLHMQDGFYGRYLRVYIWSGGDVLISSALDYMFCSNSLLSANRYFIEP >LPERR02G19920.4 pep chromosome:Lperr_V1.4:2:17238021:17240557:-1 gene:LPERR02G19920 transcript:LPERR02G19920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSFTWHFHVLLLAVGIPECNFMFGKSQVTTCLSAAACLHMQDGFYGRYLRVYIWSGGDVLISSALDYMFCSNSLLSANRYFIEP >LPERR02G19920.5 pep chromosome:Lperr_V1.4:2:17238021:17240557:-1 gene:LPERR02G19920 transcript:LPERR02G19920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGFYGRYLRVYIWSGGDVLISSALDYMFCSNSLLSANRYFIEP >LPERR02G19930.1 pep chromosome:Lperr_V1.4:2:17246147:17247640:1 gene:LPERR02G19930 transcript:LPERR02G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCADTLGPLLGTAASNATDYLCNRFTDTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNAFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASVSRTSGPLLFKSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSGVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVEQIFGQPGRPYGLFMGGGGRLLGAHVVVILVIAAWVSLTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDVSGKPDRGGVVGFMLRSGHNTQVAAETGGPV >LPERR02G19940.1 pep chromosome:Lperr_V1.4:2:17251309:17252904:-1 gene:LPERR02G19940 transcript:LPERR02G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFSHGDFVAALHRCATLAHLKQLHAHAVVTTRAAAQTTTFHLIRFASLRLTCLPYARRLFDATASPNVFLYSAMLSAYAASSSSSHATQEHARDSLALFLRMLRRGRPGPNQFVYPLVLRAACAVGVQLVRSIHCRSCKDGFYDGHDVVRTSLLDGYSRYGMMGDARKLFDGLTKRNVVSWTALVSGYARAGKAGDAIVLFERMPQRDVPAWNAIIAGCTQNGLFVEAVGIFKRMVDEGFRPNSTTVSCLLSACGHLGVLKIGKVIHGYAWRSSVGFGSSVVNGLIDMYGKCGNLMEAQWIFDEYSDKGLTTWNSLINCLALHGRSESAIAVFNEMRNEGVQPDVVTFVGLLNACTHGGFVDEGMKYFELMCGEHEIEPQIEHYGCVVDLLCRAGQFQDAMNFINDMKIKPDEVIWGSLLNACRIHRHLELGEHAIRKLLDLNPNNANYVVMLANLYSEGGFWEEVRKVRNLMKEDVIGKKLPGCSWIEVNRKTHRFYSGDDGHPESEDIYNTLDELATSMEMQDIFA >LPERR02G19950.1 pep chromosome:Lperr_V1.4:2:17254854:17255451:-1 gene:LPERR02G19950 transcript:LPERR02G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEEDQWENDVGFFEYMGLPRDDPEGFLAGAYAFSAEEFLDAVFDFLGEDITNDVLRSDTYYYDEPTISSSPEGSSASSKTFPLRCGDNFLEHLYMICPCFVGDVADHHPAPGDYADYDEGDLMFYMEDGEQDEMTSMASLAETVDDEVEVDSAAVDDAVDEVTTAATAVLDEDDDIYDIILRAA >LPERR02G19960.1 pep chromosome:Lperr_V1.4:2:17257989:17267395:1 gene:LPERR02G19960 transcript:LPERR02G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNGKGKKGDAGLSMEPQNIDNGRFDAAIRAMGDIGILKETAVPVLENLLKLFGDNWVHIEADNYLALADAIFDDSDPKEGQKRKANETNHDVDQRNMKLKAKQRRQNPRSKMHGNDNRESAETPPHQGQVTLSARTVHGKKVTSAHSQLPSSQLVIKEPHKCPNIAEDTTIDKKSVILRHGQDLVIPSTRKGYEDALRTSGAYERNWTVGCSSQAIVSSKDSPSNIEVVLSKSGAGKLSFTYNSSLANHSDFHLPDVKSICKEMDARCLRKYKILEPKFTFMNFLKDTFQCIVDLGFGSSEPRKEGNVQIAPTLDILSKPSVPQILQSNQANPCIMPPNNLISVSGTCSSSAVAGAGQNSSNMPVIPHQLHIGANRPPHDVNDITKGQERLNIPIINEAGNGILPPPFHYIPHNITLQEAYVNLSLARIGDDNCCSDCSGDCLAQPLPCACAAETGGEFAYTADGLLKEAFLDSCISMIREPLKHPQFYCKICPYERMKMEVNSDSPNTEVIPGPCKGHLTKKFIKECWSKCGCTRNCGNRVVFLTPGKKGWGLRSTEKLPRGAFVCEYVGEILTNTELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARVTKPLEELTWDYGIDFDDVNHPVKAFKCRCGSEYCRDKARILRSKSRALVSL >LPERR02G19960.2 pep chromosome:Lperr_V1.4:2:17257989:17267395:1 gene:LPERR02G19960 transcript:LPERR02G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNGKGKKGDAGLSMEPQNIDNGRFDAAIRAMGDIGILKETAVPVLENLLKLFGDNWVHIEADNYLALADAIFDDSDPKEGQKRKANETNHDVDQRNMKLKAKQRRQNPRSKMHGNDNRESAETPPHQGQVTLSARTVHGKKVTSAHSQLPSSQLVIKEPHKCPNIAEDTTIDKKSVILRHGQDLVIPSTRKGYEDALRTSGAYERNWTVGCSSQAIVSSKDSPSNIEVVLSKSGAGKLSFTYNSSLANHSDFHLPDVKSICKEMDARCLRKYKILEPKFTFMNFLKDTFQCIVDLGFGSSEPRKEGNVQIAPTLDILSKPSVPQILQSNQANPCIMPPNNLISVSGTCSSSAVAGAGQNSSNMPVIPHQLHIGANRPPHDVNDITKGQERLNIPIINEAGNGILPPPFHYIPHNITLQEAYVNLSLARIGDDNCCSDCSGDCLAQPLPCACAAETGGEFAYTADGLLKEAFLDSCISMIREPLKHPQFYCKICPYERMKMEVNSDSPNTEVIPGPCKGHLTKKFIKECWSKCGCTRNCGNRVVFLTPGKKGWGLRSTEKLPRGAFVCEYVGEILTNTELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARTMGLILMMSIILSRRSNVVAEVSIAETKRAS >LPERR02G19970.1 pep chromosome:Lperr_V1.4:2:17269324:17275122:-1 gene:LPERR02G19970 transcript:LPERR02G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRLGNFKYVVMTPVVVHGLHQVMTKGWGDIDLAYSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLLFYVGYLAMPSVRRMPMWRTDGAVVTALVHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHMVYFTLFAIPILSTIYMGNASVMGVVAYIAYIDFMNNMGHCNFELVPRWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYENSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSHSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKVQTWAIPRYNFQYGLTWERESINDLIEKAILDADMRGVKVISLGLLNQTKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDTKKVFLHTGTSKIARAIAMEICSRGVQVIMNEKMEYDMLKSQIPENRARYLKLSSDNIPQVWIVDNIDENKQRMAPKGTIFIPISQFPLKKVRKDCTYLSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDDMMDAEKSWSAAIRHGFLPLTKG >LPERR02G19970.2 pep chromosome:Lperr_V1.4:2:17269324:17274496:-1 gene:LPERR02G19970 transcript:LPERR02G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYGCRDDQILFNGLLFYVGYLAMPSVRRMPMWRTDGAVVTALVHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHMVYFTLFAIPILSTIYMGNASVMGVVAYIAYIDFMNNMGHCNFELVPRWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYENSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSHSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKVQTWAIPRYNFQYGLTWERESINDLIEKAILDADMRGVKVISLGLLNQTKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDTKKVFLHTGTSKIARAIAMEICSRGVQVIMNEKMEYDMLKSQIPENRARYLKLSSDNIPQVWIVDNIDENKQRMAPKGTIFIPISQFPLKKVRKDCTYLSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDDMMDAEKSWSAAIRHGFLPLTKG >LPERR02G19970.3 pep chromosome:Lperr_V1.4:2:17274509:17275122:-1 gene:LPERR02G19970 transcript:LPERR02G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRLGNFKYVVMTPVVVHGLHQVMTKGWGDIDLAYSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWYSTQQQHTQPVAFAHTMPW >LPERR02G19980.1 pep chromosome:Lperr_V1.4:2:17289423:17294004:-1 gene:LPERR02G19980 transcript:LPERR02G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDGSGGHPPGHAAAAPPTWNAAPAAGAGCGDPEEDPQFQCCVCLDLLYKPVVIACGHTSCFWCVHKAMHAITESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYRRREKEVLEDEKRVDTYSPQIIEFLNSKSNNFALKIASTYKLCYLLQEIDGVERPEEYNSRPPQEVSSDDNNGHLKKVKLEDLSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDLPNVCLDLDHFIEEYFPIEYALRREKIQLLKSECNLKGSSSGTSCTKEGGGRPSKVENCAQQNDLSDVHIGVGCDSCGVYPIRGKRMELDSSALLQRIMRRQGIHEDGPGEIIIEGAFVPPGAVVHIIADDQDEMEDNGEEDHLL >LPERR02G19980.2 pep chromosome:Lperr_V1.4:2:17289423:17294004:-1 gene:LPERR02G19980 transcript:LPERR02G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDGSGGHPPGHAAAAPPTWNAAPAAGAGCGDPEEDPQFQCCVCLDLLYKPVVIACGHTSCFWCVHKAMHAITESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYRRREKEVLEDEKRVDTYSPQIIEFLNSKSNNFDGVERPEEYNSRPPQEVSSDDNNGHLKKVKLEDLSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDLPNVCLDLDHFIEEYFPIEYALRREKIQLLKSECNLKGSSSGTSCTKEGGGRPSKVENCAQQNDLSDVHIGVGCDSCGVYPIRGKRYKCKDCTELIGFDLCEECYNTKSKLPGRFNQQHTPDHRMELDSSALLQRIMRRQGIHEDGPGEIIIEGAFVPPGAVVHIIADDQDEMEDNGEEDHLL >LPERR02G19980.3 pep chromosome:Lperr_V1.4:2:17289423:17294004:-1 gene:LPERR02G19980 transcript:LPERR02G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDGSGGHPPGHAAAAPPTWNAAPAAGAGCGDPEEDPQFQCCVCLDLLYKPVVIACGHTSCFWCVHKAMHAITESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYRRREKEVLEDEKRVDTYSPQIIEFLNSKSNNFALKIASTYKLCYLLQEIDGVERPEEYNSRPPQEVSSDDNNGHLKKVKLEDLSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDLPNVCLDLDHFIEEYFPIEYALRREKIQLLKSECNLKGSSSGTSCTKEGGGRPSKVENCAQQNDLSDVHIGVGCDSCGVYPIRGKRYKCKDCTELIGFDLCEECYNTKSKLPGRFNQQHTPDHRMELDSSALLQRIMRRQGIHEDGPGEIIIEGAFVPPGAVVHIIADDQDEMEDNGEEDHLL >LPERR02G19990.1 pep chromosome:Lperr_V1.4:2:17299495:17299953:-1 gene:LPERR02G19990 transcript:LPERR02G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICARVEPPRGGGALGKRKERERSSAEQPRAPPPLFSASLAAKSQPPRPANPNRFVKPMPPPPPFAKGGGSSGGFKLMAGYLAHEFLKSGTLLGERPESTSGKAPTAATAVPGPDPRAQYAEASLLLMAGGARVPGVVNPTQLGHWLRIKE >LPERR02G20000.1 pep chromosome:Lperr_V1.4:2:17303388:17309166:1 gene:LPERR02G20000 transcript:LPERR02G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVDEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADSKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >LPERR02G20010.1 pep chromosome:Lperr_V1.4:2:17313011:17315198:1 gene:LPERR02G20010 transcript:LPERR02G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHGAFKEFCVTVATPRAGGGAALAIVPLEISAARHGSICWILFLRTATVRARAFRRRLPSSQESGMTLITAEDLDDAAEKAVKASVK >LPERR02G20020.1 pep chromosome:Lperr_V1.4:2:17314146:17316570:-1 gene:LPERR02G20020 transcript:LPERR02G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLLVESSLLQLIFSFQTPLRQIFRIDESSENPHWHAIGYNPPTDEVPADDEPGAATKRPLDDGVVETINLTDASLPASLALTLRPRMIRGSSKMLSDAQDAVG >LPERR02G20030.1 pep chromosome:Lperr_V1.4:2:17319456:17323315:-1 gene:LPERR02G20030 transcript:LPERR02G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDNSQRRQGHPLLRGGGAGATTKAGRRYTHGFSASQMLALAAVCGALAPSLQPPDDDDDDDDNDKGSAVRDFLMASAADPPVPDEVADLMARMCLREALALVRAVLWLLGTRLGTLALCGARCVSWRRWMWFWWPSVVTFAEMDVERREDALRRWSRVTALPPLRMFFLIAKVFCLYVFYSWIDESSENPHWRAIGYSPPTDEAPAEDDPGAATKRPLDDGVVETINLTDASLPASLAKKGLTVTDDTARNVCRVECDVAIVGSGSGGGVAAAVLAGAGHKVVVIEKGNYFTWRDYTSVEGPSMNQLYESGGFVSTMNGSGLLLAGSTVGGGTAVNWSACIKTPDHVRKEWAAEHGLPLFVGAEYAAVMDKVFDRLGVTSGCKEEGLQNKVLRKGCENLGYKVDSVARNSSEGHFCGSCGYGCRTGDKRGTDTTWLVDAVDRGAVILTGCKAEKLLLERRRDGGGVRDKRCVGVVAKSTNPAITKTVEVRAKVTVSAGGSLLTPVLLQRSGLTNPHIGKNLHLHPIALAWGYFPEDAAPDLAGMKAYEGGIITSLHKVGDADAAQHRAILETPLMGVAATGTQMPWVSGRDMKERMLRFGRTVHIFSLVRDRGSGTVHGERRIAYRLDAEDRENMREGLRRALRVLVAAGAAEVGTHRSDGQRLRCGAGMTDEALEEFLDGVSVVRGPQSKSETWGLFCSAHHMGSCRMGTTAGDGAVDARGESWEAEGLYVCDGSVLPTAVGVNPMITIQSVAYCLSNGIAKSLSGKAN >LPERR02G20040.1 pep chromosome:Lperr_V1.4:2:17326742:17333373:1 gene:LPERR02G20040 transcript:LPERR02G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCHRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTVKQGSDSNKSRCKLSYAKCVSSFPCQPSGRTSGLVGPSAERTERPAARQDVPDRFFGTVDPFARRTGSGSGHYGEHTKHRNILDSFLAPKTAVDSDKRRTTSSSRNGSTSRKALLSSSRPSSGDQIDPNRSNLIPTSSGSSRPSTIQRLHQSTGLEARSSSLIKTARNIHDDPTLRTFERLSISADRRK >LPERR02G20040.2 pep chromosome:Lperr_V1.4:2:17326742:17333373:1 gene:LPERR02G20040 transcript:LPERR02G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCHRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTVKQGSDSNKSRPSGRTSGLVGPSAERTERPAARQDVPDRFFGTVDPFARRTGSGSGHYGEHTKHRNILDSFLAPKTAVDSDKRRTTSSSRNGSTSRKALLSSSRPSSGDQIDPNRSNLIPTSSGSSRPSTIQRLHQSTGLEARSSSLIKTARNIHDDPTLRTFERLSISADRRK >LPERR02G20040.3 pep chromosome:Lperr_V1.4:2:17326742:17333489:1 gene:LPERR02G20040 transcript:LPERR02G20040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCHRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTVKQGSDSNKSRPSGRTSGLVGPSAERTERPAARQDVPDRFFGTVDPFARRTGSGSGHYGEHTKHRNILDSFLAPKTAVDSDKRRTTSSSRNGSTSRKALLSSSRPSSGDQIDPNRSNLIPTSSGSSRPSTIQRLHQSTGLEARSSSLIKTARNIHDDPTLRTFERLSISADRRK >LPERR02G20040.4 pep chromosome:Lperr_V1.4:2:17326742:17333489:1 gene:LPERR02G20040 transcript:LPERR02G20040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLAGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCHRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTVKQGSDSNKSRPSGRTSGLVGPSAERTERPAARQDVPDRFFGTVDPFARRTGSGSGHYGEHTKHRNILDSFLAPKTAVDSDKRRTTSSSRNGSTSRKALLSSSRPSSGDQIDPNRSNLIPTSSGSSRPSTIQRLHQSTGLEARSSSLIKTARNIHDDPTLRTFERLSISADRRK >LPERR02G20040.5 pep chromosome:Lperr_V1.4:2:17326742:17332894:1 gene:LPERR02G20040 transcript:LPERR02G20040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCHRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVDKRRTTSSSRNGSTSRKALLSSSRPSSGDQIDPNRSNLIPTSSGSSRPSTIQRLHQSTGLEARSSSLIKTARNIHDDPTLRTFERLSISADRRK >LPERR02G20050.1 pep chromosome:Lperr_V1.4:2:17335119:17336768:-1 gene:LPERR02G20050 transcript:LPERR02G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSERSPIKCRPKWRKVAYGGRQPGYDDNYTDESFLEEMVMNANVVKRDLLKVMVDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLVGFSMLLLTTCPFSLKLFSKYVLNISFFIGGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGAPNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFAPLIAFCIKKYSLRLHLIFSFALMLITLSITYQLHRMFFILLLALLVFISIVCPFWLIRIQEYKFEINGPWDEAKLCFDITE >LPERR02G20060.1 pep chromosome:Lperr_V1.4:2:17341943:17343285:-1 gene:LPERR02G20060 transcript:LPERR02G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKAAA >LPERR02G20070.1 pep chromosome:Lperr_V1.4:2:17343540:17347582:1 gene:LPERR02G20070 transcript:LPERR02G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESGVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSTDPSKYEHLYSMVQEEVQNKTAKGLWTSLLNYSVTYLSMRTGL >LPERR02G20080.1 pep chromosome:Lperr_V1.4:2:17348505:17350643:1 gene:LPERR02G20080 transcript:LPERR02G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFENSQVAADGINSLCMAARADPLNWGKAAEELTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKVSSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGRDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAADAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVARKTLSTSATGNLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKMRNVLVERALANGTAEFNAETSVLAKVSQFEEELRAALPKAVEAARAAVENGTPATPNRITECRSYPLYRFVREEVGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >LPERR02G20090.1 pep chromosome:Lperr_V1.4:2:17353864:17356816:-1 gene:LPERR02G20090 transcript:LPERR02G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPAAASAQYSYPAAAGAAAAVPSYFPVPFHLQNVQQPAWTAAPAVAAPAYNAVYPMPQIPQVHQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKSKAQLQKKFKSQKRSVLHK >LPERR02G20100.1 pep chromosome:Lperr_V1.4:2:17374422:17377838:1 gene:LPERR02G20100 transcript:LPERR02G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEIESTLPPGFRFFPSDEELICHYLQKKVANEQIAKGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFKDRKYATGSRTNRATKTGYWKATGKDREVRGSSSSRAVVGMRKTLVFYQGRAPHGVKTGWVMHEFRLDSPHSPPREDWVLCRVFQKKKGDGDGCAQDSGGASSPTFTGSTSSSTHLQAPDHHHPAATAGGYCNIDLHAPGYSGTAGFTPTAIPAQPAASQYQYGGAALGFPEDYGFGA >LPERR02G20110.1 pep chromosome:Lperr_V1.4:2:17383237:17390047:-1 gene:LPERR02G20110 transcript:LPERR02G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSNSNSSYHREQGRASFRLLPGILFFSAALTDTAADERGGDQQPPPPQKADASLRPLPIDPHILMELSPNNESSPPTAGGGLNVGGGGDGAGAGGSSSAGGASSSVGGGGGGTPQTPSRYEAQKRRDWNTFGQRV >LPERR02G20110.2 pep chromosome:Lperr_V1.4:2:17383237:17390047:-1 gene:LPERR02G20110 transcript:LPERR02G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSNSNSSYHREQGRASFRLLPGILLMELSPNNESSPPTAGGGLNVGGGGDGAGAGGSSSAGGASSSVGGGGGGTPQTPSRYEAQKRRDWNTFGQRV >LPERR02G20120.1 pep chromosome:Lperr_V1.4:2:17429150:17444842:-1 gene:LPERR02G20120 transcript:LPERR02G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRMWRASSNLLGVAASRAAAGASKVAPAARPLRFHVRCCSPTAATTKPPPPPPQDRRRRSASSSSSTSDRESIRAIRLKKVDELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLTEEQFEQLKAFIDIGDIIGANGSIKKTEKGELSVFVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKAMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLSVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDVESAKNAAKGLLGMKTESSESISLQACSSIGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRFAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMNKGDKSTEGKNEEDDFSIWNATCFWNGPWNRPTGNAIDQLGKYQGCYCLPSTEDSTITQIQYGTSAPLFFVPNDGAGPACPTKLP >LPERR02G20130.1 pep chromosome:Lperr_V1.4:2:17450476:17452942:-1 gene:LPERR02G20130 transcript:LPERR02G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLAILALSVRLAAAATAMQPRETCLQQCGDVQIPYPFGIGSGCHLETGDWTFVLSCNRSEDDGRLRVYNYQIEVADISVPLGQLRIYSAINAWCYNGSTGAMDDQNNWWYNMSITNFRINDTLNRFTVVGCNSLAYILSPDGTLGADQYMTGCMATCPGVDRLKNGSCAGVGCCQTAIPGGLNGYQVSFEEKFNTSGTANFSRCSYAVLAEAKAFDFRTTYVTTDEFMAANAGQLPLVLDFAIGHKTCEEAKRNVSAYACVSGNSECVDSKYGPRHGYLCNCSSGYEGNPYLHDGCHDINECEGKQYPCSVPGTCVNTPGGFTCTCPDKTTGNAYNGTCEDNKSQLGWKIAIGISSGVVILIITASCAYMIHQKRRLAKIKREHFRQHGGLLLFEEMKSRQGLAFTLFTQEELEDATNRFDERNVIGKGGNGTVYKGTIARHNGAVVAIKRCRLATERQAKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYMLIHDGRARMRIPFAMRMRIAHQTAEALAYLHSWASPPIIHGDVKTSNILLDEDYTAKVSDFGASALAPADAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALSLEELEEEKYLSSKFLLAVAENRLEEILDAQIKNEQSIEVLEQVAELAKQCLEMSGEKRPSMRKVAEELDRLMKLSLHPWGQQNSEELLALLGGSPSAVDSDQIELSTSTRNISFSDTAYIGIRSPR >LPERR02G20140.1 pep chromosome:Lperr_V1.4:2:17458977:17461677:1 gene:LPERR02G20140 transcript:LPERR02G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIAVLTASAWPAAVSTAASQPAASCQRRCGDVDIPYPFGIGRGCYLYTGEDDVTFGLTCNRTADGSYRPFCWDYEVLDISIRGGQARVRNDINPWCYNATTRAMDEQSTWWWDVSDSWFRVSDEANRLTVIDCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCQAAIPRGINSYWVVFEEKFNTSSGPVARFGRCSYAVLLEAASFELRTTYVTTGDFMESTGGKVPLVLDWVAGKETCRKARRNATGYMCISHNSECVDSRNGPDINECEDKRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVRIAVGTPSVQFSSVSAGVALLVIIVSCAYMIQQKRRLATVKRRYFKQHGGLLVFEEMKSNQGLSFTLFTEEELEEATKNFDERNVLGKGGNGTVYKGTLKDGRAVAIKRCKLINERQKKEFGKEMLILSQINHRSIVKLHGCCLEVEVPMVVYEFIPNGTLYQLIHGGRHGSRISFATRLKIAHEVAEALAYLHSWASSPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMVTCKLTDKSDVYSYGVVLLELLTCRKALNLQALEDEKNLSSHFLLALSVNRLEGILDSQIQSEQSIELIEQEADLAKQCLEMNSEKRPSMRQIAEELDRLRKLAQHPWGRHASSEEMEKLLVGGSPSTYSEIELSNGYVNLTDSAYLGIQSPR >LPERR02G20150.1 pep chromosome:Lperr_V1.4:2:17463555:17466697:1 gene:LPERR02G20150 transcript:LPERR02G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGAGQPMTARDAADPARGWAVDFAHVGRVKVNVDFAPASVEFVASATRALAKGTTFEDVISMVKEVIPGLSCPAALFT >LPERR02G20170.1 pep chromosome:Lperr_V1.4:2:17485214:17491042:1 gene:LPERR02G20170 transcript:LPERR02G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGPYGVGLWAAALVVAAAVLAASGGVAAADEAYVTLLYGDEFVLGVRVLGKSIRDTGTKRDLVVLVSDGVSDYSRKLLEADGFIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTNYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMEKVNSLPSYTGGDQGFLNSYYADFASSRVYEPKKPIVPEPATQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLTGTGGGRNPHDQLVTNKELLCIRSLCAFARRARHKYKSEEALPSYSTVGSASSSFGISNQKLHNGAHPKLPSYFGAITVLVCFVSALISLAFAFIIIPRQVMPWTGLLLMYEWTFVTFFLLFGSYLRVVYNWGSSSANHVGHNNLDSLENHAGAGYQRSTSDCDTDAAFYWSGMAWVNGSRWRGFGIVYDIRFGASSYLGLCQRSKR >LPERR02G20180.1 pep chromosome:Lperr_V1.4:2:17494127:17500577:1 gene:LPERR02G20180 transcript:LPERR02G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSETMERTVVWFRRDLRIDDNPALAAAAREGSVLPVFIWCSADEGQFYPGRCSRWWLKQSLAHLSKSLESLGCPLVLIRAESTLEALLKCIDSVGATRLVYNHLYDPVSIVRDDKIKKELSALGISIKSFNGDLLYEPWEIYDDSGHAFTTFNMYWEKCMKLHGDISPSLAPWKLVPVPGAENVRSCSIDDLGLESSKDEESSNALLSRAWSPGWRNAEKMLDEFVCNGLLEYSKHGMKVEGATTSLLSPYLHFGEVSIRKVYQLVRMQQIKWENEERSEAEESIQLFLRSVGLREYSRYLCFNFPFTHERSLLGNLKHYPWRVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVCNHQHKHYSAKSFLSYLVQGQKYDPDGLYVRTWIPELARMPTEWIHHPWDAPSSILEVAGVELGFNYPKPIVELHIARECLDDAISTMWQLDTAAKLAELDSEVVEDNLSNIKTFDIPKVVLKETSPHALPVDQRVPYANSNDHNLKSKELKNSIICVDVSSSSKMEATSSVANSLVSRKRSSGDIAFHVPSCSSSAEVHSQIHDHGGSLAGPSRFILREAERNFADEVEDSSTADSGSSISRPTKSRIITLPDKERPTNGV >LPERR02G20180.2 pep chromosome:Lperr_V1.4:2:17494127:17500577:1 gene:LPERR02G20180 transcript:LPERR02G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSETMERTVVWFRRDLRIDDNPALAAAAREGSVLPVFIWCSADEGQFYPGRCSRWWLKQSLAHLSKSLESLGCPLVLIRAESTLEALLKCIDSVGATRLVYNHLYDPVSIVRDDKIKKELSALGISIKSFNGDLLYEPWEIYDDSGHAFTTFNMYWEKCMKLHGDISPSLAPWKLVPVPGAENVRSCSIDDLGLESSKDEESSNALLSRAWSPGWRNAEKMLDEFVCNGLLEYSKHGMKVEGATTSLLSPYLHFGEVSIRKVYQLVRMQQIKWENEERSEAEESIQLFLRSVGLREYSRYLCFNFPFTHERSLLGNLKHYPWRVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVCNHQHKHYSAKSFLSYLVQGQKYDPDGLYVRTWIPELARMPTEWIHHPWDAPSSILEVAGVELGFNYPKPIVELHIARECLDDAISTMWQLDTAAKLAELDSEVVEDNLSNIKTFDIPKVVLKETSPHALPVDQRVPYANSNDHNLKSKELKNSIICVDVSSSSKMEATSSVANSLVSRKRSSGDIAFHVPSCSSSAEVHSQIHDHGGSLAGPSRFILREAERNFADEVEDSSTADSGSSISRPTKSRIITLPDKERPTNGV >LPERR02G20190.1 pep chromosome:Lperr_V1.4:2:17508641:17510258:1 gene:LPERR02G20190 transcript:LPERR02G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAAAGNPGVVQVHAVYEDDVWTHMVMDLCSGPDLLDWIRLRRGAPVPEPLAAAVLAQLADALAHCHRRGVAHRDVKPDNILLDVVAGGEYGEKADVWSAGVVLYVLLSGGALPFGGETAAEVLASVLRGSVRFPPRLFAGVSPAAKDLMRRMMCRDTWKRFSAEQVLAHPWIVSGGARAMEQPT >LPERR02G20200.1 pep chromosome:Lperr_V1.4:2:17514473:17519877:-1 gene:LPERR02G20200 transcript:LPERR02G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDIKLNNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLAAGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQFVQQKSIEDCVKAGCYAANVIIQRSGCTYPEKPDFN >LPERR02G20210.1 pep chromosome:Lperr_V1.4:2:17527085:17534005:1 gene:LPERR02G20210 transcript:LPERR02G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYDACKESSQCKELEGGKGSEEDIEFDEAELEEGEIREPARSKSHIHRDIGINDIELSSFRHAIMKDSVSKTQSASHIRDTQGFANTIDMQKLEHRASGSQTVEVRQREMHGEYSRSYSYVKSSSNKRHKQEYGYYGYSEYQQALKKIEEVSSRRFKKLLAWHNEDRKEFNVLCKKQEFEYLQEHVRSYKVHYMRAVSTIRYCRMKLPKLRFTVLRKKFHKHYQSQLIEFVKRQIKDRDKEKRIRKRWILEAEAGYLMKGFYMIPLPYSGLTVEKLKCPLTDYSNVDEPLNYFNMEGLSTEIEAIASSIGPKGTHAGKTSNGSETTVKNSQLLLESNGSTQDGISVGPSEEVFTCERRSPQSTCEATRMVFGQNNGTQIACPVVAQSNGGHTKLSYASQSDSSTSLAKANAVAVDTRLLSIAKGRRSSSDYDVSQRKFLSESTSRLCKTPLLHKEAPSGNHEISLDTISLQEAPCANQQISSDTISLQEAPSSSPPSTNAIQMEQPKDKSSEIALSDQTSSFAQVTKQPDMNANTSIINATPEMNANTSIINATPEMNANISMINATQQQHFNSTLQAVTQPPDGSSPSVRTGFVSSRASNIEAESHNQILTNSIEQCPSEVGFDPIAVELSRLQHLRVLLAKRHQEKRQQHILAREIEMAEAKRKYDEQIYKLEMESLQRKKELELLSQKVHKQQVLAEEFQSMFVTHRSRGAAKRKMTEPNGSSGQQAFELPASVSAPASGVMCQPSQQGAQPFMGSSPRCPFVTTNHSTVDSLGRSATPLAHNRSAGMDTAIVYHAPEPHPHAVVNPLPPSGLHFGVASLEH >LPERR02G20210.2 pep chromosome:Lperr_V1.4:2:17527085:17534005:1 gene:LPERR02G20210 transcript:LPERR02G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYDACKESSQCKELEGGKGSEEDIEFDEAELEEGEIREPARSKSHIHRDIGINDIELSSFRHAIMKDSVSKTQSASHIRDTQGFANTIDMQKLEHRASGSQTVEVRQREMHGEYSRSYSYVKSSSNKRHKQEYGYYGYSEYQQALKKIEEVSSRRFKKLLAWHNEDRKEFNVLCKKQEFEYLQEHVRSYKVHYMRAVSTIRYCRMKLPKLRFTVLRKKFHKHYQSQLIEFVKRQIKDRDKEKRIRKRWILEAEAGYLMKGFYMIPLPYSGLTVEKLKCPLTDYSNVDEPLNYFNMEGLSTEIEAIASSIGPKGTHAGKTSNGSETTVKNSQLLLESNGSTQDGISVGPSEEVFTCERRSPQSTCEATRMVFGQNNGTQIACPVVAQSNGGHTKLSYASQSDSSTSLAKANAVAVDTRLLSIAKGRRSSSDYDVSQRKFLSESTSRLCKTPLLHKEAPSGNHEISLDTISLQEAPCANQQISSDTISLQEAPSSSPPSTNAIQMEQPKDKSSEIALSDQTSSFAQVTKQPDMNANTSIINATPEMNANTSIINATPEMNANISMINATQQQHFNSTLQAVTQPPDGSSPSVRTGFVSSRASNIEAESHNQILTNSIEQCPSEVGFDPIAVELSRLQHLRVLLAKRHQEKRQQHILAREIEMAEAKRKYDEQIYKLEMESLQRKKELELLSQKVHKQQVLAEEFQSMFVTHRSRGAAKRKMTEPNGSSGQQAFELPASVSAPASGVMCQPSQQGAQPFMGSSPRCPFVTTNHSTVDSLGRSATPLAHNRSAGMDTAIVYHAPEPHPHAVVNPLPPSGLHFGVASLEH >LPERR02G20220.1 pep chromosome:Lperr_V1.4:2:17538899:17549173:-1 gene:LPERR02G20220 transcript:LPERR02G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMILRALTTLLLFFSISNSSPPTTTARVPTTTITTVAMAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDDEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVAPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLISAIDKEAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEEIRSALPREIEAARVAVANGTAPIANRIAESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLECLKEWNGEPLPIN >LPERR02G20220.2 pep chromosome:Lperr_V1.4:2:17538899:17549082:-1 gene:LPERR02G20220 transcript:LPERR02G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHAVSETSAPLFKRLLPLHFPPRRALTTLLLFFSISNSSPPTTTARVPTTTITTVAMAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDDEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVAPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLISAIDKEAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEEIRSALPREIEAARVAVANGTAPIANRIAESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLECLKEWNGEPLPIN >LPERR02G20230.1 pep chromosome:Lperr_V1.4:2:17549263:17552924:-1 gene:LPERR02G20230 transcript:LPERR02G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVRSLNGDGLCMSMSAPRADPLNWGKAAEDLAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGYVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGSKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTYLIALCQAVDLRHLEENMKTAVKNCVMQVAKKSLSMNHLGGLHVARFCEKDLVTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDNERVLEKSIFAKVAEFEQNLRAALPKEVEAARAAVENGTPLVPNRIKDCRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >LPERR02G20240.1 pep chromosome:Lperr_V1.4:2:17557352:17559493:-1 gene:LPERR02G20240 transcript:LPERR02G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENSQVSADGINGLCMAAPRADPLNWGKAAEELTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRDNSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLVSLCQAIDLRHIEENVKSAVKSCVMTVARKTLSTSATGNLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKMRNVLVERALANGTAEFNAETSVLAKVGQFEEELRAALPKAVEAARAAVDNGTAATPNRITECRSYPLYRFVREEVGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >LPERR02G20250.1 pep chromosome:Lperr_V1.4:2:17564437:17566563:-1 gene:LPERR02G20250 transcript:LPERR02G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDNGMSGLCMAAPRADPLNWGKAAEELTGSHLNEVKRMVAEFRKPLVKIEGASLTIAQVTAVAAGAGEARVELDESARERVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLQEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGAVEAARAAVENGTAAIPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGMHIDPLLECLKEWNGEPLPIC >LPERR02G20260.1 pep chromosome:Lperr_V1.4:2:17597337:17600489:1 gene:LPERR02G20260 transcript:LPERR02G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEGFQFLWLRTVLQSFSFPWPTLYGVPDSTSQFSTTLAYIKLYPCKYSSMVLTRSCRRSSTMEYGGSRAVRFQNDMELPHWKASSAAPESTSSRTKNGKVHHQQQHGTRKCRRGFKDRVLSRAFSEELESLMTGSGKQLFFDPRGQLIHLWSKVFLAACLASLFVDPLFLYLTGTRQNMCIEFKYSLALTLSMIRSLLDFFYAAHIFFRFRTAYIAPSSRVFGRGELVIQPCKIAKRYLTGTFWFDLITALPLPQFVVWIVIPKLKESATTNRKNVLRFSIIFQYLPRLCQIFPLSRQIVMATGVMTEAAWVCAAYNLILYMLASHVLGALWYLFSVQRQEACWREACRIEGPSCQTLFFDCKTVSSNRTIWYELSNITSLCTPNNGFYPFGIYAEALESRLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLYIGEIAFAIVIGVLGLVLFAQLIGNMQSYLQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAAASSLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRVREGGEARSGGGVRCRRHSIDGKAPIKKPMEPDFNVEEED >LPERR02G20270.1 pep chromosome:Lperr_V1.4:2:17607288:17611628:1 gene:LPERR02G20270 transcript:LPERR02G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEEEAAAEAVVVVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHSGGRHVIGVIPKTVMPREISGETVGQVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSLQHEKVVSKMKWEMEQLSYPQNYNIPRPKEGKIIIEAQRASRLWM >LPERR02G20280.1 pep chromosome:Lperr_V1.4:2:17611735:17620976:1 gene:LPERR02G20280 transcript:LPERR02G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIVSYNSNTFVLSLGFHKLHHFVDEDSITTMLTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFNHKYTAPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLASKDVNGDSKKANGVSHDC >LPERR02G20280.2 pep chromosome:Lperr_V1.4:2:17615827:17620976:1 gene:LPERR02G20280 transcript:LPERR02G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIVSYNSNTFVLSLGFHKLHHFVDEDSITTMLTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFNHKYTAPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLASKDVNGDSKKANGVSHDC >LPERR02G20280.3 pep chromosome:Lperr_V1.4:2:17615827:17620976:1 gene:LPERR02G20280 transcript:LPERR02G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIVSYNSNTFVLSLGFHKLHHFVDEDSITTMLTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFNHKYTAPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLASKDVNGDSKKANGVSHDC >LPERR02G20290.1 pep chromosome:Lperr_V1.4:2:17626267:17629036:1 gene:LPERR02G20290 transcript:LPERR02G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLAEPAAPGAERCVDRQLWLACAGGMCTVPPVGASVYYFPQGHAEHALGLATPELSAARVPALVPCRVAAVRYMADPDTDEVFARIRLVPLRAGEDGDVEDGDGVATAEDQHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGGGGEAEEAASHLPGWDQYGGLMRGNASPCAKGRGKVRAEDVVEAARLASVGQPFEAVYYPRASTPEFIVRAAAVRAALRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVPSMPAVHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHPHHYPHPSFFPFPDVSAPAGIQGARQAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVSPTTIPPRISTDLTMGGHGSPPARGDTVSCALSIGAKKPDDTKPLGLMLFGQRILTEQQISLSGSSEKCANASEGSGSGVIQTSPTDNTSSERLQWFRENSAVSELGLLEPGRCKVFIESDTVGRNLDLSALSSFEQLYGRLSEMCGIDSAELRSRVLYRGATGEVKHAGDEPFSEFIKLARRLTILTDAGSDNLGS >LPERR02G20300.1 pep chromosome:Lperr_V1.4:2:17638217:17638426:-1 gene:LPERR02G20300 transcript:LPERR02G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGVVVLAVAVHGAAPLAQCDSDRRLRAFGKDGFGTDGGGVPQAVDSASTTARGSGGPEGSCGRRW >LPERR02G20310.1 pep chromosome:Lperr_V1.4:2:17641138:17641359:-1 gene:LPERR02G20310 transcript:LPERR02G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLADYEIHDGMGLELYYD >LPERR02G20320.1 pep chromosome:Lperr_V1.4:2:17650354:17650887:-1 gene:LPERR02G20320 transcript:LPERR02G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSTATEQAYSRFAPPASRGVRGAARRGGINIIDEFDESDIWGSFEPSAVDVADEAPPLPTARPPAAGRKATKKAPHGSLPVNIPDWSKILGDEYRSHHAAAGGEWEADDVDDDDIDATATSDSTAVLVPPHELAWRRRAASLSVHGHDGMGMGIGRTLKVRDAVWKKTGFQA >LPERR02G20330.1 pep chromosome:Lperr_V1.4:2:17654717:17659309:1 gene:LPERR02G20330 transcript:LPERR02G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPAEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDTTVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSAYYVRYGGGANELSSGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >LPERR02G20330.2 pep chromosome:Lperr_V1.4:2:17654196:17659309:1 gene:LPERR02G20330 transcript:LPERR02G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPAEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDTTVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSAYYVRYGGGANELSSGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >LPERR02G20340.1 pep chromosome:Lperr_V1.4:2:17659937:17672123:1 gene:LPERR02G20340 transcript:LPERR02G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKRESGQTPKPWCASRAWCAEDGDGDSHRWRFLPLALQWEGDVRWPGRVRRLGHEWPHAHATCSTECLSQGATTRKFGEGWRHGYGLCIRLAGKSTASGKRHVCRLFRQCLGPKPRTDCQVE >LPERR02G20350.1 pep chromosome:Lperr_V1.4:2:17661864:17665007:-1 gene:LPERR02G20350 transcript:LPERR02G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTTKRPWCFFFYVSVHLLFFTPTNSSNQSYCDPGDASALQGFVQGLDGSGISSWAVPNATSETADCCAWHGVKCNDGGRVIGLDLQGMKLRGELSVSLAQLDQLQWLNLSNNNLHGAVPAPLVQLQRLQSLDLGDNELSGEFPTNVSLPMIEIFNISFNSFKEQHPTLHGSSHLTMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGDFPAGFGNCTKLEELYVDLNSISGNLPDDLFRLSSLRNLSLQENQLSGRMTPRFGNLSSLSKLDISFNSFSGYLPNVFRSLVKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSLNGQIDLNCSAMSQLSSLDLGTNNFIGTIYALSDCHHLRSLNLATNNLTGEIPDGFKNLQFLTYISLSNNSFINVSSALSVLQECPSLTSLVLTKNFHDGKTLPMAGIDGFHNIQVFVIANSHLSGAIPSWLANFAQLKVLDLSWNQLTGNIPAWIGNLDHLFYLDLSNNTLSGGIPNSLSSMKGLLTGNSSQQSTETDYFPFFIKKNTTGKGLRYNQVSSFPPSLILSHNKLIGPISPDFGSLKNLYVLDLSNNHISGTIPDELSGMSNLESLDLSHNNLTGSIPSSLTNLTFLSSFCVAFNNLTGAVPLGGQFLTFTGSAYEGNPKLCSIRFGLAPCPSSHPPIMSEKKNGKNKGVILGIAIGIALGAAFVLSVAVVLGLKSSSRRKDYIVKAVADTGAALELAPASLVLLFQNRDDGKAMTIGDILKSTKNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDYWLHEKPDGPSKLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDENFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEENCEARVLDRAMYDKKFEMQMTQMINIACSCISESPKLRPLTHELVLWLDNIGGSSEAIE >LPERR02G20360.1 pep chromosome:Lperr_V1.4:2:17676802:17682744:1 gene:LPERR02G20360 transcript:LPERR02G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCADFVMPEGGITILRRYHCKHILASPGFLRTTLLVCGSCASNGPWHTVTADHHFMHTNFSRNRAGGHSIAGFFVSNELHNKFSYNPLRDTTRNPTIPNFSSRTTPTRARSTSCNELILCRRPMACRRYYVCNPATMRFVKIPPPPADGGRGHYLNLAYDPSRSPAYKIVGLGHTGVRPRVLLPVALMASGAPVRARRRRPGLLWNGSLVWLLSRSRSLLRFAVVEEELSRLPMPPRPVRYGAPPVDDRLHGGGEARRLLRRAGEWRWRAAGDCLEWRALYRVNLTRVKEIYPGIVRKTRKHHPICPRRARLVDCLDLWPLHSGKIMAYAVEDQEISVVWEDTTQPPFFSYAWFDFYPYSPGLFCIIANILTRLPPKELVRARVVCKQWHALTSEHHFVHTNLMRNNAGHPVIAGFFLNDEIHEKFSYNPLLRGGYSSPDLSFIPTTPDSAESKTYVTSSCHGLLLCRRRRRVDGDLGVYRARHYVCNPETTDFAEIDVPDDAGQYLNLAYDPSRSLRHYRIVARGHDAIRVYSSVTRSWRTAVRYDRCRHSPFAGLRHPRGVFWNGSMVWAMLSPRLLRFGVDSGELSEMPLPPRLRSEGWFHAGWVYAYVGESGGRLQVIGYTDEERRDARFDVLEMRRDEEEEWTVLYRVDLTRVKELHPDDGVRVLPRVTVEHFSWGGAPLHIVRGPGEAGRHGMLFLSVPGKIVCYDAESRLVSVVWEEEGTATATSSPSQFLSCSWFNFYAYMPSLLRFE >LPERR02G20360.2 pep chromosome:Lperr_V1.4:2:17675358:17677321:1 gene:LPERR02G20360 transcript:LPERR02G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCADFVMPSSLLSGYLNQINKVLSSKQLHHSSFPVTLVLCLEWQRRRYYYSPTISLQTYPRLAWLPPNHAARMRLVCKQWPLAHRDSGSPLHAHELLQEQSRRPLHRWLLRQQRAPQQVQLQPPT >LPERR02G20370.1 pep chromosome:Lperr_V1.4:2:17683494:17687743:-1 gene:LPERR02G20370 transcript:LPERR02G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAEYCADEPPLVDSYNALLRLGQHNETTTPPPRGELTTSTPIPRAVSECELPLIDVGCLTSGQQQANSAEERAACAAAIAAAAAEWGFFQVVNHGVGQELLDAMRREQARLFHLPFEAKSSTAGLLNDSYRWGTPTATSLRQLSWSEAFHVPLAGISGKAAGCNYGDLTTLWDVTREVADAMSKLARTLARVLAESLLGHGAAAGERFPEGCDDATCFLRLNRYPPCPFSPDDASAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNDRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYRPFTFGEYRRRVQEDVKKTGKKSGLRNFLV >LPERR02G20380.1 pep chromosome:Lperr_V1.4:2:17714560:17724478:1 gene:LPERR02G20380 transcript:LPERR02G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAEAAAAAGEAVAKVAEEEKGKGKEGRRGGVLGRMWRALFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLVVLTVLAEVVAIVYAIMTTRNEDIPWQLRAIRVLPIFVLPAVSSVIYSSVVKFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPNLDAAVARSNDVEILPSDGLRNRKQSNAKGSRTGGTTAAQTPAQGAESSLISSSGLETVQNPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNASKQSMGQYSGSNSGRSTPVAPADGISASSSVVESEVSNMTTVPELKNEKNTEKQEGAQPLREHFAPSAAMDRGVELMGCVCRIKNCAVELLEMEDDLVIDMDDDSWDLFWSDLRIKSTFLYIDLSRVISCSESDERKEALTLLTNKLLYFLEELADAATSGSVSFTKLCYSDAAQVLRDVVAFLAPPQ >LPERR02G20390.1 pep chromosome:Lperr_V1.4:2:17725222:17726100:-1 gene:LPERR02G20390 transcript:LPERR02G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAGEEDDKPKKKPVVLITGCAKGGIGYEYCHAFSSLGCHVVATDVPDRVPDLAGLDDADHLPLDVSSDESVHSAVERVLAAHGRLDVLVNNAGVGCTGPLAELRGEAVRRAMDVNFLGQLRTVRAVAPHMAARGTGRVVNVGSVVGTAATPWAGTYCASKAAVHAATDALRLELRPFGVHVVKVVPGAVRSGLGDANTARLRAEEVEWRMYGGFAAAIAERARASQGGRATDARVFAAHVARRVMSARPPREIVYGNMTLLFAALAAAPLWARDAFFARRFGLDRTLPR >LPERR02G20400.1 pep chromosome:Lperr_V1.4:2:17729668:17735670:-1 gene:LPERR02G20400 transcript:LPERR02G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPNHYAVFPHSFCNQHVVSFQTSTITNGSGAMPVCPVTSGGMNSDLTIMNNTTPSMIVSTASPNMLADSNQGLKYAAPMAVDWSYPELQLLNDGLFKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAKKENTRRRKTDEHYLGKKTRERKDKMVESSWATTSRHVQTTDISTSAMACNTTVHDNQFQSGVSEIDRAMLNVLEENARLLKQIEENILASQAQKNIDLFHHTRRNISDLLQRPKFSAAAI >LPERR02G20400.2 pep chromosome:Lperr_V1.4:2:17730305:17735670:-1 gene:LPERR02G20400 transcript:LPERR02G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPNHYAVFPHSFCNQHVVSFQTSTITNGSGAMPVCPVTSGGMNSDLTIMNNTTPSMIVSTASPNMLADSNQGLKYAAPMAVDWSYPELQLLNDGLFKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAKKENTRRRKTDEHYLGKKTRERKDKMVESSWATTSRHVQTTDISTSAMACNTTVHDNQFQSGVSEIDRAMLNVLEENARLLKQIEENILASQAQKNIDLFHHTRRNISDLLQRYNYN >LPERR02G20410.1 pep chromosome:Lperr_V1.4:2:17751274:17761602:-1 gene:LPERR02G20410 transcript:LPERR02G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAQNENSKETKQDDETRQNKQDDEEARLEEYKKLIDQKIALRLSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLVQGLLKVFFPGKSGDDLDTDKNSRALKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDREATQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNSYYDAVAELLQSEHTSLRQMEAENAKVLTAKGELSDENTASYEKLRKTFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSSAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSESITEQDTEVHDSAQTSSITGYQMEGKADDGMKDSEEKDKDKGKGVDKEKSKEKDFDRKIEREKEKIRAVDNAGLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKSSRKKLVRALFNVPRTSLELLAYYSRMVATLSTCMKDVPSMLLAMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFAELRPNMDRYSSVEELDAALVELEESEHAASVEKPENEKLSDSESQKVQPHDTAFSANGRGSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIHAGSDEDEGVEVRHKVVQVDPKEQEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTRQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGTLQMGNWGQGGSNTGSSIRSGGRGIWDGSTRGARQRHHIAGGFYHSYGRRR >LPERR02G20430.1 pep chromosome:Lperr_V1.4:2:17768909:17780249:1 gene:LPERR02G20430 transcript:LPERR02G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFIITDTLSFRGSLDEGYTGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFINVDLHGVKAREKFVSVRKGTRPEVVTGLEEDLHNAKSAFERSRFNLGYELLSQMEPFIHQVLTYAQQSKEMAVNEQDKLAKRIQEYRTQEEITNLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENLRGEWKRRFFVLDSRGTLYYYGNKGNKQSGAASQQTAGEGTGVFSRFRFLNQKASTQSDNSLSCRTIDLRTSTIKIDAEENDLRFCFRIISPIKAYTLQAETGADQKDWIEKITGVIASLLNLPLPRQVSYGNLEAENHGSASSLDSLSLDENKSSEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILFCIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFRELGNNYTNSIWEAMLPKEDQGIDESNGTILFIEKPKPTDAFSIKERYIQSKYVDKLLIAKNNNQITIDILEAIRTNDVKAAYRLLVLADVSPNMTYDELNNDVQHEQTVTDKKFFDPASCDLKDDSGKPEGCLQGCSLLHIACQYDHSMMVELLLLFGADINKQDYHGRTPLHRCVQRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR >LPERR02G20440.1 pep chromosome:Lperr_V1.4:2:17781408:17785198:-1 gene:LPERR02G20440 transcript:LPERR02G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVVFCAIPFVLVCSAATPRATDAVYGVGGGLLSVPSNDSLAHCPSSCGDVDNIVYPFGIGPGCFREGFELTCNTTTRTPKLLIANSTIEITRLFNYEAFAVVPMYFKITVRPGIVTYNMSWVSPADGITAYQYNTFYIIGCNFDATLFEYATGDLVGSCMSRCDGEKLPRGGPCNGMGCCFIQLSRNLLGFRSTILVRSDGIPAAQSDPVHPGIMAFMSNSYYRSNTSELFSGWTNASNVYGMLLQFAAVDQPSCENARASNTSYACSSSSNCQNVSSGGYVCECSPYENGNPYILDGCTVHEYNPTHKEHCSTSCGSMVIPFPFGMEEGCFANDRFRLNCTADNLTVCEIGNAQYHVTDVSLENGTLTVSNMLNDTDYEKEQIIVQTNDNGGGSVSGPVEDEFDLSLDYAIVIRWAVANLTCAMAVKKDTTYACRSSHSDCLDVNHKKTYMGYRCKCSSGFEGNPYIQDGCRGNYTCASCPHRKEFDQIKKKCVTSAKQRSLLFGIAIGIGCGLGSIVIAVGAMLLANKWKKGTQKSIRRAYFQKNQGLLLEQLISDESATNKTKIFSMEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDHLHSDVSVKCPLSWDDRIRIAVEAAGALAYLHSAATIPIFHRDVKSSNILLDGSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDAGVKQSLSHYFVEGLQEGILMEIIDPQVVEEGNKEEIDEIASLTEACLKVRGGDRPNMKEVEMRLQFLKTKQLRKFQLLPGNDGEIEHLYSPNASYAYARNNYSNVDHLTSGGTTSCYSMEQELSSSISLPR >LPERR02G20450.1 pep chromosome:Lperr_V1.4:2:17787282:17794773:-1 gene:LPERR02G20450 transcript:LPERR02G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLVVVCAISFVQAMSFVLLTCFAATSSQARAALSGVDGGLLSIPSNDSLAHCPVSCGYFHIRYPFGIRPGCFRQGFELTCDTTTETPTLFLGNSTTLITNNLIYSSNIMEVSMFFNITTIPGLHTYNISWAPPAKGIKISVNNIFYVVGCNVDVTLFEYATGDRPIGSCISTCNDEKVKRNIRGFQSTLVLQDDAVAARSDPLHHHIMAFMSYEDHYIYNARGLFSSWMNVSYVNYLQGTMLQVAIMDQPSCVSARMNSASYACSSNSECLNISSGGYSCWCTNNYGFLRGNPYLLEGCNIQDYNPTPRGNCKRSCGNISVPFPFGLEEGCSALRKFQLNCVSGNLTIDRSEATYLVTSVSVNEGYFVVRYIQNSSYNGEEMSSTYANGRKKESYELLEDLFELSQEYDMMMWWAVANMTCQEAIQRNHSYACRSVQSACQDVTRDGVSLGYRCKCTVGYEGNPYVHDGCTDVNECLLPNSCNGPCQNFPGGHNCTSCSHGKEFDPAKRECVTSVKLVGIAIGISCGLGSIILALSATALATKWKKSIQKRIQEGHFKKNQGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKANIAKQAEIDQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYHLLHNDESVKGLLPWDDRIRIAMEAAGALAYLHAAAIIPIFHRDVKSSNVLLDDNLITKVSDFGASRSIALDQTHVITAVQGTFGYLDPEYYHTGKLTSKSDVYSFGVILVELLTRKKAIFDNDQGIKQSLSHYFIERLQEGELMEIVDSTIVEEANKEDIDGIASLILACLKLKGDERPTMKEVEMRLQFFRTKRLLRSQHFPGSTGGKPSIHSPVNCNSQHENNLSNAAKFPNEGSLCATGCSKNLWIQPVFHCLFGCS >LPERR02G20450.2 pep chromosome:Lperr_V1.4:2:17791488:17794773:-1 gene:LPERR02G20450 transcript:LPERR02G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLVVVCAISFVQAMSFVLLTCFAATSSQARAALSGVDGGLLSIPSNDSLAHCPVSCGYFHIRYPFGIRPGCFRQGFELTCDTTTETPTLFLGNSTTLITNNLIYSSNIMEVSMFFNITTIPGLHTYNISWAPPAKGIKISVNNIFYVVGCNVDVTLFEYATGDRPIGSCISTCNDEKVKRNIRGFQSTLVLQDDAVAARSDPLHHHIMAFMSYEDHYIYNARGLFSSWMNVSYVNYLQGTMLQVAIMDQPSCVSARMNSASYACSSNSECLNISSGGYSCWCTNNYGFLRGNPYLLEGCNIQDYNPTPRGNCKRSCGNISVPFPFGLEEGCSALRKFQLNCVSGNLTIDRSEATYLVTSVSVNEGYFVVRYIQNSSYNGEEMSSTYANGRKKESYELLEDLFELSQEYDMMMWWAVANMTCQEAIQRNHSYACRSVQSACQDVTRDGVSLGYRCKCTVGYEGNPYVHDGCTG >LPERR02G20450.3 pep chromosome:Lperr_V1.4:2:17787282:17791080:-1 gene:LPERR02G20450 transcript:LPERR02G20450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGLRTPSADSEFIQVQAAVEHVNECLLPNSCNGPCQNFPGGHNCTSCSHGKEFDPAKRECVTSVKLVGIAIGISCGLGSIILALSATALATKWKKSIQKRIQEGHFKKNQGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKANIAKQAEIDQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYHLLHNDESVKGLLPWDDRIRIAMEAAGALAYLHAAAIIPIFHRDVKSSNVLLDDNLITKVSDFGASRSIALDQTHVITAVQGTFGYLDPEYYHTGKLTSKSDVYSFGVILVELLTRKKAIFDNDQGIKQSLSHYFIERLQEGELMEIVDSTIVEEANKEDIDGIASLILACLKLKGDERPTMKEVEMRLQFFRTKRLLRSQHFPGSTGGKPSIHSPVNCNSQHENNLSNAAKFPNEGSLCATGCSKNLWIQPVFHCLFGCS >LPERR02G20460.1 pep chromosome:Lperr_V1.4:2:17803241:17817221:-1 gene:LPERR02G20460 transcript:LPERR02G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVAAALEEVCARLSTGLPVADLWPALRGALEAADLPLGLDVKRALWARLIALPVISLAVGEGDGDAGACVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGTLERVGASRTSGVTQNELCKNFQMKGNNFHFIVKSLQSQRLIVRQSTIIKVKDHGVDAEDASQNKQIINTNSLYLLRYAKNLNMNSHQRIEITKPELLGSNEETNAGVLQEDGPFSVNEKNDIAIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMAYGHRAWRNVLHRLRDAQLIEEFDAKVDDKVIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVEAHEQLSVAYTHAFLWVDLLISIPDKTSQYRVWTKKNFSHYKAGTALHNFEEFQDDHDKLSDLWSLVPSKGSESPSSQGDLFIDNDKLLLEEECYNKLAGHQLDSNNEVRVGVSQLVEQDKGALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVKVPLVTNYTRSRLIDVILHSSVGEMSTELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAVLGLRIPRRVKDSKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLQSWYNPFDSDKEGHHEKNVNQSCVLFSMVAATKEMPLELFLQVVGSAKKIDRMSTKCRLGKTLSEIPTEEYDQLMDTHAKGRLSRLINILDKLKLVQLAKELVEDSGAPSDALPTHSMELRPYIEEPTSRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTPGLAEPSSAFPGCSVPEVSHPRSWSSLRVMNTEQRLELQQRIENATENGKLPFRDCRIIARELNLSVQQNRQIHGQPSITATRNQRKVNLGQTSKKRKRSADDITLKFIKQNVETGESTKARPAQSIRDEVVSERTPSSTFHTDSPPHVDEDKISSPRISRSTILRRSCMRGRRFVWTYDSDRSRATLGAGSHRVDWNSLSDLPAPPAACRRRMAYLRKKVNIRPAVSRVCDLLGIQYARYLEKEKRWKLRGLPPEISNSGHDNCADSDSEQFDWDNFEDPEIKSALDEVLELIRVEKMEQTRRVRPKNEKNNDDNDVTKEVPNGQEQPVMQGASASSATPAFPESGLREHSKLYRRSNIIHASQNMDIPGRFHEKAINLNKNETTKRDVCRSLAVANAIELLKLAFLSTSSGPEVQASLATTLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASHSPFPFGSGKKASDFSQWVIEQQKNTINDRFCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSSLFVDDNNSELDDNTHNSKVDVVKPKNSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIQTSKLMQVLHDKECLIFTSAWEMGSKDVDMQVESHNISSSCLSNSSSCRRILSESHLENNYTGWPWDAIKTYAEQLPSLCCNKNEPVILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGMQFVDVIVYTLERFQLVIKANAYDGVQIVDSIHKSKYYISTLADCSCLHASASEMVDAGDIENLLKEKHGISSDLQGTVKMLGDGHTVTVLNVQSKSSSRQVPSQSPVGQKMSSTHVQDNRGGDCCHACERHIYHPILPWMNGDGSINSTVYEGLSRRLVGYIMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLIIDKHLHARAFDEPVPKTPNLLQSLLKQDLSQEPPKCKKRYFANPTSTFLL >LPERR02G20460.2 pep chromosome:Lperr_V1.4:2:17803241:17817221:-1 gene:LPERR02G20460 transcript:LPERR02G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVAAALEEVCARLSTGLPVADLWPALRGALEAADLPLGLDVKRALWARLIALPVISLAVGEGDGDAGACVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGTLERVGASRTSGVTQNELCKNFQMKGNNFHFIVKSLQSQRLIVRQSTIIKVKDHGVDAEDASQNKQIINTNSLYLLRYAKNLNMNSHQRIEITKPELLGSNEETNAGVLQEDGPFSVNEKNDIAIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMAYGHRAWRNVLHRLRDAQLIEEFDAKVDDKVIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVERVSSMLEKYNLTWEAEVPDKTSQYRVWTKKNFSHYKAGTALHNFEEFQDDHDKLSDLWSLVPSKGSESPSSQGDLFIDNDKLLLEEECYNKLAGHQLDSNNEVRVGVSQLVEQDKGALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVKVPLVTNYTRSRLIDVILHSSVGEMSTELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAVLGLRIPRRVKDSKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLQSWYNPFDSDKEGHHEKNVNQSCVLFSMVAATKEMPLELFLQVVGSAKKIDRMSTKCRLGKTLSEIPTEEYDQLMDTHAKGRLSRLINILDKLKLVQLAKELVEDSGAPSDALPTHSMELRPYIEEPTSRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTPGLAEPSSAFPGCSVPEVSHPRSWSSLRVMNTEQRLELQQRIENATENGKLPFRDCRIIARELNLSVQQNRQIHGQPSITATRNQRKVNLGQTSKKRKRSADDITLKFIKQNVETGESTKARPAQSIRDEVVSERTPSSTFHTDSPPHVDEDKISSPRISRSTILRRSCMRGRRFVWTYDSDRSRATLGAGSHRVDWNSLSDLPAPPAACRRRMAYLRKKVNIRPAVSRVCDLLGIQYARYLEKEKRWKLRGLPPEISNSGHDNCADSDSEQFDWDNFEDPEIKSALDEVLELIRVEKMEQTRRVRPKNEKNNDDNDVTKEVPNGQEQPVMQGASASSATPAFPESGLREHSKLYRRSNIIHASQNMDIPGRFHEKAINLNKNETTKRDVCRSLAVANAIELLKLAFLSTSSGPEVQASLATTLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASHSPFPFGSGKKASDFSQWVIEQQKNTINDRFCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSSLFVDDNNSELDDNTHNSKVDVVKPKNSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIQTSKLMQVLHDKECLIFTSAWEMGSKDVDMQVESHNISSSCLSNSSSCRRILSESHLENNYTGWPWDAIKTYAEQLPSLCCNKNEPVILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGMQFVDVIVYTLERFQLVIKANAYDGVQIVDSIHKSKYYISTLADCSCLHASASEMVDAGDIENLLKEKHGISSDLQGTVKMLGDGHTVTVLNVQSKSSSRQVPSQSPVGQKMSSTHVQDNRGGDCCHACERHIYHPILPWMNGDGSINSTVYEGLSRRLVGYIMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLIIDKHLHARAFDEPVPKTPNLLQSLLKQDLSQEPPKCKKRYFANPTSTFLL >LPERR02G20470.1 pep chromosome:Lperr_V1.4:2:17817563:17818951:1 gene:LPERR02G20470 transcript:LPERR02G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLRSRHRGGSHAADALLLRALSTAAAASSEPAALTLTPSSFKARLRREIDPDRVVSIFEAIDDASLSASSTRHALSLAARRLSRARRYADAEALLSSRLPASPTEPQLAAVLCAYASASLPEKAVAAFRSAAPSLPSPISPLPFNALLSVFLRCRRHRRVPVLFEELSKEFSIKPDATSYGILVKAYCMLGKDAKAHEVLDKMRGQGFTPTTNIYTTMIDSMYKQKKIEAAERLWKKMLESGRKPDQALYNAKVFHYSLHGKTEDVFEVIAEMEAAGVKPDTITYNFLMTSYCKDGKIDSAKEMYRSLAEKGCSANAATYKHMLAHLCAHGDLDGALQIFKESFRSNKVPDFRTMRGLVEGLTNVGRTAEAKSIVTKMKKMFPEQLLSGWAKLEKELGLNSDGGDAGSQAECIPEETPAEAETSTAKALELEDPSDDETGCMAEASIDEEVTQGTA >LPERR02G20480.1 pep chromosome:Lperr_V1.4:2:17823841:17830796:1 gene:LPERR02G20480 transcript:LPERR02G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTAAAAGGDHDEEVAEAGSATTTGGGATLRHRHAGKGADEHEAEGGGRNGGGGEDPDAASLERVFADKAVPSWREQLTLRAFVVSAVLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERIGFLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSDTIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVVLGGILSWGVMWPLIAKKKGSWYPADISDTSLHGLQAYRVFISIALILGDGLYNFLKVLIRTIAGFISMVQSNSKGMLPVSDNDMSMATTEAVSFDDQRRTEIFLKDQIPKSVAYGGYVVVAALSIGTLPEIFPQLKWYYILVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFGDIGTSGTEYPAPYAIVYRNMAILGVDGFDSLPENCLKLCYIFFAAAIVINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSVILFVWEKMNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >LPERR02G20490.1 pep chromosome:Lperr_V1.4:2:17828527:17832402:-1 gene:LPERR02G20490 transcript:LPERR02G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGYDGGGGNANSLFSGGGFMPSQSTNAVEGSGGGGFPKSRNAQTLLPLTIKQIMDASQTNDDKSNFAVNGMEVSTVRLVGRMFNKVDRVTDVSFTLDDGTGRIHVNRWENDSTDTKEMNNINDGDYVIVNGGLKGFQGKRQVVAYSARPVTNFNDVTHHFLHCIHVHLELTRSKPQGKANTATGTPNQAMLRDGMAYNQGSLPNQASTFSAPQNTGSASDISKLVLSVFHDPTVMNDEHGISVDYVSKRLNLPEDAVRKIIQEEYEKGHLYATIDDHHYKSTMNGWHTRLAGVDLRSRLTTMAPWHGMSA >LPERR02G20490.2 pep chromosome:Lperr_V1.4:2:17829270:17832402:-1 gene:LPERR02G20490 transcript:LPERR02G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGYDGGGGNANSLFSGGGFMPSQSTNAVEGSGGGGFPKSRNAQTLLPLTIKQIMDASQTNDDKSNFAVNGMEVSTVRLVGRMFNKVDRVTDVSFTLDDGTGRIHVNRWENDSTDTKEMNNINDGDYVIVNGGLKGFQGKRQVVAYSARPVTNFNDVTHHFLHCIHVHLELTRSKPQGKANTATGTPNQAMLRDGMAYNQGSLPNQASTFSAPQNTGSASDISKLVLSVFHDPTVMNDEHGISVDYVSKRLNLPEDAVRKIIQEEYEKGHLYATIDDHHYKSTMNG >LPERR02G20500.1 pep chromosome:Lperr_V1.4:2:17834521:17840754:-1 gene:LPERR02G20500 transcript:LPERR02G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQIQQTLSEIDRRIPDALRIAMGVQLHATEPAHYRDEVTRFAAFLFLLPPGEDGAGDDPMECDGGGGDTAESSSSTTTTSMEIECEYFRRPRRPLRMVAASCYLPDHDEDMHFLLPEAGVVGVADGVGGCSARGVDAAAFSRALMSNALKAVADFATATGGMGVVCSCPYTLLELAYSQAVAANTQGASTAVLLSLDGATLRYAYVGDSAFAVVRDGVIVHRSETQNYFFNCPFQLCVTDGTSVTDAARGCVEVEEGDVVVVGTDGLFDNVFDRELRQIVSMGRMMGLSPKQMADVIAGYAFEASTMKNRDTPFSAGSRAQKGTSFQQGKRDDITVVVAYINDRAIPDVIRAAVGLEHHYRTVSVNSDGVIKSFTNSLLCPPEPEQKHDDGDGEAAGSKAISSPRKRKQDSDDEAELVPSPKRRKREAHDGAEEGDHGEVIKKASLRMDWKSCYVPHHNEGAHFGYDDASVVAVADGVGGSRKDGVDASAFARGLMTRAHELLVTATDPTAPVCPYTLLAHAYDDTAESGASTAVILALDGDVLKWTYVGDSGFAVLRDGKIVHRSKPQTRYFNAPCYLSRGGGVGITEAKVGETTGRRRRGGRDGRLFDNVSDADLEKVVQIVTALGFSIRHMVDFIAGAAYEMSRCPEKDSPFVVASRKQRYRAHHHQGGKIDDITVVVARVVSSES >LPERR02G20510.1 pep chromosome:Lperr_V1.4:2:17843191:17844683:1 gene:LPERR02G20510 transcript:LPERR02G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRMEEVKQIKQTLGEIDKRIPDAVRAAVGLEHHYQNTSLRHNATTKTFAASLLRPKEQDHKAAPAFDETSSKAISSPRKSKPEQDNGDGGEDQGALRMEWASCYVPDHGEDAHFGHDGVIGVADGVGGHRAPGVDAGAFARGLMASASAQVAAAAMDQPTIPYTLLERAYDETVASEATGGSTAVILSLASDVLKWAYIGDSGFAVLRDGKIVHRSVPQTRYFNAPFYLSCRGGKSITAAKVGETPVRHGDVVVAGTDGLFDNVSDAGLEKVVRIGTASGFSAKNMADIIGGTAYEMSRCPVKDSPFAVESQKDKVRVHYRGGKVDDITVVVALFK >LPERR02G20520.1 pep chromosome:Lperr_V1.4:2:17846931:17849380:-1 gene:LPERR02G20520 transcript:LPERR02G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDRVDEKGDANAVTVVLVPFPAQGHVTPMLHLARALAARGVAATVAVPDFIHRRIVKAGGGVELASIPSGIPDGDGADTAPGFATIVQAMERHMPAHLERMLMHDGGLVDGRRAACLVVDVLASWAVPVAARCGVTAVGFWPAMLASYRVVAAIPELIDKGFISEYGIPILANGFNKDQSQVKANIRAEIISLLPAELELSTTYLPWLVGDSVTQKSRFTFWLQTMERAKSLRCILVNSFPGKTTGAVVPDQQHPLQNQQILPVGPLLTNAIHRPKHNNNTNKLQGSPLKTKNTSMSQSDRTCIDWLDQQRPGSVTYVSFGTWVAPIAPAEITELALGLQATGRPFLWVLKDDPSWRAGLPAGYTTESGTVAGGRGRIVTWAPQEDVLAHGAVGCYLTHCGWNSTMEAIRHGVRMLCYPVSGDQFINCAYIVKVWGVGIRLRSTDRGEVEDCVGRIVEGEEGRRLRVKLDELRERVMAGEAVCTAERNIEDFIDGIMYGTERGCTGGLFWD >LPERR02G20530.1 pep chromosome:Lperr_V1.4:2:17858337:17859230:1 gene:LPERR02G20530 transcript:LPERR02G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSALAHLASASPLPTFSPKPRARPGSVPSLRRLAAAAPPPRAYFSSSPMPYQPQPPQQPAGYSSHQAFGLVPMVIETTSRGERAYDIFSRLLKERIVLIHGPIADETASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQAIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLMPEGVSGVDLPHHSGAGAGGRGREAEEPSAV >LPERR02G20540.1 pep chromosome:Lperr_V1.4:2:17861459:17865862:1 gene:LPERR02G20540 transcript:LPERR02G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKTLAKNPMFAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDNILLPGTANGSSQVPSEANNHPRRSGLSFAVGTGVASANKPDVHATRPLTLNELSNKFRDHFRYTLDIRPSQIPHKDAGRGLFLSGKANAGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWQSGSDTREIWDGSDLVDYNAVPPKSQESNSDRAWRMLSKPLKKGHSEKFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEPISMKRFGSFWFKSRRSGNQVGESPVLKTLVLVSTRSVCDEELFLNYRYSNSKKRPEWCKRNTYMDVLLIPGIYACLVTGVILTNLTEHCSFLRL >LPERR02G20540.2 pep chromosome:Lperr_V1.4:2:17861459:17865862:1 gene:LPERR02G20540 transcript:LPERR02G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKTLAKNPMFAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDNILLPGTANGSSQVPSEANNHPRRSGLSFAVGTGVASANKPDVHATRPLTLNELSNKFRDHFRYTLDIRPSQIPHKDAGRGLFLSGKANAGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWQSGSDTREIWDGSDLVDYNAVPPKSQESNSDRAWRMLSKPLKKGHSEKFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEPISMKRFGSFWFKSRRSGNQVGESPVLKTLVLVSTRSVCDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >LPERR02G20540.3 pep chromosome:Lperr_V1.4:2:17861459:17865862:1 gene:LPERR02G20540 transcript:LPERR02G20540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKTLAKNPMFAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDNILLPGTANGSSQVPSEANNHPRRSGLSFAVGTGVASANKPDVHATRPLTLNELSNKFRDHFRYTLDIRPSQIPHKDAGRGLFLSGKANAGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWQSGSDTREIWDGSDLVDYNAVPPKSQESNSDRAWRMLSKPLKKGHSEKFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEPISMKRFGSFWFKSRRSGNQVGESPVLKTLVLMDVLLIPGIYACLVTGVILTNLTEHCSFLRL >LPERR02G20550.1 pep chromosome:Lperr_V1.4:2:17873956:17881854:1 gene:LPERR02G20550 transcript:LPERR02G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAFSFPCLALALLSLCAAAAATAAAPVVDAEAARQQAADRVARLPGQPAVKFAQYAGYVTVNETHGRALFYWFFEATAAADKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELKWNEFSWNKGTVIAGPDQANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENHINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYGDVKKYCNFSMENVTDACDKALSEYFAVYRLIDMYSIYTPVCTEDGGSSAFGQRKVAVHGAAPKIFSKYHGWYMRPAGYDPCTSNHAEVYFNRADVQEALHANVTKIGYNWTHCSDAIGRWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKMVQEWTPWYDHQQVGGWTIIYEGLTFVTIRGAGHEVPLHAPRQALSLISHFLADEKMPPTAFP >LPERR02G20550.2 pep chromosome:Lperr_V1.4:2:17873956:17881854:1 gene:LPERR02G20550 transcript:LPERR02G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAFSFPCLALALLSLCAAAAATAAAPVVDAEAARQQAADRVARLPGQPAVKFAQYAGYVTVNETHGRALFYWFFEATAAADKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELKWNEFSSQSNSPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENHINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYGDVKKYCNFSMENVTDACDKALSEYFAVYRLIDMYSIYTPVCTEDGGSSAFGQRKVAVHGAAPKIFSKYHGWYMRPAGYDPCTSNHAEVYFNRADVQEALHANVTKIGYNWTHCSDAIGRWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKMVQEWTPWYDHQQVGGWTIIYEGLTFVTIRGAGHEVPLHAPRQALSLISHFLADEKMPPTAFP >LPERR02G20560.1 pep chromosome:Lperr_V1.4:2:17881618:17886181:-1 gene:LPERR02G20560 transcript:LPERR02G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLFNHVVFLVIFHGPRMLVVFTFSIRATALSDDATFPTQRRDRAHEAARNLGRGFGAARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFNIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKDNLVKRDTPFLPVVKEAVAGG >LPERR02G20570.1 pep chromosome:Lperr_V1.4:2:17886294:17891599:1 gene:LPERR02G20570 transcript:LPERR02G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRSDREFKVLTPAEIKDFLEEVE >LPERR02G20580.1 pep chromosome:Lperr_V1.4:2:17892219:17900462:1 gene:LPERR02G20580 transcript:LPERR02G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPASPGSGPVVAEVEMNAGAADQGATTVRATVVQAGTVFYDTPATLDKAEKLIAEAAGYGSQLVLFPEVFIGGYPRGSTFGLTIGNRSAKGKEDFQKYHAAAIDVPGPEVARLAALAGKYKVFLVMGVVERAGYTLYNTVLLFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLIRTAMYAKGVEIYCAPTADFMPSWQASMTHIAVEGGCFVLSANQFSRRKDYPPPPEYTFGGLDEEPSPESVICPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEITRAKFDFDVVGHYARPEVLSLTVKTEPKPPVSFTSTAEKTSAAKSDGISRTWLSAN >LPERR02G20580.2 pep chromosome:Lperr_V1.4:2:17892984:17900462:1 gene:LPERR02G20580 transcript:LPERR02G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPASPGSGPVVAEVEMNAGAADQGATTVRATVVQAGTVFYDTPATLGACRDAILGFAGIVVLVSLARCSIVCLARVAVWVGCCVQDKAEKLIAEAAGYGSQLVLFPEVFIGGYPRGSTFGLTIGNRSAKGKEDFQKYHAAAIDVPGPEVARLAALAGKYKVFLVMGVVERAGYTLYNTVLLFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLIRTAMYAKGVEIYCAPTADFMPSWQASMTHIAVEGGCFVLSANQFSRRKDYPPPPEYTFGGLDEEPSPESVICPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEITRAKFDFDVVGHYARPEVLSLTVKTEPKPPVSFTSTAEKTSAAKSDGISRTWLSAN >LPERR02G20580.3 pep chromosome:Lperr_V1.4:2:17892219:17900462:1 gene:LPERR02G20580 transcript:LPERR02G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSGSGGGPPAVIAEVEMNGGADSGATTVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISINNPKDKGKEEFRKYHAAAIEVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFAGLGEEPSPETVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTSAAKIDSAVKPY >LPERR02G20580.4 pep chromosome:Lperr_V1.4:2:17892984:17900462:1 gene:LPERR02G20580 transcript:LPERR02G20580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPASPGSGPVVAEVEMNAGAADQGATTVRATVVQAGTVFYDTPATLGACRDAILGFAGIVVLVSLARCSIVCLARVAVWVGCCVQDKAEKLIAEAAGYGSQLVLFPEVFIGGYPRGSTFGLTIGNRSAKGKEDFQKYHAAAIDVPGPEVARLAALAGKYKVFLVMGVVERAGYTLYNTVLLFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLIRTAMYAKGVEIYCAPTADFMPSWQASMTHIAVEGGCFVLSANQFSRRKDYPPPPEYTFGGLDEEPSPESVICPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEITRAKFDFDVVGHYARPEVLSLTVKTEPKPPVSFTSTAEKTSAAKSDGISRTWLSAN >LPERR02G20590.1 pep chromosome:Lperr_V1.4:2:17901394:17905267:1 gene:LPERR02G20590 transcript:LPERR02G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTIILLCSIFLLYPRPSLFSQGKSSSSVGDTATTNKQAGALLSFRSMVSDPSGVLASWNASNHPYCRWRGVACGRRADGRVVALNLASASLSGPISPFLGNLSFLRLLNLSGNHLTGQIPPELGRLRRLRILGHPTGVGDRITGCTKLVRISLSFNSLHGEIPASLGNLSSLTVLSLANNKLNGEIPACLSNLSSLTFLNLESNMLYGQIPASLGNLAQLNALGLDDNQLSRCIPSSLGRLYNVAQLDLGYNNLTGSIPPMGVLPPNMFSTLPALKLFFASQNMFHGYLPSSLVNASYLSRFEVALNHFSGVISPELGGASGIWFSVNAFEANDSNDWEFMTALTNCSQLKILELEQNNLSGIIPDVISNLSLTVLTLATNKIVGHMPKEIGNLVNLTILTMHDNFLTGTLPSSLGTIPISLFNITTLSISLGISYNQLEGSIPPEVGNLQNIAVFDARYNQLSGEIPVTVGQCQILQKLYLQNNSFIRNIPSSLRGLKGLEILDLSGQIPKFLGNFSTLYDLNLSYNNFDGEVPVVGVFANATGISVQGHKKLCGGIQVLHLPPCPIQISMRRHKFSRLEIVVPLVATTIFILPLLLFFHTCYKKRLKKKSFNNIHERPSTCLLPAVGTCNRWLLNNQFVGYWELWISLQRKITPGTLKSFTTECEAMRNLKHRNLVKIITACSSIDFNGNDFKVVFDFIPNGCLEEWIHPHIDNQLGLEERHLNLVDPKNQYYLHFHGATPVVHCHLKPSNVLLDSDMVAHVGDFGIAKILSEGYTSFQPSTSSMGFRGTIGYAPPKYGAGNMVSTHGDIYSYGILVLEIVTGRRPTDNTFEQGLSLRKYIERALDNQVMDIVDNDLVRDIENVHATSMDDTSSKSVHSLISLLKLGMLCSEEMPLSRLSTKDIIKELLAIKRALA >LPERR02G20600.1 pep chromosome:Lperr_V1.4:2:17907176:17909997:1 gene:LPERR02G20600 transcript:LPERR02G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKSKEAASQPMEAESGDPIDPRELVSSDDEIDYSVEPEFYDPNLDDVDERWVDKQRKGRTSDAVLSCPACFTTLCLDCQRHETYVNQYRAMFVHNCKVKTDQILLEGKGKRKNRKGKAADSSTTSEGENKGAVYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >LPERR02G20610.1 pep chromosome:Lperr_V1.4:2:17914541:17915080:1 gene:LPERR02G20610 transcript:LPERR02G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDAAAATFHVYQPLQIQAATVAPAAAEAGGAAQLVVPAPSKKAAAGAKDRHSKVNGRGRRVRMPIVCAARAAAAATYVPMAQAHHHHLNLLAALSGAARRAEEESR >LPERR02G20620.1 pep chromosome:Lperr_V1.4:2:17923028:17924684:1 gene:LPERR02G20620 transcript:LPERR02G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRGTGAVLSRAARMRQKLQSALEANVLDIEDVSYQHAGHAAVKDNANETHFNIRVISSKFEGQSLVKRHRMVYDLLNDELNSGLHAISIVAKTPKESGS >LPERR02G20630.1 pep chromosome:Lperr_V1.4:2:17926541:17928262:-1 gene:LPERR02G20630 transcript:LPERR02G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVGQVHRHVLALSSSRSCFVLGDHLPFRMLTMPQAVRFQQTACHGTETVEDKSGPLTLASLQVQNKVEYGKKERATRPGGPKPSSRASVLNVKPKVSSFNAKPVKTASLKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLTAPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQDSSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLQTHSCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQPDANSNSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIHALDAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHKEEDVE >LPERR02G20640.1 pep chromosome:Lperr_V1.4:2:17931176:17934430:-1 gene:LPERR02G20640 transcript:LPERR02G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSRSGALINDVLTDDELRAVLARLGPEAERDVFGLVCRRWLRIQSSDRWRLRARAGPDMLRRLAARFPGILELDLSQSPSRSFYPGVIDDDLDVVAGGFRNLRVLALQNCKGVTDVGMVKIGDRLPSLQSLDVSQCRKLSDKGLKAVALGCKNMRQMVITGCRLITDKLLIALSKSCLHLENLGAAGCNNITDSGIIGLADGCRKMKSLDISKCNKVGDPGVCKFAEVSSSSLVALKLLDCNKVGDKSIHALANFCHHLETLVIGGCRDVTDESIEALALACCSNLKSLRMDWCLKITDSSLRSLLSKCKLLVAIDVGCCDQITDAAFQDMDASGFQSELRLLKMSSCVRLTVAGVRNVIESCKALEHLDVRSCPHVTRQSCEQAGLQFPDRCKVNFEGSLSESDPSVDIFF >LPERR02G20650.1 pep chromosome:Lperr_V1.4:2:17942287:17943176:-1 gene:LPERR02G20650 transcript:LPERR02G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSGAHVVGVLVTSRAYAIEEATTTRDGDRLAVSLTHPSPYTSFGYKHSSKGQVLHWVNKLSRRAQSFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFAAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPSGDVVARVPYKVVVPLGRIKRVRPSENADKPEQKYIHVATVDAFEFWFMGFVSYQRCCKYMQQVISEL >LPERR02G20660.1 pep chromosome:Lperr_V1.4:2:17946869:17947791:-1 gene:LPERR02G20660 transcript:LPERR02G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTSQDHVIGIPVSNTAYGIEEPDFPAEETTTPDDHGGFIGSFQFNNDANNPTTTTNDRQSKIGRKGDKIAQGIKEHVTLGPKLSETVKGKLTLGAKIIQAGGVEKVFRQWFSVDKDEKLLRASQCHLSTTAGPIAGMLFVSTERVAFRSDRSLAVSTPGGDKARVPYKVTIPLGKVKAARPSENKHKPEQKYIEVVTNDGFEFWFMGFVSYHRSLHQLQQAVAQAQQARR >LPERR02G20670.1 pep chromosome:Lperr_V1.4:2:17958475:17959047:1 gene:LPERR02G20670 transcript:LPERR02G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTNRLLAALAITGLVLVSFPRLSRGDGRELAEECRPSGTLTPTRSYSCQDCCEKGQAYPTYTCSPPTTARTKAVMTLNDFEPGGDGGGPSECDDDYHQNTELVVALSTGWYANGERCGKNIQISANGKQTVAKVVDECDSQRGCDEEHAFQPPCRNNIVDASQAVWDSLGITGEEVGEIDITWSDA >LPERR02G20680.1 pep chromosome:Lperr_V1.4:2:17962873:17963223:-1 gene:LPERR02G20680 transcript:LPERR02G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGLVAVARGRGSGRLLRGLYWRVRAGIRRMQSEHGRWRSRERFSFHYDALSYALNFDDGRAADLVLV >LPERR02G20690.1 pep chromosome:Lperr_V1.4:2:17970212:17979630:-1 gene:LPERR02G20690 transcript:LPERR02G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLSQLSTRRAAVGAAAALIASSAAASGDGETGDRSIPQLSPIANSVVSRCSRVLALAIETLQQNFEVDFPDSCKESNTYAKEFLEYCCHKALHEVTARPDYLSDKNLRRLMFDVMLAWETPGAVADDASLENVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGIMQSPLASSFKLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVKYDLATDADQIIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAITREVLQVNRFIRKFNLGDIQRAEAHSKAILGILRYSAVKEAFHISPSHFKTTLPFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLATESPVDNRLQSHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCSGVTKSLEAALEESICYSERIEAARATIDQVKVEGVDANLALMQELLFPFIHVVKLIYSLTKWDDPLKSFLFLAFTMYVIQRGIVGYILPLSFLVFAVVMLWHKYNGREQLLGVLEDAISKLEETLQAVNIVLLKFRAVLFAAVPKTTEMVAVAFLAAAALLVFLPWRHLFLMAVLEVYTREMPLRKQNTEKFRRRIREWSTYLHSGKELVRESADGMAGASSPAAITCRAAVAWAPGQPLVMEEVEVAPPEAKEIRVKVVSTSICRSDVNQWQSTPLIDYAISETQAQPDLFPRIFGHEASGVVESVGEGVTEFRVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSIRGKPVYHYCAVSSFSEYTVVHSGCAVKVSPSMPMDRICLLSCGVSAGLGAAWNVADISEGSSVVIFGLGTVGLSVAQGAKMRGASIIIGVDTNSEKQEKGKAFGVTDFINPAELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTITLGVPKAKPEVSAHYGLLLSGRTLKGSLFGGWRPKSDLPLLVEKYGNKEIQVDGLVTHDMPFSDINKALELMLENKCLRCVVHMPR >LPERR02G20700.1 pep chromosome:Lperr_V1.4:2:17981411:17984341:1 gene:LPERR02G20700 transcript:LPERR02G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKPILADSTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRPKVSSPSQPAESDKPKQNPGDSLNKESQSVSQGAVSIEQPLSKPVEPREAKPQNATGSLLGLAYEISDEE >LPERR02G20710.1 pep chromosome:Lperr_V1.4:2:17983926:17985989:-1 gene:LPERR02G20710 transcript:LPERR02G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLSSSAASKRVIAGVSSTIVRSCQRTWRGKSHAAAPLSAQEASKGPKRIGKKERRVKIEKFVEEYKASNDGKFPSMKIVREHVGGSHYTVREIVQELEYNQTKLQLNKSEEAQQPGTAEFSDHLKPEDDNGKSLSSSGSFSGDRDTDDLHLSQEVAATSHDTIEKVVVQTETLRSEELEITSGSSCRTGEAEAVKQDLIAADNLQSANESIVPCQTESGNIKNEDSISLGLDNKSDPCDQGLGEGKADKLELNGRESFKNASEPIVSGQIEGDKMIKENAPDREENPELEPKTGLLGSLKSFAFGIRNFWRKL >LPERR02G20720.1 pep chromosome:Lperr_V1.4:2:17987295:17989295:-1 gene:LPERR02G20720 transcript:LPERR02G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKKDKPSPGAEATSPKSKKKSGKIAVVVADEKGKKTPQSKRAGKAVDPAPDKKAVFVVKTKSGGVNVEEKKRPPGEEAVVVTTTMPVRTSSCTREEVDAILIQCGRLSRSSSGRAASSETGHRRSKRSYDFDQERKADWERHGGAVSRPSPHRGSPQRKRSGSRERSGGGGGSRRASRSPGRRGEGASSAAASPAGSGGGGERVRQQQQQPGKMVSVPAREKVRAPSPAAASGKRCASPRSSSPARVPAVAGNENAGGGGGGQMTAAAVMTPSLSRSSSPYRRSPMAEIDENALRNTNGNPLNANLHKKSSENAVATAPQKVVTERSKETKPKPVEEMVTVLVSETRAPPSSKTATRTASVAAESVSTKARSRRASRDFDQNTNSYATQLLEDIQSYHHQQQNTTATATVPAFSLPACVSKACSILEAVADLNSTSSESRSIEPSRSAANDKGSVNAAHGVAGMDHDDLAAEPSVQNKRFTLSAARGGEAEPQESAGSNSVSGNPWTTPSWEPSSVESSDRTWSASRSTTNNADEVVEQGGGSSSSHGGAGAGARRSPNRSSRQSGGGKQRMAAAQPEHSVRSRAGSSAGNNNINNVHRGRSARRNGGGGGSSVVSGRGAWAASVIG >LPERR02G20730.1 pep chromosome:Lperr_V1.4:2:17994316:17994780:-1 gene:LPERR02G20730 transcript:LPERR02G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAALAPPSTSTSSVLLLRRLPSATASSAARCLGSPLPRRARLWTPRHVAVTSDVSSSEDVAEEEAAAAPKIGKRVRVTAPLRVYHVMKAPDLDIEGMEGVVKQYVGVWKGKRITANFPYKVEFHLPVEGQPKPVRFFVHLREDEFEFIDE >LPERR02G20740.1 pep chromosome:Lperr_V1.4:2:17996188:17998504:-1 gene:LPERR02G20740 transcript:LPERR02G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAAAELFRDRHFFNSEFFTELREARASLSPPAPATQDPSSRRALLLRYHRLIAYAREDPCDFDDNLSFTWHDAFRPHLRRTAASLRFEKAAVVFNVGAASSRTAAAVDRAEEGGVKAACGEFQRAAGAFRAAGEMMEGEGEDAVVDMGPEASAMLERLMLAQAQECCFERALAAGTSPAACSKVAQQAALYYEEAYSSVVIPPLQNHFERSWVSYIQLKAALFNAEANYRYAIELHEKTEIGEEIARLQFGINAIVDAKRAARGAPGSLYDAASRLEQDMNQNLERAFNENNRIYLMRVPAAKLLAPLPAASLVRSASMSEILDVKAETDHQS >LPERR02G20750.1 pep chromosome:Lperr_V1.4:2:17999411:18000808:-1 gene:LPERR02G20750 transcript:LPERR02G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDRGGGARGRGRRWKGKGVSAAETMQQLPAPVLEDAPAAAALLRPVKKIRSPDRRLQRSVSSLSSAPASPDSSSVSNPMSPPAMSLPNQQPPSTRHVFPFAYDPLASSEPRLLPLLQYSSLYPQPVLPQQQSPLQHQQMISFGSSQHQQQPPLFPPQYLPPEEQQRLLLRYWSEALNLSPRGVRGAGAVPPLLYQHLLRAPGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRTGGSGRTSASAAAASCSSSSSSAPPTPDESQTTQQALQQQPQLNTEDLSNTEELKPLLSSAAEQDAIPEHEPNPQLQMADDQASDGNTAMFQPSATYGGGVWGPADEAWFSAWGPGSSVWDYDMDSAHGLLLQSRFIGEQTGMDYAYTAPEVLVAPAPAAGTAMVAAASSSHLPPRPPPPRHHSPSFTWKD >LPERR02G20760.1 pep chromosome:Lperr_V1.4:2:18030574:18036405:1 gene:LPERR02G20760 transcript:LPERR02G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEAVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRSRRGYDAGAAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFGSGRSGPYDGVGPLPSSPVARSRSGGATPASAAKPPLFGGGGRLRWTSSDASDATLEAVEEDPRCLIRNLDDGSEFMVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSIRSAAGSMVTYTRDRRSSDEKDTSSEKGGHRSSSATDDSQDGVAHHGPERVKVRQYGKSYKELSGLFMNQEIKAHSGSIWSIKFSPDGRYLASAGEDCVIHVWEVLEWRMTEERRAEENGAFDPFVTMVCNESSETMLESTSSEGSHRQKKLRAKTVPSQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVDDSYFISGSLDKKVRIWSIPKREIVVWIDLHEMITAVCYTPDGQGALIGSHKGKCHVYDITSDNKFKHKKQIDLQIKKRKSSQKKITGIQFVPGSSSKIIITSAGSRIRVVDGFELVCKFKGFRNTNSQISACSAVSGRYLISASEDSHVYMWRCKDDSEPNTKKGIVSVRNTHEHFRCEGVTVAVSWPCASSTMTSRANSRKQEETSRVSENDLFGSELDKDQELPDVQHQSNSIRNTNPNHSGDRLSATWPEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGQIRTFQNFGFPVRV >LPERR02G20770.1 pep chromosome:Lperr_V1.4:2:18037973:18047196:1 gene:LPERR02G20770 transcript:LPERR02G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMVYFLNTSIGEVEVWPSGGETSLAAAAWAREIRVDRLSPPSERCLPLAVMHTVAVGARCLVMETRPPKAADEQPQPLVDMHAVCLKENKTAVVPLGEEELHLVAMTSRRNLMNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKVYKVQPEVVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGSKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQYRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVSYEDEINEIPSAPDVGNYLISEDESVAAANGNRDSLAFDGMADAEVERRMKEASGNAQAFNATSANFVMPVLPVQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPITQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQPHGNWFPVEDGINPNNVNRGSAGFPLESETMHYDNKQPPHPFFHGGENPISSDRFSYQNQRFPSQLPHTEDHRIIQNHAPPRYRSFPGEELATRHVSSSQRNNLIVPGQHFARHAGSSAGILEEIALKCGSKVDYKSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEALCQAAEISLRNLANKYLSSDPNKMIDMKENGFGINTNIFGYPGSTRDDVLPIACTSEETRFVKMGENNSRKPGGSVAALKELCTSEGYNLVFQARTSPDISVGKEAYAEVEVCGQILGKGVGITWEEAKLQAADEALGMLRSMLGPLTHKRSSSPRSLAPSSDKRFKPDFPRAVQRVPYGRYSRIEGHVP >LPERR02G20770.2 pep chromosome:Lperr_V1.4:2:18037973:18047718:1 gene:LPERR02G20770 transcript:LPERR02G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMVYFLNTSIGEVEVWPSGGETSLAAAAWAREIRVDRLSPPSERCLPLAVMHTVAVGARCLVMETRPPKAADEQPQPLVDMHAVCLKENKTAVVPLGEEELHLVAMTSRRNLMNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKVYKVQPEVVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGSKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQYRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVSYEDEINEIPSAPDVGNYLISEDESVAAANGNRDSLAFDGMADAEVERRMKEASGNAQAFNATSANFVMPVLPVQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPITQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQPHGNWFPVEDGINPNNVNRGSAGFPLESETMHYDNKQPPHPFFHGGENPISSDRFSYQNQRFPSQLPHTEDHRIIQNHAPPRYRSFPGEELATRHVSSSQRNNLIVPGQHFARHAGSSAGILEEIALKCGSKVDYKSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEALCQAAEISLRNLANKYLSSDPNKMIDMKENGFGINTNIFGYPGSTRDDVLPIACTSEETRFVKMGENNSRKPGGSVAALKELCTSEGYNLVFQARTSPDISVGKEAYAEVEVCGQILGKGVGITWEEAKLQAADEALGMLRSMLGPLTHKRSSSPRSLAPSSDKRFKPDFPRAVQRVPYGRYSRIEGHVP >LPERR02G20770.3 pep chromosome:Lperr_V1.4:2:18038010:18047196:1 gene:LPERR02G20770 transcript:LPERR02G20770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMVYFLNTSIGEVEVWPSGGETSLAAAAWAREIRVDRLSPPSERCLPLAVMHTVAVGARCLVMETRPPKAADEQPQPLVDMHAVCLKENKTAVVPLGEEELHLVAMTSRRNLMNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKVYKVQPEVVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGSKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQYRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVSYEDEINEIPSAPDVGNYLISEDESVAAANGNRDSLAFDGMADAEVERRMKEASGNAQAFNATSANFVMPVLPVQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPITQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQPHGNWFPVEDGINPNNVNRGSAGFPLESETMHYDNKQPPHPFFHGGENPISSDRFSYQNQRFPSQLPHTEDHRIIQNHAPPRYRSFPGEELATRHVSSSQRNNLIVPGQHFARHAGSSAGILEEIALKCGSKVDYKSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEALCQAAEISLRNLANKYLSSDPNKMIDMKENGFGINTNIFGYPGSTRDDVLPIACTSEETRFVKMGENNSRKPGGSVAALKELCTSEGYNLVFQARTSPDISVGKEAYAEVEVCGQILGKGVGITWEEAKLQAADEALGMLRSMLGPLTHKRSSSPRSLAPSSDKRFKPDFPRAVQRVPYGRYSRIEGHVP >LPERR02G20780.1 pep chromosome:Lperr_V1.4:2:18049401:18051216:-1 gene:LPERR02G20780 transcript:LPERR02G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINRCFCGSEEDLKELLSLRNVQLFSYREIRSATNKFNQGNKLGQGGFGTVYKGTFEDGTAFAAKVLSAESEQGIKEFLTEIESITEAKHANLVRLLGCCVQRRHRILIYEYVDNNSLDHALQGSAARVIDLNWSTRSNICMGVAKGLSYLHEELEPNIVHRDIKASNVLLDRNYTAKIGDFGIAKLFPDNVTHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLVLEIVSGRRISQTIRSDMFLVRQAWVLHEHDNLLDMVDANLKGEYPEEEAMRLINVALACTQATPCSRPTMRQVVKQLSRAPVLMRLPSGFVSHGHTHEAAAHPCVMVSPKVRWPTAADAAVQSAVAPR >LPERR02G20780.2 pep chromosome:Lperr_V1.4:2:18049403:18051216:-1 gene:LPERR02G20780 transcript:LPERR02G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELINRCFCGSEEDLKELLSLRNVQLFSYREIRSATNKFNQGNKLGQGGFGTVYKGRNSIILYCDMISCQRNEIIKAPNLCLMTQGTFEDGTAFAAKVLSAESEQGIKEFLTEIESITEAKHANLVRLLGCCVQRRHRILIYEYVDNNSLDHALQGSAARVIDLNWSTRSNICMGVAKGLSYLHEELEPNIVHRDIKASNVLLDRNYTAKIGDFGIAKLFPDNVTHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLVLEIVSGRRISQTIRSDMFLVRQAWVLHEHDNLLDMVDANLKGEYPEEEAMRLINVALACTQATPCSRPTMRQVVKQLSRAPVLMRLPSGFVSHGHTHEAAAHPCVMVSPKVRWPTAADAAVQSAVAPR >LPERR02G20790.1 pep chromosome:Lperr_V1.4:2:18061854:18063171:1 gene:LPERR02G20790 transcript:LPERR02G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSHVVSDLCIGKPPVRVLPPSTPVAAVLAALRAGSDPFVFVDTDQLHSHGRKAAAGCVTNISVADVLCYLCGDADNLRDPTVALVRPVSSALAAGDDHGAAFRIDSQTSLLDAIDLLLINGCHCLLVPLHARARNRRHNHHVSASDYCVLTREDIVRHLFSYSISLFSPVASLTVAALGLVRRDTDDVRAVHADDDALDAIPLLRKSIADGTAVAVVSDDDGALLGEICPGVLGSCGDVVESVSAALAALSAADAMTYIDCSLSPPEFLLRSIRAQLKDRGLDAMADLMDVTADVDAASLQLSPSSSSSASSDEDSPVGRGRRARRASSGSFRWRSTEGVAVCHAGSSLVAVMAQALAHRVGYVWVVDEVTGALAGVVSFADVLAVLREHLRAGATQMN >LPERR02G20800.1 pep chromosome:Lperr_V1.4:2:18071193:18075600:1 gene:LPERR02G20800 transcript:LPERR02G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFCSSSFAPMLIRSVLFSSLLCAGFFFGSGEAGAAHKVVDPEWHPATATWYGSSDGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKGGEGCGACYKVRCLDASICSRRAVTVIVTDECPGGVCAFGRTHFDLSGAAFARLAVAGHGGQLQNRGEISVVYRRTACKYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLTTKQTLSAQDVIPKNWTPKATYTSRLNFA >LPERR02G20810.1 pep chromosome:Lperr_V1.4:2:18075937:18079682:1 gene:LPERR02G20810 transcript:LPERR02G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCQVRACSSPLLPLPANSQTGNLLPSRAGGGGTSYRETGAPATTLTGKSPRAGAVAAGSLVPSRHCRCLRGLEEVDAAAIAVADAFAICWIVCGGLVSMGAAVGDGREEAEEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKIAAVVSLQLWTATFLRGASWMKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNALSKSVWVVFQLFFYALRPLFLKPKPPGLWEFTNLIIQIALDASMVYFFGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYKVREIAPEYYNNLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >LPERR02G20820.1 pep chromosome:Lperr_V1.4:2:18084166:18094977:1 gene:LPERR02G20820 transcript:LPERR02G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKESPTLRRGFPNSYFPTGDQRRRGRLREPMDPPNSQTLSSDEETRGLNALLDAFSSAFSLEDIATAYCKANGDVNRAGDILAELELPMAKSNEVDSSGGTILPPSGKAIEENCTESSGQTKSHEKLQKSSASFGTVSSMLGKGSTRATVPLNRASGKEKPPVVELPEYMRDDFNVKADKSDSAPKRDTLNNRDIELFLFSMLGEGFKLSMDMIHEVLGSCGYDIKKSMEELISVSAKDADKKAENKHNVAHVAAVEASSSKGSCLESQSTFRNGSPYSLRGGRLSSSQISPGELLESIFTVPERSDEEPIRKRYELGANRNRVPDQKPVIEPLEDISSDSTGYPAKVILVSEEPVADNEDDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLIGQGKHYYTMARLADEKSSAEIVKSKKAESKNELCVDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSGQRRRKVLKYLEKKSIVWTEEEATLGIILIPINQMQDQQA >LPERR02G20830.1 pep chromosome:Lperr_V1.4:2:18090653:18094839:-1 gene:LPERR02G20830 transcript:LPERR02G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRLCTSGGSDNGKSSLDGDEPAAMRISGEVQAQATAAEAAGGGGCFDCNICLDLATEPVVTLCGHLYCWACIYEWLHLDDNDDDEIDGDASSTRRRTRPCPVCKAAVSPDTLVPLYGRGSANSNKAARSAGSPIPRRPIVYRGPHVERRQSDRHGSMGPSRTARSPWRAHHHAAASAPARFDVIYPPAPTGVGGVSMFHSTTTTTTGGLLGGMALAVLPWVSRGQAPAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >LPERR02G20830.2 pep chromosome:Lperr_V1.4:2:18090653:18094752:-1 gene:LPERR02G20830 transcript:LPERR02G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRLCTSGGSDNGKSSLDGDEPAAMRISGEVQAQATAAEAAGGGGCFDCNICLDLATEPVVTLCGHLYCWACIYEWLHLDDNDDDEIDGDASSTRRRTRPCPVCKAAVSPDTLVPLYGRGSANSNKAARSAGSPIPRRPIVYRGPHVERRQSDRHGSMGPSRTARSPWRAHHHAAASAPARFDVIYPPAPTGVGGVSMFHSTTTTTTGGLLGGMALAVLPWVSRGQAPAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >LPERR02G20830.3 pep chromosome:Lperr_V1.4:2:18090655:18094160:-1 gene:LPERR02G20830 transcript:LPERR02G20830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVIARRIIEKERFLFATGVEERSMREMDRLCTSGGSDNGKSSLDGDEPAAMRISGEVQAQATAAEAAGGGGCFDCNICLDLATEPVVTLCGHLYCWACIYEWLHLDDNDDDEIDGDASSTRRRTRPCPVCKAAVSPDTLVPLYGRGSANSNKAARSAGSPIPRRPIVYRGPHVERRQSDRHGSMGPSRTARSPWRAHHHAAASAPARFDVIYPPAPTGVGGVSMFHSTTTTTTGGLLGGMALAVLPWVSRGQAPAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >LPERR02G20840.1 pep chromosome:Lperr_V1.4:2:18096983:18100174:-1 gene:LPERR02G20840 transcript:LPERR02G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLAVSVAKPAAAASSPAFAVSVAAVAPQRRLLPQCYRGVRAGAASRLVLRSGRAARGGSVVCAAQGQDTSFQVPDVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLNCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKETVIGAVPESTLVSSIDKYVER >LPERR02G20850.1 pep chromosome:Lperr_V1.4:2:18100480:18103919:1 gene:LPERR02G20850 transcript:LPERR02G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLIGAKGLENTDYLCNMDPYAILKCRSQEQRSSIASGKGSNPEWNENFVFTVSDKATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPDDFGGWKHSH >LPERR02G20860.1 pep chromosome:Lperr_V1.4:2:18121968:18128739:1 gene:LPERR02G20860 transcript:LPERR02G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPRIKRDLTSLEQESSWPHVKAARYLMIWCFRATAATSRRGGAGLVATAAGYTKGTKQKMDNDGAVTTFMEITSCGSRESAVQLLTACRWDLETAINRYFVLGGAAFAARVAAPPPAPPAAAVDDVAPPAGDGDGVRAPIAFRSETLYGDAFGRTKPPKVRPPAPSVWGKPKPPPPPPSTPAVAPVYIGLDLNLPPNNDDDDDEQPGIRRVVGEEENKEEDGESKLKEQNEQHEDHDGYSDGDYGMETADDDDDYDGYDDMIEKTPSPPPASSRPKSLAEMYRLPSELMHEADFHSTKLHAARLDRWLLLNLQISGDFTSEMHNRDLWANERIAKIVRENFVFSLLENGDGDHDDEGSKVPCFYKLHDQLPAVAVIDPVTGQMLAKWSGVIDPEAFLVDIEEFIRSKPSARSKPEMFRRKPMPVPESSAPAVEIAEQQDSAMADDTAPTQEPDTTAAAVPMDEQSVAQESTSADACGMQQQTADDEHDDDDQPMEGEKIYRMRVRFPDGSVVTKEFGCKRRVLVLFNYVRSVLHEKMQPQAFKIKRLVGAAFLELPQGGVSFEDLGLNCATEHCTMEPGSSNTSSGEKRIAVVTGGNKGIGLEICKQLAAKGIFVVLTARDEERGAGAAAALRQLGLSDVLFHELDVTEPSSVACLADFIKHKFGKLDILVSNAGNLGVTFDFGNSELDKAIEGKSPNETLKWLMQHTVETTENAEECLRINYHGTTAVIQTLFPLLQLSSDGRIFFSGEKLKEELNDADKLSEERLDELAELFINDFKNGELESRGWPARRDAFVAYKTSKALQHAYTRVLARRHASSPLRFNCVHPGYVKTDMTLGTGELTAEEGAAGPVAVALSPPGGATGVFFIRTEPASFVD >LPERR02G20860.2 pep chromosome:Lperr_V1.4:2:18108701:18113532:1 gene:LPERR02G20860 transcript:LPERR02G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDPSDLVGNVMSDGADEEEEAGTSSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLILLYLPYKRYVLKKDILSRKLYVTENKIVYEASRPSYIPFIGIVKKEIKVPLHLVVDVIIEQGCLQSVYSLHTFKVESIASGKPAPVDELQFHGVYNPDLLKTVIVREASKRIQEVQSWKFRIYSGEGPSDVTPTSRLYYPNAKVTASSRHNFQDSKGRNPESDSVLLHKLEEVCRSVKNLESLLLGSH >LPERR02G20860.3 pep chromosome:Lperr_V1.4:2:18113035:18122151:1 gene:LPERR02G20860 transcript:LPERR02G20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSGFSGSDPSYTFRSSSVTSPSCTGGGSGCDALSSTSRLLGGGGGRLRRPDTVTYSAATTATAVKNAEGDSPVYAAIADSAAPRHSGASAIAFDGSATTVEPQPGKEEQREEEEAVGLPLPAACVTVVATVVTGGNRGVGLEICKQLASNGILVVLTARDEGKGSQAVKALEQSGLSGVIFHQLDVIDPSSIMLLVEFVRTKFGKLDILIVCNQSLQVSSVLLKIWVPQVNNAAIGGTTIDPEILCELLKQDPKASFVNSYMGSLQQNYEMAKECLEINFYGTKGVTDSLMPLLLLSNSGKVINLSSKISQLQFISNEGVIKVLSDIDNLSDEKLKDVSSIFLTDFKDGNLQAHGWQPVVSAYAVSKTLVNAYSRLLAKKHPSLEVYCVNPGFVKTDLNYGMGLISAEEGAKAPVRLALQEVRGNSCLYFEQLQVLL >LPERR02G20870.1 pep chromosome:Lperr_V1.4:2:18112160:18113783:-1 gene:LPERR02G20870 transcript:LPERR02G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACRPSPTPTSRLPPPSSNDRHIEQAKSVRLVDTRHDTTKQARGAGRFLECRPAVPCPCSAAPSKKAAQFARPVSHLNPPALLYHSLTHSPVATTVTQAAGSGKPTASSSSLCSSLPGWGSTVVALPSKAMADAPEWRGAAESAIAAYTGLSPSAFFTAVAVVAALYVTVSGLLKRPPPPPRRREVEERASQPLPPPVQLGEVTEEDLKVYDGSDPEKPLLMAIKGQIYDVTQSRMFYGPGGPYAQFAGRDASRALAKMSFEQEDLTGDISGLGPLELEALHEWEGKFMSKYVKVGAIKKIIPVSEGDAAATLPTHGGASERGIDVGTIESNRVPEPEENGAASHADAMEKSTVKSDADVVMSNHEDVVEKPDGLPESGVTDTSSHEDAGEKHNEIADTDAQKKISTEDGSEGNGTPEEDERNTNSTEVTVEKPKEAPYQDAKDTSGHEVAEEPKEAPDVDGNNASGNQQESSQEVKTA >LPERR02G20880.1 pep chromosome:Lperr_V1.4:2:18116924:18118924:-1 gene:LPERR02G20880 transcript:LPERR02G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLPLTFVLILESFHLALAASAVDQFTFDGFAGENLTLDGTAVITPDGLLMLTNGTTLLKGHAFYPSPLRFHEAASGGGAVRSFSTAFVFGIVSEYADLSSPGLAFVVTKSVDFSTALQSQYMGLANARNNGNASNHFLAVELDTIVNAEFGDMSDNHVGIDVNGLASAAAADAGYHDDRTGEFRNMSLLSRTAAQVWVDFDARTSLVNVTMAPLELPKPKTPLLSAAVNLSAVIGEEAAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNISKLPALPVTITRAPSNILKILLPIASAVLVSALAIAVLVIHRRRRKYAELKEDWEVAFGPHRFSYKDLFHATNGFSDERLLGIGGFGRVYKGVFPMSGVEIAVKKVSHESRQGMKEFIAEVISIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLYAENRPIMSWSQRFGIFKGIASSILYLHEDWEQVVLHRDIKASNVLLDVEMNGRLGDFGLARLYDHGTDPHTTHVVGTIGYLAPELGHTGRPSKASDVFAFGMFMLEVTCGRRPISQDAHGGRFLLVDTVLDHWRQGTVTDIVDPRLQGDFVVEEAMLVLKLCLLCSHPLPGARPGIRQVVQFLDGGMPLPELSQAHISINMLALMQNQMGNPCSITSSVAGNISDIPRAR >LPERR02G20890.1 pep chromosome:Lperr_V1.4:2:18128983:18130257:-1 gene:LPERR02G20890 transcript:LPERR02G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSDKNDQGKAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEHGAEVATEAKESEKEVPPQDET >LPERR02G20910.1 pep chromosome:Lperr_V1.4:2:18151303:18158741:1 gene:LPERR02G20910 transcript:LPERR02G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGMGNGRGGNPSYYNRGPPPPPQQQHNNHHHHHQQTSAAHHQQYVQRQPQQQQHHHTNQHQHQQQQWLRRNQIAREAAGTARNSEPNTVALPQTTDGVDSSSQDWKAQLKLPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDVLARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKDFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRYRIEQELGTEIKPIPPQIDQAIYCQ >LPERR02G20930.1 pep chromosome:Lperr_V1.4:2:18177697:18179549:-1 gene:LPERR02G20930 transcript:LPERR02G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEELNKAEAEATTPAAPEEEEKAVIPVSSPSPDSKSEETEPPVDDSKALVVVVEKIADKPHAEKAPPPRSSNDRDVALAKVETDKRESLIKAWEDNEKAKAENRASKKLLDILSWENTKKAVIKTQLKKKEEELEMKKAECAEKAKNKEATVHKEAEEKRAMVMARRGEEVIKAEEMAAKYRATGMTPKKNLGCFGA >LPERR02G20940.1 pep chromosome:Lperr_V1.4:2:18185279:18193831:-1 gene:LPERR02G20940 transcript:LPERR02G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRVEPFTYVAHALTVAAAAMVLVWCIHFRGGLALEATNKNLIFNVHPVLMLIGFIILGSEAIMVYKVLPTWNHDTTKLTHLILHAIALVLGAFGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVTFFFPRASPNVRKTLATAELGFLEKLTFLQSSGLDKYGTEAFLVNFTALVVVLFGASVVVAAVSPARLEEPHGYAPIPEN >LPERR02G20950.1 pep chromosome:Lperr_V1.4:2:18196925:18197734:-1 gene:LPERR02G20950 transcript:LPERR02G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGQNPRDNGAPPGRQNNGAGFRQGGITGPNAPQAQHHHHQPAAAAPAPDNNITPQERASKLLNAGYLAAQYLVSVGVLSPGELQGRQPPPPLPLRNQHEPPFQGFHQQQGPRPQPPPPQNGGPIMQHRFPQHAQAPGPSTQQQRFVPGRPFQPQRQIGKRPVARPFQNQGRGRGRGRGRAPFPPPGQGRAPFRPPLGAAAAAAPGMAAGVVSQGAVPGAGGGGFDATATAGAPSSSRQPLLPSGGAAHGQTEKGQSGEYSNSGGPL >LPERR02G20960.1 pep chromosome:Lperr_V1.4:2:18198464:18205458:-1 gene:LPERR02G20960 transcript:LPERR02G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSSSGKALALEFFSFPSLPVPALPPDPHFLPFTSVAGLPFATVDGDGLDPLPIASALSEFLAAVIPQPLPVPTVPAADEVSYPRLRVSRSRVVLVLVGVGWVGLPLNLCRVGWFVFQSGKMPGVCELQQFEYGVTNREKDDKGEGSRSDETSTATKRWESLKEFRFEVVEVDLLMALQEEIASFDGEESDGGVTLSFRIPNVKIHLDFIDIDTEINIRYQTDLADSVYQIEKVHVKHNDGDDHSSVRDNCCFEIEALDHGVLIPRLEVSRNSWELDDCLTETDQSSVFHTVIRHLDEAHVQHSVFKSTEFLRSTDMDMLAFVCEDAPCHAIQVDKPTTVKAAVGMDLVRINDNILLEKNSALYPLKPDGTCSDLPCSILLEEVQVIDFPSDDVFKMLVESEATKMNISDETFKDDFDPARRLYETMVSSELALVDDTFKSLPTPILNDDIAVRSMVPPIQEILCSLKPHPLSATDGIYLDWHFLLEGPCNREICCSYASMVEEVQTCDLSSELERSCQRTSVFSSDFLEDFQRSPKLQHEDKLNNIYVPVPLSHDPQKLEATQNYVQEGGTRSHSYMKKLNPEKPSSFPKSTSQSDDLNFYFNVRSSSKRGTSNENTSTLDIPPSKEQAFSVSTMPKIDKLIEVHPVSLSNLIRGLIDQIHANYLSALQESAYWRHSFSDGQGLGVSKQKLLELITGEGSEGSNNHCEYKDKMELIVLYALKQVAYYLCFFGLHAAHSYISNLTGSFENTGRLKNILWSISEAQKKSEEQLLESHPSLSEIETILRSNKHISQKILIVADRSFWLPLGQKLTSMTMAFVEFGKEPYTTYLDLVNKTNSTTGALEELPKPDCILLDNKNIPASFPFDEFDIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKADSEDFPIALVEDDHKDQELKSTLDKILHTLQKDLQEKMNKMRIVDSLNFIPATSKLQSLQEKPSTRFTADSTKEPLPDDQLHRVQNVNKTDTFDSHNFVLADEQLHTQRTLSNKPFPNSQNCVPTVEKSSSTSSVSANVLKDAQENQSATELPYCGKNDSIMPGRLPIPEVVIVVNTGNHGKTMLFSRRSSYQQILALEKGGMQVVERDIDLPVDLILSSAVCLVWYETAIFESNELATSTEVSGIKSFVENIATNILMSVSFSFTGCIMVFEGEAHFLSAVMDSSDSLCAAAASLDMNLQLFFSHTPKSTDKIILNCITNVTSLNKAPSPDIPESESLAESFLTSFPSINPLSANMILSSGGSLVEFLSWPHERRIQAVGKYLLSPKILSLFNALCKFGELGESRSVMTECSSVDSDISSALLQSPRKRKMRASQAFAVPTNDLFSDSLNQMPGDYAEHAVVFSPSKLRKFSYIDNTFPELPDVFTFDQSLNMGSEGLSYQQKKHDADAIPDDQVANDDFSNGSGPNIQAYNRTGNAVDTFDFSWQPEFGAAFEINDDPGEWNISGGTKQTWKGLTHAGIPDDRDRYDSKYHEPRDEIVQHPASSLSFQKLDLGSHVTSQGPGWEINYLRQMSAKRKARQEKSRCYNSPAMLNPRMRDGNSNILCPPPIESFRYGEERGTPSRDQTPSIGTQHYSKGKDVSKAQNRRARKDSKVQPTISHERRIEPSIDPTWTPIDKRARQKLSFVTHGKEKQSKLVWRNQNSPGVGCGFRKRFREEGT >LPERR02G20960.2 pep chromosome:Lperr_V1.4:2:18198464:18205458:-1 gene:LPERR02G20960 transcript:LPERR02G20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSSSGKALALEFFSFPSLPVPALPPDPHFLPFTSVAGLPFATVDGDGLDPLPIASALSEFLAAVIPQPLPVPTVPAADEVSYPRLRVSRSRVVLVLVGVGWVGLPLNLCRVGWFVFQSGKMPGVCELQQFEYGVTNREKDDKGEGSRSDETSTATKRWESLKEFRFEVVEVDLLMALQEEIASFDGEESDGGVTLSFRIPNVKIHLDFIDIDTEINIRYQTDLADSVYQIEKVHVKHNDGDDHSSVRDNCCFEIEALDHGVLIPRLEVSRNSWELDDCLTETDQSSVFHTVIRHLDEAHVQHSVFKSTEFLRSTDMDMLAFVCEDAPCHAIQVDKPTTVKAAVGMDLVRINDNILLEKNSALYPLKPDGTCSDLPCSILLEEVQVIDFPSDDVFKMLVESEATKMNISDETFKDDFDPARRLYETMVSSELALVDDTFKSLPTPILNDDIAVRSMVPPIQEILCSLKPHPLSATDGIYLDWHFLLEGPCNREICCSYASMVEEVQTCDLSSELERSCQRTSVFSSDFLEDFQRSPKLQHEDKLNNIYVPVPLSHDPQKLEATQNYVQEGGTRSHSYMKKLNPEKPSSFPKSTSQSDDLNFYFNVRSSSKRGTSNENTSTLDIPPSKEQAFSVSTMPKIDKLIEVHPVSLSNLIRGLIDQIHANYLSALQESAYWRHSFSDGQGLGVSKQKLLELITGEGSEGSNNHCEYKDKMELIVLYALKQVAYYLCFFGLHAAHSYISNLTGSFENTGRLKNILWSISEAQKKSEEQLLESHPSLSEIETILRSNKHISQKILIVADRSFWLPLGQKLTSMTMAFVEFGKEPYTTYLDLVNKTNSTTGALEELPKPDCILLDNKNIPASFPFDEFDIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKADSEDFPIALVEDDHKDQELKSTLDKILHTLQKDLQEKMNKMRIVDSLNFIPATSKLQSLQEKPSTRFTADSTKEPLPDDQLHRVQNVNKTDTFDSHNFVLADEQLHTQRTLSNKPFPNSQNCVPTVEKSSSTSSVSANVLKDAQENQSATELPYCGKNDSIMPGRLPIPEVVIVVNTGNHGKTMLFSRRSSYQQILALEKGGMQVVERDIDLPVDLILSSAVCLVWYETAIFESNELATSTEVSGIKSFVENIATNILMSVSFSFTGCIMVFEGEAHFLSAVMDSSDSLCAAAASLDMNLQLFFSHTPKSTDKIILNCITNVTSLNKAPSPDIPESESLAESFLTSFPSINPLSANMILSSGGSLVEFLSWPHERRIQAVGKYLLSPKILSLFNALCKFGELGESRSVMTECSSVDSDISSALLQSPRKRKMRASQAFAVPTNDLFSDSLNQMPGDYAEHAVVFSPSKLRKFSYIDNTFPELPDVFTFDQSLNMGSEGLSYQQKKHDADAIPDDQVANDDFSNGSGPNIQAYNRTGNAVDTFDFSWQPEFGGEHPSKSPFPTSRSSFSRTHSNPVFSAAFEINDDPGEWNISGGTKQTWKGLTHAGIPDDRDRYDSKYHEPRDEIVQHPASSLSFQKLDLGSHVTSQGPGWEINYLRQMSAKRKARQEKSRCYNSPAMLNPRMRDGNSNILCPPPIESFRYGEERGTPSRDQTPSIGTQHYSKGKDVSKAQNRRARKDSKVQPTISHERRIEPSIDPTWTPIDKRARQKLSFVTHGKEKQSKLVWRNQNSPGVGCGFRKRFREEGT >LPERR02G20970.1 pep chromosome:Lperr_V1.4:2:18207044:18212134:1 gene:LPERR02G20970 transcript:LPERR02G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVPDGRRWCKGGSPSSSSSSPVTTAIFLFFFVVVVGVLVSARWITTTSHISITNLDEWRTKTAILTATRTPSIPATPTTPAARPTYSISCSAPPLRRDPAVPSNISETLYLALSSRPTCPSAPEPPPPPPPTTTNSSSCPAYFRFIHEDLHPWRAAGGITRAMLRRARATANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLELMFDCVDWPVVRADQYQGENATVMPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVAPKRQELDWIKESKAGYKQSDLSSQCRHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRMLVPTRHYWPVRDDNKCSSIKHAVDWGNSNKQKAQQIGKQASNFIQKELTMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEICPELLACQAIGREKKFMEESMVKFANDAGPCDLPPPFSPEEFRELQKRKEKSMKQVEIWESKRLQKLDDNKH >LPERR02G20980.1 pep chromosome:Lperr_V1.4:2:18212842:18215344:-1 gene:LPERR02G20980 transcript:LPERR02G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRELLGIDPPELLFPFELKKQISCSLHLTNKTDEYVAFKVKTTSPKKYCVRPNNGIVAPGSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVKQDLAPKDITGDMFTKESGNVVDEVKLRVVYSPPQPTSLNGGSEEGLGSLSYQEATKGPRESEIITSEPLALISKLKEEKNSAIQQNMKLQEELDLLRRQIGVQHGGFSLVFVLVIAILGILLGFLINR >LPERR02G20990.1 pep chromosome:Lperr_V1.4:2:18222967:18225166:-1 gene:LPERR02G20990 transcript:LPERR02G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAVFPLDHLASSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLHFGPSLLSPTSPHGLLDEMAFQTSSLLMEQASASLSGITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAANMGVNPF >LPERR02G21000.1 pep chromosome:Lperr_V1.4:2:18243443:18248457:1 gene:LPERR02G21000 transcript:LPERR02G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDARSLDLFPASPRVRGGGGAAGYVFAREREPEPPPHVRFPTSPSSSSSHAARARNPLNPTSTMALSTASLLAAAAAARPGALPSPSRCPPRRLPRVVSCQANRGDSRGGNSSSTSPASASPAPRWRAAVSAALAAAIVSAMPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKTVHVNENFRRANFTSADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLLQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >LPERR02G21010.1 pep chromosome:Lperr_V1.4:2:18247812:18249299:-1 gene:LPERR02G21010 transcript:LPERR02G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARNCLVGMRKTLVFYKGRAPNGQKSHWIMHEYRLETNENGTTTPEEGWVVCRVFKKRVATVQRMADGSPCWFDDHAVVGGFMPDLSSPRQLLPHNHRHPGSTPALYHGHHHQQMYGHCKPELEYHHLLPQEAFLQHLPQLENPKLPPPPAVAYIGSNLGSSSSTGSLTHDHDEASGSALPPSLEAVYMAGAGVGVGVDASVTDWRLLDKFVASQLLSKESSYSHPAQVFQAADGGKHEEALDYASTSAGSGGGEADLWK >LPERR02G21020.1 pep chromosome:Lperr_V1.4:2:18269987:18270574:1 gene:LPERR02G21020 transcript:LPERR02G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRHSSRGFRLGRKLLGLWRWALCHRRRRRGRGYLRLQPCPLQGGSSPLLTTKKNPHPQQQIVVHQRATTGELAPRMLKSWGRSLARRMRLLRRRNGGGERLLEEAPAGEATTPKGQVAVYVGGGEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFAHPGGITIPCAAARFERAAAVAAAGGGKKVPTWW >LPERR02G21030.1 pep chromosome:Lperr_V1.4:2:18278699:18280285:1 gene:LPERR02G21030 transcript:LPERR02G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLGSRAVVAAAVVLVLNLGTAPPAADAATGTFIYAGCSPSRYSPNTAFESNLNSLLSSIASTASSSGAAYNSFTAGGGGAGPEPEAPNTAAAYGLYQCRGDLSPGDCESCVRETVARLGAVCANAYAASLQADGCYVRYDAANFIGRADTTTAYRKCSASTSRDGAFLSGRDGVLGELQDAAAGYRLSTSGTVQGVAQCLGDVAASDCAACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRGNSDNSGDDVGRTVAIIIGILAGLAVLVENAIQ >LPERR02G21040.1 pep chromosome:Lperr_V1.4:2:18280999:18286601:-1 gene:LPERR02G21040 transcript:LPERR02G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDIANNVLNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNLYAVLLGNRTAVTGGSGKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKMLQEKHASNTYKKMVIYVEACESGSIFEGLMSEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKEQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDEKLYLYQGFDPANAKVKNSLSWEGPKAAVNQRDADLLFLWRRYERLQEKSEKLKVLREISETVMHRKHLDSSIDFVGKLLFGFENGPSRLQTVRPSGQPLVDDWDCLKRMVRIFETHCGPLTQYGMKHMRAFANICNNGIPDASMKEASIAACSSHSSARWSSLVQQGHSA >LPERR02G21050.1 pep chromosome:Lperr_V1.4:2:18287012:18291804:1 gene:LPERR02G21050 transcript:LPERR02G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFTDAIALAPENHVLYSNRSAALASVHRYSEALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPSNEGLKAGLADAKKAAAAPPRRPPPGGPDGIGQMFQGPELWTKIASDPTTRAYLEQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQSSPPPPPSSQDQQQERPETKAREAEPEPEPKPEPMEVTDEEKERKERKSAALKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKTSKDYDIAIETYQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNELFKEQKYPDAVKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLSQEEIQERQNKAMQDPEIQNILTDPIMRQVLIDLQENPRASQEHLKNPGVMQKIQKLVSAGIVQMR >LPERR02G21060.1 pep chromosome:Lperr_V1.4:2:18295370:18296071:-1 gene:LPERR02G21060 transcript:LPERR02G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINVGCHVGGASPETSVLSAGKTKQELTDHTANPVVVVVDDDVEAATGDAVNYVARAQWLRAAVLGANDGLVSVASLMIGVGAVNGTRKAMLVSGLAGLVAGACSMAIGEFVSVYAQHDVIQAARVERAARGGKEEEEELPSPTMAAVASALSFAVGAALPLLAGGFVREWAARVGAVCAASGVGLAGFGVASACLGGASVARSGIRMLLGGWLAMAVTYGVLKLFGMHAGV >LPERR02G21070.1 pep chromosome:Lperr_V1.4:2:18302406:18305377:-1 gene:LPERR02G21070 transcript:LPERR02G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGVGGGGGGGGRAGAELMVPQFHLKALHAILAVRAPRPLSAAAPSPAASIRRRDRWFHLPLHAPPPPPAAEHLPEPSAAEPLVVDVYLTPSGGGGTEEVVERWTVSCEPWPAGARASSSAAAGEGLAVNRAYKRCITLLRSVYTALRILPAYRVFRQLCASGQSYNYEMGFRVGSFAAPFTRAEEKAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTAASSSAPAFPPRRPNSWASPAPWPQSPVQQAKFSPPPAALYASPTPSPPTFGGGYLQSRLGAETAPMVIPGGGRGPVHNRHMSDPVRGFMLPPPSPKNTNGNRPDGTEVHDTPTETGRMVLRMADLYANLPSVPKIKDSRDEYGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDPPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPHTSRVESVEGSTTSSFMSRRTSDAFEELESFKEIKENLLARSRSRMQESLDKSLRHS >LPERR02G21080.1 pep chromosome:Lperr_V1.4:2:18311376:18313472:1 gene:LPERR02G21080 transcript:LPERR02G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPDDCVVVDIDSGEPTTPVPFVLSFTDLSYSVRRRRRGGGLLPSRPSKRLAFTDALPSPAPDDDNAPTKSLLDGISGEARDGELLAVMGASGSGKSTLLDALAGRISRGSLRGRVELNGEPLNGRRRRAISAYVMQDDLLYPMLTVRETLRFAAEFRLPRALSRDKKRARVEALIEQLGLARDADTIIGDESHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASALMVVQVLRRIAQSGSVVIMTIHQPSARILNILDRLLFLSRGRTVYAGTPSGLKPFFSEFGDPIPDNENPAEFALDTIRELEHQQPDGAAMLVQFSSSWRQPQKNTLGELLAADKKNEKLCTMPLEVAIAESVSRGKLVAGTASSSAAASMPTYANPMSVEVWVLMKRAFTNTRRMPELLVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVVANSVVAFPPLVILSLAFAVTTFFAVGLAGGGSSFAFFFLIVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPNYWIWFHYMSLVKYPYQAVMQNEFRDATRCFARGIQMFEGTPIARLPETVKMSVLNAIGNTLGTNMTADTCVVTGADVLAQQAVMDIGRWKCLLVTVAFGFLFRFLFYIVLLLGSKNKRR >LPERR02G21090.1 pep chromosome:Lperr_V1.4:2:18317514:18318107:-1 gene:LPERR02G21090 transcript:LPERR02G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTNHTANTSSSSSATEAMITTSAPPPPRQPATPAANANADAGAWGPYASSRAFFSNVATILIILACVSLLAFFLHAAARRIVRFLAHRRAASAAAGARVMVAQQTPKPASDDGAASGSSEAGGAGSGGVPMVGGWAEAECAICLSELVAGGGGGERVRVLTACGHGFHGACVDGWLAARASCPTCRAPSRAGEP >LPERR02G21100.1 pep chromosome:Lperr_V1.4:2:18320030:18325775:-1 gene:LPERR02G21100 transcript:LPERR02G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRRSTVNACAASMSSGAGHRLHPTSEKEGLEGGVRGREMGAIGGDELVQWDKMGAPEAVNGGCGGAGKLDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYDEGVKEVALSVVKGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPTPLRLWDDAEKGTYIENLTEVVLRDWDHLKGLIAVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLGMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVVSDKALVKQLQKEVARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKDLKSQRDLAQSRLQDLLQSVGDHDPKRQVPGKRSARSPPSIGMPQTASRDDSSQVSHDDSDLYKEVRCIESNGIRGNEQLDLSAGESSSPQDSNMDSGLHGNGSNASVNSRRSRPLGEAPITLEQHLENIRRPFVSLTKDLGSSTRNSSNTRVIGRSRSCRSLTGSTLFDEMEMDDCTPLNRSLVDFPGRPAEYHRRGSALNYDAESDTLSRAGSMSSEISTLKDAAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGGQNANGKSIGLDPIEGASQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNVIVGSLSTSPVASAKKLQREREMLAKQMQKRLSAEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRSSNGWRYGIPSFGS >LPERR02G21100.2 pep chromosome:Lperr_V1.4:2:18320030:18325775:-1 gene:LPERR02G21100 transcript:LPERR02G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRRSTVNACAASMSSGAGHRLHPTSEKEGLEGGVRGREMGAIGGDELVQWDKMGAPEAVNGGCGGAGKLDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFASIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPTPLRLWDDAEKGTYIENLTEVVLRDWDHLKGLIAVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLGMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVVSDKALVKQLQKEVARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKDLKSQRDLAQSRLQDLLQSVGDHDPKRQVPGKRSARSPPSIGMPQTASRDDSSQVSHDDSDLYKEVRCIESNGIRGNEQLDLSAGESSSPQDSNMDSGLHGNGSNASVNSRRSRPLGEAPITLEQHLENIRRPFVSLTKDLGSSTRNSSNTRVIGRSRSCRSLTGSTLFDEMEMDDCTPLNRSLVDFPGRPAEYHRRGSALNYDAESDTLSRAGSMSSEISTLKDAAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGGQNANGKSIGLDPIEGASQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNVIVGSLSTSPVASAKKLQREREMLAKQMQKRLSAEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRSSNGWRYGIPSFGS >LPERR02G21110.1 pep chromosome:Lperr_V1.4:2:18337866:18339552:1 gene:LPERR02G21110 transcript:LPERR02G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGRVENKTVHLLRTRTFGSHSMTHQALIPSTPSSAFSPASHFLHASSASLSSSPSLSSHRSFAAAAVMSSFAHPHHASSLVEKDGRMSALRSILRPPYEAAEEMAAAAAAGTVAWGAAERGGGDGFSVEDLLDLEELCEVDKDSAGEHVEATPVVEKEKSSDSHGSSVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPVPQLPAAAALVACNKSKPQHRRLPQDGALVPVRTPTICLLSTEALVPVKSKKRSKRSRASVWSLSGAPMSDSASSSSTATTSSCSSSASFSSFLQFVDFPSLVASDLLDEHQRSGSKKSKHDKNGGGKQKPKKRGRKPKHHQPPHLAGGGGALVPAPSDRRCSHCGSGRLLPEYRPACSPTYVSSLHSNSHRKVLEMRRKKENVVVAVAAAAPAVASF >LPERR02G21120.1 pep chromosome:Lperr_V1.4:2:18366104:18368554:1 gene:LPERR02G21120 transcript:LPERR02G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHQANKLAGKHRRFSLLNPSSASSGRSSSAAPLCDICQEKRGFLFCKEDRAILCRECDVSVHTTSELTMRHSRFLLTGVRLSSEPAATPAQSSEEESCSGGDDAVPAPALATSQGGSSGSGSSISEYLTKTLPGWHVEDFLVDDATAETVAAAAATASSSISAVNGPCQGTTRIGGLQESAAYPMWMAQQQLCCDSLVAGDAVASRERWVPQMYTDQLAAGSKRSRTSTSSYSYW >LPERR02G21130.1 pep chromosome:Lperr_V1.4:2:18371063:18374048:1 gene:LPERR02G21130 transcript:LPERR02G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIDRRSQRPIYQHREEVGRLRKVAHEEKETEEGAKPPRKDLPNQVRTSKSKGRWLRRDRRSPSSSSSSSSSRWPPTPRRRRARRRPSCRTPSTPTASPSSLKPTARTLCVLSVYLEISRRVLILLNSISERMVEKFKVFF >LPERR02G21140.1 pep chromosome:Lperr_V1.4:2:18374167:18379052:-1 gene:LPERR02G21140 transcript:LPERR02G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQNGGSFCLGGLVAGVREVHESGRTKEMEWRQAQIRGLIRMLTEEEDAVFDALHEDLGKHRVESFRDEVGNLVKSFRNTLQNLKKWADTPLISFPCNAMVVPEPIGVVLIFSCWNLPLGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANLPKYLDAKAVKIVQGGPEVGEGLMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDRINSKWECQVAVNRIVGAKWSTCAGQACIAIDYILVEEQFAPILIELLVSTLERFFTKPEYMARILNEKHFDRLANLLADHRVASSIVHGGGANRKTLTIEPTILLDPPLDSDIMTEEIFGPLLPIITVKKTEDCLAFLKPKPKPLAIYAFTKDEKLKQRIIAETSSGCVTFNDAIVQYGLDTVPFGGIGASGFGQYHGKYTFELFSHRKAVIKRSLWVEFMFRYPPWDEYRMRMLRRAFRFDYVSLVLGLLGLRQHDFKF >LPERR02G21140.2 pep chromosome:Lperr_V1.4:2:18374167:18379052:-1 gene:LPERR02G21140 transcript:LPERR02G21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQNGGSFCLGGLVAGVREVHESGRTKEMEWRQAQIRGLIRMLTEEEDAVFDALHEDLGKHRVESFRDEVGNLVKSFRNTLQNLKKWADTPLISFPCNAMVVPEPIGVVLIFSCWNLPLGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANLPKYLDAKAVKIVQGGPEVGEGLMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDRINSKWECQVAVNRIVGAKWSTCAGQACIAIDYILVEEQFAPILHVSDTNNVLVYVSSLKIELLVSTLERFFTKPEYMARILNEKHFDRLANLLADHRVASSIVHGGGANRKTLTIEPTILLDPPLDSDIMTEEIFGPLLPIITVKKTEDCLAFLKPKPKPLAIYAFTKDEKLKQRIIAETSSGCVTFNDAIVQYGLDTVPFGGIGASGFGQYHGKYTFELFSHRKAVIKRSLWVEFMFRYPPWDEYRMRMLRRAFRFDYVSLVLGLLGLRQHDFKF >LPERR02G21140.3 pep chromosome:Lperr_V1.4:2:18374167:18378380:-1 gene:LPERR02G21140 transcript:LPERR02G21140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEPIGVVLIFSCWNLPLAEGGDGGRRGEKRRKEVRKGGRRGKREKRVGQALRPCSPFDCAPSTGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANLPKYLDAKAVKIVQGGPEVGEGLMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDRINSKWECQVAVNRIVGAKWSTCAGQACIAIDYILVEEQFAPILIELLVSTLERFFTKPEYMARILNEKHFDRLANLLADHRVASSIVHGGGANRKTLTIEPTILLDPPLDSDIMTEEIFGPLLPIITVKKTEDCLAFLKPKPKPLAIYAFTKDEKLKQRIIAETSSGCVTFNDAIVQYGLDTVPFGGIGASGFGQYHGKYTFELFSHRKAVIKRSLWVEFMFRYPPWDEYRMRMLRRAFRFDYVSLVLGLLGLRQHDFKF >LPERR02G21140.4 pep chromosome:Lperr_V1.4:2:18374167:18378380:-1 gene:LPERR02G21140 transcript:LPERR02G21140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEPIGVVLIFSCWNLPLAEGGDGGRRGEKRRKEVRKGGRRGKREKRVGQALRPCSPFDCAPSTGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANLPKYLDAKAVKIVQGGPEVGEGLMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDRINSKWECQVAVNRIVGAKWSTCAGQACIAIDYILVEEQFAPILHVSDTNNVLVYVSSLKIELLVSTLERFFTKPEYMARILNEKHFDRLANLLADHRVASSIVHGGGANRKTLTIEPTILLDPPLDSDIMTEEIFGPLLPIITVKKTEDCLAFLKPKPKPLAIYAFTKDEKLKQRIIAETSSGCVTFNDAIVQYGLDTVPFGGIGASGFGQYHGKYTFELFSHRKAVIKRSLWVEFMFRYPPWDEYRMRMLRRAFRFDYVSLVLGLLGLRQHDFKF >LPERR02G21140.5 pep chromosome:Lperr_V1.4:2:18374167:18378380:-1 gene:LPERR02G21140 transcript:LPERR02G21140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEPIGVVLIFSCWNLPLGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANLPKYLDAKAVKIVQGGPEVGEGLMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDRINSKWECQVAVNRIVGAKWSTCAGQACIAIDYILVEEQFAPILIELLVSTLERFFTKPEYMARILNEKHFDRLANLLADHRVASSIVHGGGANRKTLTIEPTILLDPPLDSDIMTEEIFGPLLPIITVKKTEDCLAFLKPKPKPLAIYAFTKDEKLKQRIIAETSSGCVTFNDAIVQYGLDTVPFGGIGASGFGQYHGKYTFELFSHRKAVIKRSLWVEFMFRYPPWDEYRMRMLRRAFRFDYVSLVLGLLGLRQHDFKF >LPERR02G21140.6 pep chromosome:Lperr_V1.4:2:18378637:18379052:-1 gene:LPERR02G21140 transcript:LPERR02G21140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQNGGSFCLGGLVAGVREVHESGRTKEMEWRQAQIRGLIRMLTEEEDAVFDALHEDLGKHRVESFRDEVGNLVKSFRNTLQNLKKWVAPEKASCY >LPERR02G21150.1 pep chromosome:Lperr_V1.4:2:18382459:18383756:-1 gene:LPERR02G21150 transcript:LPERR02G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMILLLVLPPVMLLALQQAAAAVTTFPGDVAALASLKSAVDAASVPAYSCLASWDFAGRVDPCAAFPCGLRCYAPPNSSYHRVTGVSLDPAGYSGTLPATFLASLPFLAFLSLASNRFHGALPSGAPLPRSLRVLDLSANAFSGEIPASLFTSASSPSSLEELYLSRNAFSGEIPPEVASLAALKRMELQHNALAGSLPPRMGAMRSLAYLDVSGNALSGSLLDAPGRLPGSLVSLVARNNSIAGPVRAAALAALPALRVLDLTGNALTGSVPGAAFAHPSLQQLRLGSNQLGAVEEAPDGGASSQLVELDLGGNMLPGRLPGCVAAMPRLAVVGLDRNRFTGGVPSQYAVRAAADGPNEKWVPFVRLMLQGNFLCGALPSQLRQLKDDGAVVSLADNCLLKCPHKFSFCRGVPQKSNATCPKCFP >LPERR02G21160.1 pep chromosome:Lperr_V1.4:2:18389069:18395016:1 gene:LPERR02G21160 transcript:LPERR02G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMVGTVEEKPKPLPLAIGIGGMVSGLREVYESGRTKELEWRQSQLKGLIRLLTDKEEEIFAVLHEDLGKNRGETFRDELGILVKSIKYTLQNLKKWMAPEKAESPLVAFPATAMVVPEPLGVVLIFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPKYLDSRAVKVVLGGPIVGEELMEHRWDKVLFTGSERIGRIIMTKAAKTLSPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHIICEERFAPILIELLKSTLKRFMSKPGGMARILNEKHFERLSGYLDDNNVAASVVHGGDIDPKTLKIEPTILLNPPVDSDVMTEEIFGPILPIITVKKTEDCITYLKSKPKPLAIYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESIPFGGVGHSGFGQYHGKYSFELFSNKKAVFKRSFLIEFMFRYPPWDDSKIGTLRYVFSYNYILLFFNLLGLRR >LPERR02G21170.1 pep chromosome:Lperr_V1.4:2:18403112:18403318:-1 gene:LPERR02G21170 transcript:LPERR02G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNLESTLRSNAMPKATQFIPTPATISAPLQTKPAGSSDSKKQEEEKEREVSVDLLGGGGIMHRCRI >LPERR02G21180.1 pep chromosome:Lperr_V1.4:2:18409359:18410561:-1 gene:LPERR02G21180 transcript:LPERR02G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGCGLFALARCCGALRCGRREHSHEAAGGRADARVSDEPAASASGTKGGVVAAAATARRFEWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHRSREKNRAVLPWARRMAIAFQVAMALEYLHESRDPAVVHGDVKASNVLLDANHDAKLCDFGFAHVGFSAAVTSTAAARASGRAVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICADTGRRLTDAVGPSIGEGKLADVVDRRLGGDYDIEEAATVAALALRCVSHGVGLRPSMAEVVRQLQEKTTALISAVGSKPRDKIVS >LPERR02G21190.1 pep chromosome:Lperr_V1.4:2:18421575:18424586:-1 gene:LPERR02G21190 transcript:LPERR02G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPEGAGDAGGDPPCGAAPTPPPTMPVYSLPPPPPAPASSDVMIPTPTPASSDVMIPTPTMLVFSLPQPPPAPASGEVMIPTPTQPAAPEEFQAAAAAGSGSAAAVKPNDDAMMVDVDAATPAAGGGGSGSSGNRWPRDETLALIRIRSEMDAAFRNATLKTPVWEELSRKLAKLGYQRSAQKCKEKFENVNKYYKRTKEGRAGRQSYRFFPQLEALHAAASQRQHQSGMPVEDPRPLSMARMLPAMDDLGFLSMSSEEDDSETDGDETDGEGDDEAPDGHDDDDYGAGEGSRSRSSRKQLMAMFEGMMKQVTEKQDAMQRAFMEALEKWESERIEREEAWRRKEVARINREREILSQERAAAATRDAAVIAFLQRVGATDLSPSSAAAAARAAGLQLTPVPPAPRAKKAERWVFGEGSSSGTTASPSPSRWPKQEVQALINLRMEKEEQYSEMGPKGALWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKQYFAGRGSGGDGGVTIASAANSLAIVVVPEQEINQRELEGKSSNDGNLMQAVPLLEYYKIADDKEEEGTEGIEAEPIIREEEEETESDEEMGGNYTDEGDDDDKMQYKIEFQNPNAGGGGDDDDDAPAPATAAAATGSTSTPTPTNNTSSVAVQ >LPERR02G21200.1 pep chromosome:Lperr_V1.4:2:18436198:18438435:1 gene:LPERR02G21200 transcript:LPERR02G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLVVSLLLMQLTATVFAKAASAAKITLHEQVRRRGRPLPVRHLLRLPHRHGFLVTCDRTYQPPKLFLERHGPEVLEISLRNSTVRVRGAVWSFAAGSNASDDVTVNVLAGVAANLSLQPYVLSASRNGLVVVGCGFRAVATPTPSQQQAIGGATFASCAPTCPIEQSQKLDGRCDGVGCYEARIPVGLTSFGVQFSWHDQNSTTPPWVAPGASVLVVEREWWRDRQNVFEIKKPLFSSGHVDVALVIPTVLDWTLGQSSCTDQSFHSGCVSKNSECVNSTSAYGYVCRCSGGYDGNPYMIGGCQDQRKHFPAGNFYQSLHKAYERVLLAMGIGIGICFLLLVLAVVFAIKVLKIRKDQKMKEYFFKQNRGLLLQQLIDKDIAERMIFSLEELKKATNKFDKARVLGGGGHGMVYKGILSDQHVVAIKKSRVVIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHVDSSQQSLPWKDRLRIACEVASALAYLHSAASTSIVHRDIKTSNILLDDRLTAKVSDFGASRGIAIEQSGVTTGIQGTHGYMDPEYCYTRRLTDRSDVYSFGVMLVEMLTRKKPSKYISSKGISLVAQFTMLLNKNKLSDILDEQVVEEGRDEAQQVAVIAAMCLRLRGDCRPAMRNVEMRLRGLQDSAHSLQISLDMEEQLSRQNGPTFEEINGDASDNCSRKYSLEAEIVLSASLER >LPERR02G21210.1 pep chromosome:Lperr_V1.4:2:18460330:18462406:1 gene:LPERR02G21210 transcript:LPERR02G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCQFLVPQPHMYYDTAAADGAQFLQLQQQMAAADYHAAGRGDGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRTKQIEHDYAALRAQYDALHARVESLKRDKLALAAQVDELRGKLNERQDQSGSCDGGGLAEGDDKKNIDRVNASSSSCVVGEDVSFLAVPVVDVSEDASASAVGGGYGCDDDHGLDYVGGGLPEPFCATLDLWETWPMVEWNAVS >LPERR02G21220.1 pep chromosome:Lperr_V1.4:2:18464210:18469504:-1 gene:LPERR02G21220 transcript:LPERR02G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPLVAASTRHFVAPRLRSLLPTAAAMSTSSSSSAVPAPRPVVPGAAAGEQQPAPLPHATLEVAGARCGLLAGFDSLRRPYRAFPVVASNRHVETIFAAFARSLPAVALRRECLRAPDDGAIALDWVSGDERSLPRDAPVLILLPGLTGGSDDTYVRHMLLRARSKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLWQVVEYVSGRYPQSNIYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALARALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKKSSCNVVSCEGIVAACRRRFGIPYRFMGVAPAV >LPERR02G21220.2 pep chromosome:Lperr_V1.4:2:18464510:18469504:-1 gene:LPERR02G21220 transcript:LPERR02G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPLVAASTRHFVAPRLRSLLPTAAAMSTSSSSSAVPAPRPVVPGAAAGEQQPAPLPHATLEVAGARCGLLAGFDSLRRPYRAFPVVASNRHVETIFAAFARSLPAVALRRECLRAPDDGAIALDWVSGDERSLPRDAPVLILLPGLTGGSDDTYVRHMLLRARSKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLWQVVEYVSGRYPQSNIYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALARALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKKSSTKDSISYEQPSVTQTSGPDISVHVQR >LPERR02G21230.1 pep chromosome:Lperr_V1.4:2:18471661:18479200:1 gene:LPERR02G21230 transcript:LPERR02G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLASASLPIASPSRSLLRPLRRRASSSGGCAASVRISAVPPRGLGFAVLQQRRVRCPPAARANVERDGDGAEASGPGEASSSSGDGDRDAAAEAGGGDSASTSTTSAAATPPQPPSSSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQPQIQRGADGAADVAAVSRRVVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALRLPISFSQHSAGQMRNRKNSNSGGAKVSENTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILNVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIGGKQEHVLQLEAGS >LPERR02G21230.2 pep chromosome:Lperr_V1.4:2:18471661:18479292:1 gene:LPERR02G21230 transcript:LPERR02G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLASASLPIASPSRSLLRPLRRRASSSGGCAASVRISAVPPRGLGFAVLQQRRVRCPPAARANVERDGDGAEASGPGEASSSSGDGDRDAAAEAGGGDSASTSTTSAAATPPQPPSSSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQPQIQRGADGAADVAAVSRRVVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALRLPISFSQHSAGQMRNRKNSNSGGAKVSENTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILNVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIGGKQEHVLQLEAGS >LPERR02G21230.3 pep chromosome:Lperr_V1.4:2:18471661:18478820:1 gene:LPERR02G21230 transcript:LPERR02G21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLASASLPIASPSRSLLRPLRRRASSSGGCAASVRISAVPPRGLGFAVLQQRRVRCPPAARANVERDGDGAEASGPGEASSSSGDGDRDAAAEAGGGDSASTSTTSAAATPPQPPSSSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQPQIQRGADGAADVAAVSRRVVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALRLPISFSQHSAGQMRNRKNSNSGGAKVSENTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILNVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIGGKQEHV >LPERR02G21230.4 pep chromosome:Lperr_V1.4:2:18471661:18477815:1 gene:LPERR02G21230 transcript:LPERR02G21230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLASASLPIASPSRSLLRPLRRRASSSGGCAASVRISAVPPRGLGFAVLQQRRVRCPPAARANVERDGDGAEASGPGEASSSSGDGDRDAAAEAGGGDSASTSTTSAAATPPQPPSSSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQPQIQRGADGAADVAAVSRRVVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALRLPISFSQHSAGQMRNRKNSNSGGAKVSENTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILNVHESFM >LPERR02G21240.1 pep chromosome:Lperr_V1.4:2:18478078:18478661:-1 gene:LPERR02G21240 transcript:LPERR02G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGKVYSFQEVSEHKDRNDCWLIIAGKVYDVSPFMEDHPGGDEVLLACTGKDATADFEDIGHTETAKELMPKYCIGEVDASTVPAKPAYRVVSEDVNPKQEAASQGAWLTAMQLVVPVVLLGMAFALQNFAKARTE >LPERR02G21250.1 pep chromosome:Lperr_V1.4:2:18488476:18500771:-1 gene:LPERR02G21250 transcript:LPERR02G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEADRTRVAPEIGSSHEAGDAEADPASEREREAERLQPWREQLTVRGVVAATLIGFVFTVIVMKIALTTGLVPTLNISAALLAFLTLRGWTRALERLGLAARPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGKSPGNVPGSWKEPGIGWMAGFLLAISFAGNLSLIPFRKALVVDYKLTYPSGTATAVLINGFHSAEGDKNAKLQLHGFLKYFGLSFFWSFFQWFYTGGNVCGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVVSWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNGKRVSNTDEGDKVSLEDLQRDEVFKKGTVPSWMAYSVLGFANSYGTGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVAGTLVKQLVLVSADLMHDLKTAHLTLTSPRAMVVAELIGTGIGCFIAPLTFMLFYKAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSIGFFAFAVLTNLVRDALPTKYKKFVPLPTAMAVPFLVGASFAIDMCVGSLVVFVYNKMNKKEAAYMLPAIASGLMCGDGIWTFPSSILALAKIKPPICMKWDGHNLVKQNFHADERRVADAGAGDVESNHGQSAGAEHAQQVVQPWREQVTARGMVAALLVGFVYTVIIMKLALTTGIIPTLNVSAALLAFVALRGWTRALERLGLTARPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNKKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPQGENNAKKQVRGFLNCFGISLLWSFFQWFYTGGASCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSTLFGAILSWGIMWPLISKQKGNWYPGNVPESSMTSLFGYKSFICVALIMGDGLYHFIKITGITAKNLHERSNHRHVKKVTNGDTFVIDDIQRDEFFNKDYIPNRLAYAGYALLSIVAIIAIPLMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRENGVIAGLVGCGIVKQLVQVSADLMHDFKTGYLTLTSPRSMLVGQAIGTAMGCIISPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPMAMAVPFLVGANFAIDMCVGSLIVFIWNKINSKEAALLVPAVASGFICGDGIWMFPSALLSLGKVKPPICMKFTPGS >LPERR02G21250.2 pep chromosome:Lperr_V1.4:2:18488476:18500771:-1 gene:LPERR02G21250 transcript:LPERR02G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEADRTRVAPEIGSSHEAGDAEADPASEREREAERLQPWREQLTVRGVVAATLIGFVFTVIVMKIALTTGLVPTLNISAALLAFLTLRGWTRALERLGLAARPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGKSPGNVPGSWKEPGIGWMAGFLLAISFAGNLSLIPFRKALVVDYKLTYPSGTATAVLINGFHSAEGDKNAKLQLHGFLKYFGLSFFWSFFQWFYTGGNVCGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVVSWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNGKRVSNTDEGDKVSLEDLQRDEVFKKGTVPSWMAYSGYVLLSVIAVITIPIMFRQVKWYYVVIAYALGPVLGFANSYGTGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVAGTLVKQLVLVSADLMHDLKTAHLTLTSPRAMVVAELIGTGIGCFIAPLTFMLFYKAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSIGFFAFAVLTNLVRDALPTKYKKFVPLPTAMAVPFLVGASFAIDMCVGSLVVFVYNKMNKKEAAYMLPAIASGLMCGDGIWTFPSSILALAKIKPPICMKWDGHNLVKQNFHADERRVADAGAGDVESNHGQSAGAEHAQQVVQPWREQVTARGMVAALLVGFVYTVIIMKLALTTGIIPTLNVSAALLAFVALRGWTRALERLGLTARPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNKKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPQGENNAKKQVRGFLNCFGISLLWSFFQWFYTGGASCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSTLFGAILSWGIMWPLISKQKGNWYPGNVPESSMTSLFGYKSFICVALIMGDGLYHFIKITGITAKNLHERSNHRHVKKVTNGDTFVIDDIQRDEFFNKDYIPNRLAYAGYALLSIVAIIAIPLMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRENGVIAGLVGCGIVKQLVQVSADLMHDFKTGYLTLTSPRSMLVGQAIGTAMGCIISPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPMAMAVPFLVGANFAIDMCVGSLIVFIWNKINSKEAALLVPAVASGFICGDGIWMFPSALLSLGKVKPPICMKFTPGS >LPERR02G21250.3 pep chromosome:Lperr_V1.4:2:18488476:18500771:-1 gene:LPERR02G21250 transcript:LPERR02G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEADRTRVAPEIGSSHEAGDAEADPASEREREAERLQPWREQLTVRGVVAATLIGFVFTVIVMKIALTTGLVPTLNISAALLAFLTLRGWTRALERLGLAARPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGKSPGNVPGSWKEPGIGWMAGFLLAISFAGNLSLIPFRKALVVDYKLTYPSGTATAVLINGFHSAEGDKNAKLQLHGFLKYFGLSFFWSFFQWFYTGGNVCGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVVSWGIIKQKGNWYPGNVPESSMTSLFGYKSFICVALIMGDGLYHFIKITGITAKNLHERSNHRHVKKVTNGDTFVIDDIQRDEFFNKDYIPNRLAYAGYALLSIVAIIAIPLMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRENGVIAGLVGCGIVKQLVQVSADLMHDFKTGYLTLTSPRSMLVGQAIGTAMGCIISPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPMAMAVPFLVGANFAIDMCVGSLIVFIWNKINSKEAALLVPAVASGFICGDGIWMFPSALLSLGKVKPPICMKFTPGS >LPERR02G21250.4 pep chromosome:Lperr_V1.4:2:18488476:18500771:-1 gene:LPERR02G21250 transcript:LPERR02G21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEADRTRVAPEIGSSHEAGDAEADPASEREREAERLQPWREQLTVRGVVAATLIGFVFTVIVMKIALTTGLRLGLTARPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNKKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPQGENNAKKQVRGFLNCFGISLLWSFFQWFYTGGASCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSTLFGAILSWGIMWPLISKQKGNWYPGNVPESSMTSLFGYKSFICVALIMGDGLYHFIKITGITAKNLHERSNHRHVKKVTNGDTFVIDDIQRDEFFNKDYIPNRLAYAGYALLSIVAIIAIPLMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRENGVIAGLVGCGIVKQLVQVSADLMHDFKTGYLTLTSPRSMLVGQAIGTAMGCIISPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPMAMAVPFLVGANFAIDMCVGSLIVFIWNKINSKEAALLVPAVASGFICGDGIWMFPSALLSLGKVKPPICMKFTPGS >LPERR02G21260.1 pep chromosome:Lperr_V1.4:2:18507718:18511207:-1 gene:LPERR02G21260 transcript:LPERR02G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDKIMKKRKGKAPHEGEGPAPPSPLAGGSSRSTACSTVSSSAVGMSEDSGAAARAAHAGWSRSSGSVSSARSIPELYEERGVGSLQEFGLRELQAATRDFSRLLKVGEGGFGSVYRGVVRLPGGPAGGTEVAIKRLNPNGRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMPNKTLDDHLFNKAYPVLPWDIRLGIALGTAEGLLYLHEGLEVQVIYRDFKASNVLLDEDFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMDRNRPKNEQKLLEWVKQYPVETKRFDKIIDARLGDRYSKHGTREIAKLANSCLAKHAKDRPTMGEVVEIIKQVMQHNELDGDVEASGESSPPHEVPGKPTTDDVAVAAARRRMLHLAALGENANSIARRRFMLMRAAAAPTPT >LPERR02G21270.1 pep chromosome:Lperr_V1.4:2:18518149:18522289:1 gene:LPERR02G21270 transcript:LPERR02G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPDRNEDVLVEIKEEPIDTEDDDETEEEESWEDEDGTGDDDSDNSFSEEENGDESAPEEDEPDEPCDEPLEPREKLPSRQRWVKRDRGEQEQLIGKRKFEGLYLSEQADTSHSQWQAIRGRTRSNFKHRMIDKKLLRRGTFSKPYCIDVSESSSDSEEDVPGQPVYNEDCEDDSGSDCNEKKRAVKKRRWTRTQNANSDTEDDKAFECDDVREGSGSRRRLNGASHQQASREGYVGNNGPKAGSKTVEINGQSGVSLKKNAQVVRRDQHKYDHLLDAMFNEIESHQYEPVPADQIHNRLPLVFAFGDDDELKDNAKHNELQDEDELWKEFDFALESINVCSHTCNEGENNDEQEIPGDKATLCSRGKHELIIDDQIGLRCKHCHFVDLEIRYVLPSMSKYYNEKEIKKDNELDLFFDDILTSTGYEGERDFGGHKTGLVWDLVPGVREDMFPHQREGFEFMWRKLAGETSIEQLKHAANTIEGGCVISHAPGTGKTRLAITFVQSYIELYPQCCPVIIAPKGMLATWEQEFRKWKVKVPFHVLNSNEINWNEDKTIKGLATMDENLAQSLARNKLDRSFRRKLKLASWRKGSSIIGVSYSLFRKLASHEGIDGLMVKDLLLERPDLLVLDEGHTPRNKKSLIWKLLEKVRTEKRIILSGTPFQNNFLELYNIVYLIRPKFARDFASKSFKKKGFASRTSRSRDMMVEDFWTSLTLNNITEENIDEIRKLLVPIVHIHNGDILQKSLPGLRESVVILNPLPRQKEIITAMENAVAMGTLDAEYKISLASIHPSLITSAKLTEKETSSVDVSLLKKLRRDPREGVKTRFVLEIVRLCEAMKERVLVFSQYLEPLSLIMGQLSKMFNWKEGKEILLMSGNVLAKNREALMDAFNDMKSDAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKELQPAGFNLSQEVIFNDKILEAMTSHGDLKDMFVKILRSH >LPERR02G21280.1 pep chromosome:Lperr_V1.4:2:18524317:18529456:1 gene:LPERR02G21280 transcript:LPERR02G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPLREIKMLDNSVIHIGFDEPLAVCDQVECTIPKDDGTLATFVGFRVQHDNARGPMKGGIRYHPEVDPNEVNALAQLMTWKTAVAAVPYGGAKGGIGCTPGELSRSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISESTFVIQGFGNVGSWAAKLVDQKGGKIVAIGDVTGAIRNKSGIDIPALMKHRNEGGSLKDFYGAEVMDAAELLVHECDVLIPCALGGVLNRENAADVKATFIIEGANHPTDTEADEILAKKGVVVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELQRYMKSAFQHIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >LPERR02G21290.1 pep chromosome:Lperr_V1.4:2:18527225:18529309:-1 gene:LPERR02G21290 transcript:LPERR02G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYASDSDSDGDAPAATEGGAAPGLPESSALLPPPPLDLLQPPNFGSRVRSFPHVEGNYALHVCIPVVIPSEARKHVAHVMKRAASFVPDLYTVDADYALSELCKDEQKLDRVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFINDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSYKLKQAIKEIEKTLSSMGKSQQCNLRCKFSHIVCKIGKKMYDICKLAD >LPERR02G21290.2 pep chromosome:Lperr_V1.4:2:18527225:18529309:-1 gene:LPERR02G21290 transcript:LPERR02G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYASDSDSDGDAPAATEGGAAPGLPESSALLPPPPLDLLQPPNFITRQWSRGVASGASPMWKGTMLCMSASLISHAVVIPSEARKHVAHVMKRAASFVPDLYTVDADYALSELCKDEQKLDRVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFINDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSYKLKQAIKEIEKTLSSMGKSQQCNLRCKFSHIVCKIGKKMYDICKLAD >LPERR02G21300.1 pep chromosome:Lperr_V1.4:2:18530200:18532065:1 gene:LPERR02G21300 transcript:LPERR02G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSRFLPCLALKDGVDSDKPLTLLIIPEKKAIACNDMVETMKNSSIFATQQGWVLVHDGMSTFLLNPLNSEHRVQLPQLPKTLPRFSTCLLSGKPSHPKCSVLLVEPVAPVFWVSTAAWSDGRVPTAAWSGGSAHHRAAHAPTAKRFGGRRVPPGEKLPIYPIACCGGKFYFNGTADELGVLEFCPAPVFSKIKISGVIDGFFGYMNCSHVYLVESDNELYMACTLFGFDLKTIYEVRVYKMDFSQQRWSRVEELGDRTFLVSPYYFGASYSAEKHGLEPNCVYLACPGEKCYKIYNIKDGTSKVENVDEAPVSDRALWILPTEQP >LPERR02G21310.1 pep chromosome:Lperr_V1.4:2:18535238:18538007:-1 gene:LPERR02G21310 transcript:LPERR02G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGRILACSGGLGPRRLRPARPGAYADRLRPRPPPPLQVRRWRVASSAAASGGSPDLPSSSSSSPPSSASFGSGDEQGAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVEVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >LPERR02G21320.1 pep chromosome:Lperr_V1.4:2:18548978:18549583:1 gene:LPERR02G21320 transcript:LPERR02G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGKRWRETLVVPALAVLLLFAFAFVQSMATADGEAEKTGQPLPPGWKGDSGSGQGSSPDGSWKYGWGWAAGPGGKGSGFGFGYGGSRGEGSSGGGGGGGGGGGGGGGGSGRAFGFGVGGYGGHPGGFGGGGGGGGGGYPGDAGGYGNYGSGYNGETGGGDGGDGGDGFGGDGDVGANWSKRGRFRSGKTQQKEGGGNN >LPERR02G21330.1 pep chromosome:Lperr_V1.4:2:18553686:18555717:-1 gene:LPERR02G21330 transcript:LPERR02G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGTELVASAQDDMPRVVAALAGILERVAERNDDAAAPATASAFRATTKPGISVRAYAARIARFAGCSPACYVVAYIYLDRLLRRRGRSRCRLALAVDSYSVHRLLITSVLSAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFGDYCAVLHSEMLCPAAPPRPHYCCLSESDDDAGSSSSLREAAMEAS >LPERR02G21340.1 pep chromosome:Lperr_V1.4:2:18561126:18564353:-1 gene:LPERR02G21340 transcript:LPERR02G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDHCELYPLPVLPLGNSAAAATVAMGIKSTTTDSMPNIVGVEEVATSVTKVGSESNTCNGSNTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDNSSGEHINCFSSF >LPERR02G21340.2 pep chromosome:Lperr_V1.4:2:18561126:18564509:-1 gene:LPERR02G21340 transcript:LPERR02G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQLGTCLPNFYHLPDHHSMPLPPPLQLPCHPKLLHVPFDEEDQPGIHGVMLSSDHCELYPLPVLPLGNSAAAATVAMGIKSTTTDSMPNIVGVEEVATSVTKVGSESNTCNGSNTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDNSSGEHINCFSSF >LPERR02G21350.1 pep chromosome:Lperr_V1.4:2:18576026:18578960:-1 gene:LPERR02G21350 transcript:LPERR02G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLMPDQVMMDFSRCDLVPQEECLQRLQNRIEVQYDSSNSDHQEALKALWHASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPKSFQELLWKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >LPERR02G21360.1 pep chromosome:Lperr_V1.4:2:18585406:18588279:-1 gene:LPERR02G21360 transcript:LPERR02G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALISVYPEELTFLFELDKPCYCNLKVVNNSEHHIAFKVKTTSPRKYFVRPNANIVQPWDSCTITITLQAQKDYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKEVDKVIEEMKLKVVYTLPSGSSDDSGITSSANRSFRSGSDDLTMLKNASIEEIQTIQRLKEERDTTLQQNQQMQRELDVLRRRRSRKSDAGFSLTFAGFAGLIGVLVGLLMSLIFSSPQATA >LPERR02G21370.1 pep chromosome:Lperr_V1.4:2:18589747:18592517:-1 gene:LPERR02G21370 transcript:LPERR02G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATAAISGASLPHATVYQRLPPRRPQLLAVSSSFRRLSLTASPRRRTSHLVPHADASAEAGEPEPTAEPEAEAAEPVAASADAGEEVEGEAESEAAVAVAEEEQEEEDEPPQPSKPPVKFGEIIGILNKQFIEEANKVKTLPDLKPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >LPERR02G21380.1 pep chromosome:Lperr_V1.4:2:18594759:18597314:1 gene:LPERR02G21380 transcript:LPERR02G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPQPPAPPPRPNHRAPPGLCALPGISYNSHRGLVLGLTFIAYALYHASRKPPSIVKRALSESWPPFYDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAAGMVGSGTAVALFGAGYFLSLHSLAFYLGSQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLKYGWGWSFIVPGILIALGGVLVFFFLAPYPEDLGFSPTALPKEISEASTDEEDSSSSASAGGGARKEERRDAVGIWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSEMAIGGKEMSVTSAGYLSVLFDVGGIIGGILAGFISDQLNARATTAAIFMYLAIPSLYAFHAYGSTSEAANIVLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFITGFISKSGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNRTLDMHNRIAGAR >LPERR02G21390.1 pep chromosome:Lperr_V1.4:2:18614977:18616246:-1 gene:LPERR02G21390 transcript:LPERR02G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLVAAGMVVVLVAAVAPAYAVDYTVGDSSGWSSGVDYDTWAQGKTFGVGDSLVFQYSMMHTVSEVSKSDYSACSAANSIQSYSDQNTKIALTKPGTRYFICGTSGHCAGGMKLAVTVAAADATTTPTPATSPPSAETPATPSDPGMDTPSTATPATTATPATPAITTKSTGSTGGASGSEARSVLGLMVGAIGLAMMG >LPERR02G21400.1 pep chromosome:Lperr_V1.4:2:18620534:18622851:-1 gene:LPERR02G21400 transcript:LPERR02G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTTAAARDVIHIEGAQTAVPTRVVEPGRTRLVAVASPPLPADALQRRVRAVLYYRAGADGSGSAWEDGVWVKETLSEALADHPEMAGRLRRRGDGSWEVKLNDTGVRLLQATVDAPLEEFLAAKKSLARREAALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCSLLLADPLSLARFLLSWSRTHARMRAQSKATPHPMAQYLAYFQRPETSRKRLKSIPIDSFATAADAATDTVLFRTSSVPDGHVHGHRALAAACVEQASEAIGAAKASRFSVVVVDAPVPAGGDDGQQLAAGKTTIETCVEAVEGGGAGTGAELEAVEWSELGLEELVIRDSKPVHVSYRIVTGGDEGLVVVMPEVAGSSLLVTATLPR >LPERR02G21410.1 pep chromosome:Lperr_V1.4:2:18627601:18638511:1 gene:LPERR02G21410 transcript:LPERR02G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSSVLLEEAAGDPGRASRSLPVPVRHESRVVRPPIPLLLHPFFSWFARSSVISRGFTVLLGWLGIPIGSEREGAGGSLALFGSGSGRSGHDLIDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.2 pep chromosome:Lperr_V1.4:2:18628070:18638511:1 gene:LPERR02G21410 transcript:LPERR02G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRIWAGERWWISCVVEIWICDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSSVLLEEAAGDPGRASRSLPVPVRHESRVVRPPIPLLLHPFFSWFARSSVISRGFTVLLGWLGIPIGSEREGAGGSLALFGSGSGRSGHDLIDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.3 pep chromosome:Lperr_V1.4:2:18628070:18638472:1 gene:LPERR02G21410 transcript:LPERR02G21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRIWAGERWWISCVVEIWICDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.4 pep chromosome:Lperr_V1.4:2:18632109:18638511:1 gene:LPERR02G21410 transcript:LPERR02G21410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYGPTWHANAPTSTRHANATLILRFPPSRSVLLEEAAGDPGRASRSLPVPVRHESRVVRPPIPLLLHPFFSWFARSSVISRGFTVLLGWLGIPIGSEREGAGGSLALFGSGSGRSGHDLIDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.5 pep chromosome:Lperr_V1.4:2:18632109:18638713:1 gene:LPERR02G21410 transcript:LPERR02G21410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYGPTWHANAPTSTRHANATLILRFPPSRSVLLEEAAGDPGRASRSLPVPVRHESRVVRPPIPLLLHPFFSWFARSSVISRGFTVLLGWLGIPIGSEREGAGGSLALFGSGSGRSGHDLIDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.6 pep chromosome:Lperr_V1.4:2:18628070:18632261:1 gene:LPERR02G21410 transcript:LPERR02G21410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRIWAGERWWISCVVEIWICDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.7 pep chromosome:Lperr_V1.4:2:18627601:18638486:1 gene:LPERR02G21410 transcript:LPERR02G21410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.8 pep chromosome:Lperr_V1.4:2:18627601:18632261:1 gene:LPERR02G21410 transcript:LPERR02G21410.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21410.9 pep chromosome:Lperr_V1.4:2:18628070:18632261:1 gene:LPERR02G21410 transcript:LPERR02G21410.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRIWAGERWWISCVVEIWICDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >LPERR02G21420.1 pep chromosome:Lperr_V1.4:2:18652533:18663441:1 gene:LPERR02G21420 transcript:LPERR02G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAVTAPPAAGVLPPRHASPPPQPRAAPRREQSPLNPSSQAIRSASGSPPPPAGGSSAATEGSRATIANLDRVLGKPPQVPRPAAAAAANKQEQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVSRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGETSAGAGGEAGAEEAKVARGFLSLYKTGGEKFKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDRLKKSGKVNVLRIVNAGDVVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSVAYGNCAVASPSS >LPERR02G21420.2 pep chromosome:Lperr_V1.4:2:18653280:18663441:1 gene:LPERR02G21420 transcript:LPERR02G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAVTAPPAAGVLPPRHASPPPQPRAAPRREQSPLNPSSQAIRSASGSPPPPAGGSSAATEGSRATIANLDRVLGKPPQVPRPAAAAAANKQEQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVSRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGETSAGAGGEAGAEEAKVARGFLSLYKTGGEKFKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDRLKKSGKVNVLRIVNAGDVVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSVAYGNCAVASPSSSQLTHHRVRVRVSSSLSSNPSPPKMSSLRCLLAASGRRAPASRTHRALFVRTIQILARPEPVSLHKLSTPYCGIVELRLERPDVKNAINWEVMRLLRAAVEKVEADSATKVVLITSSVPGVFCAGADLKALPMPTIAVIEGAALGGGLELALSCDLLICGENAILGLPETALAIIPGAGGTQRLPRIIGRSRAKELIFSGRRCDASEAVMMGLANYCVPAGEAYEKALEVAREITQKGPLGIRMAKKAIDQGMQAADMPSALAVEGECYEQLLHTEDRLEGLAAFAEKRKPVYSGK >LPERR02G21420.3 pep chromosome:Lperr_V1.4:2:18652533:18663441:1 gene:LPERR02G21420 transcript:LPERR02G21420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAVTAPPAAGVLPPRHASPPPQPRAAPRREQSPLNPSSQAIRSASGSPPPPAGGSSAATEGSRATIANLDRVLGKPPQVPRPAAAAAANKQEQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVSRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGETSAGAGGEAGAEEAKVARGFLSLYKTGGEKFKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDRLKKSGKVNVLRIVNAGDVVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSVAYGNCAVASPSS >LPERR02G21420.4 pep chromosome:Lperr_V1.4:2:18652533:18663441:1 gene:LPERR02G21420 transcript:LPERR02G21420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAVTAPPAAGVLPPRHASPPPQPRAAPRREQSPLNPSSQAIRSASGSPPPPAGGSSAATEGSRATIANLDRVLGKPPQVPRPAAAAAANKQEQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVSRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGETSAGAGGEAGAEEAKVARGFLSLYKTGGEKFKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDRLKKSGKVNVLRIVNAGDVVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSVAYGNCAVASPSS >LPERR02G21430.1 pep chromosome:Lperr_V1.4:2:18667947:18671787:1 gene:LPERR02G21430 transcript:LPERR02G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSMMEVADKTVEQKGPSVATSQLPNNVELLKSVVLSASRGGERSQELYEKDLLAEGEGSFQSEESLDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDDEKPEPPECLMRGLSAKGTYMKDLSNHATDAKSDVVVGTGNVNGLVEEKNVPGVVVAPCHLVHVPEPIEAVSSTQASEEKDCVWDVSFPPGGNVSPPSSSDSTGVVAATSIRKSSTSTCRSGILTSESILTVEKTCESTKGSARGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHMLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACIEPSSVCIQPACFMPKLFGQRSKKQGRKPRSETGQGGGAAMPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPKQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVADPVASGGGGKRVVGAEVKSGGKYLDFEFF >LPERR02G21440.1 pep chromosome:Lperr_V1.4:2:18672004:18676097:1 gene:LPERR02G21440 transcript:LPERR02G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDDDEEESDASSTSTAGGKDLSKKVYFTKQTVGNACGTVGVIHAIGNAASKLKLVEGSYFERFYKQTADMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVEVNEHFVCFSCVDGELYELDGRKSQPLCHGPSSPDTLLQ >LPERR02G21450.1 pep chromosome:Lperr_V1.4:2:18677078:18679073:-1 gene:LPERR02G21450 transcript:LPERR02G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVSRRQEADAARREHMKRCRERRRLMREAVRLRGHLAASHAAYLRSLTHAASALTRFAVGEPLPVSDHTPPAVIVHRPVVAPSTPPPLLRSIEQQRRAQEDGDHDLVGGAPSAVTREEGGGEEMRMVVRHRSLAELAAGLEEYFLKASVAGDSVSSLLEASNAEFKRGPSSILGALCCLSAPSVSHDRVDSSINGGQRHSSILQQLLAWEKKLYREVKAKERLQVQHDKKLAELSDQEYSRKIDVDVLKLKSAWEKAKAQLATASQAVDASSAAIAELRDAHLARQLLGLCHATLDMWRAMRQSHEAQALIAQQLRGLSSRTSMDPTTDIHHEATRALEAAMSSWRAALGHLDKHHRDYVRTLHGWLTLTLQAPAPVADGAEAAASPVVATELAAFVERWGKALDRVHCADVVKSIKGFAAAVRSLYALQSDELRVARRVSQYSRELDRKSRMLRQVEKSYYDSYVPPVGLSLWHRGARHWGDGGGMHAHDAQNEVAQRRDEIAACRRMVEDEMRKHAKAIDATRSAAVTGVQGKLPAVFQSMAVFSASLAQALEAVCRHNAHVQ >LPERR02G21460.1 pep chromosome:Lperr_V1.4:2:18686088:18686987:-1 gene:LPERR02G21460 transcript:LPERR02G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEATTALDSIRHHLLDESPAPAPAVSAPPQARRPVYCRTSSFGSLVADQWSESLPFRSNDADDMVVYGALRDAFSSGWLPDGSFAAVKPEYSSPDSYDGSSIGSFLAPETPGGEVTSTEVEGEATAAAAVVSASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAAGNKRPYPEPAISDSSTPSSSSSSSSSSSSGSPKRRKRGEAAAASMAMALVPPPPAQAPVQLALPAQPWFAAGPVQQLVS >LPERR02G21470.1 pep chromosome:Lperr_V1.4:2:18689022:18692462:1 gene:LPERR02G21470 transcript:LPERR02G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVDKLVAFSGIEDRISALLQTICVMVSWDTGKTVKECFGCPWSPPVTKPSAERMANVKWNGIVRIREKTRSTWATSQQGVKAASSSSPSPTNSDRRGLAAGSVGGGGMDRWTGVLHVPLSRGGPPFRVAASLVLSPAKTLAVPCANAILFTGDRVRGSGDPVIERLSDAAYLVRLLAGKLSGEANAWVVDAACFAGSFAVYQELVPSVDAVGDPNRYNPTGFPAAAGVTNILAHCVREIQTLIVKSTMKGSAGGHDPTASLLSYCPPKTIVLGFSKGGVVVNQLVTELACFASQSTKTSVDDSRSSSLLTRNLLVPVSASEFLSSMSEFHYVDVGLNRSGAYITDHAVINEIANYVVHTTKNLCFVLHGTPRQWSDPNRSWIQMEKERMLILLRDEAQRCEGRLVVSEKRYFDGKPRSLLMHFEILEVMDIG >LPERR02G21480.1 pep chromosome:Lperr_V1.4:2:18700238:18701212:1 gene:LPERR02G21480 transcript:LPERR02G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGEIDDFNLQLLHEQLLADDPCLSVVDEYAAAQQCFHPAAFLPQQQQQPAPAGYMELANDQYNSGVSAGEAAFRAAAEPVMIRFGGEVPSPMTSDHRMPPQLTISLPPASHSHAAWAATAAHPALLQAQAAADANDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAVEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPASHGKRKRHDAAATAADPDVEVIGESPSSKSVKTEAYPSPASSSLASTTTSTVKSSSTSPSPSSEAACGGGDQLFASSWSWEQLEGLFGSLSPLSAHPQMGFPEVTVN >LPERR02G21490.1 pep chromosome:Lperr_V1.4:2:18712749:18713486:-1 gene:LPERR02G21490 transcript:LPERR02G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALSLAAAVVAVPARSRFLRRSSFAPTRRAALKCPQNRPLTVVAAAAAAAGDSPSTAVFHGECFVVGDNIDTDQIIPAEYLTLVPSKPDEYRKLGSYAFIGLPTAAYPTPFVAPGEETTRYTVIIGGDNFGCGSSREHAPVALGAAGARVVVAESYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIDAGGIFAYARKTGMIASKSA >LPERR02G21500.1 pep chromosome:Lperr_V1.4:2:18713651:18715531:1 gene:LPERR02G21500 transcript:LPERR02G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNEGTVTPVLLNIYDLTPANDYLYWFGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFVYRKSLWLGTTDMSQEEFRSFIEKLAGKYHGNTYHLISKNCNHFTDDVCKSLTGKPIPGWVNRLARVGSYFDCLLPESVQVSPVGRVPTLRPITDDDLDSISTISDSDEEEDKHLLPVSSTDLHSVDIVAILATLAAMA >LPERR02G21500.2 pep chromosome:Lperr_V1.4:2:18713651:18715752:1 gene:LPERR02G21500 transcript:LPERR02G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNEGTVTPVLLNIYDLTPANDYLYWFGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFVYRKSLWLGTTDMSQEEFRSFIEKLAGKYHGNTYHLISKNCNHFTDDVCKSLTGKPIPGWVNRLARVGSYFDCLLPESVQVSPVGRVPTLRPITDDDLDSISTISDSDEEEDKHLLPVSSTDLHSVDVPLKLAKDLL >LPERR02G21510.1 pep chromosome:Lperr_V1.4:2:18718478:18723083:-1 gene:LPERR02G21510 transcript:LPERR02G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGIVGSAADWKFGAEQFEKLLSDKVIVHCSNRNMYKLTLDGIDVMGERLAQEIIEETNKRPQIKKISFVAHSVGGLVARYAIGILYRPPGQTFQSAPQNVNNTNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTVIETFACYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGDVQFMSALQAFKRRVAYSNWADSASKIYPHIVYEELSKAETPNQCTDVADVDSCVLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >LPERR02G21510.2 pep chromosome:Lperr_V1.4:2:18718480:18722684:-1 gene:LPERR02G21510 transcript:LPERR02G21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGAWREAAADEEEAAAAPGEADHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHCSNRNMYKLTLDGIDVMGERLAQEIIEETNKRPQIKKISFVAHSVGGLVARYAIGILYRPPGQTFQSAPQNVNNTNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTVIETFACYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGDVQFMSALQAFKRRVAYSNWADSASKIYPHIVYEELSKAETPNQCTDVADVDSCVLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >LPERR02G21520.1 pep chromosome:Lperr_V1.4:2:18723744:18724264:1 gene:LPERR02G21520 transcript:LPERR02G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQEASAQRRPLQGDKVKSKGMKSLLLLVAWEIWGEQNMRVFKGEVLTIPQLVSKIIDEINLWSICGATNIVRLSA >LPERR02G21530.1 pep chromosome:Lperr_V1.4:2:18727122:18730730:1 gene:LPERR02G21530 transcript:LPERR02G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEPTSLLPVWARATTRRPKTTRRHPLHGAHAAAMEEEGDNHRPASSSSASGGFLSGFCAAALRRKPISAHAIHAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPVLLIILTGILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLMACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFAKVHPMRHTPLHSQIWVGCVAAVLAGLFNVHMLSHILSVGTLTGYSVVSACVITLRWNDKTTTRHSLGSMSIRQEGVLCLVIIALCGFIAGLCYRFSYATAFMIIALFVAVAAGLAIQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPAVAYHGLPSEAP >LPERR02G21540.1 pep chromosome:Lperr_V1.4:2:18735078:18737545:-1 gene:LPERR02G21540 transcript:LPERR02G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTVAPGDEPEEKGRSNSILLPILGILLAYLLYRYLRPRLRGVRLDRLTSRLPACLRRPSRSANNVLLPYFAPIADRLGALQPYLVPIADRLGAGAGAPPYGRADALVKFAGGEALTVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACALGSDDEASAAARRIGAASHPNLVPLRAFYVGPRGERLLVLPFYAAGSLRRFLQEGIVESQRWNIICKLSLSIAKGLDYLHTGLEKPIIHGNLKTNNIVLDTNYECKISDFGLYLLLNPAGGQEMLQTSAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKESTNDSTPNPRDILLPVSFKNLILERKISDAFSSDLVRQSKKLGKEKNLNAFFELATACCSPSPSLRPNTKHIIKKLEEIAK >LPERR02G21550.1 pep chromosome:Lperr_V1.4:2:18741372:18742256:-1 gene:LPERR02G21550 transcript:LPERR02G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTQAIHNVVVSANKQIISSALVPVAALLAVAVGALSLLPSLAQAVWEVPHLFLLGLVISYGVFAQNNSRRRGDGGGGDRAWNSRYLAGEPLVVVADNAESDDEGGGKPLSLPVRRLKPPAAAAAQAATESDGGGDGSDDGIGGAETDSSASTAGRFWGAGPSPPSVLDAVCRSRKFSAAATAAPSTMSKGYDSLAPRGDDQSFSDDGEVTDWDEEEEEEEEAGVEDEMAASPQRSYDDDWSGDGNGDRDVSADEELFERAAKAGAEVEDEVDRKADEFIAKFREQIRLQRL >LPERR02G21560.1 pep chromosome:Lperr_V1.4:2:18745262:18750337:-1 gene:LPERR02G21560 transcript:LPERR02G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGEALFLDCVGEVAVAVGDDGLSFQPLHQEVSSSCWSSIRLQPKLDRLKFSDVYAVELLDVGPMCGPWNTRTVVQPKRNTEMNRFVIHAITRSRKRPSPWVPCEYIFGHKDLKTCKTWVEHINACINKEQDRPKNLMVFVHPLCGKGRGCKNWETVAPLFERAKVHTKVIVTERAGHAYATLASLSDKELKKFDGVIAVGGDGLFNEILNGLLRDDVNVIFPSSSNILDDHEPLLSTTQSAGLDILSSNTSDEPCNGDQVPLVSFPNSWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRIPLDIAQVVRWKSTPSTEVVPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLSASAENVANGVQTLEYLQNRPHKTICRTNCFICKGTSTSEQILEDNISGSSRTASENPKWVWSNGHFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPYYLWHLTQFTKKGSDPLNFKFVEHHKTTAFTFISSHDESVWNLDGELLQACEVSVQACRGLVNLFASGPEV >LPERR02G21560.2 pep chromosome:Lperr_V1.4:2:18745262:18750337:-1 gene:LPERR02G21560 transcript:LPERR02G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGEALFLDCVGEVAVAVGDDGLSFQPLHQEVSSSCWSSIRLQPKLDRLKFSDVYAVELLDVGPMCGPWNTRTVVQPKRNTEMNRFVIHAITRSRKRPSPWVPCEYIFGHKDLKTCKTWVEHINACINKEQDRPKNLMVFVHPLCGKGRGCKNWETVAPLFERAKVHTKVIVTERAGHAYATLASLSDKELKKFDGVIAVGGDGLFNEILNGLLSTRYTDSYPPTPEEFGYLRSSKKCQEHINNGLNSSMSAGDDVNVIFPSSSNILDDHEPLLSTTQSAGLDILSSNTSDEPCNGDQVPLVSFPNSWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRIPLDIAQVVRWKSTPSTEVVPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLSASAENVANGVQTLEYLQNRPHKTICRTNCFICKGTSTSEQILEDNISGSSRTASENPKWVWSNGHFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPYYLWHLTQFTKKGSDPLNFKFVEHHKTTAFTFISSHDESVWNLDGELLQACEVSVQACRGLVNLFASGPEV >LPERR02G21570.1 pep chromosome:Lperr_V1.4:2:18755436:18761167:1 gene:LPERR02G21570 transcript:LPERR02G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKEAYRVELRATARQLGDRGLYSAAKWAAELLSGVEPDAAQAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPFGGGADAGTPLGGVSYVSTPIPDDDAFDVGADKYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNVVNQELVALERELSTHRRTGAIDPFGLYLYGIVLRDKGSDALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANSNDTEGIALHQLAKLHGMLGQSEQAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAMIQNTGYSWAWTTGPVSTASSLVIGDGDGIKGDERKGDQDALGLWEVII >LPERR02G21570.2 pep chromosome:Lperr_V1.4:2:18755436:18759618:1 gene:LPERR02G21570 transcript:LPERR02G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKEAYRVELRATARQLGDRGLYSAAKWAAELLSGVEPDAAQAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPFGGGADAGTPLGGVSYVSTPIPDDDAFDVGADKYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNVVNQELVALERELSTHRRTGAIDPFGLYLYGIVLRDKGSDALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANSNDTEGIALHQLAKLHGMLGQSEQAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >LPERR02G21570.3 pep chromosome:Lperr_V1.4:2:18755436:18759616:1 gene:LPERR02G21570 transcript:LPERR02G21570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKEAYRVELRATARQLGDRGLYSAAKWAAELLSGVEPDAAQAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPFGGGADAGTPLGGVSYVSTPIPDDDAFDVGADKYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNVVNQELVALERELSTHRRTGAIDPFGLYLYGIVLRDKGSDALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANSNDTEGIALHQLAKLHGMLGQSEQAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >LPERR02G21580.1 pep chromosome:Lperr_V1.4:2:18762014:18767255:1 gene:LPERR02G21580 transcript:LPERR02G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPKKSNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQLFQGRSGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAGTCHGCRGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQEKKVLEPDTVTGDIVFVLQLKDHSKFKRKFDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFSVEFPESGALTPSQCRSLEKILPPRPGSQLSDMELDQCEETTMHDVNIEEEMRRRQQQYRRQEAYDEDDDEDAGPGPRVQCAQQ >LPERR02G21590.1 pep chromosome:Lperr_V1.4:2:18788799:18789485:1 gene:LPERR02G21590 transcript:LPERR02G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSFGSDLSSSSSGGNAPASPPSTASTSSSDAKKKRARKEDGHHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPGLAHELPRPATAAPKDVQAAAALAAAADFPPGAASAANAAGADDNTDGGSDDVGSASASPSPPPPETTDDGLFDLPDLLLDLRYGGPPSSCLSCASSWDDNEVGLISGAGVFRLEEPLLWEY >LPERR02G21600.1 pep chromosome:Lperr_V1.4:2:18805636:18806673:1 gene:LPERR02G21600 transcript:LPERR02G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKPHPSSLLLPSPSLPNPSPNPSRFTPLPSGRGRRGLRVRASSVAPAQPASAAGAQPSAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGIEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISLAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVNPSVLHPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKQIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >LPERR02G21610.1 pep chromosome:Lperr_V1.4:2:18806978:18809987:-1 gene:LPERR02G21610 transcript:LPERR02G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSGLGSGGGGGGASTSATSPVAAASSSGGRSRTRAGGRILRATSPPPPSAIAAAACWESRTMGREGEDEDWEEVVAGAGEAVQGGRVPAEEEEYRVVFWSPPTGDEVHAALSSIEEVFGDPFRAYPDETEQTELSTSVHSSSGNSSGSDDWIEPAAYALNSTALLAREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWNAVMNNEAVQEFRRSFQDEKETGRKGNHGGPTGVLKWILGNTQAKIMEFIDNVMKIVNMLFHPDDDEANPDLFSDAVKVSFMLSVFIFIVVAIARINYEPWDFKEVDRGVQVQAQISEATFPVYDLQAC >LPERR02G21610.2 pep chromosome:Lperr_V1.4:2:18807602:18809987:-1 gene:LPERR02G21610 transcript:LPERR02G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSGLGSGGGGGGASTSATSPVAAASSSGGRSRTRAGGRILRATSPPPPSAIAAAACWESRTMGREGEDEDWEEVVAGAGEAVQGGRVPAEEEEYRVVFWSPPTGDEVHAALSSIEEVFGDPFRAYPDETEQTELSTSVHSSSGNSSGSDDWIEPAAYALNSTALLAREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWNAVMNNEAVQEFRRSFQDEKETGRKGNHGGPTGVLKWILGNTQAKIMEFIDNVMKIVNMLFHPDDDEANPDLFSDAVKVSFMLSVFIFIVVAIARIK >LPERR02G21620.1 pep chromosome:Lperr_V1.4:2:18812794:18814246:-1 gene:LPERR02G21620 transcript:LPERR02G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLQLGRMRRRDHLLLMDAPATAAVQTPMEPMEFLSRSWSVSASEISRVLTGGVAGRRSTNFVVDRLSGMLMPETLALAAASGTNLSPRKRYSRCRSAISAHQQVQHTIGRWFHHRDGTSSSRVDKARAERARVHAAVTVASVAAAVAAVASGAANPDEIEDAKMDAAMASATQLLASHCIEIAELAGAEHDQVASAVEAAVDVRSPGDLLTLTAAAATALRGATALRLRAQREARTKAAAVAPYEKTGSCRGDIWCKEGTLLKRNRKGTLHWKRVAVYINRKSQVVVKLKSKHIGGAFSKKKKSVVYGVHDDLPAWPAHVSASGVPPASETAVAEKCHFGLRTAQGVVEFQCENRAHKQNWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >LPERR02G21630.1 pep chromosome:Lperr_V1.4:2:18817683:18818597:-1 gene:LPERR02G21630 transcript:LPERR02G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSATSVKSYVAEFIATLLFVFAGVGSAIAYGQLTSGGALDPAGLVAIAIAHAFALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASIACLLLKFVTHGKAIPTHGVTGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPVADQDYA >LPERR02G21640.1 pep chromosome:Lperr_V1.4:2:18822866:18823380:-1 gene:LPERR02G21640 transcript:LPERR02G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVCSMCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDGAPAEAGAGVCDWCISEASAFVGKKGASAAATPGAVRQLVEEANEESFRGKSKTAAGGGEQQQAMECGGRRVSKGAVRRYKLLKDVLC >LPERR02G21650.1 pep chromosome:Lperr_V1.4:2:18827056:18829164:1 gene:LPERR02G21650 transcript:LPERR02G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVFSVWMKVMVREPFTQCLKVVLGCCGSAAHVSLRHPS >LPERR02G21650.2 pep chromosome:Lperr_V1.4:2:18827056:18828517:1 gene:LPERR02G21650 transcript:LPERR02G21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTC >LPERR02G21660.1 pep chromosome:Lperr_V1.4:2:18832600:18835208:-1 gene:LPERR02G21660 transcript:LPERR02G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGECISSCGSTNMRRVDHLLPLPIPCVGAEPTAASRVSPGSSPARSDVSEGAASFYAADTEAEPEASVGRSTQMLLEMAAMGGRGGPYGRRPASSYGSCAGWSAGSLTNHRPASPSPVCSPVSSNGGEGCLGGGGGGERRDGDDESSFATPRLEEDQEMLPNRGDFIKPSTTPRHIRLQTPRHPSQLDRRFERTNPVPLRFTHKATPARSMRRAQSSHKYRRRLGATDAISEWRLPKVSEEEDEVIDQTDWQVDTLSSRISSARDWNFKPGGAYEGTNHIGGAFNHSDGENSPVAGQRMRRWLQGSAVKPKENFVHPKLVTWRNAEIEKLIDKLRRKEADIDDWQMNQVTQAKEKMKRIEIKLEKKRAQAAEKMQKAIKNAQKKADKKKIKEHAATDEQIAGVERAMVKMSNKGKLPWSLAFL >LPERR02G21670.1 pep chromosome:Lperr_V1.4:2:18835862:18839082:-1 gene:LPERR02G21670 transcript:LPERR02G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEADVSCLGGGGLHHNGLKGWPDLAAFSGKMMMTTPPPPAEKQQARPAAVAAPADAAPDQTLEFSDGLLLRMLACLPEPHLTGAASLVCKRWMRLAGRLRRRLVVRDWAFVTHRLHYRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDPTADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAAAESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTGENGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTISNHRMDSGWLAALAFCGNLKTLRLQGCCRIDDDPGPAEHLGACLTLESLQLQQCQLRDRRALHALFLVCEGARELLMQNCWGLEDDMFALAGLCRRVKLLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSVFKELKWRPDNKSRLAASLADTGMGKKGRVLCKRQILPGHQRVKETMLNYSTGVAA >LPERR02G21680.1 pep chromosome:Lperr_V1.4:2:18842240:18843481:1 gene:LPERR02G21680 transcript:LPERR02G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATRVFPSLVTFVALACFALRSFASVDYDRKPSGWSVAGATWYGPPNGSGTDGGACGYQGDVGQPPFNSMISAGSPSIYESGKGCGSCYQVKCSGNPFCSGNPVTVVLTDLCPGGACLEEPVHFDLSGTAFGAMAHPGQADQLRNAGKLQVQYSRVPCNWHGVDIAFRVEAGSNPYYLAVLIEDEAGDGDLSAVELMQGGGGGGWAAMQQSWGAVWKYNSGPPLQAPMSIRLTSGSGKTLVASNVIPAGWQPGGTYRSIVNFS >LPERR02G21690.1 pep chromosome:Lperr_V1.4:2:18854778:18856585:1 gene:LPERR02G21690 transcript:LPERR02G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLVLLLVALVGLSLLVSPIACSRKLTKPKPKPALKPKPKPSPRPVVGAHNNYTGGSPAATVTTGWAAAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKAGKGCGACYEVKCSTNAACSGQPATVVITDECPGGICLQGAAHFDMSGTSMGAMAKPGMADKLRAAGILQIQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMESGCGTWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >LPERR02G21700.1 pep chromosome:Lperr_V1.4:2:18860604:18867456:-1 gene:LPERR02G21700 transcript:LPERR02G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNTGKRLYIEKSPIKSLLRPSPKFAPPILWPIGPIEKRVAQIPTPTGHRAPATVHGLSLSLSLSISTLSVSPAAACACRLPLLRLPAAAPPSALLSPRSRLTGSPFAAGIPPTPRRLPAPRRPQPWAASRAALAGARCGLGGQRRTTTSRLARLCPCPAAPHDHFPSCDIRMDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQAGQATLQSWKEQYQKLRTRLRNANLQANSNIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERTANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTVGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDIVAKVQHGPVPAVTPTAPAPPPPIYDEL >LPERR02G21710.1 pep chromosome:Lperr_V1.4:2:18868313:18870094:1 gene:LPERR02G21710 transcript:LPERR02G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAQSLRLVPSPPPQPPPPPLVYKHHCRVCNKGFMCGRALGGHMRAHGINADADDDTMDDESLLSPFDGGEPSDTTSKRMYALRTNPGQPRNCRVCENCGKEFTSWKMLLDHGRCGAGFDEEDGLGSSLHSPPLHDDGDGDDGEKEDEAGLTLAWSKGKRSRRVTKVMAVGTGSVSELQLPVVPSNEEEDVAKFLVMLSSSRAAPQPVIVVDDADQESCASASKNEEMIRNRLLVPQPISVAAQPQMMTTVFATQVVAQHVPAVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESNRNETQQHVAAAAAPLDDAKASASHVVVADTSTDANTVTTNVVGAGEIVIATAAPDHMAMTMPIDDFTPGLAPSTVPPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGSTADPLAKFQPVSQDNAMVAAMCHHLTLGRPMFDVTPDQRILDLNVPTTNVTNPLAEVAARQQQQQQQQVAGLNDAALCLNAAAAAYLQSWTRQNNGSHVNKNTPTSSRANDAAGGGAAAEDDEADSTSAKRAKIGDLKDMNMAGESLHWLQVGIGISSESKEKSTQECSGRDKD >LPERR02G21720.1 pep chromosome:Lperr_V1.4:2:18881417:18882448:1 gene:LPERR02G21720 transcript:LPERR02G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCSRRFASPRALAGHMRSHSVAAANAAAAAAAAAAAANAVTKLQQISSVSSASTSFTAAAEEEEEDDGFKKPLSIYTLRENPKRSLRVSDYAFSDRESEAESTPTPAAKSARITGAGDGEPMSSLSYAASPEEEVAFALMMLSRDTWPSSSPSVVYSDDDGSDDGGGGGYALRRPPAPTPPPPAEKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPPPPPPPPPRPRTLPEHDADGEEHMDGKAASSSPHECPYCYRVFSSGQALGGHKRSHVCSAAAAATTAAGSGGTPPPSQGKILGMFDLNIAPPADEVELSVVSDPHFPSNPGA >LPERR02G21730.1 pep chromosome:Lperr_V1.4:2:18891402:18894519:1 gene:LPERR02G21730 transcript:LPERR02G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATERRRRRLVERGSDRLAFITGQASSLPSDPPPDSPLSTVDAAASQILEQQASAGGISGDKFSNITQVQKTEPTDRVPESQVSAKARQEIQDSDLLREHKRNSTVPEIQPVNETPLQRHGEETLSKRISHDRVATVPRREMEMRPRSVPSNQSNQAENAAWSVEILKEYLNFTPHEITQAISATEYNRVLASVIVAFLVVLSNWGLDIGGTITRVLVGTRPLLFLIITNITIVFTLLMESRDPNVRGRSAGSSLGSADSLGQMLEIGLLLQKSLGALVMDCSVCAVILICFL >LPERR02G21740.1 pep chromosome:Lperr_V1.4:2:18897154:18898077:-1 gene:LPERR02G21740 transcript:LPERR02G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSVSLAPNTTHPRHVLVRAGAGSGWPRRTSHHLAVHVGHSHPRHSGALCAAPNLRSHEVISNKNNAVTPDAKLRVRKFFELEMSVHDCELDQYGVVNNTVYPSYIERAREELMSSLGMSRGSIASTGKAMALTELNIKYLTPLKRGDKFVVKLTLGRIKGARIYAEQFIETLPDRKVISIRTCECRACRVPQ >LPERR02G21750.1 pep chromosome:Lperr_V1.4:2:18901803:18904435:-1 gene:LPERR02G21750 transcript:LPERR02G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPAAGDDLDELLDSALDDFTTLNLSAAAPKSGEASASSSSAASGPVKGLGLGLGLPDPRAPRRKAAKQPTAAPPPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILYEPMKDIVEKYPKWLEENKSKISKEEYERYNKQLGLMMKLNEVYEKDPENMTKIFEIMQNMQECGQPPSDLVQDIAPDLDMSKLGQLSPEMLESAPNCSVM >LPERR02G21760.1 pep chromosome:Lperr_V1.4:2:18909743:18911797:-1 gene:LPERR02G21760 transcript:LPERR02G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAINQPLLGSLPSNLMQYSVMPGGYPVPGMNVSVNRLKIGEAIVSGLLDAMKSSSPRRRLNVAFGQGNSPDEDPAYSAWMAKCPSALASFKKIVANAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANYEHNAEKCKQANLFQPAREFLPMIDEVTKSLLQAVSGVDGATVENNKFCVSVHYRNVAEKVLEVRPVIDWDKGKAVEFLLQLLGLNDSENVIPIYIGDDRTDEDAFKVLRQTNCGYGILVSQVPKETQAFYSLRDPSEVMEFLNFLVRWKKHSM >LPERR02G21760.2 pep chromosome:Lperr_V1.4:2:18909743:18911797:-1 gene:LPERR02G21760 transcript:LPERR02G21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAINQPLLGSLPSNLMQYSVMPGGYPVPGMNVSVNRLKIGEAIVSGLLDAMKSSSPRRRLNVAFGQGNSPDEDPAYSAWMAKCPSALASFKKIVANAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANYEHNAEKVTKSLLQAVSGVDGATVENNKFCVSVHYRNVAEKVLEVRPVIDWDKGKAVEFLLQLLGLNDSENVIPIYIGDDRTDEDAFKVLRQTNCGYGILVSQVPKETQAFYSLRDPSEVMEFLNFLVRWKKHSM >LPERR02G21770.1 pep chromosome:Lperr_V1.4:2:18921448:18922080:-1 gene:LPERR02G21770 transcript:LPERR02G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFFAAWLCTLRSPLLPLLRRALSSSSSSGSWGDPLSSAAAAVEAHFQVHWSALDAAARHDPAQAVTAGDWRSPLELPFLWLGDLHPSLLTTLLRSLSPSPRLLAAADRVDRRIRATVPAVSDRLRRAQEGFIAAEVSGAADVEAFLEELKVVALDANRLRRGVLSELLAAAGGYQAALFLEVLSRFVLSLHDPEVLRRFDQCRASPGS >LPERR02G21780.1 pep chromosome:Lperr_V1.4:2:18923982:18924835:-1 gene:LPERR02G21780 transcript:LPERR02G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCAAHQSERKNDKNHFCVDCAAALCRHCLPHDASHNILQIWKYASCFVVRVDDLKLFDCTGIQSHTVSDHEVVFLNERTARKRSVASAENPCAACARPLPSAHDYCSIFCKVKHLGENEQGLRRALRGSRKGTAAEAGGKASSCETGRSCGGSLRKRSRKQAEPTRAPLF >LPERR02G21790.1 pep chromosome:Lperr_V1.4:2:18930822:18931721:-1 gene:LPERR02G21790 transcript:LPERR02G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSWVRSFHCKSTAAGDVAAALTALPPKKPHHLLLPRSSCASSGDAHNKNVSSSSSSLTKTTKIQASSKARPATNKPKKPKAAKAAASVPPSPSPPPGPLGPVPALTELPAGHSSRQVVEIIFLSSWSSPQALPAGVGSTAEVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPPDGYSSAAGGGGGEDATLRIRTFDGSGGAHANGRGGPASGRRAMFLCRVIAGRVADGTPSSGGGDDDAGGEPVPSKEYDSVRAGKGELVVFDRRAVLPCFLIIYKL >LPERR02G21800.1 pep chromosome:Lperr_V1.4:2:18936069:18936686:1 gene:LPERR02G21800 transcript:LPERR02G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTSPPAKAQVTTAPAKSPLLGGIGDLDSTVSLRLHALFLPVPRLLLKALEVAGDGRIWLPIPISLLLISTTTSSEVSPLLAGLVAGLVLDILFVGAAKLVVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAVFLAAGGFPRGALFLWAAATSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRSMCARISFLVC >LPERR02G21810.1 pep chromosome:Lperr_V1.4:2:18938358:18941939:1 gene:LPERR02G21810 transcript:LPERR02G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKIPFELKRTNSGILELTNKTDQSVAFKVKTTNPKKYAVRPTTGVIPPWGSSGITVSMQPPKEIPADYHCKDKFLIQSVVVPESTTLHSDLFSKEPGKVVEEFKLRVVYIPANPPSPVPEEEDEDIDSVDSDVDHEVHIPSTFNEAASRQGYTSGSQASHDEASRQGYTSGSQASDDEGISLTKALLSKYVDENQKLQQELDLLKKKSLSSAGGFSALFVLFVLLLSISVGYSMTGNNI >LPERR02G21820.1 pep chromosome:Lperr_V1.4:2:18943537:18950995:1 gene:LPERR02G21820 transcript:LPERR02G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVIGVASACGGSPSCPTPSTPTPSTPTPTPAAYGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPIDLSLILNYCGKTVPTGFKRMEGRVGGAGQRDPVSPEGGGGTGLRTITYVHPPECNRATVVVLLSILELSAMASRAFLLVALNLVLFFTVSSACGYKCPTPPTPSTPTYYSKCPKNALKLAACANVLGLVSAEVGQPPYEPCCSVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKSLPSGFICG >LPERR02G21830.1 pep chromosome:Lperr_V1.4:2:18955311:18959346:1 gene:LPERR02G21830 transcript:LPERR02G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADLEARQLRILRRVADLELAAQQHRLAALSISGSPSEGEVETGATEARLSAILTARGVLDFTFRRVPADYYDRPLEERRDLLRADAVEQLCKSIVMVNTQSSEDVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYALNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGMRTSQFLNAFKPFVVKCS >LPERR02G21830.2 pep chromosome:Lperr_V1.4:2:18955311:18959351:1 gene:LPERR02G21830 transcript:LPERR02G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADLEARQLRILRRVADLELAAQQHRLAALSISGSPSEGEVETGATEARLSAILTARGVLDFTFRRVPADYYDRPLEERRDLLRADAVEQLCKSIVMVNTQSSEDVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYALNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGMRTSQFLNAFKPFVVKCS >LPERR02G21840.1 pep chromosome:Lperr_V1.4:2:18960804:18961285:-1 gene:LPERR02G21840 transcript:LPERR02G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPTLKGQVKLNATILLVIIFLLVLCITSCEARHDHLRVSSKYSTNKQKHSLLSKDVAEHVRSKQIDQSVDNEVTLNAKMELAASSGGVRNTGHAVRVSQQLRHRKHKDDQGIHLDYAQPKTRTPCHN >LPERR02G21850.1 pep chromosome:Lperr_V1.4:2:18970749:18983316:1 gene:LPERR02G21850 transcript:LPERR02G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLLGAERRGVADHGGGNQLFWPAGKGLVVAEPRSVLDCTRSPSPPKSSSTLSSSLGGGGSADSTGVAAVSESSTAAATEATRWGAPGEHGGGGGKEDWGGGCELPPIPGALDVGLVGGEGGWDATIGNAAAGGGQDQSFLNWFIGAAGDLEQPGPPLPVHQQPLIDNAAFGIPAVDALGFSLDHPLSGVASDLSSSGAHTATGGGKASLGFGLFSPEATSLEHSPPPMLLHEGIDTKPPLLGTQPPFLLNHFHHQQPNPAAAFFMPFPEHNHQSPFLQPPLKRHHAMPDDLYLARNQLPLHASVPFQLQPSPPPTRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSAFYLKEALLLALADSNHGVCSVTSPLDVAVKLAAYKSFSDLSPVLQFANFTATQALLDEIGGVATSCIHVIDFDLGVGGQWASFLQEFAHRHGAGGAALPMLKLTAFMSTASHYPLELHLAQDNLSQFAADLGIPFEFNAISFDAFNPAELISSSGDEVVAVSLPVGCSARAPPLPAILQLVKQLGPKVVVAIDHGSDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAHKSIAWRSVFATTGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRGEIDEATQRRERPSVGEVARRGDGEREGERARGWSRGSANCTRQGSEGARSTAPACPPHPPPHLAVLLPLPSKGYYYYIEHTKEIPAPLFQISPSARGARDYGVVVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGADSSGVAAVSESSAAAAAEATKWGAPGEHGGGGGGGGKEEWSGGCELPPIPGALDVGLVGGEGWDAMLGNAAAAAAAGQDQSFLNWIIGAAGDLEQPGPPLIDNAGFGIPAVDPLGFSLDHPLSGVASDLSSSGAHTATAGGGKASLGFGLFSPEATSLEQQPPPSMLFHEGIDTKPSLLGEQPPGILNHYHHQPPNPAAAFFMPLPSFPEQNHQSPLLQPPPKRHHAMPDDLYLARNHLPAAAAAQGLAFSPLHVPVPFQLQPSPPPTRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYLKEALLLALADGHHGAPTSVTSPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTTASCIHVIDFDLGVGGQWASFLQELAYRRGAGGVTLPLFKLTAFVSTASHHPLELHLTQDNLSQFATDLGIPFEFNAVSLDAFNPAELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLGPKVVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDPDSACKIERFLIQPRVQDAVLGRHKAHKAIAWRNVFSAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSLSSWRC >LPERR02G21860.1 pep chromosome:Lperr_V1.4:2:19000227:19019366:-1 gene:LPERR02G21860 transcript:LPERR02G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDAREMADASPRLPWEHGDVERFDAGLLGEEAYIVEEEEVSDAELSDGSPVAPPEPSALSSPPLRKRLAPVVPSDVPEEVVRAVDAVIMGGGVERLREMVSGEDGEVSHFIVDVLMITMGGVDGLDEGAVTVPSIMSSSRAAAIAAELVPYLPCGVEPSPRTRMARALLATLSSCTRNRTMCTSCGLLAILLDAAEKLFVGMGQSSKWGGAPLVQCIQVLGGHSVSVKDLHSWLLLIKKTLGTYLATSLTLALEKAVGCKEAKGPAVTFELGGERSGLLAPAENRWPFSNGFGFATWIYVESFSDSLNTDMATAAVAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTTDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEHTSKQGLLGKVESELRLYVDGELHENCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPVYIFTEPIGPERMSRIASRGGDALPSFSNGAGLPWKSTSAHIRHIAEDSYTLDIETGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHISYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSLSLATASLSVPIFRIISLSIQHPGNKEELCRAHGPELISQVLHYLLETLSKLESGEKEILSYAELVAAIVSLCQSQRNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADLVFAESACLHDANALQMLLDGCRRCYWVIHEADSIDTFTLTGTERPLEKVNSLVDELLVVIELLIGAVSSTLVSDDVRSLIGFVVDCPQPNQVARVLLLIYRLIVHPNISRANMFAQSFISRGGVEALLVLLQREAKSGDNISDSCNVPQNSVWNVGCDSKSTSGDLDLKSSASETNCNRKTQSLEHHKPPCHEGSTEPGFASKWCLLKNQFLKNLGGVDFPNPADSVHNNTYNIDNGDGVFVGIVHVLGSLVASGHLKFNLPTAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFALQKAFQAAPRRLMTTNVYRALISAVINVPAANGSLNLHDSGHRVRHIPLLLVLLRSLPFASRAFQAHAIQDVEATIHCAEWLSMVGGSSTGEQRIRREEALPCFKRRLLGSLLEFSAQELQVQTEGITTAAAGVAAEGVIPKETKIQAEKATHLSVALAENAIVLLMLVEDHLRLRSQHYFSSCSIDSAASPASMASSAASRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADTNGQISSEVMERVTAAAASEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSVTMLRALLLDSQIGGGLGIGGASGAGMSAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDISMRNISMKNVISEVLGYQTGRMMTHDDNSCSTSRKSQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDLQNPLVVDDSALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTAPNIPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMGAAWLECLQSVDSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEYLGMAHDYEEHMLLCDGAESNESHKEDGDSPFANALRTSSSIIVADVMSVDPGHEDTEKIENVTIYSSVDDSTSSDFSSVHNLVRSTLVAPCYSSSKNSERIIIELPSLMVRPLKVVRGTFQVTSKMINFIIDEHMSDSESYMDDAASTSGQYDQQDKDRSWFISSLHQIYSRRYMLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIIHTKPPYLNDIFLATQRPEQILRKSQLMERWARWEISNFEYLMELNTLAGRNYHSKTLDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSLNDPIIPKFHYSSHYSTPGTVLYYLVRIEPFTALSIQQQGGSFGQDGHMLSCMNRTWNSVLEDMNDVKELVPEMFYLPELFTNVNSIVDLGTTQLTGKLCSVQLPPWAENPVDFIHKHRKALESDYVSAHLHEWIDLIFGHKQRGKEAVMANNVFSYTTYEGMVDIDQIINPVQQRSIQDQICHLGQTPSQLLTVPHTKRRPLADILKMQTIFRNPNEIRSYVLPNPDHCNVPASAMLVSNDSIVVVDANVPAAHVALHHWQPNTPNGTGTPFLFHHGKNAINSSGGAIMRIFKGSAGSVDEYQYPRAIAFAASAIQNSSVVAVTCEKEVITGGHVDNSVKLVSLDGARTIETAFGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIRQIGSSRKKSAPEPPPSTPTTPNSPSDSGNSSTSNPSKTLEICRRRRIEGPMHVLRGHLGEITCCSVNSDLGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLLRLSSDGIILVWNESEKRLSTFNVNGIPIATSVVTPFSGRVSCIEISVDGHFALIGTCLSSSCNRDGFSAIDDDYELDKPNCDEDLQESDETKLSVHVPSVCFIDLYKLEIFHTLKLGKGEDITAIALHKDNATLLVSTADKQLIVFTDPALSSKIADQMLQEGDGES >LPERR02G21860.2 pep chromosome:Lperr_V1.4:2:19000227:19019366:-1 gene:LPERR02G21860 transcript:LPERR02G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDAREMADASPRLPWEHGDVERFDAGLLGEEAYIVEEEEVSDAELSDGSPVAPPEPSALSSPPLRKRLAPVVPSDVPEEVVRAVDAVIMGGGVERLREMVSGEDGEVSHFIVDVLMITMGGVDGLDEGAVTVPSIMSSSRAAAIAAELVPYLPCGVEPSPRTRMARALLATLSSCTRNRTMCTSCGLLAILLDAAEKLFVGMGQSSKWGGAPLVQCIQVLGGHSVSVKDLHSWLLLIKKTLGTYLATSLTLALEKAVGCKEAKGPAVTFELGGERSGLLAPAENRWPFSNGFGFATWIYVESFSDSLNTDMATAAVAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTTDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEHTSKQGLLGKVESELRLYVDGELHENCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPVYIFTEPIGPERMSRIASRGGDALPSFSNGAGLPWKSTSAHIRHIAEDSYTLDIETGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHISYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSLSLATASLSVPIFRIISLSIQHPGNKEELCRAHGPELISQVLHYLLETLSKLESGEKEILSYAELVAAIVSLCQSQRNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADLVFAESACLHDANALQMLLDGCRRCYWVIHEADSIDTFTLTGTERPLEKVNSLVDELLVVIELLIGAVSSTLVSDDVRSLIGFVVDCPQPNQVARVLLLIYRLIVHPNISRANMFAQSFISRGGVEALLVLLQREAKSGDNISDSCNVPQNSVWNVGCDSKSTSGDLDLKSSASETNCNRKTQSLEHHKPPCHEGSTEPGFASKWCLLKNQFLKNLGGVDFPNPADSVHNNTYNIDNGDGVFVGIVHVLGSLVASGHLKFNLPTAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFALQKAFQAAPRRLMTTNVYRALISAVINVPAANGSLNLHDSGHRVRHIPLLLVLLRSLPFASRAFQAHAIQDVEATIHCAEWLSMVGGSSTGEQRIRREEALPCFKRRLLGSLLEFSAQELQVQTEGITTAAAGVAAEGVIPKETKIQAEKATHLSVALAENAIVLLMLVEDHLRLRSQHYFSSCSIDSAASPASMASSAASRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADTNGQISSEVMERVTAAAASEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSVTMLRALLLDSQIGGGLGIGGASGAGMSAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDISMRNISMKNVISEVLGYQTGRMMTHDDNSCSTSRKSQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDLQNPLVVDDSALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTAPNIPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMGAAWLECLQSVDSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEYLGMAHDYEEHMLLCDGAESNESHKEDGDSPFANALRTSSSIIVADVMSVDPGHEDTEKIENVTIYSSVDDSTSSDFSSVHNLVRSTLVAPCYSSSKNSERIIIELPSLMVRPLKVVRGTFQVTSKMINFIIDEHMSDSESYMDDAASTSGQYDQQDKDRSWFISSLHQIYSRRYMLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIIHTKPPYLNDIFLATQRPEQILRKSQLMERWARWEYPVFPWVLADYHSKTLDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSLNDPIIPKFHYSSHYSTPGTVLYYLVRIEPFTALSIQQQGGSFGQDGHMLSCMNRTWNSVLEDMNDVKELVPEMFYLPELFTNVNSIVDLGTTQLTGKLCSVQLPPWAENPVDFIHKHRKALESDYVSAHLHEWIDLIFGHKQRGKEAVMANNVFSYTTYEGMVDIDQIINPVQQRSIQDQICHLGQTPSQLLTVPHTKRRPLADILKMQTIFRNPNEIRSYVLPNPDHCNVPASAMLVSNDSIVVVDANVPAAHVALHHWQPNTPNGTGTPFLFHHGKNAINSSGGAIMRIFKGSAGSVDEYQYPRAIAFAASAIQNSSVVAVTCEKEVITGGHVDNSVKLVSLDGARTIETAFGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIRQIGSSRKKSAPEPPPSTPTTPNSPSDSGNSSTSNPSKTLEICRRRRIEGPMHVLRGHLGEITCCSVNSDLGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLLRLSSDGIILVWNESEKRLSTFNVNGIPIATSVVTPFSGRVSCIEISVDGHFALIGTCLSSSCNRDGFSAIDDDYELDKPNCDEDLQESDETKLSVHVPSVCFIDLYKLEIFHTLKLGKGEDITAIALHKDNATLLVSTADKQLIVFTDPALSSKIADQMLQEGDGES >LPERR02G21870.1 pep chromosome:Lperr_V1.4:2:19025731:19027499:-1 gene:LPERR02G21870 transcript:LPERR02G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVQDLSSDEVVYVVGIHCCKSNSASGMAVCDECKLKFLDLKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFSACLPADECRYAVFDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >LPERR02G21880.1 pep chromosome:Lperr_V1.4:2:19028125:19032763:-1 gene:LPERR02G21880 transcript:LPERR02G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPRSSARLGTLPPNNEFGIREIAPRLAYDELVERMEYLYVRVVKARGLKWTGDFDPFAELRLGGYSCTTRHVEKTVSPEWDDVFAFSRERIHAPFMDVIVRGRGLAKDDYVGSARLDLGILPDAPASSVQHDSSPAPQWYPVFDRKGEHRGEVMMAVWFGTQKDSYFDSAVHADGAFPVDDKLAAHIKHIRYDVPRLCYVRVKVVEVRDIVFADKARVGEVFVRSRILGQVHRTRTCLDHCWKDEENGHMFVAAAPFKDFLNMSVVGVKGGKEEIIGHVNVLLDSFERRSDTRPISPRWFNLMQPEGAAKIDKYSAKISVVLCLECGYKVLSEPVHYMSDVRPVARERERKCIGLVELGIREAILSSTRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSLAPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVTGDPVKDVLLGKVRIRLSTLETGRTYAYSYPLMSLHGGGVRKMGELRLAVRFSNTSTLGLLQTYAQPHLPPMHYHRPLAVVQQEMLRREAVAIIAHRLGRMDPPLRRECVEHLCESHALRWSMRRSKAHFFRLAEALEPLSAASAWFYHVCRWTNPVTTVAVHVIFTMLVCYPSLVLPTFFLYKFLLGMRNYLGRPKHPWHVDTRVSHADTAHPDELDEEFDEFPTARPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARPLVQQCLALTIFHSKKATPLAPTAQLHALLLTSGNLRYHGVHPLFMLYCAFGHPSSAHNLLAQMPHPPPVSFSNSLLRSYTGLGCHREALAVYSAMRAFDHLTFPFAAKACAGLRLGRHGRAVHCRSLAAGFGGDTYVQNALISMYMSCGNVETADAVFGSMRNRTVVSWNAVVAGFVKNGYAERALEVFGEMFADGVEIDRATIVSVLPACAQAKDMNTGRSVHRLIEVKGLGDYVAVKNALIDMYGKCRSLEDARRVFDLGKHDNDVVSWTAMIGTYVLNDRAFDAIALGCEMLISGTAWPNEVTMVYLLSACASMSSGKHAKCTHALCIRLGLGSDIAVETALINTYARCGRIKLMRTILEKGSRRAEAWNAAMSGYTISGQEKKAIELFKWMIAESIRPDSATMASILPAYAESADLKQGKNIHCFLLTLGFLRNSEILTGLIDVYAKAGDLDSAWVLFHWLAEKDVVAWTTVIAGYGMHGHARTAIVLFDRMVESGVKPNSVTFATLLYTFSHVGMIDEGLRLFKDMRKLHGLMPNCEHYACLVDMLGRAGRIEEAHHLIQDMPFEPSTSVWGALLGACVLHKNVEFGEVAAKHLFQLDPENTGNYVLLGNIYAAADRWRDVQDVRRLMVERGLIKEPGSSLVEARSEQCRAAMP >LPERR02G21890.1 pep chromosome:Lperr_V1.4:2:19037059:19057944:1 gene:LPERR02G21890 transcript:LPERR02G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRGSSATAAAAALHKPVAHHLRLLLAVSAWSGPLLPSAIAAVSTRGGPSPSPSPSAGVAASAMPSSSSSPPSAAERTTSAAAAAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKAGDDGSIVGASGARLIINTSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEENQEAISVALKQLNEFEKKHSKSDDAKLKMAHEDLQNRLDYLRKQAEGYDDRGPVIDVVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLEQKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTASSISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISITQMGKSIPKLRGIYLRGSNACQQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVVKIPEYIMVTNNGRSFKLCRAFMCFSFAFCSIVVNPANISSGLHYYEVYGIDCKAPWRGPIFRVPVTVIKPICLSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSFKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISTDQKVINLDGSEAPVRIVARSLLASERLVPVATLNKVKTPYRPVECNLCSLPTCRDKLPSGKQIIALTLTYKFKLEDGAEIKPHVPLLNKRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDDVQLLEKLKRLVLFIERKLEKKDCIQLSFYSEPDGPVVGNGTFKSSVLVPGEPEAFYVAPPSSEKLPKNVLPGSVLVGSITYGAVSSFNKKDDQNQHAPASSSISYLIPPSKVDNDKEKGVSAGRKSISERLDEEVRDTKIKFLSGFNQETEDDKSSWMGLVASLKSEYPKYTPLLAKILECVVQKATSDDKISHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALGEIESLKTDESTEASAKDAFEENYKELIKWVDVKSTKYGSLTVLRERRCGRLGTALKVLNDIIQDDSEQPKKRFYDLKIQLVEEIGWGHVSAYEKQWMHVRFPSSLPAF >LPERR02G21890.2 pep chromosome:Lperr_V1.4:2:19037059:19057944:1 gene:LPERR02G21890 transcript:LPERR02G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRGSSATAAAAALHKPVAHHLRLLLAVSAWSGPLLPSAIAAVSTRGGPSPSPSPSAGVAASAMPSSSSSPPSAAERTTSAAAAAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKAGDDGSIVGASGARLIINTSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEENQEAISVALKQLNEFEKKHSKSDDAKLKMAHEDLQNRLDYLRKQAEGYDDRGPVIDVVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLEQKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTASSISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISITQMGKSIPKLRGIYLRGSNACQQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVVKIPEYIMVTNNGRSFNIVVNPANISSGLHYYEVYGIDCKAPWRGPIFRVPVTVIKPICLSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSFKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISTDQKVINLDGSEAPVRIVARSLLASERLVPVATLNKVKTPYRPVECNLCSLPTCRDKLPSGKQIIALTLTYKFKLEDGAEIKPHVPLLNKRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDDVQLLEKLKRLVLFIERKLEKKDCIQLSFYSEPDGPVVGNGTFKSSVLVPGEPEAFYVAPPSSEKLPKNVLPGSVLVGSITYGAVSSFNKKDDQNQHAPASSSISYLIPPSKVDNDKEKGVSAGRKSISERLDEEVRDTKIKFLSGFNQETEDDKSSWMGLVASLKSEYPKYTPLLAKILECVVQKATSDDKISHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALGEIESLKTDESTEASAKDAFEENYKELIKWVDVKSTKYGSLTVLRERRCGRLGTALKVLNDIIQDDSEQPKKRFYDLKIQLVEEIGWGHVSAYEKQWMHVRFPSSLPAF >LPERR02G21900.1 pep chromosome:Lperr_V1.4:2:19055820:19061415:-1 gene:LPERR02G21900 transcript:LPERR02G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDNETDLVGVEYDDDMGDFIVYSDDDVVLGDEQKEEQKEFDELEEEEEEEEEEEEEEEEEEEEEPPPVGQVEILTLREKLKADIRRKNQAQQGAAAGRASSSSSVQPPIKDRFGSFFGPSRPSLARRVIEEGCSSIFKEKQNVPSSKNSGSLTSKAQQLTKEHKQKPKFVSEEKKKVDALRQNRDYSCLFKDDTDTLQPTEERSGNMSALPLKSDENASTHSTGKNSNVYTTLTEPSKDIGLEGAPSVQSSAGSLGKKPNTKRMIASAKNGSNLPATKKIKRLQSSADGQKVQQAMQGKKPNGLLPGKKHLGSSESQREQNNGQQSLQCGKTKPSSIKSHHSGQKVSAQSHERSRIALKQLAHSSKPKPPRPISSSAVHNDHGKARRVVKRKSNDGCDEEGVDYSSIIRGMFNYNPEKFAGRDEDDRNMEANYASIQMEERRSAKLARKEDDEQLRLIMEEERRENQERKRKKLAQKGWGQTLNAAEDFS >LPERR02G21900.2 pep chromosome:Lperr_V1.4:2:19059048:19061415:-1 gene:LPERR02G21900 transcript:LPERR02G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDNETDLVGVEYDDDMGDFIVYSDDDVVLGDEQKEEQKEFDELEEEEEEEEEEEEEEEEEEEEEPPPVGQVEILTLREKLKADIRRKNQAQQGAAAGRASSSSSVQPPIKDRFGSFFGPSRPSLARRVIEEGCSSIFKEKQNVPSSKNSGSLTSKAQQLTKEHKQKPKFVSEEKKKVDALRQNRDYSCLFKDDTDTLQPTEERSGNMSALPLKSDENASTHSTGKNSNVYTTLTEPSKDIGLEGAPSVQSSAGSLGKKPNTKRMIASAKNGSNLPATKKIKRLQSSADGQKVQQAMQGKKPNGLLPGKKHLGSSESQREQNNGQQSLQCGKTKPSSIKSHHSGQKVSAQSHERSRIALKQLAHSSKPKPPRPISSSAVHNDHGKARRVVKRKSNDGCDEEGVDYSSIIRGMFNYNPEKFAGRDEDDRNMEANYASIQMEERRSAKLARKEDDEQLRLIMEEERRENQERKRKKLAQK >LPERR02G21910.1 pep chromosome:Lperr_V1.4:2:19061513:19062088:-1 gene:LPERR02G21910 transcript:LPERR02G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYRRQRRRRQKGSSWMGGNGDGRARACGSWRRCDSGCRGSASACARPRPRAPRGYKYQALCPSRPRVAPAALVFSRKRSPSSARFDDDDSRAPPPDDDVRRIVAVRKD >LPERR02G21920.1 pep chromosome:Lperr_V1.4:2:19063914:19068040:-1 gene:LPERR02G21920 transcript:LPERR02G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTRTETYMTSTSTMVPTLSWTIEDNEIDLNPVPALSGAAHGGRCHTLPRSLVELHNQDKEYIGIYLFYPCTTYVVMGVEPLKLHGHLFVVLAWGAKLVFLDESNRKLDPRDTLANRSDPSHTISLAGKRRWPSPPQPPPGPPPPPEPTLAATVGAEVKVSHTAPPPDVPAPPPPPPAKKRKLDEIGFTNTAYYKIRAIVADLRVHFVQVNRATDFRNSDAAREILKDIKTVMDLSKKMMNDLGVTFETTKPPEKPLAGAVKDGPAEPQPSVENNHASKTEKIEETQSSKIEDHPKELDEIAQGSYVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRASQAEN >LPERR02G21930.1 pep chromosome:Lperr_V1.4:2:19069129:19069802:1 gene:LPERR02G21930 transcript:LPERR02G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDGILELLLVSAEGLKHAHHLGLYFWPNPVSKTLKSQEVVLFTGTQRHYVNIHFGDQIFTSKITQGKGKKVWWNEKFRFPLSSDECKELAKVTLKIMERDKFSEDSLVGETKVHVGEIITEGAEREFLQMKPVPYNIVLEDGTYK >LPERR02G21940.1 pep chromosome:Lperr_V1.4:2:19070422:19075390:1 gene:LPERR02G21940 transcript:LPERR02G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCGGPKAGKPTINCHRLPLDGHQFDPDAFVTKDPNANNQLNPSTQSGSRFMEKRLTTPQLVSALAGVWNLVGQSESSGTAQIPESHGILHKDDPVCFSRERKEHALVSSCAENSTGLSSENILATPKSIFEDLSLVKRTLMLTSCRSMAGASSTWRHMHVGGAYYLQHQNIYPMQARMMHANAVFGNTEFKEDQQFGRDNNHSSQTRNMPTELCTSSSEEAIAHMCESSLHSTKFILGMNPEYCSSSSCSAQQMMAGEESRIIPADQISSNTCTLIEDSACTSCPLGDAVVVNSEHVDQDVDGFMSQKHSVDNYSPHSESSIQHWFYSAVTLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSGRSSFYHTLRHTLVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGFLLPILPKDYCSIAHCAAGGCSSIATSFIFTPSECIKQQMQVGSQYHNCWDALLGCIRRGGITSLYAGWGAVLCRNVPHSVVKFYTYESLKQCMLKSAPANSNLDSGQTLFCGGFAGSTAALFTTPFDVVKTRVQLQALCPVSKYEGVLPALKEIFQREGFQGLYRGLAPRLAMYISQGAIFFTSYEFLKTIMFSEQEVPTRSF >LPERR02G21940.2 pep chromosome:Lperr_V1.4:2:19071051:19075390:1 gene:LPERR02G21940 transcript:LPERR02G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCGGPKAGKPTINCHRLPLDGHQFDPDAFVTKDPNANNQLNPSTQSGSRFMEKRLTTPQLVSALAGVWNLVGQSESSGTAQIPESHGILHKDDPVCFSRERKEHALVSSCAENSTGLSSENILATPKSIFEDLSLVKRTLMLTSCRSMAGASSTWRHMHVGGAYYLQHQNIYPMQARMMHANAVFGNTEFKEDQQFGRDNNHSSQTRNMPTELCTSSSEEAIAHMCESSLHSTKFILGMNPEYCSSSSCSAQQMMAGEESRIIPADQISSNTCTLIEDSACTSCPLGDAVVVNSEHVDQDVDGFMSQKHSVDNYSPHSESSIQHWFYSAVTLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSGRSSFYHTLRHTLVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGFLLPILPKDYCSIAHCAAGGCSSIATSFIFTPSECIKQQMQVGSQYHNCWDALLGCIRRGGITSLYAGWGAVLCRNVPHSVVKFYTYESLKQCMLKSAPANSNLDSGQTLFCGGFAGSTAALFTTPFDVVKTRVQLQALCPVSKYEGVLPALKEIFQREGFQGLYRGLAPRLAMYISQGAIFFTSYEFLKTIMFSEQEVPTRSF >LPERR02G21950.1 pep chromosome:Lperr_V1.4:2:19078009:19080390:1 gene:LPERR02G21950 transcript:LPERR02G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGALLCLRFAVVVALVPSLLSTVAVAHNDTAVHKNYLIIVRTPYAYDQNVYTTVSSWHASLLASVCDMAKEELEHDPGAATRLIYSYRNVVNGFCARVTREEVFEMAKKDWFVKAIPEKTYKLMTTYTPRLIGLTGPAGTRGGVWNRTRNMGEGMIIGVLDDGIAAGHPSFDDAGMAPPPHKWKGRCDFNSTVCNNKLIGARSFYESARWKWRGIDDPVLPVNESAHGTHTSSTAAGNFVPGANAMGNGIGTASGMAPRAHLALYQVCFQDKGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGEFAADPVALGGYTAMMRGVFVSTSAGNNGPGPSTVSNEAPWLLTVAASTTDRKFVVTMKLGNGVELDGEALYQPPNFPNTQWPLIEDTRGDGTCSDERLMKKVHVAGKLVVCHQGGNITGLEKGSYLHKSGAAGMVLVGPEFMGSVLQPKPHILPVAQIAYKVGKKLKSYMKSTKTPTAALIYKGTEFGNRTSMTPAVAPFSSRGPSKQNQGIIKPDIAGPGVNIIAGVPGPQGLSTPPNPLAAKFDIMSGTSMSAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTMDRRRKPITDQDGNKADLFAMGAGQINPAKAMNPGLVYNLSASDYVPFLCALGYSDHEVNSIIHPAPPVKCKKLPFIEQKDLNYPSITVFLDRKPYTVNVSRAVTNVGRGKAVYKAEVEMPKTVSVTVTPDTLRFKKVNQVKKFTLTISGAKGRMKDGAVAEGHLKWVSPDNVVRSPIVVSAKKFIKDNSTTAHHARH >LPERR02G21960.1 pep chromosome:Lperr_V1.4:2:19084307:19090339:1 gene:LPERR02G21960 transcript:LPERR02G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGRGGGVHRRWDTSGSGSQYSFRTSVSSVAEISSEVEEASPLAPAVDDKVFVAVPADVKYGKSTLQWALQNLTKDGGKVVITHVHCPAQMIPMMGAKVHYTKMNPKQVDDYRNKEREKVEEKLDEYLLMCRKLKVSCEKLIIEKEDIAKGLEDLIALNGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSCKMWFACKGHLICTREANTTVPAIPPSPAYTIASTLSTSSISSRIRSMNPSESEASSSSGSPIHDLNRSRTEVARYPSQGSGTAPPQLSEHSDQNVNGRPTRTAIDSIDSWDEFGSSQTSWYHSSRNSDTVRLSGSAMQQPMYEPDDDHFAPPHELENSGGDADIYRRLQEALREAQDLKKETYEESTKRRNAERNLFSALQKVKELENLYQKEIMQRKITEDTLEKQIQETEETKRECSMIYNNLHDVEEQKLVMEHHITEMQTVLKEHEEKLAESKHLFQVLQADKDKLQQELDAAVSEAQNLRQKNKQRISMPGEELNTEFSSFELEQATRSFAEELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQSEFDQEIAVLSRVRHPNLVTLIGSCRESFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTKIIYEMCSALTFLHSNKPHPIVHGDLKPANILLDANLVSKLGDFGICRLLIQSNTNTAFATTRLYRTTTPKGTFAYMDPEFLTSGEITPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGELHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLTGDVWKVVEPLMKAASLTAVRPSFVVRPDDDAPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLRLEHRELIPNRALRSAILEWQQQRQQHRQQEDDCT >LPERR02G21970.1 pep chromosome:Lperr_V1.4:2:19088894:19095990:-1 gene:LPERR02G21970 transcript:LPERR02G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAASPFAAGRRRRRRRGATGEGPPCCCRIRRLPYPPTPAPIQAVARVSGRHRRVRGATNTSRAQITMDNWVRLVGVDEGQGRAYFPYDPGKTLGWAGVGVRAVHTLCSVGLQLLPSQAWGVDMNYYNLGPISGQVLQRPKEGTSAVLNIVLDGHEHLFLVGRRSLLLQLVTGVQQRARRRADAAASAPHGLHLSAVAARCCFLTLRNDKHEAMQLQSNHPAKPKSTDGGGASSSGRTTNDGLTAVSEAAFIRGSTTFHTSPVRSGRRLRLISAQRRPRCASWLACTNGQLPADGSRIECSSPLSIASSTTSAIFCGGLPVSSRRIMIPKEYTSERGVISPEVRNSGSMYAKVPFGVVVRYSLVVAKAVRRQMPKSPSLLTRLASRRMFAGFRSPWTIGCGLFEWRNVSAEHIS >LPERR02G21970.2 pep chromosome:Lperr_V1.4:2:19088894:19095990:-1 gene:LPERR02G21970 transcript:LPERR02G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAASPFAAGRRRRRRRGATGEGPPCCCRIRRLPYPPTPAPIQAVARVSGRHRRVRGATNTSRAQITMDNWVRLVGVDEGQGRAYFPYDPGGRYIARRLSFGSLGVDMNYYNLGPISGQVLQRPKEGTSAVLNIVLDGHEHLFLVGRRSLLLQLVTGVQQRARRRADAAASAPHGLHLSAVAARCCFLTLRNDKHEAMQLQSNHPAKPKSTDGGGASSSGRTTNDGLTAVSEAAFIRGSTTFHTSPVRSGRRLRLISAQRRPRCASWLACTNGQLPADGSRIECSSPLSIASSTTSAIFCGGLPVSSRRIMIPKEYTSERGVISPEVRNSGSMYAKVPFGVVVRYSLVVAKAVRRQMPKSPSLLTRLASRRMFAGFRSPWTIGCGLFEWRNVSAEHIS >LPERR02G21970.3 pep chromosome:Lperr_V1.4:2:19088894:19095990:-1 gene:LPERR02G21970 transcript:LPERR02G21970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAASPFAAGRRRRRRRGATGEGPPCCCRIRRLPYPPTPAPIQAVARVSGRHRRVRGATNTSRAQVGQVWVLGRSILCVVLVFSCSHHKLGDAMKSNKLLEGVDMNYYNLGPISGQVLQRPKEGTSAVLNIVLDGHEHLFLVGRRSLLLQLVTGVQQRARRRADAAASAPHGLHLSAVAARCCFLTLRNDKHEAMQLQSNHPAKPKSTDGGGASSSGRTTNDGLTAVSEAAFIRGSTTFHTSPVRSGRRLRLISAQRRPRCASWLACTNGQLPADGSRIECSSPLSIASSTTSAIFCGGLPVSSRRIMIPKEYTSERGVISPEVRNSGSMYAKVPFGVVVRYSLVVAKAVRRQMPKSPSLLTRLASRRMFAGFRSPWTIGCGLFEWRNVSAEHIS >LPERR02G21980.1 pep chromosome:Lperr_V1.4:2:19090478:19095557:1 gene:LPERR02G21980 transcript:LPERR02G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMWSARCSVSSPTSSLLDAGDELEEKRTTADEEKVFVAVKDDVEHGRSTFLWALQNLATDGSKIVVVHVHTPAQAINKIHCTSMKPEEISDYLRLVREKAARNLDEYALIAKSTGKDLEIDCDKIIIDMDDVAKGLEELITLHGITKLVMGAAEDQHYTEEMRKPNSKIAIKLMETASPSCKIWFTCNGHLICTREPNDNLLAIYLPPAQSNTTPLSVCSISSQMSSTELNEAPRSLFESALSDWELFFGGWGRIGYGPFRTDEAISVPAATTQPMIIDGANKQRSVMHSPHSDSVNFLLPDRYPVRPLMLNHLEKRLLDAKYFLGTDGIQEEEKPNLDEEMYDQHKEAWTRVELLKKEADNESNRRRKAEMDLLTALQRVKESEKLYLHEVNQRKEIEKTLARQRLEIDEMKRRHRTLYDELHDTKKQKLVLEQRITQIKSAAKDYVQEITEYFIQESCEESKKRQKIKMDLVAVLQRVKDVENLNQSEKMQRKDMEEKIVRQRMDIEETKRQRDELYHELKDVKEQKLSLERANASEETNRRIKAETEMVSALLRAENLEHRYLHELKRREAVEETLARQKKEIQETKRELDDIHGRHMADIKSTFKVYEEKLAESRYFIQELQANYDKLLHERDTALAESEELRQKNKHRARMTAATQTCSFSLFELQQATQDYDTALKIGTGRFGSVYKGFLRNTTVAVKLLHPQSLKGQSEFHREVVFLSRMRHPNVMTLLGACPEGFGLVYEFLPNGSLEDQLTRKKDMPPLTWQMRTRIIGEVCSALTFIHSHKPHPVVHGNLNPTNILLDINFISKLHISQLPKKHSTRNNTSGTSSYLDPEYLSTGELTPCSDVYSFGIIILHLLTGKSPENITTIVENAMEKRHLHSIMDASAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIEPLLKATSQNYGCRQTFAMPDDTPSYFICPILQEVMTDPHIAADGYTYEADAIREWLNGGNARSPMTNLRLEHHELTPNRVLRSAILEWQQQKQHRR >LPERR02G21990.1 pep chromosome:Lperr_V1.4:2:19096270:19097542:-1 gene:LPERR02G21990 transcript:LPERR02G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTERDDQSDPVQPIDRAEIQTAIRSILNLEIWNSQSDRGSESGVSVGASCKARDILGQTIFISPGNAFHVVPTGWALYLNLFMGQSRPWASASQRQKAHEELDLAKLPASPGSFQDFFRIIAPLEKSLKDVLLITLAALGWSLWLVLFRTMALLQKWAMLQKDEVKTRLQTFCAEWMAMTRSLNQIGCLPVEI >LPERR02G22000.1 pep chromosome:Lperr_V1.4:2:19100508:19107314:1 gene:LPERR02G22000 transcript:LPERR02G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAADDKDYQEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >LPERR02G22000.2 pep chromosome:Lperr_V1.4:2:19100212:19107314:1 gene:LPERR02G22000 transcript:LPERR02G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAADDKDYQEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >LPERR02G22010.1 pep chromosome:Lperr_V1.4:2:19105807:19112471:-1 gene:LPERR02G22010 transcript:LPERR02G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKSTKDNLIDTFHRLLSTNEQKRSTKSQGNRKRGKDPNAEKGCWSTAHSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDVTESKASLEKRGKGQQLPLPTTNWVKPRPETTEPVADLANGSVSSSGSIDSDDPGDLRPEGPAANDAENVAKISMSNSSVGHKEHSSAIIGKSTKEVTKPTNAFPNNQILSTCPRGTVVAESYQSNLQSSRQVVLDSAPNSVMSSPSRSPRIVCPDQIPSSAFWAVKPHTDVTFVGSTQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSAGPSSRVHSGSVSPLHPRAGGIAPESPTGRFDEGKKKKQTHRLPLPPLSICNNSTFMPNNSTPTSPISRSPGRVENPPSPGSRWKKGKLIGRGTFGHVYIGFNSDRGEMCAMKEVTLFSDDPKSKESAKQLGQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGHQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGKDFIRQCMQRDPSSRPTAVDLLQHSFVRNASPLEKSLSSDTLEQLTTISCKGNSKLTGQIDVSIKALIDRWTIDRSIFGIWCLGMPGICLLWVWKGNPFIREERPNFLQHTVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHMRHSAYKNEGFTITSRGLHEQLLNPPLDAVLGRFVRVKQLSPGFQERPVSEADILSPQFGRMGHGNMWDLHDKPLPSEHASQQGFDDHIKLKPSLDLRSGPPRHIGCNRGH >LPERR02G22020.1 pep chromosome:Lperr_V1.4:2:19115516:19117138:-1 gene:LPERR02G22020 transcript:LPERR02G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGDTWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDAAAAAASGGKEAHAVVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELSGGNGNGKYDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPSVERKIVHELCAVLAASRGGAHDPALWLSAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSSDGTRFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRRGADARAATAPCA >LPERR02G22030.1 pep chromosome:Lperr_V1.4:2:19126428:19127027:1 gene:LPERR02G22030 transcript:LPERR02G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSTARRSRPTTTKCIAAALLAMVVVVAIIVILWLTVRPSKPLRMSVDHATVTGFNFTSGGALNGTFDVTLRAYNRNKRAAVSYGPIEVGVWYDGEFIAGAVVLPGGFDQPPRNETRIDVAAPAVAGALPRGLEAAMKKDRTDGKLPVEVHVRAKAWFRYGMVKTRRYTVRASCSPTVIVFSSPSSFDRVNCHVHI >LPERR02G22040.1 pep chromosome:Lperr_V1.4:2:19128936:19130540:1 gene:LPERR02G22040 transcript:LPERR02G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRALAARHPTHSPHQIEARNYQVSPPPPRLPHLPRKPGLTLASRPRILPASPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLVTLVLTAAPAVTHGLPFPVLARAAFGTRGAHLPAVIRALVGCGWFGIESWIGGRAVFLLLPSRLKAYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLGWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIELLGRIGGPMTTVLAIVGISLATITTNIAANVVAPANALVNMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDTRGPYYFQGGFNVAAMAAMATGVAPIVPGFLHKVGVLPSVSKAFETAYNNAWFVSFFAAGAVYCLLCRWSRNELKYQ >LPERR02G22050.1 pep chromosome:Lperr_V1.4:2:19130983:19134071:-1 gene:LPERR02G22050 transcript:LPERR02G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKQMELLLRLILAANVIYIIVRLAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSDNGELINAGYDLNAGGMSEYLQDVIYITLFVQLTSIISDKFWWTYIVIPAYGGYKISGLLRGTFFGGSSEGEEEDEKTRKKREKMEKKASRGKMIKTRTR >LPERR02G22060.1 pep chromosome:Lperr_V1.4:2:19134430:19134903:1 gene:LPERR02G22060 transcript:LPERR02G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNLWALYVGVASLAIGMLGVLGVWLCYLFQAVARGPPPDPPPPPCTPETEEDDKNGLTKEELRNLGGILELEEVGDGVEEEEEEETLLCPICLDGMEAGRAVRFLPGCNRSFHQDCVDRWLAISPRCPVCNVWVTTPQSTGTSPPPAAKPALDS >LPERR02G22070.1 pep chromosome:Lperr_V1.4:2:19135916:19136764:-1 gene:LPERR02G22070 transcript:LPERR02G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAFILRARVLKLYRQALRMTRRAPVHARDELMQTVRAEIEKNRHCDDKQKIRFLISEGLQRLKELDEMLDMTGNILLDAIHCNLQVTP >LPERR02G22080.1 pep chromosome:Lperr_V1.4:2:19146252:19146787:-1 gene:LPERR02G22080 transcript:LPERR02G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHHQQSKAAATALEQLHNGGRVLSREEVGGAVRVKIVVSKRELKHMVAALGSGAGAGGAVSDEG >LPERR02G22090.1 pep chromosome:Lperr_V1.4:2:19154057:19154461:-1 gene:LPERR02G22090 transcript:LPERR02G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAAMPVPRIDGRKLARCPRLQMDANTVTAIAQSTGAAIADTKAAAAGDVAGAGAGGMRVKIVLSKQQLKQVAAAVAGGDAFALPPALEQLVSVLKRQHAKKQAAAASDVAVGRRRCRWSPALQSIPEECFS >LPERR02G22100.1 pep chromosome:Lperr_V1.4:2:19158784:19160252:-1 gene:LPERR02G22100 transcript:LPERR02G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRNWGSGASGLIPLVLLLGKAGILLVSFCIWCWKFASQVSSLSLLCWIGERLKREVWGR >LPERR02G22110.1 pep chromosome:Lperr_V1.4:2:19160391:19164803:1 gene:LPERR02G22110 transcript:LPERR02G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAGLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQNAITGLGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACDRSKVYFYAYYVVKVVAGIFCEGSMHCLLLAYVADQVGARRRAAVFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVAAATAIYLRAFVPDSGAKSFVDEACEPFLQGSSCSGAGSSSSSSDEDLSPRLPPHKGGVPSLTDMAALLTSSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLARFLHEDILLIIGLLGGCTHVPYLSAVFVILSAFVHPSIRSNVSKSVGPNEQGIAQGCISGISSFASILAPLIFTPLTACFLSETAPFNFKGFSIMCAGFCTLIAFIISMRMHSGHSSASEKFAVVQHEQA >LPERR02G22120.1 pep chromosome:Lperr_V1.4:2:19164045:19169176:-1 gene:LPERR02G22120 transcript:LPERR02G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRGRPAYYVLSPAASHPDVVVVGASGGVGVGASGGVAAAEKMSFAGSTPAESPLHYHYHHHHSGAAVHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGSGSVGDEDDDEAGTAGVVSQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVKLRFRNRGTFFSLHVTSTPFHLFYDDLTVASGHMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREARLGKPVHGVAADCEYHDGR >LPERR02G22130.1 pep chromosome:Lperr_V1.4:2:19186240:19188498:1 gene:LPERR02G22130 transcript:LPERR02G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPASPPNPKRPKMSSSSDPDHDPEPTSAGDGGQPRAPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPVFTDRLNAQLAPQIRAYGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCVECSERGRKVPGVMGREGKVPDPVPLEEKSVEASQEETAVALNGDANRDPASTGDDTKSQIAEMGTNGAEKCDVDAVPASMGETDCSKMNSDSNENSDSVDVDPSFVDEKKASYEAVVTEDEKEQDMDVEGSIGEEMPLRSSFSYTDEVKERFTRILQKYVGTHNFHNFTTRTKAEDPAAKRFIISFKADRVVCLDGIDFVRCEVVGQSFMLHQIRKMVGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKVKYIFSHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASAGAAVESIDEGIAPSENISE >LPERR02G22140.1 pep chromosome:Lperr_V1.4:2:19189718:19190535:-1 gene:LPERR02G22140 transcript:LPERR02G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIHETDDAMAAETELLLKRSRAITVHGHDKLGRAVVRIVGKYFPARALSGRAEEALRGYVRRCVLPEIGESEFVVVYVHSLVDRGDNFPGVAAIRSAYESLPADAKERLRAVYFVHPGIQTRLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARRHDEELERRPLMDYGIEANDRRCMFDAASMDTSSSLHSLRCI >LPERR02G22150.1 pep chromosome:Lperr_V1.4:2:19205531:19206923:-1 gene:LPERR02G22150 transcript:LPERR02G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTASYVLVALCFIGIGAKHAAEATQLVPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFSGSTPTGRFSNGYNLADQLAQLLGFPMSPPAYLSLTVKSLISQMYQGLNFASGGSGLADKTGRLGAGDVIPMSLQVEYFSKVVKLMQNLSGSRRTASLLSKSIFIISTGSNDMFEYSLSGGNGDDGEFLLGFVALYKSYVRALYRLGARKFSVVSITPLGCTPSQRALRLSQGGMRGCHGLINILALRSYPMLAASLRDLAGELPGMAYSLSDSFAMVSFIFANPRTNDWSFTELESGCCGSGPFGALGCDETAPLCENRDDHLFWDGNHPTQAASAIAAQTLFAGNRTFVNPVNVRELALL >LPERR02G22160.1 pep chromosome:Lperr_V1.4:2:19207646:19212240:-1 gene:LPERR02G22160 transcript:LPERR02G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMLPVIALVVAVAVCATASAAVGVKVPAMYVFGDSTADVGNNNYLPGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLALNMGFRRSPPPFLAVANKTSNPLFRGLQGANFASAGSGILDSTGQSIIPMSKQVEQFATVQRSISAHISKQAADNVLSRSLFLISTGGNDIFAFFSQNSTPSAVEKQQFVTNLVSLYTNHVKDLYVVGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGLNRGVKDAMHGLSVSMSGLKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGKSGCTPNATLCDNRHDYLFWDLLHPTHATSKLAAAAIYNGSLHFAAPINFKQLVDDHY >LPERR02G22170.1 pep chromosome:Lperr_V1.4:2:19214782:19215777:-1 gene:LPERR02G22170 transcript:LPERR02G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQVHQGGEAAEQVEVKDRGLFDSLLGKKKEDQPEEKKHEEELVTGMEKVSVEEPKKEEHHQAEGEKKESLLSKLHRSSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKNQGGEHATPPVATGFPAPAPPASVTAAAPAHTPAPVVAHGGHHDTGAVPVEKIGDDHAIKTEAAPLAPHAPEEEKKGLLDKIKEKLPGGHKKPEDATATPPAAPAPAPAPTPAPAPAHPPPVTEEVSSPDGKEKKGILGKIMEKLPGYHKSSGEEDKTAAAATTTAGEHKSSA >LPERR02G22180.1 pep chromosome:Lperr_V1.4:2:19222216:19226692:1 gene:LPERR02G22180 transcript:LPERR02G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGIRFSDRTRRSALGLRLMVLVMHVVFVGAVFLLDSTPDRRIHEEPWYIAVYGGLVFIAIVQYFYTAGSSPGCLIVCFGFMCYQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKKNHCRFWWYIFEETILCIWTAALYIDSLRLDVKKAWWKDFIGVILLAALIFILIFLLLLLIFHTYIALTNQTTYEVARRKRIFYLRGIPDKVHPFSKGICRNIYSFCFSREKGYDLEAVPPLEELEARAAPYTCRDIICCRCC >LPERR02G22190.1 pep chromosome:Lperr_V1.4:2:19230697:19234849:1 gene:LPERR02G22190 transcript:LPERR02G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKAAAGMATAKGEAYRVRSASLPCRFHPLVVQLDEDVAAMRATVGRLASSAASAGSVAAAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRGALPPPPPPAGLPADGAALAAAIADATAAVASASAAVFSGISSLSIAAATARVEVAATPCWMPSPARFSTPSGTPRHHIVTTKSSSLRVWWVADLMCWMSRAKRRSAGKQNTDADASSSSSSSSSASGAHPQSDFAVDPEERERKAAFDRLDNLGRCIADVESIGEKVFRALCPFYEKAPRAKGSVEVPVSPDVAVGRSAACPHRLRSRSASTRFRAGRAPRRPCGFAKNLATAWKSSPEVPVPLILGGRKLPGGTG >LPERR02G22200.1 pep chromosome:Lperr_V1.4:2:19236851:19240261:-1 gene:LPERR02G22200 transcript:LPERR02G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRSTKLGSMSIASPRGLGIKPRLGPIRPEATCPRRPAAGRRRSTPLERREPNNDGRRRKTAEGAHLSTLAVSAHGIATFLLARPPDGIPFDDVDKFTATRTITSRNCLGRPAVSSRCLAAARFGTEKLTGCVMQREKPRGYWKMVDEEGSQMPNRITAANARKRAEANSDDHRATAAAHVGDEVPEGKGRTDTGGGKGDIGEELPSTLLPSPPTEAGPPMMTSDEDAKKGYADFEEKVKRTIYIDHLSPQVTSSVIEAAFSQCANVVNMDFIVNCTIPYDIPSAALVELDDEIQAKAAFDMMNSFPFIIGGTPRPVRAIYATPDMFRDRPPCPGINKEFRWVKQEDGIEYEGMRKLRLLARRHEEENMALIKNLLEEEKELAWQQQELLDGMYKKYSILESGVKNDIDNLTRRYGVNLVRD >LPERR02G22200.2 pep chromosome:Lperr_V1.4:2:19236851:19240261:-1 gene:LPERR02G22200 transcript:LPERR02G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRSTKLGSMSIASPRGLGIKPRLGPIRPEATCPRRPAAGRRRSTPLERREPNNDGRRRKTAEGAHLSTLAVSAHGIATFLLARPPDGIPFDDVDKFTATRTITSRNCLGRPACVMQREKPRGYWKMVDEEGSQMPNRITAANARKRAEANSDDHRATAAAHVGDEVPEGKGRTDTGGGKGDIGEELPSTLLPSPPTEAGPPMMTSDEDAKKGYADFEEKVKRTIYIDHLSPQVTSSVIEAAFSQCANVVNMDFIVNCTIPYDIPSAALVELDDEIQAKAAFDMMNSFPFIIGGTPRPVRAIYATPDMFRDRPPCPGINKEFRWVKQEDGIEYEGMRKLRLLARRHEEENMALIKNLLEEEKELAWQQQELLDGMYKKYSILESGVKNDIDNLTRRYGVNLVRD >LPERR02G22200.3 pep chromosome:Lperr_V1.4:2:19236851:19239702:-1 gene:LPERR02G22200 transcript:LPERR02G22200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKPRGYWKMVDEEGSQMPNRITAANARKRAEANSDDHRATAAAHVGDEVPEGKGRTDTGGGKGDIGEELPSTLLPSPPTEAGPPMMTSDEDAKKGYADFEEKVKRTIYIDHLSPQVTSSVIEAAFSQCANVVNMDFIVNCTIPYDIPSAALVELDDEIQAKAAFDMMNSFPFIIGGTPRPVRAIYATPDMFRDRPPCPGINKEFRWVKQEDGIEYEGMRKLRLLARRHEEENMALIKNLLEEEKELAWQQQELLDGMYKKYSILESGVKNDIDNLTRRYGVNLVRD >LPERR02G22210.1 pep chromosome:Lperr_V1.4:2:19252693:19256894:1 gene:LPERR02G22210 transcript:LPERR02G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRYALAEAHAALADSLASVSSSLHLVLAPAEAVALPSARKDVDAAAAGADNAASPAHSSSHINFAPSSGSESGSVSSSPTRRRREHHHHHHHPTAMPFPHYGYGFGYATEAPPFGYPPAGSLQLYYARSRPPPASVAVEQRAPASERVYFGSFEPAQYETRRAAAAVPPPSPPRASSWDFFNVFDNYEVYDNYCYDAAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGMSSCIAEVDEEESPVVDKGVVGGGGMARQQTPAQGNVAASVPAPRRAGNGADVAGEIKAQFVRAADAVRELAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGVDLLDVGGGEKVVGGRSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNTLIQGFVKMWQDKLNCYQIQCQAISEAKNLDSIISGKTSRDLAMELELELIKWIVNFSSWVNEQRSFVKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLWEQQNVEQSEQLVAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVCLRRVLEAFESYSSNSLRALEETLRHAGRGKVI >LPERR02G22220.1 pep chromosome:Lperr_V1.4:2:19257052:19261843:-1 gene:LPERR02G22220 transcript:LPERR02G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNTALLLPLMAEYAAPTWAILFSLFFMLLSVSMSTYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEDRTVAFLRREGGEDSGEPLLHGSSEKGIIHHHFPVNYVLKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAILNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLESVSTELGVKIKHSRFYHLHRAQMGIASVVHLYVFPAKPYALLGSHHHSPENISVLGDYASFDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKRGKFGQSRDDNWVSASSPQRAIHGIDDPLLCGSTSDSGTGIGRGKRHRKDVSSGGIVDSWEGSDQTSDGYN >LPERR02G22220.2 pep chromosome:Lperr_V1.4:2:19257526:19261843:-1 gene:LPERR02G22220 transcript:LPERR02G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNTALLLPLMAEYAAPTWAILFSLFFMLLSVSMSTYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEDRTVAFLRREGGEDSGEPLLHGSSEKGIIHHHFPVNYVLKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAILNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLESVSTELGVKIKHSRFYHLHRAQMGIASVVHLYVFPAKPYALLGSHHHSPENISVLGDYASFDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKRGKFGQSRDDNWVSASSPQRAIHGIDDPLLCGSTSDSGTGIGRGKRHRKDVSSGGIVDSWEGSDQTSDGYVIRGRRWEIKKL >LPERR02G22230.1 pep chromosome:Lperr_V1.4:2:19270894:19273482:-1 gene:LPERR02G22230 transcript:LPERR02G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEDGEAEAVKVMPLRAHPQAAMARPVMVAIAQPNARVAMSPGRPPTGKLPSPATTTSTGSGRPMSSTGGRTNAGSDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGIAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQSLSWGLRLRIAIGAARGLAFLHSAEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDTARPSGQHNLVDWAKPFLADRGRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAAEHTNRPSMREVVAVLEEIESMSRGGGGGAGGPGSASPRPTARGGAHGYGGQSTRPGSDWAGPGAGYPSPRPRVR >LPERR02G22240.1 pep chromosome:Lperr_V1.4:2:19275064:19277050:1 gene:LPERR02G22240 transcript:LPERR02G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAGASTKGEGRLKAAGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAAHPENKSVAAVSKAAGEKWRAMSEEEKAPYVDQAGQKKQDYEKTKANFDKKESTSSKKGKTQDDEGEGSDKSKSEVDDEQDGSDEENEDEE >LPERR02G22250.1 pep chromosome:Lperr_V1.4:2:19281562:19283980:-1 gene:LPERR02G22250 transcript:LPERR02G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKTTRGANRSSPSSWKSSYIGYGLVVGFVLMLLFLMVSSQFSNSTNVYFGRPATISKTDSVPSARHQEDHAGQEGGSREMEGHYREKEKVARTHTEQSTGQHQEKKVESEQQRAEKNPIEEQLGNERNSDKWEERHQPEKKDRIEFSEFGGGTDDFNNVANTKPICDTSFGKYDICVLDGDARAQGGAVPTLTLVSPRAPRREWKIKPYSRKYLDGLKAVTVKSVPNPDVVDAPQCTTRLNVPAMVIELGGLTGNYWHDFTDVLIPLFIGARRFGGEVQLLVVNLLPFWVDKYKRIFSQISRYEIVDLEKDHGVVRCYPHLVVGYGSRKEFTIDPSIDDTGVGYTMVNFTEFLRHSYSLPRDRPIKRTNHQRPRMMILERTNSRKFMNLPEVVAAAEAVGFEVTVAGRPRASYDEFVREVNSFDVMLGVHGAGLTNCVFLPTGAVLVQIVPYGRLENIAKTDFGDPARDMGLRYIEYGIAADESSLLEALGKDHPIIKDPIAVHMSDWGKVAEWYLGKQDVRVNIERFRPVLMQALEHLH >LPERR02G22250.2 pep chromosome:Lperr_V1.4:2:19281562:19283980:-1 gene:LPERR02G22250 transcript:LPERR02G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKTTRGANRSSPSSWKSSYIGYGLVVGFVLMLLFLMVSSQFSNSTNEGGSREMEGHYREKEKVARTHTEQSTGQHQEKKVESEQQRAEKNPIEEQLGNERNSDKWEERHQPEKKDRIEFSEFGGGTDDFNNVANTKPICDTSFGKYDICVLDGDARAQGGAVPTLTLVSPRAPRREWKIKPYSRKYLDGLKAVTVKSVPNPDVVDAPQCTTRLNVPAMVIELGGLTGNYWHDFTDVLIPLFIGARRFGGEVQLLVVNLLPFWVDKYKRIFSQISRYEIVDLEKDHGVVRCYPHLVVGYGSRKEFTIDPSIDDTGVGYTMVNFTEFLRHSYSLPRDRPIKRTNHQRPRMMILERTNSRKFMNLPEVVAAAEAVGFEVTVAGRPRASYDEFVREVNSFDVMLGVHGAGLTNCVFLPTGAVLVQIVPYGRLENIAKTDFGDPARDMGLRYIEYGIAADESSLLEALGKDHPIIKDPIAVHMSDWGKVAEWYLGKQDVRVNIERFRPVLMQALEHLH >LPERR02G22260.1 pep chromosome:Lperr_V1.4:2:19289415:19290935:-1 gene:LPERR02G22260 transcript:LPERR02G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSIIGAEIREDPEKLSAGRMEDLQQGRVGAEHSERETKHNKQDIAEHPRPGHLSTEHLEVERGRQHEPEQNADAAPDERQEVGEIGHIHGCEPDDYDEQPPEPPAVALAVEQPFGDLVDGMHHHRHGEEEVNAQPELYGGREPPGPEVGGDDVTRGVTERDVTHGAEEPVHDGDERHGQPERDAEFLLVGGLRLKRKDHADSLESVHGHAEAVQDRAHAAEGEDGWCLGEPGGHVLPENDAHGSEVDNIGEDAERREQRQRLDGVEPELERQHGPDEERLRGEKRVGGGREDWVEVRHGVRDEDEVTHTEAGLAEHQHGVDGEATRTAKHGVPQVSERGDLRVLVREAAAAGDEQHHAVEGERSDGEQQDGPRRPPGSRERVRQPKHAGADHRDEYVREGLRLRRQLTGSIATVLREQRRRIQPRGGRTRLVPQSHGKSTPPTNAPSNQNFRTTTTPDDGTPTQSTGFRSLSSVRDSQLPKGIRRSSGGRMRALVLTVAAKWRI >LPERR02G22270.1 pep chromosome:Lperr_V1.4:2:19289622:19290887:1 gene:LPERR02G22270 transcript:LPERR02G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLDPAPLLPQHGGDGSGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGGPGRLTVDTMLVLSQASFCVGYLIFISNTMAHLYPIFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLAKAPPVFAFGGVSAVLYGLGVSVYAFEGIGMVLPLEAEAANKKKFGITLGLSMAFIAVMYGLFGAMGYIAFGDATRDIITTNLGSGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVIVVGLAAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMAWPGVLSDVLLVVLGLALAVFGTYTSLLQIFHSSSA >LPERR02G22280.1 pep chromosome:Lperr_V1.4:2:19294422:19295549:1 gene:LPERR02G22280 transcript:LPERR02G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRDAGGTAADVVEDLWTQLPPELLPLVCKKLPDSADFVRFRTVCRAWRDAVPLSDSPPQLPWAIERRASAFQARAHFRFYSPSSGRTYSVRGYGGRSSLVVGGACQEHLVTTLDLSRTALYNPLTGDRLALNPVPFPMWRHGVVHVVAEGRGEERSFLVVNTSNKTRHFGYCRSGDTKWNMVDGREDMVNHAYHRGRFYVSTESLETLVIDASTGAVESVLPAPPPTSSPGVIAAVCGKDYLVESGGKLIRAIVLPRDGMAAASPEDYFIDMYQLEEAHNGKSAAWAKVQSIGDRVLFVDKHGHGFSLEPNDAAELRRDCVYFMHERRTWLDAGEYRFLCRYSMEDGVVDRVVSLPDTFGDTWVVPGLCPSE >LPERR02G22290.1 pep chromosome:Lperr_V1.4:2:19299931:19302348:1 gene:LPERR02G22290 transcript:LPERR02G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMGWADLPSELLAEIAGGLVELGDIARFRLFFCPPEDRLYADLRMPALAAEAHHHRRRRRLYASPHGWTLAIDPTDLAASLFHPFTGAVRALPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFWASDPPAESWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDAVTLAIAAVIPAPAVELPAEARIAVAVDELFLLVKSKWMYLFGDDIDFSKAFRVDHRSVDPVWQELTDIAGRALFVDSLHGFAMETAGFENLEENTIYSVTTKELDDRRSTMVKYSVSAFSLENRSSKKLACRLNRLAMALRGESPLHRPQPS >LPERR02G22290.2 pep chromosome:Lperr_V1.4:2:19299931:19301038:1 gene:LPERR02G22290 transcript:LPERR02G22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMGWADLPSELLAEIAGGLVELGDIARFRLFFCPPEDRLYADLRMPALAAEAHHHRRRRRLYASPHGWTLAIDPTDLAASLFHPFTGAVRALPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFWASDPPAESWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDAVTLAIAAVIPAPAVELPAEARIAVAVDELFLLVKSKWMYLFGDDIDFSKAFRVDHRSVDPVWQELTDIAGRALFVDSLHGFAMETAGFENLEENTIYSVTTKELDDRRSTMVKYSVSAFSLENRSSKKLACRLNRLAMALRGESPSWIIPSLNEG >LPERR02G22300.1 pep chromosome:Lperr_V1.4:2:19305901:19308379:-1 gene:LPERR02G22300 transcript:LPERR02G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCICTPAQFNSSIYFMPQQQVAAAAAAAAADHQADSAATACGASTSPAAAMWEYHQLQPHAALQPSSSSFPYSYWSPYSGSTTASALLAGDQHGWSHGELSNSTGGYRENFLDLLASKNVTPEMFEEVPAAEHYNVSPPIKYEITGSPLFLGSATTIHQQMSMMSGTPPSCYSEHHHHHLTKEGNSNHQQELAVSPMASFLQQISSGSANVGVNSSLDYSGLGDQQPDTICQGGREMDASPFSMRSLPDLGSFAGYTSAMESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSGAATDRKKRKSEERQESTGKKSKQEASKASPPKQQVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSSRSKGNNIPWSGQAEASKGETEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >LPERR02G22310.1 pep chromosome:Lperr_V1.4:2:19310767:19315586:-1 gene:LPERR02G22310 transcript:LPERR02G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPMGGIKGSTSRADARRQCRRPVVLIESKCLPRRWPKGAAARGTRRLRCGK >LPERR02G22320.1 pep chromosome:Lperr_V1.4:2:19336168:19344136:1 gene:LPERR02G22320 transcript:LPERR02G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDSDHEDQIKPLFAQHHHQQQPVAPSNAGTAISAEGSVAGQAAAAAPPVKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPAAAGHIYGSTAAANMALSLSQVGSHLASTLHDPHHHHPDGLLRFGGSAAAGRLDHLLSSSGASAFRSLPPPPQSSSAAPFLFGAGDGDGFFQGKPFHGLMQLPDLQGNGAGGGGGPAAAGPGLYNLGYIASSGNSSGNSSHGQQMTNNTDQFSEGGGGGGGGGSETSAAAAIFRDFSGGGGGDHHNQMAHSAGMYGNDHGGMTMLPQMSATALLQKAAQMGSSTSASNAAGVSVFGGGGFGTSGSSSITHGGRGSAMVDHGQMHLQSLMNSLAGGGGGAGNNADHHGMFGSGGMIDPRMYDMDQHEVKFSQVGGGAGAGDVTRDFLGVGGGGFMRGMSMARGGEHGGHGGDMGSLEAEMKFNGGRMQ >LPERR02G22320.2 pep chromosome:Lperr_V1.4:2:19336168:19342113:1 gene:LPERR02G22320 transcript:LPERR02G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDSDHEDQIKPLFAQHHHQQQPVAPSNAGTAISAEGSVAGQAAAAAPPVKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRNALYMHY >LPERR02G22320.3 pep chromosome:Lperr_V1.4:2:19336168:19344136:1 gene:LPERR02G22320 transcript:LPERR02G22320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDSDHEDQIKPLFAQHHHQQQPVAPSNAGTAISAEGSVAGQAAAAAPPVKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPAAAGHIYGSTAAANMALSLSQVGSHLASTLHDPHHHHPDGLLRFGGSAAAGRLDHLLSSSGASAFRSLPPPPQSSSAAPFLFGAGDGDGFFQGKPFHGLMQLPDLQGNGAGGGGGPAAAGPGLYNLGYIASSGNSSGNSSHGQQMTNNTDQFSEGGGGGGGGGSETSAAAAIFRDFSGGGGGDHHNQMAHSAGMYGNDHGGMTMLPQMSATALLQKAAQMGSSTSASNAAGVSVFGGGGFGTSGSSSITHGGRGSAMVDHGQMHLQSLMNSLAGGGGGAGNNADHHGMFGSGGMIDPRMYDMDQHEVKFSQVGGGAGAGDVTRDFLGVGGGGFMRGMSMARGGEHGGHGGDMGSLEAEMKFNGGRMQ >LPERR02G22320.4 pep chromosome:Lperr_V1.4:2:19336168:19342113:1 gene:LPERR02G22320 transcript:LPERR02G22320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDSDHEDQIKPLFAQHHHQQQPVAPSNAGTAISAEGSVAGQAAAAAPPVKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRNALYMHY >LPERR02G22330.1 pep chromosome:Lperr_V1.4:2:19348139:19355884:-1 gene:LPERR02G22330 transcript:LPERR02G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLPSLKTNRAHGGEEQDGSARLGEKKRVETKANGEQDGNDTKQRIETKELNPIMLGKVLELSKPPEPVDGVHRRDLRELNSKEAVAGNLPILNFLNMLVVVVGNIGDVVGVTRVVEGQHHSNQVVVQLTIKHMSTMAVVANGKEECHNTGVAVVDVEFLPVHQEQFPSCTKPHKSSTKLCRTGPPSFPVEASTEGVQQQFEELVIQGQSSTSQAIQPAPQSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLSGGQGTQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGDGLENMSSTAFIEPLPVIDFVAQLLNRDISARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELANMCQISGMDFSIEPVLPPVTARPENVERALKLRYQDAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTISGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTTRGGGPPPGGRTTKAAGNVAVRPLPDLKENVDSKVQTAFLNMESYSILVNPSALHELCCIVVTSTYTSERLLFQTDPYLVQPSSRCMHAQLHCWNCF >LPERR02G22330.2 pep chromosome:Lperr_V1.4:2:19348139:19355160:-1 gene:LPERR02G22330 transcript:LPERR02G22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVLELSKPPEPVDGVHRRDLRELNSKEAVAGNLPILNFLNMLVVVVGNIGDVVGVTRVVEGQHHSNQVVVQLTIKHMSTMAVVANGKEECHNTGVAVVDVEFLPVHQEQFPSCTKPHKSSTKLCRTGPPSFPVEASTEGVQQQFEELVIQGQSSTSQAIQPAPQSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLSGGQGTQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGDGLENMSSTAFIEPLPVIDFVAQLLNRDISARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELANMCQISGMDFSIEPVLPPVTARPENVERALKLRYQDAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTISGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTTRGGGPPPGGRTTKAAGNVAVRPLPDLKENVDSKVQTAFLNMESYSILVNPSALHELCCIVVTSTYTSERLLFQTDPYLVQPSSRCMHAQLHCWNCF >LPERR02G22330.3 pep chromosome:Lperr_V1.4:2:19355188:19359944:-1 gene:LPERR02G22330 transcript:LPERR02G22330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLENGYYSRQVFACLLPCFFFLLCYQSQFLLSVEALTDDDEGPAGQVRMPLRLLYR >LPERR02G22340.1 pep chromosome:Lperr_V1.4:2:19362730:19364735:1 gene:LPERR02G22340 transcript:LPERR02G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRKGAARQYNRSKEPRLRWTAELHLSFVRAIDCLGGQHKATPKHILQLMDVRGLTISHVKSHLQMYRGTRLGIGQKDMQPELHDLKKHSFGSDEQNLKEFMCPPIKRAKVGTEASGTYKCMQGSSDMMNAPPGTRYFIDDCTQLQEMPVDRTRRRSEHDGAAAARAPPAAASSNLQALGFRVQGTSEEPFMVHQKCQEPGGQCGIYMLAQWYWHHRTSVYRTLPQMALFFHRNTTV >LPERR02G22350.1 pep chromosome:Lperr_V1.4:2:19367557:19372156:-1 gene:LPERR02G22350 transcript:LPERR02G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPKPNPEIETPAPSHEPPPTDPQAATAASERPLQPWEQHAAVINLPRYDYRALGSLLLRSHSGFLITCPIKREKSATKEAISILEDSIGHANSYSSEEPEPCDMKMAAKKRKICSETPDIENSGDAVTNRKYDASETAGSIEKESASTQSMTSKNFEQNSNLSLVKLSRSGLLFFSFPIGGLRVVQILTQMFHSLQSGKLKSPQWCHRIFPIQETCVLSEAELHLTVSKLFLDFVKSRENQDEPIKFAVGYNRRGIDETDMKGQKNDNEVSKQQTLMDRDQCFKVVAAAVKSVAENSIVDLRSPEVAVLVEMLPISGVPLGSSVAGVSVLPSELIATKPRLSVKALVPDTKATKKK >LPERR02G22350.2 pep chromosome:Lperr_V1.4:2:19367557:19370257:-1 gene:LPERR02G22350 transcript:LPERR02G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKRKICSETPDIENSGDAVTNRKYDASETAGSIEKESASTQSMTSKNFEQNSNLSLVKLSRSGLLFFSFPIGGLRVVQILTQMFHSLQSGKLKSPQWCHRIFPIQETCVLSEAELHLTVSKLFLDFVKSRENQDEPIKFAVGYNRRGIDETDMKGQKNDNEVSKQQTLMDRDQCFKVVAAAVKSVAENSIVDLRSPEVAVLVEMLPISGVPLGSSVAGVSVLPSELIATKPRLSVKALVPDTKATKKK >LPERR02G22350.3 pep chromosome:Lperr_V1.4:2:19370260:19372156:-1 gene:LPERR02G22350 transcript:LPERR02G22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPKPNPEIETPAPSHEPPPTDPQAATAASERPLQPWEQHAAVINLPRYDYRALGSLLLRSHSGFLITCPITIVLRSQNHVI >LPERR02G22360.1 pep chromosome:Lperr_V1.4:2:19375617:19376222:-1 gene:LPERR02G22360 transcript:LPERR02G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPVEIQETEEGLRPRGLYAPQRPEGLAVPSSYHKLYNLPTSPEFLFEEEKFKQTRTWGENLTFYTGCGYLSGAVAGALVGLRRAAAEAERGESAKIRLSRALNQGGSVGRAYGNRLGVVAMLFAGAESFVRHQRDGNDDWVTTVAAGASAGALYRIASGPRSMIVAGVIGGVLSGAAVVGKPMFEELAPQLAARLNYLH >LPERR02G22370.1 pep chromosome:Lperr_V1.4:2:19378562:19386644:1 gene:LPERR02G22370 transcript:LPERR02G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKADAGGGDDLAAMREQCRSLEEAIGFRRETQLGLVASLHCLVPDLVPALDNSLRVVAAFNDRPFVPTPNPNAAQGKSPSALKPHQQRRALPDPARSTRRKTSPGSSPASVAAAPGGLDAVRTMVAVCLLELVPFAEIDAAALARRLQAESSSASEAERTALADLAAELGGSAASAVVLALRRIAEDSGGVQIEEAMIGGKSMTMVWAIDRSKLLKELPESATLPQTQPPPVPQAAAAETDPSSAIIPRTPQHQPDMWPHSMPPIFPRPRGMSMQGMQRMPGVPPGMMPLQRPFMGPPGVIAMGGGMGPSPTQQKQKTEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDMPSMMAGILVPPRQIRIQRAEYCSEIELGEAQWINCDIRNFQMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRASAPMDGDQSTSQKTTVSDGDRPA >LPERR02G22370.2 pep chromosome:Lperr_V1.4:2:19382902:19386644:1 gene:LPERR02G22370 transcript:LPERR02G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSVRHPASQALPGALKIPSSNGLKHNGPLLSEGLAATHPKEFSTGAGAAEGAGEGEAAMEFCPACGMLLQIQPATGGNRLRFYCPTCPYVCPIVRKAKLVKKEVEPIFSGAAAMKSASKTAAACPRCNNGEAYFKQMQIRSADEPMTTFFMCCKEDCQFEWRED >LPERR02G22380.1 pep chromosome:Lperr_V1.4:2:19385458:19389291:-1 gene:LPERR02G22380 transcript:LPERR02G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDRDKVVDAECAEVDPTGRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLMAIKNWARQILRGLAYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECRNAAQIFKKVSKGVRPAALAKITNTQAKQFIEKCLVPAPERLSAKELLQDPFLCSDNSNGLVGTKFPSSLPIVGNVCQESLHMDVDTHESMCTSSDKRSDLVGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPSQQLMGDAAMSTSSESKMGESEHVLTSEQHLSEFTHDYVLVEGVMHSKEANTASPSDYIDSLLSAANLGEQNSSEGSDISVQLVGSSKSLSEFRVDDYGTPKCGACKGSDQMGCNHALGNVSSNFAIFQIDQASHHSELVIGSSVSIPENQDVLNGELGLIEAQYERWFHELTRMREEALEGARKKWLPAK >LPERR02G22390.1 pep chromosome:Lperr_V1.4:2:19389420:19389617:-1 gene:LPERR02G22390 transcript:LPERR02G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCVSRVPSAGCRAMGVAGAVKATSLRSRRRRELPSPAPFSEPFTERPATLQAAASPAACCCRA >LPERR02G22400.1 pep chromosome:Lperr_V1.4:2:19394625:19395770:1 gene:LPERR02G22400 transcript:LPERR02G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQEQIDLGTDNWKLTLPTSRVRNIIRGRANGMLISANLPAFVTKLCELFIQELTLRAWVFAQSQNRNILLDIDIVKAIADTESYHFLADVVRRHQVAKLTMPNTTIAKRHRPNKMNLSYHHTQTINMSPLVDCLPFVAISPMALMGAHRTESPTINKKHINANTFIGDNSNASWVSPHLLGGKGDLVSITSACDIKKMNNLRSINSLNAVIDASSRDVATVTRQGRTTQPISFKDTCASHEDNYVVSSLVGHGDITSTGDDVDVNWLWNEEQNYGMHFLGEDNFSKESLGGTMEDDALLSSAKDFSLICYTHEEYNNEKDIRDDICSNSSNNTKKEPNKKQTE >LPERR02G22410.1 pep chromosome:Lperr_V1.4:2:19396921:19398593:1 gene:LPERR02G22410 transcript:LPERR02G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKAEAQDAPEWQVTMPPAGDVSSAGPAGHARARLVSCAEALEANVSGFAKKVWKIGADDPRKPAYGVKVGIALTLVSLFYYVRPLYNGISGNAVWAIMTVVLVFEYTVGGCMHKGLNRFAGTMSAAALALGMHWVASKSGEKLEPFVASGSVLLLAAAATFSRFIPTVKARFDYGVSIFVMTYSFVAVSGYRVEDLAALVLDRIATIAIGIVICLAVCVLICPVWAGQELHLLTARNMEKLASAVEACVEDCFADAAATEAAKRAKSEGYKSVLGSKASEDSQANLARWEPPHGRFGFRHPYDQYPKVGAAMRQCAYCVEALVGCAAHERAPCRVLADACTRVGARCARVLREASTCVATMTTSRRLGVAVADLDAAVHELQGDLRDLPSNLAEETAETSMTETMRLFTVALLLAEIAARVEGVVHAVGTLATLARFKPGDDADGTDKSEAEMEHSDESSEILGNTRLDTERTNRQGSESCRRQRSISCLVPK >LPERR02G22420.1 pep chromosome:Lperr_V1.4:2:19403148:19405474:-1 gene:LPERR02G22420 transcript:LPERR02G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAREPQAKCGLEWRVTVPEGASVTVEHDAGVAARAWAWLVSCVVAIRVAVAGFARKVWKIGADDPRRAVHGLKVGLALTLVSVVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATVSAGLIALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVLIWPVWAGQELHLLTVRNMEKLAGAVEACVEDYFAAGSAKPAAAASSKSEGYKCVLNSKASEDSQANLARWEPPHGRFAFRHPYAQYAKVGAAMRHCAYCVESLSSCARAEAQAPEHAKRLLGDVCTRLGARCARVLVEASASVAAMTDPKTVDFAVADMNTAVHELQGDLRALPPVLLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLANFKQVEDGDDKKGQTTETKVHPLNVQDDDASAQENQTMKHAEQEHCNTTVK >LPERR02G22420.2 pep chromosome:Lperr_V1.4:2:19403374:19405474:-1 gene:LPERR02G22420 transcript:LPERR02G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAREPQAKCGLEWRVTVPEGASVTVEHDAGVAARAWAWLVSCVVAIRVAVAGFARKVWKIGADDPRRAVHGLKVGLALTLVSVVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATVSAGLIALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVLIWPVWAGQELHLLTVRNMEKLAGAVEACVEDYFAAGSAKPAAAASSKSEGYKCVLNSKASEDSQANLARWEPPHGRFAFRHPYAQYAKVGAAMRHCAYCVESLSSCARAEAQAPEHAKRLLGDVCTRLGARCARVLVEASASVAAMTDPKTVDFAVADMNTAVHELQGDLRALPPVLLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLANFKQVEDGDDKKGQTTETKVHPLNVQDDDASAQENQTMKHAEQEHCK >LPERR02G22420.3 pep chromosome:Lperr_V1.4:2:19403729:19405474:-1 gene:LPERR02G22420 transcript:LPERR02G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAREPQAKCGLEWRVTVPEGASVTVEHDAGVAARAWAWLVSCVVAIRVAVAGFARKVWKIGADDPRRAVHGLKVGLALTLVSVVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATVSAGLIALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVLIWPVWAGQELHLLTVRNMEKLAGAVEACVEDYFAAGSAKPAAAASSKSEGYKCVLNSKASEDSQANLARWEPPHGRFAFRHPYAQYAKVGAAMRHCAYCVESLSSCARAEAQAPEHAKRLLGDVCTRLGARCARVLVEASASVAAMTDPKTVDFAVADMNTAVHELQGDLRALPPVLLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLANFKQVEDGDDKKGQTTETKVHPLNVQDDDASAQENQTMKHAEQV >LPERR02G22430.1 pep chromosome:Lperr_V1.4:2:19406480:19406692:-1 gene:LPERR02G22430 transcript:LPERR02G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPCRSPLHLAAPSGRTLAGRFVHVNCNTLAVIVGNAMPTNIGEDGPKANTSKPSRDSASLTKAQR >LPERR02G22440.1 pep chromosome:Lperr_V1.4:2:19444465:19450208:1 gene:LPERR02G22440 transcript:LPERR02G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLGALCRGWGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHIVGEGIIGRALVSGECQWISDTSFSFVQTSDADNQDLFQTIAVVSIADLGVVQFGSMQKISESLVFMDEVRGIFCQREIIPWDISAKEIQRNVIPYDQQSQLSSLSSTDGLTNIKADPENKNLLENSASIESLRSLASSSSKYSQSSSNGFTSYESCDSMNPHIVAVPVNSKSINAVRAFSNTGNLLQHNIGSENPLQIKFYKHTDSNLANATNDFSNLNNLPSIENETSCATNKQGYCIQSEKPYNFQSSFSSCFSVGDELKPILFDSATSFIQNDLMQEFSLTGFSSQADCAVHELPNEILVETASGVLRSDRKSNNGNSDLLDSTIFDPFVQDWLHRNALLAENTPHFGATKADSVTEHASSYQLSVEERSLFSESIFEELLGVNGNVNTDITVPMADDDPSVGLVSDCQFPTYTLQDSLSVCKAQAPSLDFPSGSDTSENVPNGASKVIPVSLGTLSMDDCCSLNTAHSKVSQVKKPEDVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQGDEPKMISKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGQTMVCPIIVEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLIQLLQQNSLNQSPDQIAKVIRNGVPSFAEHQQSPISVPVGLADR >LPERR02G22450.1 pep chromosome:Lperr_V1.4:2:19450805:19454588:1 gene:LPERR02G22450 transcript:LPERR02G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGS >LPERR02G22460.1 pep chromosome:Lperr_V1.4:2:19457859:19458044:-1 gene:LPERR02G22460 transcript:LPERR02G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVAAAAAEARKKREEGKRGGGRLTGAVDVFHRRRAQTAGTAKKVIRGNDHTKTSVGGR >LPERR02G22470.1 pep chromosome:Lperr_V1.4:2:19461661:19463844:-1 gene:LPERR02G22470 transcript:LPERR02G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMGANPNPNGSTNPPPPPPSSAATAQRPIAPPAAGSAAAGAVGAGAGAGAGTERRTRPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVVSSAAAATSVSGTVSVGLANKNPKLMHEGGAQADLNLAFPHHHHGGGGGRGLQPPEFAAFPSLESSSVCNNLAANGGRGGGGVGAFSAMELLRSTGCYVPLPQMQLGGMPPEYAAAGFALGEFRMPPPPQHQQQAQTVLGFSLDTGAGGNPVYGAPCSAGMQDGAAGRLLFPFEDLKPVVSAAAGDNANSGGDHHHHQFDHSKNNHNSGGGGVMGGGHETAGFWNSSMIGNGSSNGGGGGGGSW >LPERR02G22480.1 pep chromosome:Lperr_V1.4:2:19489642:19489918:-1 gene:LPERR02G22480 transcript:LPERR02G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDFLGHDPALFEAKKQAEDQVQKLQAELTQLKDKNEELTKAKDSAEKKLAHSITLNVKSHEPWQ >LPERR02G22490.1 pep chromosome:Lperr_V1.4:2:19490396:19491317:-1 gene:LPERR02G22490 transcript:LPERR02G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGAAIDFFNELSNDEEEETVEAATAATTVHADSLQGRKRKLIIANNSDNEAANQPAPAPHLSSPSPPPAPKARPFSPRPAKRGRLKVSTVKPNTSFTGKDDNALPQPPTASAIEEPTAVSTGFQSESVDGDVPSTTLPPSPQVTGMEVCPAAAQVTTSSTITSPGMLMIIYLTLNRVIFSLDPLLIQKFLPLVNTTPPATASTVLAAAAPSPALALTTTVDVLSANKGKQVQSSPMAIEPSAKSDSERIASDEVT >LPERR02G22500.1 pep chromosome:Lperr_V1.4:2:19512060:19512386:-1 gene:LPERR02G22500 transcript:LPERR02G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAALARGEGAAAWTEEQHAAFLDRMELSFVRQELSAVAVSDERLASRRLCSSNRPAPPAPAPHAGGRGHLSLPLPLDRPLPDSAVESNRAAPSSRPAARGGGAK >LPERR02G22510.1 pep chromosome:Lperr_V1.4:2:19514994:19519345:-1 gene:LPERR02G22510 transcript:LPERR02G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDARLDSAPLLGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAAEQLEERQADWAYSRPVVALDILWNLAFILVAAAVLVLSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRLRHGHSGGSPMAADEETGTDGSSSSSDDDASPRGRSGDYASIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTLDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAAASCVGASQIVATWWLQNYLKFHASKVPKTLLINWGKTRSAAFAFQRMMMVQSCVSSLVGTISTVSALISGCTSMRLVPYASLMFGKTVAAVVVKKYKSPRENPQA >LPERR02G22510.2 pep chromosome:Lperr_V1.4:2:19515022:19519345:-1 gene:LPERR02G22510 transcript:LPERR02G22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDARLDSAPLLGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAAEQLEERQADWAYSRPVVALDILWNLAFILVAAAVLVLSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRLRHGHSGGSPMAADEETGTDGSSSSSDDDASPRGRSGDYASIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTLDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCICIDKWLHINATCPLCKFNVRKNSSSSGSEEV >LPERR02G22510.3 pep chromosome:Lperr_V1.4:2:19514994:19519345:-1 gene:LPERR02G22510 transcript:LPERR02G22510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDARLDSAPLLGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAAEQLEERQADWAYSRPVVALDILWNLAFILVAAAVLVLSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRLRHGHSGGSPMAADEETGTDGSSSSSDDDASPRGRSGDYASIAKHLESANTMFSFIWWIIGFYWEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAAASCVGASQIVATWWLQNYLKFHASKVPKTLLINWGKTRSAAFAFQRMMMVQSCVSSLVGTISTVSALISGCTSMRLVPYASLMFGKTVAAVVVKKYKSPRENPQA >LPERR02G22510.4 pep chromosome:Lperr_V1.4:2:19515022:19519345:-1 gene:LPERR02G22510 transcript:LPERR02G22510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDARLDSAPLLGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAAEQLEERQADWAYSRPVVALDILWNLAFILVAAAVLVLSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRLRHGHSGGSPMAADEETGTDGSSSSSDDDASPRGRSGDYASIAKHLESANTMFSFIWWIIGFYWEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCICIDKWLHINATCPLCKFNVRKNSSSSGSEEV >LPERR02G22520.1 pep chromosome:Lperr_V1.4:2:19526311:19532316:-1 gene:LPERR02G22520 transcript:LPERR02G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGMQFPFTSGFSSSPALSLALDNAGGGIGGRMLAGGAGSSAGGAVTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPVNLMSPPPFPQPHLSFPMPNSSLELAIGGIGGLGSLGTLPGCMGEFAGGVSSPMGTVITPARATGPALPSLVGNIDRSVFLELAISAMDELVKMAQMEDPLWVPALPGAPSKEVLNFEEYLHSFIPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSATAPTAGNVKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEVSVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSTTVAANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPNGPRIGTSGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >LPERR02G22530.1 pep chromosome:Lperr_V1.4:2:19550613:19554746:-1 gene:LPERR02G22530 transcript:LPERR02G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVVSVMWAYRWSHPRANGRLPPGSLGFPILGETLQFFAPNLTCDISPFVKERLNKYGSIFKTSVVGRPVVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLAETDSACRKSLASWASRPSVELKDSISEMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMAEPARQCEDFFDVLIEELRREKPVLTEAIALDLMFVLLFASFETTALALTLGVRLIAENPVVLDALTEEHEAIVRGRKECDAGLTWAEYKSMTFTSQVTLEISRLANIVPGIFRKALQDIEFKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQDKMDITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHHLVTKYRWKTVKGGNTIRTPGLSFPDGFHVQLFPKISHNDKDDSSLSPSLNI >LPERR02G22540.1 pep chromosome:Lperr_V1.4:2:19575514:19578588:-1 gene:LPERR02G22540 transcript:LPERR02G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPAATKLHISPAAARRTSFLPIATVALLCSASYFLGAWQHGGFSSSPASSSVSIAAAVSCTTTTSTKRPGKQTPRGNPSLDFSAHHAAAAVHRSPAGGRYEACPAKYSEYTPCEDVKRSLRYPRDRLVYRERHCPTTERERLRCLVPAPAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWRKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEAGDIAIWQKPANHASCKASRKSKSPPFCSHKNPDAAWYDKMEACVTPLPEVSDASEVAGGVIKKWPQRLTAVPPRVSRGSIKGVTSKSFVQDTELWNKRVRHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALANDLVWVMNMVPTVGNFTTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDFILLEMDRILRPEGTVIIRDDVDMLVKVKSVADGMRWDSQIIDHEDGPLVREKILLVVKTYWTAKEQNQ >LPERR02G22550.1 pep chromosome:Lperr_V1.4:2:19588398:19591871:1 gene:LPERR02G22550 transcript:LPERR02G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADGEWGLTSLGDMPESCVAAVLLHLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGADGGHGNGKRCSPTSSKKDIYARLCRPTPFDFGNKEFWIDKNKGGICISISSKAMVITGIDDRRYWSQLDTDESRFHHVAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRIWGAEHIHGWEAKPTRFQFSTSDEQHATSEYYLDVQGRWILYHVGDFVVSNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKEHRHEKANIIHM >LPERR02G22550.2 pep chromosome:Lperr_V1.4:2:19588679:19591871:1 gene:LPERR02G22550 transcript:LPERR02G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADGEWGLTSLGDMPESCVAAVLLHLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGADGGHGNGKRCSPTSSKKDIYARLCRPTPFDFGNKEFWIDKNKGGICISISSKAMVITGIDDRRYWSQLDTDESRFHHVAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRIWGAEHIHGWEAKPTRFQFSTSDEQHATSEYYLDVQGRWILYHVGDFVVSNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKEHRHEKANIIHM >LPERR02G22560.1 pep chromosome:Lperr_V1.4:2:19598925:19607120:1 gene:LPERR02G22560 transcript:LPERR02G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMEGMAAAIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLTGAALSYLSFGATSNLLFVAPMAFGYLAMLLCRRLAGLVTFLGAFGFLIACHMAYMSGDAWKEGGIDATGALMVLTLKIISCSINYSDGMLKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGIWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSKVIYRWQQTISNPVFHAILVFLNFAYTLMVLNYSCIGFQVLSFKETLASYQSVYYVGTIVPIVVVLLGYVIKPSKPVKPKVRKAE >LPERR02G22570.1 pep chromosome:Lperr_V1.4:2:19621019:19622665:1 gene:LPERR02G22570 transcript:LPERR02G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRDGCGVGDDLAAPLLAKGSGDGEVVFVVVPPAAEKEELPPVLTCKPPGRFARAVKEAWSVPFPMMPSMSAGAAGAEARSILGLALPMIITGILLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAASVPIAGLWAHMRPLLLLCGQDAAIAVVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAAFAIALHLPINYVLVSVLGLGIKGVALASVLANLNLVLFLFGYIWFKGVHKRTGGFALSADCFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPDHAGRAAMVGLMLGFAFGGVASAFACLVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFKGLWLGLLAAQATCVVRMLLVIGQTDWSAEAKRAQQLTGAVDIKESIGKDSLVAVIEQPDEQC >LPERR02G22580.1 pep chromosome:Lperr_V1.4:2:19631127:19635384:1 gene:LPERR02G22580 transcript:LPERR02G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARAEAEADAGEGRGAAARAMVAGPAPIDFDVDFRPADLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFHSKDDAQCSICLGEYNEKEILRIMPVCRHNFHLSCIDVWLQKQTTCPICRISLKDLPGGKTAEAETPARSLPRLFSHSESSVSRSPHWILPVHRGRTGGRESSPASQESVEVVIEIQQQMH >LPERR02G22580.2 pep chromosome:Lperr_V1.4:2:19630630:19635384:1 gene:LPERR02G22580 transcript:LPERR02G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARAEAEADAGEGRGAAARAMVAGPAPIDFDVDFRPADLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFHSKDDAQCSICLGEYNEKEILRIMPVCRHNFHLSCIDVWLQKQTTCPICRISLKDLPGGKTAEAETPARSLPRLFSHSESSVSRSPHWILPVHRGRTGGRESSPASQESVEVVIEIQQQMH >LPERR02G22590.1 pep chromosome:Lperr_V1.4:2:19631195:19631608:-1 gene:LPERR02G22590 transcript:LPERR02G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAPLPSPASASASARAARPQMRRAQTKTMKVALIPKPITVVTRFNPDPSISPRLGFLHPYTPLAHRATQTKNHARAAGGSTGPWLIRLLEQDDAAAAYKCGGAAAAAAGVGLGGGGHRRGVWDLGFAWGAGKE >LPERR02G22600.1 pep chromosome:Lperr_V1.4:2:19643836:19644690:1 gene:LPERR02G22600 transcript:LPERR02G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEHQPSSTSSSTAPPPPPPPQVQTVDLQAAPSPGTPASPDHHSPSSDRGDNNKTAGVATASAAPAASSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGSTASRPVPAATAAAENQQQQQQQYGTSSPTADDVAGSAQVDQGGFGNDDFMDEEAIFELPQLLRNMAAGMMMSPPRLSPTTSDVSPEPSEAGESLWSYRDP >LPERR02G22610.1 pep chromosome:Lperr_V1.4:2:19668651:19669325:1 gene:LPERR02G22610 transcript:LPERR02G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALSSDYSSGTPSPVAADADDGSSSYMTVSCAPPKRRAGRTKFKETRHPVYKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRLLRVPPVGASHDDIRRAAAEAAEAFRQPPDEGNATTEEAGADAPGAHSNAAAQFAASHPYYQVDDGLDLGMQGYLDMAQGMLIEPPPMAGDTVSGGEDGNDDEVKLWSY >LPERR02G22620.1 pep chromosome:Lperr_V1.4:2:19674030:19674410:1 gene:LPERR02G22620 transcript:LPERR02G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMTRINRRFATDNQSLYKVTFAAKEVDKKTIWNLVAGIVHFIHRSAHPRKTPNYKSLREWEEYVCIKQSLVSCIKTHPV >LPERR02G22630.1 pep chromosome:Lperr_V1.4:2:19676822:19677708:-1 gene:LPERR02G22630 transcript:LPERR02G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSISSAASSNIPAQHKPANPKNKSCAFGAQPKYLHRQCKKAAVSVACTGQGEQRSVPAVAAPQEARAGTLKVEFRTRDGCGLGISRYPDFTYNAQGGRGVGVGDGSGADSGTVLVEFDVASLYIPAMSGATTKFLGLPLPPFLKIDILPEALGGSIDCTSGQVDLKFRSRFCFSVGSLYRAPPLFVDTTLTTEESSGAIRRGTGERMDSEGRCKLVGVAVVDPIDDIFMNTFLSLPTECIAYLNATISVIEPT >LPERR02G22640.1 pep chromosome:Lperr_V1.4:2:19685481:19691843:1 gene:LPERR02G22640 transcript:LPERR02G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATTKRSNHGGGGGNVWRNPNGGGGGRGSGGFNKWGRGPGGADGGPRHKAPDRPCRFFLAGDCSYGEKCRYPHSYCMSDSITLLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVITMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSASTNAFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQAGPGGLFFTGDGTGELKVWQWVIDGSQTK >LPERR02G22640.2 pep chromosome:Lperr_V1.4:2:19685481:19690294:1 gene:LPERR02G22640 transcript:LPERR02G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATTKRSNHGGGGGNVWRNPNGGGGGRGSGGFNKWGRGPGGADGGPRHKAPDRPCRFFLAGDCSYGEKCRYPHSYCMSDSITLLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVITMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSASTNAFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHMPSVTVGTAAAVAIHLRPRAAA >LPERR02G22640.3 pep chromosome:Lperr_V1.4:2:19690433:19691843:1 gene:LPERR02G22640 transcript:LPERR02G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRYYEKGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQAGPGGLFFTGDGTGELKVWQWVIDGSQTK >LPERR02G22650.1 pep chromosome:Lperr_V1.4:2:19701603:19707127:1 gene:LPERR02G22650 transcript:LPERR02G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKDYYINYKMMKKKVKQYVQQTQNDGKDREQVHKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQSDASQISELREAYRQVGFDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPDDMQIGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLKASAGFVSASALGMACGPALAGHGRNGNLEDGLAQPFLIDAKERLDENGEDNDDNNEDLEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWQILVASEIMVLIGIAMSFRFNSRYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >LPERR02G22650.2 pep chromosome:Lperr_V1.4:2:19701603:19707124:1 gene:LPERR02G22650 transcript:LPERR02G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKDYYINYKMMKKKVKQYVQQTQNDGKDREQVHKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQSDASQISELREAYRQVGFDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPDDMQIGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLKASAGFVSASALGMACGPALAGHGRNGNLEDGLAQPFLIDAKERLDENGEDNDDNNEDLEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWQILVASEIMVLIGIAMSFRFNSRYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >LPERR02G22650.3 pep chromosome:Lperr_V1.4:2:19702038:19707124:1 gene:LPERR02G22650 transcript:LPERR02G22650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKDYYINYKMMKKKVKQYVQQTQNDGKDREQVHKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQSDASQISELREAYRQVGFDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPDDMQIGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLKASAGFVSASALGMACGPALAGHGRNGNLEDGLAQPFLIDAKERLDENGEDNDDNNEDLEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWQILVASEIMVLIGIAMSFRFNSRYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >LPERR02G22650.4 pep chromosome:Lperr_V1.4:2:19703412:19707127:1 gene:LPERR02G22650 transcript:LPERR02G22650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKDYYINYKMMKKKVKQYVQQTQNDGKDREQVHKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQSDASQISELREAYRQVGFDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPDDMQIGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLKASAGFVSASALGMACGPALAGHGRNGNLEDGLAQPFLIDAKERLDENGEDNDDNNEDLEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWQILVASEIMVLIGIAMSFRFNSRYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >LPERR02G22660.1 pep chromosome:Lperr_V1.4:2:19708128:19710678:1 gene:LPERR02G22660 transcript:LPERR02G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVTALFVQLLLFFTQQARGLNYTFMREAVEAPSLAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDERVLNMAHFADVLADTSASSPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGAGYVRARGWDAREVVSAYRWVEDVVAFQPELGPWQAAMRRGLLEIGVVPDNGFTYDHILGTKVGGSIFDPQGRRHTAADLLRYSRPEGIDVFLRARVGKILFSRKGGKPVARGVVYHDARGGSHIAYLNLGSRNEIILSAGALGSPQLLMLSGVGPADHLGELGITLVLDHPGVGQGMSDNPMNAIYVPSPSPVELSLIQVVGITRFGSYIEGASGSNWNSRTPSGADAQAVRNFGMFSPQTGQLATVPPKQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPTVSFNYFSHPDDLRRCVAGITAIERVIRSRAFSRFAYPNFAFPATFNVTAEFPVNLMRLRGGVSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYRVLGIEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQRERMIAEGSGRKQ >LPERR02G22670.1 pep chromosome:Lperr_V1.4:2:19711959:19715527:1 gene:LPERR02G22670 transcript:LPERR02G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATNGSSSAEAAPALKFLIYGRTGWIGGLLGQLCSARGIPFAYGAGRLENRAQLEADIDEVTPTHVFNAAGVTGRPNVDWCETHRAETIRANVCGTLTLADVCRGRGLVLINYATGCIFEYDCGHQLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLANPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKTEFPELLSIKDSLIKYVFKPNQKTSKA >LPERR02G22680.1 pep chromosome:Lperr_V1.4:2:19721045:19722240:1 gene:LPERR02G22680 transcript:LPERR02G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKDGDSPQPPSKQPRLSSADPNAGVVTMAAPPPPVGLGLGLGLGGDSRGERDAETSPASANKTTALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEPSAAATPANNGGGGSGIVFSPTSVLLAHGASAAARAT >LPERR02G22690.1 pep chromosome:Lperr_V1.4:2:19724554:19728475:-1 gene:LPERR02G22690 transcript:LPERR02G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPRPPISAALPSPCPRQIAAVVLSHPSYDLTPASTRSLSASLLAVAAAIPTPVADAVLKLLWHHAPRALLFFHSLLYLPPRAHAVGPSTLDLALDLSARLRGPRQLTDSILALFPRHRLEFTPRTFPILFERLAVSRRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALERRFRPDVVTYNTLADGWCRVKDTSRALDVLREMAESGIAPTKTTYNIVLKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMSTQGCSPSVATYNALIQVVCKKGSVEDAVMVFDDMLVKGYVPNVVTYTVLIRGLCHAGKIDQGLKLLERMKNGGCKPVVQTYNVLIRYLFEEGEMEKGLHLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQDLSQKLLRMQEKYRRLRREIRLLSYRREFFPSEQVKKKSHQGPYDESDVHISQSSLFFKRAATELFLSRRVQLQFGMISCLVAGYTLTNGVTDHCWRKAPYCQY >LPERR02G22700.1 pep chromosome:Lperr_V1.4:2:19730164:19733381:1 gene:LPERR02G22700 transcript:LPERR02G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFSSRPDSDDDEEEEEDEDEELEEEYEGEEGMEGEVPVSSPLMLPAARGGGVSMVETVAAALRRSLLLCSSVRDAEDEGVAAAAAAAGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPEVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELYVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQLADPLTALIHAVQVMNFLKTLILKTVKGREETSTPASAFPSNSGSPSDKDESQTLEHLDKPTICSSQQNNDCPMISGATLDHFLFKAEPLRHNDAHGSAGRPKKRDNKDHDNSSREFSSVDSDSNSQTNNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSNRSMKKSGEAGQACVLYLRVAALRRMRLMLWAVGLR >LPERR02G22710.1 pep chromosome:Lperr_V1.4:2:19735312:19736151:1 gene:LPERR02G22710 transcript:LPERR02G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGISAKKRHAGAGFALGCGCKDAKSVSVSASATGTGTPSTRRRSGARTNPSVSLSTPTTTTDTLTMTSASSSFLWEHSMAAFDHDDDDDGGDCGSESFSGLLRELNELEQSVASWGRKSHHHDKKHSPPPPPPPQDDQHRKEKNSGGDGEVTGEAGDGGGDGVGLDGSVAVVKQSDDPLGDFRRSMLQMIVENGIVDGEDLREMLRRFIALNAPHHHDVILRAFAEIWDGVFAAVFLVPSPAPHTPSRREPAAAASRPPVPRTPPRHRHPTPAWRV >LPERR02G22720.1 pep chromosome:Lperr_V1.4:2:19742189:19748121:1 gene:LPERR02G22720 transcript:LPERR02G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYKVLPWFWKKSGELTTNVGLNAENEIIHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGIMLSILLGPPIVAAIIIIVQNGGPYLAIYLWGFMFALSLLMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLNFPLKKLFVVDGSTRSSHSNAYMYGFLKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLSHTVYSFIAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQHTIIPVQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALIKLQEENLSAMNTDRWYSAYHYSHPPLVERLSALEDPDSKKDN >LPERR02G22730.1 pep chromosome:Lperr_V1.4:2:19750957:19752795:-1 gene:LPERR02G22730 transcript:LPERR02G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLFLASPVANAPRTRVRSAPSPARPSLRLRQPSPAVAAAVQADHQPAVAAVPKPPALPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTIVLLMRK >LPERR02G22740.1 pep chromosome:Lperr_V1.4:2:19756818:19761512:-1 gene:LPERR02G22740 transcript:LPERR02G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAGAASGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYNLKTDSSSMLRNSGMNAAVSSWTHNSIPPIVASSMVKENLAAGVMGPNNFCSSSTEGPARAWQPGETNDQINQVLSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQGL >LPERR02G22740.2 pep chromosome:Lperr_V1.4:2:19758786:19761512:-1 gene:LPERR02G22740 transcript:LPERR02G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAGAASGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYNLKTDSSSMLRNSGMNAAVSSWTHNSIPPIVASSMVKENLAAGVMGPNNFCSSSTEGPARAWQPGETNDQINQVLSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQVSSSPACL >LPERR02G22750.1 pep chromosome:Lperr_V1.4:2:19767366:19771970:1 gene:LPERR02G22750 transcript:LPERR02G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAIILRRFRRAAANQSLIEISLQSCPYIGVPLRWLSCAEHTAKWGSSTSHRIDDTDQYSLVGTVAKICKPPLSSHLHYCGSTISYSHHSQSLGFSSVLSSRRMYSSDARSKPEDHENAIAKVSSTESSEVGTSDHGGNTWIEILDSARHSTIDATSAALKKLKKLTDAVVPHAQELYVTYPDLQKMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLRGESTKKHMSYQASLWGALEDPAKYIVTFVAFSQMWKANFIANLTSNQSATGIARDRLSAFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVVEIGLTSTSLINPENLPVIVPNSLFSSQIIVNKSRAVWRASVAKIPIRIKDLEKIPAVSEEIKLKLRSNPYIDAPYCYLSQLESSHGELTIGCNIKSMVFTSN >LPERR02G22760.1 pep chromosome:Lperr_V1.4:2:19773106:19774790:-1 gene:LPERR02G22760 transcript:LPERR02G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAAASGGGKDTLVASLVRYLILLIVPFTILYIVYTLHAILSDTPSCPPDRAALSHLISTRNHTPSLSSTPPPAPVSTAAAATTTTTTLQHVVFGIAASARLWDKRKEYMKIWWRPNAGMRGFVWMDQPVRNSTLPDGLPPIKISSDTSAFPYKNRRGHRSAIRISRIVSETFRLGLPGVRWYVMGDDDTVFLPDNLIAVLRKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDGCIHRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPTARSRPAAVRRLFDGPVALDSAGAMQQSICYDARNRWTVSVSWGFVATVSRGMISAREMEMPTRTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGEITVTTYQRWRHRNDVRPPCRWKIADPDTLLDTVVVLKKPDPGLWDRSPMRNCCRVLSSPKGQEGNMTMTIDVGICKDWEFSQA >LPERR02G22770.1 pep chromosome:Lperr_V1.4:2:19777669:19777937:-1 gene:LPERR02G22770 transcript:LPERR02G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGANGVRRCPWRSTGARGGRRHRRVVAGTRSLDGVDTAVDIVGCAVDLAGVNGAERGGRRADVGAERLWSSPFHRHCFRDWKKLE >LPERR02G22780.1 pep chromosome:Lperr_V1.4:2:19779585:19780199:-1 gene:LPERR02G22780 transcript:LPERR02G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDTPPVVTSAAAAATEPTAEAWAASSSSLQHRRPEFRALPAMAIEEALPVVRFDELVAVCGGGDCAVCLSGIGGRDEVRRLANCRHVFHRGCLDRWMAHDQRTCPLCRAPLIPDELLPASAAAAADPSDYDLSYYPSPLPLAPTPTLLRPHELLLNGLGGFQ >LPERR02G22790.1 pep chromosome:Lperr_V1.4:2:19791846:19795466:1 gene:LPERR02G22790 transcript:LPERR02G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRQRRALPAMLLCLCLCALLTPARSQSAANPAPASNDGFNCSANATYPCQAYALYRAGFGGASLDLAAIGDLFSASRFMVAHANNLSATAVPVDGQSLLVPLQCGCPSRSPNSYAPTQYQINAGDTFWIVSTAKFQNLTHYVAVERVNPTLVPTNLDIGQMVTFPIFCQCPTAAVNATALVTYVMQPGDTYASVATAFAVDAQSLVSLNGPEQVTQNLSTPAILVPLRRQVPQWLPPIVRVNNVSTTPASPPPSSTPAPTVVTKNRDGVVTGLAIGLGIVGGMWLLQMLLLACLWRRLKSKGRRGEAVASGDGGEGGRLAKIASGGGVGQRFLVSDISEWLDKYRVFKVEELEIATGGFDEEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDGRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDSGEPLWADADERVFRGREERMEARVAAWMDPALAEQTCPPGSVASVVGVAKACLHRDPAKRPSMVDVAYTLSKADEHFADYSGESASAASSGGIAAR >LPERR02G22800.1 pep chromosome:Lperr_V1.4:2:19801222:19803062:-1 gene:LPERR02G22800 transcript:LPERR02G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLIDTLLFLAFSPLRLHRLQFLSFSPTGKPHLSFSSTGGSGAAQDGRSKEASRLLPCSCTWSRAGLEAAAWYPRLLRPAVGEIEPRSPMSSSGHGNGALGAATLTTSALRRRQRRRRPCVVSVSAKTTTVRPPKIATMTLWPVTVGCLWATVAGSYESIHVKFWSTSWGILVARTSSILGRGSISCCLVDMLDARELIIHWS >LPERR02G22810.1 pep chromosome:Lperr_V1.4:2:19803112:19805427:-1 gene:LPERR02G22810 transcript:LPERR02G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWRDPRRAYGGYAVGSTTAKQATARQQSQQPRSDARGGAAAVTGGVLKRSLGEMEMWQQQKAMYLRSVRQRAGALQPMGIGGLLGGVTSPSPSRAYGISALSPGFGGISPQLSSLTTASRTVVPGFQHLQRQMMAAPAVQSQVVARGPVSRPATASELVLLQELEKQLLDDDYDATDKEGSTCGSGVTTSDWGDTIQKLNSITAASSPSPPLPTTAVNKTAALLSLSPTNSSSSTASSSASSSPPIPTASSRQLLSEAAAAIADGNHKSAASHLSTLKLAANPRGDAEQRLVAIMVAAMSSRVGAAPTQNLTDIYTGEHRAACQLLQDVSPCFGLALHGANLAIIDAVAGHRAIHLVDFDVSAAQHVALIKALADRRLPATSLKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQEFISNSEHEHGAVLDLLDATLGRDSAERARAEAALAGKVANAVGREGPDRVERCEVFGKWRPRFGMAGFRAVAIGENVAGRVRARLGPLLPAFDVKVDNGRLGVGWMGRVVTVASAWH >LPERR02G22820.1 pep chromosome:Lperr_V1.4:2:19807941:19808240:-1 gene:LPERR02G22820 transcript:LPERR02G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLRLLVVASSSSPAIDAQVSTTVHGSRQSLKAEHDAREADSILPPPAHPSLPLEAPVGVSMPTTQIIAVRSCGWAPRNLVCHQSNTMSAAAVATRM >LPERR02G22830.1 pep chromosome:Lperr_V1.4:2:19822820:19829212:1 gene:LPERR02G22830 transcript:LPERR02G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNNALSETQSWYQEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKLESEGCSNYRAMQQTSWAQGAVVENGAAYVQPPPHSGAMDSEPTLQIGYAQQFVPAEANNIPRSTAAPTGGENNFMLGWVL >LPERR02G22840.1 pep chromosome:Lperr_V1.4:2:19836963:19837448:-1 gene:LPERR02G22840 transcript:LPERR02G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCMAIPKPLIAFINLLAAIREAIQLMLFVVGICHHPERSGRRRAIDGPLPDEVKERLPAVQFAHLAAERHGDGRDGDEAEAGCIVCLERLEARDEVRRLGNCAHAFHRGCIDRWIDLGRVTCPLCRSSLLPRARPVDAGPRLRRLATRLTGFVW >LPERR02G22850.1 pep chromosome:Lperr_V1.4:2:19841879:19844603:-1 gene:LPERR02G22850 transcript:LPERR02G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPKPPSAAAASVVAVAAEAQNPNAFTCELPHSIYALAFSPLAPVLAAGSFLEDLHNRVSLLTFDPVHSTSASFRALPALSFDHPYPPTKLQFHPRAVAAPHLLASSSDALRLWLAPLDDLSSTAAPELRSVLDNRKTSSSEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGTFASVSADGSVRVFDLRDKEHSTILYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGTVPSEGIDPVLVYDAGAEINQLQWSAAYPEWMSIAFENKVQILRVVQRVFV >LPERR02G22860.1 pep chromosome:Lperr_V1.4:2:19846207:19848390:-1 gene:LPERR02G22860 transcript:LPERR02G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYSPSPPNPGTDPGFITSSRDAAALHSPPPRRRIVAAGEENPLGREGLGSKKGEADMDSFSSPSMSSSGSSPNPEVLMEQIKAQLAQAYAQEFIETVGNKCFAKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSNTR >LPERR02G22870.1 pep chromosome:Lperr_V1.4:2:19858662:19859933:-1 gene:LPERR02G22870 transcript:LPERR02G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTTSSRFSKEEEDEEQDEASRREIPFMTAATTTAEAAAASAAPTSPSSSPAHAASSASASASGSSPPFRSDDGAGASGSGGGGEAEAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGVGDDAARRRLFIDWKRRADTRVVVDPLRHHLSRGLPLPMPFTSSHYAPWGGGFFVPPSPPATLYEHRLRQGLDFRTFNPAAASPIGRQQVLLFGSAAARIPPQAQLLSSRAPPSPLMHHYTLQQPSGGDGGVIRPVVLDSVPVNIESPTTAAKRVRLFGVNLDNPHGVGGSGAGESSNHGHGGNALSLQAPAWMRRDPTLRLLELPPHGGESSAASSPSSSSSSKRDAHSALDLDL >LPERR02G22880.1 pep chromosome:Lperr_V1.4:2:19887982:19889884:1 gene:LPERR02G22880 transcript:LPERR02G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFWRTQRSVTSSDALSVSKTYRGDEHSHGLRGLREAREEGHVPARRREHGGDRHGHTEGDGDGVRGPAGGAPSGAADGAGGGVLAVAVRRRVLPVRDTVPGGRHLHGDAQVLRPRLQRAGHRLLPQPRLHPHRRRPRPRLLPRRQRRRLRHHVTRKNIYRPHQTRQIKVALINGVNKRKSKITSIIY >LPERR02G22890.1 pep chromosome:Lperr_V1.4:2:19890470:19894065:1 gene:LPERR02G22890 transcript:LPERR02G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGLAPSPAPIAGAEWWDLVNGSTAWQDGIFYSLAALYGLIALSSFIQVLRIQYRVPEYGWTTQKVFQLLNFFVNGARCSIFAFRRQVQQVNPEHVIIDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYAIQVLLWLLLWYNPNPSMIVLSKLFIAGLSFSAAVGFLLYGGRLFFMLKRFPIESKGRQKKLMEVGRVATICFCCFLARCIMMCFNAFDKEADLDVLDHPILNFFYYLIVEILPSSLVLYILRRIPSKLRLAQYHPLNSS >LPERR02G22900.1 pep chromosome:Lperr_V1.4:2:19894246:19894926:1 gene:LPERR02G22900 transcript:LPERR02G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATASAPRAPIAVVSSSRRRAVLAAAIKATAGSTPHPVLSSLRLAASAAVLLAATTPAIACTPAPPPPPPSLTTTVSSDEAVTDDSQSHPFEKLIVETAALASFGGADAARARLSSAVVGADGEQYTRLLAAQELFVDGKVDEAIAAFEELAREEPGDYRPLFCQSVLYFVLGRNSESESMLQRCRELAGDDFGGDLVMPVSPVDSEAAGAESESPEAETEKL >LPERR02G22910.1 pep chromosome:Lperr_V1.4:2:19897483:19898533:-1 gene:LPERR02G22910 transcript:LPERR02G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGHDDMSIGADAGDGEDWPNALAKYEALASSLPSCHGLGSTPYRSDVILATMPKSGTTWLKALTFCVVHRGRHPPADHGAASTRHPLLRTSQHDLVPFLHSLYESTPSSPRPGSVTTPFAEAFERLCDGVSPFGPMWDHAAEYWSESVARPREVMFLRYESLKEDGASSVRRLAGFLGCPFTGEEVARGVPEAIVELCSMERMRRVEANRDGEHGTALWKFKNSAFFRKGEVGDWKEHMTPEMARRLDGVVEEKLRGSGRSLIRR >LPERR02G22920.1 pep chromosome:Lperr_V1.4:2:19905270:19908689:-1 gene:LPERR02G22920 transcript:LPERR02G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDVVLVRQGVEKAARAKDGRYSAWSQSELEKQMFSWSLKDVVDKNLFKKKVKKIPETFTSLKEYMGSFSIPLIEETRADLCSALEGIKQAPTTEVTRIEALCTDGQLIYSFLARKADPMKVLQEVYAPKDADMLLLTDRKPRHISDLERGGNSFVIASVLKAEDAEGKTVVRLSRKHVEQHFGLEPSLFAVFLVNMTTYNRIWGALDAVVASVRNTEIIRMIVNYNPKVDQECSSSAGLPLHLPDRALGSLEDFNLNKSQKVAVLDCVSALQQGSSTVRLIWGPPGTGKTKTISTLLWAMLIKNHRTLTCAPTNTAVVEVASRVLRLLLDPSAGSGKKCFLSDVVLFGNEGRMNVDGNLERIFLEKRARRLQKCLMPLPGSGWVNCLSSMVRILEQPLVQYDSYVQQIEREIEEDFAKRKKDNEKKEVQEHIRKKVVPIMPFKEYFTSNYKRIENDLSVCVKTFCDDLPRSATSAENFRYMAEAVHLLKAFGKLVESESDKNLQTLFKQSPDSKISSLFQNIVTFVQDGASTELKDARAQCLQNLGHLSDHFELPNLPGVRHAVLIGDEYQLPALVKSRLCEDADFGRSLFERLSSLGHPKHLLNVQYRMHPGISKFPVSSFYENNISDGANVLHRDYERKPLPGPMYGSYSFINVEAGKESTGKYDKSLMNAIEVAAVVRIVQRLFKESVDTGRKLCVGVVSPYKGQVRAIQEKLGKSYETHPGFSVKVRSVDGFQGAEEDIIIFSAVRSNSVGSVGFLSNNNRTNVALTRAKFHCDLILCSSVLAGNANTLASSKTIWREIVADAKDRGCLFSANEDKELNSAIIKAVIEQDEVDDLLNLNSLGIGGSRSGVRSNLTTTYL >LPERR02G22930.1 pep chromosome:Lperr_V1.4:2:19911098:19917433:1 gene:LPERR02G22930 transcript:LPERR02G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFVLQAALSAAASALYLAAVFVLQAALSAAASALYLAAAPRRSHASLGVPPGLLLALHPFLSCAATGLLALAFLVSASPHPRPPPLPRRALAAFLLAAAGALCLGGAASLAPEGSGWAAIAGLGFRGAVLGAVFAAHYFWRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFLLSFILILILPRQFRIRGSIGSQIFTEIGILMMSTAVSFCWELSHHFVQVVHTRRCSFAPPQSTAAAETNPSEYVLETLELSDPRSLMHYLAYQDLCAVSECNLEPWRRGAFFEESGETYKRIVTSCLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAGAFNDLQICTWCARTLASLTARSRQEDRYGVAQLTGCNAAVMSTLLSALLAVEACLGKKTNPQLMNSLGPASIRWGNFSTGRKGSITAIASTQRGGLHTKAYSMADVLRTSIYQIVSAFEEDMRANAKASSLDKNWISEGRKPVFGSLAVLVQKLNLFIEYRAV >LPERR02G22930.2 pep chromosome:Lperr_V1.4:2:19911098:19917620:1 gene:LPERR02G22930 transcript:LPERR02G22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFVLQAALSAAASALYLAAVFVLQAALSAAASALYLAAAPRRSHASLGVPPGLLLALHPFLSCAATGLLALAFLVSASPHPRPPPLPRRALAAFLLAAAGALCLGGAASLAPEGSGWAAIAGLGFRGAVLGAVFAAHYFWRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFLLSFILILILPRQFRIRGSIGSQIFTEIGILMMSTAVSFCWELSHHFVQVVHTRRCSFAPPQSTAAAETNPSEYVLETLELSDPRSLMHYLAYQDLCAVSECNLEPWRRGAFFEESGETYKRIVTSCLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAGAFNDLQICTWCARTLASLTARSRQEDRYGVAQLTGCNAAVMSTLLSALLAVEACLGKKTNPQLMNSLGPASIRWGNFSTGRKGSITAIASTQRGGLHTKAYSMADVLRTSIYQIVSAFEEDMRANAKASSLDKNWISEGRKPVFGSLAVLVQKLNLFIEYRAV >LPERR02G22930.3 pep chromosome:Lperr_V1.4:2:19916483:19917271:1 gene:LPERR02G22930 transcript:LPERR02G22930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEDEEELASSASSEDDSDELVDAASESDGDPISSSCSSRNTPGAIAIPPPRRHHMYAAASMWISNSPDQRTRRRSSIE >LPERR02G22940.1 pep chromosome:Lperr_V1.4:2:19916587:19917216:-1 gene:LPERR02G22940 transcript:LPERR02G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAYIWCRRGGGMAMAPGVLREEQEEDIGSPSDSEAASTSSSESSSELADDASSSSSSSSSAEHHFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLEDLAKPPRKRLKPSQSCGGGLDAHRGRVLSPRLRHSSKPKKATARAALSVLGAAPPRRPPLAARPEGIAAKFLVVN >LPERR02G22950.1 pep chromosome:Lperr_V1.4:2:19920868:19921179:-1 gene:LPERR02G22950 transcript:LPERR02G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR02G22960.1 pep chromosome:Lperr_V1.4:2:19921754:19925757:1 gene:LPERR02G22960 transcript:LPERR02G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHASRRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPDFVTDIALKVESVTILDHHKTAFESLCGNATLGKNVNKVIDMQRSGATIAFDFFSNKLSMVGSDLWNHESANAINGVKYLPDNKVETVHKLFKFIEDGDLWRWAIPNSKAFSSGLKDLDIEFDVNINQKLFDQASIDLLLELDPEEVISRGQATLSYKQKLIDDCLEKSYEIALGCGSFGNCLAVNADAISNLRSELGNQLAEKSLYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKARAEPYSTKM >LPERR02G22970.1 pep chromosome:Lperr_V1.4:2:19927502:19932645:1 gene:LPERR02G22970 transcript:LPERR02G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAHPCIPLRALHHWRRRRTRADANGARDSRTRSTKPWPCCAYKRRHLSVSPPSQHTQRSHSQHTTLFTLSTTLNTQLSLSHTALSLFSHSTRAHTRSHLQLYTSTQHIYIHPSTLHTGRRPSSEYRGGRGGLWRRAEEEFDRRLTHLQLRRKKKMVAFSSTEDRAEDTAGADVDPESDMGDIYWAKMGMWD >LPERR02G22980.1 pep chromosome:Lperr_V1.4:2:19942963:19948688:1 gene:LPERR02G22980 transcript:LPERR02G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFEGRSPATRGVEQALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLIWYSKEREKRLIMSSVSSVVFGHKTICKDRDQAECWYVGLTALLSVPCSPVLLIDSTSSRRINSCSNSPPSYIQQRSRLFAVHDTRKFKQVHSIYGSPRLMQKNVLSSNLDCSEPFFSPRQGTCSDLDSYIEKVTPEVINRVKNSYRDIPVAEKLSEQIITQMPKQKSSEGLNVANGTNSLKDIFVWGDVPGNVLDHGDVSKANVILPRLLNMSNIFDVQNVACGEKHAAIVTKHGEVFSWGVDKCGRLGHKVSVNVSDPKIIESLTSTRVKAIAFGSKHTCAVSVSGELFEWGEGNHSLGLWSDQYQRNQWFPHKLIGPFDGISILKIACGHWHTAIISSSGQLFTYGDGTFGVLGHGDTQSVAQPKQVESLKGLRAKAIACGPWHTAAIVERIGTVKSNAPSGKLFTWGDADRGKLGHADKRMKLVSCAKAQTIVLTITGVVFTIGGSKERGRLGNPLSEETSICLVEGPLKTEFVREISSGSSHVAVLTMNGKVFTWGKGTEGQLGLGDYVDRTSPTLVEALEDKQVQSIACGSNFTIATCLHKPLSSKDQFVCSNCQLAFGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDKSKRYRVCDACFSQMHKVEQHSKLGPQLKIQKDEVCPIEIRSYTPKLARIFREANAIMEKMASAQSPHQRSQNLDAPDQVKTLRWGLVECPSQFRCVRDSIPYSSTTNKQTITGSVVKAMNETMAPKPASILLKTANDSRVELDLMENILLEEVKQLQEQVTALAKQCRHRSLKVQLYKRKVEETWLIARNEAARCKAAKDIIKVLTDQHNLLSKNLLAGEKLDSSRIMPSHTNSVKSVQAELPDPPDEDVFTGEFQQPKCNMYLHNSKQVDRGCTRPSNAFMADDSVTHQNGQRTSNGNTGYTEGTNATTAPTDPNGVIEQIERGVYATVVTSPSGNKCIKRIRFSRKHFGEEQAQKWWEANEGMIFTKYSSMEQTAR >LPERR02G22990.1 pep chromosome:Lperr_V1.4:2:19949609:19951852:-1 gene:LPERR02G22990 transcript:LPERR02G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACLQGNAMATDENGADDHAGGESTVVDNLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVTAFRSHVVRESSGGSNTGSGAGAASAAITIPPPRPKRKPAHPYPRKVDGGVDKKHVPALKPPLRKQSLSDQQEDGSPTSVLTAAAQIGAEVLGGGLSNNSSGSGSPAPSVAGSDEHVDGGGSPASSVDREDVCLSPTAELAMQAPNTKLSIASTDAKEASSESSVFRLFGKSVVVKDSDQHLSASAEKSNRNILLPSFAAAQGSTSNPWQTSMQQQFLYFLPRSGDGFAAQPVMPWFGYNGSLPCALFYPQTTTNQQCHRDSECAEFRASQREGSLTGSNTASSVVLGSAAAQNSDAADRDAAAAASPRLTKCESSASVSLQRRGFVPYKRRGAESELLRSEAAGGGGEEAVADGELTRLCL >LPERR02G23000.1 pep chromosome:Lperr_V1.4:2:19960839:19966906:1 gene:LPERR02G23000 transcript:LPERR02G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGGGSHRHDAAGYAPVATTAAAAADSPATGKKAPPHAASMKRGAPAPAELTANVLGHPTPSLGEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSISKRKLITREDVEDVRREIQIMHHLAGHANVVAIKGAYEDQQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVVEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMDAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQEACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDSPGAL >LPERR02G23010.1 pep chromosome:Lperr_V1.4:2:19971085:19971696:-1 gene:LPERR02G23010 transcript:LPERR02G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLESASTGGSSVADSLNSDLVVILSGLLCALICVLGLGLVARCACTRRWARAAATAAAGTTSSGAAAAAANKGVKKEILRSLPIVTYVSSGAGAGDKEGDSEECAICLVEFEDGEAVRVLPQCDHRFHAACIDTWLRAHSSCPSCRRVLVAAEIMPPGERSARCGARSGGGISALWSHWKSPASATAACDAAEGPEFLA >LPERR02G23020.1 pep chromosome:Lperr_V1.4:2:19973075:19973426:-1 gene:LPERR02G23020 transcript:LPERR02G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEKAPFPCANWMLLGSHHSPQQQKEHVRLQHGGLLKGENFSAIRPQANQIKVSSASHYGVRPCDTSQNGPRFGAQERRAGNRFCVLLLGEI >LPERR02G23030.1 pep chromosome:Lperr_V1.4:2:19983125:19989868:-1 gene:LPERR02G23030 transcript:LPERR02G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPSQALVRPSATSLRHFPAHPKPSPPSLSAVALRRAAAALLLAAAVALPCAVLYRAAVLGAARPVQMMGLDRRPWWERDQPPPPAVVVPEEDGDVDPAAADDLDSDDIKLEQVLQEASMDNNTVILTTLNAAWASSGSVIELFIDSFRRGVRTSPLLRHLVIITFDWKAYKRCMEIHTYCFALVTEDMDFSQEKRFQTAGYLDMMWKRLDFLRLVLEKGYSFVFSDADIMWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGHHDQDVFNAIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRIIMEDWGNYMSMPPSLKRFGALAWREKRDYRIINFAKFDAFSNILGVPSRDVPIKRNLT >LPERR02G23030.2 pep chromosome:Lperr_V1.4:2:19983651:19989868:-1 gene:LPERR02G23030 transcript:LPERR02G23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPSQALVRPSATSLRHFPAHPKPSPPSLSAVALRRAAAALLLAAAVALPCAVLYRAAVLGAARPVQMMGLDRRPWWERDQPPPPAVVVPEEDGDVDPAAADDLDSDDIKLEQVLQEASMDNNTVILTTLNAAWASSGSVIELFIDSFRRGVRTSPLLRHLVIITFDWKAYKRCMEIHTYCFALVTEDMDFSQEKRFQTAGYLDMMWKRLDFLRLVLEKGYSFVFSDADIMWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGHHDQDVFNAIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRIIMEDWGNYMSMPPSLKRFGALAWREKRDYRIINFAKFDAFSNIS >LPERR02G23040.1 pep chromosome:Lperr_V1.4:2:19990262:19991612:1 gene:LPERR02G23040 transcript:LPERR02G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGELCLKIFHLLDHQSLASAPQVCRKWNTLTSDDELWRRLFKDRWGVDAAAFYAPEGSKTWKDVFIVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRQHVSCESKDAPEQSIKDEQQQVSDRILFFLGDLEAACADAKRVKV >LPERR02G23050.1 pep chromosome:Lperr_V1.4:2:19992213:19997465:-1 gene:LPERR02G23050 transcript:LPERR02G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAASPKRLRVYSSVSGDGDSTNGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSHHRYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSSEKENAAFQKAMVLAGGEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKTDPLTKYVLYQDERSKSWRVQAVSVAPDRFESRKALPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >LPERR02G23060.1 pep chromosome:Lperr_V1.4:2:20003954:20004344:-1 gene:LPERR02G23060 transcript:LPERR02G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHTHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRRAQMEADAAAERRERARAGKLTRGATTHREVEGPQERGSGSVRAGSILAL >LPERR02G23070.1 pep chromosome:Lperr_V1.4:2:20005777:20006758:-1 gene:LPERR02G23070 transcript:LPERR02G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGGSAQAIRPGTVVDGHASPTSGGVRSGSVSKGAAAATTATARPHVAPSVFCVQDAEVEEAHHFLDECSLCHKFLAGDIFMYRGDTPFCSEECRREQIEIDRTKHRRKKQQQQYAQYSPTAHAAAAHRSSERVPQRQLQPQRFDHA >LPERR02G23080.1 pep chromosome:Lperr_V1.4:2:20011273:20011729:-1 gene:LPERR02G23080 transcript:LPERR02G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSAALEMGTIGDLEPGLPSPIPRRTASRVVNARHQHYLDACFRCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMKKRSKQPAAAARGEQQRQGLHGVPVWAR >LPERR02G23090.1 pep chromosome:Lperr_V1.4:2:20015585:20016067:-1 gene:LPERR02G23090 transcript:LPERR02G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSTSSFFDIEPLDGGETFLSGHAMDACSLCRKPLSRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRISARNARERAARNEQRRRLDAGNVAVAANVPVLS >LPERR02G23100.1 pep chromosome:Lperr_V1.4:2:20020183:20021586:-1 gene:LPERR02G23100 transcript:LPERR02G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERNKEMDLPRTPRFAGVSPEVYFPSAGASGINRRNKRAREVVAMAPPPAPPVKEELVNLFALQPQQSTSFVNMAQLHNRVSASSPSRAPPASTLVSTGLRLALDEQQQQQQQEGKRLKALCFSSPFSDEIAGQMKRQDEELDRFIQEQGEQLRRAMADRARRHSRALLVAAERSAARRLREKAVEAEREARRGAELEERLARLRGEAAAWQAKAMSEQAAAVSLHAQLQQAAAAARASGGEXMSEQAAAVSLHAQLQQAAAAARASGGEELRSSRGEAAAGPAESSSSAYVDPRRVDRACLACRLRPATVVLLPCRHLSLCGGCFAAGDVNDAAMAACPVCHCVRTGGVEAILC >LPERR02G23110.1 pep chromosome:Lperr_V1.4:2:20032588:20038911:-1 gene:LPERR02G23110 transcript:LPERR02G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRASTGGGVFSDLDGGVLLPRSRSASFGSQRAACVHASLSLPAISAPSSSGSSSVPSVPIADCMRQTLWHQAAKLKQHSI >LPERR02G23110.2 pep chromosome:Lperr_V1.4:2:20032588:20038911:-1 gene:LPERR02G23110 transcript:LPERR02G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRASTGGGVFSDLDGGVLLPRSRSASFGSQRAACVHASLSLPAISAPSDCMRQTLWHQAAKLKQHSI >LPERR02G23110.3 pep chromosome:Lperr_V1.4:2:20033715:20038911:-1 gene:LPERR02G23110 transcript:LPERR02G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRASTGGGVFSDLDGGVLLPRSRSASFGSQRAACVHASLSLPAISAPSGHVYNATLLNH >LPERR02G23120.1 pep chromosome:Lperr_V1.4:2:20052173:20061547:1 gene:LPERR02G23120 transcript:LPERR02G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLKLLCVHADGSYHLSQLMLRPLACLALALLLPLPPPARAASTVVTHLPGFDGPLPFHLETGYVGVEEETGTELFYYFMESEGSPRTDPLLLWLSGGPRCSVFSGIVYEIGPIMFAMERYTYNGEVPRLEYNPYSWTKLASILFVDTPVGSGFSYAHDPKGYDVGDISSSMQVVKFLRKWLDDHPKYLFNSFYVGGDSYAGKVVPLITQYLSEGIEDMRHPIINLKGYLVGNPITGDKIDLNSRIPYSHSFGVISDQMYELISEEVNPLCPFEWPWPTPGRDASHRKSLAEEHYWLGGPPDEPPFSCFSGTVTEWIRCPEGLPYTYDLPSSINCHFNLTSRGYRALVYSGDQDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQSAGAVAIVLPKIGLKNPSLWQNGGWMVNPFDVPLHTPRL >LPERR02G23130.1 pep chromosome:Lperr_V1.4:2:20067836:20072250:1 gene:LPERR02G23130 transcript:LPERR02G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPAPAVAWAFAAATCVKLLLVPTYLSTDFDVHRYWLALTHALPLRRWYTDASSQWTLDYPPFFAYFSRFLAVPAPLVDASLVSLPVPDAPPSFAFLLYLRLTVAFSDLLLLASVLLLATDARRRRRPFLALALVLWSPALLAVDHVHFQYNGFLMGMLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGASVAAVFAAAFAPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYITIDKILAFLLRRLGFDIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFYKPQPKHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLVLIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVLLLLTYATLMWVGFSSHFAANSAQEEKKVNRSGNRVKKNSFAGWVSCSYLLGIVAIELWSQVFHHYVFGNRLPFLPLMMLSLYCGVGMMYSWMWQLAWILKHT >LPERR02G23140.1 pep chromosome:Lperr_V1.4:2:20079313:20080419:1 gene:LPERR02G23140 transcript:LPERR02G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPPPAGGDPLAATIPPSLPSSSPAPPSSSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSSHPAPSPLPRRAPPPPSVEAEEAASVRRGGGEEEVVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFAPDAELRLLPACRHAFHASCVDAWLRTTPSCPLCRATVSLPHPPLPTATAAAAAQQEPLDSRRSSENSRSFRVEIGSISNRRSSAAGGGGADDRRTYSLGSFDYRVDEEVEAVVSRIARPAAKSTAGAAPAPMAPGEALAEAAGSRGWLRDYVDRLASSAASSISGRWSGRWSARWSQSHHSNRQEDSWRWDPEAAAMSAAAAPRGVDDDEPGFVTLYRWIVGV >LPERR02G23150.1 pep chromosome:Lperr_V1.4:2:20082937:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDTPPTKVFRLKNSNASKTDVSYDEKSSSSRDVRQFSWKPVLHFTLWLLFWSSYVLLLSSIILNKVGGLQEMFKKYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVIESSA >LPERR02G23150.2 pep chromosome:Lperr_V1.4:2:20083003:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDTPPTKVFRLKNSNASKTDVSYDEKSSSSRDVRQFSWKPVLHFTLWLLFWSSYVLLLSSIILNKVGGLQEMFKKYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVIESSILHLNSLNRGFLYWGLVGPGPSIPLPRYHY >LPERR02G23150.3 pep chromosome:Lperr_V1.4:2:20082937:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPDIRYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVIESSA >LPERR02G23150.4 pep chromosome:Lperr_V1.4:2:20083003:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPDIRYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVIESSILHLNSLNRGFLYWGLVGPGPSIPLPRYHY >LPERR02G23150.5 pep chromosome:Lperr_V1.4:2:20083525:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDTPPTKVFRLKNSNASKTDVSYDEKSSSSRDVRQFSWKPVLHFTLWLLFWSSYVLLLSSIILNKVGGLQEMFKKYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATDRVGYRECKFNDKA >LPERR02G23150.6 pep chromosome:Lperr_V1.4:2:20083003:20087407:-1 gene:LPERR02G23150 transcript:LPERR02G23150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRRSMYHGSPLLLSVLGPLTNKSLIFVAVDFIAAMLIRATGRKLQIARNRSLKSLDLTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPVENLMVVIIIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDTPPTKVFRLKNSNASKTDVSYDEKSSSSRDVRQFSWKPVLHFTLWLLFWSSYVLLLSSIILNKVGGLQEMFKKYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSVGDSALYLGLLGLFANELAEMHFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVIESSILHLNSLNRGFLYWGLVGPGPSIPLPRYHY >LPERR02G23160.1 pep chromosome:Lperr_V1.4:2:20088218:20088784:1 gene:LPERR02G23160 transcript:LPERR02G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLVPAFFLLLGLSTCESLSTVLQDTCKFVAAGHPSISYSYCIKTFQSDRDSATAADARALAAVAARIAEKAANATSARAVALRASEKDARRRDRLGVCAEVYSDAVDQLGEAVDDVARKGGEEDALTQLSAALDAPGTCEDAFGEADDASPLAPEDAEFTKLATIALAVAASLTAPPPSTPATRN >LPERR02G23170.1 pep chromosome:Lperr_V1.4:2:20091154:20093851:1 gene:LPERR02G23170 transcript:LPERR02G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGDGGNNNDDGGGNREEVQIQIAGSSKAATSSIHEVHRQNSPAKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTETIASIPAPKTSIKKITLIYVALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNSQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLIQVTFEKVIKRETFSVVLNMQIYTGLVATLASLVGLFASGEWMTLQGEMHGFQSGKLSYVMTLLWTAICWQVASVGVVGLVFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFISYGNQLYVDDKKARKTTANVEETD >LPERR02G23180.1 pep chromosome:Lperr_V1.4:2:20099864:20100736:-1 gene:LPERR02G23180 transcript:LPERR02G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPYQAGGGVCATCLGDRLLALAAAQNGGGGASSPPPRDDPPAAAPPAFPRSVSPYVSRRKSDSLGGGGGGLKHHPSLLFFRTPQVGPAYGGSSGALEEGDIGYEKQRRAGKFSVLATLFGHHRSEEKDKESRNRSWLAVFMPRRRKKQQAPAPAAAAAASCPPLRRSCRVVSNRGLSPERNCDGSDEESSSPAADPPWQPSPSPMRRTPCRRRQPSTMPSGFAVCLSPLVRPSPGRRHRSSVQPPDLGSFSCELRPSPLHHLSSAASITRCRSRKLVDGGRFR >LPERR02G23190.1 pep chromosome:Lperr_V1.4:2:20105752:20111251:-1 gene:LPERR02G23190 transcript:LPERR02G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPTAPALTARSLRPPLSSFASISHWRAQPAPLVSSSRPSSSSLVVRAAWTRRSRKEAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDEDACRTIGRLIAERSMDADVFAMSYEPKKNERVEGKLGIVIDTIKEHGIIFVAALVVSMSKSPSALVSMPMLTK >LPERR02G23200.1 pep chromosome:Lperr_V1.4:2:20106786:20111288:1 gene:LPERR02G23200 transcript:LPERR02G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTVKFGRVPFKIGFSHSESGPRSASLCFGNGALDQGKDDQGNNEENSMQNVNREIKQTMDGIRMTMGGVTEFLKSKLVNMVHRGCGRKREDIRLQTAQMHAALSVARLATAIAQMVGNCHSESTNVNNIIMTDIGKVEHKKMHAAIASAAALVAASCGEAAKLTGASREQISTVIHMGMETRALGDLLMLTTSAATCLKGANALKIRNRTISNYALEDLMSTQKNVRLPIRTPDDIIFDEHGGTTDFSYPTDEHSYHEINLATSGGNIQLLFEEHEKYNTWKSFIRYLIISKRSRLSY >LPERR02G23210.1 pep chromosome:Lperr_V1.4:2:20112687:20125207:-1 gene:LPERR02G23210 transcript:LPERR02G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAVGCCFTVRQRQAKAIMRHWAVLGRMVHKARRRSAVGLFKRQISHHTNCTTRDSVSDVQSKRSERIRFPGANNISDFYCWRNGPGPTVPRLEESRISPSVPLGGSASTPVVPSRLHARPPAACADLRPAEQGRRRPAASAASCYLQGIGKMASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHLLGEMTWLAKEFDSERKWKLSMAKKIAQRANKGVVDQATKDERKQKEEEVRLRKVAVNISKDVFYKNHLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDASSLQNQENVSTQTNQISQQEPAQENIDASIPSDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLEVILKLYTKTKVSRESSPDSKDVFSDSDSKSLIKDSLNQANGRNDESDHTSNDEGISSEEEDDYRSYSEFVKKNNTGKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDPDPLDEIKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMSVDDEPAEIVEVNKDLSADTVKVTRDQSAETVKINRDHSAEIVELNNDTLEDHETTEMLGPEHGSGTLPQLETSEPIVQESTVKEGKVNDGEAMANGDNSDNVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVCQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVSMALDKGPFSQVDLSDMNFVFTQNEFSMTSWEVDEVAAILSPCISVRGSGLDISHSSNNSRSNVANIFEEIRNSLWEERIKEAKERAASIAWWNRVRCQKRPVYGTNIRDILTIKHPVYDVFEKKNNPLCHMEFSSGLANLVLSSVERFKKMLDFIESFTFAIPAARAPTPFFWCNKGKSPVLIEPAYREKCMNEFSPAFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLQAENQQKDCSLSAGPSNGTSLALSNVDVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEAAGRLEDDDIVNEDDAKPDEHTNEEHKYQCSDLDKEKSVALSMNQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAQKQLLEEQEKQAREAAKELEEKNDNMSAQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVDETSVDTMSIDDNAPSPEFMSDESAHHYSNKRKRIMPTNEEENSNNRSLKKLKKAPKSSFISEALSPKHFLEGKQLKLKDELNDSDPKSGTKTKSDGRISIPHMPVKRVMVIKPERLKKKGLWSRDCASDSWTTVEDAVLCATVNEYGPNWELASDSLHSIPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPDNELLLQKHFMAVLSSVWRSKSSHEFRCVISSSTLHRPGRFSENWPMTNFRPNPNLVRTALTDAQVQCPRMVVPTSNHDSHSNYLELELDFLIDQHDYEADFPSAVNVSILEPESQKHVMEPVEQTIMSTHSYRHAENRFRMVSETCFEGEGSHWASSAFHTCDAGRHKSGPKSLGKHKASSESGRPPKSKIHRTTEPQEGPVTNNFHRIPGQLLHSSPEFHITKSLSDLGISDSEFTYFEDLPQEAATEFVPYQYDSDVLSGIEELDPLSDFTDIG >LPERR02G23210.2 pep chromosome:Lperr_V1.4:2:20112687:20125207:-1 gene:LPERR02G23210 transcript:LPERR02G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAVGCCFTVRQRQAKAIMRHWAVLGRMVHKARRRSAVGLFKRQISHHTNCTTRDSVSDVQSKRSERIRFPGANNISDFYCWRNGPGPTVPRLEESRISPSVPLGGSASTPVVPSRLHARPPAACADLRPAEQGRRRPAASAASCYLQGIGKMASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHLLGEMTWLAKEFDSERKWKLSMAKKIAQRANKGVVDQATKDERKQKEEEVRLRKVAVNISKDVFYKNHLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDASSLQNQENVSTQTNQISQQEPAQENIDASIPSDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLEVILKLYTKTKVSRESSPDSKDVFSDSDSKSLIKDSLNQANGRNDESDHTSNDEGISSEEEDDYRSYSEFVKKNNTGKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDPDPLDEIKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMSVDDEPAEIVEVNKDLSADTVKVTRDQSAETVKINRDHSAEIVELNNDTLEDHETTEMLGPEHGSGTLPQLETSEPIVQESTVKEGKVNDGEAMANGDNSDNVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVCQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVSMALDKGPFSQVDLSDMNFVFTQNEFSMTSWEVDEVAAILSPCISVRGSGLDISHSSNNSRSNVANIFEEIRNSLWEERIKEAKERAASIAWWNRVRCQKRPVYGTNIRDILTIKHPVYDVFEKKNNPLCHMEFSSGLANLVLSSVERFKKMLDFIESFTFAIPAARAPTPFFWCNKGKSPVLIEPAYREKCMNEFSPAFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLQAENQQKDCSLSAGPSNGTSLALSNVDVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEAAGRLEDDDIVNEDDAKPDEHTNEEHKYQCSDLDKEKSVALSMNQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAQKQLLEEQEKQAREAAKDAQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVDETSVDTMSIDDNAPSPEFMSDESAHHYSNKRKRIMPTNEEENSNNRSLKKLKKAPKSSFISEALSPKHFLEGKQLKLKDELNDSDPKSGTKTKSDGRISIPHMPVKRVMVIKPERLKKKGLWSRDCASDSWTTVEDAVLCATVNEYGPNWELASDSLHSIPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPDNELLLQKHFMAVLSSVWRSKSSHEFRCVISSSTLHRPGRFSENWPMTNFRPNPNLVRTALTDAQVQCPRMVVPTSNHDSHSNYLELELDFLIDQHDYEADFPSAVNVSILEPESQKHVMEPVEQTIMSTHSYRHAENRFRMVSETCFEGEGSHWASSAFHTCDAGRHKSGPKSLGKHKASSESGRPPKSKIHRTTEPQEGPVTNNFHRIPGQLLHSSPEFHITKSLSDLGISDSEFTYFEDLPQEAATEFVPYQYDSDVLSGIEELDPLSDFTDIG >LPERR02G23220.1 pep chromosome:Lperr_V1.4:2:20128745:20132029:-1 gene:LPERR02G23220 transcript:LPERR02G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDGDMKMRVIVMEGDETTSNAPKDVCCDYTLDGSVDIKGSPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLGLLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDSEDPSEAHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFISGTLRYRYIRPTGNPVGRIFQVAFAACRNWKAGVSPGAETLYESDEKADSGGRKLLHTEGFRFLDRAALVGPNPKLATCTQPRDPWRLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVAATIFLYRRAVCPFVARLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKGHATAAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGQPGWIPADLNEGHLDKFFFLLAVLAIADFAVYLVCARRYRSGTVDDRSDGEEDGMAGQVVAAPV >LPERR02G23230.1 pep chromosome:Lperr_V1.4:2:20144201:20162068:1 gene:LPERR02G23230 transcript:LPERR02G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLQRGDSSEPKAKHRSTGARSSSLPPSASGSESCCFRIVCSLRFPLRCAREPSRRLREGSAADAMGSGASRLLTACACSRPAPASVDAEPCLDDALGHSFCYAGAATAAAHSSSFRHGISGAALSANSSVPVPLYNGGGGGGGGYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRAGQLSGPLDQAVPFSGPLPAKPAKPASTASSRGLSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYREADADTKRLWQFLVDGGGDDDDSELDFSGSGRFALSLDRLKERRFHIDDSVRRWDSRRLTPTPVVSDHAAVLGALTRALDATEASYLDMTEQSMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRRLDDGDDDGCAAFGAVRMEDIGVGLEIETRPAGCAIIGLKALQLSTDHSTSIQEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTERDQFLYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >LPERR02G23230.2 pep chromosome:Lperr_V1.4:2:20144201:20162068:1 gene:LPERR02G23230 transcript:LPERR02G23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLQRGDSSEPKAKHRSTGARSSSLPPSASGSESEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTERDQFLYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >LPERR02G23240.1 pep chromosome:Lperr_V1.4:2:20167422:20168717:1 gene:LPERR02G23240 transcript:LPERR02G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPHLFLCPISMDLMDDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNLDLTPNHTLKRVISSWLDRGSSSSSSSSPSTSTMSSPVHDLAAVATPLSRERLLASLAELEETPFKVTKLKSMRARVAGDVAMQAEFVASGGVRVVGRVMAQAMAESAGDFSAFAACEEAAAVLAALPLSDEASARVVLSPECVRPVMALLQRGGAEARLHAMDILTKVSGQRGEWTLTTAAAGVEIDDVIKSLLELLSDGAASTRLSSRALDVLLDVVARGAGAGAARAKAVEVGAVHVLVELLADAADDRHVTERVLLLLKRLCKRPEGRVAFAEHGLAVAAVARTMLRVSELSTQLAVKVLWLVSVVAPSPSEKVLEDMMLTGAVAKLLGLLHVESAPATKQKTVRMVRIHGVVWRQYPCFPTDFRDYLRLLD >LPERR02G23250.1 pep chromosome:Lperr_V1.4:2:20170840:20180743:1 gene:LPERR02G23250 transcript:LPERR02G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEISGNPIRRYGTHLRAVVDTNTSL >LPERR02G23250.2 pep chromosome:Lperr_V1.4:2:20176495:20180743:1 gene:LPERR02G23250 transcript:LPERR02G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFRDAVGGLDRDPFVALLSKLIGETRRLQNDPPALVPQEDLVAQHVVDALLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRAVSFVGMHMDVVPANPDEWDFDPFSLTFDNEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIAVFIANEENSLITGIGVDSLVKDGLLDNLKNGPLFWIDTADKQPCIGTGGVITWHLKAIGKLFHSGLAHKAINSMELNMEAVKEMQTMFYNDFPPHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTASVVKKLKEYVDDINEKLETKLQTRGPVSKYVLPDENLRGRLEITIDEDIMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEADV >LPERR02G23260.1 pep chromosome:Lperr_V1.4:2:20190482:20193549:1 gene:LPERR02G23260 transcript:LPERR02G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDALCAPSDTTALIYDTFNASATAAASFLFDNAATFCDAGVFGVAAPADDAATTGEKEATSSAAAPQRKKRRRRAKSCKSREETETQRMTHIAVERNRRRQMNEYLAILRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPQLKPKCDDTMPMESTTTTSNCSSSVTEDAPPSGADAPPFAQFFAYPQYVWCHSPRDSTTAASSSSFTSSPGAAAVALQAEHRPGLADIEVSLVETHASIRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYSLSVKVEEGCNLTTVDDIAAAVHHVFCIVDAEAASEQLLAAEQLAATAAKRELATYMY >LPERR02G23270.1 pep chromosome:Lperr_V1.4:2:20196457:20200927:1 gene:LPERR02G23270 transcript:LPERR02G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRPSRCVSDNDDGTLVGGIKAPSSLISAMPLASSDLPGRSLASWLPPPLPTPVTTFCGSSCTRSGSSIVRMSWWWWLSLRASAGSGDGETNPTVTNAMLQRQSGQLEWDLSQVSMHGTWNAWLHLGSRRRLSPSRNSPRQTEQSVLSTNPSPRLYLHTVILLISVSSIPSDSATLHGSWLLELSPSAPPPPLLPWRREPEPRILFRRELKVPQYLDMMV >LPERR02G23280.1 pep chromosome:Lperr_V1.4:2:20198422:20199573:-1 gene:LPERR02G23280 transcript:LPERR02G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPATLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDIAGSGSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRILGSGSRRHGSSGGGGADGDNSRSQEPWSVALSDGMDETLINKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGLVSPSPEPAEARRDNHHHQLILTIDDPERVHEEPQNVVTGVGNGGGNQDAKDRPGRSDDANGIAEIREDGALMPPTRVPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGVNTKRLAPAGRSCFSSRSGREKDSVLPM >LPERR02G23290.1 pep chromosome:Lperr_V1.4:2:20209553:20210178:-1 gene:LPERR02G23290 transcript:LPERR02G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDSVVSPKAVCLTFCRVPKYGRRLELLLTVVARGRCWYGELVSGGGWGTLCIVTCRCVRSHTGACGMGGRHVFGLLSRARVKDAARRRYLLEEVSHWAYMVARLGLGLPRGREADAEPSRAVPCDAA >LPERR02G23290.2 pep chromosome:Lperr_V1.4:2:20209553:20210319:-1 gene:LPERR02G23290 transcript:LPERR02G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGKSIYDLFRCAIRRAVCLTFCRVPKYGRRLELLLTVVARGRCWYGELVSGGGWGTLCIVTCRCVRSHTGACGMGGRHVFGLLSRARVKDAARRRYLLEEVSHWAYMVARLGLGLPRGREADAEPSRAVPCDAA >LPERR02G23290.3 pep chromosome:Lperr_V1.4:2:20209553:20210319:-1 gene:LPERR02G23290 transcript:LPERR02G23290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGKSTVCLTFCRVPKYGRRLELLLTVVARGRCWYGELVSGGGWGTLCIVTCRCVRSHTGACGMGGRHVFGLLSRARVKDAARRRYLLEEVSHWAYMVARLGLGLPRGREADAEPSRAVPCDAA >LPERR02G23300.1 pep chromosome:Lperr_V1.4:2:20210466:20211542:-1 gene:LPERR02G23300 transcript:LPERR02G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKIRGAMGRGEYEEEVENQRWPPWLKPMLATSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKNGGSGNGGGKKKKRAAALKDARSSDSEDSCTSTSDMSSVVQSFTPSTPPPTSASYRTGNKRRKGVPHRSPFGSLMVEF >LPERR02G23310.1 pep chromosome:Lperr_V1.4:2:20227330:20228514:-1 gene:LPERR02G23310 transcript:LPERR02G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLYSNPPGRRNDPNGTAARPAAARVRPHGARVVPAPPPHSTGGAPAAGRPPAVPRLALPRAAQAPSSPDLLRRLSFPHRLASQPSTPTPLATGSANPLSRTPARPAAPHGLTSRVFPMKWFKWTVDQFEHLNNFDNCEFHVMQLGPGGEYSLLVHHTKEELAPAMGALAEDDRRSAVARLYQSPWANECSSFLPTFFDNWNDDEDDGHCVEENGKINNNKLLE >LPERR02G23320.1 pep chromosome:Lperr_V1.4:2:20230426:20231031:1 gene:LPERR02G23320 transcript:LPERR02G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAAVAVTGGGADEWRCRKHPAARSGGGVCPHCLRDRLLRLCPNCAHVRPCPCTSCASPSSSSSASGEAAVGRVHTLIEREHRIARSRSVAASSSLAGAASSAASAAAAGAVGGRRKARVWGWPPFWKPAARDGVAAAAEEDEEEGMGLARSSSVSATAVEAKAAAAAAKARWGWHFPSPLKAFRHRRSSASIPERG >LPERR02G23330.1 pep chromosome:Lperr_V1.4:2:20233531:20236350:-1 gene:LPERR02G23330 transcript:LPERR02G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >LPERR02G23330.2 pep chromosome:Lperr_V1.4:2:20233551:20236350:-1 gene:LPERR02G23330 transcript:LPERR02G23330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTGPDI >LPERR02G23340.1 pep chromosome:Lperr_V1.4:2:20238818:20245969:1 gene:LPERR02G23340 transcript:LPERR02G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAAAVSEAEVAAPVPSYSNPWDICAPTVKSAEHNDDMDLYDLETNMQSEPLSTEQPSSNDEIGIVDVKTKQHSSSLLDSKLCNSGSCSEPCDVEDKQLFFSYSRSIESHLVMAKMVFVHSVLLKNILMNQSEGQQEDAHEFLSCLLDNLDKCTTDPKSKDNPSSFDEESIVKQVFGGRLKSQLICCECGHCSETYEPFLDLSLEIDQVDNLVHALESFTKLEQIGHPEDKLICEHCNAKVCKSKQLMLDRSPDVIAFHLKRFTTLDNSVEKIDKHVGYPLELDLKPFHSDPDANKELKYDLYGVVEHRGLYSHGHYVCSVRSSPSTWHLMNDSDVETITEAKALDLEGYILFYVRQGKFQWFSSLLEQKDDLHPESTSGASPVSVLENIDIDCPTTSGEGINSSSGDNLEKDETSQFKTSSLLEEPAKGCSVDVIKDDLKDEIMPCISSHHDGVSIRCPVSGAELTNLERPSTPSPRRKRFFSDNELNVFEFEDFGKEDEEIHLLGNLKFPSKVKKAKGESASKSTKGPCIDKNVTRLVRSMPSARRKGMIDCLNSQINAEQNSRSCPRSDPLGKKKKLSVPVPINW >LPERR02G23350.1 pep chromosome:Lperr_V1.4:2:20245249:20248016:-1 gene:LPERR02G23350 transcript:LPERR02G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDKFPVWEAALLAGVAAAFAAGLVGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGLFQKQVAKRREKLLNYMLFLRVTPTLPNTFINFASPIVNVPYHIFFLATFIGITPASYVTVRAGIALGDLSSLSDLYDTQSIALLFLIGVVSVTPTLLGKNENKKQQGKASEMAASSS >LPERR02G23360.1 pep chromosome:Lperr_V1.4:2:20255320:20263053:-1 gene:LPERR02G23360 transcript:LPERR02G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGDDKKGKGEKEAAVKAEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGIAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLKTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKKISNGGESFTTMLNVVIAGLSLGQAAPNIATFLRARTAAYPIFQMIERNTVNKSSSGAGRTLPAVEGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGSILLDGNSIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDATVDEINHAAKLSEAITFINNLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKPSFSDSASISRPLSSKYSRELSRTSMGGSFRSEKESVSRYGTVEEHDEAGNPKRKPVSMGKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELREPAKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVQIDAGNDVKRVEGVIELRGVEFRYPSRPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLVLRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNIQYGKDGATEAEVIDAAKLANAHAFISALPEGYRTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENKNGAYHKLVSLQQQQQQEQMQRHP >LPERR02G23360.2 pep chromosome:Lperr_V1.4:2:20255320:20263519:-1 gene:LPERR02G23360 transcript:LPERR02G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGDDKKGKGEKEAAVKAEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGIAYLFPTTVSGRVAKMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELREPAKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVQIDAGNDVKRVEGVIELRGVEFRYPSRPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLVLRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNIQYGKDGATEAEVIDAAKLANAHAFISALPEGYRTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENKNGAYHKLVSLQQQQQQEQMQRHP >LPERR02G23370.1 pep chromosome:Lperr_V1.4:2:20268668:20270298:-1 gene:LPERR02G23370 transcript:LPERR02G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYANAFVTTGGGGGYGYGGGGDGEGDGGGDPFELFPESVLGLIVSKLLFRSAAFAATAAGHLAAWLAAAAGRGVERLELHLPRSRLAVLPPSLVACTNLTSLTLRLDHYAHPLPSLCSLTRLSRLHLASITLAGGDFFADLFSYCKDLRYLILEQCRIGALCLAGSTQLCSLAITDCSWTQQSSVAFSDMPALRTLHYSGAMASRHIIDNVDYLDEVVLAIKKPQVKLQEPNLRELLTLVGNVRSLMLSPWCIEQFARPEEWSKVRLNKVRQLACIIERREEGASSIAPLLANCQNVEDLSVSVVPSQCKRRWGSEDGAYQGVLGGKGVALKHLRAVRMVYIDESKSGLELVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDAEIQFSATG >LPERR02G23380.1 pep chromosome:Lperr_V1.4:2:20271568:20274552:-1 gene:LPERR02G23380 transcript:LPERR02G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTVEYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRMISAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLIREVIKYAASISNCRGVYLHVISYNQPAISFYNKMLFKLVRRLPLFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIITSFVVDFRAFLKMVAAKFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGNDTRCHV >LPERR02G23390.1 pep chromosome:Lperr_V1.4:2:20281662:20298118:1 gene:LPERR02G23390 transcript:LPERR02G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREASSSSSPAEEADTVHWDAREAAAARLEKMVRGEDELSEEQIQANNKIQEDELLALQAIYGMIWFSSTTMMAFISLHYQLSGDVRVYLNVCFNGKTETGADDESDRLLYACNLQHLPPVVLTCLLPRSYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQQPAGQEVVYRWVDWLSTSSWSCIASDDQIVLGPDADSAGGDDRAIGRSRCFDSIIPLIQPKRDHMKVLLKASMSEETSSKLPCGHSFCVKCMETQCVIHVKEGSVTSLTCPDTSCRRPLPSAVMRGLLSDGDYARWESLVLRRMLDTMPDVVYCPRCSAACVAADDDAQCSGCFFTFCAVCRERRHVDGTCVPPIQQLDILLERQMEKRPSAAAAADRLSEQRRMEELLSLREVIRTSRQCPSCKMAVSKTMGCNKMVCTNCGRPFCYRCCKPISGYDHFGRECNLFDRISKRWLPGQPAWVNLDYDFDEIAETSAWVRAIRYPCPICGAKRTKSGNNDLLLCRVCRTQYCALCSKKSSERSGMRARPPLCAEEGGDELREAIREERRQASRAEGNSNLTQSISSLEDEGQVSSCSPVATVEITEMSRELSSSSVLAEEADAGHWDAREETAARLEKMVYGENELSEEQIQANDQIQEDEDAMEWRRKNYDVSSSASYIPSAKAPEQPLGRPHSSCVKCMETQCGIHVREGSLTRLTCADTSCRRLLPPAVLRGLLDDCDYARWESLVLRRMLDTMPDVVYCPRCSAACITAGDDAQCSGCFFTFCAVCRERRHVGDSCVDPNQKLNILLERQKEERPADSHMLAEKRKIEELLSLREVIRSSRQCPSCKMAVSKKDGCNKMVCGNCGQFLCFRCGKAIGGYDHFNGKCGLFDSRWVSGQPEWMNLGYDYDEIMEVTRRPPAWIRSVRYPCPSCCAKRTKSGNNDLLVCRRCRTQYCARCSKRVWIVAEHYEQIH >LPERR02G23390.2 pep chromosome:Lperr_V1.4:2:20277512:20281820:1 gene:LPERR02G23390 transcript:LPERR02G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEASSSASAAAAGVAVRDAGDDQPSASGAAAGVAVRKVGDDKPSASGSAAGMALRDVGDYNPSSSAEVDIAYWAAQEEAAARLESMAARARGEDDLSEEELEANNQLQEDEVIALKAIFGDDMVILEDKDTLRFIQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIFRWVDWLNSSLWSCIALNDEISYSEKRSHKIFSESFFVCGICLSEDSTAQGVMLLAWKLTTMLNVPVVFLLSALCANNAAMWEIHERQKLHSIPEEQLLREQRETDELINVQEALRDAKQCPRCKMAISKIEGCNKMTCSNCGRFFCYRCNEAIGGYDHFWNGNCTMFEVQQFQNPQQQGDENDVFDQDDEELLEPIWVPFTYPCPTCGRRNEKWGTNNHILCTGCRGHYCALCRKRIPRGTQHFGARAQPISDKFLPIARL >LPERR02G23400.1 pep chromosome:Lperr_V1.4:2:20298784:20301444:-1 gene:LPERR02G23400 transcript:LPERR02G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVADLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGIEAVFKVYPGSRSGASEADLMAVNELRTHVFLQNDASDISENIQFLLGAFETATGEQVHHISLSFHKLLVIQCCEAHTAFVQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKMELRRYFVLKLLNGAMSGLVHMHNHDRLHQSLGPSSVVLKKLYRYFLADDRLLEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >LPERR02G23410.1 pep chromosome:Lperr_V1.4:2:20305838:20306924:-1 gene:LPERR02G23410 transcript:LPERR02G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTADEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVAQSQRDQQQQRPTKNKAKAADASDADTHSPSSSASSSTTANNNGGTACGESKAPETIDVSLLEPDIDISDMLLDAPPPLTDPLLAAAPPMPPSSPCSSSSLTTTTCVGAVSGDQLLDLPEIDIEPEIWSIIDGYGDATVTCTTAAANAASASPGEDEGTELWLENLEKELGLWGPTDEPMAHPDPLGQVCYTGPLTETQGDPVSTYFQEIGSSAVLS >LPERR02G23420.1 pep chromosome:Lperr_V1.4:2:20317154:20320757:1 gene:LPERR02G23420 transcript:LPERR02G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLSYFNIFLRMGHWDFTFLIFQLLFTYFRVFWYVVLWSFIFLMLALLYNLFRACRTMVICKFTILMLAILIRHHNFLCLCSSISCSGCNLAVCISYPCCPCKDISVTHDC >LPERR02G23430.1 pep chromosome:Lperr_V1.4:2:20318536:20319946:-1 gene:LPERR02G23430 transcript:LPERR02G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKHQNKISWSSFPFPVSSYMLKCKAMLFSGDERSQFAYITFQDDQGAERAMLLTGATIVDMAVIITPATNYQLPAAVLADLESKNSGGVESALRKAEDAVGSMLAKGFVLGKDALEKAKAFDEKLQLTSTATAKVSSLDRKMGLSQKFSTGTSVVNEKMKEMDQKYQVAEKTKTALAAAEQTVSTAGSAIMSKQELENQESEIPMAHSQENVEIAEKENKHQEAELPKTDLPGSFLMSEQNEHEQKHPDSQLSKTHIPGSPVTIPVGAAATDGNPSNTPKKPEHAQGFI >LPERR02G23440.1 pep chromosome:Lperr_V1.4:2:20322064:20322333:1 gene:LPERR02G23440 transcript:LPERR02G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGLIWATAEDLARNRPVVLSLYRQILRALNSPELPLGHAARLAKKAECRAIFIFGAEERSLHNIRDLLDAARHTLGLLNRGRLP >LPERR02G23450.1 pep chromosome:Lperr_V1.4:2:20322871:20328645:-1 gene:LPERR02G23450 transcript:LPERR02G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLGVSGAGAGDDEEETSPIEEVRLTVPAGDDPTLPVWTFRMWSIGLFSCVLMGFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRRAFRAPAFLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYKRSISFIAAWLLIITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEESPNGSRQISRSKFFLVALICSFAWYAVPGYLFPALTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSAISSFLFSPLISPFFATANIFIGFVLFLYVLIPIAYWGLDLYNAKTFPIFSSHLFMSNGTAYDITTIVNDKFQLDVDAYNQHGRINLSVFFALAYGLSFATIASTITHVGLFYGKEIYHRFRASQTEKPDVHTRLMKKYDDIPGWWFYSLMVLSITVALILCTVLKHQVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAIGLIMPGYPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLFIGTIVAGTVNLGVAWWLLGSVKDICQDSLPADSPWTCPNDRVFFDASVIWGLVGPLRIFGPEGNYGALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATSLNYNSWLLTSTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLASCPTAKGVDLGPTSVCPVF >LPERR02G23450.2 pep chromosome:Lperr_V1.4:2:20323552:20328645:-1 gene:LPERR02G23450 transcript:LPERR02G23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLGVSGAGAGDDEEETSPIEEVRLTVPAGDDPTLPVWTFRMWSIGLFSCVLMGFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRRAFRAPAFLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYKRSISFIAAWLLIITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEESPNGSRQISRSKFFLVALICSFAWYAVPGYLFPALTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSAISSFLFSPLISPFFATANIFIGFVLFLYVLIPIAYWGLDLYNAKTFPIFSSHLFMSNGTAYDITTIVNDKFQLDVDAYNQHGRINLSVFFALAYGLSFATIASTITHVGLFYGKEIYHRFRASQTEKPDVHTRLMKKYDDIPGWWFYSLMVLSITVALILCTVLKHQVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAIGLIMPGYPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQANITSEPTHKD >LPERR02G23450.3 pep chromosome:Lperr_V1.4:2:20322871:20323535:-1 gene:LPERR02G23450 transcript:LPERR02G23450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDINFTNPMFIGTIVAGTVNLGVAWWLLGSVKDICQDSLPADSPWTCPNDRVFFDASVIWGLVGPLRIFGPEGNYGALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATSLNYNSWLLTSTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLASCPTAKGVDLGPTSVCPVF >LPERR02G23460.1 pep chromosome:Lperr_V1.4:2:20347109:20350175:1 gene:LPERR02G23460 transcript:LPERR02G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEASFFLAAVFAVAATFLCLSTAAATAASGFVVPSIAFDEGFSPLFGDDNLVRSSDGKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHTGMGGDFPAKPMAVYATIWDGSAWATDGGKYKVNYKYAPFASEFTDLALHGRRADPVLRAPRGRDEPDLLFRLLTADYAVMTPQKRAAMRAFRGRHMTYTVCYDAVRYADGPFPECDNSYVEKESFSAWGESKNVVLKARGGRGRRRGRKAGAGAGAGAIGRLDVSSS >LPERR02G23470.1 pep chromosome:Lperr_V1.4:2:20358329:20365844:1 gene:LPERR02G23470 transcript:LPERR02G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVALKHVSCGARLRRSCDASLRLGGSMRDPFLKHNVEKFDLSSLNWIDEIPECPVFSPSIEEFEDPLVYLSKIAPVAAKYGICKIVSPLCASVPVGAVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGISKWNLKRLSRLPRSTLRLLRAAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAQDFEKVVREHVYDHEILSGEGENAAFDVLLGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRIPLLPYEELLCKEAALLAHEFATSDRKDTTALTGDICSERCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRLDAICLCHEEEIRRCHCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMTRCDGSTQHSNLLSCIDHEAEYYPYCEIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRTFSSSCPEVTPENATSNAYRLSTPDQTCLSEKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTANFTENQVLKRLKKIDARGRQEQKLPELSCGVRSELVHTDDCRHCVDFISENGDDLIAPTKSKMTHQLDTNIAEDEVASGQRYNSCNYQSPSIDLGPKRLKIRGPSFPSRISELEEITSTDCHVAYATK >LPERR02G23480.1 pep chromosome:Lperr_V1.4:2:20372932:20378603:1 gene:LPERR02G23480 transcript:LPERR02G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPSTKSMDLGFWKRALESSTTTTTAAAATAAAATTTSPSIIPTSSSPPATSGGVGAGGFYLQAAVAPANGHHHHHQHHHQLGVGGAFQFLHRTQPIPPQDAAGGGGGVPDLAFARPIRGIPVYNTSRPLPFLNGHHHHQHCYGGADAIGGAAAAGPRSPNKQAAALRLAAAPARSMRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPSYGQAKTIIEIPDDNMFDINNTSGSESSVQQQSNLDGNEQASNMCALWSNNSSSRGAWFHDKSRDATPGDIKSFEDVQSQSPENDASDLNSPPFQIPETVSAMKPNLDFTLGRM >LPERR02G23490.1 pep chromosome:Lperr_V1.4:2:20385308:20396485:1 gene:LPERR02G23490 transcript:LPERR02G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSELWDDSVLVDAFDHAVATFKAAHGKNTQTTTSEIEEPEDPATAAPVPTGEEPISTEVADELIEKDGSQTDLPCEASETLCQTHDEERKSTEQAPLQETDLEKETHFSEPKMPASDVTDADQKDTTNQQTWDYNELVKKYYELEEQSRKVLEQLHHTNYWNYQADGQTSVYQQPQVPAYSATAPDPHSSTIPSPCVCANVPLVSVSCCSTGQTSGVSSCVQPSGGCSISLTCDQCPGTSTTYSGGATCMPQPEKVSTDGDQVAKAAMMTAEGAMNFMRSTISGDSGSFPRTDAASGKENMTMGMNPNFNTMGADGDLAVVLNAWYAAGFYTGRGVRKGNKERREIISIWKSEVTTGQHSRWHQRKPQLQGTVDTQELTEEATPTARQIYAYEAFRARSGSILAAPVGFVAGAGRSLAGKKFY >LPERR02G23490.2 pep chromosome:Lperr_V1.4:2:20385308:20396485:1 gene:LPERR02G23490 transcript:LPERR02G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSELWDDSVLVDAFDHAVATFKAAHGKNTQTTTSEIEEPEDPATAAPVPTGEEPISTEVADELIEKDGSQTDLPCEASETLCQTHDEERKSTEQAPLQETDLEKETHFSEPKMPASDVTDADQKDTTNQQTWDYNELVKKYYELEEQSRKVLEQLHHTNYWNYQADGQTSVYQQPQVPAYSATAPDPHSSTIPSPCVCANVPLVSVSCCSTGQTSGVSSCVQPSGGCSISLTCDQCPGTSTTYSGGATCMPQPEKVSTDGDQVAKAAMMTAEGAMNFMRSTISGDSGSFPRTDAASGKENMTMGMNPNFNTMGADGDLAVVLNAWYAAGFYTGRGVRKGNKERREIISIWKSEVTTGQHSRWHQRKPQLQGTVDTQELTEEATPTARQIYAYEAFRARSGSILAAPVGFVAGAGRSLLVKMSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKEARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANLSQQTFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAASENTERPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSIEGPKKQDPKKDDLISF >LPERR02G23490.3 pep chromosome:Lperr_V1.4:2:20385308:20388527:1 gene:LPERR02G23490 transcript:LPERR02G23490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSELWDDSVLVDAFDHAVATFKAAHGKNTQTTTSEIEEPEDPATAAPVPTGEEPISTEVADELIEKDGSQTDLPCEASETLCQTHDEERKSTEQAPLQETDLEKETHFSEPKMPASDVTDADQKDTTNQQTWDYNELVKKYYELEEQSRKVLEQLHHTNYWNYQADGQTSVYQQPQVPAYSATAPDPHSSTIPSPCVCANVPLVSVSCCSTGQTSGVSSCVQPSGGCSISLTCDQCPGTSTTYSGGATCMPQPEKVSTDGDQVAKAAMMTAEGAMNFMRSTISGDSGSFPRTDAASGKENMTMGMNPNFNTMGADGDLAVVLNAWYAAGFYTGRNGSAGIASRNCVEICM >LPERR02G23490.4 pep chromosome:Lperr_V1.4:2:20389206:20396485:1 gene:LPERR02G23490 transcript:LPERR02G23490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKEARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANLSQQTFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAASENTERPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSIEGPKKQDPKKDDLISF >LPERR02G23490.5 pep chromosome:Lperr_V1.4:2:20389206:20396485:1 gene:LPERR02G23490 transcript:LPERR02G23490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSTCTVYFNAELLFSGDDFVSLLVKMSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKEARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANLSQQTFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAASENTERPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSIEGPKKQDPKKDDLISF >LPERR02G23500.1 pep chromosome:Lperr_V1.4:2:20393441:20395861:-1 gene:LPERR02G23500 transcript:LPERR02G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAAPEAAQPQVVAAAVAVEAVEEAPELTIFRSKLPDIDIPNHMPLHEYCFARAAELPDAPCLIAAATGRTYTFAETHLLCRKAAAALHHRLGVRQGDRVMVLLQNCAEFAVSFFAASFLGAVTTAANPFCTPQEIHKQYRASGSKVIVTQSVYVDKLRHEAFHDDEALTVITIDDDEAPEGCLPFWGLIADADEGSLPEVTISPDHPVALPFSSGTTGLPKGVVLTHGSLVASVAQQVDGDNPNLHMGEGDVALCVLPLFHIFSLNSVLLCAVRAGAAVMLMPRFEMGAMLQGIERWRVTVAAVVPPLVLALAKNPDVERHDLSSIRIVLSGAAPLGKELEDALRARLPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKVIDPDTGFSLGRNLPGEICIRGPQIMKGYLNDPEATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLVAHPSIADAAVVPQKDEVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFTHAIPKSASGKILRKELRAKLVAC >LPERR02G23510.1 pep chromosome:Lperr_V1.4:2:20399573:20401518:-1 gene:LPERR02G23510 transcript:LPERR02G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLSKAQHQVGITTPSQAQAASEQASFKGRDQAACAAASSPPLRSLDDVRKAHARHVKLGLDRSPRHARPLLAACALAPEWPGSMAYAASIFAALDEPEAFDYNTLMRGYVGGRGDRDPAAALRLYVDMVEDGVEPDSYTFPFVFKACAQLSALREGRQLQGHLVKLGLQHDEHAQNSLISFYGKCGEADLARHAFGQMGDDEKTTASCSALLAAYTRVGRWADCVESFGAMVREGWRPDESSMVSALSACAHMGTYDVGRSVHCALLRNTARLNTFMSTSLVDMYAKCGCVEKAAAVFDAMDDRDKNAWAYSAMVSGLALHGDGRKALDVFDTMVREGHRPDAAVYVGVLNACSRSGLLEEGLRCFDRMRLEHKLTPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGNLNLAERALQELTRLGANNAGDYIILADMHARDKNWDAAAARRTEAVDRGLAQAPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYRPDTSEVALDVGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHRFKSGACSCRNYW >LPERR02G23520.1 pep chromosome:Lperr_V1.4:2:20403838:20408854:1 gene:LPERR02G23520 transcript:LPERR02G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAVLTAAGAVALSAERAYADGGGPAFRFPGFSTSPGPLPAAPPPPPMTPPAQQAPAPPPEERRKVRLDQPRTSAAGFDPEPLEEAVKMLQEIEKSPHGKKMFDILKQREDARRAESITKKVELQKELAALELERTRVDYDERKKLEQQRAQIKSQIARYEDELARKRMQADHEAQRMRNQELVKMQEESAMKQEQMRRAIEEQIQEERRKTDIGKAKLDRDTIRQKLMAEAEAKVHEKKLSEDIDRRLLIDKAKADKEKWVEVINTTFEHIGGGIRTVLTDQNKLVVVVGGATALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMASKLNKGSNLGKNENGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRRQPQKIEMKGITDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKDCVLTPDLFREVVDYKVAEHQQRRRLAGYEQNNA >LPERR02G23530.1 pep chromosome:Lperr_V1.4:2:20409466:20410886:-1 gene:LPERR02G23530 transcript:LPERR02G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPTMNSEESDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERMSTAGARVMTDLPVVKEGSQLDSDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESMRPAE >LPERR02G23540.1 pep chromosome:Lperr_V1.4:2:20411077:20419941:1 gene:LPERR02G23540 transcript:LPERR02G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLHATTSLHSPCTTNASFRQNQIIFFTTRSNRRGGTRHGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIDKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFSYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIVAERAGAPTEAAKV >LPERR02G23540.2 pep chromosome:Lperr_V1.4:2:20411077:20414221:1 gene:LPERR02G23540 transcript:LPERR02G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADENPFSNGGRGGGGARGGGGGGGGGGGKSQFSFGFGGLGSGGGSKGGATVDIPLDNMSLREDSKGKGKELLQWEADLKRRESDIKRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGVPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQRAQVKWAVEENVTSPPTIV >LPERR02G23550.1 pep chromosome:Lperr_V1.4:2:20418807:20419919:-1 gene:LPERR02G23550 transcript:LPERR02G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEFRDWANLPELPLSEVLRGLLPCLRSVHAFAAACRPWRRLLRDSTAELVRPRVPPLLLRCPAYHVVPFSPLVAAAPPFSRSYRLPPSDCVLLSASRGYLVLLRRSPFHSLHLVDALTGGMRHALPLPSPHLAYHYAALAPHSRLLLFHSKHAFFSLPVGDDRRRDWIKHSLPRAASFVRSIIEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLPAAGLPDATTFDRWHFGPHLVAAGDRLLLVLFVLSPKLGVGVFQARLGVKKVGVYALDMAKMRWEEVENIGAYSLFVDCAGRSTAACVDAGNCGVEENRIYIAAPGFHGWYACPPGLEVPLGGQGHGPLSIQATKRPPWPSQIWIYPRLFF >LPERR02G23560.1 pep chromosome:Lperr_V1.4:2:20431973:20435992:1 gene:LPERR02G23560 transcript:LPERR02G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMDGDQASAAAAPAAGDLADIVRAGGAPLATEWQQLPPAAVETGLFPLPPPSSDAADVFGGGDPFVGLPDPFGGDYPSSSSADFFDAVAKVGGFVDVVGAGGGLDGGVGGGLMGMRKQPILPRGMLAPSMSPRAIRPYPLMNGDTVKLGAPMAGGGGPCGAFDAAAGLHMSSTSPHAGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHHHHAKNNSSSASKNNDSYHQKPLVKAEPNDQSAATTSATETTAAGGGAVKEESTTMLLAKQQQKSMGDNNANSSSSTAEQAAVVEHSDLMQQMFSQSYRPMIPELAGGGHHDDFFADLAELESDPMSLIFSKEYMATTKQPGGGDPDKENAVGKDLVDPVYMLDWSTTTVVTTAGGSSFVQGEGGL >LPERR02G23570.1 pep chromosome:Lperr_V1.4:2:20459023:20461871:1 gene:LPERR02G23570 transcript:LPERR02G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMEKGPRALLLLPESHGPKIEDDSLESTAFNGISTNLVVYLETVLHGSNIASASNVTTWFGTSYLTPIFGAIVADTFLGNYNTILVSLAVYLLGMMLVTFSAFLPTATDALCAAGAAASCDTGTGGVVAAQTVAFVGLYLVAIGSGGVRSSLLPFGAEQFDNDDAADRERKAAFFSWFYLAVDFGLIVSGVLLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRLPTGTPLKSLCQVVVAAFRKVAVEIPADAELLYEVVNDKVDSSQPKIAHTGEFAFLDKAAVVSESDLEETPDAAARSWKLCTVTQVEELKILLRLMPIWATSIIVSAAYSQMNTTFIQQGSAMDMRILSVPVAPASLGSFQVLCVLAWVLLYSKVIVPALRGFVAGGEPSQLQRMGAGRLFMALSMAVAALVEMKRLDAGGEKITIAWQLPQYFFLAGAEVFCYIAQLEFFFGEAPDTMKSTCTALALLTVALGSYLSSLIYAVVEAFTAAAGRPGWISDDLNQGHLDYFFWMMAAMCTLNFVVYSGFAKNYKLKTVLS >LPERR02G23580.1 pep chromosome:Lperr_V1.4:2:20463026:20466852:1 gene:LPERR02G23580 transcript:LPERR02G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRTWHIQGSCALKGDGLYEGLDWLANTLKELQASGRLPSGGTSLF >LPERR02G23590.1 pep chromosome:Lperr_V1.4:2:20467460:20474965:1 gene:LPERR02G23590 transcript:LPERR02G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPASTSASDGGMLSRRLVMLPAAGALARARARMRLGCVLEHVAPRLAVASAALVGAGEVIAAAAAVGKGGGAGHAAVASTLAQLTVTAVAIASGACLSTKVDFLWPRIEKLPDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFKKMRSELTSMWNSTNKVKSTRRSSIRSGLPASMKDAHTTSIHDLFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLQSSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIRQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVDYRSGTSNTIGQSTSYPSSSSEDENYIQDVMPSKYSSIKVGHPVLRIEGSQLLAAVIVSMEKGGKELLVAVSFGLEASEAVAERRSCFLLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGMFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKETLSLTTDSSNTDRSTPEPSSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVSTCEPILREVAIICWPYGKIMRMSLGSTAADAARRMGIEGKLLWVNGQLVLPQTELKDGDIVEVRM >LPERR02G23600.1 pep chromosome:Lperr_V1.4:2:20475224:20479057:1 gene:LPERR02G23600 transcript:LPERR02G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATMAMDLHALSRRELQALCKRNGVRANMTNAAMAEALQSLTSVDGIDEIGTTLCLPTPGRSTMKSALKAAAAIGEEDEEQKQHGSPLPRGRRVSVMSPEAIRMDVEEGEDEMKRDLGKEIVRTPGVALRSTSRRARATPAPIAAQKMGEVAAPTPATLRRSLRTAAKKAPAPVEEVVTTAKRTTRRSARSKVMIDLDQEVDEVAVALQEVKVQEEDLKDVASDEKCDDPEEEEATKILEGGSKEEESEQGEEVSSAAPTILAMSDKSCDNPEEEEVVVVIANGEESAQTQEMEGAGKGQELILAEDSTPLPIMEDSPILGVLSKPEPVEPLSEKVEEASVGEWSAVKEIICEINCATDDKEVDADEVPEEKLPADVTGDKTSEVKEVSAVEMPQTDLTGNETSEEEGLDEVKEGTADEMLQVDLTDAETSEEADLDEESSEESDLDEVSSEEDDLDEESTDDETDGESEPSEVATDSDEEEVEMLQVTMKDDLSAEINKADDEEDDFSSDLLPEFDCAGNFSDAETESDTTVVDSSATKVTEASSEEEASQQKVESTLDTIVKSLDEFTFKVEDTQQELTEEMKNTDDAEAVGAKVLKKKKPTVEELEAKSLRKLKTMYKEAAKAAAEGKKLALAELDDNTTVDC >LPERR02G23600.2 pep chromosome:Lperr_V1.4:2:20475515:20479057:1 gene:LPERR02G23600 transcript:LPERR02G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATMAMDLHALSRRELQALCKRNGVRANMTNAAMAEALQSLTSVDGIDEIGTTLCLPTPGRSTMKSALKAAAAIGEEDEEQKQHGSPLPRGRRVSVMSPEAIRMDVEEGEDEMKRDLGKEIVRTPGVALRSTSRRARATPAPIAAQKMGEVAAPTPATLRRSLRTAAKKAPAPVEEVVTTAKRTTRRSARSKVMIDLDQEVDEVAVALQEVKVQEEDLKDVASDEKCDDPEEEEATKILEGGSKEEESEQGEEVSSAAPTILAMSDKSCDNPEEEEVVVVIANGEESAQTQEMEGAGKGQELILAEDSTPLPIMEDSPILGVLSKPEPVEPLSEKVEEASVGEWSAVKEIICEINCATDDKEVDADEVPEEKLPADVTGDKTSEVKEVSAVEMPQTDLTGNETSEEEGLDEVKEGTADEMLQVDLTDAETSEEADLDEESSEESDLDEVSSEEDDLDEESTDDETDGESEPSEVATDSDEEEVEMLQVTMKDDLSAEINKADDEEDDFSSDLLPEFDCAGNFSDAETESDTTVVDSSATKVTEASSEEEASQQKVESTLDTIVKSLDEFTFKVEDTQQELTEEMKNTDDAEAVGAKVLKKKKPTVEELEAKSLRKLKTMYKEAAKAAAEGKKLALAELDDNTTVDC >LPERR02G23610.1 pep chromosome:Lperr_V1.4:2:20480015:20480515:1 gene:LPERR02G23610 transcript:LPERR02G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVELPSA >LPERR02G23630.1 pep chromosome:Lperr_V1.4:2:20485725:20492574:-1 gene:LPERR02G23630 transcript:LPERR02G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLPLQSSSAHNAAAGGGAGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKNTAKLWVFEDGEMVNRDVTYVPGLYKIFDEILVNAADNKQRDPTMDALRVEIDVEECRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLSNIFSTEFVIETADGRRQKKYKQVFSENMGKKSQPQITKCKQGENWTRVTFKPDLAKFNMTELETDVVALMRKRVVDMAGTLGKTVKVELDNEKVPVRSFADYVNLYLKSASNDSADPLPRYFEKVNDRWEVCVTLSEGQFQQVSFVNRIATIKGGTHVDHVTNQIVNPLMDVVKKKNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRPTSFGSECKLSDDFHKKVGNSAIVSNLLSWADYKLSKELQKTDGSKRSRLAGIPKLEDANDAGGKDSPMCTLILTEGDSAKALAMAGISVVGRDHYGVFPLRGKLLNVREASHKQIMDNAEIQNIKKILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIIKATNKRNKKVVLSFYSMPDYEQWKESLGGNASCWSIKYYKGLGTSTSKEGREYFTEIAKHKKDFVWKSAQDDNDIELAFSKKRITDRKQWLSNYQPGNHLDQQEKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLMPNGQFGTRDQGGKDAASARYIFTELSSITRSIFPKDDDILLNYLNEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNNEPVEPMDPWYRGFKGSIQKTSTKTGGVSYTITGIIEVVDDTTLKITELPIRRWSQDYKEFLESIGGLDKSKEKDKDKGKGKGKGKEKEKKEKDKVIEAFIETFATHSDDKNVEFLITLSKESMDIAKQEGLEKKFKLTTTISTTNMHLFDSNGIIRKYDTPEDILKEFFELRLEFYEKRKIALLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFKELQQKGFDPFPKKKQRAEPTAVGSTEEDEENEESPEAAKGVNPSDYEYLLAMAIGTLTLEKVQELIAEKGRLENDVAELKKTRPTSLWLRDLDAFEKELDVLEEKDRLDAEDRRNTRNTGDGAAPKPAAKRRAKKTATNSQAAESSEGNAAAAVVPKPAAPRKKPAGKAKLAGSDDEDYAAAASKPAAQKKQPAKASKQLSEDDDDEVLELKDRLAAYNIHDHSEDSAMETETTEEQAKGKKGRKEPSKRGAAKKALSSLAEMSDDDGDAIVPTDEDEEEDFAMEVQVEKKGRGRKPVAEKPKPAATRKRAPAQGKAMRQKVLDEMFKPTEDSSTSAPSPERKVRKMRASPFHKKSGSVLQRGSTAASTSTADIESSSPSGSSAEPVAARPQRQIRGNKKSYQEVQELSDDTDDEVQEISDDSDFSGSDFGEDDD >LPERR02G23630.2 pep chromosome:Lperr_V1.4:2:20485725:20492574:-1 gene:LPERR02G23630 transcript:LPERR02G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLPLQSSSAHNAAAGGGAGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKNTAKLWVFEDGEMVNRDVTYVPGLYKIFDEILVNAADNKQRDPTMDALRVEIDVEECRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLSNIFSTEFVIETADGRRQKKYKQVFSENMGKKSQPQITKCKQGENWTRVTFKPDLAKFNMTELETDVVALMRKRVVDMAGTLGKTVKVELDNEKVPVRSFADYVNLYLKSASNDSADPLPRYFEKVNDRWEVCVTLSEGQFQQVSFVNRIATIKGGTHVDHVTNQIVNPLMDVVKKKNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRPTSFGSECKLSDDFHKKVGNSAIVSNLLSWADYKLSKELQKTDGSKRSRLAGIPKLEDANDAGGKDSPMCTLILTEGDSAKALAMAGISVVGRDHYGVFPLRGKLLNVREASHKQIMDNAEIQNIKKILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIIKATNKRNKKVVLSFYSMPDYEQWKESLGGNASCWSIKYYKGLGTSTSKEGREYFTEIAKHKKDFVWKSAQDDNDIELAFSKKRITDRKQWLSNYQPGNHLDQQEKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLMPNGQFGTRDQGGKDAASARYIFTELSSITRSIFPKDDDILLNYLNEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNNEPVEPMDPWYRGFKGSIQKTSTKTGGVSYTITGIIEVVDDTTLKITELPIRRWSQDYKEFLESIGGLDKSKEKDKDKGKGKGKGKEKEKKEKDKVIEAFIETFATHSDDKNVEFLITLSKESMDIAKQEGLEKKFKLTTTISTTNMHLFDSNGIIRKYDTPEDILKEFFELRLEFYEKRKIALLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFKELQQKGFDPFPKKKQRAEPTAVGSTEEDEENEESPEAAKGVNPSDYEYLLAMAIGTLTLEKVQELIAEKGRLENDVAELKKTRPTSLWLRDLDAFEKELDVLEEKDRLDAEDRRNTRNTGDGAAPKPAAKRRAKKTATNSQAAESSEGNAAAAVVPKPAAPRKKPAGKAKLAGSDDEDYAAAASKPAAQKKQPAKAKGKKGRKEPSKRGAAKKALSSLAEMSDDDGDAIVPTDEDEEEDFAMEVQVEKKGRGRKPVAEKPKPAATRKRAPAQGKAMRQKVLDEMFKPTEDSSTSAPSPERKVRKMRASPFHKKSGSVLQRGSTAASTSTADIESSSPSGSSAEPVAARPQRQIRGNKKSYQEVQELSDDTDDEVQEISDDSDFSGSDFGEDDD >LPERR02G23640.1 pep chromosome:Lperr_V1.4:2:20495390:20500481:1 gene:LPERR02G23640 transcript:LPERR02G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVAWVNKACLLQAVKPNILAEAGEEQLYVVAQLSVRCLSLKGERPTMKEVPSVLNELRRSLVKDKTIRGKEVYPHKNEEEEYLLPGSGDAVSTISTAQILRSSGYAAAEPAALRALSDIAGRYVASLGRGASAIAEARGRTEPNLADLTLALEDHALAGFVRAVREVPFPKPLPRRGGGAPRGKAWESFAAAGKESPLKHVPPWLPCFPEEPEPKPKAAAPKWEEKGEAGAADQAGEVKPSCDGGERRGVVPEKRGKVSFRLGAEKKKRRVGLDKQYGGGFEQFEEKRENSAATIRHGRAASSGRSAMAAMEMEEMRRRCPVPVTPLPVEGLKTA >LPERR02G23650.1 pep chromosome:Lperr_V1.4:2:20496293:20498770:-1 gene:LPERR02G23650 transcript:LPERR02G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLLVLPLLLLVCLPLPSSADTIPPYAATCHNVSIPQPFGLIGSYTVGPEPVPGFEIICGSSGPMLPINGTTYRVLDISLSNGSLRILGNTIAWECHNTYGSNQAVNNLNLERTRFVFSDTHNKFTAVGCDAMAMLLSGTGHGGNGAGSRYSGGCVSFCATNGSILDGTCSGVGCCQAPMPQGLKNLKLEFQSIRKISGSAKDGSGEPCSKAFIVDKDLYKFSHHDLQSDPRSESWPLVLEWSISDGCCEEVRNTTAYACMENSECYDAPNRIGYRCNCSQGYNGNPYLPPTHGGCTDINECMDKSSNPCTHKCINKIGGFNCKCPMGMAGDGKNGGSGCSGSLGLVLFLVILGFWTYWLMKKRKNTKQKQLYFLQNGGLLLQQQISAQRAPIRIFILNELKIATNNFSERHIIGRGRYGTVYKGLLSDETAVAIKKSKFVDESQMEQFINELTEIPLLVYEFISNGSLFHHLHNNKLTPISWERRLKIATETASALSYLHLATDVPIIHRDVKSANILLDEIYVAKVSDFGASSLLPCNQTHVTTLVQGTFGYLDPEYFQTSQLTDKSDVYSFGVVLVELITREKPITCHRPDEGRNLTSHFTTLAQENRILDIIDSTLVKEAGIRHVNAVAQLALKCLRLKGEDRPRMVEIAIELEALRRLMKQHLSLKCEKELRKLRRRQLVLDGNDSQFFSEENCQDQICADKMSNLGHNDIDMDEGMQSSP >LPERR02G23660.1 pep chromosome:Lperr_V1.4:2:20501774:20505778:-1 gene:LPERR02G23660 transcript:LPERR02G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSEGGGGGGDGEEACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQSSIPANISVCSKHNKDLGSTRYKSKKSKKRKGASASSDVKKEPEQYVLHAGSKRNFSDSPTRGSSENPFGNNLGDISHVRHIQAGASLESKEIITTTEKIRSDGSDFDESIEKCDKHQPLVQVLQSSPKLPHQSPHSDDYGDVLTQVEMDRSPANYRAKRSRYIFLPTDSGETYSHSDLPTVQVASTGGDFETESYLHYPASLSEEQTSSDLVEKHISESSERECSESETEDDAELLRSSNLIVRPALHAHDPYFLPASDEFRHVNIDAHADELTYSSYMCRVNESEEDGSSDPGVSQWHMKGKRNIRSAPKRSDMTDGNPWLDKSDGFTEGSPHEINGRNPIQGSVQISNQQSPGQNFYQIKEELSYDSDETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKTSPLNRDSDKIFHFDRNAYWNGSSFYQKYSSRLGGMGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEIVEDGSTDHLVSCGDISLEGRTGGQPAWFKGRRTAMQRIPRSNPSGASLDGDDEGSLAYEDWEMKPDFRKYSTSNNQVKKSNSNVRRSPAFKSQKKPSKKANLSNQKVRTLSSISTGKRHHGPGHAKARRDTGIFGGLIKLGGAVPLVTCVPAKVVFTRILEAVGRPPLAVAHRVRMASPALRNPS >LPERR02G23670.1 pep chromosome:Lperr_V1.4:2:20515569:20519511:1 gene:LPERR02G23670 transcript:LPERR02G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVSISSEKSHGIAPRPPLQEAGSRLYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRRDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASSIQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHIAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASPTGMFNSFNHLR >LPERR02G23680.1 pep chromosome:Lperr_V1.4:2:20521792:20525398:1 gene:LPERR02G23680 transcript:LPERR02G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERMGYGGAGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFSSAAPPTIPSSENNNTGETPLADSLRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQSILEKAQNNLSYDSTGTASLEATRSQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDSLRNSSLGFQLYHGIQEPDDVKCSQDEGLLLLDLNIRGGYDHLSNSAMRGGESGLKISQHRR >LPERR02G23690.1 pep chromosome:Lperr_V1.4:2:20525945:20526593:-1 gene:LPERR02G23690 transcript:LPERR02G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGAGSLLLRHLSRRVAISTPPAAGVAASLRPPVIGGGEGAVSVWARLLSNSAAAAKEESAASKENTGSTAAAKAEATQAAKKGPNSNPVASSYWGIEQSKLVNKDGVEWKWSCFRPWETYSPDTIIDLKKHHEPKVMLDKIAYWTVKALRVPTDIFFQVTTTNFHQQAW >LPERR02G23700.1 pep chromosome:Lperr_V1.4:2:20529425:20541536:-1 gene:LPERR02G23700 transcript:LPERR02G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGQPEVSLATVRSPDHPAAADSSPADAGQKRSGEGAAVPVAHGLLALQWTSVAGADCMVVSGATGVTGRLPHGTPPPRRHLATSFPLRTAAASQTNPLAKPAAGAESTEPANGATPMGEYSTEYRGLPDGADAGAPPSSSSRTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGSMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALAGGAPRAFAVVGLTAVLTLLNFRGLTVVGWVAICLGIFSLIPFVVMGLIAIPKLRPARWLVVDIHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPRALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFAARSRYGTPLAGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLLEFAAFVVHRVRRPDAERPYRVPMGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPEIGVVRPPAAPDEALVP >LPERR02G23700.2 pep chromosome:Lperr_V1.4:2:20529425:20541536:-1 gene:LPERR02G23700 transcript:LPERR02G23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGQPEVSLATVRSPDHPAAADSSPADAGQKKPAAGAESTEPANGATPMGEYSTEYRGLPDGADAGAPPSSSSRTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGSMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALAGGAPRAFAVVGLTAVLTLLNFRGLTVVGWVAICLGIFSLIPFVVMGLIAIPKLRPARWLVVDIHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPRALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFAARSRYGTPLAGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLLEFAAFVVHRVRRPDAERPYRVPMGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPEIGVVRPPAAPDEALVP >LPERR02G23710.1 pep chromosome:Lperr_V1.4:2:20544384:20550084:1 gene:LPERR02G23710 transcript:LPERR02G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.2 pep chromosome:Lperr_V1.4:2:20544384:20551006:1 gene:LPERR02G23710 transcript:LPERR02G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.3 pep chromosome:Lperr_V1.4:2:20544384:20550084:1 gene:LPERR02G23710 transcript:LPERR02G23710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.4 pep chromosome:Lperr_V1.4:2:20545861:20550084:1 gene:LPERR02G23710 transcript:LPERR02G23710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.5 pep chromosome:Lperr_V1.4:2:20544384:20551006:1 gene:LPERR02G23710 transcript:LPERR02G23710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.6 pep chromosome:Lperr_V1.4:2:20544384:20551006:1 gene:LPERR02G23710 transcript:LPERR02G23710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.7 pep chromosome:Lperr_V1.4:2:20544384:20552726:1 gene:LPERR02G23710 transcript:LPERR02G23710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.8 pep chromosome:Lperr_V1.4:2:20544384:20551006:1 gene:LPERR02G23710 transcript:LPERR02G23710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23710.9 pep chromosome:Lperr_V1.4:2:20543924:20552726:1 gene:LPERR02G23710 transcript:LPERR02G23710.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETIKYQFPQIRGNQLAEVMASVSSEAVDLISSLCSWDPCKRPKAAEVLKHTFFKGCTFVPPPVRPKASGLPKTPPCGHPVLRHSRSLPETGRATVHKVSNITDRLDNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G23720.1 pep chromosome:Lperr_V1.4:2:20550727:20554233:-1 gene:LPERR02G23720 transcript:LPERR02G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKEGGEGGHRSAAATPNAGKSLTSQLKDMVLKFSGSGRHQYKSGGSPSLRSSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYTRTTTTSGPAARAMPSTWDREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGLSTPSSSVDDCATQRDSFYSRVGSTRESPAMMMMPPPPPPPPSSSAAVGREQHPITRTLSSKAVSSSSAAARPPFYPSTAVPDPSDHVWAHHFNFLNSAAATAPCYDPSRGTTSSRGDEASVSMCSNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIHAQYL >LPERR02G23730.1 pep chromosome:Lperr_V1.4:2:20567508:20571065:-1 gene:LPERR02G23730 transcript:LPERR02G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASFSPAASDHRSSPASATASLLPFCRSTPLSAGNGGGVGMMDDDAAAMNGRWPAVTARLPPFTTEQYRELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLAARFYSHPALGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVAHPQPPSVAAAAAAVASPGAPLAAASNGNGFQSHSLYPAIAGSNGEGGGRNMPGLFGSSFGSQASQLHMDHVAAFCATVGGRTGKDLRYSAYGTRSLADEHSQPITEAINTSVENPWRRLPSQNSSFPLTSYSQLGALSDLGQNAPNSLSKGQQQPLSFFGNDFAAVDSAKQENQLRPFFDEWPKARDSWSDLTDENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >LPERR02G23740.1 pep chromosome:Lperr_V1.4:2:20593270:20598064:1 gene:LPERR02G23740 transcript:LPERR02G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAATGTPLQHHPALPLYTSSRRCASPSPRHRRRTATTLTVRAMAQTSAAVHPGLKEGIAGLYDESSGAWESIWGDHMHHGFYDAGEAASMSDHRRAQIRMIEEALAFAAVPDDAEKKPKKVVDVGCGIGGSSRYLAKKYGAQCNGITLSPVQAERGNALAAEQGLSDKVSFQVADALEQPFSDGRFDLVWSMESGEHMPDKWKFVSELARVAAPGARIIIVTWCHRDLDPSEESLKPDELNLLKRICDAYYLPDWCSPSDYVKIAKSLSLEDIKTADWSENVAPFWPAVIKSAVTWKGLTSLLRSGWKTIRGAMVMPLMIQGYKKGLIKFTIITCRKPETV >LPERR02G23750.1 pep chromosome:Lperr_V1.4:2:20597383:20598382:-1 gene:LPERR02G23750 transcript:LPERR02G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQGGIQQLLAAEQEAQQIVNAARSARLRQAKEEAEREIAEYRAQMEAELQSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHVTTVKN >LPERR02G23760.1 pep chromosome:Lperr_V1.4:2:20604635:20607087:1 gene:LPERR02G23760 transcript:LPERR02G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALFALLLVPPVGLLAALALLARPRAKRIPLKGRHVLITGGSSGIGLAMATAAAREGARVSILARNVARLEEARGAIRAATGQDVGVHAADVRDAAAVSGALDEAGPVDVLVCNHGVFVPQELEKQEMEEVKWMVDINLMGTFHLVKAALPAMKERTKETGLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADNIHVSLVFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADDVARKALDGIKSGKFIVPCNFEGAMLAIATAGLSPQSSPLMAFLEVIGAGLMRFAAMCFQFNWFSTIENWYAKNKKHA >LPERR02G23770.1 pep chromosome:Lperr_V1.4:2:20607595:20612484:1 gene:LPERR02G23770 transcript:LPERR02G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTCAAPSPSLRCPLALSHPFASPPPPALRLAGPKLLPSRLAVSPPRIPAVASALESLVLDSDEDSEEDEDEEMESGLFQGESWAAADERDAMRSPELVVPELEELPERWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVDKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLRQAEFVYHNLVTTNLDVHKDVYAGLIWLHSYQDVIDRERILALRKEMKKAGFDEGIDVLVSVMRAFSKEGNVEETETTWHEILQSGSDLPAQAYVCRMEVYARSGEPMKSLDMFKEMKEKNIPHNVASYHRIIEIMTKAQEVDIVEQLMDEFIGSNMKHLMPAFLNLMYMYMDLHMHEKLESTFLKCIARCRPNRILYTIYLESLVKTGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMNKKKYDVQGDSLEKLQSGLLLSKKVIKPKAVSMKLDQEQREILIGLLLGGTQMESYAQRGVHIVHFQFQEDSDAHSVLRVHIHERFFEWLPSASRSINYGSKIPYQFSTIPHQHFGFFADQFFLKGRPVLPKLVHRWLSPRVLAYWFMFGGSKLPSGDIVLKLSGGNSEGVERVVNSLHAQSLTSKVKRKGKFFWIGFQGSNAESFWRIIEPHVLNSFTSSITQEGSSSIGSDSAQDTDTDSDNDMQSYDTENDE >LPERR02G23780.1 pep chromosome:Lperr_V1.4:2:20611554:20611871:-1 gene:LPERR02G23780 transcript:LPERR02G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGKPTSGESSSDIRGLLRDFLEQQHRLDARRHEAMERHAQERAAFERQWRESMQRLERERLMLEQAWMQREEQRRGREEARAERRDELLNTLLNKLLQDDDL >LPERR02G23790.1 pep chromosome:Lperr_V1.4:2:20615472:20619786:1 gene:LPERR02G23790 transcript:LPERR02G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWRTHALDYTEGEKKDGSHKETRPGVETERKPPTLRFASAGAVEKAELGWKPRSFFAACLPEPSGRPAVLFSPRLASTRSRRACETRSRRRISGRLCFSCSAFVCALAFLGVDGDFVDITYVTSAVAKGAVCLDGSPPAYHLDRGFGSGVNSWLVHFEGGGWCSNVTTCLRRKRTRLGSSKEMAKQVAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVKQVDPATKLHYRGARVWQAVMEDLLAKGMNKADNALISGCSAGGLTSILHCDRFHDLFPVDAKVKCLSDAGFFINEKDVAGVEYIEAFFNDVVTTHGSAKNLPSACTSKLLPVPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALEEQGTSSTRGLFINSCFVHCQSEMQEIWFASGSPMLGNKTIADAVGDWFYDRSSFQKIGCPYPCDSTCHNRIYQDSSEA >LPERR02G23790.2 pep chromosome:Lperr_V1.4:2:20615472:20619786:1 gene:LPERR02G23790 transcript:LPERR02G23790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWRTHALDYTEGEKKDGSHKETRPGVETERKPPTLRFASAGAVEKAELGWKPRSFFAACLPEPSGRPAVLFSPRLASTRSRRACETRSRRRISGRLCFSCSAFVCALAFLGVDGDFVDITYVTSAVAKGAVCLDGSPPAYHLDRGFGSGVNSWLVHFEGGGWCSNVTTCLRRKRTRLGSSKEMAKQVAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVKQVDPATKLHYRGARVWQAVMEDLLAKGMNKADNALISGCSAGGLTSILHCDRFHDLFPVDAKVKCLSDAGFFINEKDVAGVEYIEAFFNDVVTTHCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALEEQGTSSTRGLFINSCFVHCQSEMQEIWFASGSPMLGNKTIADAVGDWFYDRSSFQKIGCPYPCDSTCHNRIYQDSSEA >LPERR02G23800.1 pep chromosome:Lperr_V1.4:2:20621507:20628759:-1 gene:LPERR02G23800 transcript:LPERR02G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGREEVEAAGPPWGPSESTAFLPFAATAGDRTAAASLSARNNGLSARSSNLSSVRKRPFVARLTTDIIQTFEKCNPEFKYSDSLNPKRFLTNPAVPVHNDGLDNANSDLILYVNLELVNKKLDRRYVIKEMLGQGTFGQVAKCWDAETNSYVAVKVIKNQPAFYQQAIMEVSLLSMINEKFDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPGQPPDDLLREAKSTGRFFKQVGSIYPGIEVQNGPISAYRILTEEEIEARESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENFPETEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPVQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRCLPFNSAFPPKVPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRWSYLSHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSSATGKYGSTSPARGGHGSSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDAIVTNHFDGYARGQSGYPQSALPNHGNFSWRPHTGAGSCLSTDPVNHGSFPPSRCNGFPPLHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDSSLSDELSNLHLKVASGQTNQSSRLAHIRSHANSNSFSMNQRGDRLFHPSTLGDSSASTGHAAYDSYSNANNSHLNFQSHLGQSFQRYNHMNASYLRPMGNHQNGQPVWPSYGMPEPPPATMGDGMPWGGRPGHSFATGGGLPSSFAGKDFGRIF >LPERR02G23810.1 pep chromosome:Lperr_V1.4:2:20633647:20638972:-1 gene:LPERR02G23810 transcript:LPERR02G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDQEPERVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSLDDEVSSCSSSKEVCSSSFSSQCHQLSKQEEHSLYELDTLGAVHLLPVKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMIELVPTKQNGADGCTFEIMTPKARSDVNMNLPALQKLDSMLIEILDSMVDTEYWYVESGSRANGRGKKNGLKQTKKWWLPSPRVPDLGLSQIQRKRLVFQAKLVHQILKAAMSINEQVLLQIPIPAASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRIAEEKNKKSPGRHSWNFMKDSSSELDKMSMCIERVETLIQLLKSRFPNLPPSFIDVLKVQYNVDVGNAIVEAYSRVLVGVAFSILSRIAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGSLDDAAAKKKGVKQLRW >LPERR02G23810.2 pep chromosome:Lperr_V1.4:2:20633647:20638877:-1 gene:LPERR02G23810 transcript:LPERR02G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDQEPERVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSLDDEVSSCSSSKEVCSSSFSSQCHQLSKQEEHSLYELDTLGAVHLLPVKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMIELVPTKQNGADGCTFEIMTPKARSDVNMNLPALQKLDSMLIEILDSMVDTEYWYVESGSRANGRGKKNGLKQTKKWWLPSPRVPDLGLSQIQRKRLVFQAKLVHQILKAAMSINEQVLLQIPIPAASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRIAEEKNKKSPGRHSWNFMKDSSSELDKMSMCIERVETLIQLLKSRFPNLPPSFIDVLKVQYNVDVGNAIVEAYSRVLVGVAFSILSRIAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGSLDDAAAKKKGVKQLRW >LPERR02G23820.1 pep chromosome:Lperr_V1.4:2:20646783:20649347:-1 gene:LPERR02G23820 transcript:LPERR02G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRKGRGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSVDTSADKRIADMKLAKDFATTMEEYRKLQNLAIQREMAFKPVVPQNSHPNYTTGDRSQEYGKMPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGDVHEAFKDLVTLVHMQGVTIGKFT >LPERR02G23830.1 pep chromosome:Lperr_V1.4:2:20652317:20654638:1 gene:LPERR02G23830 transcript:LPERR02G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASMEKWGRGVSCRGAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCGAASKAHGKSPTTARGRAASIAILVLSWVSFALAVVLLATAASMNHGQRYGRGWIDGDCYVARNGVFGGAAALVVVTALLILGLTSATKSSS >LPERR02G23840.1 pep chromosome:Lperr_V1.4:2:20656048:20663159:1 gene:LPERR02G23840 transcript:LPERR02G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGGGGGGAAAGDDPDDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESVGVEDVFVFCCAHAQQVKEYLQKAGSTGKMSVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDETVMTIDPETKELLYYEDKADGSNLYVTIDKDILASNPTLQLCNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSSGDCQEIKLHRQGIYKASDITLSHSAQIGANSVVGNRTSIRENCKVSNSVIGEGCNIGKNVLIHGSYIWDNVIIEDGCKVSNSLVCDGVHLRAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRAPDHPTVSDDDDLEASETGTCGVVGYIWGNVDTGIHEEWKQSIAPIPKDKLKELQHAASFDDNDDGSEDEFDNHPTVPDQDDDSDISAVEDDDYSKFEKEVQETFQRALDDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSINGSLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDEDEE >LPERR02G23840.2 pep chromosome:Lperr_V1.4:2:20656048:20663159:1 gene:LPERR02G23840 transcript:LPERR02G23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGGGGGGAAAGDDPDDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESVGVEDVFVFCCAHAQQVKEYLQKAGSTGKMSVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDETVMTIDPETKELLYYEDKADGSNLYVTIDKDILASNPTLQLCNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSSGDCQEIKLHRQGIYKASDITLSHSAQIGANSVVGNRTSIRENCKVSNSVIGEGCNIGKNVLIHGSYIWDNVIIEDGCKVSNSLVCDGVHLRAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRAPDHPTVSDDDDLEASETGTCGVVGYIWGNVDTGIHEEWKQSIAPIPKDKLKELQHAASFDDNDDGSEDEFDNHPTVPDQDDDSDISAVEDDDYSKFEKEVQETFQRALDDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSINGSLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDEDEE >LPERR02G23850.1 pep chromosome:Lperr_V1.4:2:20681207:20684454:1 gene:LPERR02G23850 transcript:LPERR02G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCLLAPFLLACAVRGRRRQADSSSSSSGLPLPPGTMGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGEYHAHLRRIVSRAFSPESIRASVPAIESIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMQYIEELKQCYLTLEKGYNSMPVNVPGTLFHKAMKARKRLGAIVAHIISARRERQRGSDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKSVTEEQLQIAKEKEATGEPLSWADTRRMRVTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSENGVQFGPFALPINGLPMNFTRKQE >LPERR02G23860.1 pep chromosome:Lperr_V1.4:2:20693359:20699240:1 gene:LPERR02G23860 transcript:LPERR02G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVWNTSGQLHLRDNLQVRRPRVHHHEPVLAGALVVDVAHHVAVVLVAVPLDVVGHEERFTGEAPGPKVAARHGAVACAGEVEVELGHAADLGHGVADAEVSVPVAAAEAGRVDDAEVEVTGGERGPRDGAGAEVDANLLDAGVDELHPLQRVLDGEDVWRHKHDLLAAGLLPRVHRVHHVEPGHLEPPHLRLVGGVARDHAETWHLVGVGSHGRAAADHHVHGFHHDLNLREDGVPLGDCEVVQERRLLHGEERHVRALVPGRVEPKVCDERRHGPVAEREELAGDGVFLGVRGHAHDESAAEVTPADRGDLAGVRVDAHGVGEVGLAERVEAGAEVGEAGVGEPGALQQVHLPRQDPRRRDGAARHPGHAVESREHVGHHGRARVALYEVLVRLHVARAQDGVIVFASGREEEGVEHAVAVEQVVRPTREVLRVGPVSDVRAAGEASRDGAAHDGARRRRQLVHWREVARQHVARVHRRPQRRRVDERVDHPLQRVAERHRQRGGRRRRRRPAARRPRLSLPRRHPPICRRDSDRSCSLDLGHQWLVFSLVLLWSGSRGGDGCRRRGENSGGGCSRRRRGGGVLLPAVAGVLRGSRGRRRDVRKPRGPHGE >LPERR02G23870.1 pep chromosome:Lperr_V1.4:2:20697085:20698965:-1 gene:LPERR02G23870 transcript:LPERR02G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRFSYVSPSASAAAQNPSYGRKKNSATAPPSAAASTTVLTSPPATITTTTPTPKQNEREDEPLVAKIKTTRTVTVTSTNGRVAPRQAQSRPPRRRPPAAAASASLPVTFCNALEGVINTFIDPPALRPTVDPRNVLTSNFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSAGKNDDPVLCSRYVQTYKYLVERDAGAPVMPNMFSGFHGVAGMARGAVAAARVLTGQMNLLQGAGLANTSLAYFGARLYALGESDLPYTVRVDADTGEVTTIGRCDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPFFSVEQPSFLHDFAITERHAIFPEIQIVMKPMDMVVGGGSPVGSDPNKVPRLGVIPRYATDESEMRWFEVPGFNMMHSVNAWEEAGGEEIVLVAPNILSIEHALERMELVHACVEKVRINLRTGAVTRTPLAAGNFDFGVINPACLGRRNRYGYFGVGDPMPKIGGVAKLDFDLAGAGDCTVARRDFGAGCFAGEPFFVPDDVEGNGNEDDGYVVCYVHDEGTGENRFVVMDARSPDLEIVAEVQLPGRVPYGFHGLFVTQAELRAQQQ >LPERR02G23880.1 pep chromosome:Lperr_V1.4:2:20708564:20713044:-1 gene:LPERR02G23880 transcript:LPERR02G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLFSPSAAGRGPSRRGITLLSAGASPLPTPSRSPVRRRRVRAAPPSVPTNQAAARTRTRTRPGGENGKPSRRQRRRRKEGDEGEGEERGGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMAAKFASAELHGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSAQHREAVRRTAPPRPRAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLRIERDVELEFTQEELNATPMLDDDSEPPKPVEYLVSHVQSQQEQCDTICNLNVISSSTELKAGTSYLQLHCLLGTCITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMILQRNGLQKSNASIGVVATLFGDIKDVLKMEQCNLTDWDESITPDRRISESNAAVDNMVERLSSTGLNIVRVGNPARLSPSVASKSLAEIVNGRLEQFRKELERKRTDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKETIREVLSDAQVVLSTNTGAADPLIRRTCCFDLVIIEEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSFLERATSLHNGLLTTKLTVQHRMNDSIASWASKEMYHGSLKSSHFVASQTLADSPIVKATWITRCPLLLLDTRMPYGALNTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPRLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGSLDGISGLGFSQPTLPSIS >LPERR02G23880.2 pep chromosome:Lperr_V1.4:2:20708564:20713044:-1 gene:LPERR02G23880 transcript:LPERR02G23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLFSPSAAGRGPSRRGITLLSAGASPLPTPSRSPVRRRRVRAAPPSVPTNQAAARTRTRTRPGGENGKPSRRQRRRRKEGDEGEGEERGGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMAAKFASAELHGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSAQHREAVRRTAPPRPRAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLRIERDVELEFTQEELNATPMLDDDSEPPKPVEYLVSHVQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMILQRNGLQKSNASIGVVATLFGDIKDVLKMEQCNLTDWDESITPDRRISESNAAVDNMVERLSSTGLNIVRVGNPARLSPSVASKSLAEIVNGRLEQFRKELERKRTDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKETIREVLSDAQVVLSTNTGAADPLIRRTCCFDLVIIEEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSFLERATSLHNGLLTTKLTVQHRMNDSIASWASKEMYHGSLKSSHFVASQTLADSPIVKATWITRCPLLLLDTRMPYGALNTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPRLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGSLDGISGLGFSQPTLPSIS >LPERR02G23880.3 pep chromosome:Lperr_V1.4:2:20708564:20713044:-1 gene:LPERR02G23880 transcript:LPERR02G23880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLFSPSAAGRGPSRRGITLLSAGASPLPTPSRSPVRRRRVRAAPPSVPTNQAAARTRTRTRPGGENGKPSRRQRRRRKEGDEGEGEERGGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMAAKFASAELHGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSAQHREAVRRTAPPRPRAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLRIERDVELEFTQEELNATPMLDDDSEPPKPVEYLVSHVQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMILQRNGLQKSNASIGVVATLFGDIKDVLKMEQCNLTDWDESITPDRRISERYAFDASQLRALSLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARLSPSVASKSLAEIVNGRLEQFRKELERKRTDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKETIREVLSDAQVVLSTNTGAADPLIRRTCCFDLVIIEEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSFLERATSLHNGLLTTKLTVQHRMNDSIASWASKEMYHGSLKSSHFVASQTLADSPIVKATWITRCPLLLLDTRMPYGALNTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPRLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGSLDGISGLGFSQPTLPSIS >LPERR02G23890.1 pep chromosome:Lperr_V1.4:2:20715884:20719328:1 gene:LPERR02G23890 transcript:LPERR02G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALIGAVANSALSSLLKQMFNHERPAPALRSDPGMPSSHAQSFLYAAVFLVLSLFYWLGMTYLSVILGVATLAMGCYLSWLRISQRLHTPNQVLVGGIVGSAFGALWFALFHFLVREAFASSLPIRIVVTTGSALFCVCFVVYAIRNWFKDD >LPERR02G23890.2 pep chromosome:Lperr_V1.4:2:20715884:20719328:1 gene:LPERR02G23890 transcript:LPERR02G23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPSLNLKPVRLNRLLPKRQLGLLGVGGGRFLLPQRRPRTLRSFGVCMAEMARVGSGSSLEFGGAGEEESDAILGGGGGDGPRRQAARWEHVEASLNRTSKWLVAGCYAIAAIWRHDALIMWALIGAVANSALSSLLKQMFNHERPAPALRSDPGMPSSHAQSFLYAAVFLVLSLFYWLGMTYLSVILGVATLAMGCYLSWLRISQRLHTPNQVLVGGIVGSAFGALWFALFHFLVREAFASSLPIRIVVTTGSALFCVCFVVYAIRNWFKDD >LPERR02G23900.1 pep chromosome:Lperr_V1.4:2:20719922:20722243:-1 gene:LPERR02G23900 transcript:LPERR02G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPFLETGSTTQTQKARFRPPAAPPLPQERAVENSIPVPVAPIRAASATLYTTPESTSLPDSPSSFPGTGYSPYVVNHKRRGPCLAKTLSQGDVGGEGSQPQLPVMLPPLPQRTEAFEVQEPEFEFQQASNGVLDGDSGVVETLDRQNGMLQKGKGTVSGEDEHDQAEFEFKHGNLDALVRPINVGRPANGGIPSNISNDSFFELQDSLSVASNSEAEDAGGQDRWWKPSSPFGTSVGTPGAEFYDAFEEISSDGATRSSQGMDDDLREMRLSLLMEIEKRKQAEEALENWQNEWKKLSDHLSLIALSLPPPSLAENTDDSSMDPGAELCQQITVSQLVAAAIAGGFARAEVETDMETVIAGKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRDIRKRRQKWFWGSVGLAVTLGTAAIAWSYLPSTQPQANPDSNSHNSD >LPERR02G23910.1 pep chromosome:Lperr_V1.4:2:20724777:20727739:1 gene:LPERR02G23910 transcript:LPERR02G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAISGAHLVLSSTPTTTRQSPPLHPRAARVSVAAAASAGGARRGVAASAVSSPSVASSAGKDAKQVPKDFLHINDFDKDTIMKILNRAMEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDILMARVFAHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVIPLHFVCACPKGFEPDAKTVEIARNAGSKIEITNDPKEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEALMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >LPERR02G23920.1 pep chromosome:Lperr_V1.4:2:20728554:20730712:-1 gene:LPERR02G23920 transcript:LPERR02G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEADGNARMGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAIKAIKYSMDLYGAYIIEGLRK >LPERR02G23930.1 pep chromosome:Lperr_V1.4:2:20735202:20744379:-1 gene:LPERR02G23930 transcript:LPERR02G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEETRRSRVLVVGATGRLGGSLVRASLAAGHPTFALVRPHHLAAVPDSAPLKSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALQQKPLIRAIKEAGCVKRFIPAEFGADPTKVQIDGMDHGFYEKKIDIRHLIESEGIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGVFVEETDVAKFTICTIEDPRTLNKTLYLRPSGNVYSMNELVDLWEKKINKFLNKIYITEEQLLKTIQALAFVLGVPLQLWMLEEHYCSFRLQFFIRISVELFEKLSMLTTERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLVLPSDRTRLPKDPGRNTQVGARRQNTSPNHTREYPNEKTSNLRNSRTPPRFTEQMHQNSSYGGGKNELRKKNSRTPPRFRPSMENFSRSSIKEKFSHNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEIDSADNIKEDSHAENCSQEINELIANGKWPNARYNEYACTSTESIPTGDIFFSRDCRAPLQKTPTKHNNEKFLTSENDPAENYVVQENNNNLGQTPQLVSARSGLSRTRNSNYGTSRHTQVNNGPILSSQYNSGRFSGDSGKFSDFTGKLVGGVMKFTSNMQKVQNDSWFPCVTGKTCRRPRSPNSKTADESESSFIQKAPVVENIRLFWADKYCPRTLSGFSCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKRSLCRAIVTEIFGDSSLNVSHYLKSCRGQGSTSMPILVSLSSSDHHMELNLRYQSKNARYVLMDLANEITNKHKATDPSLRKNFKVIVLYDVDKVSESNQRLIKWIIDSSSDTHKILMTCLDESHILESIKSRCKLICMGMPSTSEIVDILTYVSKKESFVLPSSFAATIASQSKQNMREAILALEACKSNTYPFIDGQAIPLGWENVLKELAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQVIIAKQKGSFLVGFAIYKFLYLDLSQKLVELFLKGTQSSIRREVYYWHAYYDKRLPVGAIALLKLEEFVAKVMSIHTKTLPFSSRRPA >LPERR02G23940.1 pep chromosome:Lperr_V1.4:2:20744785:20748685:-1 gene:LPERR02G23940 transcript:LPERR02G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACAAALPTASARRRGSPQTSLEGGGKLVMMQRRDLVTKGVTLSVCCSLLSSSNGSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAVDLLGFGWSEKALVEYETTIWMEQVRDFLREVVKDPAVVVGNSLGGFTTLFTATEAPELVRGVVLLNSAGQFGDPNRPPEETSPTPETEEESAVTKLILKPIKEAFQRVVLSFLFWQAKQPARVEKVLKSVYYRLMSRFMANQSQYTLDKLLTKLSCPLLLLWGDLDPWVGPAKAARIKDFYRDTTVVNLQAGHCPHDEAPEQFNAALLGWLASLDAAGNKPVDTEPALQSV >LPERR02G23950.1 pep chromosome:Lperr_V1.4:2:20755378:20758256:1 gene:LPERR02G23950 transcript:LPERR02G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELREMRDGIGSMSRRASGGGDGRAAGHGRGGSRHSWPGLWSEQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSQSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSSSKGKEPAVEFSSSSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGVPAAEQEKVILQFGKIGKDIFTMDYRYPLSVFQAFAICLTSFDTKPAIHFAVFERLIIAAD >LPERR02G23950.2 pep chromosome:Lperr_V1.4:2:20755378:20758651:1 gene:LPERR02G23950 transcript:LPERR02G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELREMRDGIGSMSRRASGGGDGRAAGHGRGGSRHSWPGLWSEQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSQSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSSSKGKEPAVEFSSSSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGVPAAEQEKVILQFGKIGKDIFTMDYRYPLSVFQAFAICLTSFDTKPACE >LPERR02G23960.1 pep chromosome:Lperr_V1.4:2:20758800:20761943:1 gene:LPERR02G23960 transcript:LPERR02G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMEMVEEEVEEYSWREVVLPRLVPVVSDAAPELERETGERRRGRDLVVAVDFGPNSKHAFDWVLVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEAFKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPVIIVPGKVSKAA >LPERR02G23970.1 pep chromosome:Lperr_V1.4:2:20762738:20764531:-1 gene:LPERR02G23970 transcript:LPERR02G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLETVESLCQGLLDDVMLDDDKCRAMFGYLQEWQQDMGSNMCYGSLGEPPLVPEPSNGSGSSGGFRKRRPEDAKGESSSVCKRQRGKQQQQGQERAKAVGARKKAEAASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPATLPAMTLPMGQVEPSCLQMSPLQQMQTSAGSSGFGLEMVVSNPYSPAPAGPMSVPAGASVEPCLNVNGAAGWDIGSHSLFSGFDASFQSVQSDCLLDNLKMEM >LPERR02G23980.1 pep chromosome:Lperr_V1.4:2:20778053:20783582:-1 gene:LPERR02G23980 transcript:LPERR02G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTERPPLGRTHTTRARVVWSSHATHARTGWVDRRNALARAFVRSFFPGASEYCASSRKVAGGLWWWAQQPPKNRTNETTVGERFLLAHLAAAAAGGEVLRVPTLRLIPPASSGRKVLVRSSKSLRIHIINHSTWMEKRNKEDMGPCQRNSSPKVHSSMCIELTMMLDKVSSILPSIEAARPGCRAGIQELCNLYNIVEKGKLIIQHCTECSKLYLAITGEAIVSRCERIRDSLRRSLFLIQNMVPPGLANQIVEVHDDLRDIKFIIDTMEEEAGKIILEMLRQSDATEELELETFLQAASNLNLTSPKAMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKSIKPETSEQNENTQSESQSSTPSSSFVIDASASGKYFTPTDIQRTEDHTSMSGGASPPAEFCCPISTKLMLDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFSMIPNTCMRDLIFNWCREHGFVVSDFVPPTKNAYNYLPEQLHGYSMSSLNNVSVPLIAGKVRDFVIDHSTSSLALSDASYMSDSSHVRDMEDPKDSFSQFSWNADYQECLSFRNFNQDNFLTFLCELSKLPLELQDRSIGDLKNILDDDNEVSCAMVSNGFVEAFLDFLTNDDGSYSMQAKKVGFQFFHVFLSNSRTKILHMNEEAFRLIASFLDSNLRIEALLILHELVQHPSCRQSHLMASIVAPPVFKILESEDANDLELCLKIVCSLSSDSDIKPYLISLGIISRLSAILSEGSFTECCLKILRNLCDVEEATELISKTDRCLGSVAEYLDTGNPKEREHAVVILLALCSHSTDDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRNDQLSNSCSQEVAVTDEVEGAPDSSIRKQTIPKSSRFFQRKLNIFSKPRSLTLF >LPERR02G23990.1 pep chromosome:Lperr_V1.4:2:20787673:20793560:1 gene:LPERR02G23990 transcript:LPERR02G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRARLRSPSVSLLPAIRVKQNKLFERALATYDRDTPDRWQNVARAVGGGKSVDDVKRHFEELIKDVHHIESVGGHQGYQYNSSSASSSSNSWGCANEDQRRRYLKLQ >LPERR02G23990.2 pep chromosome:Lperr_V1.4:2:20787775:20793560:1 gene:LPERR02G23990 transcript:LPERR02G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRARLRDTPDRWQNVARAVGGGKSVDDVKRHFEELIKDVHHIESVGGHQGYQYNSSSASSSSNSWGCANEDQRRRYLKLQ >LPERR02G23990.3 pep chromosome:Lperr_V1.4:2:20790518:20793560:1 gene:LPERR02G23990 transcript:LPERR02G23990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTVKQNKLFERALATYDRDTPDRWQNVARAVGGGKSVDDVKRHFEELIKDVHHIESVGGHQGYQYNSSSASSSSNSWGCANEDQRRRYLKLQ >LPERR02G24000.1 pep chromosome:Lperr_V1.4:2:20796836:20799901:1 gene:LPERR02G24000 transcript:LPERR02G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGASHKAASGSTPSVAAAAAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMTGRDGTDGSLGLILAASWQMEENMDQVVQKAQQLLQTGKENQRREGAREGETAREYDLRMIQTWTTKMTSN >LPERR02G24000.2 pep chromosome:Lperr_V1.4:2:20796836:20799901:1 gene:LPERR02G24000 transcript:LPERR02G24000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGASHKAASGSTPSVAAAAAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMTGRDDGREYGSGRSKGATTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEDDF >LPERR02G24010.1 pep chromosome:Lperr_V1.4:2:20800603:20805740:1 gene:LPERR02G24010 transcript:LPERR02G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSPATAAAVIAAGAATAASLAEVAYADGGFSFFRRQSAPPPPPPDDAAAAADSGDSGLEATVEDDSSGFDPEGLERAAKLLRKINSSKYSKQLFEVMRKQEQTRLADLEAEKVHFSMQQHLRDIERRRKEGEKFRESLQQQSQAEAQRLRYEDELARKRMQTERETQRRQDAELVKMQEASALRKEEGRVAREKKIQEKMLQEAEEKAKLEQENIKEKAIAEADARVHQAKHLEDYNRRMMLDKMTGEREKWLAAVNATFSHIEGGFKTLLTDRSKLLMAIGGITALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKPTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMAHKSGLDYAMMTGGDVAPLGSDAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLKLYFNRYMLKEDVKDSFLGSLLNKQRQKIQVKDITDDFLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVDYKVTEHHQRIKLASETST >LPERR02G24020.1 pep chromosome:Lperr_V1.4:2:20807694:20808980:-1 gene:LPERR02G24020 transcript:LPERR02G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEDEEEEDEEEQGHQHHQYTTAAAQQQQQQQMQAHQVLGSSASSPSSLMDAAAFSRPMLPPNLSLVSPPPPPPPGTFMHAGHGHHGQGGRRGESTPPGGESQLIQRQPARNGVLGGGGAHHPATAAASTLALVVGGGRAGEGEAAPAWRYRECQKNHAARMGAHVLDGCGEFMPSPGDAPAAALSCAACGCHRSFHRREPNAVAPPPPSSLPLSPAAATPNSSGAGRLIPPPLLLAPPHMKRPPPPPPPPPPISPASAPAALADSPPPPHHHHHHSHAVAVVGSASAPPAGPSKKRFRTKFTADQKERMREFAHRVGWRIHKPDAAAVDAFCAQVGVSRRVLKVWMHNNKHLAKTPPSPSPPPPPPQLLHHDPSPPPPPPPPPHHLHHHHHHHHHQNHTPQHHQQQQQHDA >LPERR02G24030.1 pep chromosome:Lperr_V1.4:2:20820823:20822626:-1 gene:LPERR02G24030 transcript:LPERR02G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLKWLMRMAGLRPIDIEIEPGTTMHMWVPKHHVSKKGGAITPAIDHAVEKDGEKDKKRKKKNGPESRPSVVLIHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSSTASADRSPELQARCVAAAMARLGVARCDVVGFSYGGMVAFKLAETRPDLVRSLAVSGSVVAMTEGVNSATMARLGATSSTELLMPETLKGLKTLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLVWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLGRFLAYVNSLPQEEEAAAATASLS >LPERR02G24040.1 pep chromosome:Lperr_V1.4:2:20823442:20830564:-1 gene:LPERR02G24040 transcript:LPERR02G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVILGGGVAAGYAALEFARRGGYSRGELCIISEETVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTAKWYKDNGIELVLGTKVIAADVRRKTLLTGTGETISYKNLIIATGARALKLEEFGINGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTIVFPENHCKSLRYSAELSHSHYKNLIVAYLFSAVARLFTPKIAEYYENYYSSKGVTFIKGTVLTSFEKEAETGKVFVEVTSVILKDGKHLPADMVVVGIGIRASTSLFEGQLLMEQGGIKINGQMLTSDASVYAVGDVAAFPIKLFDGVIRRLEHVDSARRTARHAVAAILEPSKTKDIDYMPFFYSRVFTLSWQFYGNNTGDVVHFGDFTSSSPRFGAYWVDKGRIRGAFLEGGSREEYEAISNVVRRKAKVTNIAELENQGLMFAIQESQKDSPDGGLALGEKPTYVWHATAGVIAAVSIAAFGYWYGRKRRRCCLRVCHWSNLFHICPVYLQKRRVYRHFILALDLMMSYLLKNGTMNTRKTLLTSNGETISYKTLIVATGARAVKLEEFGVSGSDARNVCYLRNVEDADKLVGVMRSCPDGNAVVVGGGYIGMECAAALVTNKIKVTMVFPGKHCMDRFFTPKIAEFYESYYTSKGVTFVKEAAVTSMQISAGKVTGVILGDGRRLPADMVVVGVGARANTGLFDGQLAMVKGGIKVNGRMQSSDAAVYAVGDVAAFPVKLFGGDARRLEHVDCARRTARHAVASILGGSGDGDFDYLPFFYSRVFSLLWQFYGDNAGDAVHFGDYGDGDGAKFGAYWVRDGRVAGAFLEGGTRQENEAVAAAVRRGAAVADVAELERRGLAFATSNAKNGGGGKPTCAWHATVGVAAAVSIAAFACWYGWQAPYVLKRDF >LPERR02G24050.1 pep chromosome:Lperr_V1.4:2:20835159:20837851:-1 gene:LPERR02G24050 transcript:LPERR02G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPMHICMDSDWLKGIVPEEHGMGSSSPSAELIACHPRPAAMQAAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRAPSKKPSAATSSAVAAALQQQQQGGRHMAAAETGLHLSFSGMHHQLAPPDTAICNTLGLLDWKQHQYDPVFPGSGGGSPAAGFDGSGSETQFMASAGGMMGIGIGIGGGGGGSGEEYSALCALRYAAGLGEHLALPFGGAGEHHDAVEVKPAAAERLLSLEWCGEASRAAAAPAPESSMGGSLSGLGLWSGMIGGGGHHHHHHGSSTAI >LPERR02G24060.1 pep chromosome:Lperr_V1.4:2:20854105:20861111:-1 gene:LPERR02G24060 transcript:LPERR02G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAGGFHLLFLHVQVPDEDGFDDMDSIYASPEDFQRMKQRDKTRGLHLLEYFVNQCHQMEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKAGEAPQDPIVGTGANEE >LPERR02G24060.2 pep chromosome:Lperr_V1.4:2:20855500:20861111:-1 gene:LPERR02G24060 transcript:LPERR02G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAGGFHLLFLHVQVPDEDGFDDMDSIYASPEDFQRMKQRDKTRGLHLLEYFVNQCHQMEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKAGEAPQDPVDD >LPERR02G24070.1 pep chromosome:Lperr_V1.4:2:20854675:20855271:1 gene:LPERR02G24070 transcript:LPERR02G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNSIMHAQPATTSRGSFGPVFTVLAVITFLAVAACVVGRLCGRRLSKKRSSSAEEQFYATNAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFTPGKPAAWKNDSKGDSKGHHQQQHHHPQHAMPQHHPQRAMPQQHQPQHGMPKEYAMPPGFRYPANGVVRQQGQIRGGTFISAKPST >LPERR02G24080.1 pep chromosome:Lperr_V1.4:2:20864665:20869567:1 gene:LPERR02G24080 transcript:LPERR02G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPATASAPSWCLSASRGLGSVVVPAPPSGGPRIGGRDRFLGVRSKAVSGVQSGTVLDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNKCFLGGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSLTDKELLEMAKNWKIVGVDLISGVTCDVPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPASWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIELMKSNRL >LPERR02G24090.1 pep chromosome:Lperr_V1.4:2:20871057:20877870:1 gene:LPERR02G24090 transcript:LPERR02G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSMADSPRKRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKTSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPMYILKSPRIITPEEIKAALGEDHTESFEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAERLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVTADRRNKHLKSGLSHSYSTHGDKNIVKLSKLTESNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFAIKKSSASQQNLAIPNEACNGSAPTNLFVSNLGNGTLIPHSSSSNQLLKESPLVDEINAISRGQRQVIHQLDNLTSLLHEHLVLACQANAVRRKGILDMMDMSICPLIALTIGGFGYLMFKNRS >LPERR02G24090.2 pep chromosome:Lperr_V1.4:2:20872006:20877870:1 gene:LPERR02G24090 transcript:LPERR02G24090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSMADSPRKRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKTSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPMYILKSPRIITPEEIKAALGEDHTESFEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAERLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVTADRRNKHLKSGLSHSYSTHGDKNIVKLSKLTESNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFAIKKSSASQQNLAIPNEACNGSAPTNLFVSNLGNGTLIPHSSSSNQLLKESPLVDEINAISRGQRQVIHQLDNLTSLLHEHLVLACQANAVRRKGILDMMDMSICPLIALTIGGFGYLMFKNRS >LPERR02G24100.1 pep chromosome:Lperr_V1.4:2:20878889:20886346:1 gene:LPERR02G24100 transcript:LPERR02G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHYQVQAWLSTSTFLKRLGGTKSIFECPARIIRGNQIPCMLKKKWTKVRVTKPSIFSSILWISTSFPSTGNPTSRLADLTLTILSGCLAIRANRSIRLKLRFRSLFRRLLRRIRRLRLNRGPILSCASHISSISTPLTLILLDHLHGLHLPSNKVAKLRINP >LPERR02G24110.1 pep chromosome:Lperr_V1.4:2:20881995:20885041:-1 gene:LPERR02G24110 transcript:LPERR02G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIILDGVVTEEAAPNEVNSSQNKDNSSAPRSPVASKSMHSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEDEGEGSGNAANVGSTAENGTPVKAQSSNSSKKSSKKAAKSQLESDASVGPNGKASTEDGESEVSKPGGRVARRRKASANPQNGTEDAGLSNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYDEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYATGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHRENGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLQYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQECGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLENNPYTVTKRRTVAA >LPERR02G24120.1 pep chromosome:Lperr_V1.4:2:20889240:20900198:1 gene:LPERR02G24120 transcript:LPERR02G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTSPITYDMTHALPHDDHGWQSSDAHPASDMVSCKPVEVKNCFRANLSDLSQEMHAKPPKETDGCDAYFNDVRFQLSLSTENNAPPSTSVDFDQESISKQDAPHSREEIHPPANTVAVPTPCQSNGDAQPSQEKNITVEHVKGDKEVGSDMEDNETSVSPKNSMDQPVHTNSCNGNAHYKEGDQLNIGNVGAEDQAVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKRYIIVFFPRTRTYSWVDMLLVRPIGECPQPLVNGNHRKWRKLVKDLSVPRRFIMQKLAISMLNFSDELHTEAMVENARTATAWKEFAHEASCCRDYADLGKMLIKLQNMILPDYTSCNWLQYSFNLWSQKCNNAPDAETVEILTEELKQAVLWGKVDELWNAPMQPESVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVHRGETLFSQERDANLRTLSEDPNRSNLPSSSIICEAAVGLIDQNKTAALPSTSGDQDIEESSSGLQNVGHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNLDAMSSDGLLSSSEGLKWDEPQKCGEKMFSSHATCSVGSERANNLQVGVPMEVKPTMAREIPGDKAHAPENTDLCTLPNPVENSNLDTSICIGILSHDNRVECQDYAVRHTLYCERHLPKFLKRARNGKSRLISKDVFINLLKGCISRKEKVCLHQACEFLYWFLRSNLSQQRSGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLTHVWGFGTDSSNQMYSENREGSVMVIHEDGTHPSPRLKCKICSQEFSDDHGLGLHWTEVHKKEVRWLFRGFSCAVCMESFTNRRVLEKHVQDKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSREFSLLDHAPRRPRGQSIKRTERGSDGLLYDNHNLGKDHGSEKFTCRLCGLVFDLLPDLGHHHQAAHMNSGTVGDIPSGREKYQFNRGRHYYSAFKKSLRPSGTLKKRTSSGLEKHFKVQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPQPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQINWHQEEFFCPKGCKSRSSSNALLPLQPTQVDFVMNPPNCDEIWGMDEYHYVLDSEHFGWKLKNERVVVCEDVSFGREKVPVVCVIDVDAKECLHMNSGEVLQNENSMPWQGFHYITKRLMDSSIAETENSMGGCACSHVHCTPEKCDHVNLFDSIYENLVDLHGIPMHGRFAYDENSRVILKEGYPIYECNSSCTCDASCQNKVVQRGLFVKLELFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDETIRNVQREAKSGGSYLFEITSQIDRERVQSTGTTAYMIDATRYGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDISVREELAYDYRQKLLPGNGCPCHCGAQNCRGRVY >LPERR02G24120.2 pep chromosome:Lperr_V1.4:2:20889240:20900198:1 gene:LPERR02G24120 transcript:LPERR02G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTSPITYDMTHALPHDDHGWQSSDAHPASDMVSCKPVEVKNCFRANLSDLSQEMHAKPPKETDGCDAYFNDVRFQLSLSTENNAPPSTSVDFDQESISKQDAPHSREEIHPPANTVAVPTPCQSNGDAQPSQEKNITVEHVKGDKEVGSDMVSNSAGTDTLECHAVQKELQCTLQDLSEIACSIDPARKISSPQEDNETSVSPKNSMDQPVHTNSCNGNAHYKEGDQLNIGNVGAEDQAVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKRYIIVFFPRTRTYSWVDMLLVRPIGECPQPLVNGNHRKWRKLVKDLSVPRRFIMQKLAISMLNFSDELHTEAMVENARTATAWKEFAHEASCCRDYADLGKMLIKLQNMILPDYTSCNWLQYSFNLWSQKCNNAPDAETVEILTEELKQAVLWGKVDELWNAPMQPESVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVHRGETLFSQERDANLRTLSEDPNRSNLPSSSIICEAAVGLIDQNKTAALPSTSGDQDIEESSSGLQNVGHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNLDAMSSDGLLSSSEGLKWDEPQKCGEKMFSSHATCSVGSERANNLQVGVPMEVKPTMAREIPGDKAHAPENTDLCTLPNPVENSNLDTSICIGILSHDNRVECQDYAVRHTLYCERHLPKFLKRARNGKSRLISKDVFINLLKGCISRKEKVCLHQACEFLYWFLRSNLSQQRSGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLTHVWGFGTDSSNQMYSENREGSVMVIHEDGTHPSPRLKCKICSQEFSDDHGLGLHWTEVHKKEVRWLFRGFSCAVCMESFTNRRVLEKHVQDKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSREFSLLDHAPRRPRGQSIKRTERGSDGLLYDNHNLGKDHGSEKFTCRLCGLVFDLLPDLGHHHQAAHMNSGTVGDIPSGREKYQFNRGRHYYSAFKKSLRPSGTLKKRTSSGLEKHFKVQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPQPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQINWHQEEFFCPKGCKSRSSSNALLPLQPTQVDFVMNPPNCDEIWGMDEYHYVLDSEHFGWKLKNERVVVCEDVSFGREKVPVVCVIDVDAKECLHMNSGEVLQNENSMPWQGFHYITKRLMDSSIAETENSMGGCACSHVHCTPEKCDHVNLFDSIYENLVDLHGIPMHGRFAYDENSRVILKEGYPIYECNSSCTCDASCQNKVVQRGLFVKLELFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDETIRNVQREAKSGGSYLFEITSQIDRERVQSTGTTAYMIDATRYGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDISVREELAYDYRQKLLPGNGCPCHCGAQNCRGRVY >LPERR02G24130.1 pep chromosome:Lperr_V1.4:2:20909377:20912414:1 gene:LPERR02G24130 transcript:LPERR02G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWHTCRNKCAACYRQYNRMEHLVEHMKVSYHSAHEPRCGVCAKHCRSLESLREHLIGPLPKVECARVFASRGCGICLNLFESAAAVRYHRASCQFTRAAPMPRGSYGGRAVAMACKMVGGGSDGSVDICARVCLIGEDENVIFQTYVKPITTVTNYRYEVTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSFGRARILVGHGLEHELERLGLEYPTFMLRDTAKYPPLMKTSKLSNSLKYLTQTYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDF >LPERR02G24140.1 pep chromosome:Lperr_V1.4:2:20911889:20913630:-1 gene:LPERR02G24140 transcript:LPERR02G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPRLPLLLKIAAATAAGALALVVAAGLRRDDAVASLRREIREAVAALVASSDDGVVDDGGEGEGGDDGEAPPPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVRAVVAGTDGGGGEVGVEDAVVELLDAPPLPEAARLSRGDIEAAISGGSPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSVRQAEELLREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEQGSSGDLNLKATPEKASAADAKPSGDLSVKVAPEKPSVTDAKPGLPVQ >LPERR02G24150.1 pep chromosome:Lperr_V1.4:2:20915370:20915612:1 gene:LPERR02G24150 transcript:LPERR02G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALSTTDVGQPWGRFSAVAAAGDAVRLAGHHATIGSGADDTRVEAVTVTTAGGEAPDLAPLPRSSATMALPTRSTVS >LPERR02G24160.1 pep chromosome:Lperr_V1.4:2:20916872:20919923:-1 gene:LPERR02G24160 transcript:LPERR02G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLALRGGTRHERANTRAPLAPHTPLGGGGLDLLMDCAFRARIRSPRLPASHFAGDEGGRRGAAASRVSFRPMASAASVEESAAAAAASAETKRGPSGASFIRQHLRTLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRHLRAALAEDSGLESEYILVGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKVPRLPEFSLDVAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEGVKNLLLQERDRLYDLLKGMPYLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKSLQL >LPERR02G24170.1 pep chromosome:Lperr_V1.4:2:20922128:20922667:-1 gene:LPERR02G24170 transcript:LPERR02G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCAVAAEATGLVAMDCLVVCCCCPCLVLQITVFLFVRLPKKVVIKSKRIILRRWHRRRSSSSSSAAKRSGCSSTAVDGDDDPAVGVTTFDDVDDLFEGAFGDDGEGWWRERCFVAVDDNDDDGVWEALIEQRGLFWFGSFWGRSERVDRRQEFGDELLHPALRFPLVLERACDQ >LPERR02G24180.1 pep chromosome:Lperr_V1.4:2:20924752:20925132:1 gene:LPERR02G24180 transcript:LPERR02G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMPYPMPPPPPSSMFLQPNYQAAAGAVAEAQGHGGGGGSSSIGTFFAVLAAVLVLTLLSCVFGRVCARHADGPDERYDCATLARRWCCWGGGPPRRRAVRKPPPPPVVEEVPDAAALPPPEP >LPERR02G24190.1 pep chromosome:Lperr_V1.4:2:20929349:20945096:1 gene:LPERR02G24190 transcript:LPERR02G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVISPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPSELYVTKSSRVLHLGLLYLCSLMVLVVYSILYGLTSKEARWLGALTSVAVVILAAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGQHGNPTDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVQDSETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKIMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQFEFGFSILLLSPVVCSIMAFIWSLCAEEMMMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGEFDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTISVLATGVIPIVAWFATYRFSPSSAICVGLFASVLVSFCGVSYWGVVNSRQDGIPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFVGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKMCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNGLPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVAMLHQAICSAEYGLFDLAAEDAWHGSYSARASSCYNQLIPTVDDWESEEANFELYDQEDVEWDGQYSSGRKRPVRDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVNPMSPSLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >LPERR02G24200.1 pep chromosome:Lperr_V1.4:2:20947290:20948682:1 gene:LPERR02G24200 transcript:LPERR02G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSSSRSASASGGTGSGNFPWLSRKRLGNKPRGHEVEGGEAAASGEVQQPPSSSHSSSSASRKRADALARLRAAFLAAITHHRRRRQLGSSVTGTIFGRRRGRVHVALQTDTRSPPVLLVELAAYSTGALVREMSSGLVRLALECHKPPLNPGEKRRGALLEEPTWRAYCNGRKCGYAVRRECGTNEWRVLGAVEPGCQGVYSISY >LPERR02G24210.1 pep chromosome:Lperr_V1.4:2:20953423:20960656:-1 gene:LPERR02G24210 transcript:LPERR02G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEAAAAAAAAEAAAPRSPDLHDLSDDSDYAAAAAASSNHTAMRTDLADQGSDETARMDVVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNVGVDSFSTSSATMEVEKYRNLYTIKALPLSDVRFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTSSVDEARHGCDAKHGTSSSMTEYVSKQKHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENHNSGARNYGRQQQEYVLDNRANDKYKDQQITHLDSLPSETMEPDKPLVWGKQREQPLSVEEWSAFLDPEGRVMDSKALRRRVFYGGVDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRAEYEAIKSQWKSISPTQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNQNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDTPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYLSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRALKDAEALCGVAGDNGAACIPPGTPPSMPIETDGGLYVQQDEVL >LPERR02G24220.1 pep chromosome:Lperr_V1.4:2:20962053:20968659:-1 gene:LPERR02G24220 transcript:LPERR02G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGWTGWSTPAAANQRSGGGGAPAASAPLGKGKGTSLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEISQVLTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVADLEKALREIRGEIAEVKFMSEKRITDAESLEASLEEQKLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYIENERKAREEQIKHREDSLHEWDKKLKESQNRLVDLQRSLNDREERANENDKLFKIKQEELEEAKKTLEHAKATMKTKEDNVNKRLEELRLQEKEAESKHKTLEEREKKIAEREEKVSAREKVGLQKLLEDHNAKLESKRRDFDLQLENEKKSFDEMLVQKEADLVQREKDVRSWEDKLSKNEQALSESKKKLEEWQNDLDTKSKALKKWEESLQNDEKQLSEQKLQMENEIKQAEMYKLELESLKATVVAEKEKIIQEQNNLKLTEEERQEHTMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRTHLEEEAKKLSNEKMNLERWHANEEKRLKDREDELERKFKEQGEKIALKEKALMDNIHHQRLENEELLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNKKMDFVENELKRAAELNESKIQKILLEKKQLQREKEVLVEDRQKLETDKLDIRRDIDSLNALSKSLKERREAYNRDRNNLIDMFEKYKVCKSCGVTIFEGLDALSFKDSTDIEYPSLAVEADDRSPNPDTLAQETGTLLNSGGRLSLLQKCSRIFKFSPRKKAEQSSEQQAEKNTDFGTKLEEASQSDDDYEPTPVYQVAYDSFNAEDLPSESGALENEESERQDIADDVQMESSLGVADNCVDIHGTQSFDGNTDMVVDTTIAAADQNGKDSAVLPEVDLEPETSKQGRRQQNKKGRAKGGVKRTRSVLAVVEDAKGILGENLEVKNDGQGDSVATGGTRKRRFAGATISEQDEDSEAHSESVSLGGQRRKRRQTAAAVTQAPGEKRYNLRRTTVANAATTAQTKKKKAAKTGSKQTVEVEVEATADDTEGTSKAAEPAVGSKGASQSADEASQLPEFSLADEVGDAHGPAEVTGAGSGDIVDGADALPDAMPMTPSGSELGAELDDEDDEDSERRNQSIGKKLWSFFTT >LPERR02G24230.1 pep chromosome:Lperr_V1.4:2:20988029:20989498:1 gene:LPERR02G24230 transcript:LPERR02G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREPAMMYGGGVFDAAAAEACGGFESLLGHYGHDALLDVDAAAALLGGYVGADAAAGALVPDGAGWMGASSSSVLAFDRAAHRRPSSEPEAECDAWIEAMDQSYYGGGESAAPAVAFDAATGCFSLTERGATGVGGASAGRQFGLLFPSTSCGASPEHATAAAAARGSQKRPHGAESSVSPVKRQCGASRKAGKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSASMSSPSMGQLN >LPERR02G24240.1 pep chromosome:Lperr_V1.4:2:20991434:20992546:-1 gene:LPERR02G24240 transcript:LPERR02G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDSIHKVHLEIKSLCRGGGGGDGTPSPADADEAAFRQRAVAAYLGLQHVTHIADAAIAPHPDTLFLLLRMYSLLADLFGFSLAAFLPILERLRVERGDSFSARFDATLLVLRTAIKTTLTVLTARISARSTKHAGSTAAGVDEITSYLLEYIKLLLNHSSLLSVILVSDNQPEQGMESLKEVVVSLISSLDASLQKKSKLYQQQQQWLFMVNNTHHVLKKAESSESEMRPLLGDGWIQKRREQLDDYIAWYISVSWEPLLSCLRTNDSDEHLGFRIPLCFGRTTTSSSSTSTRMLTRFNLEFEQTCSVHMPWKLEDAQLRNRMRGAVTGMLVPAYREFLEKHRRIPPKFIRFSPEEIKERLSELYEG >LPERR02G24250.1 pep chromosome:Lperr_V1.4:2:20996746:20999391:1 gene:LPERR02G24250 transcript:LPERR02G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWEEGEGVPGSSYRFRHRRLMDTSPATDSGHSSHNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRTSNSDLPNMDLSSIYDATNHFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCMEKDEKMLIYEYLPNRSLDAFLFDPKKRSQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDTKMNPKISDFGMAKIFEEGGNEVNTGNVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQDHQQTLIQDAWKMWRDDKAAEFMDASLGGDYCKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAARTAPKKVSASEFSLGLRTAETTKTHSVNDVSISMIEPR >LPERR02G24260.1 pep chromosome:Lperr_V1.4:2:21005444:21007797:1 gene:LPERR02G24260 transcript:LPERR02G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRFVLLFLYVAAACHLAPCPSAAAMPDPAPLDPALIFPSATPAQPGGTIPAFPEQSDAASGGTSSMCPLAPSPSLLPAVTSSCVDGGGALTTRLSCCPPLAAWLFAALRRLSCGAAPAADGAGAWAPADAVARRIEKDCAAPGVPGCSRCLLALTTIKAGGSGGAAKQQQQHGGDGAAAGERDCELMGLMWLLQRNATRYGAAATAVIQAMMAADEASAAGVAASGAAAACSLPVDGMPLPAEYARLSDAGGPPAVSRLYVSLLAAVIGAVVCLLSL >LPERR02G24270.1 pep chromosome:Lperr_V1.4:2:21008307:21018159:1 gene:LPERR02G24270 transcript:LPERR02G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSTQSWIKGRTNQLARVVSLEFRRGRSRRVGSRMRFSNTLGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRESAAELISVFKEIDFPEICAKFPCIKIGDSSPIELYDASTSMEQKETVLSENLTNFMRKSGGNLDSAYGFSDKCYPLSSTPTNVDNLSISEDSSVTTEPASNELALDSDPCPESLADGTVTDCSILDRSIRCLPGTSSRQYRQLEDGGFHTLRKLLQHFPRTYADLQNPEGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIENESSSSVKNYGGEQKKTIYLHLKKFFSGTRFSSPSFLKCLSSKYREGDLAYVSGKIKKALANDRYDLREYTIDMLEEEDQQYTLLDRKPIETKFAEPIHLEAYMGIHKPKNRDEADFARRRLIFDDFFYIQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTEVLALQHYQHLTSLLEKFDGDECKPNIALLTGSTSTRESRIIRNASILSTLSSSLGLKTGEIAMVIGTHSLIADKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKLSDDDTISDENSDSEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMSEELVDGGKVYLVYPIIEESEHLPQLHAAKADFDSIKEKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGISQLHQLRGRVGRGERKSRCIFLCSTPSSLPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDCGILQEAHLAALNVLGASNDLAQYPGLKIELSMRQPLCILGD >LPERR02G24270.2 pep chromosome:Lperr_V1.4:2:21008307:21018159:1 gene:LPERR02G24270 transcript:LPERR02G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSTQSWIKGRTNQLARVVSLEFRRGRSRRVGSRMRFSNTLGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRESAAELISVFKEIDFPEICAKFPCIKIGDSSPIELYDASTSMEQKETVLSENLTNFMRKSGGNLDSAYGFSDKCYPLSSTPTNVDNLSISEDSSVTTEPASNELALDSDPCPESLADGTVTDCSILDRSIRCLPGTSSRQYRQLEDGGFHTLRKLLQHFPRTYADLQNPEGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIENESSSSVKNYGGEQKKTIYLHLKKFFSGTRFSSPSFLKCLSSKYREGDLAYIKKALANDRYDLREYTIDMLEEEDQQYTLLDRKPIETKFAEPIHLEAYMGIHKPKNRDEADFARRRLIFDDFFYIQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTEVLALQHYQHLTSLLEKFDGDECKPNIALLTGSTSTRESRIIRNASILSTLSSSLGLKTGEIAMVIGTHSLIADKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKLSDDDTISDENSDSEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMSEELVDGGKVYLVYPIIEESEHLPQLHAAKADFDSIKEKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGISQLHQLRGRVGRGERKSRCIFLCSTPSSLPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDCGILQEAHLAALNVLGASNDLAQYPGLKIELSMRQPLCILGD >LPERR02G24270.3 pep chromosome:Lperr_V1.4:2:21008307:21018159:1 gene:LPERR02G24270 transcript:LPERR02G24270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSTQSWIKGRTNQLARVVSLEFRRGRSRRVGSRMRFSNTLGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRESAAELISVFKEIDFPEICAKFPCIKIGDSSPIELYDASTSMEQKETVLSENLTNFMRKSGGNLDSAYGFSDKCYPLSSTPTNVDNLSISEDSSVTTEPASNELALDSDPCPESLADGTVTDCSILDRSIRCLPGTSSRQYRQLEDGGFHTLRKLLQHFPRTYADLQNPEGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIENESSSSVKNYGGEQKKTIYLHLKKFFSGTRFSSPSFLKCLSSKYREGDLAYVSGKIKKALANDRYDLREYTIDMLEEEDQQYTLLDRKPIETKFAEPIHLEAYMGIHKPKNRDEADFARRRLIFDDFFYIQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIADKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKLSDDDTISDENSDSEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMSEELVDGGKVYLVYPIIEESEHLPQLHAAKADFDSIKEKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGISQLHQLRGRVGRGERKSRCIFLCSTPSSLPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDCGILQEAHLAALNVLGASNDLAQYPGLKIELSMRQPLCILGD >LPERR02G24280.1 pep chromosome:Lperr_V1.4:2:21018892:21024848:1 gene:LPERR02G24280 transcript:LPERR02G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRTRGLLPLLVAAVVAVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSLAQSLFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGINVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGDGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCEDIWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRNDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKMAIKMFRSIRHTKDFDVSISYDKASELPPGVTAHKFAEYSVSGLTDANEKYISRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQNLSSEAGAANGTSERKDNLSSDSDANKSSAPIDETTAQDIVTEKVLKKRTFRVPLKVVEKTVGAGSILSKELYSEAKTRLEVLDKKDAERRRTAELKNSLESYIYSMKEKLEENADILTVSTEKERESFAEKLNEVQDWLYMDGEDAQANEFEERLDQLKAIGDPILFRLSELKARPAACENARLYLTELQKIVKNWESNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYQKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKLAQSESESKEAESTETTPEAAAPEGGQAEPHKTDDSEPEAHDEL >LPERR02G24290.1 pep chromosome:Lperr_V1.4:2:21025486:21027810:-1 gene:LPERR02G24290 transcript:LPERR02G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCKDWKLNFWRTNSCYGIGMESFRDDQSACPQSEQHLDHVSDSDEDAISDEDVLAPTRLSLACAAPKEREKENRMVEQEEPTIWDEVLEEADELAYVHRVPHSISFLSAGTGKRKKSENKLKFSIRGSSSVSLNVKTENPYVGEQEVSSGMPISRDPENMMAEQLDNIDEETEDLPSEFGCPTKKANISIAELLDGLHGRSAISVGTPFLLHQQTRTKEEKPKFPTSVKKTLALLGQRNLETESPLEHVIGETSSEEEDNAQNNMTLINKDVKGQTMADIFQHLPTTGEVSTGGDMDNMSMERTIIFSPKICDNVDLVAGNIIHIFPPWFASYKPFLHLFSQFRVEKITTALPQQERS >LPERR02G24300.1 pep chromosome:Lperr_V1.4:2:21028653:21032258:-1 gene:LPERR02G24300 transcript:LPERR02G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPSDSGASPAAKRSRDPFPSYKDAPDLPPKIRLLCEILASSAPDVGAALDDADVRVTSTNVEQVLRFSYAHPRAAVAFFRWAGHRHLRHQHSPYSWNLVVDLLGKNRLFDPMWDTVSSMRSQRLLSLATFASVFSSMAASPGSSPLRAFVDLPIYGMERDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMVRVIGFDPANVPAYDSFLTTLISSGSSTALREAMDYLAVLHRHRCSPGEKFFGAALAAHLKARELRGAVVLWNDFVGRRGLIPDKEMYSTMIMLEGTLGHPEVIVEYLDDMTFNGVFPDADTYNLVFQLLLKGRKLREASAIFSEMFKNEFWPNEANCSLALRMFLDTRDWDTGIKLWRCMVENGLPPLEESGNMLVSKLKDERLPEACTYAEDMIDRGWPGGLLANRVG >LPERR02G24310.1 pep chromosome:Lperr_V1.4:2:21031835:21034995:1 gene:LPERR02G24310 transcript:LPERR02G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAGGRRRSAAPARRWWTSRGHPHRRAPRRHRAPTTPGSRRGDESLGGDRGHPCRRGTGRGTASPPARRRNPTAALTSPPPSWGIGEKVGPSKIEALPYLKEKSPKLYKLLRTRQGEVEARTRGRRARNPNRIARKTTVTRGLWMGASLLASASQILAAPRREGSNRRRRRLVVACSAGAGGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLIIQSQDPTAEQLMGVKIGNSLTECAANLSTMKLETCKMLLEPLDRLKKVDAQINLTQGVLESVVGEELGVLPGMDSVCSVLSLQKLLNFFSTRSNSSQEEFDVVVYDCNNTEEILRLIGATERARSYMRYVKDLAEKTDIGRLASPSLMRLIYDSARPNGKTSEGRLSSELWTEIDQLIQRISVWFADPSKFACFLIMDPRRSITVSSALRYWGCTTQAGGNICGAFGYTEQPSEMHQGVTEKFLPLPFSFLPFLPTDSSADWSRALNSLSQNTKELLRNTSDKLYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPLAMQGKVGGAKFVDRNLVVTIR >LPERR02G24320.1 pep chromosome:Lperr_V1.4:2:21035161:21035649:1 gene:LPERR02G24320 transcript:LPERR02G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSRMLLDRFFFPGAGVVGDGESRPPIDWRETPVAHVFEMDLPGMAKDQVAVELVGGRVLRVRAGGEHDDGGEKDNDEEEEGGDGVRWHCRERRRAVVVVTQFRLPEDAAAEEVSARMADGVLTVTVPKRKGGGGGKKRHNGKVAAGDDDKPVCCRFWP >LPERR02G24330.1 pep chromosome:Lperr_V1.4:2:21038171:21038581:-1 gene:LPERR02G24330 transcript:LPERR02G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAQAVAALMGTCARRLSRAARRLHLRTPRAALSSRAIVPFLGGGGGKKAISNSSSRRSKRKSAARGEISFRAEDGVWRKEILMGERCQPLDFSGVIYYDAEGRRLEQPPPPRSPLRSPLPASAKLAANAAGY >LPERR02G24340.1 pep chromosome:Lperr_V1.4:2:21046676:21047736:-1 gene:LPERR02G24340 transcript:LPERR02G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYHLGKFVSKVLGKCNGQESGWREERLDYAMAYPPGPPTETYFMRPAARTVTFSAANSVYAATPRRHEYFSGEYRYSYPTPVREGIYRMATDANRLTTIFSEENPNACAIM >LPERR02G24350.1 pep chromosome:Lperr_V1.4:2:21049965:21061684:-1 gene:LPERR02G24350 transcript:LPERR02G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEGGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIVLTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALDLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLKNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKFLEPGDVLVRMNGEVVTQFLTMETLLDDSVGREIDLLIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAESGYMLSRASVPRHAIIKKLAGEDISNLGDLIAVISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHGWYAPPQIYTRVDATGLWTAKSAIPLESPFIASANHAGHIDANSNSVSSLAESSPMDLKCQHESENLADGCIKAQNGDEIVVDGSHSSEDSLIEKKRRRVDEEIAAEGTISSSGDLDEIKGASRHMSSADGSDLARTISSNASLAEQVIEPALVMFEVHVPPICMLDGVHSQHFFGTGVIIHHSDCLGLVAVDRNTVAVSSSDIMLSFAAYPIEIPGEVVFLHPVHNFALVAYDPSALGAGAPVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIIARTPGPFRIINGVRRPMPSFRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQLVDSDGVLNMTIFRQGKEIDLTVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVQVNGQPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWEVRFEPDTATWQRGIIKALESTVA >LPERR02G24360.1 pep chromosome:Lperr_V1.4:2:21066818:21068754:1 gene:LPERR02G24360 transcript:LPERR02G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSERAHAFASPSPVSGGFFSTSASIPSSADAAATTPSSSAGLPINKKKPPFRPVADDTKPVLRDPISRSDPVETEQAVLRLPPFP >LPERR02G24370.1 pep chromosome:Lperr_V1.4:2:21069780:21072732:-1 gene:LPERR02G24370 transcript:LPERR02G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGAGRKDAGGGGGGGGGGGGVFVPCVDIKAFVASLAFLTLFVALWQIQPYGSLLTATRSTASSVVSPSPCSLLATTAAAATSTRVLPSANSTAAAATAKNTPATAAPVVIPARPVAADPNKRELRPYGSAAALFVQFGAYRGGPRTFAIVGLASKPTQVFGTPYYKCEWVPNLTAAGDGRPIRTKAYKMLPDWGYGRVYTVVVVNCTFPTNPNADNAGGKLLVHAYYSTSSRRYERFVALEESPGTYDESRFSSPYKYDYLYCGSSLYGDISASRMREWVAYHARLFGPRSHFVLHDAGGVSPEVRKVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRHRHAANWTFFFDVDEYIYLPNGRTLDQALAKLSGYSQFTIEQNPMSSKLCVQDPSKDYTREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCREFVPLPVNGSKLMFEGVPYVYDDNMKRLGGEIKRFEKETIGSAHT >LPERR02G24380.1 pep chromosome:Lperr_V1.4:2:21075937:21076829:-1 gene:LPERR02G24380 transcript:LPERR02G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKREVAPPPPPSPSQASLGSFASGMMLPGFLGSGGWQGAAPPQPPHPLLYAYCVAASAFPMAQMKQGLDRSAIDLSDLQERTSKVQLEN >LPERR02G24390.1 pep chromosome:Lperr_V1.4:2:21079927:21083012:-1 gene:LPERR02G24390 transcript:LPERR02G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAIFLLLTWCASVFLLFPSAHAQATIFTSTIDGKESTTFSFPKFDKSLIQLTANLTFSSNATITQDGLQITPDSGNRPEVFLVNQAGRVFFTSPFVIWASNSTAGDGKHVASFSTVFKVNLFRSNLNKKVKGEGLTFVVASSSVGVEPPHGSHGGYLGLTNASTDGDAGNRFVAVELDTVKQPYDIDDNHVGLDVNGVRSSSSAVSLTPLGIQLAPTNTTADDGSCFVWVDYNGTSRRLLVFIAKNDIKPTATVINASLDLSTVLLGRTAHFGFSASTGTTYQLNCVRMWNMTVERLHDGSASITKNASLSGWKIAVAAAAAAVALALFAAVYVLKRRRRRDGDDDEEQNNVFGKAIDFRKIPGLPKEFDYLELRRGTNNFDEGMKLGQGGYGVVYRATVVGDDGRSVDVAVKQFSGANTKGKEDFFAELRIINCLRHRNLVKLVGWCRQNGRLLLVYDYMPNSSLDRHLFGGSESEILNWKQRYNVVTGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALDSDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVFGLGAVVLEVVCGRRVSGNDDGCSLLERVWKLHGGRRILDAVDERLAGEFDEVEAERLLLLGLACSQPNPGERPRTRAILQILNGAAPPPDVPPSKPAFMWPAMPVALEGDDGESETRSSSSSMMLTSSSSSFHVSTSPRRRGGSRKLRAEQVSSEHGVAMV >LPERR02G24400.1 pep chromosome:Lperr_V1.4:2:21084220:21087408:-1 gene:LPERR02G24400 transcript:LPERR02G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGRGHRRAMASLLWCVSVCLLLPSSRAQTTTGTSNIDGKAATTFSFPKFDKSLMQLGNNMTFSSNSTVSQSALQITPDSSNIPQSYLVNQAGRVFFPKPFVMLSSSSSSFSNSSNSTAAAAADGKYVASFSTVFKANLYRSNASVKGEGLAFVIASSNDSEPPAGSYGEYLGLTNASTDGNATNGFAAVELDSVKQPYDIDDNHVGLDVNGVHSKAAASLTPFGIRLAPINTTVDDGSYHVWVIYNGTARYVWVYMGKNDTMPPIPVLNAPLDLSTVLLGNKAYFGFSASTGETYQLNCVLMWNMTVEILPDDQSAANKAALSGWKLGVVIGVSCVVAVALGLFAALYIRKRRKRIGNDPSSVFNNTIDFKSIPGVPKEFDHKELKRGTNNFDEKMKLGQGGYGVVYRATVVGENGQSMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVYDYMPNGSLDKHIFGGPEAEVLNWKQRYNVVTGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEIVCGRRISCQSPVGCSQLLEAVWALHGAGAGGEGGRSILEAVDQRLAGEFDEAQAERLLLLGLACSHPNPGERPRTQTILQILNGAAPPPDVPPSKPAFMWPAMPVAREDDATSRSSTVLTSTSSFYVSSSGWTQNYQVSKEHELTERDVVTM >LPERR02G24410.1 pep chromosome:Lperr_V1.4:2:21094780:21095262:1 gene:LPERR02G24410 transcript:LPERR02G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNSSPESYVRIGRRWRQRRRPPAARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLASGRLMRPSSPSTAATGGSCSRSSSRRVLVVGGSKHGRQTTTARCRPVAPPSFMRSNSFYSQAIADCLEFIKRNSVPVEDYASASTSGRRSSADLTTI >LPERR02G24420.1 pep chromosome:Lperr_V1.4:2:21096885:21100359:1 gene:LPERR02G24420 transcript:LPERR02G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSCRQSSVTRSHHKNAKFELKSLTPFDRFCLRSVNSYDFFCNLSTHTKKERVVSGSTSTGRGQHRLAVDWLARLPPPFRSDQTSFRVGRTIFSETPSRAAAAAAENQAMEGSADAPRRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGGDLMDRCRAQSLRFGTEIISETVTAVDFSSRPFRVASESTTVLADAVVVATGAVARRLHFPGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLTTGKISDLKVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKAD >LPERR02G24430.1 pep chromosome:Lperr_V1.4:2:21113208:21114954:-1 gene:LPERR02G24430 transcript:LPERR02G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDFVREKGRGEADPDLIGSAFPSSPAAPSPKLSSPRLACPSFNSAPRRPLPFHLSPSRASSASCTSCRVLPPLAATPSLFSCFDISSGHVLLREAGSLAGERFWILPVARAAVLSCQAVEEKRAAELAAGKWWERPDDTAMAGMDPGGGGGAGSSRYFHHLLRPQQQQQQQPSPLSPTSHVKMEHSKMTSPDKSPVGDADAGGSGDQPSSSAMAPSSDGGGGGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAGGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGTSPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEEVAAPPAAGGEAQDHVAQSAGPQGQQPAASQSSGVTGGDAGGGAGGMSLYNLAGNVGGYQLPGDNFGGWSGAGGVRPPF >LPERR02G24440.1 pep chromosome:Lperr_V1.4:2:21129132:21133361:-1 gene:LPERR02G24440 transcript:LPERR02G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRQVGGRIRGAARRALTATRITNGLAMVSLVLSSFDLLRLCSDRDRPLGGREFATVVCQLASVLYLLCLFAHPDSPSTTAAGDDHAPSSAVDDEEPAAPMHAADEDIVAAVVSGALPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFEGMDYEAILGQCCEMPVGFVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAIAASGGAVSVLLRDAMSRAPVVKLPSAKRAAELKAFVEAPANFELLAAVFNRSSRFGRLQDIRCALAGRNLYMRFTCITGDAMGMNMVSKGVENVLGYLQNDFPDMDVISVSDKKPTAVNWIEGRGKSVVCEATIKGDVVQKVLKTSVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLETVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGPNQVSPGANGKLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSRKDITKAAS >LPERR02G24450.1 pep chromosome:Lperr_V1.4:2:21136095:21141270:1 gene:LPERR02G24450 transcript:LPERR02G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQTGSASGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGDILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGIYVGGSYGQSRPLPLGYYQGYPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSPAANTTNQPFSQFSPSISGGSNGYVAVHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLIHTWSSQPILLSLHRLVVQTKEQAKIAAGQKSSAAVFVVLVLTWQVIILAFIRLSLSWSSDVPGNHDVVPMDGAMIQEQRLLLSVLLGYILCLNGTAIIAGA >LPERR02G24450.2 pep chromosome:Lperr_V1.4:2:21136095:21141270:1 gene:LPERR02G24450 transcript:LPERR02G24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQTGSASGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGDILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGIYVGGSYGQSRPLPLGYYQGYPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSPAANTTNQPFSQFSPSISGGSNGYVAVHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLIHTWSSQPILLSLHRLVVQTKEQAKVPLDCSRTKEQCCCICSTGPYLASCPYHGHQMYLEIMMLSRWMGQ >LPERR02G24450.3 pep chromosome:Lperr_V1.4:2:21136095:21141270:1 gene:LPERR02G24450 transcript:LPERR02G24450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQTGSASGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGDILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGIYGVVMVRAGHFHLGITKDTPFRNTANTTNQPFSQFSPSISGGSNGYVAVHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLIHTWSSQPILLSLHRLVVQTKEQAKVPLDCSRTKEQCCCICSTGPYLASCPYHGHQMYLEIMMLSRWMGQ >LPERR02G24450.4 pep chromosome:Lperr_V1.4:2:21136095:21141875:1 gene:LPERR02G24450 transcript:LPERR02G24450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQTGSASGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGDILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGIYVGGSYGQSRPLPLGYYQGYPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSPAANTTNQPFSQFSPSISGGSNGYVAVHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLIHTWSSQPILLSLHRLVVQTKEQAKVPLDCSRTKEQCCCICSTGPYLASCPYHGHQMYLEIMMLSRWMGQ >LPERR02G24460.1 pep chromosome:Lperr_V1.4:2:21140724:21142908:-1 gene:LPERR02G24460 transcript:LPERR02G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENNNNNNNGEYGGGEAAEDGSTVFRGTAYSPLRTTVALALWLGSIHFNAFLLLASLFLFPRRLAALVLATQLFFMFVPINDRSRLGRKIARFISKYVIGYFPVTLHVEDYKAFDPSRAYVFGYEPHSVLPIALGVLLELVGFMPLPKMKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSFVYKWWRPGGKLIVKIARAIKFTPIIFWGEFGTPIPLATPMHVVVGRPIEVKKNSQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >LPERR02G24460.2 pep chromosome:Lperr_V1.4:2:21140724:21142908:-1 gene:LPERR02G24460 transcript:LPERR02G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENNNNNNNGEYGGGEAAEDGSTVFRGTAYSPLRTTVALALWLGSIHFNAFLLLASLFLFPRRLAALVLATQLFFMFVPINDRSRLGRKIARFISKYVIGYFPVTLHVEDYKAFDPSRAYVFGYEPHSVLPIALGVLLELVGFMPLPKMKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSESLFSLSDRTPIPLATPMHVVVGRPIEVKKNSQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >LPERR02G24470.1 pep chromosome:Lperr_V1.4:2:21144648:21150555:-1 gene:LPERR02G24470 transcript:LPERR02G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLIGFLGGSDGLLAQKTLEITDEILSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRLVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFKLLRNSSSSFLMDDIYRNPGPLQFEGAGADVKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQTTPL >LPERR02G24480.1 pep chromosome:Lperr_V1.4:2:21152814:21157440:-1 gene:LPERR02G24480 transcript:LPERR02G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATGGSDHDDDARAAEERAEETRAAWGGGGGGGGGTPPAPEPXXXXXXXGVGVGVGTNGEDAMSHDGDEEDGGDDDEEDGDDEGDEDEDEDEDGDGDGEDDDSTPDASPRAEAKAEGESSTGMAVSASQPVEPDLFLDGDDSGTEEEQAAFMVELERFHREHGLEFKAPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTSREIGMNQSSSARVRRDAAARAMQGWHAHRLLTNGVYGDQNLKEKESIPSSSRGVLKRKKASSPECAIKVSRTKINKSQDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADIRRMPS >LPERR02G24480.2 pep chromosome:Lperr_V1.4:2:21152814:21157440:-1 gene:LPERR02G24480 transcript:LPERR02G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATGGSDHDDDARAAEERAEETRAAWGGGGGGGGGTPPAPEPXXXXXXXGVGVGVGTNGEDAMSHDGDEEDGGDDDEEDGDDEGDEDEDEDEDGDGDGEDDDSTPDASPRAEAKAEGESSTGMAVSASQPVEPDLFLDGDDSGTEEEQAAFMVELERFHREHGLEFKAPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTSREIGMNQSSSARVRRDAAARAMQGWHAHRLLTNGVYGDQNLKLPTIFIGKHSNFTGKRVNPLFKSWSTQEEESIQSRVCHQGVSDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADIRRMPS >LPERR02G24490.1 pep chromosome:Lperr_V1.4:2:21162529:21171640:1 gene:LPERR02G24490 transcript:LPERR02G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPLSLVSIVGCPELHPSISAALSSQQPPMNLLALPDFSKASILARTAKARDPLAPPQPPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGSSTKLVVILVQAQAGDELSEDVTIALRKRAEIDSKHLVVLVERDEAEWTKSLNKLTSVFTELCTTYYKDEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVEAIAWFRKHIRSYERVVGSPEVAFLHWEWFSKQFLVFGELIETTSATVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYAIECSSSSENLTEGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWENLGYLRECARKLNSLKDFISYSLEMAALPLFSGSGQGNSENKSKNGPAGSPTISSRESIHQEVVNILEGKHTSEISDDGFNVHLMEESTQLDIDQISPLRIVLVASVAFHDQSVKPGSPMLVSVSLQSHLPCPVMLDKLEVQFNQSDCNFVIDSEQEDYSTTNPHVHDEAVQTTSLTLFTDKWMRLTHEVKPGQSGKLECLVVKATISKRLVVCCQAESPVSMEEFPLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDSTGPALVGELFTVPVTIVSKGHVVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSAETMENGSKEEVDNIRKIQHSFGVVSVPTLCAGDSWSCKLEIKWHQAKSVMIYVSLGYSLDSTEETAVHRLNVHRSLQIEGKIPMIVGHQFLRPFRREPLLLSRIRSSSDDDKKSSLALNESNMLIVSARNCTEVPLRLHTMTIEPSDDGKQLCSVQQISGISNKYAVIAPSEEYKGIFSVNPRTINSNFCLGEICLSWSRDILVESQDNRVIMKERLPEVQIEEPPLVVTMECPPYAILGIPFTFHVKVYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIISHKLVPLGSGSQQLPRITVTSVRYSAASSPSTSAATVFVYPSEPKFNLEKGYSATDACVS >LPERR02G24490.2 pep chromosome:Lperr_V1.4:2:21162672:21171640:1 gene:LPERR02G24490 transcript:LPERR02G24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPLSLVSIVGSVIQGSSTKLVVILVQAQAGDELSEDVTIALRKRAEIDSKHLVVLVERDEAEWTKSLNKLTSVFTELCTTYYKDEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLRELLLVLHPALTLCSYLDDWNFNKTASNPTLAANYLREKRYAIECSSSSENLTEGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWENLGYLRECARKLNSLKDFISYSLEMAALPLFSGSGQGNSENKSKNGPAGSPTISSRESIHQEVVNILEGKHTSEISDDGFNVHLMEESTQLDIDQISPLRIVLVASVAFHDQSVKPGSPMLVSVSLQSHLPCPVMLDKLEVQFNQSDCNFVIDSEQEDYSTTNPHVHDEAVQTTSLTLFTDKWMRLTHEVKPGQSGKLECLVVKATISKRLVVCCQAESPVSMEEFPLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDSTGPALVGELFTVPVTIVSKGHVVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSAETMENGSKEEVDNIRKIQHSFGVVSVPTLCAGDSWSCKLEIKWHQAKSVMIYVSLGYSLDSTEETAVHRLNVHRSLQIEGKIPMIVGHQFLRPFRREPLLLSRIRSSSDDDKKSSLALNESNMLIVSARNCTEVPLRLHTMTIEPSDDGKQLCSVQQISGISNKYAVIAPSEEYKGIFSVNPRTINSNFCLGEICLSWSRDILVESQDNRVIMKERLPEVQIEEPPLVVTMECPPYAILGIPFTFHVKVYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIISHKLVPLGSGSQQLPRITVTSVRYSAASSPSTSAATVFVYPSEPKFNLEKGYSATDACVS >LPERR02G24500.1 pep chromosome:Lperr_V1.4:2:21172438:21174460:1 gene:LPERR02G24500 transcript:LPERR02G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSSLLAMDSKLFMLFLLLVFVACSATASHHDPSVVGYSQEDLALPNKLIDLFSSWSVKHRKIYASPKEKVKRYEIFKHNLRHIVETNRRNGSYWLGLNQFADVAHEEFKASYLGLKPGLARRDAQPHASATFRYENAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLISLSEQELMDCDNTFNHGCGGGLMDFAFAYIMGNQGIHTEEDYPYLMEEDYCREKQPHSKVVTITGYEDVPENSETSLLKALAHQPVSVGIAAGSRDFQFYKGGVFDGECGIQLDHALTAVGYGSDYGQEYIIMKNSWGKSWGEQGYFRIRRGTGKPEGVCSIYKIASYPTKNISLGP >LPERR02G24510.1 pep chromosome:Lperr_V1.4:2:21179730:21183854:1 gene:LPERR02G24510 transcript:LPERR02G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRAASPWSDQLRDAGVRFIQGDVRKKEVVGRALLGVDCVFHLASYGMSGKEMVQTGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVTFGGEPIVNGNEALPYFPIEDHVDAYGRSKSISEQLVLKSNGRQTKSDKSIRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLSFFKIGDPSVKSDWVYVDNLVLALILASMGLLDDIPGRKGTPVAAGQAYFICDGSPVNTFEFLSPLFQSMDYTVPRVTMDTSVALGISRFFLFIYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFVWLAVIIGMLLVFSAACLPPIGPLKWVLGIHLFVFRSMLVIRLVFVVSVALHAGEAVYAWFLAKKYDPRNATVSAARGSNR >LPERR02G24510.2 pep chromosome:Lperr_V1.4:2:21179730:21184502:1 gene:LPERR02G24510 transcript:LPERR02G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRAASPWSDQLRDAGVRFIQGDVRKKEVVGRALLGVDCVFHLASYGMSGKEMVQTGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVTFGGEPIVNGNEALPYFPIEDHVDAYGRSKSISEQLVLKSNGRQTKSDKSIRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLSFFKIGDPSVKSDWVYVDNLVLALILASMGLLDDIPGRKGTPVAAGQAYFICDGSPVNTFEFLSPLFQSMDYTVPRVTMDTSVALGISRFFLFIYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFVWLAVIIGMLLVFSAACLPPIGPLKWVLGIHLFVFRSMLVIRLVFVVSVALHAGEAVYAWFLAKKYDPRNATGWFWQTFALGFFSLRFLLKRVRG >LPERR02G24520.1 pep chromosome:Lperr_V1.4:2:21184891:21185247:-1 gene:LPERR02G24520 transcript:LPERR02G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLLSRSCGLDRLVLFAEEGGHDEAAQINWSRVGKMMGGWQLPVRCHLYAVCECFEALTSMIHPPCGCGVLPASTSI >LPERR02G24530.1 pep chromosome:Lperr_V1.4:2:21185417:21185968:1 gene:LPERR02G24530 transcript:LPERR02G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPMKDELPTFQGGDVGGGGKESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPSLGAAFAAGGPLKRWWDWGVGWLMSKKPGFASDLEMNEEEVAILGRQSRGSWGHILYKMRSGVRRLVASSSSQSLPTTHVAAAHCKPAAAAAFPYTQSFHSGHGHAAMAH >LPERR02G24540.1 pep chromosome:Lperr_V1.4:2:21187434:21187748:1 gene:LPERR02G24540 transcript:LPERR02G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEGGGQREGNALKTAVVVTGGLVLAWLTMESAFKPFLDRLRGALGRSTDPARDPDEEEAAAAEPSAPPAPVEGVEEGEKKGEDKEVELEEKGDGGAAAKAE >LPERR02G24550.1 pep chromosome:Lperr_V1.4:2:21195580:21196098:-1 gene:LPERR02G24550 transcript:LPERR02G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDTAWSNNLAPPPPPRQSAGGEAARYCFCPYCVVVPERARLRSHLRRHYREVHRAMVRGPGLAPRARLAVLRALAFRNNVTMRVRIRSRRPRGLMAQRLSPNYSFWAAHRWRGTRPQEIDFLGVNQGVGGVLLPATETSSGDSAPAPAPSPANDRAVVQGDHGSSRGSN >LPERR02G24560.1 pep chromosome:Lperr_V1.4:2:21208035:21208559:-1 gene:LPERR02G24560 transcript:LPERR02G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDTAWSNNLAPPPPPPRRQSAGGEAARYCFCPYCVVVPERARLRSHLRRHYREVHRAMVRDPGLAPRARLAVLRALAFRNNATRRARIRSRRPRGLMAQRLSPNYSFWAAHRWRGTWPQEIDFLGLGVNQELPSPAATQTSSEDSAPAPAPAPANDGAEVQGDHGSFAVSN >LPERR02G24570.1 pep chromosome:Lperr_V1.4:2:21208955:21209339:1 gene:LPERR02G24570 transcript:LPERR02G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDTTGSNNLAQLPPPRQSAGGEAASEVVSTRNNAITWARIRSRRPRGLMAQRLSPNYSFWAAHRWRGTWPMEIDFLGVNQGVQLPVTETSSEDSAPAPAPVQGDHGSFRGSN >LPERR02G24580.1 pep chromosome:Lperr_V1.4:2:21214838:21215356:1 gene:LPERR02G24580 transcript:LPERR02G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDTAWSNNLAPPPPPRQSAGGEAARYCFCPYCVVLPERARLRSHLRSHYREVHRAMVRGPGLAPRARLAILRALAFRNNASTRARIRSHRPRGLMAQRLSPNYSFWAAHRWRGTRPQEIDFLGVNQGVGGVQLPATETSSEDSAPAPAPWPANDGAEVQGDHGSFCGNN >LPERR02G24590.1 pep chromosome:Lperr_V1.4:2:21230008:21231965:-1 gene:LPERR02G24590 transcript:LPERR02G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRRCSLAAVLLPVLPCAWPLFCAAFNFSSGLWYGVPRIIVSGIVSPSTTPVNPRHRGGLLVFWDNVFDVLCHLTPAVSRCTRRTGSNANAAKAPSGVAKMVEVGGENEGDGFQV >LPERR02G24600.1 pep chromosome:Lperr_V1.4:2:21230286:21230552:1 gene:LPERR02G24600 transcript:LPERR02G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSAKANASAPAGAGHSICWASTARNPATSKAIPAATAQCLSLPTPPQQGWTEGEPSARRRQVMPLLHLCGRKKGVAAAAPTPPPR >LPERR02G24610.1 pep chromosome:Lperr_V1.4:2:21236129:21236497:-1 gene:LPERR02G24610 transcript:LPERR02G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGALPGLHQLHAPVARIFCCPICPSIWPSCQHLWNHLYTYHAAELAFMQYVHAYRSRRCGGMQPAAVVRAPPPTPEPEPAPVPATTVQDSFVQLPPNDAFWEEYRKGGSRPVEIQFFPH >LPERR02G24620.1 pep chromosome:Lperr_V1.4:2:21242731:21243894:-1 gene:LPERR02G24620 transcript:LPERR02G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFGYVVHDLVIVAALLYFALVMIPVLPSGMHLAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSMERDEVFVPKQKSAMAWYTPYVYNNPIGRLVHIVVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERIQIFISDVGVVAAGAALFKLSSAFGFWWVVRIYGVPLLIVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATVDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVESEDNRGGVFWYSNKF >LPERR02G24630.1 pep chromosome:Lperr_V1.4:2:21253961:21258133:-1 gene:LPERR02G24630 transcript:LPERR02G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLCGRVVEVVSFLTCLSSPSPENCELALRARTGGFINEARKVFTYKATITNNQKAMAPTAVDSKRISDITEDGSMDRRGNPAVKANTGKWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPSGCGAAGEHCDAPSTAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPNEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDDGMWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWHAEVPVRSELLHEVDGDESKIAGIRKILHSDQIRFLDKAATVTEEDYCKPENMQDPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRALVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVVTPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEDDKDKKITVHV >LPERR02G24640.1 pep chromosome:Lperr_V1.4:2:21266501:21266986:1 gene:LPERR02G24640 transcript:LPERR02G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQAADHIEATDCGEWGEKLTLSSIWEWIGMRWSGRWWWWWGDRAKLSVRLYKPAPREAAAKGEGEDIVVNLVHPYTILGAAQGLQRGMAEGVARQGRGPPAMPHPQDLLKLGKSSSFAAGSQKTSTHAALLLIFRL >LPERR02G24650.1 pep chromosome:Lperr_V1.4:2:21268926:21272601:1 gene:LPERR02G24650 transcript:LPERR02G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEWTTVEAGDRAKLSVRLFKPAPGEAAAEGEDIAVVLVHPYTILGGVQGLLRGMAEGVARRGYHAITFDMRGAGRSTGRASLTGSTEVGDVVAVCRWVAENLNPRGVLLVGSSAGAPIAGSAVDKVDQVVGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFIMGTKDGFTSVKQLENKLKNATGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKSLPK >LPERR02G24660.1 pep chromosome:Lperr_V1.4:2:21269369:21269902:-1 gene:LPERR02G24660 transcript:LPERR02G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATATQWRCFGGIHRRGKQTQGGGGRRSEEPTAMGMSVGRRQRTRTPEWEGDDHEMVAAGAGTGQRRMRTPPCAFVRRQLQATPLSVAISTSKRLRTPHAFVHHHLHLQAPVSLLMPPLARGRFRCGRAHAAAAPVAIEDGPASTAAVDHQRYGRRRRRLRLVVPSSAADIASVS >LPERR02G24670.1 pep chromosome:Lperr_V1.4:2:21274623:21275799:1 gene:LPERR02G24670 transcript:LPERR02G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAWSGRQLYGRGRGRGKKLTIVRSHEEKGSGAEEVMPARKRRGRPQKRFADKIDQADVENLLENVIDGEEEGGDDVKLKSSRLEKNTTTAAAGNKRDRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKSKPRRAAEAGLECK >LPERR02G24670.2 pep chromosome:Lperr_V1.4:2:21274612:21275799:1 gene:LPERR02G24670 transcript:LPERR02G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGVDASYMEGRGRGKKLTIVRSHEEKGSGAEEVMPARKRRGRPQKRFADKIDQADVENLLENVIDGEEEGGDDVKLKSSRLEKNTTTAAAGNKRDRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKSKPRRAAEAGLECK >LPERR02G24680.1 pep chromosome:Lperr_V1.4:2:21278559:21282429:1 gene:LPERR02G24680 transcript:LPERR02G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDSAVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGATIFGYKGKSIPAALTAGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >LPERR02G24680.2 pep chromosome:Lperr_V1.4:2:21278681:21282429:1 gene:LPERR02G24680 transcript:LPERR02G24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDSAVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGATIFGYKGKSIPAALTAGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >LPERR02G24690.1 pep chromosome:Lperr_V1.4:2:21283722:21294484:-1 gene:LPERR02G24690 transcript:LPERR02G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGTRNKGKAQGGTQSATVEPEVPVTDGVEDVKPENGEVSEPAVVEGSAPGAEKKEEQGAASGETQPAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHELEDYNEIAEIADITAGGCSLEMVAAIYDERSIRSHLRRVRELLSLSNIHVSLSTSLALQQESAQEKSGDTADSGKSANQELDGLNFMEDSTGALINLLPSAPVEIKCVASIVFSSFNPPPSYRRLHGDLIYVDVMTLEGNKYCITGNSKSFYVNSSNGSVLDPRPSKQALEDSTLVGLLQKLSAKFKKGFREILDRKASAHPFENVQALLPVTSWLGGHPVPEHRRDASRAEESVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLSKDQKPDGQNVSGRSVIGSSAPGAKPNRNHADPSGTADSKTEEPNGVLDNSSDASAEAQLADSEQATYASANNDLKGTKAYQEADIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAQSTTKSTTQKVADAPGESNEQLPSTSDATATPVEGPVKSDETSVPRPDKSDEDSGPSPGTSDDSSAEHNESSAEILFNPNVFTEYKLAGSPEEIAADETLVKKVGSYLLDTVIPKFVQDLCSLDISPMDGQTLTDVLHSNGINVRYLGKIAGMIKHLPHLRDLLSSEIIVRSAKHVVKEILRQSPDHDIGPAITHFLNCFVGNVLAPSTKGSVDSTHSKIHKGHEKTENQKSTKGQKSGLSASSKNIAPSFSHLTSDGVWSNIKEFAKHKYLFEVPDDARASAKRVAVLRNLCQKVGITIAARKFDLDAAAPFQPSDILNLQPVVKHSVPVCADARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHRDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAVCYHALAIAFSCMGAFKLSIQHETKTYDILVKQLGSDDSRTKDAESWLNTFKAREQQVNAQKQKGQQGTNPPPNPIELLKEKRSLNAAIVGEGVPRVRGVDERAARATAEVRKKAVARGLNVRSGQAPDYMSNLSQILNLMDSAKVNNASATPATAATTTASASTQTASEGQQSNGPTQNGSAVDTNGPSSKKSGGHAPVGLGTSLELKKQKSKQKS >LPERR02G24690.2 pep chromosome:Lperr_V1.4:2:21283722:21294484:-1 gene:LPERR02G24690 transcript:LPERR02G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGTRNKGKAQGGTQSATVEPEVPVTDGVEDVKPENGEVSEPAVVEGSAPGAEKKEEQGAASGETQPAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHELEDYNEIAEIADITAGGCSLEMVAAIYDERSIRSHLRRVRELLSLSNIHVSLSTSLALQQESAQEKSGDTADSGKSANQELDGLNFMEDSTGALINLLPSAPVEIKCVASIVFSSFNPPPSYRRLHGDLIYVDVMTLEGNKYCITGNSKSFYVNSSNGSVLDPRPSKQALEDSTLVGLLQKLSAKFKKGFREILDRKASAHPFENVQALLPVTSWLGGHPVPEHRRDASRAEESVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLSKDQKPDGQNVSGRSVIGSSAPGAKPNRNHADPSGTADSKTEEPNGVLDNSSDASAEAQLADSEQATYASANNDLKGTKAYQEADIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAQSTTKSTTQKVADAPGESNEQLPSTSDATATPVEGPVKSDETSVPRPDKSDEDSGPSPGTSDDSSAEHNESSAEILFNPNVFTEYKLAGSPEEIAADETLVKKVGSYLLDTVIPKFVQDLCSLDISPMDGQTLTDVLHSNGINVRYLGKIAGMIKHLPHLRDLLSSEIIVRSAKHVVKEILRQSPDHDIGPAITHFLNCFVGNVLAPSTKGSVDSTHSKIHKGHEKTENQKSTKGQKSGLSASSKNIAPSFSHLTSDGVWSNIKEFAKHKYLFEVPDDARASAKRVAVLRNLCQKVGITIAARKFDLDAAAPFQPSDILNLQPVVKHSVPVCADARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHRDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAVCYHALAIAFSCMGAFKLSIQHETKTYDILVKQLGSDDSRTKDAESWLNTFKAREQQVNAQKQKGQQANINRSLNAAIVGEGVPRVRGVDERAARATAEVRKKAVARGLNVRSGQAPDYMSNLSQILNLMDSAKVNNASATPATAATTTASASTQTASEGQQSNGPTQNGSAVDTNGPSSKKSGGHAPVGLGTSLELKKQKSKQKS >LPERR02G24690.3 pep chromosome:Lperr_V1.4:2:21283722:21294484:-1 gene:LPERR02G24690 transcript:LPERR02G24690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGTRNKGKAQGGTQSATVEPEVPVTDGVEDVKPENGEVSEPAVVEGSAPGAEKKEEQGAASGETQPAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHELEDYNEIAEIADITAGGCSLEMVAAIYDERSIRSHLRRVRELLSLSNIHVSLSTSLALQQESAQEKSGDTADSGKSANQELDGLNFMEDSTGALINLLPSAPVEIKCVASIVFSSFNPPPSYRRLHGDLIYVDVMTLEGNKYCITGNSKSFYVNSSNGSVLDPRPSKQALEDSTLVGLLQKLSAKFKKGFREILDRKASAHPFENVQALLPVTSWLGGHPVPEHRRDASRAEESVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLSKDQKPDGQNVSGRSVIGSSAPGAKPNRNHADPSGTADSKTEEPNGVLDNSSDASAEAQLADSEQATYASANNDLKGTKAYQEADIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEATPVEGPVKSDETSVPRPDKSDEDSGPSPGTSDDSSAEHNESSAEILFNPNVFTEYKLAGSPEEIAADETLVKKVGSYLLDTVIPKFVQDLCSLDISPMDGQTLTDVLHSNGINVRYLGKIAGMIKHLPHLRDLLSSEIIVRSAKHVVKEILRQSPDHDIGPAITHFLNCFVGNVLAPSTKGSVDSTHSKIHKGHEKTENQKSTKGQKSGLSASSKNIAPSFSHLTSDGVWSNIKEFAKHKYLFEVPDDARASAKRVAVLRNLCQKVGITIAARKFDLDAAAPFQPSDILNLQPVVKHSVPVCADARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHRDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAVCYHALAIAFSCMGAFKLSIQHETKTYDILVKQLGSDDSRTKDAESWLNTFKAREQQVNAQKQKGQQANINRSLNAAIVGEGVPRVRGVDERAARATAEVRKKAVARGLNVRSGQAPDYMSNLSQILNLMDSAKVNNASATPATAATTTASASTQTASEGQQSNGPTQNGSAVDTNGPSSKKSGGHAPVGLGTSLELKKQKSKQKS >LPERR02G24700.1 pep chromosome:Lperr_V1.4:2:21297817:21301814:1 gene:LPERR02G24700 transcript:LPERR02G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPYLSRIAGGDAAAAEDEEGLRALCATVSTSLRDTGALLVKDPRCTAADNDRFLDVVERYFARSAESKRLQERPQLHYQVGVTPEGVEIPRSLVDKEMQDKIKSMPEEFQPATPNGPDPKWRYMWRVGPRPASTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLTKDSFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTNRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGNFAEASDAHSYPPICAGDYVEQELSVINLKGKNGF >LPERR02G24710.1 pep chromosome:Lperr_V1.4:2:21300857:21304117:-1 gene:LPERR02G24710 transcript:LPERR02G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRRQVAIECVAGGSRAEEWGPGSSETVQTGDVVEEILIGVGGRGGPAAHAAPFKGGRAAVQRILHSAYKRGDTSVEVRVRRPVHSQAQQQQMEMTGAGELVSSATVAGGAGTTTARMQACIVPHEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREVLPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQTSGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEVNLHSTSISHFLVHDCINHLNSEPLVNTEQQQGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSAAADAHEPRIAPPQPGPYPELFAAAELTRQDSFGRGELTRQESFGFGVELRRQGSFGGGGGELPRQDSFGVELVRQDSFGGELLRQDSFACPEQPVRRAGRDTAGDTSFRFHNFKLPNNWV >LPERR02G24720.1 pep chromosome:Lperr_V1.4:2:21306380:21309046:1 gene:LPERR02G24720 transcript:LPERR02G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPSTAAAAGGETDGSVQIRRLEAADHEKGFVSLLSQLSSCPDLTASEFAACFADLAALGDDHVILVAEDAAVAAAERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAGSCF >LPERR02G24730.1 pep chromosome:Lperr_V1.4:2:21308923:21310635:-1 gene:LPERR02G24730 transcript:LPERR02G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEEIQGTPIH >LPERR02G24740.1 pep chromosome:Lperr_V1.4:2:21313989:21314579:-1 gene:LPERR02G24740 transcript:LPERR02G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRLDPEAGRLQAARSITVRSPPLPFILRRLLPAAASSPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLAALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >LPERR02G24750.1 pep chromosome:Lperr_V1.4:2:21315046:21315648:1 gene:LPERR02G24750 transcript:LPERR02G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLSRRLLSPAAKTARFLPKPHPLLFLHPHRCFSAASEPDPSAASPVSPESMKHQEIEGPTVERDTSLLADETRRELDALRGAVRRISGSLALLGGAHLAAGAWIASGAAPVGVESAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEADGRLQVLTLCLQATKNVNLMLLRTRVVAISCALGVSVGSVAMILMR >LPERR02G24760.1 pep chromosome:Lperr_V1.4:2:21319662:21319841:-1 gene:LPERR02G24760 transcript:LPERR02G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVAFAAGEAPAPAPTSAATAAVAPAIGAALGATVLSFFAYYLQ >LPERR02G24770.1 pep chromosome:Lperr_V1.4:2:21319717:21320016:1 gene:LPERR02G24770 transcript:LPERR02G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAVAALVGAGAGASPAANATVAEAATRTAQAIFFISIPFLPLKVARPNRRSIDGTEARKKEMALLLLLLLMLCFLPQEGEETRRMAGFIAGLVG >LPERR02G24780.1 pep chromosome:Lperr_V1.4:2:21326200:21327541:1 gene:LPERR02G24780 transcript:LPERR02G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPKSVISRWNVAKNIKAIKKKRKELTSMQLHIAYHQQLVNLLAI >LPERR02G24780.2 pep chromosome:Lperr_V1.4:2:21327118:21333670:1 gene:LPERR02G24780 transcript:LPERR02G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTVEMPLPTVDAASVLGRDQDKNKIITKLIESKDQQEIEIVSVIGLGGSGKTTLAKLVFNDGDIIEKYFEVRLWVQVAKEFVVTRLFEKLFEAVTKEKSARHTFEHMREKISKEVNDKRVLLILDDVWTEERIQWEQFRREFMVHLKNGKHGSRILLTTRSKRVAEAAESTSLFDLPFLSLDDSWQLFDRTFRGVKRLGSEFEQVGKEIVKKCGGVPLAIIVVAGVLRDKELIGEWKAMRDNNILDVKGEESISACLKLSYFHLPSHLKQCFTLCSLYPKGNWVSKDQLIDQWIAHDMIDLAPGVEYLEHIGHKYFNSLVQMSFLQDVDVDRYGMVICRMHDLVHDLARFILHEEISIIVPEDASSSTKGSRYISLVEQPRNILPDFFFEKARALYVDKGDHQIFGKALKSAKHLRSIAVESICTAAVPTTIFQVKSLKHLEISEMECEALPEAISDIWSLQSLYVTSEDLIKLPKFIGKLKKLRTLKLLRCRKLKSLPDSIGDCHLISTLDLSGCNKLKELPNSISKNRRLRVLSLRYTRIERLPSSIITLKNLEYLDLQSCYWLTELPEGIGSLTKLQVLNLVDCIAVEAMPVGIGQLTQLEMLGLFHVGSGEKSARISELATIDRMSGELSITHLKHVTDPCEASKACLKQKKNLHELKLAWDGSDKVNIENELAVLDGLEPPLGIKYLEIYRYAGSQFAKWMQKQVDGGVHGLSQFPFLTNMMLNDLPNLKHLDGLVQLPSLGGLYLTDMPGLESISGGPFPSLEYLSMKNLATLGEVWMVTEKTLDGWVDGGGCNKPYHLRELQIGSRLRMLRIDGCPKLEVKPYLPSSLKRLYSKECNDQLLQSPCQRPSLSSSSPPSFSHLKKVVLWPTSSSISPPSHGLGSGRGWELIQHMSALESLEILFCDGLTELPKIFESLASLQSLRMCLCPAIRVLPESLGELRSLQELTIECCDNLSTLPHSMGHLTSLQKLRIEDCKALYQLPESLGELLALRELEIKCLNGLTRLPQSMGQLTLLQKLQITHCDSLHQLPESLGDLPALRKFHIRLKRLTCLPQSMFQLATSLKEIKIVCCEGMKSLPEGIQGLSTLQLLVIRGCPDLARRCYLGKGEDWHLISHVPYLTIERSEMPSWRVNASMPSPSQAP >LPERR02G24790.1 pep chromosome:Lperr_V1.4:2:21326932:21333487:-1 gene:LPERR02G24790 transcript:LPERR02G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPISCRKVEEIDNRHVVITVPSAAPTPTLALSPDPIRAHTATASGREGASAPIRGFPLAATDAPTHTPSRRPAPTKIMARPCRPISHSYDSSTYSGPASHAPDTHAVLAPSERSLISSSEATSMRNTGSSTSESVDMTGYIATSN >LPERR02G24790.2 pep chromosome:Lperr_V1.4:2:21327218:21333487:-1 gene:LPERR02G24790 transcript:LPERR02G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPISCRKVEEIDNRHVVITVPSAAPTPTLALSPDPIRAHTATASGREGASAPIRGFPLAATDAPTHTPSRRPAPTKIMARPCRPISHSYDSSTYSGPASHAPDTHAVLAPSERSLISSSEATSMRNTGSSTSESVDMTGSN >LPERR02G24790.3 pep chromosome:Lperr_V1.4:2:21331369:21333487:-1 gene:LPERR02G24790 transcript:LPERR02G24790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPISCRKVEEIDNRHVVITVPSAAPTPTLALSPDPIRAHTATASGREGASAPIRGFPLAATDAPTHTPSRRPAPTKIMARPCRPISHSYDSSTYSGPASHAPDTHAVLAPSERSLISSSEATSMRNTGSSTSESVDMTVKGPLGDVTN >LPERR02G24790.4 pep chromosome:Lperr_V1.4:2:21326932:21327563:-1 gene:LPERR02G24790 transcript:LPERR02G24790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLFGSYGEQIDQLLVVAIDLLSKILELVEILCYTHHRAILFDAQQSYLAAQNLQHSRYYSSFHTARYIATSN >LPERR02G24800.1 pep chromosome:Lperr_V1.4:2:21336047:21340077:1 gene:LPERR02G24800 transcript:LPERR02G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFNLGSSFSEGVRARNICPSVSSYDRHFTTRNYMTHSVWGPANGGINVPMMSTPIYANAPAEKGGKNFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >LPERR02G24810.1 pep chromosome:Lperr_V1.4:2:21341783:21344631:1 gene:LPERR02G24810 transcript:LPERR02G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAITCSKGIAPEEGKERVVVPVAVAMNGAVEHAGDNAANGKQCGDDAPHCRKENGNVEEEEEDDEEKVPKAIDLGPMVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALSK >LPERR02G24820.1 pep chromosome:Lperr_V1.4:2:21347181:21352159:1 gene:LPERR02G24820 transcript:LPERR02G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHVTTKNLPGLMKKLRGLNEVISEEEIASHLSQSYPDADQEIEFESFLREYLNLQSRASSKEGGGGGGGGKTSSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLGEDPFLKKYLPIEPTGSQLFDLVRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETQDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTTDTKQVTLTQTATRDDVLLSREERAFRMWINSLGVDSYVNNVFEDIRNGLRSHSQGSQGKEITDADILKWANSKVKASGKTSQMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYIISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSETSEQSEPSSMASDAASDIASEDAASTTAASEGEEVNSLSDSMSNLTTDDATSNAPPAENGNGVAG >LPERR02G24830.1 pep chromosome:Lperr_V1.4:2:21364819:21366751:1 gene:LPERR02G24830 transcript:LPERR02G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILRTRPLLQNAVGEVLQASLSHSGHGKMVVADLGCSSGPNTLLVVSQVLGAVANCNCGEQPTTGVQFFLNDLPGNDFNLVFQSLELFRKITEKEFGEALPPYYIAGLPGSFYTRLFPDRSVSLFHSSYCLMWRSKIPDEIAKGVVLNVGNMYIWETTPSSVVKLYQKQFKEDFSLFLKLRYDELVSGGQMVLTFLGRKNKGVLRGEVSYMWGLLAQALQSLVQEGRVEEEKLNTFNLPFYSPSMDEVKAVVRQSELFDISHIQLFESNWDPQDDMDDDDVTLDSVRSGINVARCIRAVLEPLIARHFGRCIVDDLFDMYAQNVARHLEQVKTKYPVIVLSLKARR >LPERR02G24840.1 pep chromosome:Lperr_V1.4:2:21372926:21373540:1 gene:LPERR02G24840 transcript:LPERR02G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHYASTSHFYFAAGGDGDSGATNAHKPTTTVRIPITTPSPERPPDDAAAARRIQAAIRGHLVRRNAGAVRAADAEATRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYYPAVREARRGVTRRVVGLQEVFDAVLAAPDADTCGVPASLDQVLEGIWGGAGVAPAAPPPPPPIVEEEVGRSSCWRRFFGGV >LPERR02G24850.1 pep chromosome:Lperr_V1.4:2:21378897:21387456:1 gene:LPERR02G24850 transcript:LPERR02G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASPVCRQRPHSAPSSGDLPQVSPPIGEPPVPSPITTAAARRQRRAPAISIGIPLANVVMDRSQDHLNSPGIAVPTAVKMRYMAGNKNPQVAGNNNPWTVPPPPPVNVRNGLGNAGLFSTSLPVLRHEKYSAHGTPLMDATSAKLKEFEDDREGKEYEFDFDLRQIDDLLPDEDELFVGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDSDTVDGITAGLGNTSIGDGIRGNGVNHFGPSNSTGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRHPLVGSPIASSPPGAWTQYNSPTDNNLLQAFNTSPTGNGISPIGMPPSLMSNSVKIPPIGKESNWSKYDQVFSNSNQPRGTAFQHSHSYQDHKNEHMSSSPGTLTGPEFLWGSPKPYSEHSQSPIWHPPPIGHAMSSNTRPQGQGLLYGGHQASLFGSSDQHHHHHVGSAPSGAPFESHFGFLPESPETSYMNQLRFGNIGNIGSGRNGTSLMLNMASRASANPVSALSGSMPDNNSSSFRPVLSPRLGQTFFGSPTYQGPSSFGLDTSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIASFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPEAGNQEPFPINGICIHIPLEDGTIASGDSLGSEEDNNQIEKTVGENSLTL >LPERR02G24860.1 pep chromosome:Lperr_V1.4:2:21388992:21396319:1 gene:LPERR02G24860 transcript:LPERR02G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAASPPSAPGKRRRRGGGGGGGEGGEGLRRVREPTAAERALAAEARERLAAVVAEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPIQPTSTAQTIISNCTPDFQGGAPKFAVGAPRNPPTIAALPATAPVTSASLVTLKPPGSSPIKSVNNPSVVTLPHTPLHLKSDKGVNGPPNVARGGATFVHMNKSFHDASARSNLNAVQNSSQVVKNQDSRTVSTDAVVGNPVGHHATPSVAPVPSKPTFANHNEIAKNVQRVLHQPANHPTWIPPSTDYMHSSLDCQICKLAIMDTESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKAAPPPAGTQVSSQGAAQNIAVKENHQKVAVNGNLLNQNPTQAGSAVRGGTVLALSVAAAGAHSHPLSTSRPLEGNLKNDTALSSGNAGNVGSCSSIAHHNEKFPDKLHGSGLPADSNTGTQSGKSPNEEVSSVLSSGHVDSTNDTLHGQKSPEISEEKSLDNSSIVASEANIKPKADSQLISSRDAEMVDSSIPPIDQTNNIATEDNPSTLETSEPCTMKGVEVSANTGISIDQGGSAATEENLQSEATSDSHIINDVATTNAGTPLCPRSNVAIEEKYQSDVTSEIHTANDTKMTASPVDQNINIATEENPLSESTSATEDADMATDTGIPTNQTFEANGLPENGRKEHPMGETDKVKADHSAMPDMVSTLQITSNGVIHSEKDETGCGHGGEIVHCSAAPRKEDK >LPERR02G24860.2 pep chromosome:Lperr_V1.4:2:21388992:21396319:1 gene:LPERR02G24860 transcript:LPERR02G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAASPPSAPGKRRRRGGGGGGGEGGEGLRRVREPTAAERALAAEARERLAAVVAEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPIQPTSTAQTIISNCTPDFQGGAPKFAVGAPRNPPTIAALPATAPVTSASLVTLKPPGSSPIKSVNNPSVVTLPHTPLHLKSDKGVNGPPNVARGGATFVHMNKSFHDASARSNLNAVQNSSQVVKNQDSRTVSTDAVVGNPVGHHATPSVAPVPSKPTFANHNEIAKNVQRVLHQPANHPTWIPPSTDYMHSSLDCQICKLAIMDTESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKAAPPPAGTQVSSQGAAQNIAVKENHQKVAVNGNLLNQNPTQAGSAVRGGTVLALSVAAAGAHSHPLSTSRPLEGNLKNDTALSSGNAGNVGSCSSIAHHNEKFPDKLHGSGLPADSNTGTQSGKSPNEEVSSVLSSGHVDSTNDTLHGQKSPEISEEKSLDNSSIVASEANIKPKADSQLISSRDAEMVDSSIPPIDQTNNIATEDNPSTLETSEPCTMKGVEVSANTGISIDQGGSAATEENLQSEATSDSHIINDVATTNAGTPLCPRSNVAIEEKYQSDVTSEIHTANDTKMTASPVDQNINIATEENPLSESTSATEDADMATDTGIPTNQTFEANGLPENGRKEHPMGETDKVKADHSAMPDMVSTLQITSNGVIHSEKDETGCGHGGEIVHCSAAPRKEDK >LPERR02G24860.3 pep chromosome:Lperr_V1.4:2:21388992:21390011:1 gene:LPERR02G24860 transcript:LPERR02G24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAASPPSAPGKRRRRGGGGGGGEGGEGLRRVREPTAAERALAAEARERLAAVVAEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKFSLIRVVSFPSVAALIDGFALTMDLSILDWISTTVLLLAIDSIRDAVLLLKVQ >LPERR02G24860.4 pep chromosome:Lperr_V1.4:2:21388992:21395500:1 gene:LPERR02G24860 transcript:LPERR02G24860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAASPPSAPGKRRRRGGGGGGGEGGEGLRRVREPTAAERALAAEARERLAAVVAEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPIQPTSTAQTIISNCTPDFQGGAPKFAVGAPRNPPTIAALPATAPVTSASLVTLKPPGSSPIKSVNNPSVVTLPHTPLHLKSDKGVNGPPNVARGGATFVHMNKSFHDASARSNLNAVQNSSQVVKNQDSRTVSTDAVVGNPVGHHATPSVAPVPSKPTFANHNEIAKNVQRVLHQPANHPTWIPPSTDYMHSSLDCQICKLAIMDTESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKAAPPPAGTQVSSQGAAQNIAVKENHQKVAVNGNLLNQNPTQAGSAVRGGTVLALSVAAAGAHSHPLSTSRPLEGNLKNDTALSSGNAGNVGSCSSIAHHNEKFPDKLHGSGLPADSNTGTQSGKSPNEEVSSVLSSGHVDSTNDTLHGQKSPEISEEKSLDNSSIVASEANIKPKADSQLISSRDAEMVDSSIPPIDQTNNIATEDNPSTLETSEPCTMKGVEVSANTGISIDQGGSAATEENLQSEATSDSHIINDVATTNAGTPLCPRSNVAIEEKYQSDVTSEIHTANDTKMTASPVDQNINIATEENPLSESTSATEDADMATDTGIPTNQTFEANGLPENGRKEHPMGETDKVKADHSAMPDMVSTLQITSNGVIHSEKDETGCGHGGEIVHCSAAPRKEDK >LPERR02G24870.1 pep chromosome:Lperr_V1.4:2:21396762:21397437:-1 gene:LPERR02G24870 transcript:LPERR02G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSVTTTAFAAGVLLLAITAGPAASAVDHRRHHVVGGDPGWAVASDVLAWSAGRLFAAGDTLWFAYSADDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLDSEGSRYFVSADPAKCGGGLKLRVDVRAPVDRTTTTVMPAPLREIDEQAAAPAPAPWASSSCGRGVVTSRTCVMLCCLLFLAI >LPERR02G24880.1 pep chromosome:Lperr_V1.4:2:21398066:21408546:1 gene:LPERR02G24880 transcript:LPERR02G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRETSCGSLLQKLQLVWDEVGESEEDRDKVLFQLDQECLDVYKRKVDQAIKSRDLLLQALDYSKTELARLASALGEKSIEISPEKTARTIKEQLTAIAPTLEQLGKKKKERMKELANIQSRIEQIRGEIAGTLEIGQQVALPQINEDDLTVQKLREFQSQLQGLEKEKSSRLEKVLDHVCTVHDLCNVLGMDFCRTITEVHSSLDDSIGNENKSISNDTLSKLDSTIATLNEDKRLRLKKLQELATQLYDLWDLMDTPMEERSSFDHISCNRTATVEEVMAPGALAFDIIDQAQTEVERLDQLKYSKMKEIAFKKQAILEDIYASTHVVLDTAVAHEKIHALIESGNMEPSELIADMDSQILKAKEEALSRKEILDKVERWICSCEEESWLEDYTRDDNRYNSGRGAHLNLKRAEKARVLVSKIPALVETLVAKTRAWEENHGVPFMYDGVSLLAMLDEYVILRQEKEEEKKRMREQKRQTEQLLNIDREGPFGTRVNPYKVTSAKKVAGTKPNGGTSNGTPSRRLSINNQLNESKNARSSGKDDKKGASKNTAASLNEAAADKEADLSAEKFDTEPIAGST >LPERR02G24880.2 pep chromosome:Lperr_V1.4:2:21399025:21408546:1 gene:LPERR02G24880 transcript:LPERR02G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRETSCGSLLQKLQARLVWDEVGESEEDRDKVLFQLDQECLDVYKRKVDQAIKSRDLLLQALDYSKTELARLASALGEKSIEISPEKTARTIKEQLTAIAPTLEQLGKKKKERMKELANIQSRIEQIRGEIAGTLEIGQQVALPQINEDDLTVQKLREFQSQLQGLEKEKSSRLEKVLDHVCTVHDLCNVLGMDFCRTITEVHSSLDDSIGNENKSISNDTLSKLDSTIATLNEDKRLRLKKLQELATQLYDLWDLMDTPMEERSSFDHISCNRTATVEEVMAPGALAFDIIDQAQTEVERLDQLKYSKMKEIAFKKQAILEDIYASTHVVLDTAVAHEKIHALIESGNMEPSELIADMDSQILKAKEEALSRKEILDKVERWICSCEEESWLEDYTRDDNRYNSGRGAHLNLKRAEKARVLVSKIPAFLLALVETLVAKTRAWEENHGVPFMYDGVSLLAMLDEYVILRQEKEEEKKRMREQKRQTEQLLNIDREGPFGTRVNPYKVTSAKKVAGTKPNGGTSNGTPSRRLSINNQLNESKNARSSGKDDKKGASKNTAASLNEAAADKEADLSAEKFDTEPIAGST >LPERR02G24890.1 pep chromosome:Lperr_V1.4:2:21410439:21413477:1 gene:LPERR02G24890 transcript:LPERR02G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAILWSLLLCSVLEFFPCHSAVAAGVGRGFVTVSTSSFAVSSTCIDDSASPGRAAPPRQNGTSAAVLRLTHRHGPCGPAAKASALGTTPPSLLDTLRADQRRAEYVLRRVSGAAAGLEIAGSKSATVPANLGFSIGTLQYVVTVSLGTPAVAQTVEVDTGSDVSWVQCKPCPSPPCYNQKDPLFDPTRSSSYSAVPCGSPACSSLKLYASGCSSGGQCGYVVSYGDGSTTTGVYSSDALTLTGSDSLKGFLFGCGHSQQGLFAGIDGLLGLGRQSQSLVSQASNTYGGAFSYCLPPTQNSVGYLTLGASATTTGFSTTPLLTASNDPTYYMVMLAGISVGGQQLGIDASVFASGAVVDTGTVVTRLPPTAYAALRSAFRAAMAPYGYPTAPATGILDTCYDFSRYGSVTLPTISISFGGGAAMDLGPTGILTGGCLAFAPTGGDTQASILGNVQQRSFEVRFDGSTVGFMPGSC >LPERR02G24900.1 pep chromosome:Lperr_V1.4:2:21416148:21417601:-1 gene:LPERR02G24900 transcript:LPERR02G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSPLLLLLLCSYHCFVAHAGGEQSYKILELNPKAVCSEPNAIPSSSGGTTVLLNHRHGPCSPAPSKKKPTDEELLRRDQLRAEHIQKKFSQNVAVDAAGELQQSKVTVPTELGTSLNTLEYVITVGLGSPAVTQTMTIDTGSDVSWVHCEAGSAQFNPAASSTYSAFTCAAAECAQLDTEGNGCSANSECQYTVTYGDGSSTNGTYSCDTLTLSGSGAVVKNFQFGCSHAESGFGDQTDGLMGLGGGAQSLVSQTFGKSFSYCLPPTSGSSGFLTLGSGGGGGAGFVTTRMLRSRRFPTFYGATLQDIAVGGKQLGLSPSVFDAGSVVDSGTIITRLPPTAYAALSSAFKDGMKQYPRAQPRSILDTCFDFSGQTKVAIPTVALVFSGGAAVDLDANGIMFGSCLAFAATDSDGTTGIIGNVQQRTFEVLYDVGNSMFGFRSGAC >LPERR02G24910.1 pep chromosome:Lperr_V1.4:2:21423404:21428139:-1 gene:LPERR02G24910 transcript:LPERR02G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRADDDDHASLLRSHPAAGSSSPCPSPRPVAVADVEAAAAAAADEATVTASPRRSSVGGVRGLLRHLDRRIAARGSGRRGQQLPQQQQLDRSAGSEQPSPTSSSSSPQRRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKIAKHPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAHLKERYDFPIVVYPALGGLGAGLIALNYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQTNDGEPSRFRTPRRGYSSVSSEDRSSSSWRRGDTVNDLELTSLRTDINNHDTYNEEMLLDDLKVSQAMSKSYVKIPPSATVTEALKLLHDKQQNCGLVVDCEDFLEGIITLGDIRRMGFELHEDIFTNGDQLKSAMLQENSSTIQLCLTRGFQFQGSERGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSISHCLRYTGLYLKILGGNRELEGHISNKRGLPYYGKWALNSITVTNESHPMLQLGKCSHTESWKLAQ >LPERR02G24920.1 pep chromosome:Lperr_V1.4:2:21435339:21440763:1 gene:LPERR02G24920 transcript:LPERR02G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLLLAFSLLFAVATPIRDITDACSSQIKDFQHLNSTALHLELHHPRSPCSPAAPLPSDLPFTAVLTHDDARIASLAARLAKTPSSRPTSLEAATEATTSALLDDSLASVPLSPGTSVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKTSSSYASVPCSAQQCNDLPSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSLGSTSLQNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSSSSSGYLSLGSYNAGMYSYTPMVSSSLDDSLYFIKISGMTVAGTPLAVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASGVSAPAVTLSFAGGATLKLSAKNLLVDVDDSTTCLAFAPARSAAIIGNTQQQSFSVVYDVKSSRIGFAAGGCS >LPERR02G24930.1 pep chromosome:Lperr_V1.4:2:21442757:21451781:-1 gene:LPERR02G24930 transcript:LPERR02G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPPPTAPVPEPERIKEETRRELTLRLIRRDLRNLSRDPPPYCRPGREPVTDAFHWEVIIDGPAGTPYAGGTFPIDVKIPADYPLHPPKLAFKTKVYHPNIDEKGNLVVEVGDWTPCYKIDGILVSFVSLLYDPLLDRPINGVIAKQYEYEYERYEEEARAWTQEYSSTPIASHYPPNSVIGRTPPVVPHFPATAARRRAATAAAESSGSGSYESLWRRLEEDAGKRKRNNPLRRINRELDMFWRDPPPYCRPGPEPVTDPFHWDLVIDGPAGTPYAGGTFPIDISFPAHYPSCPPKITFKTKAIRYEKPAPATAPQEKKSTAAPRGWWWMTTLQPQKGGRNRIENELSALWLDPPAYCRPGASPVTDPFHWEVIIDGLPGTPYASGTFPVDIWYPSDYPFHPPKITFKTKVYHPNIDEEGQIVLDILKKNWAASVTIQTLLRCVVSVLYDPLLDYPINEDIAFQYLYEYKKYEEEAKAWTEKYSSTAIASHYLPKTIKTPPVVPHFPATADRIKALASSASSSPNQKQLRQQKFVECESLWRKPWPCKVDGRRRLGKELCKFWLDPPPYCRPGASPVKDHLHFEVVIDGPAGTPYAGGTFPIDVQFPTNYPFRPPKLTFKTKVYHPNIDGKGRMALDIFQDRWSPAFTISSLLLSFVSILFDPLLDHPTNHYIAHQYKYKYEQYEKKAMAWTQKYSSKPIVSHYPLCAVMGMTPPVVPHFPATAARRKAAVSPAFDSVSSGGIPLRVKDVSIWRRTVRFVQRWSP >LPERR02G24930.2 pep chromosome:Lperr_V1.4:2:21442757:21451781:-1 gene:LPERR02G24930 transcript:LPERR02G24930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPPPTAPVPEPERIKEETRRELTLRLIRRDLRNLSRDPPPYCRPGREPVTDAFHWEVIIDGPAGTPYAGGTFPIDVKIPADYPLHPPKLAFKTKVYHPNIDEKGNLVVEVGDWTPCYKIDGILVSFVSLLYDPLLDRPINGVIAKQYEYEYERYEEEARAWTQEYSSTPIASHYPPNSVIGRTPPVVPHFPATAARRRAATAAAESSGSGSYESLWRRLEEDAGKRKRNNPLRRINRELDMFWRDPPPYCRPGPEPVTDPFHWDLVIDGPAGTPYAGGTFPIDISFPAHYPSCPPKITFKTKVYHPNIDEEGQIVLDILKKNWAASVTIQTLLRCVVSVLYDPLLDYPINEDIAFQYLYEYKKYEEEAKAWTEKYSSTAIASHYLPKTIKTPPVVPHFPATADRIKALASSASSSPNQKQLRQQKFVECESLWRKPWPCKVDGRRRLGKELCKFWLDPPPYCRPGASPVKDHLHFEVVIDGPAGTPYAGGTFPIDVQFPTNYPFRPPKLTFKTKVYHPNIDGKGRMALDIFQDRWSPAFTISSLLLSFVSILFDPLLDHPTNHYIAHQYKYKYEQYEKKAMAWTQKYSSKPIVSHYPLCAVMGMTPPVVPHFPATAARRKAAVSPAFDSVSSGGIPLRVKDVSIWRRTVRFVQRWSP >LPERR02G24930.3 pep chromosome:Lperr_V1.4:2:21442757:21451781:-1 gene:LPERR02G24930 transcript:LPERR02G24930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPPPTAPVPEPERIKEETRRELTLRLIRRDLRNLSRDPPPYCRPGREPVTDAFHWEVIIDGPAGTPYAGGTFPIDVKIPADYPLHPPKLAFKTKVYHPNIDEKGNLVVEVGDWTPCYKIDGILVSFVSLLYDPLLDRPINGVIAKQYEYEYERYEEEARAWTQEYSSTPIASHYPPNSVIGRTPPVVPHFPATAARRRAATAAAESSGSGSYESLWRRLEEDAGKRKRNNPLRRINRELDMFWRDPPPYCRPGPEPVTDPFHWDLVIDGPAGTPYAGGTFPIDISFPAHYPSCPPKITFKTKAIRYEKPAPATAPQEKKSTAAPRGWWWMTTLQPQKGGRNRIENELSALWLDPPAYCRPGASPVTDPFHWEVIIDGLPGTPYASGTFPVDIWYPSDYPFHPPKITFKTKVYHPNIDGKGRMALDIFQDRWSPAFTISSLLLSFVSILFDPLLDHPTNHYIAHQYKYKYEQYEKKAMAWTQKYSSKPIVSHYPLCAVMGMTPPVVPHFPATAARRKAAVSPAFDSVSSGGIPLRVKDVSIWRRTVRFVQRWSP >LPERR02G24940.1 pep chromosome:Lperr_V1.4:2:21455657:21460157:-1 gene:LPERR02G24940 transcript:LPERR02G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMEDDYDMDDTADDMGEENQERGMRDSDSEDEEYGQSNEKIPDTSSADARKGKDIQGIPWDKLAITRDKYRQTRLDQYKNYENKPNSGEAAAKLRNLVWATSKHDVYLMSHFSILHWSALSGIDTELMNVQGHVAPREKHPGSLLEGFSQTQVSTLSVKDNLLVAGGFQGELICKHLDQEGVSFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLCKHFQFEWPVNHTSLSPDGKLVVIVGDDPDGLLIDANSGKTLHSVKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDVRNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIFDVGSDYNKRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >LPERR02G24950.1 pep chromosome:Lperr_V1.4:2:21464083:21465649:-1 gene:LPERR02G24950 transcript:LPERR02G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDHGLLLVFLSAAVLAGLASASPFLSDSVFQGSVGSTGRSLLQAKKSCPVSFEFQNYTIITSKCKGPKFPAKECCDSFKEFACPFAEYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCEGVAQKDITANAGQRVQNSLLAFILLTIGLAALWFH >LPERR02G24960.1 pep chromosome:Lperr_V1.4:2:21468344:21473400:-1 gene:LPERR02G24960 transcript:LPERR02G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLPSRSEPDRRSPRAPPWLPCAPLAADLLSRRRESNVDGIEISASNDERRDRGDAEISEDEPRQTRIRSLKKKALNASTRLTHSLKKRGKRKVGCRVPKFTIEDVRDAEEEQAVSSFREFLFARDILPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKEFGTDTILEDFEFHEIEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFEKAFREKFPACSIAAKRHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDTSQLPEDFGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPIAQEDVEYHSLAPVHEEARESGSATSSGCDDRSLSVDKVVETNKRYNPPGNGSGQYRTRQNPLINRVSPEPGHVPNDGQRDADHGILKYLSSKVLGVILKVFSFLRIFIRHRQQLENTHPHTTTVRSNQADLQIIKEDRVTPCLERLERLESMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDVRRRTFCT >LPERR02G24960.2 pep chromosome:Lperr_V1.4:2:21468344:21472729:-1 gene:LPERR02G24960 transcript:LPERR02G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNVDGIEISASNDERRDRGDAEISEDEPRQTRIRSLKKKALNASTRLTHSLKKRGKRKVGCRVPKFTIEDVRDAEEEQAVSSFREFLFARDILPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKEFGTDTILEDFEFHEIEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFEKAFREKFPACSIAAKRHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDTSQLPEDFGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPIAQEDVEYHSLAPVHEEARESGSATSSGCDDRSLSVDKVVETNKRYNPPGNGSGQYRTRQNPLINRVSPEPGHVPNDGQRDADHGILKYLSSKVLGVILKVFSFLRIFIRHRQQLENTHPHTTTVRSNQADLQIIKEDRVTPCLERLERLESMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDVRRRTFCT >LPERR02G24970.1 pep chromosome:Lperr_V1.4:2:21475135:21486837:-1 gene:LPERR02G24970 transcript:LPERR02G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMDNSDDVTVDIYEDNAVMNSDERFAVLTSIGDECIYGDELRVLMNKKINPTCCVWFEPTTEMGIEQGIMKTMYVNRMVKAGCAVKILMADWFLQRHHKIGNDLTKIRKIGYLNIEMWKAAGMYLDRVQLVWLSDELKLHAVDYWPLAMDVSRKYTMKRIARIFWNDAAHGPQILPAAEIIYPFMQAAAILCQKTNIWLFSMDQRDIIMLARDYCEDINWVNKPTILLHDTLPYLLEDPEYVSMRDRGRTIFMHDMEDSLNLKIERAFCPPKVVVCNPCLEYIKYIIPPWFGKLEIVQNERNGSTKTFASMEELSVDYERGYLSSANVKMAFEKAINNILEPVRAYFSRNTEAQALIITCQDEINVDVLKIQMQNKEMGYYDGFSAASTSSAAPQSPPNRIVAAAHRTCGDTVAMDSDEKFAVLRSIGDECIYEDELRLLLRKKCNPICCVWFEPSPDMDIEQGILKTIYVNRMVKAGCTVKILMADWFLQRHFKIGSNLSKIRNIGYLNIEMWKAAGMDLDRVEIVWLSDELNLHAVDYWPLAMDVSKKYTVQRIARIFWSFVTHGPQFLPSAEIIYPCMQVAAILCQKTNLWVFSMDQRDIVMLARDYCEDINWVNKPTIVLHNTLPILLEEPEYVDMRDRGRTIFMHDDEYVLNSKIESAFCPPEVVVCNPCLEYIKCIALPWFGKLELVRDHFSSSTEAQALIIACKFQNEITADVRKILLQNEKIDNYCYTGRKLLDITRSVTEVNL >LPERR02G24970.2 pep chromosome:Lperr_V1.4:2:21475269:21486837:-1 gene:LPERR02G24970 transcript:LPERR02G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMDNSDDVTVDIYEDNAVMNSDERFAVLTSIGDECIYGDELRVLMNKKINPTCCVWFEPTTEMGIEQGIMKTMYVNRMVKAGCAVKILMADWFLQRHHKIGNDLTKIRKIGYLNIEMWKAAGMYLDRVQLVWLSDELKLHAVDYWPLAMDVSRKYTMKRIARIFWNDAAHGPQILPAAEIIYPFMQAAAILCQKTNIWLFSMDQRDIIMLARDYCEDINWVNKPTILLHDTLPILLEEPEYVDMRDRGRTIFMHDDEYVLNSKIESAFCPPEVVVCNPCLEYIKCIALPWFGKLELVRDHFSSSTEAQALIIACKFQNEITADVRKILLQNEKIDNYVSFFSLNCLDHDTSLAVWFLRTSKECYSLMPILYVNR >LPERR02G24970.3 pep chromosome:Lperr_V1.4:2:21475269:21486837:-1 gene:LPERR02G24970 transcript:LPERR02G24970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMDNSDDVTVDIYEDNAVMNSDERFAVLTSIGDECIYGDELRVLMNKKINPTCCVWFEPTTEMGIEQGIMKTMYVNRMVKAGCAVKILMADWFLQRHHKIGNDLTKIRKIGYLNIEMWKAAGMYLDRSITGHLPWMSPKNIPCKELQDTLPILLEEPEYVDMRDRGRTIFMHDDEYVLNSKIESAFCPPEVVVCNPCLEYIKCIALPWFGKLELVRDHFSSSTEAQALIIACKFQNEITADVRKILLQNEKIDNYVSFFSLNCLDHDTSLAVWFLRTSKECYSLMPILYVNR >LPERR02G24980.1 pep chromosome:Lperr_V1.4:2:21490989:21496251:1 gene:LPERR02G24980 transcript:LPERR02G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFACHVIGFVAALLLPRMPSSQWISAYLLVVLLSLQSCVNNAFYLPGTFMHTYTPGEAISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNTNESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSSEDYIINHLKFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVSCPLELEKSQAIRENERITFTYEVEYVKSNIRWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >LPERR02G24980.2 pep chromosome:Lperr_V1.4:2:21487912:21491236:1 gene:LPERR02G24980 transcript:LPERR02G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGWIFSALLVVFLVLAPHCKAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEGDVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSTEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISDTETDAKSGYEIVGFEVVPCSVKRDPEAMSKLNMYGKVDPINCPVEMEKSQLIREKEQITFTYEVEFENSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSAACFFPGIVFIVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >LPERR02G24990.1 pep chromosome:Lperr_V1.4:2:21497843:21504449:1 gene:LPERR02G24990 transcript:LPERR02G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGDGQTQPLLEKLSNSPYCSSDEQIVKRTGTAWTAAAHIITAVIGSGVLSLAWSVAQLGWLGGPAAMVFFAGVTTIQSSLLADCYISHHPEHGVVRNRSYVDAVRFYLGEKSQWFCGFFLNFSLFGGCVVYTLTSATSMRQALDAVSSSTLNNHNNQKTDHHLVVIQSAIQKANCYHWKGHDAPCSVGGDGYYMLAFGLVQVVLSQIPGFHDMAWLSIFSAAMSFSYSLIGFGLGVAKVIANGVVEGGIGGIAMVSATQKAWRVSQALGDIAFAYPYSSVLLEIEDTLRSPPPENETMKTASRASILITTFFYLCCGCFGYAAFMRSRCSRWWSG >LPERR02G24990.2 pep chromosome:Lperr_V1.4:2:21497843:21504449:1 gene:LPERR02G24990 transcript:LPERR02G24990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGDGQTQPLLEKLSNSPYCSSDEQIVKRTGTAWTAAAHIITAVIGSGVLSLAWSVAQLGWLGGPAAMVFFAGVTTIQSSLLADCYISHHPEHGVVRNRSYVDAVRFYLGNHPPLRPKKALQQVAPCLFNIMPKRLRSCRTVAEALTNMCWIRDLRPGRPDSIVWRWENSRVYSSSLAYSFQAIQKANCYHWKGHDAPCSVGGDGYYMLAFGLVQVVLSQIPGFHDMAWLSIFSAAMSFSYSLIGFGLGVAKVIANGVVEGGIGGIAMVSATQKAWRVSQALGDIAFAYPYSSVLLEIEDTLRSPPPENETMKTASRASILITTFFYLCCGCFGYAAFMRSRCSRWWSG >LPERR02G25000.1 pep chromosome:Lperr_V1.4:2:21505356:21508174:-1 gene:LPERR02G25000 transcript:LPERR02G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQHIKSTKPILGKARKLKDLMLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMVEVGGNSYANSIYEAFLPKDHPKPKLNSTMEYRTKFIRAKYETQDFLTPSLRIASKGSFNSTNSVKSVTSNFTSTSISGKHVAEDTREFVGELNITVVRGIQLAVRDMLTSDPYVILLLGEQKAQTTVKPSDLNPVWNEVLKLSVPRNYGPLKLQVYDHDTFSADDIMGEAEIDLQPMITAAMAFGDPSRIGDMQIGRWFMTKDNALLKDSTVNVVAGKVKQEVHLKLQNVESGEIELELEWVPIL >LPERR02G25010.1 pep chromosome:Lperr_V1.4:2:21517629:21523585:1 gene:LPERR02G25010 transcript:LPERR02G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLAELLAERHKLNPFIPVLPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVEKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >LPERR02G25010.2 pep chromosome:Lperr_V1.4:2:21517503:21523585:1 gene:LPERR02G25010 transcript:LPERR02G25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLAELLAERHKLNPFIPVLPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVEKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >LPERR02G25010.3 pep chromosome:Lperr_V1.4:2:21517627:21523585:1 gene:LPERR02G25010 transcript:LPERR02G25010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLAELLAERHKLNPFIPVLPHSSSPAYSWLGGSQGSSSGLIVKKTMKVDIPVEKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >LPERR02G25010.4 pep chromosome:Lperr_V1.4:2:21517503:21523585:1 gene:LPERR02G25010 transcript:LPERR02G25010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLAELLAERHKLNPFIPVLPHSSSPAYSWLGGSQGSSSGLIVKKTMKVDIPVEKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >LPERR02G25020.1 pep chromosome:Lperr_V1.4:2:21523082:21531331:-1 gene:LPERR02G25020 transcript:LPERR02G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKQVVPNSPAPPPAAAPIFPGAAVPGPPPPSSTTYSYPPATPPFHRPPFLHYPQDPLTAGMPRPVIPFPMPSPNPGANPNAAAAGQPNHGARLMQLLGNSGPAAAHIESAASMPPPPSSEFAAAHPPPIPAMPSTPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDNKPHITGKVEIAIQIVGDAESYHPRICWHSHKQEILFVGIGKCVLRIDTTKVRRGRDLSADEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKQVALSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHETQPGNEQVVQVYCVQTMAIQQYGLDLSLCSPPTSDTSGLGRDPSISRVYETPLEVVGAESSMPTSFTDSYSVGSPSKPSTVDQQPTEIDPKPSAPPLKYTDGDGSAHLPSASLASNVDPSGPGSSLGSREMDQPAFDYAVNRNTEPEVLRRQDTPMPKDNFGKDDPRDGRSDVTMLPNPHLMFKDTTSGPQMAEIEPKHTNEHKFGQNLDVEVAQVVCENTGQAHSSSEQTVKMISERSVTTDKYSVEESQASSARSISEHTGAGDESVTKKPVEVPEKSDYSSASMEQSSSYTKEKDKLMHPQTSGQSSPSTSAFNSTESSHEPSSSAYPPIDSFPEVATQGMLQQLIAMHKDLQKQLGTIVTAPFAKEGKRIEASLGRTMEKSIKANVDALWVRFQEENAKREKAERERMQQMVTLITSSISKDLPATLEKSLKKEISSLGPVVARAITPIIEKCSASAVADSIQKVVGERMVNQLDKSVSAKLEATVARQIQLQFHTSVKQALQDALRTSLESILVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEAVHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKSHNTNVLQPNNVPMTGPPEVEAPLDPMKELGRLVSERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMAPIPLNQGVLLALLQQLAVDIANETPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALVHLRSLPTTSPSDSTSIRLFMHVVNSVLRS >LPERR02G25020.2 pep chromosome:Lperr_V1.4:2:21521550:21531331:-1 gene:LPERR02G25020 transcript:LPERR02G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKQVVPNSPAPPPAAAPIFPGAAVPGPPPPSSTTYSYPPATPPFHRPPFLHYPQDPLTAGMPRPVIPFPMPSPNPGANPNAAAAGQPNHGARLMQLLGNSGPAAAHIESAASMPPPPSSEFAAAHPPPIPAMPSTPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDNKPHITGKVEIAIQIVGDAESYHPRICWHSHKQEILFVGIGKCVLRIDTTKVRRGRDLSADEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKQVALSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHETQPGNEQVVQVYCVQTMAIQQYGLDLSLCSPPTSDTSGLGRDPSISRVYETPLEVVGAESSMPTSFTDSYSVGSPSKPSTVDQQPTEIDPKPSAPPLKYTDGDGSAHLPSASLASNVDPSGPGSSLGSREMDQPAFDYAVNRNTEPEVLRRQDTPMPKDNFGKDDPRDGRSDVTMLPNPHLMFKVGGNNTHLVTPSEIISGALSSAESNHVSKSDGVKIQDTTSGPQMAEIEPKHTNEHKFGQNLDVEVAQVVCENTGQAHSSSEQTVKMISERSVTTDKYSVEESQASSARSISEHTGAGDESVTKKPVEVPEKSDYSSASMEQSSSYTKEKDKLMHPQTSGQSSPSTSAFNSTESSHEPSSSAYPPIDSFPEVATQGMLQQLIAMHKDLQKQLGTIVTAPFAKEGKRIEASLGRTMEKSIKANVDALWVRFQEENAKREKAERERMQQMVTLITSSISKDLPATLEKSLKKEISSLGPVVARAITPIIEKCSASAVADSIQKVVGERMVNQLDKSVSAKLEATVARQIQLQFHTSVKQALQDALRTSLESILVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEAVHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKSHNTNVLQPNNVPMTGPPEVEAPLDPMKELGRLVSERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMAPIPLNQGVLLALLQQLAVDIANETPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALVHLRSLPTTSPSDSTSIRLFMHASADLQGSPGLASDGYQ >LPERR02G25020.3 pep chromosome:Lperr_V1.4:2:21523082:21531331:-1 gene:LPERR02G25020 transcript:LPERR02G25020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKQVVPNSPAPPPAAAPIFPGAAVPGPPPPSSTTYSYPPATPPFHRPPFLHYPQDPLTAGMPRPVIPFPMPSPNPGANPNAAAAGQPNHGARLMQLLGNSGPAAAHIESAASMPPPPSSEFAAAHPPPIPAMPSTPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDNKPHITGKVEIAIQIVGDAESYHPRICWHSHKQEILFVGIGKCVLRIDTTKVRRGRDLSADEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKQVALSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHETQPGNEQVVQVYCVQTMAIQQYGLDLSLCSPPTSDTSGLGRDPSISRVYETPLEVVGAESSMPTSFTDSYSVGSPSKPSTVDQQPTEIDPKPSAPPLKYTDGDGSAHLPSASLASNVDPSGPGSSLGSREMDQPAFDYAVNRNTEPEVLRRQDTPMPKDNFGKDDPRDGRSDVTMLPNPHLMFKVGGNNTHLVTPSEIISGALSSAESNHVSKSDGVKIQDTTSGPQMAEIEPKHTNEHKFGQNLDVEVAQVVCENTGQAHSSSEQTVKMISERSVTTDKYSVEESQASSARSISEHTGAGDESVTKKPVEVPEKSDYSSASMEQSSSYTKEKDKLMHPQTSGQSSPSTSAFNSTESSHEPSSSAYPPIDSFPEVATQGMLQQLIAMHKDLQKQLGTIVTAPFAKEGKRIEASLGRTMEKSIKANVDALWVRFQEENAKREKAERERMQQMVTLITSSISKDLPATLEKSLKKEISSLGPVVARAITPIIEKCSASAVADSIQKVVGERMVNQLDKSVSAKLEATVARQIQLQFHTSVKQALQDALRTSLESILVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEAVHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKSHNTNVLQPNNVPMTGPPEVEAPLDPMKELGRLVSERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMAPIPLNQGVLLALLQQLAVDIANETPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALVHLRSLPTTSPSDSTSIRLFMHVVNSVLRS >LPERR02G25030.1 pep chromosome:Lperr_V1.4:2:21534327:21536406:-1 gene:LPERR02G25030 transcript:LPERR02G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADREGPKAFYNQGYSIWVPLTSTKRFPLRGTRFPANAGSRQRWRFSSDMVVYGHDPRRTSAPDCKGLYNRVTRIGALVHHIERFPPRQTYTTVCRGVRIPRGIQVLCQKRQEKAGQKREKRERAPSGTGRDASRLLAAGSPPSSRPRAVGSPSSSRLLTSSSPSSSRPRTAGSPSQSEQRGEGERHLVVLLPPATNFLSIVDWSEYVNISLDHIQAAEGVANMGRVDEDSMAA >LPERR02G25040.1 pep chromosome:Lperr_V1.4:2:21542116:21543489:1 gene:LPERR02G25040 transcript:LPERR02G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAARHLHPWKRGPRHRQQQPTSTTAPRGNRARPWFADAVLFTAGASLGVVLLLTLASPFSSSSQSRPSTSTPGVGVGGRTFYDDPEVAYTIDRPITGWDEKRADWLRAQSEIAAGGGDGEERVLMVSGSQPEPCGSLVGDNLLTRLLKNKLDYCRLNGVQLLYNTALLWPSMDRYWAKIPVIRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLRRYRGHNLVAHGWRHLVYESTPPSWTSLNAGVFLIRNCQWSLDFMAAWASMGPDSTPQEYARWGAVLTDTFKDKMFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEITGRLGNVTDRYDAMERRPGAARLRRRHAEREHAAYAAARDAALPGAGLGESGVNGWRRPFVTHFTGCQPCSGHRNEHYSGRSCDEGIRAALNFADDQVLRAYGFRHADQLTDAVRPLPFDHPAKR >LPERR02G25050.1 pep chromosome:Lperr_V1.4:2:21544162:21548765:-1 gene:LPERR02G25050 transcript:LPERR02G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGSKGFSAASKGKAIASKRGSLNGRPNSSANIPHINVLSDSDSDGFVEELPRVNYKSNGKAASESLKSGGRASSKREAGKGGNAYNAGKGRKGTTASAKSDAEIKLDLDMPPNSTILMNCEVAELLQEIHEHMAILSEDPKIKMPESFDKAFQYAKEGNHFTTAQSVKQVLDPLRKYGVNDGEICMIANIGPETIEEVYALVPSLKVTRSLNEGPIMEALTALADIKAAKSDLLNLSSEKYLI >LPERR02G25050.2 pep chromosome:Lperr_V1.4:2:21544445:21548765:-1 gene:LPERR02G25050 transcript:LPERR02G25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGSKGFSAASKGKAIASKRGSLNGRPNSSANIPHINVLSDSDSDGFVEELPRVNYKSNGKAASESLKSGGRASSKREAGKGGNAYNAGKGRKGTTASAKSDAEIKLDLDMPPNSTILMNCEVAELLQEIHEHMAILSEDPKIKMPESFDKAFQYAKEGNHFTTAQSVKQVLDPLRKYGVNDGEICMIANIGPETIEEVYALVPSLKVTRSLNEGPIMEALTALADIKAAK >LPERR02G25060.1 pep chromosome:Lperr_V1.4:2:21550035:21552481:-1 gene:LPERR02G25060 transcript:LPERR02G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAAMIAVAGDDDDERKEEENNKKKRAASQRTLTTDIYAEKRVKTNCWERSEDEEVEAIRAESRAVLSYRWTVRYQGKRQQNGARQKSVIYNAM >LPERR02G25070.1 pep chromosome:Lperr_V1.4:2:21554452:21555862:1 gene:LPERR02G25070 transcript:LPERR02G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPAGPGAHLPFFSGSDDPAMSLPASLGAHPSFFSGSGDPAMFLPVGPGAHPPFFSGSGDPAMFLPAGPGAHLPFISGSDDPAMFLPAGPSARPPFFSGAGDPAVLCPRGPGARQPFLCGVGELAILWPFLCYPATSWLAVQHGAHLPFCSRTIADPIPMSIGCNHGTGFFSAVSTDLLLTHAAILVPFCQRQSWARRLMSQHQRRQLRERRWSSGDKKPQVMLLPPLYHLITVGLDKVGELNAAWHKLVLPIRNQYRKIE >LPERR02G25080.1 pep chromosome:Lperr_V1.4:2:21571349:21575364:1 gene:LPERR02G25080 transcript:LPERR02G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPAAVRCLEENTSLCQNCDWNGHGAASTAAGHKRQTINCYSGCPSSAELSRIWSFTMDIPPVAAEPNCEEGINMMSINDSGVSNHCVAPEDSSLLDIDSTSLMSDLPTEGKFKPLIGSSSGDAMNLLPLNADQPAEHVSTTTKAPCVTDKDMFNDGSVYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEVPANESNEQPKPMQTEYSNAVDSGMSNPVARADSSHCIPARQAISSISLSFSGVTGESSAGDFQDCGVSSMILMGEPPWHPPGPEGSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >LPERR02G25080.2 pep chromosome:Lperr_V1.4:2:21570262:21575364:1 gene:LPERR02G25080 transcript:LPERR02G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPAAVRCLEENTSLCQNCDWNGHGAASTAAGHKRQTINCYSGCPSSAELSRIWSFTMDIPPVAAEPNCEEGINMMSINDSGVSNHCVAPEDSSLLDIDSTSLMSDLPTEGKFKPLIGSSSGDAMNLLPLNADQPAEHVSTTTKAPCVTDKDMFNDGSVYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEVPANESNEQPKPMQTEYSNAVDSGMSNPVARADSSHCIPARQAISSISLSFSGVTGESSAGDFQDCGVSSMILMGEPPWHPPGPEGSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >LPERR02G25080.3 pep chromosome:Lperr_V1.4:2:21572000:21575364:1 gene:LPERR02G25080 transcript:LPERR02G25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPAAVRCLEENTSLCQNCDWNGHGAASTAAGHKRQTINCYSGCPSSAELSRIWSFTMDIPPVAAEPNCEEGINMMSINDSGVSNHCVAPEDSSLLDIDSTSLMSDLPTEGKFKPLIGSSSGDAMNLLPLNADQPAEHVSTTTKAPCVTDKDMFNDGSVYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEVPANESNEQPKPMQTEYSNAVDSGMSNPVARADSSHCIPARQAISSISLSFSGVTGESSAGDFQDCGVSSMILMGEPPWHPPGPEGSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >LPERR02G25090.1 pep chromosome:Lperr_V1.4:2:21575804:21580657:1 gene:LPERR02G25090 transcript:LPERR02G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMDVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEIHSSEGAKRQQQRFCGPGVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTLSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANIHVFSEFLLFSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVIALAIVSFGVAVATVTDLEFNFFGALVALAWIVPSAVNKILWSNLQQSGNWTALAATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESATGGKKPSSAQNSFLVKPKADGNGEKPGLEHEDSV >LPERR02G25100.1 pep chromosome:Lperr_V1.4:2:21583033:21584135:-1 gene:LPERR02G25100 transcript:LPERR02G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTALFFAFAVVAASLAPAAEATTIAVESKTATAAGGVAQQPPTLPVLPGLPFPLFPFLTFPFPTIGSPGTGAPPSSSGSGFPFPFPFPLPPAPGSPPSSGFPFPLPFPIPLPAPGSPAGAPPSSGSSSPFPFPFPIPSFPFPIPGSPGSPPSSGSSSPFPFPFPIPSFPFPIPGSPPSQPLPQLPSFPMPQIIGAGSQLPSPAQPQQQPKECMTPLMSVMPCADYLTNATVQTPPAACCDGFRSLVSTAPICLCHGMNGDLNRFLPNPVEPMKMMLLPATCGAVVPMQTLFMCSSPAVPPLMPPSPSSPVAPAPASPPVSSP >LPERR02G25110.1 pep chromosome:Lperr_V1.4:2:21587597:21591627:1 gene:LPERR02G25110 transcript:LPERR02G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKPISQTLPEIPTIPEPQQFTKIASTLEIPKSNNSCASVPRMLQEPIHSKLNQKLESKEQKPNHHWKESVDVASIKYPTEVTKAMLSEGTASQEKKIVEYESVNGSSTSFHTCEGSGPEKASGSARLTHQSETGERGSSSRCRPSTSSDISDESSCSSMSTTKPHKANDSRWEAIQMIRVRDGILGLSHFKLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVNPTLIKSSNPDAEALRKNGQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFKSKKDRKPKAEVVTQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQQLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKVPPSTSETTDVSSGAPQKGSDGYLEFDFF >LPERR02G25110.2 pep chromosome:Lperr_V1.4:2:21588563:21591627:1 gene:LPERR02G25110 transcript:LPERR02G25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKPISQTLPEIPTIPEPQQFTKIASTLEIPKSNNSCASVPRMLQEPIHSKLNQKLESKEQKPNHHWKESVDVASIKYPTEVTKAMLSEGTASQEKKIVEYESVNGSSTSFHTCEGSGPEKASGSARLTHQSETGERGSSSRCRPSTSSDISDESSCSSMSTTKPHKANDSRWEAIQMIRVRDGILGLSHFKLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVNPTLIKSSNPDAEALRKNGQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFKSKKDRKPKAEVVTQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQQLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKVPPSTSETTDVSSGAPQKGSDGYLEFDFF >LPERR02G25120.1 pep chromosome:Lperr_V1.4:2:21592594:21597529:-1 gene:LPERR02G25120 transcript:LPERR02G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISPKLPKRFPLFQLLLHASQQHALRHRRLPFLMADPSRSSPTAAAAGDALLAAAGGDAPDAALPVAADLEAEFGFQRAELGTEKLAGTVQFHERHVFLCYKGPEVWPSHVEAAESDRLPRLLAAAIKTSKSDLKKKTKLTICEGEDGTESSNGDVGLTHFDVDNFVQEVLVKDIEWLPGSPEVIRGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGAQGLADQVSVSACSHVGGHKYAGNVIVFNADAKGEVTGHWYGYVTPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHMTNGVTEDGAHESPEETGNNGATCNPTAGGCCQGNGGFTCCQSDLPKEKQDKSITPEQNQKSSEKGADKESAAGSKKGHIKMCSMPTWFETWERADTNATLGIVAAAASVFVAFRIYKNLS >LPERR02G25130.1 pep chromosome:Lperr_V1.4:2:21597794:21602074:1 gene:LPERR02G25130 transcript:LPERR02G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAALHPQFRPPPPHAPRRLRQYTQFPARLLIRARVRASAASASASPSAPAQREAAAGVPWGCEIESLENAASLERWLTDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVISADSEWGCPEVGNVMKKNSVPDWPLIATYLISEASLESSSRWSNYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLKKSDKCYKEKLQALKRNGLSEFESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAVAASNKSPSKPGLNYPELEEEALQFILDCCESNIAKYTKFLEILRRRLRDMRGGELRALSLFNGLRKLFK >LPERR02G25140.1 pep chromosome:Lperr_V1.4:2:21602283:21606094:1 gene:LPERR02G25140 transcript:LPERR02G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVSGGRQRRSPLFATENPRRLATAAYRLYAGTVFAGILLIWLYRATHLPPSGGVRRWAWLGMLAAELWFGFYWVLTLSVRWSPIYRRTFKDRLAQSYNEDQLPSVDIFVCTADPAAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSELTFYALCEASEFAKHWIPFCNKYKVEPRSPIAYFAGVTSPPDICGPKEWFAMKELYKDLTDRVNSVVTSGRIPEVLRSNIRGFSQWDEKITSSDHPSIVQILIDGNKQKAVDIDGNACPTLVYMAREKRPQEQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDVEQGQDIGFVQYPQNFENVVHNDIYGNPINVVNEVSIGVECVTMALDASIGERPYVDEFIVQNIRKIGLGWQGEKKMPVSWKEWPGHLLLAHMNTTPSGELRSVYYNPERKGFLGMASTSLGQILVQHKRWTEGFLQISLSRYSPFLLGCGKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFINGISLFPERTSHWFKPFAYVVVAAYSCSLAESLQCGDSAVEWWDAQRMWLIRRTTSYLLATIDMIRRLLGISESGFTLTVKVSDLQALERYKKGMMEFGSFSPMFVILTTVALLNLTCMVLGISRFLLQEGAGGFETLFLQAVLCVLIVAINLPVYEALFIRRDKGSLPTSVAHVSICFMLPLCVLSIWK >LPERR02G25150.1 pep chromosome:Lperr_V1.4:2:21606636:21607535:-1 gene:LPERR02G25150 transcript:LPERR02G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKAPVNGDASTRSSSDSKDTNKTEKINSYRRTNSASKKWFCCVSPSPTQP >LPERR02G25160.1 pep chromosome:Lperr_V1.4:2:21608886:21609631:-1 gene:LPERR02G25160 transcript:LPERR02G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVIAAAFLLLANTASSSPAVYTVGDERGWAVPSGNGTESYNHWARRNRFRVGDILEFKYVNDSLLVVNHDGYKQCSTASPVSRFEDGDTKFPFDHNGLFYFISGVQGHCKDGQRMIVRVKAESAVAGSPSSAPAPAPGPATTPSTSSPEIGAPTPAVIAPGTPSSPSPSTPTTSPSPSPGPAQASSASGRAISGFFSIAAAVLVVFVLTVLVLV >LPERR02G25170.1 pep chromosome:Lperr_V1.4:2:21610313:21613527:1 gene:LPERR02G25170 transcript:LPERR02G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGRSPAESEQAGPMEAAAVEGQPPAMSKSARKKLLKQERQAAQKAARKAAEKERRRADIERRRREWDEALAAAPSEEARAEMVEARRQTRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLDFGDLMRPNEIHSLTQQIMYCYAVNGRSANPAHLWLTGCNGEMATHLQRIPGYDKWLIEKEAKPYLEAFQDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITMKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKRGEAEAGDDGADVSMNDDDDVAEGAANAGDLAKVIDEELDDDDVVDEELQEEETDAAKKKQCIRHENGEAERASTRLAEDHSCGAVAETAPTEGALPQAEQSKESNGADD >LPERR02G25180.1 pep chromosome:Lperr_V1.4:2:21615309:21616136:-1 gene:LPERR02G25180 transcript:LPERR02G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYPAGGATNGAGAAAADGNGGAQAAAGAAAPATIREQDRLMPIANVIRIMRRVLPVHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGESRGVVGAPRGDHHHGHVGMMTSPMHKSSRAQPGSMVTHHDMQMHAAMYGGGAVPPLPQQQHPHPHHHHGFLMAPAHHGGYAPYEMYGGDQHGMAAYYGAGMYAPGGGGGGNNGADGSGSSGSGGTGTPQTVVNFEHQHPFGYK >LPERR02G25190.1 pep chromosome:Lperr_V1.4:2:21619992:21620474:-1 gene:LPERR02G25190 transcript:LPERR02G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKAVMGSDGEDGLTNNELPMANLIRLMKKVIPGKVKIGGIAKGLTHNCTVEFVEFVGDEAFEKARGEHRRTIAPEDYLGSFRNLGFDHYIKPMETYIHGYREFERAGGNRRVAPPTTGTSLTPYGPTFTDAEIQFLRSVIPSPSDDKYNGSSPADNS >LPERR02G25200.1 pep chromosome:Lperr_V1.4:2:21620731:21623682:1 gene:LPERR02G25200 transcript:LPERR02G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWPGGGQFDIKRPWGSVLPQIRPPPHRSAVAATRRPPPPDPLVFSGGRPWRSDAASIHLFSPRPSPPPPRLLAHQILDDIGSGGRGGKEVAVGGDKVVTRAFLHLGIDVGDRKTSIWPRWILLISEGLKLMWILLLLRWNLL >LPERR02G25200.2 pep chromosome:Lperr_V1.4:2:21620731:21623682:1 gene:LPERR02G25200 transcript:LPERR02G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWPGGGQFDIKRPWGSVLPQIRPPPHRSAVAATRRPPPPDPLVFSGGRPWRSDAASIHLFSPRPSPPPPRLLAHQILDDIGSGGRGGKEVAVGGDKVVTRAFLHLGIDVGDRKTSIWPRVKVNVDFAPASVEFVREISNPRSSKRMLLDFTMQQRPSVSATRALAKGTTFEDVIPMCGMANFMTVVKEAGATHSTNKKNGEITKASERFIYLVSFYML >LPERR02G25200.3 pep chromosome:Lperr_V1.4:2:21620731:21623682:1 gene:LPERR02G25200 transcript:LPERR02G25200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWPGGGQFDIKRPWGSVLPQIRPPPHRSAVAATRRPPPPDPLVFSGGRPWRSDAASIHLFSPRPSPPPPRLLAHQILDDIGSGGRGGKEVAVGGDKVVTRAFLHLGIDVGDRKTSIWPR >LPERR02G25210.1 pep chromosome:Lperr_V1.4:2:21628533:21630158:1 gene:LPERR02G25210 transcript:LPERR02G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTPPLLPRLCRILSTTSISSISVTSSSLSTQQAEGGTPKLSTRSCQSIMEASYELGAARDDVDEVIIHAAIVSSWHTPKGTTGQQLQSPPVNNY >LPERR02G25220.1 pep chromosome:Lperr_V1.4:2:21638556:21639377:-1 gene:LPERR02G25220 transcript:LPERR02G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGVVHALLLLFAALAAWAPDACRATHNITAILAEHRDLAEFSRQLTATGLADEINVRNTITVLVVDDAHMAAKAHALPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVKIAVRRGGRVVFVPQDVEDNARADVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSATPSSDSKPKNSTTADASSSKHGSRDGTHTAPSPAGQGASDDGEVSKQVGDGGDRGSKKNGAGGGGAPRGLPFALAFLMAVIVVVNW >LPERR02G25240.1 pep chromosome:Lperr_V1.4:2:21653924:21654808:-1 gene:LPERR02G25240 transcript:LPERR02G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAVTAAADIRRAQAQQGPAARCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSGGSSSSSSNSSAPSTPTAAAATGDSTAKNQRRASASSPRSSSGGSGNTSPTAAAAAGAATTPTTPATPSSNNTISVISHAKNTFPTDVPPPAPIFADQAAAFASLFAPPPPPPLPVFSFAAQPKTEDGIASVLLAGGQTTAPPAAPPIVGDMTPFASLDTTGIFELGDVPAAAYWNAGNCWTDVPDPTVYLP >LPERR02G25250.1 pep chromosome:Lperr_V1.4:2:21665429:21669574:1 gene:LPERR02G25250 transcript:LPERR02G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKKSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPSAVAPEPDAEELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYTSLYENKYFEELKKKAEEEKKDAKKEVSETSQATTAEQKDIKAQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDGGLDDDFDKIDGGSGLESDDDDKEKSAGKVESAKE >LPERR02G25260.1 pep chromosome:Lperr_V1.4:2:21673804:21678140:1 gene:LPERR02G25260 transcript:LPERR02G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGVKVGGGGDKLRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAAPEAASAAALAATRLPMPVPVVEKAPMPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSHISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLKVQQLRCRVNFASLKFTSEIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEVALVLRALDINRSMQIYIAAGEIYGGKRRMTALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMGWDEFSSLIKSVHANRMGAASRRTVIPDKPKEEDYFYANPQECLRDPNG >LPERR02G25270.1 pep chromosome:Lperr_V1.4:2:21680810:21683161:-1 gene:LPERR02G25270 transcript:LPERR02G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGPSSPPFQHRDTQHSSQGYGVEMHRSLKPMELAKQRSRSNGNGTAARSASPAGSDSEEHVLPGGVGTFSIRQASCTPSREEAGSHGVVRSGFAPVLHGARMENANETGGSGSRAYRAPSTMWQDSGIDQRSTATRADGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASREQEPTMKMLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYETADPERNHEDSKSMPWAKVYYRSCWRNTQNISQVQGGDLSPSTQDMNNEQYGSKQTSVAHPAPFNTQSITSAATSSSHMAAGTPQNWEKNSTSSNQPPWLSMSTTHHESESGNRMLKKHERQTHDDENHSISSAYSQGLTEALKKSGLDPSQTNISVEINMSKRARENTGDNSKINEAEELIHTAKRPRCDKS >LPERR02G25270.2 pep chromosome:Lperr_V1.4:2:21679121:21683161:-1 gene:LPERR02G25270 transcript:LPERR02G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGPSSPPFQHRDTQHSSQGYGVEMHRSLKPMELAKQRSRSNGNGTAARSASPAGSDSEEHVLPGGVGTFSIRQASCTPSREEAATRADGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASREQEPTMKMLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYETADPERNHEDSKSMPWAKVYYRSCWRNTQNISQVQGGDLSPSTQDMNNEQYGSKQTSVAHPAPFNTQSITSAATSSSHMAAGTPQNWEKNSTSSNQPPWLSMSTTHHESESGNRMLKKHERQTHDDENHSISSAYSQGSVPEPFVTSRIKLVQYVANRIQFQICNRLFNRLTEALKKSGLDPSQTNISVEINMSKRARENTGDNSKCGRRRRLDGWVCSGAARLRLRLPPERVGIWGIWESGDFCGFRWDVAEWETKRLVGGGRGAGGRAAIREGHGAGAGQSDGQAGKVSTPCWFGGLKRSGVVIEYLGLVRRAFLPVSLRGLLGSYPLLEDTGLGEA >LPERR02G25270.3 pep chromosome:Lperr_V1.4:2:21679121:21683161:-1 gene:LPERR02G25270 transcript:LPERR02G25270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGPSSPPFQHRDTQHSSQGYGVEMHRSLKPMELAKQRSRSNGNGTAARSASPAGSDSEEHVLPGGVGTFSIRQASCTPSREEAGSHGVVRSGFAPVLHGARMENANETGGSGSRAYRAPSTMWQDSGIDQRSTATRADGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASREQEPTMKMLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYETADPERNHEDSKSMPWAKVYYRSCWRNTQNISQVQGGDLSPSTQDMNNEQYGSKQTSVAHPAPFNTQSITSAATSSSHMAAGTPQNWEKNSTSSNQPPWLSMSTTHHESESGNRMLKKHERQTHDDENHSISSAYSQGSVPEPFVTSRIKLVQYVANRIQFQICNRLFNRLTEALKKSGLDPSQTNISVEINMSKRARENTGDNSKCGRRRRLDGWVCSGAARLRLRLPPERVGIWGIWESGDFCGFRWDVAEWETKRLVGGGRGAGGRAAIREGHGAGAGQSDGQAGKVSTPCWFGGLKRSGVVIEYLGLVRRAFLPVSLRGLLGSYPLLEDTGLGEA >LPERR02G25270.4 pep chromosome:Lperr_V1.4:2:21680810:21683161:-1 gene:LPERR02G25270 transcript:LPERR02G25270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGPSSPPFQHRDTQHSSQGYGVEMHRSLKPMELAKQRSRSNGNGTAARSASPAGSDSEEHVLPGGVGTFSIRQASCTPSREEAGSHGVVRSGFAPVLHGARMENANETGGSGSRAYRAPSTMWQDSGIDQRSTATRADGRSSASSADQGPSLKYFVNSCHTLIRSETKHLSFQRFLQEKVQKYETADPERNHEDSKSMPWAKVYYRSCWRNTQNISQVQGGDLSPSTQDMNNEQYGSKQTSVAHPAPFNTQSITSAATSSSHMAAGTPQNWEKNSTSSNQPPWLSMSTTHHESESGNRMLKKHERQTHDDENHSISSAYSQGSVPEPFVTSRIKLVQYVANRIQFQICNRLFNRLTEALKKSGLDPSQTNISVEINMSKRARENTGDNSKINEAEELIHTAKRPRCDKS >LPERR02G25280.1 pep chromosome:Lperr_V1.4:2:21679583:21680251:1 gene:LPERR02G25280 transcript:LPERR02G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLLPSPRPLRRAAPALQHSRLASQPRLPSLVVGAPRVRPLAPPPRASNPNNDNDNNSGGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFATLLSPLAPAARLFRSSPFTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLAQSFAPTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >LPERR02G25290.1 pep chromosome:Lperr_V1.4:2:21694781:21696661:-1 gene:LPERR02G25290 transcript:LPERR02G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPSAAAGHDAEGSEPLLPRKHSGDQEGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLALVVLAALLTDASIELLVRFSRAVGATSYGEAMGDAFGVFGRGFLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILIIVTLGVFTPLTCFKRVDSLKYTSAVSVALAVVFVVITAGIAIIKLMRGQIPMPKLFPDVHEWNSIWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIKPIVRASLLLCLVVYITTSFFGFLLFGDATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPISGDNRRFAALTAALLTVIFLAANYIPNIWDAFQFTGATAAVAIAYIFPAAMALRDRHGIATKRDKYLAVFMIVLPVAANAVAVYSDAFLGSF >LPERR02G25300.1 pep chromosome:Lperr_V1.4:2:21698797:21703353:1 gene:LPERR02G25300 transcript:LPERR02G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVSADVSKQNFNESGIVTMERQTAQRAPSNVTQIPESPPDCVKLAKDLTARCRARALQLTDDEILSITQDLDNVIHNLCDCIGRITTSALSNNAYTDVAVKALSVRGFLEDDMLTNGVNNMPNRRSFYESNMPRLVEFLQGMFHESDEFGRQMFSSLPEVSEYIEPLYDALLCPLTNEVMTDPVLTESGVTYERRAIKEHFKKFAGSSETVSCPVTKMPLLSKTLMSNVSLKNVIEEWTMRNEAMRIRIARTALSLSSTESMVLEAIHELKLLVNLRRKNREQMHKIGVTRFLARSLDSHNVQIRHSALELLCLLVEDEEGKDIIGKTKAIARTIKLLSSNGTDERHAAISFLLELSKSQLLLEDIGSTPGSILILTTMKINDSDDPVAAQKAGEILTNLEKCSKNIKYMAESGYLEPLQIHLVEGSEEVQMEMVSYLGELVLEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDEEPLSYKAMAAAVLANVVDSGIDPDTTVVNKEGHVLTSKYSIYNFVHMIKCFMPDVLNLSIIRVLLALAAHAKPLAAVVSVVRENHRGHSIVELMSSRTDALGVAATRLLIALSPHMGHTIAERLCKTQGQPRRLVRSIIRAGRAAVTERHAAAVTLLSRLPYRNVSLNVALVQEGAVVPAIVRGIEDMRSGAAWTNNCRHAAPYMEGLVGTLVRLTATLYNPDVLKTAMEHDFASVLTGLLAGSAGSSSGEVQRLAAVGLENLSYQSIKLSRPPPPENEPPRPKKMTILKRLKDARVHSHNSSKSPRPVNVCPVHRGVCSPATTFCLLEAGGAVEGLLACLENDDARVVDATLGALCTLVDDRVDVEKAVVALAERGAARRVLAVLRQHRENTLWHRCFSVVEKLLVHGDDGCVREVTGDRMLPTALVSAFHRGDANTKQAAESILRRLHKMPDYSATYVSVEF >LPERR02G25300.2 pep chromosome:Lperr_V1.4:2:21698378:21703353:1 gene:LPERR02G25300 transcript:LPERR02G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVSADVSKQNFNESGIVTMERQTAQRAPSNVTQIPESPPDCVKLAKDLTARCRARALQLTDDEILSITQDLDNVIHNLCDCIGRITTSALSNNAYTDVAVKALSVRGFLEDDMLTNGVNNMPNRRSFYESNMPRLVEFLQGMFHESDEFGRQMFSSLPEVSEYIEPLYDALLCPLTNEVMTDPVLTESGVTYERRAIKEHFKKFAGSSETVSCPVTKMPLLSKTLMSNVSLKNVIEEWTMRNEAMRIRIARTALSLSSTESMVLEAIHELKLLVNLRRKNREQMHKIGVTRFLARSLDSHNVQIRHSALELLCLLVEDEEGKDIIGKTKAIARTIKLLSSNGTDERHAAISFLLELSKSQLLLEDIGSTPGSILILTTMKINDSDDPVAAQKAGEILTNLEKCSKNIKYMAESGYLEPLQIHLVEGSEEVQMEMVSYLGELVLEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDEEPLSYKAMAAAVLANVVDSGIDPDTTVVNKEGHVLTSKYSIYNFVHMIKCFMPDVLNLSIIRVLLALAAHAKPLAAVVSVVRENHRGHSIVELMSSRTDALGVAATRLLIALSPHMGHTIAERLCKTQGQPRRLVRSIIRAGRAAVTERHAAAVTLLSRLPYRNVSLNVALVQEGAVVPAIVRGIEDMRSGAAWTNNCRHAAPYMEGLVGTLVRLTATLYNPDVLKTAMEHDFASVLTGLLAGSAGSSSGEVQRLAAVGLENLSYQSIKLSRPPPPENEPPRPKKMTILKRLKDARVHSHNSSKSPRPVNVCPVHRGVCSPATTFCLLEAGGAVEGLLACLENDDARVVDATLGALCTLVDDRVDVEKAVVALAERGAARRVLAVLRQHRENTLWHRCFSVVEKLLVHGDDGCVREVTGDRMLPTALVSAFHRGDANTKQAAESILRRLHKMPDYSATYVSVEF >LPERR02G25300.3 pep chromosome:Lperr_V1.4:2:21698378:21703448:1 gene:LPERR02G25300 transcript:LPERR02G25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVSADVSKQNFNESGIVTMERQTAQRAPSNVTQIPESPPDCVKLAKDLTARCRARALQLTDDEILSITQDLDNVIHNLCDCIGRITTSALSNNAYTDVAVKALSVRGFLEDDMLTNGVNNMPNRRSFYESNMPRLVEFLQGMFHESDEFGRQMFSSLPEVSEYIEPLYDALLCPLTNEVMTDPVLTESGVTYERRAIKEHFKKFAGSSETVSCPVTKMPLLSKTLMSNVSLKNVIEEWTMRNEAMRIRIARTALSLSSTESMVLEAIHELKLLVNLRRKNREQMHKIGVTRFLARSLDSHNVQIRHSALELLCLLVEDEEGKDIIGKTKAIARTIKLLSSNGTDERHAAISFLLELSKSQLLLEDIGSTPGSILILTTMKINDSDDPVAAQKAGEILTNLEKCSKNIKYMAESGYLEPLQIHLVEGSEEVQMEMVSYLGELVLEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDEEPLSYKAMAAAVLANVVDSGIDPDTTVVNKEGHVLTSKYSIYNFVHMIKCFMPDVLNLSIIRVLLALAAHAKPLAAVVSVVRENHRGHSIVELMSSRTDALGVAATRLLIALSPHMGHTIAERLCKTQGQPRRLVRSIIRAGRAAVTERHAAAVTLLSRLPYRNVSLNVALVQEGAVVPAIVRGIEDMRSGAAWTNNCRHAAPYMEGLVGTLVRLTATLYNPDVLKTAMEHDFASVLTGLLAGSAGSSSGEVQRLAAVGLENLSYQSIKLSRPPPPENEPPRPKKMTILKRLKDARVHSHNSSKSPRPVNVCPVHRGVCSPATTFCLLEAGGAVEGLLACLENDDARVVDATLGALCTLVDDRVDVEKAVVALAERGAARRVLAVLRQHRENTLWHRCFSVVEKLLVHGDDGCVREVTGDRMLPTALVSAFHRGDANTKQAAESILRRLHKMPDYSATYVSVEF >LPERR02G25310.1 pep chromosome:Lperr_V1.4:2:21707393:21710287:-1 gene:LPERR02G25310 transcript:LPERR02G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLSPPSHRPQDSVYLGVASTPHHPISSLPQLPRAEQIRGAAVFSASSPMSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKHRREESSEVGHGELHQGGSSEVQADPNELTEADKMGEEGNLQDDYDHLTPAERRYMEQKQRIDTHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >LPERR02G25320.1 pep chromosome:Lperr_V1.4:2:21719307:21720236:1 gene:LPERR02G25320 transcript:LPERR02G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSSFSRNLLIPLADGGGGCSDDYDDYDEGPSASVSFPSFWPPFPALLSDSDSDVAFPPPHMDRCPAPEGAASAFFGLGFHDEDDDEGGGEWAPPDEEGGEMGLPLCWDCLQLEEHDHQRWDLGVNDDGDEWEQVGIRVVEEEEASAAVRSLEWEVLLAANSLGSLVVDDADGGIDTFFLDDADVDDVLFGQLAAAETEPPAKGMRAAAKAAVEGLPTVVVTEADETQCAVCKDGIDAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYENWKARRAAAGGGDRYDAIRQLAMID >LPERR02G25330.1 pep chromosome:Lperr_V1.4:2:21733296:21733808:1 gene:LPERR02G25330 transcript:LPERR02G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPATAVSFKTHYHVDTNDFLLQYNSLLVSQATSYQQHVAHLPDETSLPAGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRIAHENCQLRDEQAKLQKQLEKIPLENTESTFMDPDT >LPERR02G25340.1 pep chromosome:Lperr_V1.4:2:21735303:21744601:-1 gene:LPERR02G25340 transcript:LPERR02G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSKHPHSSFPSAARRLLLPSPLRVPARAIESSPGVTKQESTPADGEAREPPPPAAPAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFNLQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPQDRKVQLVEVSKASPTLPEILSGIGGSLLGVDRAVKGVIQDITSLNGVQARMDGILFERMEDLSGENQLFLLVKDIVNYFD >LPERR02G25350.1 pep chromosome:Lperr_V1.4:2:21745413:21748717:1 gene:LPERR02G25350 transcript:LPERR02G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPVDWDAESYPANSDFAAIPFFAAFFFAVRYLLDRFVFEWLARRMIFKDGHDKLDLATYSGSIKIRKFKESAWKGIYFLSAELLVLSVTYKEPWFTSTKKFWTGPGDQIWPDQRVKLKLKFVYMYAAGFYTYSIFALQFWEIRRSDFGISMVHHVASVFLITLSYIFRFARVGSVVLAIHDASDVFLELGKISKYSGYQLLADVSFIIFVGSWAVLRLIYFPFWILWSTSYEIVLTLNIKKHKFDGPIYYYLFNWLLFSLLVLHIYWWVLMYRMLVNQILSKGHVGDDVRSDSEGEEEHED >LPERR02G25360.1 pep chromosome:Lperr_V1.4:2:21752991:21756114:-1 gene:LPERR02G25360 transcript:LPERR02G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAVYSLVLLFFFSFPCRPASALLSPKGVNYEVQALMMIKNYLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGLLSPSIGNLTNLEIVLLQNNNINGPIPQEIGKLSKLKTLDLSSNRFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPIPGSLARTFKLVCSLITLKNELQANILVTISGEKQYLPNNFELISCSIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQGTLMPTKSKSHKVAIAFGSTIGCISFLITVMGLMFWWRHRRNQQILFAVDEQHTENVNLGNVKRFQFRELQMATENFSNKNILGKGGFGNVYRGKLSDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTASERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRNNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPDFTFGRCYSDLTDDSSLLVQAVELSGPR >LPERR02G25370.1 pep chromosome:Lperr_V1.4:2:21762107:21766499:-1 gene:LPERR02G25370 transcript:LPERR02G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKKKAPIALVPIAKPLAGKKLCKRSLASEARCLKRGVKEVVKSIRRGNKGLCVIAGNIYPIDVITHVPILCEEANIPYKYVPSKEDLATAGTTKRPTCCVLVMTKPNKGEISEEVKEKLKSDYDQVVAEVAEVTSSMF >LPERR02G25380.1 pep chromosome:Lperr_V1.4:2:21766698:21768999:1 gene:LPERR02G25380 transcript:LPERR02G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPIEVDDANVDEIESVDEDCSRGMKKYNQKRLGLKVLQQRIDDSITVHEEQQEQYFLSDLQC >LPERR02G25400.1 pep chromosome:Lperr_V1.4:2:21773429:21777425:1 gene:LPERR02G25400 transcript:LPERR02G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQKNNPSFPKRSAAIAAPIALLLAIGLISLYDITFGDRYPYYTTSSSSPSPATVSKCNLTRGEWLPDAEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVRWRWKPDGCELPRFDAARFLDAMRGKSMAFVGDSLARNHFKSLLCLLSKSSRNVLARTVLGFSLLDLLVMGMGVSQVAQPEEVIGTAPEIDVTSRAVRRDYRYGSHGFTASLFWSPFLVKANLSNATLGMWDIHLDTADARWAAHVAGFDYVVMSDTNWFLRPSVYYKGGRRVGRNGAAAAAFPNETEIAVPRAVRAAFRTALGALSAPPGRFRGNAILRTVTPAHFENGEWNNGGDCVRTRPFRRDERALGAVEAEYRGVQVDAVREAEAAVRRNGGELLLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPVDLWSELLFQMLVDQ >LPERR02G25410.1 pep chromosome:Lperr_V1.4:2:21778301:21779602:-1 gene:LPERR02G25410 transcript:LPERR02G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNNLLVRKAASTTGLLLILLLVAFTACNYSSLTLSPRQYIDVSSEASASQETRASNDAGGGAACDIARGEWVPDPSAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCALSKVEFPKEIEAKDCIHCTRKYHYGEHNFTVSVFWTPFLVRWNLTRAGALQFMDPHNVSLDEADPEWTRDVAGYDYVILNGAKWFTRPTLLYEGGRLVGCNNDCGGGEPLPPDYAVRASFRTALRALREHPGTVIVRTVAPPHYENGKWYDGGNCLRTRPMRSNETGLPETEAAFHAAQVEEFSAAASDAAAAGRFLLMDVSEMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLSG >LPERR02G25420.1 pep chromosome:Lperr_V1.4:2:21786699:21793497:1 gene:LPERR02G25420 transcript:LPERR02G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPVFGFSEAYRADCPGTRFRPAASNAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEVLAL >LPERR02G25420.2 pep chromosome:Lperr_V1.4:2:21786847:21793497:1 gene:LPERR02G25420 transcript:LPERR02G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTITRPSTSYPSEPSSNSMMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPVFGFSEAYRADCPGTRFRPAASNAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEVLAL >LPERR02G25420.3 pep chromosome:Lperr_V1.4:2:21786847:21792019:1 gene:LPERR02G25420 transcript:LPERR02G25420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTITRPSTSYPSEPSSNSMMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPVFGFSEAYRADCPGTRFRPAASNAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEE >LPERR02G25420.4 pep chromosome:Lperr_V1.4:2:21786697:21792019:1 gene:LPERR02G25420 transcript:LPERR02G25420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPVFGFSEAYRADCPGTRFRPAASNAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEE >LPERR02G25420.5 pep chromosome:Lperr_V1.4:2:21786697:21792019:1 gene:LPERR02G25420 transcript:LPERR02G25420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEE >LPERR02G25420.6 pep chromosome:Lperr_V1.4:2:21786847:21792019:1 gene:LPERR02G25420 transcript:LPERR02G25420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTITRPSTSYPSEPSSNSMMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEE >LPERR02G25420.7 pep chromosome:Lperr_V1.4:2:21786697:21792019:1 gene:LPERR02G25420 transcript:LPERR02G25420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPNIPEDVVMHKILVLLPVKVLMRFRCVCKSWCATISSRHFAEIDKCHSQSSLYCIFDDHNIPPGSSSINIQHLNGESYCSLKWLEDCFVINSSPDLIVLGHKGGYKLLNPALQQCVNIPPSSWQNETIHLSGFGFLANLGKYKMLSMLVGGANEDSCEVFTVGNDNLWRVATPPPFPVSVSDHMPFIKEKLHMLALDLKGEDSKLLAFDLLEENWLTMDLPLKPHGHLNEVYEIRDLQGFVCFICCTPSNTIDVWMLMDHADSVWSKYLAIDAESFARILKGQFIIDDMMHAGLYGFPIEPTKKGKIFLELDDGRWFCYDPRDQSIQVADHKGILTSYAENLVPAMTPCAALNVFSANSGGNAAVKRLLLPPSMSRAAAMATVGFVVGCGDAAARPRFPMRICSLLRRWKVLRSERQSVAHRVVGEE >LPERR02G25430.1 pep chromosome:Lperr_V1.4:2:21791105:21791754:-1 gene:LPERR02G25430 transcript:LPERR02G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKIVTAYQPVLNQHFDEDTALNKCNSAVSGLDRNDDITSPTTLCATLCLSERSTFQRRRRLQIRMGNRGLAAASPQPTTKPTVAIAAALDIEGGRRSRFTAALPPLLAEKTLRAAQGVMAWPPAKMIN >LPERR02G25440.1 pep chromosome:Lperr_V1.4:2:21794998:21796062:1 gene:LPERR02G25440 transcript:LPERR02G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLMSADEVEHFVEEKSRICGEEIMVTIGAIVIRDSAKVATLLLDWVKGGIRVRNYSFVCLARMLDKEIEWVCVDCDMILDTLRGGEGIVPEHIRALRRDGYELREMAISDGDGVFTFIAELADRVVDIADKSIAFEFTPDEFETIVEELKDSLVSLRDHNVPLPKPRQMLAEDTLDERGDSMAKRDRLMSVTEVRACMEEHACGFGGQPRCEIVISAVVLRHCPEVIFLLNAYSASVSVPNRRWLDGLVSLDHCLTSAETVGDSLIARLDAHEDLTMDAGILRAVGEGVLQAAYKHPLLPQHITQLGYRMIATAGHALHLSRVHVRLRAEIVYLVFDIRQARGAMFLFVDP >LPERR02G25450.1 pep chromosome:Lperr_V1.4:2:21807249:21812202:-1 gene:LPERR02G25450 transcript:LPERR02G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVSAILSPSPPPPPAAAKVSPRRAPASIAHAPAAVLTALLAVTPAAQAAAFSKEDVAGSVTKVVDTVDQVIGVGGKVAEQSAGVLKALGEAAKPALPALKSAGEQALKLASPVVSGASKQATEALQGAGVDPAPVLSAAKTVADAAQQGTKVIDAAKPIASATVETIVSLGSADYVVVAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSKDYVLIDVRTEKDKAKAGVPQLPSNAKNKLISIPLEELPNKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYCDSSKIVARTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRASFCKQKLDPLCCVLYDGGDDMIQHYLFETCEKGWSIASVAKSKRKSLHDRDDNNSQGCKEIRSGIYLPEDILRLIHAIMPLRDAARAACTSQIFLQSWGYHPNLIFTEEILGLKKNNIEKDDIKRDFINIIERILLNHSGIGVKTLKLELYPYNDVDLVCLDDWLHIAIAPGIEEITLMFPSDNNAEYNFPCSLLFERDGNSLRYLYLTYCAFRPTVGLGCLRSLSRLHLSFVRITGDELELLLSECVALEWLKLSYCSEIICLRVTCLLRRLGSLEVVECRGLRSMDIYAPNLSNFNFVGFLVRISFGNPLLVKKLRMMCLCQANIVSYVRKKLPSLVPNVETLTIVSTPMVPDKFLHLKHLHVSFIALAFSSYDYLSLASFLYASPSLETFVLSVSQRRIEHDSLFGEPFHLRRTPEHNHEKLKSVKISGFCAEKTMVELTCYVIQNTSSLECLTLDTTGGANRCSVTEYDKCLSMDREILMEAHKAHLAIRTYVAGIVPPTVKLNVVEPCRRCHAVEF >LPERR02G25470.1 pep chromosome:Lperr_V1.4:2:21832739:21841756:1 gene:LPERR02G25470 transcript:LPERR02G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVLLLVAGVVLMLVLHVVVVFWALRRGVFLRGAFEVEEREGQQGAGLTPDEIAGLPCHERKEVGGGGGECPVCLEAFQAGDRCRVLPRCEHGFHARCVEPWLRQSRVCPICRAEVVAGDGGKAATAGSVAEGTTLEIIRRCRTLAFGSIYWGSKGAHSVVFLVTGLSLVVLVHIFVVLWALWWGFYLSRLARVGQIAEERAEVSELPCHDVKEDAGAGECAVCLEAFRPGDRRRVLPGCEHGFHAQCVDSWLRKSRLCPICRAEVAADAGHSKEAESTAAEAATLEIVTER >LPERR02G25480.1 pep chromosome:Lperr_V1.4:2:21842091:21845268:-1 gene:LPERR02G25480 transcript:LPERR02G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSILSRRPIPRPVAASSIGESALLGAGSARGWLPGALHRFSSAPAAAAAAATEEPIQPPVDVKYTKLLINGNFVDAASGRTFATVDPRTGDVIAHVAEGDAEDINRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHAEEIAALETWDGGKTLEQTRGMEVPMVARYMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPEGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKSKARALNRVVGDPFRRGVEQGPQIDGEQFKKILRYVQSGVDSGATLVAGGDRAGSRGFYIQPTVFADVKDEMKIAQEEIFGPVQTILKFSTVEEVVRRANDSPYGLAAGVFTQRLDAANTMARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >LPERR02G25480.2 pep chromosome:Lperr_V1.4:2:21842091:21845268:-1 gene:LPERR02G25480 transcript:LPERR02G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSILSRRPIPRPVAASSIGESALLGAGSARGWLPGALHRFSSAPAAAAAAATEEPIQPPVDVKYTKLLINGNFVDAASGRTFATVDPRTGDVIAHVAEGDAEDINRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHAEEIAALETWDGGKTLEQTRGMEVPMVARYMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPEGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQVCRYVHDVLRAIALLATSFDSFLWFVLEQGQCCCAGSRTFVHERVYDEFVEKSKARALNRVVGDPFRRGVEQGPQIDGEQFKKILRYVQSGVDSGATLVAGGDRAGSRGFYIQPTVFADVKDEMKIAQEEIFGPVQTILKFSTVEEVVRRANDSPYGLAAGVFTQRLDAANTMARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >LPERR02G25490.1 pep chromosome:Lperr_V1.4:2:21847105:21850887:-1 gene:LPERR02G25490 transcript:LPERR02G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDSFLADLDELSDNEAYHEDAEARNMEEDGNGGMPCCEFLDYDDLSGVSKLHTTQRYKDIIQKIEDALHRGTSIPYQETFQKDVEHQLIADSNALLVDIDNEVTIIHNFIRDKYKKKLPLLESRVHHPIDYAPIVKKIGNEMDLTHVDLKDILPSADVMWIIMTDSITSKEPLSEENLVKTIEACDRALTLDAAKRKILEFLECQMGCIAPNLSAIVGSDVASKLMGATGGLEALANMPACNLQLLGTKKKNLSGFSTSTSQFRVGYLEQTEVFQSTIPSLRTHACRLIAAKSTLAARIDSIRGDPTGKTGHNLLEEIRKKVEKMQELPPARLPKLLPVPDSILKKKRGGSPTLENERKSDMMKLANRMRFGVPEDSSLGDGLGKGYGLLGQAGSGKLRRLAGQSRLGAKVAKRFKDRSYDRTEARSGLASILGFTPVQGMELSNPLVHNDLSVSGTRITYFSDNGTFSNIRGKDANIPFSVIKSPESRVVSP >LPERR02G25500.1 pep chromosome:Lperr_V1.4:2:21863331:21868164:1 gene:LPERR02G25500 transcript:LPERR02G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAHGAGAPAKQQKRKRESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHTAGNEEIYGVLSFVFWTLTLISLLKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGANGDDELAAAAARLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFLFAPIVCIWLLCISIIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENSYHIGFYVSVPEKLRWPVMVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPSVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLTNAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKDLVNSIAEFIRSGDSDHNGILDDADKSSEKVSSVSNGIPLWEEDGEHDASPHQEIDTQIAAPNQKKARFVLPKNAQVDNEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFLYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >LPERR02G25500.2 pep chromosome:Lperr_V1.4:2:21863331:21868164:1 gene:LPERR02G25500 transcript:LPERR02G25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAHGAGAPAKQQKRKRESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHTAGNEEIYGVLSFVFWTLTLISLLKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGANGDDELAAAAAVGGKGGDGRRRRDSAPAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFLFAPIVCIWLLCISIIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENSYHIGFYVSVPEKLRWPVMVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPSVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLTNAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKDLVNSIAEFIRSGDSDHNGILDDADKSSEKVSSVSNGIPLWEEDGEHDASPHQEIDTQIAAPNQKKARFVLPKNAQVDNEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFLYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >LPERR02G25510.1 pep chromosome:Lperr_V1.4:2:21873753:21878470:1 gene:LPERR02G25510 transcript:LPERR02G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGRGGGGGPVVVALLLAALLLTAIAPASASSYPAKVVSGFLSNAASSVMKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGITTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYISSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTEDEPETPLKASIASIPPYQKPLKPSHRPPLIPIEDESEKQEVEEGFFTSIGKLIEGAKSSVTEIVGAAFSRKKRLNIHHQQARGHSWPLQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFTGWNGDAPQQQQQQQQQQQQIHHQQYLQHHRQYSSGPQTFYEPSCEATNENMRSSLELFRSKRRAVEIKAMNHGDAQYDQDGLRLRSSYTGYSNNCRDYLSVGNGLLGGPESPRPEIADESGKIIATFYRCSLPRVAANGDGHDAGPVRHGAGAIRLPSNRVGVILQPREEWWKSPITN >LPERR02G25520.1 pep chromosome:Lperr_V1.4:2:21879331:21881514:-1 gene:LPERR02G25520 transcript:LPERR02G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKESGPSSSRAQESLWKPGSELVDGLFVPPRDPRKSNKMSRKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVLDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDEILSDQHLKNYRMRKVREIQDIRTPGGNQKWKNKGKKTLKRAKDRRK >LPERR02G25530.1 pep chromosome:Lperr_V1.4:2:21882318:21885065:1 gene:LPERR02G25530 transcript:LPERR02G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVTMPPPPELRSLRSTLDQRGGGLRDPLLSFDWGVPGANNAQGDGVGSRLRRAAQAVRAAATEMWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGLALAVSELSSHMGNLATVFLIVCTFVVAFGATLTKLHPKMKPYEYGLRVFLLTFCYVTVSGYNTGKFTATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFTGVAKSLEGCVDGYLKCMEYERVPSRILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMLKYPWKNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRKVFSAELHKVGQEGAKVLRELGHRVKTMTRLSSPNILSEVHFAAEQLQKKIDQKSYLLVNTEKWQAIIRRQEGAKDATANPYPPAVHKSNSFASSPFLSSLSSAPKTDTSFKPQPPWPPRQSSFHPSLPFEAAAESRTYESASALSLATFASLLIEFVARLRNLVDAFEELSENANFKDPVEEPTAISRESGGVLFRVRKFLGLKS >LPERR02G25540.1 pep chromosome:Lperr_V1.4:2:21885337:21888550:-1 gene:LPERR02G25540 transcript:LPERR02G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPTHPAAPDPPQLHGVVIITLPPPDQPSKGKTITAFTYTDDDVAPPPPTPPPPRALVPRGAETRRSRRGFSPRRAAVMVLVLGALAIAGYYSFYSDMAVQFLGMEEEEEQKEKNETKSFLLPLYPKARQGRALREFGDIKLAARRVDDEGGRGGGAKSRNKLEVKKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDFLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHLINTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSSGISFPSQLASQGIISNIFGHCITRDPNGGGYMFLGDDYVPQWGMTSAPIRSGPDNLYHTEAQKVNYGDKQFSMRGASGNSVHVIFDSGSSYTYLPDEMYKNLIAAIKYTYPNFVQDTSDRTLPLCWTTDFPVRYLEDVKQLFKPLNLHFGNRWFVMPRTFTILPDDYLIISDKGNVCLGFLNGMEIDHGSTIIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >LPERR02G25550.1 pep chromosome:Lperr_V1.4:2:21888986:21893097:1 gene:LPERR02G25550 transcript:LPERR02G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACASLLLAGGLAVSTSAVAAAAAQTFSIPHVYPYTLRRQQHRFLRLATAAASSPAPLPASSSARPHCSRWVVVVEKPRAPPGGGEVSRAEAVDYYVATLAKVLGSQEEAQMSIYDASWDGSYQFCCEIDDEASRDLAKMPGVLAVEPDKVDKSEKDNCGSDLSEVNLENFSDAVGNQSSSSGENGFWLVRMEKPGVEVVTKAQMVDYYTQILTKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELADVPGVLSVQSDTNFGSDNKNYKDDDSLKSSEATKPDVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDRQPSSNASGRSHQVLRSRYYTG >LPERR02G25560.1 pep chromosome:Lperr_V1.4:2:21891986:21893410:-1 gene:LPERR02G25560 transcript:LPERR02G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLGCSLSPITSPLPPKPPPSKRAPDAAALRAFRRHHVAGCTLNANPALMSALAACARLPSAAAEAEQIHALLVKSGAPTSVSGVYPSTSLVRAYARLGRLVDARKVFDGMPVKKVVSWNVLLDGLVRASDLDAAWEVFVEMPERNVVSWNTVIAGFARHGRAQEAMDLFAEMTMVYGLPPDEATMVSFVSATRDIGLHGIGRSAHGYVIRHEFSLDGALGVALINMYSRCGSMGDAFRCFSSVASKNVEHWTSVIGGFAAHGHPEKALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVDEGFKYFNLMRSMGIKSTIQHHGCLVDLLGRAGLLEEALNLANSLPEDPGVVIWSSLLAACQSHGNVEMAEVAARKLAETEPGHGSSYVLLSNTYARAGQWEDLKRTRRKMEEHGVMKKPGLSWIELDGHVHSFVTADKLHTESEDIYWMLEDLSANLISAVSEPETLALPEI >LPERR02G25570.1 pep chromosome:Lperr_V1.4:2:21902035:21911845:1 gene:LPERR02G25570 transcript:LPERR02G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAEELSILCDAEVGLVIFSSTGRLYEFSNTNMKAVIDRYTNAKEELLDGNATSEIKIWQREAASLRQQLHNLQESHKQLMCEELSGLGVSDLQGLENRLEISLRSIRMRKGSLIHQENIELSRSLNLMSQQKLELYNKACEQRGSTDLNESSSIPYSFRIMHNANTHPNLELSQSKQKGECSKTNVPELGFHPYGGGMVRDYGR >LPERR02G25570.2 pep chromosome:Lperr_V1.4:2:21902035:21909103:1 gene:LPERR02G25570 transcript:LPERR02G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAEELSILCDAEVGLVIFSSTGRLYEFSNTNMKAVIDRYTNAKEELLDGNATSEIKATATGSWDGRIWRVKTGGDEGDDLRRRGRWQPRGDGGAAPREALTGGGEDRGSTRVPRLAGDDGTGQGGQRARRQLMCEELSGLGVSDLQGLENRLEISLRSIRMRKGSLIHQENIELSRSLNLMSQQKLELYNKACEQRGSTDLNESSSIPYSFRIMHNANTHPNLELSQSKQKGECSKTNVPELGRATWLNPIHPFCSFPSRACAVLH >LPERR02G25570.3 pep chromosome:Lperr_V1.4:2:21902035:21908644:1 gene:LPERR02G25570 transcript:LPERR02G25570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAEELSILCDAEVGLVIFSSTGRLYEFSNTNMKAVIDRYTNAKEELLDGNATSEIKATATGSWDGRIWRVKTGGDEGDDLRRRGRWQPRGDGGAAPREALTGGGEDRGSTRVPRLAGDDGTGQGGQRARRQLMCEELSGLGVSDLQGLENRLEISLRSIRMRKGSLIHQENIELSRSLNLMSQQKLELYNKACEQRGSTDLNESSSIPYSFRIMHNANTHPNLELSQSKQKGECSKTNVPELG >LPERR02G25580.1 pep chromosome:Lperr_V1.4:2:21904662:21905108:-1 gene:LPERR02G25580 transcript:LPERR02G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKQKKKSTDSNHRARSGSSPATSPLPPPGSPPRAPPPPGSLPPLPCPVISGKARDARGSSVLAAAGQRLTRSSAAVSAWLPPSSSPQVVALVAAGLHPPDPAVPAAGRRRLSSSTSPPLVAASPRNSASSSLLPSLGPHGEGGRG >LPERR02G25590.1 pep chromosome:Lperr_V1.4:2:21905346:21912722:-1 gene:LPERR02G25590 transcript:LPERR02G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQSHIARGGTKHEATTALLVRKAQCNWPQHTHGCVRLIQPKEQISPRSTAYRVEQRNLSVFIRAGAWIK >LPERR02G25600.1 pep chromosome:Lperr_V1.4:2:21914043:21915301:1 gene:LPERR02G25600 transcript:LPERR02G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRCCRCSGGADHWVPRDERRAARRGGGKVHRRRQRRMEVLRRGLGQGEDLPRRRRARVQVQRGGARRGGRGPGGVPELHGAEGRQEDAERARQGDAPQGHALLHLHRAGALQGRHEACHQGHLACVVFELTSHFGRFELVRPVRLLVNLLSTRSWSLQLAMCVCDVLVSTFYGQFAGVCPR >LPERR02G25610.1 pep chromosome:Lperr_V1.4:2:21918363:21920204:-1 gene:LPERR02G25610 transcript:LPERR02G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTASRRYIGPLLGVNLVMHAAVLGLAGWSLNKFIDRETHRHLGGNTSTGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETVPLRPRRPLDSLACKHITLGNRGRRLRTLEAFIAILTLTQLLYLVLLHAGSLGCGMFGLGCRNSDDDQLCRGIPREEVNNNSKAAGDAGA >LPERR02G25620.1 pep chromosome:Lperr_V1.4:2:21924437:21928881:1 gene:LPERR02G25620 transcript:LPERR02G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAYSTVLLAGARLPAVGAASPLSVLLPRRNLSPLRLQDAPRLSLLRVKASSDDTSSSAATGDELIEDLKAKWDAVEDKTTVLTYAGGAIVALWISSVIVGAVNSVPLCNNLTCMSMLQLPKLMEFVGLGYTGWFVYRYLLFKESRKELSDDIESLKKRIAGTD >LPERR02G25630.1 pep chromosome:Lperr_V1.4:2:21927237:21928660:-1 gene:LPERR02G25630 transcript:LPERR02G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSADKAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRASSPPRLTSSSVEESKRTGVTPAWSKRKARTRRPQVKSVGQLLSRRLVVPEMAEESSPSDERNKAEEDGAHDEEEGQLLYRVPVFDPALTEFRSPPPIDDATAAASSSCLFKEDADGGAGEDTKYPAPSSPVQQLPDSFINFEPTDAELREFAADMEALLGQGLDDSNELQDSFYMETLGLMSTPVDEEGGGRVKMELDGVDSTSRVSLPPSCRPQPNKPEEGESADVLDIDFNCNSPTVADDDEQKSSASNVAATDANFFQKSLDLRLNYEEIIESWGSSPWTDGQRPHGQIDDLWPNDHNYGLWSAAEGGHGAEAGMMATRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAPCAVT >LPERR02G25640.1 pep chromosome:Lperr_V1.4:2:21939157:21940608:1 gene:LPERR02G25640 transcript:LPERR02G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSWEQLKRLYQVVVNNILAVVTVPLAAAVVLKAAELGPDEVLARARTLPPAHMFLAVFVPAATAVIYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLASSRAEAELVIFSAIDDLLAKTGVSPRDIDIVVVNCSLFAPTPSFTDMIINRYNLRNDVRNVHLSGMGCSAGLIAVGFARNLLQVAPRGARALVVSTETITPNYYMGQDRAMLLPNCLFRMGGAAVLLSTDGSNARFRLVAPVVRTLTGANDGAYHCVYQEEDGRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAENADGPWATCIHRYPVEIPDVLKH >LPERR02G25650.1 pep chromosome:Lperr_V1.4:2:21946196:21951474:1 gene:LPERR02G25650 transcript:LPERR02G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGAGEGDGEAAAEWLGELVRALQAARRFVELGRAPARPAGESDQDVICNNVAIQFKFVTWQLQTVLASLPQSRFQISDEVQEEVDLVRAQLRREMEKKGEIDVNIFSKMHDILALPVSTIGSQSEQSHGQPDTAQMESLCNDHLELQNIITLVSEISGVPKSDTKRITSHLIEGLENMRVTDSKRSVNVSQPSDETKGSPQTHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLILQWCEEKGIEPPTRSKNDGSYLEVGGERVVIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAIPALVKLLSSKDLKTQEHAVTSLLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKTAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >LPERR02G25650.2 pep chromosome:Lperr_V1.4:2:21948122:21951474:1 gene:LPERR02G25650 transcript:LPERR02G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGNDAAIVGAGQVAPQDVICNNVAIQFKFVTWQLQTVLASLPQSRFQISDEVQEEVDLVRAQLRREMEKKGEIDVNIFSKMHDILALPVSTIGSQSEQSHGQPDTAQMESLCNDHLELQNIITLVSEISGVPKSDTKRITSHLIEGLENMRVTDSKRSVNVSQPSDETKGSPQTHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLILQWCEEKGIEPPTRSKNDGSYLEVGGERVVIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAIPALVKLLSSKDLKTQEHAVTSLLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKTAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >LPERR02G25650.3 pep chromosome:Lperr_V1.4:2:21946196:21948060:1 gene:LPERR02G25650 transcript:LPERR02G25650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGAGEGDGEAAAEWLGELVRALQAARRFVELGRAPARPAGESDQEKPCLLAVVGRQKDPMSSRKA >LPERR02G25660.1 pep chromosome:Lperr_V1.4:2:21954023:21956597:1 gene:LPERR02G25660 transcript:LPERR02G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKWAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLESEEVKLLGARARPLPRDAPLRRGRLYFLVALPRRAAAAGPPRRAWSGNLRSLMLARRSTSDLSSFPAASASAPTSPLPGGGSTPVRLKLRLPKAQVEKLMGESKDASEAAAKIMELCSAAGGIKSASVTPERPPGILRSPRFAATPEWGSGFMVPPPAATTAAPKTPQRWPTLPRTKEKKARFVALPDELIA >LPERR02G25670.1 pep chromosome:Lperr_V1.4:2:21957297:21960256:1 gene:LPERR02G25670 transcript:LPERR02G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMGVFLSAPSARDAHLKQQPRLTSTAPHDCSNQVHAAARALPPPPPQQTACCVVDGRMPSAAARLTLQVSGEVHAVASLATSPRYTAVSETTAQLTIFYAGSVLVFENIPREKVCLPLNLLPHALALPLAIVQKRLYASTCRMYEHDEKNAEEIVFFAAKTTPDVGVGQIPAHDRDAGGLIIHNDRSSACSHHLSSANGLGNIKETNTCSPQFQIGPRADVSLLVRNPSLVSFLERRKQRLAKAAVAYPPRENSPDEMNTFSVASPRNKTPHGYMEQKWAFTYAKDVNGNHDDETVDTDLRI >LPERR02G25670.2 pep chromosome:Lperr_V1.4:2:21957297:21960256:1 gene:LPERR02G25670 transcript:LPERR02G25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMGVFLSAPSARDAHLKQQPRLTSTAPHDCSNQVHAAARALPPPPPQQTACCVVDGRMPSAAARLTLQVSGEVHAVASLATSPRYTAVSETTAQLTIFYAGSVLVFENIPREKAEEIVFFAAKTTPDVGVGQIPAHDRDAGGLIIHNDRSSACSHHLSSANGLGNIKETNTCSPQFQIGPRADVSLLVRNPSLVSFLERRKQRLAKAAVAYPPRENSPDEMNTFSVASPRNKTPHGYMEQKWAFTYAKDVNGNHDDETVDTDLRI >LPERR02G25670.3 pep chromosome:Lperr_V1.4:2:21957262:21960256:1 gene:LPERR02G25670 transcript:LPERR02G25670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAARLTLQVSGEVHAVASLATSPRYTAVSETTAQLTIFYAGSVLVFENIPREKVCLPLNLLPHALALPLAIVQKRLYASTCRMYEHDEKNAEEIVFFAAKTTPDVGVGQIPAHDRDAGGLIIHNDRSSACSHHLSSANGLGNIKETNTCSPQFQIGPRADVSLLVRNPSLVSFLERRKQRLAKAAVAYPPRENSPDEMNTFSVASPRNKTPHGYMEQKWAFTYAKDVNGNHDDETVDTDLRI >LPERR02G25670.4 pep chromosome:Lperr_V1.4:2:21957262:21960256:1 gene:LPERR02G25670 transcript:LPERR02G25670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAARLTLQVSGEVHAVASLATSPRYTAVSETTAQLTIFYAGSVLVFENIPREKAEEIVFFAAKTTPDVGVGQIPAHDRDAGGLIIHNDRSSACSHHLSSANGLGNIKETNTCSPQFQIGPRADVSLLVRNPSLVSFLERRKQRLAKAAVAYPPRENSPDEMNTFSVASPRNKTPHGYMEQKWAFTYAKDVNGNHDDETVDTDLRI >LPERR02G25680.1 pep chromosome:Lperr_V1.4:2:21963910:21966165:-1 gene:LPERR02G25680 transcript:LPERR02G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLEFIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >LPERR02G25700.1 pep chromosome:Lperr_V1.4:2:21971091:21972073:-1 gene:LPERR02G25700 transcript:LPERR02G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQWSKRRLKSGNGSLHKPRSSDSERHSVELKSILHVYGCQRFGGLEGQEPRSSQLMSVTGSRRHAYDSPRHVREERPFHFWPRLLILLEAPLQIKTRSNSPQNSQIE >LPERR02G25710.1 pep chromosome:Lperr_V1.4:2:21972492:21985929:-1 gene:LPERR02G25710 transcript:LPERR02G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVLVAVVAIAVLAHSAVVAIPAAAEAAKGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPNGVATGRFTNGKTIGDYMAAKFGVPSPPPFMSLVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDEQISCFEMVKKAMIAKIGQEAAEVAVNAALFQIGLGSNDYINNFLQPFMADGQTYTHDTFIRLLISTLDRQLKARTHARLYGLGARKVVFNSLPPLGCIPSQRVHSVDGQCLDHVNAYAVAFNAAAKKLLDGLNAKLPGSRMALADCYSVVMELIVHPEKYGFTTAHTSCCGVDTTVGGLCLPNSRPCSDRKEFVFWDAYHTSDAANRVIADRLWDAMSSAGSGSAAAAPHLAASPAPAPSPSQPRRDFQLESKPKPRIQSSGLDLSATGKNSSARRWSIPKPSFSRSKPSRQSHHSTRRRRGIGASAMDGAHGQRQPMSPAISASAVVPQQRQMQVHHHSARSVFADLFTLYLGINSKQRAEDPSRETSNKLQKRITAMNRDLPPRDEQFISDFEQLRTQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSIETPIGSTVSATISGPATSSSSAIAAPNAPNFHPSNPTSPLSTMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQIVTAARGDQSRRGAESSYLHHLSCRIILAGLETDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADILQTLRLERHLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVEKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERAMGMFWVLSFTMAQPACEAVMNWFTSAGVADLIQGPNLQPNERMMMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVTTYLSHSFPQHRQYLCAGAWMLMNGHIEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSWKERYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAAYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLGQSNSSICPPPEYFANLLLGLVNNVIPPLSCKSKSNPGEASGSTARTTYNKPHTSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLSVPPSQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQNSGVPTSSGGGVEPAGANRPNTTSGINTTSFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTLLYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTSIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQAAPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLVQNPS >LPERR02G25720.1 pep chromosome:Lperr_V1.4:2:21986498:21990567:1 gene:LPERR02G25720 transcript:LPERR02G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAMRPSTSSPYPLLAQPTCSSRLPPLRCFVGLRWSAPRFQARQGEALCSCRDCQRKPASSISQPCHQTIVITNEHVQNADFPPNYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRPLPPPKNGMLVKRLIPVAYKVYNARILLINNLKRLMKVIPVRGCKYCNEIHVGSVGHPFRTCKGMSSDKRRGEHDWGSTLVEAIFLPVEAYHLEDRLGKRIPHDQRFNVPRIPALVELCIQAGVNLPEYPTKLRRKPIIKIGRNEFVDANEDDLPDPEPDKVERPILEELYDNEIITPSSPDEIVALAEETLEAWEMVRDGALRLMKSYAVRVCGYCPEVHIGANGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRDLKSFYGQAPAVVEICVQAGAEVPEKYKATMRLDIGIPSSLREAEMVV >LPERR02G25730.1 pep chromosome:Lperr_V1.4:2:21990590:21993304:-1 gene:LPERR02G25730 transcript:LPERR02G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEGRLQAGRRGGVVRALLGLGEIAEGAAPAAVVGVPRKPSAGDGGGCGEERKAVVRVVAADMPPPLQRRAFRCARDELAGMPRSPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGQRWIPNRIAMTRQITMELG >LPERR02G25740.1 pep chromosome:Lperr_V1.4:2:21997204:22000492:1 gene:LPERR02G25740 transcript:LPERR02G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASLRFEVVAAAVLALLLSPVVSAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPQHPGSDVAAETAAALAAGSIVFRDSDPAYSKRLLDRAISVFEFADRYRGPYSSSLHDAVCPCYCDFSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGSGGSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGDRFPRRIHHRGSSIPSVAAHPARIACKAGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWGDD >LPERR02G25750.1 pep chromosome:Lperr_V1.4:2:22000877:22001538:-1 gene:LPERR02G25750 transcript:LPERR02G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGMIPTSSSAESSPSSSDVDTESTGSSFFRDRSTTLGTLMGVSFADEEEEEQQQQQREAAREGGERARSRGAAAGAVEEDGRRWRRRWRRRRWRNAGGSWWRLCRDDVGGTTSLGQFLHMERQLSGNAGLMICGDERESSTPLFDNGRVTPAREERAKWQLRRAAQGSSSSSSLVRLPVLLTAICSGGG >LPERR02G25760.1 pep chromosome:Lperr_V1.4:2:22003123:22003632:-1 gene:LPERR02G25760 transcript:LPERR02G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSAAASCGGIGRSRSPPPPRDAPPPPSGEAKSTELARVFRHVDTDGDGRISAAEMKEFYGCTDAEAAEMVAAADTDGDGFVSIEELGAVMAEGQPDELRAAFAEYDEDGDGVITVDELRRALLRLGLGGEDLTAERCAEMVAAVDSNGDGVISFDEFMAMMGKKP >LPERR02G25780.1 pep chromosome:Lperr_V1.4:2:22005946:22010060:1 gene:LPERR02G25780 transcript:LPERR02G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARATPATARKALFTTTSTLLSSSLSRTRRGVSCSASAPRIAPQPPDLLRWVQREGGFVHPGLRVVDHPEHGLGVSVAAAEGEIPPGDVLIALSGRLPLRLRRPAAGAADAVLMQLAEQVPEELWAMRLGLRLLQERAQSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLQQVNKRCRFLLEFENEVKHKLGSVPLEDHPFCGQDVNSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNAKIVQEGNVDSPDMLVVAETKIGQNAAVTLNYGCYPNDFFLLDYGFVITSNPHDQVELSYDGTLLDAASMAAGVSSPNFSAPSKWQQDILSQLNLYGEGAILKVSIGGPDIVDGRLLAALRVIIAADPEAVSGHELKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLMLLDVMQNLSRRIKMLSLEKSTV >LPERR02G25790.1 pep chromosome:Lperr_V1.4:2:22009175:22009435:-1 gene:LPERR02G25790 transcript:LPERR02G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVVVEGQQQRRVVVGQVQHSQVRRIKQEEGEKVKVEETYQNQAVSEMRARLVLRDMEARQRSRSPLGRATARPAISIGGDS >LPERR02G25800.1 pep chromosome:Lperr_V1.4:2:22020561:22022806:1 gene:LPERR02G25800 transcript:LPERR02G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVARQGRELQRYTSTGGRIVVGCIPYRMRRDGDGSEMEVLVITSQKGHGMMFPKGGWEVDESMDEAARREALEEAGVVGDTEQSLGCWYYKSRRYDATYEGFMFPLRVTDELPQWPEMSSRNRTWATVQQVMDGCQHWWMREALERLVSRHAKLQSAL >LPERR02G25810.1 pep chromosome:Lperr_V1.4:2:22025665:22029314:1 gene:LPERR02G25810 transcript:LPERR02G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRRRSSPWTAISAAAGVPLLLLLSATSIWSQTAAVAAGETTELQKHVAFFDSDHNGIISFSETYEGFRALGFGIISSTLSATFINGDLGLKTRPETATESLFSIYIENIHKGIHGSATGVYDSEGRFVQEKFDEIFTKHAKTVPDSLAPGEVDEMIRSNKQPEDYKGWLGASMEWSATFNLGVDKDGFLRKDTVRAVYNGSFFSNLASEKKVIYTRQKRPHARERQEGIEGECQQSTRLT >LPERR02G25810.2 pep chromosome:Lperr_V1.4:2:22028826:22031641:1 gene:LPERR02G25810 transcript:LPERR02G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRRRLSSPAVAVAAILLFPLFFGSQPAAAYGGMTALQKHAAFFDRDNDGIVSFSETYDGLRALGLGAGLSSLSAAFINGALSGKTRPFEEIFTKHAMTVPDALTSDELDKMLQANRKPGDYSGWVGASAEWKMLYRLAKDKDGLLHKDVVRGVYDGSLFAKLVKQRRSEENQA >LPERR02G25820.1 pep chromosome:Lperr_V1.4:2:22033033:22033842:1 gene:LPERR02G25820 transcript:LPERR02G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATATTLLLLSLLLTSRARGADADDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANTAPNSPYDNFTSPTSNSVAALYQCRSDLPASVCSTCVRSAISRLSSLCAWATGGAVQLRACFVRYGNDTFVGRQDTAVLFKKCGGSPGDAGGAAMRDSALGALVAAAAPTAGGGYRAGGSGGVQAMSQCVGDLAAKACSDCVSAAAGQLKSGCGYATAGEVYLGKCYARFWGNGGGGFSSGATGNGKHGERLVVAVAAAVSSLPWLI >LPERR02G25830.1 pep chromosome:Lperr_V1.4:2:22036002:22036400:1 gene:LPERR02G25830 transcript:LPERR02G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >LPERR02G25840.1 pep chromosome:Lperr_V1.4:2:22038570:22041675:1 gene:LPERR02G25840 transcript:LPERR02G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNARCLGCRLFRGWTHSPRAAAAAAASIVSAAAWLGKSISTCPLPPSQSRTLCDGKLLARALPDDHRDTVRVRRVAADIIAAVREDRVFERRRLSERTGHRVDHDIHWRVHVIIDDNWICAFSIYNGEIVVYTGILRRYCRKDAHLATLLGHEVAHVIARHTQRTYRMRFYIRVLAKCIEELLDAPVRGIPHAELVSLFMRPWHFSLELEADRVGLMLLAAAGYDPRDAPSFYRALEPLDDPSDQYTLTATHPSSKRRVEKLMMEHVMGEATKVFSQAVQWRMSPSGLRLKLPPAGRELV >LPERR02G25850.1 pep chromosome:Lperr_V1.4:2:22043718:22047405:1 gene:LPERR02G25850 transcript:LPERR02G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRCLACRLFRVRGWTHSPRAAAAAAVSIGSAAAWLGSSIRNKIYFPPSRDETICDETILARALPDAHPDTVRVRRVAADIVAAAREDKVFGHRRLSERTGHRLVHDINWRVHVIDDDTWIRAFSTYNGEIVVFTGLLKRYCRKDGHLATMLGHEVAHAIARHMQREFTKRFYVRVLANFIEELLHAPVDGILQAVWVSLFMRPCLFSQELEADRAGIMLQAAAGYDPCDAPAFFKAHEPYEDLSNQYAPARTHPTCKRRVQELMREHVLGEAMKVFGQAVE >LPERR02G25860.1 pep chromosome:Lperr_V1.4:2:22053636:22059723:1 gene:LPERR02G25860 transcript:LPERR02G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVRMLPRRLLRIGRSTTATVPSRRWYEKTFPRTAAAGAAGATLGTAIAIRLGKQIGDDGGEQHADVWPPLSHDEELARALPDDHPDTVQVRRVASDLIAAARDDEVFGNKRRLSERTGCRVDPRDAEKWKVHVIDHGEPYAFCYDSHDEIVVTTALLDELSRKDAFLATVLAREVTHMMAWHGIKMLPRYLLASVFSNYVGELLETPPDDEISRDDWLFLFMVPHFSRFLRRRPAAARPWLPPPPPPPLLPPSQAPQAPSLRRPDFVPSGRGFLRPTPPQAPPSRHFYTAPQRQKVIHFNRRRGSRWYHDQRKLTAVVFVTGGAAVFVYFGNLESVPYTNRTHFIILSPPLERQLGESQFASLKKELAPKILPPLHPESIRVRLIASEIVRAVHRGLAGPHRDAFAADDASYGDISTDLVIKSHEADAEEAMLGRSRGEDAGVAAAAAQRDEEVLDDRWITESRSRGKARGAQPETRHLDRLNWEVIVVRDDLVNAMCLPGGKIVVFTGLLDHFKTDAEIATVLAHELVILQFIYMPDLINAMSTLLLRLPFSRRMEIEADHIGLLLLGAAGYDPRIAPSVYEKLGKIGGDSALSNYLSTHPSSKKRAELLRQAKVMDEALALYKGSGQGTEGFL >LPERR02G25870.1 pep chromosome:Lperr_V1.4:2:22061373:22064560:-1 gene:LPERR02G25870 transcript:LPERR02G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRYNAAKIFSHPEVAAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKKAIEKLKVRHSEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEKDGKGYFEDRRPASNMDPYVVTSMIADTTILWKN >LPERR02G25880.1 pep chromosome:Lperr_V1.4:2:22074737:22077879:1 gene:LPERR02G25880 transcript:LPERR02G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFGAVRSGDAAAVGRILADAAEASSGSTTTAALAAAQTDAGETALYVAAEAGAEEIVRLLIPLYDLEAATVRSRLDLDAFHIAAKQGHTGVVNEFLGRWPQLCSLCDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDKKGQTALHMAVKGKNTDVVEELLMVDVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEVLEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKVDEASELRRTVSDIKHNVQAQLNENAKTNKRVSGIAKELQKLHREAVQNTINSVTLVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISGIGGPIMIGTLLFLAYLLLRPRFKFSEDRQRRIRRASGSKSLSWSIHEGLSDLEAFSDHEKKIYAL >LPERR02G25890.1 pep chromosome:Lperr_V1.4:2:22078278:22080346:1 gene:LPERR02G25890 transcript:LPERR02G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRLFHPYPQPLPLPPPSSTPRPTHLQWGLCRGRRRRRHHFLRCVAASAATLQKELAAPRAPAAAENPGPANPPKVFGRMPERNAATVSVAGNLFDETPQTCSAGSGAGGLGVSGEAPRDGGGKSASAAIVALAHAGRHAEVVELFCRMQMGGVRVNRFVLPSVLGACAGLNDIRMLRSVHALIIKCARCQHVIVGTTLVDGYTDFGLMDDARKVFDEITGANIVSWSVLIGGYARSSRWEEALDAFCSMRHAEVLPNYSVLVMGIQACGALGRLVHGKQLHALAVVLGFERNTTVWNCIIDMYGKCGDIDSCRMVFETMIGRDQVSWNTIISSYARLGLCEEALDMIVQMQETGFIVDRFTLGSGVTACAQLSDINSGRAFHGYLVRRLMDTDVIQGSALVDMYGKCSSMEFARLVFDRMDERNYVSWNALLSGYVENRQVDLALEIFRQMKCKNIKYNQHTFANLLKLFGSRRYKEYGRQIHCHAIKTIDKMNVVLETELIDMYAKCGCIEVARLLFHGMNERNLISWNALLSGYAGDGQPFETINIYRQMELACIRPDQYTLAGLLSLCRYQGLLHYGRQIHAHLIKIGAEMNVVMQTILVHMYIKCRRQQDAENVCRMIEERNSFVIDAFSKVYGDEYLI >LPERR02G25900.1 pep chromosome:Lperr_V1.4:2:22081286:22083472:-1 gene:LPERR02G25900 transcript:LPERR02G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSPSPEQEQPLLRHPSTRSASGSGTPSPPHPPPATARPTRLAALIGRAAGRRGPSMLVRETAAQQLERRRADWSHSRPVVALDVAWNVAFAAAAAAVLVASAGERPDTPLRLWLVGYAIQCLVHVGLVCSDSSRRRRRRPAHGRSSDVESADDDAAGGADSSDSDDDNGEGREQRNSFAKRCESVNTMASILWWIIGFYWVVSGGDMLQHDAPRLYWLSVIFLAFDVFFALFCVAMACFIGIALCCCLPCVIAILYALAGQDGASDSDLGFLPRYKYSDPCEDGQKGTDEGVMIPVLNNNGTSTSERILLREDAECCICLSSYDDGVELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >LPERR02G25910.1 pep chromosome:Lperr_V1.4:2:22086616:22088311:-1 gene:LPERR02G25910 transcript:LPERR02G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEKAAAAVRSPGKGTTATTLLDVYEVEWITRELERLLVRETACGGGGGVGDGHHRRKRTKVVVGTKAAPSTEKKGGFLAELLGRHAVSVCGDTAAVVGGRARRGRGSFREVGKVPHRFPRTPLATVRPVKERGDGLGDAFFSALPAAFFSAAAFILQRHQLLCDEAAAAAIYPLRTRDEHGTAHV >LPERR02G25910.2 pep chromosome:Lperr_V1.4:2:22086616:22088311:-1 gene:LPERR02G25910 transcript:LPERR02G25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEKAAAAVRSPGKGTTATTLLDVYEVEWITRELERLLVRETACGGGGGVGDGHHRRKRTKVVVGTKAAPSTEKKGGFLAELLGRHAVSVCGDTAAVVGGRARRGRGSFREVGKVPHRFPRTPLATVRPVKERGDGLGDAFFSALPAAFFSAAAFVRVFPSLGGTTTGLDQLLLLPHPHPHHNGQILQRHQLLCDEAAAAAIYPLRTRDEHGTAHV >LPERR02G25910.3 pep chromosome:Lperr_V1.4:2:22087138:22088311:-1 gene:LPERR02G25910 transcript:LPERR02G25910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEKAAAAVRSPGKGTTATTLLDVYEVEWITRELERLLVRETACGGGGGVGDGHHRRKRTKVVVGTKAAPSTEKKGGFLAELLGRHAVSVCGDTAAVVGGRARRGRGSFREVGKVPHRFPRTPLATVRPVKERGDGLGDAFFSALPAAFFSAAAFVRVFPSLGGTTTGLDQLLLLPHPHPHHNGQILQRHQLLCDEAAAAAIYPLRY >LPERR02G25920.1 pep chromosome:Lperr_V1.4:2:22096843:22102505:1 gene:LPERR02G25920 transcript:LPERR02G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEEGNASALKGSASRRRGAVQPPGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSTRPTEGKSISNGPSRRLSLGGADNVSKISPIGMLTRRSPSFNSRSSVSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCDTTDDWKDSTEEKGNETTNSNATDTVSGVLYDMLQKEVISLRKTCHEKDQSLKDKDDAIEMLAKKADTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKEQENKAKRPGNLKGPGTTTQALPGSRNAPRGGLARNLQ >LPERR02G25920.2 pep chromosome:Lperr_V1.4:2:22096734:22102505:1 gene:LPERR02G25920 transcript:LPERR02G25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEEGNASALKGSASRRRGAVQPPGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSTRPTEGKSISNGPSRRLSLGGADNVSKISPIGMLTRRSPSFNSRSSVSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCDTTDDWKDSTEEKGNETTNSNATDTVSGVLYDMLQKEVISLRKTCHEKDQSLKDKDDAIEMLAKKADTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKEQENKAKRPGNLKGPGTTTQALPGSRNAPRGGLARNLQ >LPERR02G25930.1 pep chromosome:Lperr_V1.4:2:22103023:22112351:1 gene:LPERR02G25930 transcript:LPERR02G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCSSGSFADQAEWSVRKGGSVNVNWGPDCYTGLYAISKKKEMQEKKFVVCTMVGKTVQVSGFALHDTAAYAKDLLEQIVGTGNVYALKLRHPKKITATSRAYAIVQFQTEEHASLVKSAAQRNILRSGHYYLKVHPSDRDIVPRPRVSMFKLEDATLHFGCLLRERVLSALWSRTQVSVEFGFNLKKIHFYLHLPNSSVEYKLELSYESIWEIQLHCPPRSQVKFLLIQVQAAPKIYEPTAPRPGVMYEDPSFNFFRDYTDDQWTRTTDFTPSCRIGQSYVLCLEVPRWRDLPNIHDYFFHYKEYNHDFECRSGGCPYSSDTRFAPIVKSHGYIPYEILFKINHLVQNGTLSGPTVDDNFFRLVSPAFAPIDHIKRALEMMPYLKKTCLNPTSWISQQYSKFRRSRYIQASPNISLEDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSADIENFLRISFVDEDCEKLRATDLSPRSASGHDAKRTALYNRVLSVLSDGITIGDKKFEFLAFSSSQLRDNSAWMFASRQGLTASNIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKHEVEEIPDITNGTKHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGIVAIDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPCFLNRQLITLLSTLGVRDSVFELKQKEAVNQLNRMVTEPQAAMEAIELMPMGEITSAVKELLLCGYQPDVEPYLSMLLQTFRASKLLELKTKSRIFIPKGRAMMGCLDETRILKYEEVFIQVTSGANSNDKFVERLSLPKTLVSTQVMYGFSRLLIFLFCTTWPHPNECSGSDLDGDIYFVSWDPSLIPPRVVAPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEDLKAESSPCIKLAKLFSIAVDFPKTGVPAQIPPELHVKEYPDFMEKLDKVTYESKGIIGKLYREIKKHTPHIKHFTMEVAKWSYDTDLIVDGYEDYITEAMMLKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKNSDADAIRLAVRSLRKEARLWFSGMSSDDHRNDHDASEAKASAWYHVTYHPEYWGCYNEGYERPHLISFPWCVYEKLLRIKQRRKFVRKMQPEIPMAGSEQLQNGSYLAHWEVVSEL >LPERR02G25930.2 pep chromosome:Lperr_V1.4:2:22103023:22112266:1 gene:LPERR02G25930 transcript:LPERR02G25930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCSSGSFADQAEWSVRKGGSVNVNWGPDCYTGLYAISKKKEMQEKKFVVCTMVGKTVQVSGFALHDTAAYAKDLLEQIVGTGNVYALKLRHPKKITATSRAYAIVQFQTEEHASLVKSAAQRNILRSGHYYLKVHPSDRDIVPRPRVSMFKLEDATLHFGCLLRERVLSALWSRTQVSVEFGFNLKKIHFYLHLPNSSVEYKLELSYESIWEIQLHCPPRSQVKFLLIQVQAAPKIYEPTAPRPGVMYEDPSFNFFRDYTDDQWTRTTDFTPSCRIGQSYVLCLEVPRWRDLPNIHDYFFHYKEYNHDFECRSGGCPYSSDTRFAPIVKSHGYIPYEILFKINHLVQNGTLSGPTVDDNFFRLVSPAFAPIDHIKRALEMMPYLKKTCLNPTSWISQQYSKFRRSRYIQASPNISLEDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSADIENFLRISFVDEDCEKLRATDLSPRSASGHDAKRTALYNRVLSVLSDGITIGDKKFEFLAFSSSQLRDNSAWMFASRQGLTASNIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKHEVEEIPDITNGTKHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGIVAIDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPCFLNRQLITLLSTLGVRDSVFELKQKEAVNQLNRMVTEPQAAMEAIELMPMGEITSAVKELLLCGYQPDVEPYLSMLLQTFRASKLLELKTKSRIFIPKGRAMMGCLDETRILKYEEVFIQVTSGANSNDKFVERLSLPKTLVSTQVMYGFSRLLIFLFCTTWPHPNECSGSDLDGDIYFVSWDPSLIPPRVVAPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEDLKAESSPCIKLAKLFSIAVDFPKTGVPAQIPPELHVKEYPDFMEKLDKVTYESKGIIGKLYREIKKHTPHIKHFTMEVAKWSYDTDLIVDGYEDYITEAMMLKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKNSDADAIRLAVRSLRKEARLWFSGMSSDDHRNDHDASEAKASAWYHVTYHPEYWGCYNEGYERPHLISFPWCVYEKLLRIKQRRKFVRKMQPEVLSLYNLRI >LPERR02G25930.3 pep chromosome:Lperr_V1.4:2:22103023:22111274:1 gene:LPERR02G25930 transcript:LPERR02G25930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCSSGSFADQAEWSVRKGGSVNVNWGPDCYTGLYAISKKKEMQEKKFVVCTMVGKTVQVSGFALHDTAAYAKDLLEQIVGTGNVYALKLRHPKKITATSRAYAIVQFQTEEHASLVKSAAQRNILRSGHYYLKVHPSDRDIVPRPRVSMFKLEDATLHFGCLLRERVLSALWSRTQVSVEFGFNLKKIHFYLHLPNSSVEYKLELSYESIWEIQLHCPPRSQVKFLLIQVQAAPKIYEPTAPRPGVMYEDPSFNFFRDYTDDQWTRTTDFTPSCRIGQSYVLCLEVPRWRDLPNIHDYFFHYKEYNHDFECRSGGCPYSSDTRFAPIVKSHGYIPYEILFKINHLVQNGTLSGPTVDDNFFRLVSPAFAPIDHIKRALEMMPYLKKTCLNPTSWISQQYSKFRRSRYIQASPNISLEDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSADIENFLRISFVDEDCEKLRATDLSPRSASGHDAKRTALYNRVLSVLSDGITIGDKKFEFLAFSSSQLRDNSAWMFASRQGLTASNIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVHKHEVEEIPDITNGTKHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGIVAIDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPCFLNRQLITLLSTLGVRDSVFELKQKEAVNQLNRMVTEPQAAMEAIELMPMGEITSAVKELLLCGYQPDVEPYLSMLLQTFRASKLLELKTKSRIFIPKGRAMMGCLDETRILKYEEVFIQVTSGANSNDKFVERLSLPKTLVSTQVMYGFSRLLIFLFCTTWPHPNECSGSDLDGDIYFVSWDPSLIPPRVVAPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEDLKAESSPCIKLAKLFSIAVDFPKTGVPAQIPPELHVKEYPDFMEKLDKVTYESKGIIGKLYREIKKHTPHIKHFTMEVAKWSYDTDLIVDGYEDYITEAMMLKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKNSDADAIRLAVRSLRKEARLWFSGMSSDDHRNDHDASEAKASAWYHVTYHPEYWGCYNEGYERPHLISFPWCVYEKLLRIKQRRKFVRKMQPEVLSLYNLRI >LPERR02G25940.1 pep chromosome:Lperr_V1.4:2:22111031:22117413:-1 gene:LPERR02G25940 transcript:LPERR02G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKAVRCLGRGFDMTGDLRLKYCKGGGAGCLVERSGETAALTVPGVGVIGDVPTDVRCDKGDRVRFKSDVLEFSKMSELFNQRCLVEGKIPSGQFNASFDLDSGSWAHNMPRTKCLAMDGYFISLFDLRLDRRRLALADHVLADVPAAWDPSSITRFIEKYGTHVIVGLSMGGQDVVYVKQDKSSPLSPSEIKEHLDRLGDQLFTGICTMPPLRCKSKDKFKIPEAFNVFDAQIAQQRLHGITTLVSSKEGVTVIYSKRGGNTTLSSHSEWLLTVPAMPDVINVKLVPITSLIRGVAGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCMWAPVLGELPLGPCSNRQGSSPALHFSLLGSKLYVSSSEVIVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPTFVAAGVRSDKPPAWHGTEAVTDDRYYEPVQWRMLARVCTAPVEHEPHHRRAAACVVAGAQLHVDAATNVLHLRLLYSELPGYAVVQSRWARGATAPASTSSFLSISFSASSSGGGDGEKGGRERGASPPVSVNVNSGVFAGGPPVPVAAQKLLRFVDTSQVTMGPQDNPGYWLVTGARLDVDKGKITLHVKFSLLAPAS >LPERR02G25950.1 pep chromosome:Lperr_V1.4:2:22118846:22122193:-1 gene:LPERR02G25950 transcript:LPERR02G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRVSPSSTAAAPLRRVPVRRAAAVSVRASAGGAGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFEEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQKEAIKHRKAIRKGLESDKDWTGDGFVKETESMVSN >LPERR02G25960.1 pep chromosome:Lperr_V1.4:2:22124195:22129207:-1 gene:LPERR02G25960 transcript:LPERR02G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSTVFLLAAVACFVLAVFPPVASGDASTLESVPDLVKAMYVNVESFPCVRLLNHSDPGHDKIIAPIVRFRSINDQLIQPSAVLLPLDHITDFFLRVSNDQELYRKIAGVLVEANGMDNVLELSPDRKFPQHAFAPYSNLSHHWNPVGSGIMWNKYDFPVFLLSEESTRTLQKLADKNEKTANGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPIRNSSIKHQKPIIIVTASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDLSNLKKQLVFAVFNGEAWGYLGSRRFLQELDQGADSVSGISSLMIDQVLEIGSVGKAISQGYPLFYAHSAGNSSISKKMVDALQSASESLGSDNVKVKPAASSNPGIPPSSLMSFIGKNTSTSGLVLEDFDSQFSNRFYHSSLDDPANINSSSIAAAAALIARSLYILASADLPIDLITLNSIKVNVSLVEELIGCLLKCDPGLSCGIVKSLISPSNSCPSHYVGVFQDLPSGTQFPSYADDISRFIWNFLADRTSNLADNSSSCTGKCPDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNLWHVLPVNSSDPFSAADPVWTESFWNTIGLRVYAVQNTVYDWLVLLIGAIITAASYLAVIIGRSYISKIIKRD >LPERR02G25970.1 pep chromosome:Lperr_V1.4:2:22129400:22129618:-1 gene:LPERR02G25970 transcript:LPERR02G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFARGQPGGAWGVWRVQKLHASLPRGPTHRQSERSRCAAAAEPVALGGGSDCKEAAAKDGGDRPNQRMG >LPERR02G25980.1 pep chromosome:Lperr_V1.4:2:22129475:22136292:1 gene:LPERR02G25980 transcript:LPERR02G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDSNALILPCKRKNKAQGKAKDVKKIKEDPKMSKSKQKKLQKLEEEKQKKMLQAKSLEILRKNKIPDDAYSLLHASGTIGQAETLKEKRRHAVQLSKAGLDVPEEYSLFKKGGNLKVSESSDTSEEVCPQNFVDSAKSKDAHRKYNNDTNNYPMKPVECKAIKDIGLINQEVKTEGAVDVPNMLANQTIESCIPSHSSREIDLQDQEPGQEEGTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSNRADRKGMIGITQPRRVAVLATARRVSYELGLKLGKEKMHLKENIGGNDCRYCKFPLFPGQDNWPDKGDFMLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKNLYTEQQKNIRRGLIIDPEDMVSQLKVVLMSATLQLKEFISNRRLFDVIPPAIKVPVRQFPVTVHFSKRTHDDYLGQAYKKVMSIHKKLPPGGILVFVTGQREVEYLCKKLQRTSKQQTVKKTEKVEENGNGTSPELEENEISEAYDIDRDETEHQDDMFSSYNEDECNAGPSVDSSDIEMEPETDSDSEDYDSVAYETTEEDGPVLAFLKGSEGSSVLKASFKAISRVSGEPENVDVSNNVTILEESSPSIHCVSKCAEPRSVSLGKLRVLPLYAMLSASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHSTGMASYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKKIPVDGVVLMLKFMGIDKVANFPFPTPPDKESLGEAEHCLKVLEALDSEDKPTPMGKAMAQYPMSPRHSRLLLTVIKILKSQRGFSRSNFILGYAAAAAAALSFTNPFLMQNELSGESKEDKSEPEDRDRQERKRQKKLKALVREAHAKFSNPSSDALTISRALQLFELSENPVEFCTANSLHLKTMEEMSKLRKQLLRLIFHHSKLCEEFSWKFGGFEDVEEAWKYESDKKPMQLNEEELLGQGICAGWADRVAKRVRVSGSSKDDKKVRAVHYQSCALNDTIYLHRSSSVAQIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLVDPKPYYDPKKDQVYCYVSPNFSRHNWQLPLHSLPIKDGTCRLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIDKSKNMMKIGSKLIDSRAALRAAWNVDPDFLYPEIKAWIQDRFHSQFGAIWEQMHQEVVLQCDELFPKRYKKAKGNRF >LPERR02G25990.1 pep chromosome:Lperr_V1.4:2:22136065:22136268:-1 gene:LPERR02G25990 transcript:LPERR02G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGGGSRPPPAGVHRRYIPKRGSVLKGIVRRMLGLFVFFLPQDGGGGGRVSQAPPPEDGGGELGK >LPERR02G26000.1 pep chromosome:Lperr_V1.4:2:22138842:22139030:-1 gene:LPERR02G26000 transcript:LPERR02G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCFGGQVRGAAAAAKSSPAPAPTTTHPRYVPQRGVVLRSALRAFFCCFSSGSAKTRPLPR >LPERR02G26010.1 pep chromosome:Lperr_V1.4:2:22144718:22144951:1 gene:LPERR02G26010 transcript:LPERR02G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRKLVLSVIVMAMVFLAVSSTTAARPLTGQEWAGEGTAGDDDSSVIRFLRQLYLHRLAGRPGHSCKTYSPNGGC >LPERR02G26020.1 pep chromosome:Lperr_V1.4:2:22148539:22148766:1 gene:LPERR02G26020 transcript:LPERR02G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKMFVLPLMMAVLMLLVVSGSARPLGGDKWTGEDTSGDHPLIQFFQHLYMQQLAHPGPSCQTYGPNNPTCHP >LPERR02G26030.1 pep chromosome:Lperr_V1.4:2:22165286:22166203:-1 gene:LPERR02G26030 transcript:LPERR02G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPPAARARALTKESERNRRCLAAAGAARALSFAFSRLGLAAAGEILPSLVVFFPLDEESRRRIVSSPPSLDALVTAASSRGVGENAARVSAVVVLREIASSSDAQCIEAMSKADAMYDALVDLVARPVSPQATKAALVTAYYLATNAEHAAARFVELGVVELLVELLAGADKGTAEKALAVLDTALATGAGRDRAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPPAADGGGGCKAEALQVGAFQKLLLILQLGCDDVTKERASELLRLLNASRDNLECIETADFKGLKRPFI >LPERR02G26040.1 pep chromosome:Lperr_V1.4:2:22166243:22166692:-1 gene:LPERR02G26040 transcript:LPERR02G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPMPRTRARARAAAGRLAVSEIPLAVRRSSSRHSGDVPDHFLCPISLDMMRDPVAAPTGITYDRGSIEAWLDRGRATCPVTGAALRADDLVPNHVTRRMIQEWCVVNRPAADRVSTPRVPVSAADAREVFDAVLAAARRGNAAXMQW >LPERR02G26050.1 pep chromosome:Lperr_V1.4:2:22176420:22182202:1 gene:LPERR02G26050 transcript:LPERR02G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPRRSGWMPRRLGWREVASAAFLLAAVTASAAFHWHMRQETMEKAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKSPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFESQQGWIMKTMKREAAPPQDEYAPVIFSQDSISYLSRIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNGSEPMVMYGPQSPDGKVSLFHVSTLDFGDPFRRHEMRCRYKQKPPLPWSAITNPLGTFVILMLIGYIAHAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLADNSNVGANQVLNGTMNGKDGKVSTTANGTFNTLSGFQAADERNNWDYFKLLLSDKEPPMDELECEKSCQNDCDRVNLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPSVGSTFTFSAVLKRSCKDTLSDTKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVVQVVNSLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPQTDILLLNHLHELKNNGLVHELPTLVLLVTSEADKDRYGSTFDIVMCKPIRASTIASCLQQLLKVVMPERKENQNRPSFLRSLLVGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAISLLKQQHCFDACFMDVQMPEMDGFEATRQIRQMELKANEERKNALASMDGSTFVEFHLPVLAMTADVIQATYEECVKSGMDGYVSKPFDEEQLYQAVSRLVVGTTESAV >LPERR02G26050.2 pep chromosome:Lperr_V1.4:2:22176420:22182146:1 gene:LPERR02G26050 transcript:LPERR02G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPRRSGWMPRRLGWREVASAAFLLAAVTASAAFHWHMRQETMEKAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKSPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFESQQGWIMKTMKREAAPPQDEYAPVIFSQDSISYLSRIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNGSEPMVMYGPQSPDGKVSLFHVSTLDFGDPFRRHEMRCRYKQKPPLPWSAITNPLGTFVILMLIGYIAHAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLADNSNVGANQVLNGTMNGKDGKVSTTANGTFNTLSGFQAADERNNWDYFKLLLSDKEPPMDELECEKSCQNDCDRVNLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPSVGSTFTFSAVLKRSCKDTLSDTKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVVQVVNSLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPQTDILLLNHLHELKNNGLVHELPTLVLLVTSEADKDRYGSTFDIVMCKPIRASTIASCLQQLLKVVMPERKENQNRPSFLRSLLVGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAISLLKQQHCFDACFMDVQMPEMDGFEATRQIRQMELKANEERKNALASMDGSTFVEFHLPVLAMTADVIQATYEECVKSGMDGYVSKPFDEEQLYQAVSRLVVGTTESAV >LPERR02G26060.1 pep chromosome:Lperr_V1.4:2:22192914:22197528:1 gene:LPERR02G26060 transcript:LPERR02G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVDRVRALCQQQPSATRPRPQPIRCTRTRARSRRGQNLLREAYLLLKLRFFLARARASPNTMERVHHTHNPIILQLQRRLIFPRVSSRSQPLHTRGVLYFSRGRGGAVPIQRARARLGFVHPGGYKRCLRLALGLKKTARRPSVRHAARGRSRLRSTRSSSSSSLALDTQQAEAINHMRAAAAAQGQRPHAKGTTPSSSGPRAMRARAAAALLLLLLVAVGVGASVAEAKAQHGHNYEDALRKSLLYFEAQRSGRLPHSQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGEDVEAAGELAHALESIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYAHLLLHHAQQLLESWWLACGAKEPARNLTKERKGRLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKTQYLDYVVDKADCFGGTGWAITEFSWDVKYAGVQILAARLLSRGEHEARHRSTLEQYRAKAEHYVCGCLGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYMRDAGVETVSCAGGETADAREVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGVFAKLNRMAREEREQEEVAAPARSTATLTAADV >LPERR02G26060.2 pep chromosome:Lperr_V1.4:2:22192914:22197528:1 gene:LPERR02G26060 transcript:LPERR02G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVDRVRALCQQQPSATRPRPQPIRCTRTRARSRRGQNLLREAYLLLKLRFFLARARASPNTMERVHHTHNPIILQLQRRLIFPRVSSRSQPLHTRGVLYFSRGRGGAVPIQRARARLGFVHPGGYKRCLRLALGLKKTARRPSVRHAARGRSRLRSTRSSSSSSLALDTQQAEAINHMRAAAAAQGQRPHAKGTTPSSSGPRAMRARAAAALLLLLLVAVGVGASVAEAKAQHGHNYEDALRKSLLYFEAQRSGRLPHSQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGEDVEAAGELAHALESIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKTQYLDYVVDKADCFGGTGWAITEFSWDVKYAGVQILAARLLSRGEHEARHRSTLEQYRAKAEHYVCGCLGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYMRDAGVETVSCAGGETADAREVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGVFAKLNRMAREEREQEEVAAPARSTATLTAADV >LPERR02G26070.1 pep chromosome:Lperr_V1.4:2:22198401:22206832:-1 gene:LPERR02G26070 transcript:LPERR02G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLSESMRQAASLLADDDPSDDAAPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSTKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRSRSDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTIRKRMKIRLPNLLSGLQGKSQIVQDELARLGEQMVSSAEGTRAVALELCREFEDKFLSHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIEIATNALDVFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVRNRSSKKAQDAEKVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEDVSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKAHSAVILKAESMADKIEWMKKIKGVIQSKGGSVKGSNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISAQTNAKIEELLQEDHNAKRRREKAQKQSSLLSKLTRQLSVHDNRASVASYSNDSSGAESSPRTPGQSGEDWRSAFDSAANGSAANGSHNETRSRSADSRGRRYENGDVNGANSGSRRTPNRLPPAPPGQKY >LPERR02G26070.2 pep chromosome:Lperr_V1.4:2:22198401:22206832:-1 gene:LPERR02G26070 transcript:LPERR02G26070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLSESMRQAASLLADDDPSDDAAPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSTKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRSRSDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTIRKRMKIRLPNLLSGLQGKSQIVQDELARLGEQMVSSAEGTRAVALELCREFEDKFLSHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIEIATNALDVFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVRNRSSKKAQDAEKGSGPQTGSEQSGGALKSFKDKFSLQDKDKDSKDKDKDKDKDKDKDTKEGSNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEDVSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKAHSAVILKAESMADKIEWMKKIKGVIQSKGGSVKGSNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISAQTNAKIEELLQEDHNAKRRREKAQKQSSLLSKLTRQLSVHDNRASVASYSNDSSGAESSPRTPGQSGEDWRSAFDSAANGSAANGSHNETRSRSADSRGRRYENGDVNGANSGSRRTPNRLPPAPPGQKY >LPERR02G26070.3 pep chromosome:Lperr_V1.4:2:22198401:22206832:-1 gene:LPERR02G26070 transcript:LPERR02G26070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLSESMRQAASLLADDDPSDDAAPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSTKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRSRSDEIYLKLRTSTGLLLDMDCTLYSYSLRNVAEPISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTIRKRMKIRLPNLLSGLQGKSQIVQDELARLGEQMVSSAEGTRAVALELCREFEDKFLSHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIEIATNALDVFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVRNRSSKKAQDAEKGSGPQTGSEQSGGALKSFKDKFSLQDKDKDSKDKDKDKDKDKDKDTKEGSNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEDVSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKAHSAVILKAESMADKIEWMKKIKGVIQSKGGSVKGSNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISAQTNAKIEELLQEDHNAKRRREKAQKQSSLLSKLTRQLSVHDNRASVASYSNDSSGAESSPRTPGQSGEDWRSAFDSAANGSAANGSHNETRSRSADSRGRRYENGDVNGANSGSRRTPNRLPPAPPGQKY >LPERR02G26080.1 pep chromosome:Lperr_V1.4:2:22209271:22222112:1 gene:LPERR02G26080 transcript:LPERR02G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLFPLPSSASRHALPQTPRAPPRLLPTQPRAAPLRLPSARSPSRAAAPVSDDDDEEDEEEDDDDEIDIRDADEEYDYEDDEREEGDEEVDEESGGEDEEEGGDGEEEEEEEETAARRRQSEEYKSQRVGKLVAEVREFGEDVIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRLSHFQNLSSPKGEFYYVRGKRKLRTNKSEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVIASLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTIGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKEPDDVKLKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKHMRNELKKKMEIERMAAWKTRLEEFESGHLPFMCLQYKDKDSIQHTIPAVFIGSLSSFADQKIVSLILLQVEDDSLVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGAPLPRETLKQLLLREDMMWDKFAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEEDEVERFSQEHQDAVEFYKQQRRKVSQLKKTIRSTKGFKEFEKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSNYVYEPSSVVTGVINYLEEQRNSLVDLQEKHGVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAKIACNIMDRVPISELAG >LPERR02G26080.2 pep chromosome:Lperr_V1.4:2:22209271:22222112:1 gene:LPERR02G26080 transcript:LPERR02G26080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLFPLPSSASRHALPQTPRAPPRLLPTQPRAAPLRLPSARSPSRAAAPVSDDDDEEDEEEDDDDEIDIRDADEEYDYEDDEREEGDEEVDEESGGEDEEEGGDGEEEEEEEETAARRRQSEEYKSQRVGKLVAEVREFGEDVIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRLSHFQNLSSPKGEFYYVRGKRKLRTNKSEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVIASLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTIGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKEPDDVKLKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKHMRNELKKKMEIERMAAWKTRLEEFESGHLPFMCLQYKDKDSIQHTIPAVFIGSLSSFADQKIVSLVEDDSLVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGAPLPRETLKQLLLREDMMWDKFAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEEDEVERFSQEHQDAVEFYKQQRRKVSQLKKTIRSTKGFKEFEKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSNYVYEPSSVVTGVINYLEEQRNSLVDLQEKHGVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAKIACNIMDRVPISELAG >LPERR02G26080.3 pep chromosome:Lperr_V1.4:2:22209271:22222058:1 gene:LPERR02G26080 transcript:LPERR02G26080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLFPLPSSASRHALPQTPRAPPRLLPTQPRAAPLRLPSARSPSRAAAPVSDDDDEEDEEEDDDDEIDIRDADEEYDYEDDEREEGDEEVDEESGGEDEEEGGDGEEEEEEEETAARRRQSEEYKSQRVGKLVAEVREFGEDVIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRLSHFQNLSSPKGEFYYVRGKRKLRTNKSEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVIASLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTIGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKEPDDVKLKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKHMRNELKKKMEIERMAAWKTRLEEFESGHLPFMCLQYKDKDSIQHTIPAVFIGSLSSFADQKIVSLVEDDSLVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGAPLPRETLKQLLLREDMMWDKFAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEEDEVERFSQEHQDAVEFYKQQRRKVSQLKKTIRSTKGFKEFEKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSNYVYEPSSVVTGVINYLEEQRNSLVDLQEKHGVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAKIACNIMDRPPVILSQTME >LPERR02G26080.4 pep chromosome:Lperr_V1.4:2:22209271:22220930:1 gene:LPERR02G26080 transcript:LPERR02G26080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLFPLPSSASRHALPQTPRAPPRLLPTQPRAAPLRLPSARSPSRAAAPVSDDDDEEDEEEDDDDEIDIRDADEEYDYEDDEREEGDEEVDEESGGEDEEEGGDGEEEEEEEETAARRRQSEEYKSQRVGKLVAEVREFGEDVIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRLSHFQNLSSPKGEFYYVRGKRKLRTNKSEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVIASLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTIGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKEPDDVKLKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKHMRNELKKKMEIERMAAWKTRLEEFESGHLPFMCLQYKDKDSIQHTIPAVFIGSLSSFADQKIVSLVEDDSLVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGAPLPRETLKQLLLREDMMWDKFAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEEDEVERFSQEHQDAVEFYKQQRRKVSQLKKTIRSTKGFKEFEKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSNYVYEPSSVVTGVINYLEEQRNSLVDLQEKHGVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAKIACNIMDRVPISELAG >LPERR02G26090.1 pep chromosome:Lperr_V1.4:2:22219193:22223182:-1 gene:LPERR02G26090 transcript:LPERR02G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPIPLLLFVVIVAGVALLCPLAAAQGQNIQTRFPSTRTPAFATPPPVTSPSPPPPPGTTSPLTPSPPSSSSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKKELTADAGDSNGHYGSAGAFAGKRPEREPKRPARGRNNDMVDENGLDAIYWREFEKDGDGGGGGGGRGRKPPSGSRRPPQPPPPRPYLAERRQQVQESSAPSPPRSRKSRIDQEPLIPRGSLDSASAEFNDSLHAPSAGSSSSFSVAAAEAYARPPSSSPAIAAVSSVPRPSPPPPAPPAARPIASPSLPPPPGKSSPPPPPPSIGPSPPPPPPPGGKRGGPPPPPPKGGASSSRPPAAPGMPTAEQQAKLKPLHWDKVNVAATDHSMVWDKITGGSFNLDEGIIEALFGTAAANRKTKSADSKDPAGGSSSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKDEENTLLKFSGNPDRLAPAESFLLRLLLDVPSPFARVNALLFKANYAAEVALLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRAEGKRLAINRNYSLRRSGSLAKSTDSGNPTAGSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDAVVSECAILSRRLADTKKLLDTYGDDGLARGLRGFVKAAEQELKELGRDQEKVLELVQRTTEYYHAGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPPPLPSSSQPAATPAATKGTADDSPAPTQKPPEEADSKRKRVMPRFPNLPAHFMKDNADSDSSSDDE >LPERR02G26100.1 pep chromosome:Lperr_V1.4:2:22226502:22233029:1 gene:LPERR02G26100 transcript:LPERR02G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCHGLYKMVCASADECEETRTEYSTCTGGSACVLYMCVCAALTRSTIHMHVCATLGASRAASYLACGLVAQETSRAGGPSAGDLCLREAIARARRARDRRDWQAGPTRELRTRAGSRATVRTRVSRRFAWSQGARGLAETARARIDRRIDDAWPDRTAGVRARRGVRRGFSADGCGSAEAAVWAATWLDLGRPDLIQRLKLLQDYGFALGAFWDFPMRSWLPPLVIKWGSWLRDKVYFWENYLRDHLERF >LPERR02G26110.1 pep chromosome:Lperr_V1.4:2:22236400:22237536:-1 gene:LPERR02G26110 transcript:LPERR02G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANPAMWAAGLVVVVMAAMMVGAEAALPRFAEAPEYRNGEGCPAAVEGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPDSIFFHFLAADDDGAGGEGAAAPGIEELRSVLAASFPSLRFEIYPFRADAVTSLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIEVWMEMQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASAPSLTALSSSALPAAAFSW >LPERR02G26120.1 pep chromosome:Lperr_V1.4:2:22246746:22250028:-1 gene:LPERR02G26120 transcript:LPERR02G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLRRIPAARAPAAAALIGARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRKDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILGHDLATPAELKDMEKEIRKEVDDAIAKAKESSMPDTSELFTNVYVKGFGVESFGADRKELRATLP >LPERR02G26130.1 pep chromosome:Lperr_V1.4:2:22251455:22254582:-1 gene:LPERR02G26130 transcript:LPERR02G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVMDAAPAPLSSMTDVAEAEAVPALPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAAKRLGVERRRIYDIVNVLESVGILMRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSSALMSDDEDEDKMGDVDGDTESEKLSQPFDNPSEKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAIPPPGKTMSNKRVFGTELTNININQSKLDSAIPKKPKLAQSGGDILKNCKLSVQRQLGQGAQGDFVYGPFHPAGARKQELNNGNKGQQDSVRDWESLAASFRPQYQNQALCDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMPVTNQFL >LPERR02G26140.1 pep chromosome:Lperr_V1.4:2:22257468:22260519:-1 gene:LPERR02G26140 transcript:LPERR02G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAARVRGGGEPLKQRVNRCLLKLSDRDTEAMAAAELDAIARALATDELGAFVSADQCAQMAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGASEVTASVPYLRDTIASDDWAARKAAAEALAALALEHKDLLVSYKSSCITMFEARRFDKVKIVRDSMNRMIDAWKEIPDVEEDECSSGAPPASQSQRRSSLGGSASDGRYPVASATRRHSLPASRSPPPDASPSVNKRHSSSSARNKKHSPPSHHKASQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVGGLKAGSRVVPYVEGGNMEEISEAGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDAGRYSSRFSVSDGRNSSEGSRTSHKWERQKFGLQGGFVTNPLAEPNISSAARTVTAQEGRRRDLTLPKSR >LPERR02G26150.1 pep chromosome:Lperr_V1.4:2:22269952:22277898:-1 gene:LPERR02G26150 transcript:LPERR02G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQRVMVILVAFVLIAGCVHISAAATGEREEVHLVPAVYVFGDSTVDVGNNQYLPGRSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLVRGFRGANYASGGSGILDTTGTNVITLRKQIEYFAATKSKMTSAANSSSAAAAINDLLSKSLFLISDGGNDLFAFLSQNSNGTASQQQAVASLYADMLSNYTRHVQALYALGARRFGLIDVPPIGCVPSVRAASLSGAAGCVDAANQLASGFNAQLRSLMSRLGGAMPAMRYSVGSSYNVVAYLTASPAAAGMRVVNSACCGGGRLNGEIGCGAANSTLCGDRNEYLFWDGVHGTQATSRKGAVAIYSAPVQMGFASPINFKQLLLGRGDPTRSFEIANPSTLPRRRIPPSPNHGMAMLLRRRLPLVRLLRPLHTEAAASATSSPPLQSRPAAAAPSVARGSRLGFLRGAPTASAREGSASTTSAFLAAGAAAALASMPVVAYADASEVGTVHTAASSDAAAGEDLAQKERKRIMELIQSRGMPRGSYPQFSVAVKGQKVVVKFNVPSTCNISHLIVDLVTHIGLEAEHGGGSEMLLRAWDSAAARQITLNPHKKTANNESDNEDDLCVLIFEPLVGSEYSEIEFIKRGGFSLKELEALICVLKLVGHGKGNKSNRKGNGQRSKRVPSMEKIVSDLEGMGVRVYGFDETSSIPMDGSGNVMWENIAGYDSQKREIEDTILLALQSPEVYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANNLPDGSIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQNRAEIAAQYAKHLTAPELIQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNEKGEPSLPPVEEYVSCSEQRRRSLPDRTRQKSKSPALKLA >LPERR02G26150.2 pep chromosome:Lperr_V1.4:2:22269952:22277898:-1 gene:LPERR02G26150 transcript:LPERR02G26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQRVMVILVAFVLIAGCVHISAAATGEREEVHLVPAVYVFGDSTVDVGNNQYLPGRSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLVRGFRGANYASGGSGILDTTGTNVITLRKQIEYFAATKSKMTSAANSSSAAAAINDLLSKSLFLISDGGNDLFAFLSQNSNGTASQQQAVASLYADMLSNYTRHVQALYALGARRFGLIDVPPIGCVPSVRAASLSGAAGCVDAANQLASGFNAQLRSLMSRLGGAMPAMRYSVGSSYNVVAYLTASPAAAGMRVVNSACCGGGRLNGEIGCGAANSTLCGDRNEYLFWDGVHGTQATSRKGAVAIYSAPVQMGFASPINFKQLLLGRGDPTRSFEIANPSTLPRRRIPPSPNHGMAMLLRRRLPLVRLLRPLHTEAAASATSSPPLQSRPAAAAPSVARGSRLGFLRGAPTASAREGSASTTSAFLAAGAAAALASMPVVAYADASEVGTVHTAASSDAAAGEDLAQKERKRIMELIQSRGMPRGSYPQFSVAVKGQKVVVKFNVPSTCNISHLIVDLVTHIGLEAEHGGGSEMLLRAWDSAAARQITLNPHKKTANNESDNEDDLCVLIFEPLVGSEYSVSSYEIEFIKRGGFSLKELEALICVLKLVGHGKGNKSNRKGNGQRSKRVPSMEKIVSDLEGMGVRVYGFDETSSIPMDGSGNVMWENIAGYDSQKREIEDTILLALQSPEVYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANNLPDGSIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQNRAEIAAQYAKHLTAPELIQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNEKGEPSLPPVEEYVSCSEQRRRSLPDRTRQKSKSPALKLA >LPERR02G26150.3 pep chromosome:Lperr_V1.4:2:22269952:22277898:-1 gene:LPERR02G26150 transcript:LPERR02G26150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQRVMVILVAFVLIAGCVHISAAATGEREEVHLVPAVYVFGDSTVDVGNNQYLPGRSPLQLPYGIDFPHSRPTGRFSNGYNVADFIDEPSARERLPWCQLCFWRIGHSRYHVHTAASSDAAAGEDLAQKERKRIMELIQSRGMPRGSYPQFSVAVKGQKVVVKFNVPSTCNISHLIVDLVTHIGLEAEHGGGSEMLLRAWDSAAARQITLNPHKKTANNESDNEDDLCVLIFEPLVGSEYSEIEFIKRGGFSLKELEALICVLKLVGHGKGNKSNRKGNGQRSKRVPSMEKIVSDLEGMGVRVYGFDETSSIPMDGSGNVMWENIAGYDSQKREIEDTILLALQSPEVYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANNLPDGSIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQNRAEIAAQYAKHLTAPELIQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNEKGEPSLPPVEEYVSCSEQRRRSLPDRTRQKSKSPALKLA >LPERR02G26160.1 pep chromosome:Lperr_V1.4:2:22276122:22280491:1 gene:LPERR02G26160 transcript:LPERR02G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPFCSKHLSHRGIENARSSRSIIGTTEASHELTARLRRQRQPLLNLPVGLECGKSMPYGSCSGDLPGRYWLFPTSTVESPNTYTAGTRCTSSLSPVAAAEICTQPAMRTNATSITITLCLIMAPAASYVPG >LPERR02G26170.1 pep chromosome:Lperr_V1.4:2:22284842:22286381:1 gene:LPERR02G26170 transcript:LPERR02G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILVHGQFSYPSRVLVGKSCAMPALCNNVLLPRFLSTEKDENTVTTEIGDKARSTAEQFLRVAKEIGDKTDDVSESAKEKLHETKEAVVGESGNEKKEKFKQRVEEGRMNKYNEPSWELRKYIEKEPNNAYTGKWDWVNYTSAST >LPERR02G26180.1 pep chromosome:Lperr_V1.4:2:22294506:22294775:-1 gene:LPERR02G26180 transcript:LPERR02G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSFGTSWADQWDYGGDVSPRAARRDGGKKQGGVEKTKAAAATGLKKVKEGTAHGFQWIKDKCQKKNGGGKKQQQQRDEESGIAGF >LPERR02G26190.1 pep chromosome:Lperr_V1.4:2:22297538:22298647:-1 gene:LPERR02G26190 transcript:LPERR02G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTLPRLVLPLVVFVVFSPVTVMAFPMGLPATASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPPSSPYSDAFDEDLEAAIATYQRNFGLNATGVLDTSTVDQMVAPRCGVADVINGTSSMDRNSSAAAIRGRHLYSYFPGGPMWPPFRRSLRYAITSTSATSIDRATLSAVFARAFSRWADATRLQFTEVASASDADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAETWVVNGASSSRSGGVAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGTRKVDLESDDVLGIQSLYGTNPNFKGVTPTSPSTSSREMDGSAAAGVIRPWSGFVGLVVAAVVLLLAP >LPERR02G26200.1 pep chromosome:Lperr_V1.4:2:22301997:22303274:1 gene:LPERR02G26200 transcript:LPERR02G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAGEQEESQIQHEAAEDSTLSQAMSLASQPSIRSLPSLDVHDLTTSPSLHQCIATIKPHSSSSSSYVSALAVDGDSLYIASSDGRIRLRQLDNARRIHEEHQDDDRSCSSASTTVADTGSSVKSLLATAGFLLSSHQDGKIRAWRVGGSSRRQQQQQLVLRAVLPTAVDRLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRTLKVWRIPDFRCVESIASAHDDAINALAVSPVDGRMYTGSADKKIKAWARGVVGTGKPKKRHALVGTMERHRSAVNALALGADGRILYSGACDRFVVVWEMNGGRMEATGTLRGHGRAILCLAASGDVVCSGSADRTVRVWRRGAGNGYTCLAVMESHGAAVKSLALVCGRDDESTCSSEGSTAVVCSGSLDGDVKIWRLFIPCL >LPERR02G26210.1 pep chromosome:Lperr_V1.4:2:22310657:22311361:1 gene:LPERR02G26210 transcript:LPERR02G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVSVRRFTGVMGEPAVEEGSITEPMAEMALGEGTMTKTKRDGVKAKGKAALPAALQLRPLKGPVVAGGGKGLSAEAAADAAKIAGGEGEQKLSVKVPMPEDYILTIMSLKREPSLSHLDHLLPEKSEEETRFAARYKKVFDKLEKMQAEIREGIDENGCYLVDESYLVESAACQAKIKEEWAKLDWEGSGIEFGEWDYSDPQCVKYL >LPERR02G26220.1 pep chromosome:Lperr_V1.4:2:22317195:22321800:1 gene:LPERR02G26220 transcript:LPERR02G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKSEKGNSAAGAAAGAGVAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEIAQLELGQSVCRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSAKNGKVTSAGMFFLGFPVYRFEQNNSVAAAKDPDGAFFKRLDGFQPCEVNELKAGTHFFAVYGDNFFKSAAYTIEVVCAEPFSTEKEKLRSVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNAIHASYTNNPTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSTEGSRSDDDGPRKEKKHKERLRKKKWFNIPLKTREDPAEEGIPYNFVLPSKWEP >LPERR02G26240.1 pep chromosome:Lperr_V1.4:2:22324732:22333015:1 gene:LPERR02G26240 transcript:LPERR02G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALISTSECCVLLIAISWKQPTVHSGSNSPGPDPHPTPSSRSTPPRRAKPSDSMRSPPRPRHPAPARLAAVALLLAALAGGAAAAAVAAGDGYGRGRRLYMRNKVLEMFYHAYDNYMIYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFQRGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEYISHLKDGLYQDQLLHLAENLGRRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVVTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHPAYLAVQKYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGILHPTEKYYPLRPEIAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETNQNYIFTTEGHPLPIRSTWHEKIPTTHVPSNWTFFKDDSQPIRVSALSSQVCPETIFRQSVGSPWESACHIPDVFSTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >LPERR02G26240.2 pep chromosome:Lperr_V1.4:2:22324732:22333015:1 gene:LPERR02G26240 transcript:LPERR02G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALISTSECCVLLIAISWKQPTVHSGSNSPGPDPHPTPSSRSTPPRRAKPSDSMRSPPRPRHPAPARLAAVALLLAALAGGAAAAAVAAGDGYGRGRRLYMRNKVLEMFYHAYDNYMIYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFQRGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEYISHLKDGLYQDQLLHLAENLGRRSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVVTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHPAYLAVQKYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGILHPTEKYYPLRPEIAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETNQNYIFTTEGHPLPIRSTWHEKIPTTHVPSNWTFFKDDSQPIRVSALSSQVCPETIFRQSVGSPWESACHIPDVFSTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >LPERR02G26240.3 pep chromosome:Lperr_V1.4:2:22324732:22333015:1 gene:LPERR02G26240 transcript:LPERR02G26240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATALISTSECCVLLIAISWKQPTVHSGSNSPGPDPHPTPSSRSTPPRRAKPSDSMRSPPRPRHPAPARLAAVALLLAALAGGAAAAAVAAGDGYGRGRRLYMRNKVLEMFYHAYDNYMIYAFPHDELKPLTKSFTDSLSELGNLNCNIRLLGGLISGHILAKEYISHLKDGLYQDQLLHLAENLGRRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVVTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHPAYLAVQKYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGILHPTEKYYPLRPEIAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETNQNYIFTTEGHPLPIRSTWHEKIPTTHVPSNWTFFKDDSQPIRVSALSSQVCPETIFRQSVGSPWESACHIPDVFSTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >LPERR02G26250.1 pep chromosome:Lperr_V1.4:2:22331353:22354486:-1 gene:LPERR02G26250 transcript:LPERR02G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAEIAAEQNCALLEQRYATLSAEADRHQAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISELHKSKCQSLEIIEQRDAEIREKDGIIQNYHDKIVNLADSSAGKGARIQEVEAKLTHLQATCNRITQEKELLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKIAELEREASESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLVELHKESSEEWSKKAGELEGVIKALETHLAQVEDECKEKLEKETSAKKDLEKEATYLKQKLEKCESDLENTRKSTELSFPPLIAADPCEAGSALKEMPFSDAANQNDLMIVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHGRMVEAYTLMDQKLQQALLEHDNFENTIRNLKSELKRRERDNSIAQKEIDDLQKQVTVLLKECQDIQLRSGSSLPDVGHGALSTSSSIGMSDVENSIHEHMSFNDINGLVKQNVQLRNQVHSLSADLDKRDMELRVAMYRKLCEEQQKSRSNVEYISNNLQDDGRKDLMVLFEGSQEVSKKAYEQVSERAKRLDEELTKLRTELLSLRSERDKAVLEAEFARDRLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLSVEMSILKNEKDILAKSEKRALDEVHDLTGRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKSAFEECLKQVDDMRKELQSSWKAATDAESRAAVAEAKCSDFEAKLKSRKTIFKDGGRDILSATEDNDELFQLKEELEKYKEEAQANKNYMLQYKEIANSNESALKQMESALQDYKTESEAMKKSLEDEITKLRSKLSELEKCYVMKCEEAASAIEAKEKDTTSLMNEISNMRSEVSEKVLQIEKLEIELASSKNALDEQHKRWRSAQDNYERQVILQSETIQELTSTSKQLSSLQQDIIVLQQTVEAQKAENDALRTLGEQEKIELVKGKDEALQKYNELNDQNKILLDQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQKFRDEAQKAKMEAERLHNLLLEKQVDGDICKKEIQMQKTEIANLNQKISELVENSKGVDLNTYETMKDELQNIKSTLRENSAELERAKKLLSEKDAIVRNLEEKLAGCQSELDAREKKLNDVEAALKSETEKHRKSIMNNRVMQRKADIALKEKEDLIREKQSLLKQLEDLKSSQKTTPDNSNEQAIKEKDFRIQTLEKILEKERDDNKKEKAFRKRAEKEKKQVEESIEKHRQAVKEAIEHYSGISSQMPSGSAIDEQIRSYFLTIKTIEESPSPFQDDTTNLTPAVESAIVDASAAAAGKQVATPPRSAQVKVMEQRAVSTLPKPSTEVRRPGGRRPLIRPSLERVEEHQADMDTTVAEGSTEKSGLERETSGGVSALPPSSRKRLIPSPQIRDEASQGEITDANPPLKKPKEGSSQGTIELKTEQSPPEDVTAQVPVLPSTDDQDEQQPGEEMDTDQASLPIEEVEETREDDLGDKDDMEPHMDASMDIQGQDAETAIDNDTTTVEDVPVKSETVLESFEEDPKTDVKEEGQFITTTDVEDEREEGELPEEPEHPDSIPPVLDVGEQAGDSFRAASPAGLTEKSDVDMPEETGEGDGTTEPDQSPLSQPGGADASPSKIADASPAREPSPNPNPTPTPVPVQAGASSEQQNPATAAEGVDTRTRTINLTERARQNRQNRILRSTTQQTGRGRGQQSLTYRMVAEDLGVVVGVVSRRELGLSFLKCG >LPERR02G26250.2 pep chromosome:Lperr_V1.4:2:22331353:22354486:-1 gene:LPERR02G26250 transcript:LPERR02G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAEIAAEQNCALLEQRYATLSAEADRHQAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISELHKSKCQSLEIIEQRDAEIREKDGIIQNYHDKIVNLADSSAGKGARIQEVEAKLTHLQATCNRITQEKELLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKIAELEREASESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLVELHKESSEEWSKKAGELEGVIKALETHLAQVEDECKEKLEKETSAKKDLEKEATYLKQKLEKCESDLENTRKSTELSFPPLIAADPCEAGSALKEMPFSDAANQNDLMIVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHGRMVEAYTLMDQKLQQALLEHDNFENTIRNLKSELKRRERDNSIAQKEIDDLQKQVTVLLKECQDIQLRSGSSLPDVGHGALSTSSSIGMSDVENSIHEHMSFNDINGLVKQNVQLRNQVHSLSADLDKRDMELRVAMYRKLCEEQQKSRSNVEYISNNLQDDGRKDLMVLFEGSQEVSKKAYEQVSERAKRLDEELTKLRTELLSLRSERDKAVLEAEFARDRLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLSVEMSILKNEKDILAKSEKRALDEVHDLTGRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKSAFEECLKQVDDMRKELQSSWKAATDAESRAAVAEAKCSDFEAKLKSRKTIFKDGGRDILSATEDNDELFQLKEELEKYKEEAQANKNYMLQYKEIANSNESALKQMESALQDYKTESEAMKKSLEDEITKLRSKLSELEKCYVMKCEEAASAIEAKEKDTTSLMNEISNMRSEVSEKVLQIEKLEIELASSKNALDEQHKRWRSAQDNYERQVILQSETIQELTSTSKQLSSLQQDIIVLQQTVEAQKAENDALRTLGEQEKIELVKGKDEALQKYNELNDQNKILLDQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQKFRDEAQKAKMEAERLHNLLLEKQVDGDICKKEIQMQKTEIANLNQKISELVENSKGVDLNTYETMKDELQNIKSTLRENSAELERAKKLLSEKDAIVRNLEEKLAGCQSELDAREKKLNDVEAALKSETEKHRKSIMNNRVMQRKADIALKEKEDLIREKQSLLKQLEDLKSSQKTTPDNSNEQAIKEKDFRIQKERDDNKKEKAFRKRAEKEKKQVEESIEKHRQAVKEAIEHYSGISSQMPSGSAIDEQIRSYFLTIKTIEESPSPFQDDTTNLTPAVESAIVDASAAAAGKQVATPPRSAQVKVMEQRAVSTLPKPSTEVRRPGGRRPLIRPSLERVEEHQADMDTTVAEGSTEKSGLERETSGGVSALPPSSRKRLIPSPQIRDEASQGEITDANPPLKKPKEGSSQGTIELKTEQSPPEDVTAQVPVLPSTDDQDEQQPGEEMDTDQASLPIEEVEETREDDLGDKDDMEPHMDASMDIQGQDAETAIDNDTTTVEDVPVKSETVLESFEEDPKTDVKEEGQFITTTDVEDEREEGELPEEPEHPDSIPPVLDVGEQAGDSFRAASPAGLTEKSDVDMPEETGEGDGTTEPDQSPLSQPGGADASPSKIADASPAREPSPNPVQAGAPSEQQNTSPNPVRAGAPSEQQNPTPTPVPVQAGASSEQQNPATAAEGVDTRTRTINLTERARQNRQNRILRSTTQQTGRGRGQQSLTYRMVAEDLGVVVGVVSRRELGLSFLKCG >LPERR02G26250.3 pep chromosome:Lperr_V1.4:2:22331353:22354486:-1 gene:LPERR02G26250 transcript:LPERR02G26250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAEIAAEQNCALLEQRYATLSAEADRHQAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISELHKSKCQSLEIIEQRDAEIREKDGIIQNYHDKIVNLADSSAGKGARIQEVEAKLTHLQATCNRITQEKELLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKIAELEREASESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLVELHKESSEEWSKKAGELEGVIKALETHLAQVEDECKEKLEKETSAKKDLEKEATYLKQKLEKCESDLENTRKSTELSFPPLIAADPCEAGSALKEMPFSDAANQNDLMIVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHGRMVEAYTLMDQKLQQALLEHDNFENTIRNLKSELKRRERDNSIAQKEIDDLQKQVTVLLKECQDIQLRSGSSLPDVGHGALSTSSSIGMSDVENSIHEHMSFNDINGLVKQNVQLRNQVHSLSADLDKRDMELRVAMYRKLCEEQQKSRSNVEYISNNLQDDGRKDLMVLFEGSQEVSKKAYEQVSERAKRLDEELTKLRTELLSLRSERDKAVLEAEFARDRLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLSVEMSILKNEKDILAKSEKRALDEVHDLTGRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKSAFEECLKQVDDMRKELQSSWKAATDAESRAAVAEAKCSDFEAKLKSRKTIFKDGGRDILSATEDNDELFQLKEELEKYKEEAQANKNYMLQYKEIANSNESALKQMESALQDYKTESEAMKKSLEDEITKLRSKLSELEKCYVMKCEEAASAIEAKEKDTTSLMNEISNMRSEVSEKVLQIEKLEIELASSKNALDEQHKRWRSAQDNYERQVILQSETIQELTSTSKQLSSLQQDIIVLQQTVEAQKAENDALRTLGEQEKIELVKGKDEALQKYNELNDQNKILLDQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQKFRDEAQKAKMEAERLHNLLLEKQVDGDICKKEIQMQKTEIANLNQKISELVENSKGVDLNTYETMKDELQNIKSTLRENSAELERAKKLLSEKDAIVRNLEEKLAGCQSELDAREKKLNDVEAALKSETEKHRKSIMNNRVMQRKADIALKEKEDLIREKQSLLKQLEDLKSSQKTTPDNSNEQAIKEKDFRIQTLEKILEKERDDNKKEKAFRKRAEKEKKQVEESIEKHRQAVKEAIEHYSGISSQMPSGSAIDEQIRSYFLTIKTIEESPSPFQDDTTNLTPAVESAIVDASAAAAGKQVATPPRSAQVKVMEQRAVSTLPKPSTEVRRPGGRRPLIRPSLERVEEHQADMDTTVAEGSTEKSGLERETSGGVSALPPSSRKRLIPSPQIRDEASQGEITDANPPLKKPKEGSSQGTIELKTEQSPPEDVTAQVPVLPSTDDQDEQQPGEEMDTDQASLPIEEVEETREDDLGDKDDMEPHMDASMDIQGQDAETAIDNDTTTVEDVPVKSETVLESFEEDPKTDVKEEGQFITTTDVEDEREEGELPEEPEHPDSIPPVLDVGEQAGDSFRAASPAGLTEKSDVDMPEETGEGDGTTEPDQSPLSQPGGADASPSKIADASPAREPSPNPVQAGAPSEQQNTSPNPVRAGAPSEQQNPTPTPVPVQAGASSEQQNPATAAEGVDTRTRTINLTERARQNRQNRILRSTTQQTGRGRGQQSLTYRMVAEDLGVVVGVVSRRELGLSFLKCG >LPERR02G26260.1 pep chromosome:Lperr_V1.4:2:22359778:22361357:-1 gene:LPERR02G26260 transcript:LPERR02G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGRVERLCWSTSAAEVMRANPGHYVALVTLRVAEERQDGDGGARRTVRLTRVKLLKPKETLLLGHAYRLITTNEVTKAVQARKEEKLRKAQQQLLARQDKDGIGNRSSSLRHRQWRPSLHSIDESGGS >LPERR02G26270.1 pep chromosome:Lperr_V1.4:2:22366600:22371844:-1 gene:LPERR02G26270 transcript:LPERR02G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAAPAPKQDELTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVKYCVSTPYSIFVENNVLLMLELTAQVAKCVEIGLPQLILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGIGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDSSVRKDRGFHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >LPERR02G26280.1 pep chromosome:Lperr_V1.4:2:22375685:22379053:-1 gene:LPERR02G26280 transcript:LPERR02G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLEGVGRAERGERRNVRVLKANYIREFSVVGRANDPLDPAGCVLDLSAIHAREEAALRQAEIDAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVVKKPHTICCGVQSVGL >LPERR02G26280.2 pep chromosome:Lperr_V1.4:2:22375685:22379053:-1 gene:LPERR02G26280 transcript:LPERR02G26280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLVIHILSNPLLLLGSSQEGVGRAERGERRNVRVLKANYIREFSVVGRANDPLDPAGCVLDLSAIHAREEAALRQAEIDAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVVKKPHTICCGVQSVGL >LPERR02G26290.1 pep chromosome:Lperr_V1.4:2:22381638:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGRGKGRRLVRHMWPVTRVEAAPPSAQGQASPPSRSSVPPPLTTSYPPAPATPPAAAHKKERVDSPRPASSDSFVKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGIQLSAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINEEVNRLLYRTKLEMHAAVQSGGRSPKRLNGPSSGQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERVLKVEDGELGNFKVENLKSEITKITEKGGLPNAEAVEKLVHLMQLDRTERKIDMAGRVMLADIIAATESSDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSSGNRRSGSSESSLKSPVSQLSSSKALTSKPVATDVAAKLSPVLSGSSKLQHMQPGNVVTNMKEQPSKSSGGACGPELPAVKEEKSSSSSQSMNNSQSCSSEHAKTVGSSKEDARSSTAASGIAGKTSSSSRVHRRTSNGILGSGIQKESTVARSTSLDRSSLQEKVSPSGTACEKATDVPSDLGNGHRLIVRFPNPVRSPARSVSGGSFEDPSFTGSRASSPVLADKQEQSDRRVKMKTENSNPHLVHDTNAESWHSNDVKGASVSDEGDKSPNAILTDDRCRITEEAGKDACASRVVCSDANEKGVCSSETGGRNSFNPMNALIEIKYSEASHSLQVGDDTAMNLLASVAGEISKSELISSSASPRNSAENEEGCEGDNTGKLKVESVLSLPQHAGPTNDAEKGEKIGSGLVAKEEQHQVNAKDNRASGQPNTPGIDAKVVESSANSENHEVGSTEICSNLISSCGDDGEKSIKKQPGDAKIDTKSNVCSSTAGELCGGDKQSHELLKSTDKKHRLGLPDNSETIDRSSDCTAVKLDVAPSVSPSTLEVNKADGLLVGKRVPREDEKKEQPCSTSADVTKLVVPAGVPLGPENGISFKESQDNSSESSSHARPGATVSQDTEHSARRGSRKSSDDATGNEDLVSSDDGSSFAANIRSSATTKLDFDLNEGIPGDEVHQSEPASSPAVCSSAIHLPRLSPFLSHMSSGLPAPITVAAAAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMSLSAPGNSISDAAGKHRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSLGIELDLNRADEVAENGQFVSNTSHRVEVPLLSSRPLPGIFSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKHTSSIQFLPQVPGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANPAPAGVPYFPSISPTFLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNCGPGNVESEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERFALGYDRRLRSRLWRRSGDGAARRRESARWGRCGAMHGRCQGGGEGCGKKRRLVRGMWPATRVEAEAATLSAQGPASPPSRSSVPPPPPLTTTPCLPPGPTTPPADAQKQEWVDSPRPVSSDFFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADVKLNKGIQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSSLPQHAGPTNDAEKLTSDKGEKIGSGLVAKEEQHQGDAKDNKGTSSGLPTLPGIDAKAVASPAKTENHEVGNTDKCSHLLSSCGLHVDDGTKQPADIKIDTKSNISSSTTGELRGGDKQAPGLLKSTDKKHRLGLPDNSSAIDRSGDSTAVKLDVEPSFSSSTLGLVDGLLLREDEKKEQPCSTSADATKLAVPAGVPLGPENGISFKESKDNSSESISHARPGATVSQGTEHSARHGSKKSSDDATGNEDLVSSDDGSSFAAKIRSSATTKLDFDLNEGIPGDEMHHSEPVSSPAVCSSAIHLPRLSPFLSPMSSGLPAPITVAAPAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMTLSAPGISVSEHSGKNRPALDIDLNIADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFFSNSSHSVEVPLLSRPLPGVFSSNDANGSRDFDLNNGPTLDEAGTEHAPMSLSSKHTSSIQFLPQVAGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSITPLLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNSGPGNVDSEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.2 pep chromosome:Lperr_V1.4:2:22384001:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGRSPKRLNGPSSGQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERVLKVEDGELGNFKVENLKSEITKITEKGGLPNAEAVEKLVHLMQLDRTERKIDMAGRVMLADIIAATESSDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSSGNRRSGSSESSLKSPVSQLSSSKALTSKPVATDVAAKLSPVLSGSSKLQHMQPGNVVTNMKEQPSKSSGGACGPELPAVKEEKSSSSSQSMNNSQSCSSEHAKTVGSSKEDARSSTAASGIAGKTSSSSRVHRRTSNGILGSGIQKESTVARSTSLDRSSLQEKVSPSGTACEKATDVPSDLGNGHRLIVRFPNPVRSPARSVSGGSFEDPSFTGSRASSPVLADKQEQSDRRVKMKTENSNPHLVHDTNAESWHSNDVKGASVSDEGDKSPNAILTDDRCRITEEAGKDACASRVVCSDANEKGVCSSETGGRNSFNPMNALIEIKYSEASHSLQVGDDTAMNLLASVAGEISKSELISSSASPRNSAENEEGCEGDNTGKLKVESVLSLPQHAGPTNDAEKGEKIGSGLVAKEEQHQVNAKDNRASGQPNTPGIDAKVVESSANSENHEVGSTEICSNLISSCGDDGEKSIKKQPGDAKIDTKSNVCSSTAGELCGGDKQSHELLKSTDKKHRLGLPDNSETIDRSSDCTAVKLDVAPSVSPSTLEVNKADGLLVGKRVPREDEKKEQPCSTSADVTKLVVPAGVPLGPENGISFKESQDNSSESSSHARPGATVSQDTEHSARRGSRKSSDDATGNEDLVSSDDGSSFAANIRSSATTKLDFDLNEGIPGDEVHQSEPASSPAVCSSAIHLPRLSPFLSHMSSGLPAPITVAAAAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMSLSAPGNSISDAAGKHRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSLGIELDLNRADEVAENGQFVSNTSHRVEVPLLSSRPLPGIFSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKHTSSIQFLPQVPGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANPAPAGVPYFPSISPTFLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNCGPGNVESEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERFALGYDRRLRSRLWRRSGDGAARRRESARWGRCGAMHGRCQGGGEGCGKKRRLVRGMWPATRVEAEAATLSAQGPASPPSRSSVPPPPPLTTTPCLPPGPTTPPADAQKQEWVDSPRPVSSDFFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADVKLNKGIQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSSLPQHAGPTNDAEKLTSDKGEKIGSGLVAKEEQHQGDAKDNKGTSSGLPTLPGIDAKAVASPAKTENHEVGNTDKCSHLLSSCGLHVDDGTKQPADIKIDTKSNISSSTTGELRGGDKQAPGLLKSTDKKHRLGLPDNSSAIDRSGDSTAVKLDVEPSFSSSTLGLVDGLLLREDEKKEQPCSTSADATKLAVPAGVPLGPENGISFKESKDNSSESISHARPGATVSQGTEHSARHGSKKSSDDATGNEDLVSSDDGSSFAAKIRSSATTKLDFDLNEGIPGDEMHHSEPVSSPAVCSSAIHLPRLSPFLSPMSSGLPAPITVAAPAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMTLSAPGISVSEHSGKNRPALDIDLNIADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFFSNSSHSVEVPLLSRPLPGVFSSNDANGSRDFDLNNGPTLDEAGTEHAPMSLSSKHTSSIQFLPQVAGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSITPLLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNSGPGNVDSEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.3 pep chromosome:Lperr_V1.4:2:22388505:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSADK >LPERR02G26290.4 pep chromosome:Lperr_V1.4:2:22388505:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDEMGYDRRLRSRLWRRSGDGAARRRESARWGRCGAMHGRCQGGGEGCGKKRRLVRGMWPATRVEAEAATLSAQGPASPPSRSSVPPPPPLTTTPCLPPGPTTPPADAQKQEWVDSPRPVSSDFFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADVKLNKGIQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSSLPQHAGPTNDAEKLTSDKGEKIGSGLVAKEEQHQGDAKDNKGTSSGLPTLPGIDAKAVASPAKTENHEVGNTDKCSHLLSSCGLHVDDGTKQPADIKIDTKSNISSSTTGELRGGDKQAPGLLKSTDKKHRLGLPDNSSAIDRSGDSTAVKLDVEPSFSSSTLGLVDGLLLREDEKKEQPCSTSADATKLAVPAGVPLGPENGISFKESKDNSSESISHARPGATVSQGTEHSARHGSKKSSDDATGNEDLVSSDDGSSFAAKIRSSATTKLDFDLNEGIPGDEMHHSEPVSSPAVCSSAIHLPRLSPFLSPMSSGLPAPITVAAPAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMTLSAPGISVSEHSGKNRPALDIDLNIADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFFSNSSHSVEVPLLSRPLPGVFSSNDANGSRDFDLNNGPTLDEAGTEHAPMSLSSKHTSSIQFLPQVAGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSITPLLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNSGPGNVDSEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.5 pep chromosome:Lperr_V1.4:2:22388505:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSSLPQHAGPTNDAEKLTSDKGEKIGSGLVAKEEQHQGDAKDNKGTSSGLPTLPGIDAKAVASPAKTENHEVGNTDKCSHLLSSCGLHVDDGTKQPADIKIDTKSNISSSTTGELRGGDKQAPGLLKSTDKKHRLGLPDNSSAIDRSGDSTAVKLDVEPSFSSSTLGLVDGLLLREDEKKEQPCSTSADATKLAVPAGVPLGPENGISFKESKDNSSESISHARPGATVSQGTEHSARHGSKKSSDDATGNEDLVSSDDGSSFAAKIRSSATTKLDFDLNEGIPGDEMHHSEPVSSPAVCSSAIHLPRLSPFLSPMSSGLPAPITVAAPAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMTLSAPGISVSEHSGKNRPALDIDLNIADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFFSNSSHSVEVPLLSRPLPGVFSSNDANGSRDFDLNNGPTLDEAGTEHAPMSLSSKHTSSIQFLPQVAGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSITPLLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNSGPGNVDSEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.6 pep chromosome:Lperr_V1.4:2:22381641:22389044:1 gene:LPERR02G26290 transcript:LPERR02G26290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGRGKGRRLVRHMWPVTRVEAAPPSAQGQASPPSRSSVPPPLTTSYPPAPATPPAAAHKKERVDSPRPASSDSFVKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGIQLSAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTKLEMHAAVQSGGRSPKRLNGPSSGQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERVLKVEDGELGNFKVENLKSEITKITEKGGLPNAEAVEKLVHLMQLDRTERKIDMAGRVMLADIIAATESSDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSSGNRRSGSSESSLKSPVSQLSSSKALTSKPVATDVAAKLSPVLSGSSKLQHMQPGNVVTNMKEQPSKSSGGACGPELPAVKEEKSSSSSQSMNNSQSCSSEHAKTVGSSKEDARSSTAASGIAGKTSSSSRVHRRTSNGILGSGIQKESTVARSTSLDRSSLQEKVSPSGTACEKATDVPSDLGNGHRLIVRFPNPVRSPARSVSGGSFEDPSFTGSRASSPVLADKQEQSDRRVKMKTENSNPHLVHDTNAESWHSNDVKGASVSDEGDKSPNAILTDDRCRITEEAGKDACASRVVCSDANEKGVCSSETGGRNSFNPMNALIEIKYSEASHSLQVGDDTAMNLLASVAGEISKSELISSSASPRNSAENEEGCEGDNTGKLKVESVLSLPQHAGPTNDAEKGEKIGSGLVAKEEQHQVNAKDNRASGQPNTPGIDAKVVESSANSENHEVGSTEICSNLISSCGDDGEKSIKKQPGDAKIDTKSNVCSSTAGELCGGDKQSHELLKSTDKKHRLGLPDNSETIDRSSDCTAVKLDVAPSVSPSTLEVNKADGLLVGKRVPREDEKKEQPCSTSADVTKLVVPAGVPLGPENGISFKESQDNSSESSSHARPGATVSQDTEHSARRGSRKSSDDATGNEDLVSSDDGSSFAANIRSSATTKLDFDLNEGIPGDEVHQSEPASSPAVCSSAIHLPRLSPFLSHMSSGLPAPITVAAAAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMSLSAPGNSISDAAGKHRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSLGIELDLNRADEVAENGQFVSNTSHRVEVPLLSSRPLPGIFSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKHTSSIQFLPQVPGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANPAPAGVPYFPSISPTFLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNCGPGNVESEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.7 pep chromosome:Lperr_V1.4:2:22382568:22389044:1 gene:LPERR02G26290 transcript:LPERR02G26290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIERDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGIQLSAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTKLEMHAAVQSGGRSPKRLNGPSSGQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERVLKVEDGELGNFKVENLKSEITKITEKGGLPNAEAVEKLVHLMQLDRTERKIDMAGRVMLADIIAATESSDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSSGNRRSGSSESSLKSPVSQLSSSKALTSKPVATDVAAKLSPVLSGSSKLQHMQPGNVVTNMKEQPSKSSGGACGPELPAVKEEKSSSSSQSMNNSQSCSSEHAKTVGSSKEDARSSTAASGIAGKTSSSSRVHRRTSNGILGSGIQKESTVARSTSLDRSSLQEKVSPSGTACEKATDVPSDLGNGHRLIVRFPNPVRSPARSVSGGSFEDPSFTGSRASSPVLADKQEQSDRRVKMKTENSNPHLVHDTNAESWHSNDVKGASVSDEGDKSPNAILTDDRCRITEEAGKDACASRVVCSDANEKGVCSSETGGRNSFNPMNALIEIKYSEASHSLQVGDDTAMNLLASVAGEISKSELISSSASPRNSAENEEGCEGDNTGKLKVESVLSLPQHAGPTNDAEKGEKIGSGLVAKEEQHQVNAKDNRASGQPNTPGIDAKVVESSANSENHEVGSTEICSNLISSCGDDGEKSIKKQPGDAKIDTKSNVCSSTAGELCGGDKQSHELLKSTDKKHRLGLPDNSETIDRSSDCTAVKLDVAPSVSPSTLEVNKADGLLVGKRVPREDEKKEQPCSTSADVTKLVVPAGVPLGPENGISFKESQDNSSESSSHARPGATVSQDTEHSARRGSRKSSDDATGNEDLVSSDDGSSFAANIRSSATTKLDFDLNEGIPGDEVHQSEPASSPAVCSSAIHLPRLSPFLSHMSSGLPAPITVAAAAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMSLSAPGNSISDAAGKHRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSLGIELDLNRADEVAENGQFVSNTSHRVEVPLLSSRPLPGIFSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKHTSSIQFLPQVPGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANPAPAGVPYFPSISPTFLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNCGPGNVESEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.8 pep chromosome:Lperr_V1.4:2:22382568:22389044:1 gene:LPERR02G26290 transcript:LPERR02G26290.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGRSPKRLNGPSSGQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERVLKVEDGELGNFKVENLKSEITKITEKGGLPNAEAVEKLVHLMQLDRTERKIDMAGRVMLADIIAATESSDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSSGNRRSGSSESSLKSPVSQLSSSKALTSKPVATDVAAKLSPVLSGSSKLQHMQPGNVVTNMKEQPSKSSGGACGPELPAVKEEKSSSSSQSMNNSQSCSSEHAKTVGSSKEDARSSTAASGIAGKTSSSSRVHRRTSNGILGSGIQKESTVARSTSLDRSSLQEKVSPSGTACEKATDVPSDLGNGHRLIVRFPNPVRSPARSVSGGSFEDPSFTGSRASSPVLADKQEQSDRRVKMKTENSNPHLVHDTNAESWHSNDVKGASVSDEGDKSPNAILTDDRCRITEEAGKDACASRVVCSDANEKGVCSSETGGRNSFNPMNALIEIKYSEASHSLQVGDDTAMNLLASVAGEISKSELISSSASPRNSAENEEGCEGDNTGKLKVESVLSLPQHAGPTNDAEKGEKIGSGLVAKEEQHQVNAKDNRASGQPNTPGIDAKVVESSANSENHEVGSTEICSNLISSCGDDGEKSIKKQPGDAKIDTKSNVCSSTAGELCGGDKQSHELLKSTDKKHRLGLPDNSETIDRSSDCTAVKLDVAPSVSPSTLEVNKADGLLVGKRVPREDEKKEQPCSTSADVTKLVVPAGVPLGPENGISFKESQDNSSESSSHARPGATVSQDTEHSARRGSRKSSDDATGNEDLVSSDDGSSFAANIRSSATTKLDFDLNEGIPGDEVHQSEPASSPAVCSSAIHLPRLSPFLSHMSSGLPAPITVAAAAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMSLSAPGNSISDAAGKHRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSLGIELDLNRADEVAENGQFVSNTSHRVEVPLLSSRPLPGIFSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKHTSSIQFLPQVPGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANPAPAGVPYFPSISPTFLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNCGPGNVESEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >LPERR02G26290.9 pep chromosome:Lperr_V1.4:2:22398045:22402891:1 gene:LPERR02G26290 transcript:LPERR02G26290.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLNGPSASQQLKAGSDGAQNCGSAKGKKRERGEQGIDQVKRDRDRSVKNDDNEPINLKGDNIKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFAEISSVGNRRSGSSEPSLKSPVSQLSSSKALTAKPGVADATVKSSPSISGSSKLQPMQPGNVVTNWKEQPSKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHTKTFGSSKEDARSSTAASGIAGRTSGSSSRVQRRTNNGLLGSGVQKEATVAKSTSLDRSFVQEKSGTSSEKGTDVPPDLGNGHRLIVRFPNPVRSPARSASGGSLEDPSFTGSRASSPVLADRHEQSDRRVKMRTENSTTHLGNDTIAESWHSNDVKGASVSEEGDKSPRAKTDNRIKLTEEAGKDACASRVVCSDANANEKGVCSSEIGGRSLFNPMNALIEIKYSEANHSLQAGDDTAMNLLASVAGEISKSELISSSASPKNSAATEEVCEGDNIAKLKVESDSSLPQHAGPTNDAEKLTSDKGEKIGSGLVAKEEQHQGDAKDNKGTSSGLPTLPGIDAKAVASPAKTENHEVGNTDKCSHLLSSCGLHVDDGTKQPADIKIDTKSNISSSTTGELRGGDKQAPGLLKSTDKKHRLGLPDNSSAIDRSGDSTAVKLDVEPSFSSSTLGLVDGLLLREDEKKEQPCSTSADATKLAVPAGVPLGPENGISFKESKDNSSESISHARPGATVSQGTEHSARHGSKKSSDDATGNEDLVSSDDGSSFAAKIRSSATTKLDFDLNEGIPGDEMHHSEPVSSPAVCSSAIHLPRLSPFLSPMSSGLPAPITVAAPAKGPFVPPDNLLRLKPETGWKGSAATSAFRPAEPRKIFEMTLSAPGISVSEHSGKNRPALDIDLNIADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFFSNSSHSVEVPLLSRPLPGVFSSNDANGSRDFDLNNGPTLDEAGTEHAPMSLSSKHTSSIQFLPQVAGVRMNSAEMSNISPWFASAGAPVAVQSFLPSRGEQPHPVETAAGGGTQRMMTSLADGVQRGSDPSRAPVISTSPAMVFHPPYQYASFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSITPLLGPAGALPAQHRQYAINLPEGSSTVGHDNSRKWGRQGLDLNSGPGNVDSEIKDERVSLPVRQNLITPPHAFVEEHPRVYQMPGVGIKRKEPEGSWDAERGNCMWNLGC >LPERR02G26300.1 pep chromosome:Lperr_V1.4:2:22406990:22410201:-1 gene:LPERR02G26300 transcript:LPERR02G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDTTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGASYYIECSSKTQQNVKAVFDAAIKVVIQPETKQREKKKKKSRRGCAMTNMFRGRKMSCFKSSD >LPERR02G26300.2 pep chromosome:Lperr_V1.4:2:22406990:22410201:-1 gene:LPERR02G26300 transcript:LPERR02G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDTTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLGEELRKQIGASYYIECSSKTQQNVKAVFDAAIKVVIQPETKQREKKKKKSRRGCAMTNMFRGRKMSCFKSSD >LPERR02G26310.1 pep chromosome:Lperr_V1.4:2:22418552:22423521:-1 gene:LPERR02G26310 transcript:LPERR02G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSTSKGKRKRGRKPKAAADNHAPASPDAAPVANGGDAVVDAGPLAWDEVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDDEFWEGVLPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVSMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKT >LPERR02G26320.1 pep chromosome:Lperr_V1.4:2:22435456:22438072:1 gene:LPERR02G26320 transcript:LPERR02G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLHGGVYDRTRGNRRNWILAWEDGFCNFAASACDQEDRPAAVGYTDYASGHELKGLQPELFFKMSHDIYNYGEGLIGKVAVDNGHKWVFQEANEHEVNLITTWNNPADSQPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVSLRRKFDYLETIPGVLLPHPSSAAFPAAAGLHVDAAAQPWPPMEIYDPYYGGHAAEQMQHIVPSMSSLEALLSKLPSVGPVVAKEELGDAEAVTNGAGESTSAAAPMAYYVDVAKPGDEGF >LPERR02G26330.1 pep chromosome:Lperr_V1.4:2:22442186:22450751:-1 gene:LPERR02G26330 transcript:LPERR02G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGAPHQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDNVYGSTGTPSSSIFEECVNPLIDALFRGYNATVLAYGQTGSGKTYTMGTNYTGEANSGGIIPQVMETIFKKADALTDGTEFLIRIFKEEVFDLLDASHAVLRLDSGSIAKAAGPARVPIQIRETANGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSHRSHAIFTISMEQKKTSSASDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADTNAEETINTLKYANRARNIQNKAVINRDPATAEMQKLRSQVEQLQNQLLFSRSGTAALEELQLLQQKVSLLELKNSELSHELKERDLSCEQLAQRAFAAQLEKDQLMLKLESARNGKSWDGIENTDTDQDIDIMKSYILKIQQLESELMRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDTSSEVDEEKEREHSSMQDKLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLHEMEQEKKALQKEIEDLRHALTNISSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLLRQKQKSDDAAKRLQEEIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEAKKSSRDTYGSASGSGTQALMRTIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFSGRGRWNHVRSLPDAKNTMNYLFQLASSSRCQILDKEVMCKEKEHLICELKEKLVALNGRIRQLETQVKDLNNQNALLYTAMNEAKNCVGTSRNGTGGSEDGQLYVTRKSIRASHNLFYSKKNFMWSDDMDISDSESEESDADWEASDADCGASDVDYVESCKKVRRRRQTVSSHLNPNLGSGTTNKNSKSEVASQEKPASQKNLAPQCCLCSKSSSCKTQKCECRASGSQCGGDCGCIASRCSNRVDMKKEMEHPSQKESGDGFDASSDDVDDAKTQEIVKQGVMLLENAMAEKEAQEPKSRKPLADIGNSAVEQTGAKQKKRKNWRKSTVQLVPSTPPLPPTAPQNTEVVPRNRDIPLRLPRAMSSTTMDGNPPLTDRNAAKPDESVSSNKENVAAVRARSPARSRKNANEKENHLR >LPERR02G26330.2 pep chromosome:Lperr_V1.4:2:22442186:22450751:-1 gene:LPERR02G26330 transcript:LPERR02G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGAPHQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDNVYGSTGTPSSSIFEECVNPLIDALFRGYNATVLAYGQTGSGKTYTMGTNYTGEANSGGIIPQVMETIFKKADALTDGTEFLIRIFKEEVFDLLDASHAVLRLDSGSIAKAAGPARVPIQIRETANGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSHRSHAIFTISMEQKKTSSASDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADTNAEETINTLKYANRARNIQNKAVINRDPATAEMQKLRSQVEQLQNQLLFSRSGTAALEELQLLQQKVSLLELKNSELSHELKERDLSCEQLAQRAFAAQLEKDQLMLKLESARNGKSWDGIENTDTDQDIDIMKSYILKIQQLESELMRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDTSSEVDEEKEREHSSMQDKLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLHEMEQEKKALQKEIEDLRHALTNISSSTDESAQKLKENYLQKLNTLESQILIATVLQVSELKKKQEAQQQLLRQKQKSDDAAKRLQEEIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEAKKSSRDTYGSASGSGTQALMRTIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFSGRGRWNHVRSLPDAKNTMNYLFQLASSSRCQILDKEVMCKEKEHLICELKEKLVALNGRIRQLETQVKDLNNQNALLYTAMNEAKNCVGTSRNGTGGSEDGQLYVTRKSIRASHNLFYSKKNFMWSDDMDISDSESEESDADWEASDADCGASDVDYVESCKKVRRRRQTVSSHLNPNLGSGTTNKNSKSEVASQEKPASQKNLAPQCCLCSKSSSCKTQKCECRASGSQCGGDCGCIASRCSNRVDMKKEMEHPSQKESGDGFDASSDDVDDAKTQEIVKQGVMLLENAMAEKEAQEPKSRKPLADIGNSAVEQTGAKQKKRKNWRKSTVQLVPSTPPLPPTAPQNTEVVPRNRDIPLRLPRAMSSTTMDGNPPLTDRNAAKPDESVSSNKENVAAVRARSPARSRKNANEKENHLR >LPERR02G26340.1 pep chromosome:Lperr_V1.4:2:22456390:22460993:1 gene:LPERR02G26340 transcript:LPERR02G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVANSLRSFTSQSTYQPSSSSHDLHTVVRDSSAYTTRPPPQAQSGGGGGNGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGAESSSSPHNRPVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEQGRLSEECEVQSSRQKPVDEELTVPEKRVFPVRLGKFKNVGNTGVGGVDNGNVAGIVSREPGESSSSSLDARRCFSMGTYQYVLGASELRVALQPGRNKNGVGGRLKGRAAGISSVNAEIMEGKRICAKNKGESFSMSKIWQWSSVKGKLPAGSDNYSETGSFPWMKRDATGDNKVACASVLDRTIFYSLITPYPCSNIGTTGFRSQQSSPRSILPYWMVGDLE >LPERR02G26350.1 pep chromosome:Lperr_V1.4:2:22461910:22462419:-1 gene:LPERR02G26350 transcript:LPERR02G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLGGGAGMDMDEAEAAFFARRGRRCCCCFPSWPSSATSHQRFGGGARVEEEESLWRRAVDAVMKLREWSELVAGPRWKTFIRRFGRGGGGGGGGHHNFGRKLNYDALSYALNFDEGHGASPEGEYTGYRDFSARFAAPPISAKSSMDLGGRDAPPLFNPPHPHDRA >LPERR02G26360.1 pep chromosome:Lperr_V1.4:2:22475099:22477563:-1 gene:LPERR02G26360 transcript:LPERR02G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSKRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGAVVRTGATPRPSNYEDDASKPKYPLPASNGAAGAPHYPAPNPAVAAAAPKGGKKAATNGQAKGGGGGGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKMDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKSAAAGGGGGDPTKAMAAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPNIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >LPERR02G26370.1 pep chromosome:Lperr_V1.4:2:22485585:22493667:1 gene:LPERR02G26370 transcript:LPERR02G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPTSSRKGNGGVGAHHPHPEQRQPSASAVSSWLDSVPGRPQPPTPSTPSDAEGSPFSSSVGVSSSVGAGTGAQSGAVERRRSQEEEWERRRSQEEEAVREMRRSQEVEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSAAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLMDLRAQSLSQRANWEAVLVHRGEDPELMKLQQTALIMSLDLRSKTSEFVGNDLVQKLAGLVARHMGGTFYDPEGMLVKYQSMIRYLRTSIGSIVVPLGQLKIGLARHRALLFKISLANLQAIFGALVLKFVDNKVLADSIDIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHSNKDNDINQLGSSLSLSNSACGSFEYELLDGRSTSNNFGPDTDGARASQTSKSNQQNTVSGPFEILSISTCTSENKPITNESRSTDDIAAGKNKEKSSAAVSSMSTSPSSPEVGNTPAVRRMKVKDISEYMINAAKENPQLAQKIHQVLLESGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPSLPHHPGPELPSKVVPHRAPLDSLKPIEGLGVYHPPDIRDNTSFISQYEPSAPPQEPSSQLTKQLPVTAAAVATAAVVASSMVVAAAKSSSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLDPGNQLPSLPSPSEGNESIERSADDFWDKQNFEIDQGQDNALDQEKDPVEVRHDAERTSDKSSGTESAKSEITLEEVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIRRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPVIAGIITQCWQTDPKLRPSFSDIMGTLKPLLKNITNNQAPRQRVQQTEE >LPERR02G26380.1 pep chromosome:Lperr_V1.4:2:22493841:22495070:1 gene:LPERR02G26380 transcript:LPERR02G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGGNKPADEVADFDEYDPTPYGGGYDIALTFGRALPPSDETCHPISTASSSSYDNSVAAAPHRRKPQPEEAYGHGSGRRPEPDDDGRHGDYGGGGGYGRKGRVDEDDDDDGGATHGGGGGYRKPKTGYVDAQPNYHGGRGDDRPTYGGGGRKKHDDDDDGSGDERKPRYKKRDDDDDGDDDNGYERKPRYKKRDDDDSDDERKQRYEKNNRGRRHDYDD >LPERR02G26390.1 pep chromosome:Lperr_V1.4:2:22499934:22505002:1 gene:LPERR02G26390 transcript:LPERR02G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTAAAEVDGLEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSARLGSHGTSSMSDFIEQLLHARFVQEQIVPSTYATHRWQVGVSDFGHDDLYDIFGDFSCKGLSRESLKKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGEMARRLPNCSHTFHQPCVDKWLVGHGSCPVCRQGV >LPERR02G26400.1 pep chromosome:Lperr_V1.4:2:22503334:22506800:-1 gene:LPERR02G26400 transcript:LPERR02G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTEIREEDDAAEEVFAAVLKRKGGPLPFLQAEIDVAQRRSDLFLEPSAAGMAAEAQAEAEKKRRKTTKGEAGRAAEAERMGDPKPNAENGLDLEKYSWTQQLPEVNITVPVPQGTKSTLVICEIMKNHLKVGLKGYSCIIDGELYQPVTVAECFWTIEDGNTLSILLTKQNQTKWWKSVIKGDPEVDLGNIKTSKLPYFDPETSKIVERITSPLYPEAILSSDSVSNWYTGLRALTAIREILWRTTGHQTSSTGAKILSCDGDQASRRGAAPSQSQSG >LPERR02G26400.2 pep chromosome:Lperr_V1.4:2:22503334:22506800:-1 gene:LPERR02G26400 transcript:LPERR02G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTEIREEDDAAEEVFAAVLKRKGGPLPFLQAEIDVAQRRSDLFLEPSAAGMAAEAQAEAEKKRRKTTKGEAGRAAEAERMGDPKPNAENGLDLEKYSWTQQLPEVNITVPVPQGTKSTLVICEIMKNHLKVGLKGYSCIIDGELYQPVTVAECFWTIEDGNTLSILLTKQNQTKWWKSVIKGDPEVDLGNIKTSKLPYFDPETSKIVERITDKKFKISVYPEAILSSDSVSNWYTGLRALTAIREILWRTTGHQTSSTGAKILSCDGDQASRRGAAPSQSQSG >LPERR02G26400.3 pep chromosome:Lperr_V1.4:2:22503334:22506800:-1 gene:LPERR02G26400 transcript:LPERR02G26400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTEIREEDDAAEEVFAAVLKRKGGPLPFLQAEIDVAQRRSDLFLEPSAAGMAAEAQAEAEKKRRKTTKGEAGRAAEAERMGDPKPNAENGLDLEKYSWTQQLPEVNITVPVPQGTKSTLVICEIMKNHLKVGLKGYSCIIDGELYQPVTVAECFWTIVYPEAILSSDSVSNWYTGLRALTAIREILWRTTGHQTSSTGAKILSCDGDQASRRGAAPSQSQSG >LPERR02G26410.1 pep chromosome:Lperr_V1.4:2:22507293:22508931:1 gene:LPERR02G26410 transcript:LPERR02G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLKSHSHFSALHSASSTELHGRSRATLGGFQDIRFNSIHSKRLAGRGSLVKANASPFDLVTLMVTMVEHVDLQRDYVVHKSIWHLSDANLKSVYTFYAMFTVWGICFFASMKASTHDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTQ >LPERR02G26420.1 pep chromosome:Lperr_V1.4:2:22519277:22521860:1 gene:LPERR02G26420 transcript:LPERR02G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVAAALSPATSPGRRKSVTPKPSRLCTSASLCRCGRRHLIGSSSAAGLLHLLALPSPAAPPVDPDVMLERVHPARPEWYEKFYARAMDKFMKPYEAEIAEYKSKLFSQLMAPGKNILELGVGTGPNLKYYANADGVNIVGVDPNKQMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVLCSVSDVELALREIKRVLKPGGLYIFIEHVAAPDGSFLRFVQSALNPLQQFVSDGCHLTRATGEIIREAGFSSLSLNTTRLSTAYILSPHVYGVACK >LPERR02G26430.1 pep chromosome:Lperr_V1.4:2:22528699:22530238:1 gene:LPERR02G26430 transcript:LPERR02G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRAVLAVVLVAALLPPALARGLGHHGLGHGHHVQGQGHPHAPIGGGAWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDGANGHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALYKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTSDGRSVVSTNAVPCGWSFGQTFSGAQFN >LPERR02G26440.1 pep chromosome:Lperr_V1.4:2:22538295:22542553:-1 gene:LPERR02G26440 transcript:LPERR02G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHALRAVVGDQLLVADTVAAVVESLVQAWRQVRTELLVPLLRGAVVMCMVLSVIVLAEKVFLGVVSLVAKLLNRRPARLYRCDPVVEDDEAGSASFPVVLVQIPMYNEKEVLDDSTDAIVKELVRKECDKWGKKGMNIKYETRQGRVQGRQPKEGMRHGYVQGCEFVVMFDADFQPAPDFPVRTVPFLVHNPHLALVQTRWEFVNANDCLLSRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGMWRRQAIEESGGWEDRTTAEDMDLALRAGLLGWEFCLCCIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAARIPLWELIFIPTTITLLNSVGTPRSIHLTLLWVLFENVMALHRLKATFIGFFETGRANEWIVTQKLGNIQKLKSIVRVTKSYRFKDRFHCLELLFGGFILMSACYDYLYRDDHFYIFVLPQSIMYFVIGFDFMGISVSG >LPERR02G26450.1 pep chromosome:Lperr_V1.4:2:22543587:22548109:-1 gene:LPERR02G26450 transcript:LPERR02G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSPGAFLLLAGSGSGSGSSSSSSFSSPCRRRRSGAVRTGLRLHWARRDLARDGAVVCSASAGGGEDGAAEAKSAGSASKSVGVAVQGSTAKDGGVEDSVSSPKGVKPDVAKENGALGSNASKSDAPASKPKVEPSVVASNAEAGGTAQVAESKAAVDDKEDVGVAEPLEAKADAGAASSADDNENKESGPLAGANVMNVIIVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYADAKDLGVRRRYRVAGQDSEVNYFHAFIDGVDFVFIEAPPFRHRHNDIYGGERFDILKRMILFCKAAVEVPWYAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVDDFAAMDLPEHYIDHFKLYDPVGGEHSNVFAAGLKMADRVVTVSHGYLWELKTMDGGWGLHEIINQNDWKLHGIVNGIDMAEWNPEVDVHLQSDGYANYTFETLDTGKKQCKAALQRQLGLPVRDDVPLIGFIGRLDGQKGVDIIGDAMPWMAGQDVQVVLLGTGRPDLEEMLRRLESEHGERGVRAWVGFSVEMAHRITAGADVMLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFAGTGLGWTFDRAEAGRMIDALGHCLNTYRNYKESWRGLQERGMSQDLSWDHAAELYEDVLVKAKYQW >LPERR02G26460.1 pep chromosome:Lperr_V1.4:2:22554478:22559767:1 gene:LPERR02G26460 transcript:LPERR02G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSSAAAARATFVMPSSRPASGGRRMMARLVTRAAASSPKLPSGRKLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLIDRKVRKMKMISPSNVAVDIGGTLAPHEYIGMVRREVLDAHLRSRAEGAGAQVVNGLFLRYEAPKEPNGSYLVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKEMGAGDYEYAIAFQERVRIPDGKMKYYEELAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLEDIKLAVNTIGSLVRATALRREMEKVTL >LPERR02G26470.1 pep chromosome:Lperr_V1.4:2:22559497:22560590:-1 gene:LPERR02G26470 transcript:LPERR02G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFLQNELDALFDGDILSILDGREKQEQKLESQKAMELQCPSGHGSGEKTLTFELVSQYFCMPIKQAAQELTVGLTLLKSRCRALGIPRWPYRKVKSLQALIRNVQELATETGQDEKMTMNTVEMLQQTKKLMEQSPDVELDHWTKSLRQACFKENYKRRRLLADCMKNS >LPERR02G26480.1 pep chromosome:Lperr_V1.4:2:22561734:22565365:-1 gene:LPERR02G26480 transcript:LPERR02G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPRLRAASLSLPGPVRAHFLTLPIKRGRYASLRLRASAAAAPPAKEGAEGTGFEEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDSMGMGVLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSLFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKLASATSAIKSVFGQEVQKQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESARLHSSLIKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLDIIQAPLVDMEIRGVPALKFLGDITMFGSTCCNSNAAALQPESGSNSHCTQHIALLDRGPMGGTPAHAVRLLPRESTGPAQLIYWRTEYMVDVWTIAL >LPERR02G26490.1 pep chromosome:Lperr_V1.4:2:22568236:22568667:1 gene:LPERR02G26490 transcript:LPERR02G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYIWSAIYLAFGIKQPRNSSHINFQSNSVGMTLVFASKGRQSNCEVQAFGEAYDGAFFYF >LPERR02G26500.1 pep chromosome:Lperr_V1.4:2:22586636:22590545:1 gene:LPERR02G26500 transcript:LPERR02G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRSNSRANYSNEIHDISTVQNGIVPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPVSVIGTTTPVGPHWHALVVEVIVTFNMMFVTLAVATDSRAVGELAGLAVGSSVCITSIFAGAVSGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSTKLSSFKLRRLRSQQSIAADEEELDNIQV >LPERR02G26510.1 pep chromosome:Lperr_V1.4:2:22600833:22603726:1 gene:LPERR02G26510 transcript:LPERR02G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRSNDIEKHDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSMAQRALSHSWVSPPLSSTNEAYTAPVSQTTQRNQHISDNTSSVMTDVISSTIQFTGSSYLSSMVPSCHNPLSMIDSNSRPDASVALPSPVAEHQTMSILSAIPLDIPAGIDIASMVFNASSFTVPSIDRMTANIEFGQPHKCSSSSSSMTNRCVVDMPDVTNNTNSVPRSINFNLQGALSDDWRMILPWESLPCTTEVSTNFQSTKCYT >LPERR02G26520.1 pep chromosome:Lperr_V1.4:2:22604199:22606766:1 gene:LPERR02G26520 transcript:LPERR02G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVAFRALFFHLRLGKSEFLDGESQSQTSLHHSHGRRRQSFEAAAGGARGTDHAPLPRIPLFPPVRDGHRLRPKLRSLPHCRHPPPRRGPRGRPRRLRRPGAETQRSIRLPDGVVHLHLGAPQLALLPPLPLHRRAHGEGDARANQASTRAGGRSQGGVRHAVQDPEALRHRVRRGTAAAPRAARGRAQLPHRGGVNAQVAPLPRHATPRGPPRERLSHPRRPARPTASVHRPGAVPLRDLLRQRARRVRRGGVRGARGRRAVATRVPRGHRAHVPPGLPRLVRAPPAATRHARAAPRRLRLPVPERLRLPGDQTDRGRKPGRAAARLPPVLPRRHVPGAAAGGASRGRRGGAEGPCRAVARRPRWQGERRAGDLLRRIPAIRQAPQLLGARGERAVQPARVRLVIRRQHVRSPGVAPGPVHRAIPPAHGDEREWHAVGSIVRSARGAHDVLREHEAARQVVARDGARVQPAHQTGGHQRRRGDTLQREHEAVARRRLQPVQASLDQVRRHRDGVPHAVQLRTLILRNDLDGNNEETVC >LPERR02G26530.1 pep chromosome:Lperr_V1.4:2:22607564:22614585:1 gene:LPERR02G26530 transcript:LPERR02G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAAASAAISSPKTTPRRVRPKAFKKKAKADAEKQKVAAAKAATTAEASPLKPAEIEKQKVAAAKAATTAEAFALAPALKPAEVTPVAAVAKANGGKRMRRREKMKGTEGDRIKGDEKGGIKEKEKKDDKAREKKGEAGFIFMCSAKTKPECFHNGVFGLPKGKIDVVEKIQPGAKLFLYDFDLKLLYGVYKAKTKGGLDLVRSAFHGKFPAQVKFRVDKDCLPLPESSFKHAIKENYNSKGKFTQELNPRQVHKLLELFKPVSVPQRSMQYAEERRRLDVSEGRRSHYVEERRLPRHTEEMCHLRPIEERRLPYDHEERRHPRYVEDIRHPRYLEETHAITDSMRDPHHFSELQHAPPTYYRHVAPNFDDRYHQPQVDVMYERSAPRAIVEATDREVLLARDYRVPEEIVARSNHVDELYRSYRLATREMDLHHDPSYVTTAYENPRPAYSEIIQMPVSTRANVPGVTVSSLYSFAGAPAYR >LPERR02G26530.2 pep chromosome:Lperr_V1.4:2:22608019:22614585:1 gene:LPERR02G26530 transcript:LPERR02G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAAASAAISSPKTTPRRVRPKAFKKKAKADAEKQKVAAAKAATTAEASPLKPAEIEKQKVAAAKAATTAEAFALAPALKPAEVTPVAAVAKANGGKRMRRREKMKGTEGDRIKGDEKGGIKEKEKKDDKAREKKGEAGFIFMCSAKTKPECFHNGVFGLPKGKIDVVEKIQPGAKLFLYDFDLKLLYGVYKAKTKGGLDLVRSAFHGKFPAQVKFRVDKDCLPLPESSFKHAIKENYNSKGKFTQELNPRQVHKLLELFKPVSVPQRSMQYAEERRRLDVSEGRRSHYVEERRLPRHTEEMCHLRPIEERRLPYDHEERRHPRYVEDIRHPRYLEETHAITDSMRDPHHFSELQHAPPTYYRHVAPNFDDRYHQPQVDVMYERSAPRAIVEATDREVLLARDYRVPEEIVARSNHVDELYRSYRLATREMDLHHDPSYVTTAYENPRPAYSEIIQMPVSTRANVPGVTVSSLYSFAGAPAYR >LPERR02G26540.1 pep chromosome:Lperr_V1.4:2:22615150:22622169:1 gene:LPERR02G26540 transcript:LPERR02G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPSDELLGTFVPIAVYWLYSGLYLALEGVERLDVYRLHPRAEETAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGAEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNITEQTKILHYTCGMIRAKCLRVIYTTHLNFTRREQEAISSTLQPPLRVAGTSAAGMPERRLPISTPAAAGPRRHPHPRRLRRRCRLLLFPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYILEENVSPSNGHFEPLFGGHQNLQEREETYYARNQTLHCGFVQGPEGYPNTGFDLDENDKMYMASCRVVVSSCIFGSSDYLRKPTKSKIGPYSKKNVCFIMFLDELTLGTLSSEGIVPDETRSIGLWRIVVVKKSPYKDMRRAGKVPKLLAHRLFPSAIKLRLNADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNESGKEPVLPSCSYQYLMVNRFTSRDQLSFSYTYLKLRRMNSGKPFHLNMFKDCERRAITKLFHHRANETADPPTANP >LPERR02G26540.2 pep chromosome:Lperr_V1.4:2:22615150:22622169:1 gene:LPERR02G26540 transcript:LPERR02G26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPSDELLGTFVPIAVYWLYSGLYLALEGVERLDVYRLHPRAEETAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGAEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNITEQTKILHYTCGMIRAKCLRVIYTTHLNFTRREQEAISSTLQPPLRVAGTSAAGMPERRLPISTPAAAGPRRHPHPRRLRRRCRLLLFPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYILEENVSPSNGHFEPLFGGHQNLQEREETYYARNQTLHCGFVQGPEGYPNTGFDLDENDKMYMASCRVVVSSCIFGSSDYLRKPTKSKIGPYSKKNVCFIMFLDELTLGTLSSEGIVPDETRSIGLWRIVVVKKSPYKDMRRAGKVPKLLAHRLFPSAIKLRLNADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNESGKEPVNRFTSRDQLSFSYTYLKLRRMNSGKPFHLNMFKDCERRAITKLFHHRANETADPPTANP >LPERR02G26540.3 pep chromosome:Lperr_V1.4:2:22615150:22622169:1 gene:LPERR02G26540 transcript:LPERR02G26540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPSDELLGTFVPIAVYWLYSGLYLALEGVERLDVYRLHPRAEETAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGAEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNITEQTKILHYTCGMIRAKCLRVIYTTHLNFTRREQEAISSTLQPPLRVAGTSAAGMPERRLPISTPAAAGPRRHPHPRRLRRRCRLLLFPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYILEENVSPSNGHFEPLFGGHQNLQEREETYYARNQTLHCGFVQGPEGYPNTGFDLDENDKMYMASCRVVVSSCIFGSSDYLRKPTKSKLKNRRTKICGEQGSKLRLNADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNESGKEPVLPSCSYQYLMVNRFTSRDQLSFSYTYLKLRRMNSGKPFHLNMFKDCERRAITKLFHHRANETADPPTANP >LPERR02G26540.4 pep chromosome:Lperr_V1.4:2:22615150:22622169:1 gene:LPERR02G26540 transcript:LPERR02G26540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPSDELLGTFVPIAVYWLYSGLYLALEGVERLDVYRLHPRAEETAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGAEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNITEQTKILHYTCGMIRAKCLRVIYTTHLNFTRREQEAISSTLQPPLRVAGTSAAGMPERRLPISTPAAAGPRRHPHPRRLRRRCRLLLFPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYILEENVSPSNGHFEPLFGGHQNLQEREETYYARNQTLHCGFVQGPEGYPNTGFDLDENDKMYMASCRVVVSSCIFGSSDYLRKPTKSKLKNRRTKICGEQGSKLRLNADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNESGKEPVNRFTSRDQLSFSYTYLKLRRMNSGKPFHLNMFKDCERRAITKLFHHRANETADPPTANP >LPERR02G26540.5 pep chromosome:Lperr_V1.4:2:22615150:22622169:1 gene:LPERR02G26540 transcript:LPERR02G26540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPSDELLGTFVPIAVYWLYSGLYLALEGVERLDVYRLHPRAEETAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGAEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNITEQTKILHYTCGMIRAKCLRVIYTTHLNFTRREQEAISSTLQPPLRVAGTSAAGMPERRLPISTPAAAGPRRHPHPRRLRRRCRLLLFPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYILEENVSPSNGHFEPLFGGHQNLQEREETYYARNQTLHCGFVQGPEGYPNTGFDLDENDKMYMASCRVVVSSCIFGSSDYLRKPTKSKIGPYSKKNVCFIMFLDELTLGTLSSEGIVPDETRSIGLWRIVVVKKSPYKDMRRAGKVPKLLAHRLFPSAMRMNSGKPFHLNMFKDCERRAITKLFHHRANETADPPTANP >LPERR02G26550.1 pep chromosome:Lperr_V1.4:2:22622528:22625255:-1 gene:LPERR02G26550 transcript:LPERR02G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIDVFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLESTMTWRLKEISRSIEAAQGGLFLEELNTKWMEHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSAMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFETPFLEVSASFYSGESQEFIECCDCGDYLKKSERRLNEEMERVSHYLDAATEAKITSVVEKEMIANHMHRLVHMENSGLVNMLIDDKYDDLARMYNLFRRVSDGLSSIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNTRSPEFISLYVDDKLRKGLKGAAEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPHEILTICDKFRSYYLGTHNGRRLTWQTNMGTADIKATFGKSQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQYTEIPALDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >LPERR02G26560.1 pep chromosome:Lperr_V1.4:2:22657696:22658904:1 gene:LPERR02G26560 transcript:LPERR02G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGGTSSPGGGNGNNGSGPGGAGGEMQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAARFGSRADAWDRVVGLGFPPEGPASSSSSPSPLLLNFHSGSVGAPSGGSGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPGGLHFMNFPAPMALLPGQQLGLGPVGGSGGGGGGGGEGHMGILAALNAYRTQAATDAAGEQGGGGGGSSQQQQGGGGGERHQSISTSDS >LPERR02G26570.1 pep chromosome:Lperr_V1.4:2:22668781:22672772:1 gene:LPERR02G26570 transcript:LPERR02G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSFLKVVIKNLDVLAGPLISLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPILEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPMIVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAHDENQSFNQNYRY >LPERR02G26570.2 pep chromosome:Lperr_V1.4:2:22668781:22672772:1 gene:LPERR02G26570 transcript:LPERR02G26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSFLKVVIKNLDVLAGPLISLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPILEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPMIVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAHDENQSFNQNYRVHRIKLMLMGSQNCVQVIMISVNRF >LPERR02G26580.1 pep chromosome:Lperr_V1.4:2:22672104:22675867:-1 gene:LPERR02G26580 transcript:LPERR02G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEEGKASGEPGEDEKTQSSPPINLNSLPATASAAAAAPEEGGLHSAVESAAKDSTTTKGVESVGTVHKKIPKNEVVDEDDVQTCINEKKDSVILSNSKKPVDEKDASANVAEDGQSVDCSTEDQRAKFVTVVKKDESVDDVSDSVNPVTVVGFRNEKGGTSATAGTSSVRPAGTRSSSFHGVTRHRWSGKFEAHLWDSSCRMEGRRRKGKQGSYDTEEKAARAYDVAALKYWGENTKLNFSVSEYEKELEDIRDMSREECITYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYIEKGMHCIEGAGLKLLASKPQ >LPERR02G26590.1 pep chromosome:Lperr_V1.4:2:22687978:22688457:-1 gene:LPERR02G26590 transcript:LPERR02G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >LPERR02G26600.1 pep chromosome:Lperr_V1.4:2:22697189:22701907:-1 gene:LPERR02G26600 transcript:LPERR02G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALPRPPDITPELRRFLDDRFRSQADLAAAADVEIEIRGRCAELEASVSDLSVRLAAAAAAYSSSRNAAGTALSNVRGCLAALKASSSEPEEVQVGSEKMLFEQLPSLAKEVARVEMVRDYAETALKLDSFVGDVEDAVSSSVTGKLKSRAENSQKTYHVPIGYLKTIEDILASVTRTRPQWTRLISAVDHRVDRSLAELQKRRKTRQLQGHIVNNQLRPPLWVIEELVNPIAAAAQHHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKAHLVGYSCREEWISGMVIALSTYLAKEIFPKHIDLLQELSSNNASSQSSRVLWLNLIDLMISFDKQTQALISSSGLLLSAKDDNNWQRISVLSVFCDRPDWLEIWAEIERQDAYDKMRLSMENEKNWSTRIQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRAWPIPNIALRAEFIKISTSPIILEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCDDVFFLEMENIDGHTECIFQVEINQLKDFRVQWTDKISTVILRDFDARSRDYLKNKRQWQEKSEGLALSRSFIECLDYIQGRIAKLEHGLNTLDFVTVWRSVASGVDQLLFSGIFTGSTKFSNGGVERLHGDLSILFATFSAWCLRPEGFFPRLTDGLKILEVDGKQPRDGMLTDKDWLREYGIRRLTAAEAEKITKNRIYES >LPERR02G26600.2 pep chromosome:Lperr_V1.4:2:22697189:22701907:-1 gene:LPERR02G26600 transcript:LPERR02G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALPRPPDITPELRRFLDDRFRSQADLAAAADVEIEIRGRCAELEASVSDLSVRLAAAAAAYSSSRNAAGTALSNVRGCLAALKASSSEPEEVQVGSEKMLFEQLPSLAKEVARVEMVRDYAETALKLDSFVGDVEDAVSSSVTGKLKSRAENSQKTYHVPIGYLKTIEDILASVTRTRPQWTRLISAVDHRVDRSLAVLRPQAIVDHRALLESLGWPPSLSGTKFSSINSGKPSELVNPLFSMKGDLKSKYSESFLSLCSLQELQKRRKTRQLQGHIVNNQLRPPLWVIEELVNPIAAAAQHHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKAHLVGYSCREEWISGMVIALSTYLAKEIFPKHIDLLQELSSNNASSQSSRVLWLNLIDLMISFDKQTQALISSSGLLLSAKDDNNWQRISVLSVFCDRPDWLEIWAEIERQDAYDKMRLSMENEKNWSTRIQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRAWPIPNIALRAEFIKISTSPIILEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCDDVFFLEMENIDGHTECIFQVEINQLKDFRVQWTDKISTVILRDFDARSRDYLKNKRQWQEKSEGLALSRSFIECLDYIQGRIAKLEHGLNTLDFVTVWRSVASGVDQLLFSGIFTGSTKFSNGGVERLHGDLSILFATFSAWCLRPEGFFPRLTDGLKILEVDGKQPRDGMLTDKDWLREYGIRRLTAAEAEKITKNRIYES >LPERR02G26610.1 pep chromosome:Lperr_V1.4:2:22706316:22707521:-1 gene:LPERR02G26610 transcript:LPERR02G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHLLEITRSKRISGTPGIEHDSVAAVAALTKRPKSAKNHKSEQLDCQGSNDQSFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPYNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVEMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLERGTWRVIENMSEGLNGASGSPPLVSVVENELYAAQYAGKLVRKYNKTDNKWITLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >LPERR02G26620.1 pep chromosome:Lperr_V1.4:2:22719261:22720358:-1 gene:LPERR02G26620 transcript:LPERR02G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVKGSKSAYARTRSGPAAVHHTVSLKSSTLGSLSLDRDRDEEMMKWRDDGAAGAKTTPPPPLPPQMARRQRQLLAPAAKTPVREPEVINVWELMEGLDDKDGEERGEKGLEEHQSKPGSPEFDPDIIAAFRKALDEVPPAGDEVCVRKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPRPPSPPPEPEQEQPPPPPDSARKVVLYLTSLRGIRKTYEDCWATKAILQGYGVLVDERDLSMHAGFKEELHAALRSPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGGCDTAPPAAAGKGISLDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >LPERR02G26630.1 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLCRFYSSSKGGGVGSAEARSDAAAAAAAAEGSSGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEGEDIAPELARERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGSSMLDPQKPLKQIRGKMPTQDGSRNAYTFISGRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPALMFHNLSRLSSLPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26630.2 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLCRFYSSSKGGGVGSAEARSDAAAAAAAAEGSSGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEGEDIAPELARERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGSSMLDPQKPLKQIRGKMPTQDGSRNAYTFISGRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26630.3 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPALMFHNLSRLSSLPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26630.4 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLCRFYSSSKGGGVGSAEARSDAAAAAAAAEGSSGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPALMFHNLSRLSSLPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26630.5 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26630.6 pep chromosome:Lperr_V1.4:2:22724614:22735235:1 gene:LPERR02G26630 transcript:LPERR02G26630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPPVSLGISASGGGGGCFVRRFGAAVAPPRPWGAGRRLCRFYSSSKGGGVGSAEARSDAAAAAAAAEGSSGRCSQQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECASSHLRHKGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDYGDDYSESEEDDENDESEDDSEIEDEGDEDWTSNSEAKSDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVSGAEEGTASESSESPESSEEEKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDATSKEENAKASIYWDIMHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQTVEEMFDKLTGPVVMICGQNILDTAPKDKDKEPPPLKRLVGGIKGQKYSRSIGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCAELLHVKSDDVALTRQKAEKVVGWARNHYLSSAVLPSIKGDRLIIPCESLDVAIERLKEQGIKTKRPAQNIKNLAKDEFERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRRKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >LPERR02G26640.1 pep chromosome:Lperr_V1.4:2:22741497:22744365:1 gene:LPERR02G26640 transcript:LPERR02G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGMALQSRAAGFGSDRRRSALYGGEGRAQIGSLRVGEPAAAKAAVRARVSKPVAPLRAKKSPGGQETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSHVQRMQTL >LPERR02G26640.2 pep chromosome:Lperr_V1.4:2:22741544:22744365:1 gene:LPERR02G26640 transcript:LPERR02G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGMALQSRAAGFGSDRRRSALYGGEGRAQIGSLRVGEPAAAKAAVRARVSKPVAPLRAKKSPGGQETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSHVQRMQTL >LPERR02G26650.1 pep chromosome:Lperr_V1.4:2:22745724:22756765:-1 gene:LPERR02G26650 transcript:LPERR02G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRTWETFEKALWGHITNFFRLSKESPQTLVRALRVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANKKGAGATSTPRSSQDKSKVQGKGYKDKCYECIGKAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRSNDIPNINILKVTGWVVKYQENLIGLGVDDSLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWADGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKNHIKEDTIERMRLDEEVLMDFFREHINVTKVENRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWRKLGQ >LPERR02G26650.2 pep chromosome:Lperr_V1.4:2:22745724:22756765:-1 gene:LPERR02G26650 transcript:LPERR02G26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRTWETFEKALWGHITNFFRLSKERVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANKKGAGATSTPRSSQDKSKVQGKGYKDKCYECIGKAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRSNDIPNINILKVTGWVVKYQENLIGLGVDDSLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWADGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKNHIKEDTIERMRLDEEVLMDFFREHINVTKVENRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWRKLGQ >LPERR02G26660.1 pep chromosome:Lperr_V1.4:2:22758414:22760592:-1 gene:LPERR02G26660 transcript:LPERR02G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKIPAVLWLLAGVVLTFAAAISPVHGAKTRHYDFVITETNYTRLCHEKTVLAVNGQFPGPTIYARKGDLVVVNVHNQGNKNITIHWHGVDQPRNPWSDGPEFITQCPIQPGGNFTYQVILSVEEGTLWWHAHSDFDRDTVLGAIVIHPKRGTTFPFKKPDNEIPIILGEWWNKDVNHLLEEVKRTGGDVEPSDANTINSQPGDMFPCSKDDTFEIAVQQGNTYLLRVINAGLTNDMFFAVAGHRLTVVAIDARYTKPLAVDYIMIAPGQTMDLLLEANRTLGSNSRYYMAARTFITLPTENIPFNNSTATAIVEYTDSPAARAGPPDFPILPGIKDVNAAMAFLTQLRSLANQDHPVSVPMNVDEHMLINLAINFLPCDPTNATVTTCETGPLGNGTRFAASLNNVSFESPAIDILDAYYYGIGHGVYKEDFPNKPTNLIAGDSVGLELTKRGTKVKVLEYGTVVEVVFQDISDENHPMHLHGFAFYVVGRGFGKFDERKDPATYNLVDPPYQNTVSVPKGGWAAIRFRADNPGVWFMHCHFDRHVVWGMNTVFIVKDGKTSQAHMLPRPPNMPMC >LPERR02G26670.1 pep chromosome:Lperr_V1.4:2:22761897:22763114:-1 gene:LPERR02G26670 transcript:LPERR02G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRHPSFHPGPAVSPFPSPSRFRATLPCTSSASTPAATAAASTSSDNATTAPSSFSVENYLITRCNLYPNVAARVAPELSGAISSPTTPDTVLAFLADALGLTPRLIAVAVARDPTILACSVPKTLEPRAADLRALGFTTFQMGLVVARCGAAAFRSLDLLVNVQFWLGYLRGRVDKLVSALKSNPALVTADLRMARSTISILQDEGGLTDDDIGWFCVSYATKLLVATPEEAEAVLARADEFGIPRRTRPFKDAIVAAFCMTPERVAWKAAFFRDELGWTEAQVQTAATKMPTVLMVSIERLRRNWEFLTKEVGMDAERVANFPALLRYDLDGRLVPRFRVVRVLQARRLWRGRDFINVATIAEEDFVAKFIRPFLVKVPNLAKVYESAVAEKESKKSQSL >LPERR02G26680.1 pep chromosome:Lperr_V1.4:2:22771015:22777474:1 gene:LPERR02G26680 transcript:LPERR02G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLVPMPLLFLTSAPLTAVHLPSRCRLRLHLLSRAAPKSATTTAPESHFAIEEYLVSTCHLTQPQAAKASKSIAHLKSSSNPDAVLAFLAEFGLSTKEVAAVVASNPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEDVSLAVSKAPRILVASEERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKGRGLIEQDRCFFNVVAPTEEKFLDKFVTPFEECVPGLADAYESACAGKVPV >LPERR02G26680.2 pep chromosome:Lperr_V1.4:2:22770918:22777474:1 gene:LPERR02G26680 transcript:LPERR02G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLVPMPLLFLTSAPLTAVHLPSRCRLRLHLLSRAAPKSATTTAPESHFAIEEYLVSTCHLTQPQAAKASKSIAHLKSSSNPDAVLAFLAEFGLSTKEVAAVVASNPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEDVSLAVSKAPRILVASEERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKGRGLIEQDRCFFNVVAPTEEKFLDKFVTPFEECVPGLADAYESACAGKVPV >LPERR02G26680.3 pep chromosome:Lperr_V1.4:2:22766046:22777474:1 gene:LPERR02G26680 transcript:LPERR02G26680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLVPMPLLFLTSAPLTAVHLPSRCRLRLHLLSRAAPKSATTTAPESHFAIEEYLVSTCHLTQPQAAKASKSIAHLKSSSNPDAVLAFLAEFGLSTKEVAAVVASNPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEDVSLAVSKAPRILVASEERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKGRGLIEQDRCFFNVVAPTEEKFLDKFVTPFEECVPGLADAYESACAGKVPV >LPERR02G26680.4 pep chromosome:Lperr_V1.4:2:22773219:22777474:1 gene:LPERR02G26680 transcript:LPERR02G26680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLVPMPLLFLTSAPLTAVHLPSRCRLRLHLLSRAAPKSATTTAPESHFAIEEYLVSTCHLTQPQAAKASKSIAHLKSSSNPDAVLAFLAEFGLSTKEVAAVVASNPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEDVSLAVSKAPRILVASEERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKGRGLIEQDRCFFNVVAPTEEKFLDKFVTPFEECVPGLADAYESACAGKVPV >LPERR02G26680.5 pep chromosome:Lperr_V1.4:2:22776016:22778977:1 gene:LPERR02G26680 transcript:LPERR02G26680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLVPMPLLFLTSAPLTAVHLPSRCRLRLHLLSRAAPKSATTTAPESHFAIEEYLVSTCHLTQPQAAKASKSIAHLKSSSNPDAVLAFLAEFGLSTKEVAAVVASNPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEDVSLAVSKAPRILVASEERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKGRGLIEQDRCFFNVVAPTEEKFLDKFVTPFEECVPGLADAYESACAGKVPKKLFPIRGSISPILFSLHRALLSTAAASRGHFSAEAYLVGTCGLTAEQATKAAKYISHWKSPSKGDAVLAFLSGPDLGLSKDEIPRALASDPRVLNCSVAKTLKPRVDGFSAHGLSTAQIRRLICFSTKSFRSSKIHESLGFWIPFVGSVEELIRHATRSTYLLTASIEKVIKPNIALLRECGISDHDIARMCVANSRLLTCSRGTIKTSIARADKLGVSRHSRMYKQAVITMMSLHTDSVASKLKFLGKTFGWSEDEVAMVVRINPVLLRYSKERFRRASKFLMTVVGLDSKRILATPSILMYSLECRLMPRYYVMKVLEEKGLLQNKSFYSMITRRDELFRRRYIQPYKDVLPGLDDAYTAACKGELLEALY >LPERR02G26690.1 pep chromosome:Lperr_V1.4:2:22780984:22785925:1 gene:LPERR02G26690 transcript:LPERR02G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVQGKCFLKSKTEMLESSTGALNINVRMFGGAMLQSLKNPLALLHAVPLALVSSYSIRRLRFLSTAAGFDADDYLVGTCGLNPAQARKASKFVSHLKSPSNPDAVRAFLAEIGLTKSSAATVIARHPQILCSRVDKTLTPRIAELREIGLSPTQISRLVAVTPAIFGNPKRVRRIEFYLSFLGSYDKLYAMLRRHIRLVSYDIDRVIKPNLAFLQQCNLTPDDLVKVFVLVPRLFCKPQSHVQAVVRRVEEKFGVCRDEPMFRHALVTAYYLRQETINAKVEVFKLLGWRGDQVAEVIAKMPTVLHNSAERLLRIMDFLTREAGMDVETIVKCPAMLRYSIEKRLAPQLNVLKLHKEEGLPGSTYNLQTVAAMSKARFAKKFVLPYKESLPAITDAYAAAL >LPERR02G26700.1 pep chromosome:Lperr_V1.4:2:22787501:22788194:1 gene:LPERR02G26700 transcript:LPERR02G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLASVALRPAAAAASPSPAAASSPRSAASFARAAARGFPSLRLXGGVASENDTLEATVSDLEKLEKIFAEEAIAEFFDNPTVPRDEKAQLIDEIAKSSELQPHVVNFLNVVVDNGRAGLMTQIVREFENSYNSITGTEVATVTSVVQLESQDLAQIAQQVQNLTGAKNVRVKTRIDPELIAGFTIQYGRDGSSLIDMSVRKQIEEIASEFEMPAVTLDV >LPERR02G26710.1 pep chromosome:Lperr_V1.4:2:22790144:22793094:1 gene:LPERR02G26710 transcript:LPERR02G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPSTSSPWPPRHHGASAAAAARHVAAAAARGKRRGAGAAAEGADAAAEAAELVRFFLRKTNGGKERLVAVLDRHVRVVRTEHCFLLFEELGRRDGWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKGIDRCQPNIVTYNILLRAFAQAGDTKQVDILFKDLDESPVSPDIYTYNGVIDAYGKIGMIKEMESVLQRMKSKQCRPDVITFNILIDSYGRKQIFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLEKMIEMGFKPNYVTQECLIMMYAYCDCVSRARQVFDELVSSQSNVHLSSLNAMLDAYCMNGLPIEADQLLDTVIGKGVVPSASTYKLLYKAYTKANDKDLIQKLLKRMNKQGIVPNKKFFLDALEAFGNSEKKPRTVPSKNSVSKPDMNSAGNSGNDSSSKPNLSVGKLLREGGDQLVLTGSFSALPDGI >LPERR02G26720.1 pep chromosome:Lperr_V1.4:2:22792720:22794598:-1 gene:LPERR02G26720 transcript:LPERR02G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVFSKIATRNPHRFILASNPYSSNYRTASMNSNEMMEAQGTVSTEGNHSRFESVQSTPDIEKKYVHRVYDAIAPHFSATRFAKWPKVAGFLDSLRPGSVVLDAGCGNGKYLGFNADCFFIGCDISPPLIDICAGRGHEVLVADAVNLPYRNNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDRSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNIVLESIAETDEHIGAVKRRNDDLKRSSDGLEDNANVSCSDSSNIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNATIVDQFYDKSNWCIVLEKL >LPERR02G26730.1 pep chromosome:Lperr_V1.4:2:22795756:22798694:-1 gene:LPERR02G26730 transcript:LPERR02G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEIIPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSACKFPQAEQMWVYHTVTAPA >LPERR02G26740.1 pep chromosome:Lperr_V1.4:2:22803555:22804295:1 gene:LPERR02G26740 transcript:LPERR02G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVSPSDKRSSCRDRDCLGVGLRSLLVPDAVPAAHIVTRSVVALRSRVEGTTSRDEGECIDDEEEDGFWVAYGRQGRLRRLPPRLPSLRGALRRARTGDGRLVITEAPAGARRRHEYIRVQRGGGRLTMQLVESNDFHPLPSAAAATSPSQEEEEDDDEIVAVREVNDTSTAQEGEGTFAMRAINDTSTAVAVGEGVRGHMQEEAAAPMPALTPPAIGCFEDVVKYHSIGNTSLHQILRARMVH >LPERR02G26750.1 pep chromosome:Lperr_V1.4:2:22809257:22814978:1 gene:LPERR02G26750 transcript:LPERR02G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLLLLPLAAAAAAAAAEAAMMSARMVHQLSDEARLAAGPRAGRWPRRGSGEYYRALVRSDLQQQKRRVGGKYQLISFSQGGSIFPSGNELGWLYYTWVDVGTPSTSFLVALDTGSDLFWVPCDCIQCAPLSSFHGSLDRELGIYKPSESTTSRHLPCNHELCSTSSGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPLDGKLQTYVVNVDKSCIGHKCAEGASFLALVDSGTSFTSLPIDVYKSVTMEFDKQINASRATSDDDSFEYCYSTSRLEMPDVPTITLTFADNKSFQAIDPILTFNDKQGEPAGFCLALQPSPDHVGIIGRHDLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPGVTPAVAGRAPSSSGSATLQNLLDNSNLLLLLTMSTVFFIS >LPERR02G26750.2 pep chromosome:Lperr_V1.4:2:22809257:22814978:1 gene:LPERR02G26750 transcript:LPERR02G26750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLLLLPLAAAAAAAAAEAAMMSARMVHQLSDEARLAAGPRAGRWPRRGSGEYYRALVRSDLQQQKRRVGGKYQLISFSQGGSIFPSGNELGWLYYTWVDVGTPSTSFLVALDTGSDLFWVPCDCIQCSVICGASIMQDRELGIYKPSESTTSRHLPCNHELCSTSSGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPLDGKLQTYVVNVDKSCIGHKCAEGASFLALVDSGTSFTSLPIDVYKSVTMEFDKQINASRATSDDDSFEYCYSTSRLEMPDVPTITLTFADNKSFQAIDPILTFNDKQGEPAGFCLALQPSPDHVGIIGRHDLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPGVTPAVAGRAPSSSGSATLQNLLDNSNLLLLLTMSTVFFIS >LPERR02G26750.3 pep chromosome:Lperr_V1.4:2:22809257:22815088:1 gene:LPERR02G26750 transcript:LPERR02G26750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLLLLPLAAAAAAAAAEAAMMSARMVHQLSDEARLAAGPRAGRWPRRGSGEYYRALVRSDLQQQKRRVGGKYQLISFSQGGSIFPSGNELGWLYYTWVDVGTPSTSFLVALDTGSDLFWVPCDCIQCAPLSSFHGSLDRELGIYKPSESTTSRHLPCNHELCSTSSGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPLDGKLQTYVVNVDKSCIGHKCAEGASFLALVDSGTSFTSLPIDVYKSVTMEFDKQINASRATSDDDSFEYCYSTSRLEMPDVPTITLTFADNKSFQAIDPILTFNDKQGEPAGFCLALQPSPDHVGIIGRHDLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPGVTPAVAGRAPSSSGSATLQNLLDNSNLLLLLTMSTVFFIS >LPERR02G26750.4 pep chromosome:Lperr_V1.4:2:22809257:22815088:1 gene:LPERR02G26750 transcript:LPERR02G26750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLLLLPLAAAAAAAAAEAAMMSARMVHQLSDEARLAAGPRAGRWPRRGSGEYYRALVRSDLQQQKRRVGGKYQLISFSQGGSIFPSGNELGWLYYTWVDVGTPSTSFLVALDTGSDLFWVPCDCIQCSVICGASIMQDRELGIYKPSESTTSRHLPCNHELCSTSSGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPLDGKLQTYVVNVDKSCIGHKCAEGASFLALVDSGTSFTSLPIDVYKSVTMEFDKQINASRATSDDDSFEYCYSTSRLEMPDVPTITLTFADNKSFQAIDPILTFNDKQGEPAGFCLALQPSPDHVGIIGRHDLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPGVTPAVAGRAPSSSGSATLQNLLDNSNLLLLLTMSTVFFIS >LPERR02G26760.1 pep chromosome:Lperr_V1.4:2:22815501:22816327:1 gene:LPERR02G26760 transcript:LPERR02G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIKRVLSMLLGAVSGGQRDKRKRMQRRRQQQQITVELRVRMDCERCEREVRRALAGMRGGQHVEVSRRQQKVTVTGSVDPHEVLRRVQSTGKKAELWPQCPSYSSAAAAAAMVHCGIGPPHDRWAPACHPRNMDAAVGAEHIANMFSDDNPNACSLM >LPERR02G26770.1 pep chromosome:Lperr_V1.4:2:22821794:22823590:1 gene:LPERR02G26770 transcript:LPERR02G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMLGKRQRSLGAMHRTTSMASVPSAAKQGRHVTDGAPRAAPPPGVRIGAGGRPVAQRRFSGGYHAAGVERTAFLKNCALCGKALGPGKDTYIYRGEVAFCSMECREYMIEYHEPAGEQNCSLTSIRDTPSVSGASGSDQQSGSGGGETVAAA >LPERR02G26780.1 pep chromosome:Lperr_V1.4:2:22827274:22829219:1 gene:LPERR02G26780 transcript:LPERR02G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPISSLSLVASNPIPSPPVAAKPSRALTVAPCSSSSSSSSSPPSTSCSAAALPVASSSAGRRGLLALGAGFLASAGLLCPAGDAWATRIEYYATVGEKLCEMNVVKSGLGYCDVEVGTGAQPPRGQLINIHYTARFTDGIVFDSSYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPAILAYGPEPAGCFSGDCNIPGNTTLLYDIFLVGFYKQQAELYALGT >LPERR02G26790.1 pep chromosome:Lperr_V1.4:2:22835574:22838820:1 gene:LPERR02G26790 transcript:LPERR02G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSAKSLCAGPSFETRTSKVGFVSVRRCCLGARKLGLVCASNSQSSVMEPAQLPLSPQSGSTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQSHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTRSLAQYRQKLDNMFQ >LPERR02G26800.1 pep chromosome:Lperr_V1.4:2:22839353:22844008:1 gene:LPERR02G26800 transcript:LPERR02G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNVPCDIPKPASVDEFVKNGKKKSFMSSIFRKKGRSGTGSSDKRLHSRRDIVLDRHCTTKIENLTLSCLEPPNRQNFDTREYRVFVGTWNVAGKPPNSTLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDHSSGDELSPPETSSSDNNNSSSRQQGCAGGGRDTAIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSALQRRARDMREFIYRVEASPPPSSLSAAACEDDAPPVDAGDLSRSSGLNYCLIASKQMVGIFLSVWVRREIVQYIGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMEENDWDTLLEKDQLMIERQAGRVFKGWREGKIYFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRILWHGQGIDQLQYIRGESRFSDHRPVCSVFVVEADVDNGSKIRKGYSTLDSRIHCEPAMPQRHSFYDDF >LPERR02G26810.1 pep chromosome:Lperr_V1.4:2:22842860:22845935:-1 gene:LPERR02G26810 transcript:LPERR02G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSANNGHGKEVQLFEQQLPKIGEVRAALGQLSGKTALYCSDASIARYLVARNWDVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTHYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPQGQSQMVWLIDFAGFNLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPDTNKIMEDLFNMEELESAFGGKNQTTFNIDDYAARMREDDKRMPLFWSPENSVLASEPYVMMNKDMPREGSSGLKTEETSSEKREETESGSENREETESESEKEETESASEKREETEAVSESQKREETETDSEKGKEVGTSSSAVELPNLPGEGKGTTLADKSGCS >LPERR02G26820.1 pep chromosome:Lperr_V1.4:2:22848167:22852612:-1 gene:LPERR02G26820 transcript:LPERR02G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARFEFPMLLLATALAMASRVAVVATDPPFSCGAPSSAAFCDTRLPIERRADDLVSRLTLEEKISQLGDQSPAIERLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYGLAGAINSTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVAIIHDAQGYAKTAEDAVADVLKAGMDVNCGNYVQQHGLSAVQQGKVTEQDVNRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALQAAQDGIVLLKNDANALPLSKSKVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNLAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDITFAKNNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGKTVYNFGYGLSYSKYSHRFVAKATKPPSLSSINGLNSLKAMAKSAAGTVSYDVEEIGLEACDKLKFPALVRVQNHGPMDGKHPVLLFLRWPNATDDVGRPASQLIAFQSVHLKSMQTAHLEFEVSPCKHFSRAIEDGKKVIDHGSHFMMVGDDEFEMSFTP >LPERR02G26830.1 pep chromosome:Lperr_V1.4:2:22856862:22861450:-1 gene:LPERR02G26830 transcript:LPERR02G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPLDLEKSTGGMKIVRVEKAAEPTKEFDEAAKASGGAARNMLSPFDGIAKENFVRSSIFREAKIQHMAAEAAGLLSKESDDVRNCATSLGSSGFHDKEQYSSLESEGGCEEEEDDMDSEFVAYTRESSKMTTNDGECLTQEETAGSSGNQKLLPSLDFTTGFDDMPSNAQHQSLQNGGLEDADPTKSCACSFCLKAAFMWTDLNYQDSKGRLAALKKSIKFARSLGVRSQGNEYAGNAGRYNLKRVAEMEFELYQQQRSLFLHTENILIRESAQLHSSLVKLKELRENCKTDLEIVTGSSVGGVQLCKIAVGAAIATLILQVR >LPERR02G26830.2 pep chromosome:Lperr_V1.4:2:22857479:22861450:-1 gene:LPERR02G26830 transcript:LPERR02G26830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPLDLEKSTGGMKIVRVEKAAEPTKEFDEAAKASGGAARNMLSPFDGIAKENFVRSSIFREAKIQHMAAEAAGLLSKESDDVRNCATSLGSSGFHDKEQYSSLESEGGCEEEEDDMDSEFVAYTRESSKMTTNDGECLTQEETAGSSGNQKLLPSLDFTTGFDDMPSNAQHQSLQNGGLEDADPTKSCACSFCLKAAFMWTDLNYQDSKGRLAALKKSIKFARSLGVRSQGNEYAGNAGRYNLKRVAEMEFELYQQQRSLFLHTENILIRESAQLHSSLVKLKELRENCKTDLEIVTGSSGAK >LPERR02G26840.1 pep chromosome:Lperr_V1.4:2:22868123:22870223:1 gene:LPERR02G26840 transcript:LPERR02G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAATSSEEEQVVEVDVVVEEAVVVAAVDALFDDDVADKQQKKRRKKKKKKRRRAPSEEEVAALRSVLRWARHGEAGDDDDEAAVLTVTRRPRVAVELHAHSACSDGSLSPSSLVHRAHRNGVKVLALTDHDTMAGVAEATESAKHFSIRIIPGVEISAMYSPSDGIGVEEPVHILAYYGSLGPSKPQELNRFLGSIRDGRYTRAKEMLQKLRRLDMPIELEDVCTIAGDGVAPGRLHVARAMVKAGYVDNLRQSFSRYLYDGGPAYATGKEPTGESVVQLICRTGGVAVLAHPWSLKNHVDVIKDLKAAGLHGIEVYRSDGKLSGLSDLADTYDLLKLGGSDYHGRDEKEEPDVGSVDLPVLAVSGFLDVAQPIWHNSTKEILADMTERTPGLNGSKRFQWTRSGKDFCNLCLLSPGLKVTDDSGVEVIQTEFAEIALSSRGP >LPERR02G26860.1 pep chromosome:Lperr_V1.4:2:22890356:22891054:1 gene:LPERR02G26860 transcript:LPERR02G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTHLGPAQIQQIQAQFLAQQQRQQRGLAGAFLGPRGQPMKQSGSPPHVATAFAPAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPSLRRGGAHLAGPLHASVDAKLTAICNSLATKNTPAESAASAAEEPDSPKCSASTEGEESVSAGSPPPPTTPPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >LPERR02G26870.1 pep chromosome:Lperr_V1.4:2:22910588:22913156:1 gene:LPERR02G26870 transcript:LPERR02G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVSEMGIVAAGAALTVGPGAGGLFACRSAAAGGMSSMRQTYLDLAVAAAAARSAASCSATTWADAMRASSPTRSSRSAADVDEFTAWVRKHPSALSKFEEIAGASKGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRSAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESVLCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCSDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKETNASYSLQDPTEVMEFLLRLVEWKRKSSSSPLMIRPRV >LPERR02G26870.2 pep chromosome:Lperr_V1.4:2:22910588:22913639:1 gene:LPERR02G26870 transcript:LPERR02G26870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVSEMGIVAAGAALTVGPGAGGLFACRSAAAGGMSSMRQTYLDLAVAAAAARSAASCSATTWADAMRASSPTRSSRSAADVDEFTAWVRKHPSALSKFEEIAGASKGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRSAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESVLCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCSDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKETNASYSLQDPTEVMEFLLRLVEWKRKSSSSPLMIRPRV >LPERR02G26880.1 pep chromosome:Lperr_V1.4:2:22920415:22925861:1 gene:LPERR02G26880 transcript:LPERR02G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSRRIRPKKFSASDQHQRRDSPGPTATTHQPLPFYTPIQSPDLRSSLSHPQHIATSILDRTRIADRSMAKLSIPLLLFLLVAASSAATVLAHRRNLPIPIKMVRGGDVAGAGAVEGDKMECVYTVYIRTGSIWKAGTNSNITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAASGPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLEAVRDMCSRSTAA >LPERR02G26890.1 pep chromosome:Lperr_V1.4:2:22926418:22927027:1 gene:LPERR02G26890 transcript:LPERR02G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAEGARIGGDLMVEASDSKMTKGAVDELYKIWIKAYGVPSFARCEEVIIALVDLVGEVRVGR >LPERR02G26900.1 pep chromosome:Lperr_V1.4:2:22934119:22935054:1 gene:LPERR02G26900 transcript:LPERR02G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASSEPGKRRHSTSRAGPPPPSSAALFKAASRNPSCRGEDLPVDDEPSSPKVTCAGQIKARRPTTAAKPKIAGAKGKKATTWLQALGIKKVDALPFLNALQGAFRINIAGCFGSFPGGAVVEYTSGEDDDDEEEEEEDELAGKDANSEHGAALAKWFMVLEEGKKVSSKKRQQESAEKQEEVAPPANALMLMRCRSAPAKGIPRRLGGDAEEEVTIKSAKEEEEEEEEEEENKERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >LPERR02G26910.1 pep chromosome:Lperr_V1.4:2:22938167:22941026:-1 gene:LPERR02G26910 transcript:LPERR02G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPPSGCAMFGIYSGMFRRRRSNSMSSLSPIDGVAPPAAADHSHEAKPASAPAKPAHRKQGDDSSSLVHRPVMPLPATNSVLQRVHPPAKSAANGGAKNAAAAASAEYTGMAAELDKMIHDHQRVKGTNQLVRATSGNMMLHRNLGNLNGGASGRSSVDRNSPNKAANSDRKATNGYAFSGLGNIVKEAKAPSPAPASELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPSRPAYWSNKAAALAALGRLIEAAGDCREAVRIDPSYGRAHHRLGGLYLRLGEPDKAIHHFKQSANDSTGADVLRAQSVKTRVAKCLDARKLRNWITVLQESQAAVADGADCAPQVMALKAEALVKLQRHDEADAVQGGAPRFGVDDSTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRDAGNNAVLLCNRAACHARLARYEKAVEDCDGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKVRSQRNGGISSRS >LPERR02G26920.1 pep chromosome:Lperr_V1.4:2:22947478:22948053:1 gene:LPERR02G26920 transcript:LPERR02G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCDPESTAPPPHTAFSARSHHPCIGVVSGRPEQYHHHAVGTKLQPSAGGNAAAQQHHQRASTKKRHAAAAPRPSTSSSRRSSTTVVATDVSNFRAMVQELTGFPPAAIFRPLPSRVPVHAAPNPLGAVQHGCGGGGGGAVHGHSSDTSTAAGSGSSPAVQLPPCSPAVGVFDGLPDLGSPEFESWPDLN >LPERR02G26930.1 pep chromosome:Lperr_V1.4:2:22949204:22951581:-1 gene:LPERR02G26930 transcript:LPERR02G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVADDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRTYAEAYGEELLRALNDEIHGKFERAVILWTLDPAERDAVLANEEARKWHPGGRALVEIACARTPSQLFAVKQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVTAYRYDGPEVNTSLAHSEAKILHEKIHHKAYSDDEIIRILTTRSKAQLLATFNSYNDQYFEKIIRLALGGMGTDENSLTRVITTRAEVDLKLIKEAYQKRNSVPLEKAVAKDTTRDYEDILLALLGAE >LPERR02G26940.1 pep chromosome:Lperr_V1.4:2:22955804:22967952:1 gene:LPERR02G26940 transcript:LPERR02G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTMLLQGHDKSRISPAGFLAMSRPTQGTGSGNNIGYSDGRSINESCGKRSSYPQTYKKDVTVPKSTKPIIFDADEYARISTVSEVPSSQGMQDEHQNKGKDLLYCDWSELDSLDDFETILRSLESTFEIGSNHFEDPLWSSVCLPDAELVPSSRLYDNSNLSTISNESTTKSIPSSISVPETTNTEPLFLDQINTANPMNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26940.2 pep chromosome:Lperr_V1.4:2:22955804:22967952:1 gene:LPERR02G26940 transcript:LPERR02G26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTMLLQGHDKSRISPAGFLAMSRPTQGTGSGNNIGYSDGRSINESCGKRSSYPQTYKKDVTVPKSTKPIIFDADEYARISTVSEVPSSQGMQDEHQNKGKDLLYCDWSELDSLDDFETILRSLESTFEIGSNHFEDPLWSSVCLPDAELVPSSRLYDNSNLSTISNESTTKSIPSSISVPETTNTEPLFLDQINTANPMNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26940.3 pep chromosome:Lperr_V1.4:2:22955804:22967952:1 gene:LPERR02G26940 transcript:LPERR02G26940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTMLLQGHDKSRISPAGFLAMSRPTQGTGSGNNIGYSDGRSINESCGKRSSYPQTYKKDVTVPKSTKPIIFDADEYARISTVSEVPSSQGMQDEHQNKGKDLLYCDWSELDSLDDFETILRSLESTFEIGSNHFEDPLWSSVCLPDAELVPSSRLYDNSNLSTISNESTTKSIPSSISVPETTNTEPLFLDQINTANPMNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26940.4 pep chromosome:Lperr_V1.4:2:22955804:22965041:1 gene:LPERR02G26940 transcript:LPERR02G26940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTMLLQGHDKSRISPAGFLAMSRPTQGTGSGNNIGYSDGRSINESCGKRSSYPQTYKKDVTVPKSTKPIIFDADEYARISTVSEVPSSQGMQDEHQNKGKDLLYCDWSELDSLDDFETILRSLESTFEIGSNHFEDPLWSSVCLPDAELVPSSRLYDNSNLSTISNESTTKSIPSSISVPETTNTEPLFLDQINTANPMNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26940.5 pep chromosome:Lperr_V1.4:2:22955804:22965041:1 gene:LPERR02G26940 transcript:LPERR02G26940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26940.6 pep chromosome:Lperr_V1.4:2:22955804:22965041:1 gene:LPERR02G26940 transcript:LPERR02G26940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQQPSSKERSLTPLNHEAVACSSSREIEQFSQHSDADVFCPFDNVTSAVRINCCEGLEAIFCSNQEMLAPVTSSSIMCNDEIVSSTTFSSPDLVTTYVPRSMKKSHDPSNGTPDMVLDEMAENPLEMYFPPLTAYEHPEHLNNATLTQTRRFPEGFAGDDVLKSADLQFFSKGKTPADFYVNPSSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANCVEQRHCVASTTDNHLGVMESSVSTRRREMQMNPVDRSVAQLLLQKPLHHRSAPDAALGFAP >LPERR02G26950.1 pep chromosome:Lperr_V1.4:2:22967453:22971780:-1 gene:LPERR02G26950 transcript:LPERR02G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAIPIPAPPPPPPPQAVVGAVMDDAEKERRRRRRAPRRTKQGAGPVVAQQEGESAGAGPRSCRSMPPMHVGAPVDGEAEEAAAGTSRSCPLLPTPRGPVEAPPVAGMGRGAASGRRFFQSHWPEQAVEGAIKGGNAFMGKFRVNAHNRNEASIASLDSLLAYCTIEGIPVDVLITGVAQNRAIEGDLVAIAIEPVVYWTRMKGPNITCNPAIGEGSAVREVSETNGNRDGKKGQTDASCRFGNCSNGQPVSDRMHYRHKNSGFSQAVKCENGHATVSDSEDLGEGKTVASRALHRICSMVYSNPSRRPTGKVLSIIKNSPRRDTVVGFLGPFSELPDSEQQKNQMSMQVSKRMNHRASSYSSGLILLMPTDPKFPCMVVSASTLPDSVRKQLEEGDTAKELVAARISEWNEESLYPCAHVIKFLGKGGQVKTHMDAILFENAISDAEFSPKSMACLPDISWKIPQEELEGRKDLRNVLAFTIDPPTASDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRHKISMLPSRLSEDLVSLNPGADRLTFSIIWDIDPHGNIVNRWIGRSVIFSCCKLSYDLVHDLVCSDGTKSRSASSIQVHGKFELEDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMILFDEGGAPCDSCRYERNDACFIVEELMLLANMSAAEVISNAFPSCALLRRHPEPNLRKSKEFEAFCARNGFELDGSSSGQLHLSLPRMKDKLKDDPVLFDILMFYASKQMQSAEYFCTGDLISRRDDWAHYALSIPLYTHFTSPLRRYPDIIVHRTLNAVIEAEMMYLKHKRSLFGQNGFNIASSEMIHGCFTGLQFSKDAAESKEGIEALSAAAKKFKVPSSDNLGEVAEHCNERKWASRRAKEAGQKLYMWALIKRKEVLVTNARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDACRNRPPQRRGNQVKYRAFEEVAMIINPSESFLSEEDEESRAAEAGWDTAKSVLMSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAVGGEDSAVDIGVRLYMSSYFK >LPERR02G26960.1 pep chromosome:Lperr_V1.4:2:22975979:22977958:1 gene:LPERR02G26960 transcript:LPERR02G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLTSTPRGLAAVSTPRAAFPSLLIGGGASLARFPGLAAGRGDSAVARMAKREQELEEIRAMTTEAIEEEVVDLKGELFVLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >LPERR02G26970.1 pep chromosome:Lperr_V1.4:2:22978498:22983035:-1 gene:LPERR02G26970 transcript:LPERR02G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDDKLMEYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTSAEKDTILQLHAVLGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHRPRTDFFAALPQLIALANLRNLVGQMPWDDPAASGLQQQQAEAAKFGYMHLQALLQPPPSTATSPKSGSIAGAMMAGDMEQMGAGLLSPPPMSSLSPLPSPMSTSSLSPLPSPMSSTVALSPLPSSSFFNGGHGSFVAGQLPSIHQMHGSSLFNQTPAAIINNSNHNPDYAPNNGATKTTTTLLLSEDSLPPLTDYPAISNLGDVCSATSCDGNITELPVLSDALFDELMRDYNHKFWLKGQATWA >LPERR02G26980.1 pep chromosome:Lperr_V1.4:2:22995825:23004655:1 gene:LPERR02G26980 transcript:LPERR02G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGAGGNGGYSSTRAWIVAGVSVAGVVVLVGAARRSRRWLRERSDSPPDSGAFCDRFVLCPAPQPPPPAARQLLSGLTFAASDNFEIEEFVAGFGNPDWKRTHKAATRTAIAVTMLRKQGGTYIGTTVMDELGFGVTGANLHYGTPINPASPLLFPGGSCSGSAVAVSAELVDFALVQRNLLPALSDLLKPETLLGTDSTGDVRIPACFCGVLGFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQIRQLYFADDCFELLSLLKVPNEKTINVIENAIQTLPGYQTPKHINVGQYISSHVPSLKDFVDPTMEMPEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDISTDVLQAVNSTSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNAKQRLSSAFKDRLHAFVAIATLSGCCQAVMPFGSHNDHPISLSFVAAHGSDKFLLRNVLYMYSSIKEQVVLASKLVIAPVINRDADFGAAESLKEKGNNAFKGRQWNKAVEHYSDAIKLNDTNATYYCNRAAAYIELGCYKQAEADCDQALLLDKKNVKAYLRRGVSREAVLNYQEALKDIRHALALEPQNKAGLLAERRLQKKLR >LPERR02G26980.2 pep chromosome:Lperr_V1.4:2:22995825:23004655:1 gene:LPERR02G26980 transcript:LPERR02G26980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGAGGNGGYSSTRAWIVAGVSVAGVVVLVGAARRSRRWLRERSDSPPDSGAFCDRFVLCPAPQPPPPAARQLLSGLTFAASDNFEIEEFVAGFGNPDWKRTHKAATRTAIAVTMLRKQGGTYIGTTVMDELGFGVTGANLHYGTPINPASPLLFPGGSCSGSAVAVSAELVDFALGTDSTGDVRIPACFCGVLGFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQIRQLYFADDCFELLSLLKVPNEKTINVIENAIQTLPGYQTPKHINVGQYISSHVPSLKDFVDPTMEMPEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDISTDVLQAVNSTSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNAKQRLSSAFKDRLHAFVAIATLSGCCQAVMPFGSHNDHPISLSFVAAHGSDKFLLRNVLYMYSSIKEQVVLASKLVIAPVINRDADFGAAESLKEKGNNAFKGRQWNKAVEHYSDAIKLNDTNATYYCNRAAAYIELGCYKQAEADCDQALLLDKKNVKAYLRRGVSREAVLNYQEALKDIRHALALEPQNKAGLLAERRLQKKLR >LPERR02G26990.1 pep chromosome:Lperr_V1.4:2:23005628:23007565:1 gene:LPERR02G26990 transcript:LPERR02G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQQRRNQLRTPMDPLASFAASFLSALFPPLPSSSSAADRSTSTVLLLPLPVAAARALTVLRRLLLIATQSFISLFFMLLSVLSPPPPPPPPALAPSLPRADPSCPAGATCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRAGGARVEAVNRAALSGAFARTLRQLDEAAAGGNEWLPGMELAVRAVRSGMRWWRPTEAAAAAAGLDEGFGGPAAEKLAAELLWLGQKMADCGAAREAATQFGAASRLGCRALVAEPTLQVALLRLAVFLFRHANSREFELCPGGNEKGAIAEQRVSLLRSWLPLLCRGSNGTDAPVLSSKERTEMVAVLDELIGKLEWEQQEEILALWLHHFAACPDTDWPNLESCYTRWYAESRRLLV >LPERR02G26990.2 pep chromosome:Lperr_V1.4:2:23005628:23008616:1 gene:LPERR02G26990 transcript:LPERR02G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQQRRNQLRTPMDPLASFAASFLSALFPPLPSSSSAADRSTSTVLLLPLPVAAARALTVLRRLLLIATQSFISLFFMLLSVLSPPPPPPPPALAPSLPRADPSCPAGATCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRAGGARVEAVNRAALSGAFARTLRQLDEAAAGGNEWLPGMELAVRAVRSGMRWWRPTEAAAAAAGLDEGFGGPAAEKLAAELLWLGQKMADCGAAREAATQFGAASRLGCRALVAEPTLQVALLRLAVFLFRHANSREFELCPGGNEKGAIAEQRVSLLRSWLPLLCRGSNGTDAPVLSSKERTEMVAVLDELIGKLEWEQQEEILALWLHHFAACPDTDWPNLESCYTRWYAESRRLLV >LPERR02G27000.1 pep chromosome:Lperr_V1.4:2:23007668:23012410:-1 gene:LPERR02G27000 transcript:LPERR02G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLLRRPRFALSPIPTFRASSFPRLHQRLFASAPSSSAVEDGESSSSPVMPPDGSSVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRIMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCVIVDKLGKLTREEIEKELITTGLSSEAVQGIIEVLSLKSLPKLEEVLGSNVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDVPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPASSVASSLRRKGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEYEVKADELQ >LPERR02G27010.1 pep chromosome:Lperr_V1.4:2:23012647:23017085:1 gene:LPERR02G27010 transcript:LPERR02G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAGARKLAGAFRLLAAGRVSGRNPLARAPPCEASILPHCSYSAVKTGERGGSTDGRKRSRGRRIVTIGVISIAGGVALSALNDLAIFHGCSSKAIKKASENREVVEAIGVPIVRGPWYDASLAVGHRRRSVSCTFPVSGPHGSGIFQFKAIRNGEDGLLSFLRHHDWEILIMEAHLHVPSDDDMQKTLKIDLTGSPDHPS >LPERR02G27020.1 pep chromosome:Lperr_V1.4:2:23015916:23018063:-1 gene:LPERR02G27020 transcript:LPERR02G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLCWIALVVPALAAAAAAAEPRPGACPVPAAADEILGPRGTCAPLDQRGDPVGVIEGDEVTLAKAVNLLHINKDDYIAVLFYASWCPFSQECRPNFEILATLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLSAFYRDVSGFDASMTSANLEAVHSLDGIELKKDTEQENCPFWWARSPENILQQDTYLALATAFVILRLLYLLFPKIGSFAKQVWRRHTLFPNLVGVHEYFFTYLEQARQKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >LPERR02G27030.1 pep chromosome:Lperr_V1.4:2:23020161:23024551:-1 gene:LPERR02G27030 transcript:LPERR02G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALYRGASGIGGKPAAYDAADEARYDPKEPSENGIGGGGGGRGRKRHLVAAAVKIGVLVLAAAALVGSVVWAGSLYAGRGAAAAAAAAAASRGYRRLQEQLVTDLLDIGELAGGGVRAREAEVCVPEYENYVPCYYNVTDAVDVADLGGGVVISYERQCTREGKIACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMTGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNVEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRAIRDFADSLCWEMLSQQDETIVWKKTNKLDCYTSRKSGPVLCSHDPESPYYQSINPCIAGTRSQRWIPIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKRQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLLRTKFSLNTRCMGQLTRHGSSWNRKLPLDRSGKCMLQSRGLGG >LPERR02G27040.1 pep chromosome:Lperr_V1.4:2:23030516:23033029:-1 gene:LPERR02G27040 transcript:LPERR02G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNEAQPPAESAEASEPPPPPVPMDQDEGQAAAAEPMEGGEAEGAAADADPMEDEAADEAGAAETMEDDPPTSSPTPSAPSATTAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRAAASAPTSAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLPRIGGADQANYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSIPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEHPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADSIPHGVDVSLGMALEAFREAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGVMVYTDKQTFRGDMVLCTVPLGVLKKGDIQFMPELPPQKREAIERLGFGLLNKVVLLFPYDFWDGRVDTFGHLTEESGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTLPAENVEKVLDTLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVSDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKNMDVNDEVKYDVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTCDPDSVSLLRVGIGARKLGSGSLFLYGLITRKNVANLAAMDGDEQRLSTLYRDFGTKLVALDGLGDSGSSLILRIKAAARK >LPERR02G27050.1 pep chromosome:Lperr_V1.4:2:23037329:23044891:1 gene:LPERR02G27050 transcript:LPERR02G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPYMSGRMPTQQMTAQPHPMYYPPQFGYWYPPDYPYQQAVYNSQMLQHYYPQLYSPASPSTPSYQYMGYMTGGPGPRSGFSPVQQAARPPFVQQSAAQFEGSFPPGPSLPPDFRLQLPPHAVSRQPDDITGSQTAPSASASAVSTTDNKEASKPIGSNSDLNTSN >LPERR02G27050.2 pep chromosome:Lperr_V1.4:2:23037329:23044891:1 gene:LPERR02G27050 transcript:LPERR02G27050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAGGSPAAHAPAGGVGGGGVPNHRTRFGDTTLTKVFVGGLAWETPSEGLHEHFEKYGEILEAVVITDRETGKSKGYGFVTFREAEAAREAVREPNPTIGGRRANCNIASMGPPRPPPPSRERAPRGSRFPHQPHMGPQPYMSGRMPTQQMTAQPHPMYYPPQFGPASPSTPSYQYMGYMTGGPGPRSGFSPVQQAARPPFVQQSAAQFEGSFPPGPSLPPDFRLQLPPHAVSRQPDDITGSQTAPSASASAVSTTDNKEASKPIGSNSDLNTSN >LPERR02G27050.3 pep chromosome:Lperr_V1.4:2:23037329:23042878:1 gene:LPERR02G27050 transcript:LPERR02G27050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAGGSPAAHAPAGGVGGGGVPNHRTRFGDTTLTKVFVGGLAWETPSEGLHEHFEKYGEILEAVVITDRETGKSKGYGFVTFREAEAAREAVREPNPTIGGRRANCNIASMGPPRPPPPSRERAPRGSRFPHQPHMGPQPYMSGRMPTQQMTAQPHPMYYPPQFGCQGAQRAHVSPFSSCWVLHDGPLNLGRREGDSSSAMANN >LPERR02G27050.4 pep chromosome:Lperr_V1.4:2:23037329:23044891:1 gene:LPERR02G27050 transcript:LPERR02G27050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPYMSGRMPTQQMTAQPHPMYYPPQFGPASPSTPSYQYMGYMTGGPGPRSGFSPVQQAARPPFVQQSAAQFEGSFPPGPSLPPDFRLQLPPHAVSRQPDDITGSQTAPSASASAVSTTDNKEASKPIGSNSDLNTSN >LPERR02G27050.5 pep chromosome:Lperr_V1.4:2:23037329:23041915:1 gene:LPERR02G27050 transcript:LPERR02G27050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAGGSPAAHAPAGGVGGGGVPNHRTRFGDTTLTKVFVGGLAWETPSEGLHEHFEKYGEILEAVVITDRETGKSKGYGFVTFREAEAAREAVREPNPTIGGRRANCNIASMGPPRPPPPSRERAPRGSRFPHQPHMGPQPYMSGRMPTQQMTAQPHPMYYPPQFGSMGSVAKEHSGPTCPHFHLVGSCMMAH >LPERR02G27050.6 pep chromosome:Lperr_V1.4:2:23041686:23044891:1 gene:LPERR02G27050 transcript:LPERR02G27050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPEQAVYNSQMLQHYYPQLYSPASPSTPSYQYMGYMTGGPGPRSGFSPVQQAARPPFVQQSAAQFEGSFPPGPSLPPDFRLQLPPHAVSRQPDDITGSQTAPSASASAVSTTDNKEASKPIGSNSDLNTSN >LPERR02G27060.1 pep chromosome:Lperr_V1.4:2:23044188:23045114:-1 gene:LPERR02G27060 transcript:LPERR02G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSRPHAVLVPYPAQVHVTPLLQLAKVLHSRGFHVTFVNTEYNHRRLLRSRGAAALAGLDDFWFETIPDGLPPPSDSDDDDVTQDIPTVCTSFLKNGPAAFRVLLARLSCAPGTPPVSCVIPDGVMSFVQRVASDMGILAVAFWTTSACGFMGYLIPEEFVGEMKERGLFLSWCPQDQVLAHPATVLFLTHSGWNSTLESICAGVPMICWPFFAGQITNCRYACTKWEIGLEIDSDVTREEVARLVHEAMDGEKSRDMRAKAMAWKERAVAATQDGGTSSAEIDRLVEFLLSAGSDHMQAEIIFLL >LPERR02G27070.1 pep chromosome:Lperr_V1.4:2:23047044:23048639:-1 gene:LPERR02G27070 transcript:LPERR02G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETETSTRRQPHAVLIPQPAQGHVTPMLHLAKALHARGFHVTFVNSEYNRRRLLRSRGAGALAGAAGFRFEAVPDGLPESGNDDVTQDIASLCVSTTKHSAEPFRQLLVRLNGTPGSPPVSCVIADGVMSFAQRVADEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTPIDWIPGMGGIRLKDVPSFIRTTDREDVMLNFDGGEAQNARKARGVILNTYDALERDVVDALRREFPRVYAVGPLQAFARAARDEVGDIGGNLWKEDTSCLRWLDEQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVSGKNAMLPEEFVGETKERGILASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCRYVCDKWGIGMEIDSNVSRTEVARLVREAMEGDRGKAMRVNAMVWKEKAKEATEEGRSSSRNLENLIEFLHSGSDAAI >LPERR02G27080.1 pep chromosome:Lperr_V1.4:2:23060464:23062667:1 gene:LPERR02G27080 transcript:LPERR02G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANCHPGPILVRNKYEIIYPLHYKCDSSSAHATQVHNSPNRSHRHQFTTSTTIHPRDASKHRGERETKTKHMGSLGGAAAGEKPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTHAAAAVSGDVVVPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKESCLGPFRRLLARLNDDAAATGHPPVTCIVSDVVMGFSMDAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVNQLTNGYLDTAVEDVPGLRNMRIRDFPSFIRTTNPDEYMVGYVIEVTERCAGASAIIVNSFADLEGDAIAGMEALGLPKVYALGPLPLLSREDPPTPRSSISLGLWKEDASCLRWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFVAETAGRGLMASWCQQQEVLDHPAVGAFLTHSGWNSTLESLAAGVPVISWPFFADQQTNCRYQCNEWGVGIEIDSNVRRDAVAGLIDEVMDGEKGKEMRRKAEEWRLKTIEAAKPGGPSRRNFEDLVRHVLLAKN >LPERR02G27090.1 pep chromosome:Lperr_V1.4:2:23065577:23066049:-1 gene:LPERR02G27090 transcript:LPERR02G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPNRALLAAMILLSFLLAAATGIRTTTLSSSQNLTEDKSRLGSTPPSCHNRCNACNPCTPVQVAALPGISRPARAGDRVEIAGFAQYSNYKPLGWKCRCAGRLFDP >LPERR02G27100.1 pep chromosome:Lperr_V1.4:2:23071200:23072129:-1 gene:LPERR02G27100 transcript:LPERR02G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLIAVVLLSMVQLSMGSRRLMELYIPPESDRLTYHHGSVLSGDIPVSILWYGNFSPTQRSIIANFVVSLTGAPNAATPSVGQWWDTIEQLYLSNAATTNGQTATRVLLDEQVSDDQCSLGKSLTLAQIDQLAARVATKKGGVALVFTDEDVTVEGFCSSRCGKHGSDAAAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGAPLVAPNGDAGVDGMVMVLASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANGRKYLLPALYNPATSSCDTLV >LPERR02G27110.1 pep chromosome:Lperr_V1.4:2:23074848:23077118:-1 gene:LPERR02G27110 transcript:LPERR02G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALLPATHPAATPPATTQAAVPPSFPSSYVPGAWFLPGAQQSMSPSAAPYWLTGLHQSGIAGSSAEGSRWFPAGIGASASAAPNPEHPDLQALILLSWVDCYRCYIELHALPMPKASSKLIA >LPERR02G27120.1 pep chromosome:Lperr_V1.4:2:23079377:23080327:-1 gene:LPERR02G27120 transcript:LPERR02G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANAVILALLVLVSTAQLSMGARRRMELYKPDPADMLSYHQGAVLQGDIPVSIFWYGKFTPAQKSILFDFLMSLSVAPYAAAPSVAQWWGSIDQLYLSKAVQTNTNGNGHQIKKNQVLVANQLTDTKCAMGKSLTLAQISALAAQAKPRKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTTYIWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDVGVDGMVMNLASMLAGTVTNPFGDGYYQGAKDAPLEACSACPGVFGSGAYPGFAGALKVDQATGASYNANGANGRKYLLPALYDPSTAECNTLV >LPERR02G27130.1 pep chromosome:Lperr_V1.4:2:23083400:23084377:-1 gene:LPERR02G27130 transcript:LPERR02G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRLCTDSRMSHAATVLVSVLLLCSAHHAVGARRLMELYKPQPSELLTYHNGTVLQGDIPVSVVWYGQFTLAQKAVVSDFLLSLTVASPAPTPSVSQWWNTINQLYLSKAAVHGNGAAKITAQVRLAGQLSDDRCSLGKSLKLSQLPAMAARAKPKKGGITLVLTAQDVAVEGFCMSRCGTHASNPKSRTAYVWVGNSATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVMNIASMVAGVVTNPFGDGFYQGPKEAPLEAATACTGVYGSGAYPGYAGNLAVDPVTGASYNANGARGRKYLLPALFDPATSTCSTLV >LPERR02G27140.1 pep chromosome:Lperr_V1.4:2:23084488:23084721:-1 gene:LPERR02G27140 transcript:LPERR02G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPPWMAGARGNDKAIRVTLDPNNIQLPPTESTHDGHQEESNNRSTRRTQPKGQIGKEVCTIPQPRHIGTQESHL >LPERR02G27150.1 pep chromosome:Lperr_V1.4:2:23088626:23089555:1 gene:LPERR02G27150 transcript:LPERR02G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAMAAACLLACAVLFQTCDASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFLSSLSSAAPQPEPSVSTWFKTAQKYYANSKARFPTLAIGNHVIDQSYSLGKSLKEKDLVSLAARGSPSRAINVVLTADDVAVDGFCMSRCGTHGASRRSRAGRFAYVWVGNPASQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVISLASMVVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >LPERR02G27160.1 pep chromosome:Lperr_V1.4:2:23111511:23115060:1 gene:LPERR02G27160 transcript:LPERR02G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGEYRCWEEMLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVSRSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKLGARALEAFGANCRSLVGLRRVMHPTDVAGKACQRDEARAIALTMPRLRHLEMGYMVVATDAVADILARCRDLRFLDLRGCWAVDDRFLQEKHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMDDDDDDYYAVGSDDDDVIWDDGQGLENLEVRFYGGGFSESYAGFDWPSSP >LPERR02G27160.2 pep chromosome:Lperr_V1.4:2:23111511:23115060:1 gene:LPERR02G27160 transcript:LPERR02G27160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGEYRCWEEMLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVSRSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKLGARALEAFGANCRSLVGLRRVMHPTDVAGKACQRDEARAIALTMPRLRHLEMGYMVVATDAVADILARCRDLRFLDLRGCWAVDDRFLQEKHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMDDDDDDYYAVGSDDDDVIWDDGQGLENLEVRFYGGGFSESYAGFDWPSSP >LPERR02G27160.3 pep chromosome:Lperr_V1.4:2:23111585:23115060:1 gene:LPERR02G27160 transcript:LPERR02G27160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGEYRCWEEMLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVSRSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKLGARALEAFGANCRSLVGLRRVMHPTDVAGKACQRDEARAIALTMPRLRHLEMGYMVVATDAVADILARCRDLRFLDLRGCWAVDDRFLQEKHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMDDDDDDYYAVGSDDDDVIWDDGQGLENLEVRFYGGGFSESYAGFDWPSSP >LPERR02G27170.1 pep chromosome:Lperr_V1.4:2:23116986:23120977:-1 gene:LPERR02G27170 transcript:LPERR02G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMTAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDSRSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYGSYRSYGAPYFPPYGYGVQCWVNVPTRTVFHFGNVLLSVSCAPLALQYAKRCLLLQFTSNLATASITGHS >LPERR02G27170.2 pep chromosome:Lperr_V1.4:2:23117015:23120977:-1 gene:LPERR02G27170 transcript:LPERR02G27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMTAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDSRSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYGSYRSYGAPYFPPYGYGVQCWVNVPTRTVACCYSSLPI >LPERR02G27170.3 pep chromosome:Lperr_V1.4:2:23117651:23120977:-1 gene:LPERR02G27170 transcript:LPERR02G27170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMTAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDSRSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYGSYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >LPERR02G27180.1 pep chromosome:Lperr_V1.4:2:23119957:23122416:1 gene:LPERR02G27180 transcript:LPERR02G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASRRIPLFRLVEQLLAASPAQGGAAAAAAALRPVAVAGGSRGYNTGAELRRYERDESGDDDGGRDYDAGRRRTRDATVPAFFSDVFRDPFTFGAPQSLGRLLSLMDDLAAASPAGRAGGGATLRRGWDAKENDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEDGDGEGGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVEM >LPERR02G27180.2 pep chromosome:Lperr_V1.4:2:23119957:23122920:1 gene:LPERR02G27180 transcript:LPERR02G27180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASRRIPLFRLVEQLLAASPAQGGAAAAAAALRPVAVAGGSRGYNTGAELRRYERDESGDDDGGRDYDAGRRRTRDATVPAFFSDVFRDPFTFGAPQSLGRLLSLMDDLAAASPAGRAGGGATLRRGWDAKENDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEDGDGEGGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >LPERR02G27190.1 pep chromosome:Lperr_V1.4:2:23124075:23134349:1 gene:LPERR02G27190 transcript:LPERR02G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVIVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSVHMNLLFYSIVGAIGLFGLILLLVMHRAWEGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAEMLRDDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYSEYMTYVMEALELEDTIKNYERRDANGWKYVSSFRESRPGTLGSLLDTMEFIWRCILRKQLQKGFAIILGCMSAAILLAEATLLPSGVDLSLFSILIKSVGKQEVLVQVAAFVPLIMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFFGSWKRFKFQREEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDIESAKVENTVEMKSESTSSRADGRVQSKYANNRETIATKYSAIREQSRQAGKPVKKEISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWNTMKIGFQNFKANMGAKKFIPLRQDPGFVPHSNVSSPESLDDIFQRIKRRPADMPVDYLDDDDDNTGDIDPTFPGSLR >LPERR02G27200.1 pep chromosome:Lperr_V1.4:2:23133729:23134259:-1 gene:LPERR02G27200 transcript:LPERR02G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKAEGASCRRHPRHRQGGAAGVCALCLRERLSHLSTSLPSVVRGEKEDDDYEAPSSCGSDSSSEASSGASSSESSSSAWAVAFHQEMAVSGKLSLLMRHERVLMDGDEVASVVRRMRERRRLQASSFWTKLMHATIGGGGGGGKSGSKEACSIAHSKTMGQERSTNAAKWILF >LPERR02G27210.1 pep chromosome:Lperr_V1.4:2:23138239:23139045:-1 gene:LPERR02G27210 transcript:LPERR02G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRLAMAALLLAACASSAAATTYTVGDASGWTTGVDYTSWAGSKSFKTGDSLLFKYASGAHNVVEVSAAGYLACAAANALGSDSSGSTTVALKTGGKHYFICSIPGHCAAGMKLEVDVSGSSSSGGGGVGTTPSSPTPTTPTPSTPTPTTPNPSTPTPTTPYPSNPRPTTPYPSNPTPNTPYTTPTSPACTGSAGATPVMPGTVPFMSYNGAGGGLGPVALATIGVVCFVVFVQLGLL >LPERR02G27220.1 pep chromosome:Lperr_V1.4:2:23146173:23147804:-1 gene:LPERR02G27220 transcript:LPERR02G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCASLYAPAPSLGLSCGVGDMDEFEENGGGVVLQEEAAQGGDWVNAEEFWDERPHRLVSPSRTLTTTTMVGGHAKSTAAAARRRRRRPKVVKNREEAESQRRNHIAVERNRRRQMNDYLATLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRHAVAVAGAPPFAGFFTFPQYAATAAAGDGDNAEGGGGARAGAADIEVAMAESHANVKVLAPRRPRQLLRMVVALQCVGLTVLHLNVTTTADHFALYSFSLKMEDECRLSSVDEIAAAVNQMVAKIAGECIS >LPERR02G27230.1 pep chromosome:Lperr_V1.4:2:23153864:23154223:-1 gene:LPERR02G27230 transcript:LPERR02G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALKLTSVQLTSLTPILTPQFPTESNDDTPVTSLDATDQARRGDDLPSNGSDRTDPIVPRDVGVLHHRQSGGPRTYVTRTASSSALAVRGAVHALSSCYSSASVANPSGRRTARTPSG >LPERR02G27240.1 pep chromosome:Lperr_V1.4:2:23156053:23159036:1 gene:LPERR02G27240 transcript:LPERR02G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHQHALLLAALVLAASTSAGAQSAEPQQQVYSYGDVSGQQAHVSTTMIVLLAAVIGVFLFIAVSTIYLRHCTGGSYADGDIAAIGRRRYVLPANSFVSRRRPRGLDSSVVRAFPTMKYAEAKALRVGSTKVAAAAPPLECAVCLSEFDDDETLRFLPKCSHAFHPDCIGQWLAGHVTCPVCRRNLDPNKHTTEEVIVPSSAAAAAAVREITNSASSEIVVVPQEDGSAVVIDVVTEEDEEERRKEELELQEIGTQLRKMRSRSGRRRPNSSTATKLLRSHSTGHSLAARLDRDLERFTLRLPEHVHREMVIAAAGEQRRRAAVSEGIISLGGGARCSPRFARSGRWSSFLPSSLSGRLAFFSPSSRRTVTPDSTEVEVSSTSSSFATKVKGKRVAAVDVADGSGHETARTVAGSAAEAEAVEVEKAAPRQSCTPC >LPERR02G27250.1 pep chromosome:Lperr_V1.4:2:23167037:23168960:-1 gene:LPERR02G27250 transcript:LPERR02G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKELLPAPKAAASTPAGPAKPVPPYGKRAGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATVDSEATEDDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPAGVPAGGGRGAVDDRDEEDMDLEQPREQRRETREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMKASGGSSMRDDYEGGGSGRSRINFERGR >LPERR02G27260.1 pep chromosome:Lperr_V1.4:2:23174097:23175779:-1 gene:LPERR02G27260 transcript:LPERR02G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSYDCAASVLLCAEDNTAILGLDGDEGGEEECSWAAATPPRDAAAAAMDGLMDLPMLSDECIASLVEREVEHMPMEGYPQRLLQPPPGCLDLAAARRDALDWIWKVIEHYNFAPLTAVLSVNFLDRYLSEIELTEDKAWVLQLLAVVCLSLAAKMEETFVPLLLDFQVVEAKCFEASVIKRMELKVLSALNWRTQAVTACSFIDYFLDKFNDHGAPSLLALSRSTDLILSTAKGIEFLAFRPSEIAASVALVALGECRSSVLERSITSCKYISKERVLRCYQMIQDKITLGNIVLRSAGSSIFSVPQSPIGVLDAAACLSQQSDDTTVGSSATCYRSSSASKRRKLGS >LPERR02G27260.2 pep chromosome:Lperr_V1.4:2:23174097:23175779:-1 gene:LPERR02G27260 transcript:LPERR02G27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSYDCAASVLLCAEDNTAILGLDGDEGGEEECSWAAATPPRDAAAAAMDGLMDLPMLSDECIASLVEREVEHMPMEGYPQRLLQPPPGCLDLAAARRDALDWIWKEDKAWVLQLLAVVCLSLAAKMEETFVPLLLDFQVVEAKCFEASVIKRMELKVLSALNWRTQAVTACSFIDYFLDKFNDHGAPSLLALSRSTDLILSTAKGIEFLAFRPSEIAASVALVALGECRSSVLERSITSCKYISKERVLRCYQMIQDKITLGNIVLRSAGSSIFSVPQSPIGVLDAAACLSQQSDDTTVGSSATCYRSSSASKRRKLGS >LPERR02G27270.1 pep chromosome:Lperr_V1.4:2:23185553:23187870:1 gene:LPERR02G27270 transcript:LPERR02G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASARATAPLSFASFHNHHRRRPAASAAASLRRSRSNLRTRGWRCASAAAPDPVPSEETVSSSTVVFTEEKPDPPEEVAAVSNGGSLEAAVASPASSEAAEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVISAIDSVPLVPKVLELIGTGYSIWFTSRYLLFKESRDELFAKFNDLKERII >LPERR02G27280.1 pep chromosome:Lperr_V1.4:2:23188433:23191685:-1 gene:LPERR02G27280 transcript:LPERR02G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEEDGEEAARPREEVPVDFDFISLLCKPKDYYKILEVEYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQEYDKKGILYVQDQNVVDYLNRHKGLILTCNGLGIRQSRSYVTCHCSV >LPERR02G27280.2 pep chromosome:Lperr_V1.4:2:23188668:23191685:-1 gene:LPERR02G27280 transcript:LPERR02G27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEEDGEEAARPREEVPVDFDFISLLCKPKDYYKILEVEYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQEYDKKGILYVQDQNVVDYLNRHKGLILTCNGLGIRQSVW >LPERR02G27290.1 pep chromosome:Lperr_V1.4:2:23194648:23204968:1 gene:LPERR02G27290 transcript:LPERR02G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDRDALKWVREGHGGAVHSHGRIDALRAVRGAGAGGGLGMPPPEKFRSGHLPRPAHGPLSRADDGSAASGSDMEESSDTDEVEVCSGRYSVDSSPRRDDIPRRTAMPQYRYANVPGLQGYYSSDYSDLSSSRDTALPKAKQQQFRRPQAQVGRYVEEEEFSDSAGSSEFSSQVDTRTSRGGYASEYSHNGPARRDANSNAVPKTRMAAEENYSRAAPLNSRTYQHENYSANVPAQDNVKSSQMDGLSDVPSAPPIHTYDQEIGPASHHVNVNECDDSTVKKEECNDDNVKSNLPDRSERSTLNPGHSSKPSSSVPIRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVPSKPKKTIGKMKVQVRKVRMSVDIPSGCSFSSLPVVKLNTIRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSSPEDDLVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMSEESADKLRWWSIYREPEHELVGRIQLYIHYTTAADDNNAKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGPWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLDESLPSGLAEEFRPPTGLAACALEPAIKLYSLLHDAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVVPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCIPNGGNTTAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEVINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSSFYY >LPERR02G27290.2 pep chromosome:Lperr_V1.4:2:23194648:23204968:1 gene:LPERR02G27290 transcript:LPERR02G27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDRDALKWVREGHGGAVHSHGRIDALRAVRGAGAGGGLGMPPPEKFRSGHLPRPAHGPLSRADDGSAASGSDMEESSDTDEVEVCSGRYSVDSSPRRDDIPRRTAMPQYRYANVPGLQGYYSSDYSDLSSSRDTALPKAKQQQFRRPQAQVGRYVEEEEFSDSAGSSEFSSQVDTRTSRGGYASEYSHNGPARRDANSNAVPKTRMAAEENYSRAAPLNSRTYQHENYSANVPAQDNVKSSQMDGLSDVPSAPPIHTYDQEIGPASHHVNVNECDDSTVKKEECNDDNVKSNLPDRSERSTLNPGHSSKPSSSVPIRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVPSKPKKTIGKMKVQVRKVRMSVDIPSGCSFSSLPVVKLNTIRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSSPEDDLVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMSEESADKLRWWSIYREPEHELVGRIQLYIHYTTAADDNNAKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGPWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLDESLPSGLAEEFRPPTGLAACALEPAIKLYSLLHDAAARKRSRRYMLETDEYVAGNSEGIRVDLTLKFITSTYFPAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVVPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCIPNGGNTTAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEVINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSSFYY >LPERR02G27300.1 pep chromosome:Lperr_V1.4:2:23205359:23207015:-1 gene:LPERR02G27300 transcript:LPERR02G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILKAGTGPKPVKGQKVTVHCTGFGKDCDLSKKFWSTKDAGQQPFSFNIGQGSVIKGWDEGVMTMQVGEAARIQCTPDYAYGASGFPAWGIRPNSVLIFEIEVLSAQ >LPERR02G27310.1 pep chromosome:Lperr_V1.4:2:23209364:23210212:1 gene:LPERR02G27310 transcript:LPERR02G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGVVIRLPDPRALRVVARSVLLAVALLSLAWLRPTESPSRRGDGDAHALLQARLLLRDLRREGLLAAQTGAPRAVFLGADAGCRRHDDAIRHVTLPELMMAGDQSVDLVLDFGGFKEEGDRVGLVDRVLVDGGIFLAPIGSASAFRLPPNYRVVYVRRFTDTFVGIKKIARVGDDGIGCARLGMAATAAVKEGLISFSAQTADNGRAELKNNFSRKLLLSDIARASAAHAHQGWLKLRDRPVITVDFPAIRSVNELQPAHELIIQDKAVRESSSRSTGA >LPERR02G27320.1 pep chromosome:Lperr_V1.4:2:23211090:23212967:-1 gene:LPERR02G27320 transcript:LPERR02G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAIVDHALKICSEKNVEVKTDVVIGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNFCINNVVCPVVVIKGT >LPERR02G27330.1 pep chromosome:Lperr_V1.4:2:23220245:23226314:1 gene:LPERR02G27330 transcript:LPERR02G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSATGKLSHFASSHMNEIIDKYNTHSNNLGKAEQPSLDLNLEHSKYANLNEKLAEASLRLRQMRGEELEGLSIEELQQLEKSLEAGLHRVMLTKDQQFMAQINELQRKSTQLAQENMQLRNQAAL >LPERR02G27340.1 pep chromosome:Lperr_V1.4:2:23228264:23233128:1 gene:LPERR02G27340 transcript:LPERR02G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSEGTTAVEAEEVVEMAAVTNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVSLHYKGSYIHRIVKGFMVQGGDITAGDGTGGESIYGLNFADENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDCPTTDIVIVDCGELPEGASDGVVNFFKDGDMYPDWPNDLDEKPAEISWWINAVDSVKSFGNEYFKACTFYSIISKFPFGHIGSFHCGIMSCIIRMLASSYVIVPDDAHDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEENPKAFFRQGQARMALNDIDAAAESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSDKIDEVLL >LPERR02G27350.1 pep chromosome:Lperr_V1.4:2:23233524:23236662:-1 gene:LPERR02G27350 transcript:LPERR02G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQDAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPVEAAAAEEVSPVVRVLDELKASASGRSEEDVDMLVSLLDELRELCSGEGLENAAVAVRNGGVEALVALCGSAGVKQERLLASGLKALSSLLRDVGSTEKFRQSEGPQIVMGILKEGSESSDILEGGFRVVASASAGNEVVKECFMDLKVDELILQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFADIGIAVVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLHCIDGASEQKNKVIARSCCSLLSKLAGSDANKATIIERGGFDRFLKLTSRFSEEPPVIQEVMSLVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKAKAMHGNCKDAATSALRDLGVDNYNA >LPERR02G27360.1 pep chromosome:Lperr_V1.4:2:23241007:23242308:-1 gene:LPERR02G27360 transcript:LPERR02G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLPASPPPPPPQDDFRFDGPAFTALPDASASADTGTNPFASASTAVVDGGAMDSNPFLATAAMTAPPSPNPFELHHHNSTSSPGAGADDPFDLFHHFTSAPASPARAAAIYAQFDGSAGGEGNGAIDDDDFQPRASYSTATSTVPFDWEEKPGKPKNAPATATAANAEAEADEAEFDFGVLLDKSVQVPELTAADELFDEGKIRPLKPPPGLLDGGGGSVASSPRSPMSKSPIWSPRRRGLIGSGADFDPFAAALAKSTKNPSPLGAGAKSATADDGTATSPKNPDSVTSPRSIPPATMINGGMKKKWRLSDMLLFRRSAAAKSRAGGGDISKEPIFKYSPVQHLGTTPAVKKATTENGDDVTARKHKKHSKKATAPAPAEVDGMASPHRQSVMGCVRLNPGLHRLAKGFNGSSLHFGHRRNARSVMNR >LPERR02G27370.1 pep chromosome:Lperr_V1.4:2:23243607:23251235:1 gene:LPERR02G27370 transcript:LPERR02G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRNSGRRLLHRCRRPRPVVQATAASSSSTSSSPAFSSRSRPGLPGGARVLAAAAPLHCAGRYWPLGPAPGFVRRLSAPAVSTSPSPVPHDTDDVHEYAAKLGFAKISEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNIMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPIESKVWPQRLFKQPVRIVEKYPAGQEGDLKKKFMVCINWLLAEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFILNNAHRVTIEMQPDPEKASRDEAAEKEVLKQVKASMTPEDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKEHLQLLPLFCQSLLEMGTKDMDFVQFNQLIGRKTGGISVYPFTSSVRGKEDPLTRIIVRGKSMANRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIATFLDSLPSTTSLGSDPWCSRLPSVNEAIVVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMGDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLDITDEERQQRREEILSTSLKDFKEFADAVETIKDNGVVVAVASPEDVEAANKENPLFSDVKKCL >LPERR02G27380.1 pep chromosome:Lperr_V1.4:2:23251002:23251472:-1 gene:LPERR02G27380 transcript:LPERR02G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARGGQGWSPFDAIRGFPSTPEALMSQIDAAIAATEYARSCAQLDPAASSDPQQQPPPETAPGGGEASGTSAPAAAACYDARVADEAYRAACAALGAGRADAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHKQQHQAQQQLLQRGGVRK >LPERR02G27390.1 pep chromosome:Lperr_V1.4:2:23269190:23269957:-1 gene:LPERR02G27390 transcript:LPERR02G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKAVLPSLSGDDCPGSYACTILTGDDLTDDAPPRSVDGSFFFRILLIYNRRSFTALRCYSSDTGSWSPEQRKPGGKIRSHTLRRLGHAVMVDGVAYWPLPGEAFGVRLSDSSMDVSSVPYLLPGYWPDLRLLGVSTDGKKLRYITAGFVCRVTLRVSLHATYFEDVDRHIHDVHVRVPGLRVTGTTPIKLRWFGEKSGTVLFTVGEADGGVFALNMANGTVEKLADGGFFHVCSNIYGYEMDRAALLASLAY >LPERR02G27400.1 pep chromosome:Lperr_V1.4:2:23273903:23281946:-1 gene:LPERR02G27400 transcript:LPERR02G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPRLLSSFLGDRLLSARPVLHGNAPGSRRAAYQATRTLCNLVDILFNRGQSDKPGDNPRRLRPGKLSPRLSVPNHIQRPPYVNARQRPGLNNGPEIHDERGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDRAKKLVQVTRECLDKAISICAPGVEIKHIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQYTLPSPRACSREIESREVENRSIDWRQCRVGGGRDGGGGMVRGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSFAPAPPKREEVVQYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIMQLLRDLGDNSTFAEQHEAVESWRLDKLKDIRSASIQNSTKLDLSNEEARILKRALQFNWHVLMEDIGRWIPLEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNAKPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRNSHPTAPVVVPWMSGVIST >LPERR02G27400.2 pep chromosome:Lperr_V1.4:2:23273903:23281946:-1 gene:LPERR02G27400 transcript:LPERR02G27400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPRLLSSFLGDRLLSARPVLHGNAPGSRRAAYQATRTLCNLVDILFNRGQSDKPGDNPRRLRPGKLSPRLSVPNHIQRPPYVNARQRPGLNNGPEIHDERGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDRAKKLVQVTRECLDKAISICAPGVEIKHIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQCEEVVQYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIMQLLRDLGDNSTFAEQHEAVESWRLDKLKDIRSASIQNSTKLDLSNEEARILKRALQFNWHVLMEDIGRWIPLEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNAKPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRNSHPTAPVVVPWMSGVIST >LPERR02G27400.3 pep chromosome:Lperr_V1.4:2:23277989:23281946:-1 gene:LPERR02G27400 transcript:LPERR02G27400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPRLLSSFLGDRLLSARPVLHGNAPGSRRAAYQATRTLCNLVDILFNRAQGPTYISASRKLTISLYSLRGQSDKPGDNPRRLRPGKLSPRLSVPNHIQRPPYVNARQRPGLNNGPEIHDERGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDRAKKLVQVTRECLDKAISICAPGVEIKHIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >LPERR02G27400.4 pep chromosome:Lperr_V1.4:2:23273903:23277066:-1 gene:LPERR02G27400 transcript:LPERR02G27400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRESLQRSRGSAIAQKQKRRLPRILEASSSTVADTLPSPRACSREIESREVENRSIDWRQCRVGGGRDGGGGMVRGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSFAPAPPKREEVVQYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIMQLLRDLGDNSTFAEQHEAVESWRLDKLKDIRSASIQNSTKLDLSNEEARILKRALQFNWHVLMEDIGRWIPLEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNAKPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRNSHPTAPVVVPWMSGVIST >LPERR02G27410.1 pep chromosome:Lperr_V1.4:2:23282430:23286763:1 gene:LPERR02G27410 transcript:LPERR02G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEASLLAHLNSGGDVPDSRSYASSLGVTHKELEDVIKSLAAFRIVESKDITKETWVLTDEAKGYLASGSPEAQLLAAIPPEGASKDVLKEKLGSILDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDELQEQLKRLESGEVLSDKVIDDLKRRKLIMKEKTSCYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPISVGYVQPLLEVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALYQPQQHPARDSHDTFFLQAPATTKQLIEAYLEKVKEVHQCGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSARMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >LPERR02G27410.2 pep chromosome:Lperr_V1.4:2:23282430:23286763:1 gene:LPERR02G27410 transcript:LPERR02G27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEASLLAHLNSGGDVPDSRSYASSLGVTHKELEDVIKSLAAFRIVESKDITKETWVLTDEAKGYLASGSPEAQLLAAIPPEGASKDVLKEKLGSILDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDELQEQLKRLESGEVLSDKVIDDLKRRKLIMKEKTSCYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPISVGYVQPLLEVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALYQPQQHPARDSHDTFFLQAPATTKQLIEAYLEKVKEVHQCGGYGSKGYALVSMMQFNQKVYDTNIAFCRYGYDWKRDEAEKNLLRTHTTAVSARMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >LPERR02G27420.1 pep chromosome:Lperr_V1.4:2:23288005:23291654:-1 gene:LPERR02G27420 transcript:LPERR02G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKAGKILPHPDSRTRPQAGRQTQTLLPANHVTRPHSQRVGALRRQPPLPLPFPSLPPPSRARNNFFTNTIPSHPPDRANQPQSNPSPDWPDQIAADPPLPRRRRSEAVEEGGRDEVVVASSRSAPSAPSASNLVSRMRPQAGRQTQTLLLPANHVTRTHSQRVGALRLQPPLPLPFPSLPFLLHHVHATTSSQIPSHPSDRANHPQSNPSQDWPDQIS >LPERR02G27420.2 pep chromosome:Lperr_V1.4:2:23287491:23291654:-1 gene:LPERR02G27420 transcript:LPERR02G27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKAGKILPHPDSRTRPQAGRQTQTLLPANHVTRPHSQRVGALRRQPPLPLPFPSLPPPSRARNNFFTNTIPSHPPDRANQPQSNPSPDWPDQIAADPPLPRRRRSEAVEEGGRDEVVVASSRSAPSAEANMAALPLATAEVCDANSHLIMNGELRALQPVFQIYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELAV >LPERR02G27430.1 pep chromosome:Lperr_V1.4:2:23293040:23293545:-1 gene:LPERR02G27430 transcript:LPERR02G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATAEVCDANAQSITNGELRALHPIFKIYGRRPVFAGPIATVKIFEDNVLVRELLQEKGHGRVLVIDGGGSMRCALLGGNLAQLAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKSNKKGVGEKHVAVAIAGARICDGEWLHADSDGILISTTELTV >LPERR02G27440.1 pep chromosome:Lperr_V1.4:2:23293552:23294061:-1 gene:LPERR02G27440 transcript:LPERR02G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFKSGRVNDARATQLLLPSTRRTPARIRLPTRAHPIAKSCTKSKLELDHTSQAHTTTELRRSHPRRNHLLPSASSSTFNLTSAAAIAVAGGEQ >LPERR02G27450.1 pep chromosome:Lperr_V1.4:2:23295066:23297949:-1 gene:LPERR02G27450 transcript:LPERR02G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCYKCGRPGHWSRDCPSAPATESTDNPNPNPNPKPSASRFTPYPRPRFGKAAGAGAAAVGEDGSGGQAKKKKKERTTRPKLTPDMLLSDDGLGFVLRYFPKAFKPRARHGHEVEDLGNLIKLYTEWHSRLIPYYSFDQFVRKVEKVGASNRVRRCISELRERVARGGDPTVLHEAPVEEVIPEGEPDGATAEDPIFGTEAPVTENHGVDQAQEHIYPPESNDVDPMQEDLLNEIYEKAADEPQITAVGGRTEETTPAMAPKEANPQGDPPQEAQKQPGKIQLTDEQRARMEANRLRALERAAAARARASRLA >LPERR02G27460.1 pep chromosome:Lperr_V1.4:2:23298539:23302446:-1 gene:LPERR02G27460 transcript:LPERR02G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCNPRSLWAPSVQPSGRPPLLCPPSTPASSPPPAGRSTLRGRRPTQAVARSPENPPLRAPRRHPNIQQQISVKSQLPMDSAVNGVRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYSLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERLRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQHPLPVDTMAV >LPERR02G27460.2 pep chromosome:Lperr_V1.4:2:23298615:23302446:-1 gene:LPERR02G27460 transcript:LPERR02G27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCNPRSLWAPSVQPSGRPPLLCPPSTPASSPPPAGRSTLRGRRPTQAVARSPENPPLRAPRRHPNIQQQISVKSQLPMDSAVNGVRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYSLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPYYAILCIYSPNAYRGFAREFIKDLERLRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDSDTGSFDTVRLYKLTNYGCEMG >LPERR02G27460.3 pep chromosome:Lperr_V1.4:2:23298864:23302446:-1 gene:LPERR02G27460 transcript:LPERR02G27460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCNPRSLWAPSVQPSGRPPLLCPPSTPASSPPPAGRSTLRGRRPTQAVARSPENPPLRAPRRHPQQISVKSQLPMDSAVNGVRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYSLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPYYAILCIYSPNAYRGFAREFIKDLERLRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDSDSEQQAKRSGRRSSLQGKHGNMDF >LPERR02G27470.1 pep chromosome:Lperr_V1.4:2:23310340:23313169:1 gene:LPERR02G27470 transcript:LPERR02G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGRERHQRRVASQRPSDPVNGAVRAAGLSQPSDQDPIPPPVAAYICSGGTGERKGKGERIQRGTSHTYPSQLTSGAVRCRRRREFLEKGKRPKKRDGGGAGRERSMGKYMRKFRGATGEEVAAAAAAMEVTQVVGVRTRSRSAAATKVHAVAAPASSSRRRNNNKQLLPAAVTRRADGRGSCYLQLRSRMLFMAPPPPPARAPVVVEAGSAGNGAAPAPARVAVAPGLSRCSSTASSVDVAGAQERGVACRSDVAEAGSEHVPEGSASDSGSGRDRERREATPSSFLPGEVSDLESDLAGQKRSRPLPSATATTSLQEATTRPRMPPAAEIEEFFAAAEKAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >LPERR02G27470.2 pep chromosome:Lperr_V1.4:2:23310340:23312540:1 gene:LPERR02G27470 transcript:LPERR02G27470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGRERHQRRVASQRPSDPVNGAVRAAGLSQPSDQDPIPPPVAAYICSGGTGERKGKGERIQRGTSHTYPSQLTSGAVRCRRRREFLEKGKRPKKRDGGGAGRERSMGKYMRKFRGATGEEVAAAAAAMEVTQVVGVRTRSRSAAATKVHAVAAPASSSRRRNNNKQLLPAAVTRRADGRGSCYLQLRSRMLFMAPPPPPARAPVVVEAGSAGNGAAPAPARVAVAPGLSRCSSTASSVDVAGAQERGVACRSDVAEAGSEHVPEGSASDSGSGRDRERREATPSSFLPGEVSDLESDLAGQKRSRPLPSATATTSLQEATTRPRMPPAAEIEEFFAAAEKAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >LPERR02G27480.1 pep chromosome:Lperr_V1.4:2:23321564:23322172:-1 gene:LPERR02G27480 transcript:LPERR02G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQTPAAALGPPRISFSSDFVVEPPPPPSQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFTFTAGRPPTTLRDELRAGEDRASVKGSSRWKEMLGLRKPLCVGGVNGSGKKSTAVDAEMVTDMAVSKQGL >LPERR02G27490.1 pep chromosome:Lperr_V1.4:2:23327568:23338305:-1 gene:LPERR02G27490 transcript:LPERR02G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDGDEMVRLYAFAQRHLNEEEEEEEEEEIVAVSSDADESESESEVGSGAEEDDDEYVAESSDAAGGSGDGDEEVGGGDIGDGEDGGRRVRSVRRGVTTPDQEIKSQNVDALVRGNLVVRRQALIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPTVASNDSIEKEEPLPPGIEPLILWQPEGCDKENNNFSAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCHGFDGKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEFILRRTNALLSNHLPPKIVEVVCCKLTSLQTTLYNHFIHSKNVKRLISEETKQSKILAYITALKKLCNHPKLIYDTIKSNTSGGSGFDDCLRFFPPELFSGRYFSFCARSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPHIRLDGATSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQVYQRQMSKEGLQKVIQQEQADCKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDGCAVVDGSGFDSVATELEASNTGGNDIGGFAAISGCVQKMNSSNQQVLQSSRLCLFQIGRPSEEDLGSWGHHSDPSTVPDTILQSSAGDEVSFVFTNQVDGKLVPVESMARPGIHRTNQMTVSAEKEVGQINSSTVRGTERQSLLGKNLKMMGFNLKNSSMQCPTKSRRMLPNCLQGLNKTSVSSDHQRSKKFHVSSDVSDDDFV >LPERR02G27500.1 pep chromosome:Lperr_V1.4:2:23339885:23342723:1 gene:LPERR02G27500 transcript:LPERR02G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNTYSAGAPPTAPPPPATYQQKMNTPRPGSGLTRWSTGLFHCMDDPGNCLITCLCPCITFGQVADIVDKGNCPCLASGSVYALICASTGMGCLYSCFYRSKLRAQYDLDEGECPDFLVHCCCEYCALCQEYRELKNRGFDLGIGWSANMDRQKRGVTGAQVMGAPAIPVGMMR >LPERR02G27500.2 pep chromosome:Lperr_V1.4:2:23341247:23342723:1 gene:LPERR02G27500 transcript:LPERR02G27500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNTYSAGAPPTAPPPPATYQQKMNTPRPGSGLTRWSTGLFHCMDDPGNCLITCLCPCITFGQVADIVDKGNCPCLASGSVYALICASTGMGCLYSCFYRSKLRAQYDLDEGECPDFLVHCCCEYCALCQEYRELKNRGFDLGIGWSANMDRQKRGVTGAQVMGAPAIPVGMMR >LPERR02G27510.1 pep chromosome:Lperr_V1.4:2:23345803:23360030:1 gene:LPERR02G27510 transcript:LPERR02G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRTRSPRAPGIDADEDKKRAAEWRGAVRPHMVLVGFLITLPILVFVFGGRWGSFTSYSSSSPSTSVPNVGGRHVVAGGGVATTQKTEAPRNVSAPPTRAATTTPPPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRNAIKQLKAGRNVDNAECKYVVWFPCNGLGNRMLTIASTFLYALLSDRVLLMHVAAEQQGLFCEPFPGSSWVLPGDFPHNNPQNLHIGAPESYVNMLKNNVVRNDDPSSVQASSLPPYVYLHVEQFRLKLSDNIFCDEDQMVLKKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELGTAEPAPNTTAAADAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNQERSEVACVRSTSVEPCLHSPPILSCRAKKEVDVATVKPYVRHCEDVGFGLKLSKAPLASVSGEGRIAETMDVAKMASRTPRAVAFNAVNGGEFAGVAGGGSEKVKRWGWRPPSEVAVAVGFIATLTLLVLMSGGTGNLPSSFSFHMTGLVLKPAGAQALPTHEAQQDVPASSPRHNHDHDYDRLLGGLLSPAFDEHSCRSRYASSLYRRRSPFRPSTYLVQRLRRYESRHKRCGPGSALFDEAVEHLRSGRNAAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWALPHDFPITDFDGVFTMWSPTSYKNMRQTGRISNDTAEDSLPAYVFLDLIQSFTDAAFCEEDQRVLAKFNWMVVKSDVYFAAMFFLMPAYERELARLFPEKESVFHHLARYLFHPSNDVWGIVHRFYEAYLASADERVGLQVRVFPEMPIPFDNMYDQIIRCSDQEGLLPRLGQTNGSSVGGTWKLTSILVTSLFSDYYDRIHGVYQSNPTVTGEYVAVHQPSHEREQHTEARGHNQRALAEIYLLSFCDRVVTTAVSTFGYVAHGLAGSRPWVLLRPPSPVARAEPACVRSETVEPCLQAAPRRMCGAAKGSDIGALVPHIRHCEDVPRGVKVRRASVRAALVLCFLTLFSTVLLLQRWQPTSSWTDWLFEAEHQAEAGEQVLLLQRWQAGSSLEWLFEIEPPEGDDQDIQDDLLDDLTPSQYIGYDKFLGGLLLEGFDEVSCRSRYQFARYHKNSTRVPSSYLLQRLRRQEALQKKCGPGTKSYKQAVKLLRSRQGINMTSDCNYLFLTIHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSTPESYGNMLQNKVVGGNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQGVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNEVWYTVTKYYRSYLAKADKTVGIQIRIFEKEGILQRNGRFPHFLEQILSCAQNEKLLPEISMTDETPTTVKNNHTIAVLTTSLSSWYSDEIQKKYSEHRTVDGTTVKVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWILFRSDNHVVPHPPCRRAMSIEPCFHQAPFYDCKAKKDADLGKMVPYVRHCEDVSWGLKVVNQTQR >LPERR02G27510.2 pep chromosome:Lperr_V1.4:2:23359398:23367824:1 gene:LPERR02G27510 transcript:LPERR02G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLLAAFFLGEIGQRKPKACAESAPAARAAEQQQQGGAARDSWLLEAAEEALERSVPRAKRKPAAAAATAAETKRCGLVASVVLAAFLMAVPTVVVILGTRAAAPAVWIAATNALRRDSDDKSIPPARTAVDQLLGGLLPEGFDEKSCRSRYESSLYRRNPGRRPSPHLVSRLRMHEELQRRCGPNTESYNRAVQHLTDGAAAAAATHAPDDDEQCKYVVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLPATSYANFSADTPESYGNMVRNKVFEGDVYSAAQMPAFAYLHLDHDYGDDDKMFFCDDDQRTLSNIQWLVMRTDTYIVPSLFLVDTFRDELDALFPERDAVFHHLARYLFHPANHVWGLVARYYRAYLATARHLVGVQVRVFDHRQAKSPHVVLDQITWCAWREKLLPEVLGTEDDTAATPPTPGGNMSTAVLITSLRPWFYERVKAMYWERATATGEDVGVHQPSHEEYQHFGEKSHDGKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLAGLRPWVMYKPVNITAVPDPPCGRDVSMEPCFHSPPYFDCKTKRGVDTGKILPHVRHCDDVSWGLKLERIRCSPTPPASSPVGPRGGGRKGRAVVLSPLSAAALVACGVLLLLFAGGSAARRGQFVDADPTGLLSRGDARGGDLDLARLKYGENDTLLSSEVVKDKLLGGLLAAGFDEQSCLSRYQSVLYRKESSHFPSAYLLERLREHEALQKKCGPQTESYKKAIEKLKSGQDVKVMECNYLVWVAYSGLGNRILTMASAFLYAILTKRVLLVDGDKGTTDLFCEPFPETSWLLPSKFPIKQFKNFSNVSPESYGYMLRNKAIHSNPAFVYLHMAHDYDDFDKLFFCEDNQQYLRTIPWLILKSNNYFVPSLFLVPAYQYELTRLFPQRDSVFHHLGRYLFHPSNVVWGMITRYYDSYLARADERLGIQIRVFDPEPGPFQHVFDQVLACTLKENLLPGISTQQPFISTRNSRSKAVLITSLNSGYYEKFRSMYWEHPTTNGEIISFHQPSHEEHQSSEKKIHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLGGLKPWLLFKPENRTAPDPPCRQVLSMEPCFHAPPFYDCKTKRGADTGKLVPHVKHCEDMSWGLKLVDKIKAENHEDLLR >LPERR02G27510.3 pep chromosome:Lperr_V1.4:2:23345803:23360030:1 gene:LPERR02G27510 transcript:LPERR02G27510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRTRSPRAPGIDADEDKKRAAEWRGAVRPHMVLVGFLITLPILVFVFGGRWGSFTSYSSSSPSTSVPNVGGRHVVAGGGVATTQKTEAPRNVSAPPTRAATTTPPPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRNAIKQLKAGRNVDNAECKYVVWFPCNGLGNRMLTIASTFLYALLSDRVLLMHVAAEQQGLFCEPFPGSSWVLPGDFPHNNPQNLHIGAPESYVNMLKNNVVRNDDPSSVQASSLPPYVYLHVEQFRLKLSDNIFCDEDQMVLKKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELGTAEPAPNTTAAADAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNQERSEVACVRSTSVEPCLHSPPILSCRAKKEVDVATVKPYVRHCEDVGFGLKLSKAPLASVSGEGRIAETMDVAKMASRTPRAVAFNAVNGGEFAGVAGGGSEKVKRWGWRPPSEVAVAVGFIATLTLLVLMSGGTGNLPSSFSFHMTGLVLKPAGAQALPTHEAQQDVPASSPRHNHDHDYDRLLGGLLSPAFDEHSCRSRYASSLYRRRSPFRPSTYLVQRLRRYESRHKRCGPGSALFDEAVEHLRSGRNAAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWALPHDFPITDFDGVFTMWSPTSYKNMRQTGRISNDTAEDSLPAYVFLDLIQSFTDAAFCEEDQRVLAKFNWMVVKSDVYFAAMFFLMPAYERELARLFPEKESVFHHLARYLFHPSNDVWGIVHRFYEAYLASADERVGLQVRVFPEMPIPFDNMYDQIIRCSDQEGLLPRLGQTNGSSVGGTWKLTSILVTSLFSDYYDRIHGVYQSNPTVTGEYVAVHQPSHEREQHTEARGHNQRALAEIYLLSFCDRVVTTAVSTFGYVAHGLAGSRPWVLLRPPSPVARAEPACVRSETVEPCLQAAPRRMCGAAKGSDIGALVPHIRHCEDVPRGVKVRRASVRAALVLCFLTLFSTVLLLQRWQPTSSWTDWLFEAEHQAEAGEQDIQDDLLDDLTPSQYIGYDKFLGGLLLEGFDEVSCRSRYQFARYHKNSTRVPSSYLLQRLRRQEALQKKCGPGTKSYKQAVKLLRSRQGINMTSDCNYLFLTIHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSTPESYGNMLQNKVVGGNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQGVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNEVWYTVTKYYRSYLAKADKTVGIQIRIFEKEGILQRNGRFPHFLEQILSCAQNEKLLPEISMTDETPTTVKNNHTIAVLTTSLSSWYSDEIQKKYSEHRTVDGTTVKVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWILFRSDNHVVPHPPCRRAMSIEPCFHQAPFYDCKAKKDADLGKMVPYVRHCEDVSWGLKVVNQTQR >LPERR02G27520.1 pep chromosome:Lperr_V1.4:2:23368103:23368731:-1 gene:LPERR02G27520 transcript:LPERR02G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANSHGRVLHTCTLSPKPVTALSRSMGPMPGHHAVQSPRGRTAVRASAERATWLPGLDPPPHLDGTLPGDFGFDPLCLGEEPANLKWYVQAELVHCRFAMAGVAGILVTDLIRVSGISNLPVWFEAGATKFDFANTTALFFVQLLLMG >LPERR02G27530.1 pep chromosome:Lperr_V1.4:2:23372302:23372964:-1 gene:LPERR02G27530 transcript:LPERR02G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERPGSGFHLPNTEQEDSLFIRALISVVSGDTTVPTIDSPAPADCDVLVSATAAGAGSSSSDDEEEDEQESSGAAALAASGGVGKRRRNRRSGGEGEGGSRYRGVRRRPWGKWAAEIRDPRRAARKWLGTFDTAEDAARAYDVAALEFRGHRAKLNFPASSQAQQQPRVLLGEKCGSSASSPVPAPAPAKARDQQETWDGLQEIMMLDDGSFWSMP >LPERR02G27550.1 pep chromosome:Lperr_V1.4:2:23381175:23382854:-1 gene:LPERR02G27550 transcript:LPERR02G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKHFLLLIVLLGLSSNLAAGQVLMQGFNWESSKENGGWYNMLMGKVDDIAAAGITYVWLPPPSQSVGEQGYMPGRLYDLDSSKYGNEAQLKSLIESFHSKGVKVIADIVINHRTAERKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDVDHLNPRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDATEPSFAVAEIWTDMANGGDGKPNYDQNSHRQELVNWVDRVGGAASNATAFDFTTKGILNVAVEGELWRLRAKDGKAPGMIGWWPAKATTFVDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGYPCIFYDHFFDWGLKDQIERLVSIRNRHGIHPESELNIMEADADLYLAEIDGKIITKIGPRFDVEHLIPEGFQVVAHGDGYAVWEKI >LPERR02G27560.1 pep chromosome:Lperr_V1.4:2:23385390:23386101:-1 gene:LPERR02G27560 transcript:LPERR02G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGDDKELVEKIGFVYQLNISPKRIGVDEEVFVVDLKKGVVSKGPYEGNPDATFSFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >LPERR02G27570.1 pep chromosome:Lperr_V1.4:2:23386587:23389741:-1 gene:LPERR02G27570 transcript:LPERR02G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPSPAARPPRGRARPLQSSTVSAPSSSSTPPAVSADRLEPRVEQTEGGYWVLKEKYRTALNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNFKGNTAVTNLPRKWNVCVIGSHDLYEHPHINDLAYMPAEKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAAKDLIDEKWQRRDYLGVHPQKQEGLSYVGLHVPVGRVQASDMFELARIADEYGSGELRLTVEQNIIIPNVSNDKVDALLAEPLLQKLSPQPSLLLKGLVACTGSQFCGQAIIETKQRALLVTSQVEKLVSVPRTVRMHWTGCPNSCGQVQVADIGFMGCLTKNGAGKIVEAADIFVGGRVGSDSHLADVYKKAVPCDEIAPIVADILVEKFGAVRREREEDEE >LPERR02G27580.1 pep chromosome:Lperr_V1.4:2:23391893:23394485:-1 gene:LPERR02G27580 transcript:LPERR02G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAAVVSCPRHVPGGGHTLLSSAVPLPRVRGCGVAVRARGQSAATPDPAAILRRPAVAATAAAEEEEEEEAVEEREGEAESSLSEGEAAPEERRRKGPEREWVDWEDLILEDTVPLVGFVRMILHSGKYENGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCVKGLIRQKYPLYADSFILRHFRRRQDYRISDS >LPERR02G27590.1 pep chromosome:Lperr_V1.4:2:23396081:23402037:1 gene:LPERR02G27590 transcript:LPERR02G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRMLRVIGSRHLSYRNLSVFNEFSKQLKGEAKSNPEFQKSIKEFSEKFTGVKEDLKVRTKKTADTIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEMKESFRLGKEDTSSYRDGSRETSKTEYAKTSSHSDDKSQASTNGYTLFNKLRSTLSSGSPMVSDAFAKLRDTRVSTFAKQGYEIFKDELSSSGSRKKKIHARHASAATVEKSTRTDLVIVPTKRSVLGEKWEALKNKVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFVADVQEMIKPVLIAYSKILHISDADVRETKMMGSVPIIIVVFQTQQIYCVRDREGQVTEGGQAHGNILMSSCTVSYVFQAYTINNGAIVVKDTIQTVFYGWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >LPERR02G27600.1 pep chromosome:Lperr_V1.4:2:23407926:23410484:1 gene:LPERR02G27600 transcript:LPERR02G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASHHHHLMMNGGGGGGGVGKRRGAGIGGLQKQNSWSPDMERDEAWERRRGMNKGGLLSKTALRRVRSVTDDDLDELRGCMDLGFGFEAGCPVCGAGRSRQLVETLPALDLFYAVHGGGGAGEVCAAGSPCSCGASSSEASSEESPLGSPMSILSPGDPPETVKMRLKQWAQVVALSVRNRH >LPERR02G27610.1 pep chromosome:Lperr_V1.4:2:23411189:23415048:-1 gene:LPERR02G27610 transcript:LPERR02G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQPQQQQLPPMTPLPLARQGSVYSLTFDEFQTTLGGVGKDFGSMNMDELLRSIWTAEESHAVGEPAAVQRQGSLTLPRTLSQKTDMSVPPAPAPAAVPPPPPPPPPPQQQPPSVLFGESNVFAPIVPPLSLGNGLVTGGRGGGAAPLLSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNEDLQKKQEEMLEQQKNEALERITRQVGPTTKRICLRRTLTGPW >LPERR02G27620.1 pep chromosome:Lperr_V1.4:2:23416221:23418376:-1 gene:LPERR02G27620 transcript:LPERR02G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRVLILPTLAVAIAIAAAVLPNGGHAGEAVVDGRRFARASGTRFTVGGRPFYSNGFSAYWLMYMASDPADRSKAAATLGQAARLRATLVRTWAFSDGGYRPLQKSPGVYNEDMFMGLDFVIAEARKRGLYLILSLVNNWEGFGGKKQYVQWARDQGHYLGSDDEFFTSDVTKRFYKNHAVLTRVNKITGVAYKDDPTIFAWELMNEPRCQGDLSGKTLQVHAVQSYVSVHSEKMCGEFVMIDHRFVQAWITEMAGYVKSLDPNHMVEIGLEGFYGESMPDRKKFNAGHTVGTDFIANNLIPTVDFATIHSYPDQWLPGASSDEQVEFMRRWMAAHIRDAARLLRKPLVVTEFGWSARSSGYTVEARDAYFRRVFDAVYASARGGGPCAGGLFWQVMAPGMEGWSDGYEVVLERSPSTATVVGYQSARMVGLRAAYCADWQFGNGR >LPERR02G27630.1 pep chromosome:Lperr_V1.4:2:23420159:23425740:-1 gene:LPERR02G27630 transcript:LPERR02G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLEPAGLGFPDSSSAAADRRRKKPPPQRRRRPAAAAPAVGNRGVTDPDPAPSPLLRVHGIGLGSHASRGTAPRGEEEVEEGRGDCDGDEEVERGAVSDSFSHSLRECQKQRQLRAEGAAVLRSPATHELSGGGAGGGGIELLLLSPRCLVGGNIGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVMLPFNNGRKLPSKWEDAEKWILSPVSCDGLGRMSAPAPHHRRPKSKSGPLGHPTGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETTQDDKLQGLRGETPVISSPIVKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSHVPKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIIEWRKKTTETRAPSFDEKERESCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSVREEQCGVRETKKASYLRRKGKSFSCCFTERA >LPERR02G27630.2 pep chromosome:Lperr_V1.4:2:23420159:23425740:-1 gene:LPERR02G27630 transcript:LPERR02G27630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLEPAGLGFPDSSSAAADRRRKKPPPQRRRRPAAAAPAVGNRGVTDPDPAPSPLLRVHGIGLGSHASRGTAPRADIFHHTHAGEEEVEEGRGDCDGDEEVERGAVSDSFSHSLRECQKQRQLRAEGAAVLRSPATHELSGGGAGGGGIELLLLSPRCLVGGNIGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVMLPFNNGRKLPSKWEDAEKWILSPVSCDGLGRMSAPAPHHRRPKSKSGPLGHPTGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETTQDDKLQGLRGETPVISSPIVKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSHVPKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIIEWRKKTTETRAPSFDEKERESCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSVREEQCGVRETKKASYLRRKGKSFSCCFTERA >LPERR02G27640.1 pep chromosome:Lperr_V1.4:2:23428087:23433875:1 gene:LPERR02G27640 transcript:LPERR02G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMDVEAPARPPPPTTTRSPHDLLAETRASVEEVAARILAFKRDGTPNPKSELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFYRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLQDTIANQKRFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIIYTQLLGQKEAFGENIEVEIIGSTKDAQTFAKQQAKQENAGTLSNGDNRMDDDAIDDEEDAQRRRSRSRKNLAKEASNSVGAYQLHPLKVILHVFDTEDSGAKRRKLMVLRFDYLAKLNIVCVGIEDSKGLDHDILCNLFPDDTGLEMPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIKNENAPWASRPPLCSLHSWSFTGIFPEPAPRSPLMIVGAAGSVDSDMERRSVTHWEDTESTREDGEVLAMVPAESTPNALKMSQSEVSPEVRNHSRGLSLISKSTTPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFADQEVEKVSLGTDKSWEDYASREFTMVLSKTLKNGPKVMLEAKITISMEYPVRPPFFRLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPLSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADSPALLRHVPKCLNSKAVSSYGTLGTMSLQ >LPERR02G27640.2 pep chromosome:Lperr_V1.4:2:23428087:23434381:1 gene:LPERR02G27640 transcript:LPERR02G27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMDVEAPARPPPPTTTRSPHDLLAETRASVEEVAARILAFKRDGTPNPKSELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFYRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSRQKEAFGENIEVEIIGSTKDAQTFAKQQAKQENAGTLSNGDNRMDDDAIDDEEDAQRRRSRSRKNLAKEASNSVGAYQLHPLKVILHVFDTEDSGAKRRKLMVLRFDYLAKLNIVCVGIEDSKGLDHDILCNLFPDDTGLEMPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIKNENAPWASRPPLCSLHSWSFTGIFPEPAPRSPLMIVGAAGSVDSDMERRSVTHWEDTESTREDGEVLAMVPAESTPNALKMSQSEVSPEVRNHSRGLSLISKSTTPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFADQEVEKVSLGTDKSWEDYASREFTMVLSKTLKNGPKVMLEAKITISMEYPVRPPFFRLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPLSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSCL >LPERR02G27640.3 pep chromosome:Lperr_V1.4:2:23428087:23433954:1 gene:LPERR02G27640 transcript:LPERR02G27640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMDVEAPARPPPPTTTRSPHDLLAETRASVEEVAARILAFKRDGTPNPKSELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFYRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLQDTIANQKRFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIIYTQLLGQKEAFGENIEVEIIGSTKDAQTFAKQQAKQENAGTLSNGDNRMDDDAIDDEEDAQRRRSRSRKNLAKEASNSVGAYQLHPLKVILHVFDTEDSGAKRRKLMVLRFDYLAKLNIVCVGIEDSKGLDHDILCNLFPDDTGLEMPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIKNENAPWASRPPLCSLHSWSFTGIFPEPAPRSPLMIVGAAGSVDSDMERRSVTHWEDTESTREDGEVLAMVPAESTPNALKMSQSEVSPEVRNHSRGLSLISKSTTPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFADQEVEKVSLGTDKSWEDYASREFTMVLSKTLKNGPKVMLEAKITISMEYPVRPPFFRLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPLSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSCL >LPERR02G27640.4 pep chromosome:Lperr_V1.4:2:23428087:23434381:1 gene:LPERR02G27640 transcript:LPERR02G27640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMDVEAPARPPPPTTTRSPHDLLAETRASVEEVAARILAFKRDGTPNPKSELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFYRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLQDTIANQKRFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIIYTQLLGQKEAFGENIEVEIIGSTKDAQTFAKQQAKQENAGTLSNGDNRMDDDAIDDEEDAQRRRSRSRKNLAKEASNSVGAYQLHPLKVILHVFDTEDSGAKRRKLMVLRFDYLAKLNIVCVGIEDSKGLDHDILCNLFPDDTGLEMPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIKNENAPWASRPPLCSLHSWSFTGIFPEPAPRSPLMIVGAAGSVDSDMERRSVTHWEDTESTREDGEVLAMVPAESTPNALKMSQSEVSPEVRNHSRGLSLISKSTTPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFADQEVEKVSLGTDKSWEDYASREFTMVLSKTLKNGPKVMLEAKITISMEYPVRPPFFRLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPLSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSCL >LPERR02G27650.1 pep chromosome:Lperr_V1.4:2:23433195:23436466:-1 gene:LPERR02G27650 transcript:LPERR02G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEEDGGGGRFCTDFMVLRPDKGGIGELFHLLWSCEVAENAAVDCPAGTVIAERRRRWALFVSLVAQMLLLWTKKPVALLGRAAEYWMNLLNENGGGVCMLIANALQGKVKVPDRSSINYRSCIGLLDTRIELDKKIKPDDRNYHAALSIMAAKLAYENELVVKTVVQKHWQMNFVDFYNCWNEFQEDYTTQAFLASDKATDASLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQKNGWPNQPTTTNNKTKPFAYYAIRDRLRAFLAANPTARFAVAGHSLGGALAVLFPTVLALHGEDDMLARLHGVYTFGQPRVGDEGLCRFMDQYLAEPESRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGQVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYAFGPEYGEGWLMRLARAAGLLLPGLPPHAPQDYVNATRLGAASLEPLR >LPERR02G27670.1 pep chromosome:Lperr_V1.4:2:23440328:23443738:-1 gene:LPERR02G27670 transcript:LPERR02G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQSVQALVTSSPASIPPEFVRSEHERPGVTTFRGGAAPEIPVIDMSAPDAGARVAEASADWGLFQVVNHGVPREAVAELQRVGREFFALPQEEKQRYAMDPSSGKIEGYGSKLQKDADGKKTWSDFFFHNVSPPAMVSHDVWPDRPVGYREANEVYCGHMIRFARKLFGHLSAGLGMEDGAMWEAFGGGEMVFLQKINFYPPCPEPELTLGVAPHTDLSTLTVLVPNEVQGLQVFRDGHWYDAAYVPDALIIHIGDQIEILSNGKYKAVLHRTTVNKEKTRMSWPVFIEPPPEHVVGPHPQLVTDESPAKYKAKKFKDYRHCKINKLPIYFTESYLLLPEGFGGGEMVFLQKINFYPPCPAPDTDLSTLTVLVPNDVQGLQVFRDGHWYDAAYVPDALIIHIGDQIEILSNGRYKAVLHRTTVNKEKTRMSWPVFIEPPPEYVVGPHPQLVTDESPSKYKAKKFEDYRHCKINKLPM >LPERR02G27670.2 pep chromosome:Lperr_V1.4:2:23440328:23443738:-1 gene:LPERR02G27670 transcript:LPERR02G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQSVQALVTSSPASIPPEFVRSEHERPGVTTFRGGAAPEIPVIDMSAPDAGARVAEASADWGLFQVVNHGVPREAVAELQRVGREFFALPQEEKQRYAMDPSSGKIEGYGSKLQKDADGKKTWSDFFFHNVSPPAMVSHDVWPDRPVGYREANEVYCGHMIRFARKLFGHLSAGLGMEDGAMWEAFGGGEMVFLQKINFYPPCPEPELTLGVAPHTDLSTLTVLVPNEVQGLQVFRDGHWYDAAYVPDALIIHIGDQIEILSNGRYKAVLHRTTVNKEKTRMSWPVFIEPPPEYVVGPHPQLVTDESPSKYKAKKFEDYRHCKINKLPM >LPERR02G27680.1 pep chromosome:Lperr_V1.4:2:23446080:23448800:-1 gene:LPERR02G27680 transcript:LPERR02G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPQLALFLVVVVSGVVVSEAGKIATEFVTPDFTASYLLFIDTYGVFLQSGGGGAFQAVVYNPAGQQDRYYLAVIHAPSKTCVWVANRAAPITDRAAPLRLTARGISVEDPNGTDVWSTPPFASPVAALRLEESGNLALLDGRNRTLWQSFDRPTDSLVSPQRLKVGGFLVAAASDSDFSEGDYRLAVTGIDATLTWNGSLYWILSNDAKSTRDRDGAVAAMAVNGTGVFLLAADDAVLIQLTLPAAALRIVKLGVDGRLQISSYASVNASSPSATDAGFVAPSGGCDLPLSCGALGLCSPSGNASSCTCPPLFASSHDGGCAPADGSTPISSCAAAAGGGGDDSAAVPASYVSVGDGVRYYANKFARPDMAGSNGTACQSLCSGNCSCLGYFYDVSSSSCYLVEHKLGSLINGNTTRGGDMVGFIKVQTSTSPGTSSNTSSSSNSSTLIAILLPTIVAFVLVAIVGAVIINSWRKQQRREGRRPSRELPLRRHRSPASDSAHLVYANNNDNDNDDDILIPGLPTRFTHDEIEDITNSFRIKIGAGGFGAVYKGELPDGTTVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCTEGQRRLLVYEFMNRGSLDRSLFRKPAAAVAGGRFLEWKDRMDVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGGAGAGAGDDDSRSSSTGSGAARCDYFPLMALEGHEVGNYADLADPRLEGRVIAGEVERVVKVALCCLHEDPQLRPSMAVVAGMLEGTMEVWEPRVQSLGFLRLYGRGFAGPADGIKPAMAGSNGDHTGSAGTTTLTTMSGWPSYMSSSQLSGPR >LPERR02G27690.1 pep chromosome:Lperr_V1.4:2:23452129:23455468:-1 gene:LPERR02G27690 transcript:LPERR02G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSAARSFATGAAASRFASQSPIPAAAPVAVDAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGVMGLMTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGPAPVAPAAEKALEASA >LPERR02G27700.1 pep chromosome:Lperr_V1.4:2:23456700:23458111:1 gene:LPERR02G27700 transcript:LPERR02G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPASGGARHEQRTCRMYWCYQCARALRIISYPSTDVFCPRCFGRFLHEIDPPPRPAPPPPPHFFPQPFNPHYYHDVDGAAHQPRRWLIYGGDAPGYAFRAPSPAPPRRRMPSPPPAPVARRASTLPPAIDPGDYFTGTNLSNLIEEITQNDRPGPAPAPSSAIDSLPTVRITGAHLSDGSQCPVCKEDFELGEAARLLPCKHVYHSDCIVPWLRLHNSCPVCRYQVPSSAAAAGGNSQARRGNGGGGGDGRNREQTIVRWGPFSWMWPPRGLEDPDDGILRLVAVSVSFLSVEMKIRARTCQKNYLFDQLSDLVECVTPMEISDSPVQNGDG >LPERR02G27710.1 pep chromosome:Lperr_V1.4:2:23457377:23462170:-1 gene:LPERR02G27710 transcript:LPERR02G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKEQLLARLQELNVDFSCYDHPVVLTVEEQAKYVGHLGGALSKNLLLKDKKHRLYIVSALSATKVDMKILSQRLGLGKGGLRMAPEESLLEVLHVPLGCVTPFALINESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSNNLDKFLMSIGRQPAYVDLEASPVVGKDSPPDLADLVPSGVPNSSEPIKNVTPTNVPRQNDVPKEKTSLPEVKAKPKVQNKGAEKTQSKIPSNGTNVEKFVNDVFDIMCPLFLSEVSKKLNIKQEELSSILDGFKEQATPDLESVTTSLKNAAYTAGFEAGSETMLNSGLKGRPSRNPRGGHIHENGPHLTIVCSLFRPSPPPPPFPRRAWLLPPAAAADDGTW >LPERR02G27710.2 pep chromosome:Lperr_V1.4:2:23458487:23462170:-1 gene:LPERR02G27710 transcript:LPERR02G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKEQLLARLQELNVDFSCYDHPVVLTVEEQAKYVGHLGGALSKNLLLKDKKHRLYIVSALSATKVDMKILSQRLGLGKGGLRMAPEESLLEVLHVPLGCVTPFALINESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSNNLDKFLMSIGRQPAYVDLEASPVVGKDSPPDLADLVPSGVPNSSEPIKNVTPTNVPRQNDVPKEKTSLPEVKAKPKVQNKGAEKTQSKIPSNGTNVEKFVNDVFDIMCPLFLSEVSKKLNIKQEELSSILDGFKEQATPDLESVTTSLKNAAYTAGFEAGSETMLNSGLKGRPSRK >LPERR02G27720.1 pep chromosome:Lperr_V1.4:2:23463342:23464034:-1 gene:LPERR02G27720 transcript:LPERR02G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGNNQQEAAAATARQRGMRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALICLKGSSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANATTTTRPLQHHQFATAPASTTPPCSYGEMALSCSAASSPDEAAINYDYTDHDEMVAAAQYVGGDDDVDLAALADIEAFFQSPKCMDYSMMDPCSTFFSPAPAAAAEWEDEGEISLWSFSSIN >LPERR02G27730.1 pep chromosome:Lperr_V1.4:2:23463487:23464120:1 gene:LPERR02G27730 transcript:LPERR02G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAARSTSSSPPTYCAAATISSGLVVVVALAAAAAATRWMDLGDMAAAGMWKGRWTGKLRSAADEPLRQISAAS >LPERR02G27740.1 pep chromosome:Lperr_V1.4:2:23476722:23479792:1 gene:LPERR02G27740 transcript:LPERR02G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALTLLPRAAAAAVRLAPSGRAASRRLLCAAAGEAASPSPSSPAARRLVLYTKPGCCLCDGLKEKLHAAFLLAGTPYSLSSVELQASRLNLLIMAERDITTNPEWEQMYQYEIPVLAKVLPDGNETSDMRNELFTFL >LPERR02G27750.1 pep chromosome:Lperr_V1.4:2:23477856:23480327:-1 gene:LPERR02G27750 transcript:LPERR02G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVSSHAPAAGNCSAVRRYAAAIGRALDAKCVLVMFLSIGILLLAVFMLLPLHASKSIPDDHPGILPAYLSPLRIHLSKEKAIISPGEIQASFFLLKKREQLISHVRSLQKEIYREIGVPNTTVSVSMYSSQYRDSTHVKFGIIPSLRNSPISAQSKIALRKKLIQLTLEQFNLSLTQSVFGDPYCLEILEFPGGITVPLPPDGNICLTPLFNVTLNTTIHQLKVNFKDLENVLRIVLQLTPEEELIVEITNENGSTIDLPVTVQISIACLETYRVKQLSHTITKLIPKNLGLNSTIFGIIDHLWLPPHIKSSIPPSAPNPAPAPSLFPSNPQHSQPTTTKPNGSFPCPSLETRKTVSAHRRLSTISPTMEYQQGLIVGVYTLAGKIDIHWQNQSSLFQHPHQNRLQLILHLFLQYDFACKVGMKRF >LPERR02G27760.1 pep chromosome:Lperr_V1.4:2:23481291:23484450:-1 gene:LPERR02G27760 transcript:LPERR02G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPTSPALTLAFVAAVIAAACLSAEAVWLDLPQSGTKCVSEEIQSNVVVLADYAIMYESHPSSHPTIAVKVTSPYGNTLHHSENATVGQFAFTTTEAGNYLACFWIDSTEKGSGISVNLDWKIGIATKDWDVIAKKEKIEGVELELRKLEVAVQAIHQNMIYLKARQGSRNANILQLWHLQGYFQKKKLI >LPERR02G27770.1 pep chromosome:Lperr_V1.4:2:23486876:23489635:-1 gene:LPERR02G27770 transcript:LPERR02G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARVMNNLKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALYFERGVRPQMTIRIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKMSSVHSQAKIAGTLFTVAGAVLMVLYHGPAVQFPWTKGQHHDAAAAAGAAAAGGFLKGTILIVIACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVMSGSVALVAERKNTHAWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAVMGSIILKEEISLGSVIGAVIIVIGLYALIWGKSADKVNPVNDGAGSNKGGAGELPLTAAPNGHGGSKHGNGGHVFDVETPPTNGHY >LPERR02G27780.1 pep chromosome:Lperr_V1.4:2:23495359:23495610:-1 gene:LPERR02G27780 transcript:LPERR02G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKAVRLIHGRISSSEAQERRCGMYDDVPRTAGGSDCWEDTHAHLLLLCQELRRRLAAAAKNKKDGMLITGSNYAQRLQSG >LPERR02G27790.1 pep chromosome:Lperr_V1.4:2:23495779:23499876:-1 gene:LPERR02G27790 transcript:LPERR02G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAQPQKPPPQSTDSSWWLLRGGRPKFSIGDSALTALSSWDSTPLRPVSVAFNATDGCMAEGHDSYIKKREDTVVLQGASHKRWQLGY >LPERR02G27800.1 pep chromosome:Lperr_V1.4:2:23500228:23503541:1 gene:LPERR02G27800 transcript:LPERR02G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFTRLAGAGLRRAARLPTAVRYQRRVVATTTTALLRPVELRPKEQGVPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTFANTDVEGALGDNDPVDVVEIGERRANVGEVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIQETNESWEKLVKRNIPAGELSLA >LPERR02G27800.2 pep chromosome:Lperr_V1.4:2:23500228:23503844:1 gene:LPERR02G27800 transcript:LPERR02G27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFTRLAGAGLRRAARLPTAVRYQRRVVATTTTALLRPVELRPKEQGVPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTFANTDVEGALGDNDPVDVVEIGERRANVGEVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIQETNESWEKLVKRNIPAGELSLA >LPERR02G27810.1 pep chromosome:Lperr_V1.4:2:23506052:23506948:-1 gene:LPERR02G27810 transcript:LPERR02G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPAPTAPSPPRSSKKRSSRPKPHAGHPVPNPSPLPAAVAVAVAATSSSRNRERERKRRQRSAFADPATAATAVTAPAPAPGGGGGVQKQLWSDADVVALLDGAAAFRARTGRVPRFPDMAALYDSIRGSLSPHIDQAKVYYKLKRIKGKYLHAAPGAAAGPHERRVRDLCASVWGADLEPLAAAAVAAAEQPRAVPDAAAAAAAASDQPRSVPDAAAMLPVLTEMLDEYWKTDGRTLSGVALEKGLSLLGTGEARFIESKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKGLGP >LPERR02G27820.1 pep chromosome:Lperr_V1.4:2:23509378:23521538:1 gene:LPERR02G27820 transcript:LPERR02G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEMARAEGLPEGAAPGVGVDLYAQARKALSLRTPFEGEGPAPRVPTLPARLVNWSGPSDARKKHKKIQPEDLADVEHPPQPATEPSAKAGAWEHFEAYFRPVNLDDIDMLMPKFPFRYGELDSCILIPFVGSRKELDVGSCKELDQLMDTSETFDVAVAETSSYLGLGGEERVSNKEHSERSEQSVEQGIHEVVVRQFVSNKERSEQSVEQGIHEVVVQQENWPLEVEQTTGSAGIVSPECENEGQSSLNWLLGAKERFVLTSERPNKKRKLLGVDAGLEQLVLLPPPGGEASSICDVCCLGDSGAVSNRMLNCDSCKVRVHQKCYGLHFVPDGQWLCTWCKDLESAVQLLKKDADGTSSMPCVLCPKEKGSLKPVKGETTQTIHGGNPKFAHLFCSLWTPGALVEDMESMEPVTNVGSVQENQRKVVCSICKVKHGVCVRCSHGTCRAAFHPICARESKHQMEIWGKFGHPKVELRAFCSKHSAIGCTNSVERSASQQSPTEVRANDASLITGKIPKLRFTRKNKDKSMNCKTTSLSSSNLIKVDTVEQTSLPHTVRSSDSLATQGMEVETDNLLVGGNLMSNSADIAVVLRKLIDQGKVSVGDIASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPVAQANTSKGGSIVVHDSKPGWIEDTDSGNMKSSFVPDGKKGTAVDFSDSAVMKSPSTRSKDNSKIMGDIKSICATGVTLLQNGIKKMAETGTDHDCSLAEDCAKEYTQNFCPVGGKDTPKEEHEELIPNSISGNTQLGISMEIPNQNKVTSPGKIRDNLTEAEPGMEREGISSLNQCFSLGDNVKHGLNSVENGVGNNHDFNTDHMPGQPFSNFDDSHYYIHPFIKEKMGQLWDNTFKQNRLAPCHCEDPLCSPGEKNPVDSLIKLTETTSVMDQVSEAKSLDILEHSPDDEVEGELVYLQARLLDTAAVLKDRYEDLIGKVVQNLSRELDAFSKRKWDFIFVNQFLRDVREAKKRGRKEKRNKEAQAILAAAAAAVAASSRNSTVRKDATDDTVSANQQSSPKFSAGPPRAGQRTSSLLRLKDLSKPSNNKVSQDTSCSMFHMPICSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYGNFENSIGPWKCELCKDISAEAACVGEQPDRNGTNPSSVYCDLCHGISGAFRKTADGQWIHAFCAEWLLENKYVRGQDNPVNGMDSLVKDKDTCCVCLRTVGACLKCNSGDCQSTFHPSCAKNAGFYMNTKGFGGMVQHKAYCNKHSIEQKEADMQQYGPEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSCLAHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAMRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPQRSVASLQKSEAGEKNTKDKKHRETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPRNRNTQAHEPQEPGG >LPERR02G27830.1 pep chromosome:Lperr_V1.4:2:23531653:23532024:1 gene:LPERR02G27830 transcript:LPERR02G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKAGKVKKGWLAVRVGADDAGGEFRRFVIPIAYLYHPLFRRLLEAARDAYGYDSAGPLRLPCSVDEFLRLRSLVERDAGAGAGGPSSPQRVHAGGGGGSSHHHHYVFSPCTRAKVSS >LPERR02G27840.1 pep chromosome:Lperr_V1.4:2:23539559:23542608:-1 gene:LPERR02G27840 transcript:LPERR02G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAAAAIAMTALLMSCAVEAKTTIEPCTGSDTCGALLGYTLYADMKVSEVAALFAVDPSALLAANALDFTAAGAAAHRILPMGLFLRVPTRCACADGVRKSVSVRYAARPGDTLAAVADGVFAGLASADQIRGANALASDDPDTPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPACTSTFPKSASDHGLVVANGTYALTAGNCVQCSCGPGNLNLYCTPASLSGSCPSMQCQNSNVLLGNAKHWSSIQMPRTTSVPCTHRAAYYCEPRFDIPPTVSCTGTCRSRWNNSPSELTISARRVFYPPQSFYCKWTCRKRFRGSMDEQTTSNPVQFHLLSTSLLTDVNRHV >LPERR02G27850.1 pep chromosome:Lperr_V1.4:2:23555440:23555901:1 gene:LPERR02G27850 transcript:LPERR02G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQAQQAAAVERNHGRAAPVPKGRRAFAMKRRMYAGSFDPHAHACNIIGHNRLGMWSQRNQGNASDGSVSRSRSCRKIKSKSVSH >LPERR02G27860.1 pep chromosome:Lperr_V1.4:2:23557253:23566552:1 gene:LPERR02G27860 transcript:LPERR02G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSQRSRRPPRLSRRNAIRQSAYVARPAAQLSPPPDQSERYVLGAEEDVEAGRTEAIALVVEEASTSTASVVSVHHEAMVIRRNIVNWRKLELVGAGSSGRVFKAVTEDGFVFAVKEASLFGPESYVKQTAGQLEQEILLLSRLEHKNIVHYFGAKKEETVLCIFLEFVSEGSLVSVYEKKQLEESTISSYTRQILNGLTYLHRHNVMHRDIKCANILVDQNGTVKVGDFGLAKEIKVWKQKRSCTGSVYWMAPENGLPFDIVRLRTRILSTCGWNKIIVSFLEVQGQDACGWTFLFRQPRSSRGFCLLALYPTHTLALVMAARERTRPRPQLARINAMRHSYSAAEEEEDDVRVELGGGGGGGGGEYGSQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSASARRRASLEAAASTPGAGASPPELLEGSGRECLVRMNMEAVEKGKEAGPAPKLVESEVTEVSRRAYANATPEAESSIRVVAPPDIKLVQREAMEVSTRACATPAAESSVRSVASKREVAAVRKQDGVEENKEKGKSVRSVRSVRLNKSREERKIEVAVEATRESTSTSSDIEHLISPSPHMRFRRTITSWSKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLVDQGNNGKQRILQLEHEISLLSRLEHDNIVQYFGTEKEGGKLYIFLELVTQGSLAALYQRYHLQDSQVSAYTRQILKGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKETSLLNQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTCKIPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMQCVKILADLWKAVADVEDGSKSLTVIH >LPERR02G27870.1 pep chromosome:Lperr_V1.4:2:23565402:23566895:-1 gene:LPERR02G27870 transcript:LPERR02G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPPSLALPQPPQHPTGPKSRRRLPRHDDVASWTSAIARSARQGDLPAAAATLSAMLSSPSAPEPNDVTLLTVLSACAAADSSPLARRLTLSLHARVLKLFPSHLLLSTCLARFYFASRLPHLALKLFDSMPVRSVVTYNTVISGLMRNGLVDAAFEVFDGMPEPDKVSWTALIDGCVKNGRHDEAIGCFRAMLLDGVEPDYVTLVAVLSACAEVGALGLGMWAHRLVLRRGLLHRNVRIANSLIDMYARCGRVELARQVFDGMPKRTVVSWNSMIVGLAANGRCVDAIKHFEAMRRSGFKPDAVTFTGVLTACSHGGLTEQGLRYYNLMRTEYAIAARMEHYGCVVDLLGRSGCLDEAMQIVTSMPMRPNEVVLGALLAGCRMHCDVAMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRNLMKARGLRKRPGYSAVEIDGDVHEFVSGDQSHPQADEVSQMLGMLMYEMAGDAYDRILDCLNGE >LPERR02G27880.1 pep chromosome:Lperr_V1.4:2:23567736:23570978:-1 gene:LPERR02G27880 transcript:LPERR02G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAHMGSPSVAAGDCPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTVLRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMVQ >LPERR02G27890.1 pep chromosome:Lperr_V1.4:2:23572387:23574800:-1 gene:LPERR02G27890 transcript:LPERR02G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSPEAASASGESSSASSYGSVSRLQKGIRLRRRRRRRLLIAPGGEGRGAADGAQDLALPLGMSFAAVLAQVLNRSSCSGGRLQPDFLSKIYGDRFENFTKNFEKSFGSTLKTLHLINETPVYEQDNPRCSHIDGTSASEIKLSGADPQMLHDIQQSASLSLMDSQIILHGGVNQPLVQLPRNKASPEFDRHILNAFERSLNEQARSNDLKELEIGLTMRKLQLKQSQIALSSYSHMLEKIKISMGFQKANFREEKFRTQMEDTRHAELLRRLIDLLLTALVFMSVCFGYGTYIYSYKRITAVTAACAAASREPKSWWMPTSVSAFNSGLLFFRCHLIAATRMSFGMLMILLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGFVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKAVGLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWKELVVDYMKSKFIGINTEV >LPERR02G27900.1 pep chromosome:Lperr_V1.4:2:23585726:23588681:1 gene:LPERR02G27900 transcript:LPERR02G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKKRAAIDLSLEADQRKAEERDAGDGVAMAEEDDDVKRAELAPKEETGGEEKKKKVVEVVVDQGEDGSNEEIKYRTQQGEMMEKDKQPDQAANDDEHDDESDGAGASPEEKHMVGEEAAGEGDDSRTAMVQDELSEMQDEMERMKEENRMLRRVYDKTVRDYYELQMKLAAYQQKHAADGPKETEVFLSLGDNGGFPATKSKERRRSAGSDDTDDDGKGDDDLGLSLRLGAPSSSTTSYEDDQKLQIMEARHDVVDGAAAAATAMAGMKGSYALLESSKVQHGAAGAAPAAAGELAAAAGIASQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDHLAAAPSSSYLSPYLLNSASSSPLLMPGTGAAGAGAGMQHLNLFGHSSPPSSSSILAHHQQGTNSNKYPWSPNPPPLAGGGKRPFWSTGAGEEKATAAAALPENVGAVMSDPNKFSAAIAAAISNFMGKDGESSSSKSSSKWGVVESLPPHE >LPERR02G27910.1 pep chromosome:Lperr_V1.4:2:23591524:23595317:1 gene:LPERR02G27910 transcript:LPERR02G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARARRHVARQLRSTPYPIPSYRWKAMKEANRKKTLPAAQKMDWEDANCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSAPLTAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRSCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPTLEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLLFLMRQVARHHQNQRIQNANGSSGSAEDSYAVSSGANGTTPYSFPLEGDEEDDLLGGGSDGMIRPERRRRRRRRNRERLFLGAN >LPERR02G27920.1 pep chromosome:Lperr_V1.4:2:23595924:23603317:-1 gene:LPERR02G27920 transcript:LPERR02G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSALDKLNNKLEFPVGLYDKLKTTCIACNHVKESLAAIDGVLPSCAVCANFSQASGDHSNEFMAVKSQKHKDSEGCPPLDVKAKRTTRNSSRLAKEQHVEAHAKTKTRSSKRTGHVKGEKASTELNCKNDISCSDYLSTNDLARGKASCFIGGVDQRIDYTCDTFGCWNCLFVNSLNSGSIQNILQFRWDCVWHHNHVSILLKIARVLGAHGGLHGAHKVHNIYWQCISSLYFRSLPQDYYKTYEHDLFGLIMDENTGDFVNSERAEILYSMSLFLLKGFLSEQSRAVCCRFCSIQMSDVVPWLLKAFVLSRENPSIFQEVCRLLACIFLLATIDSTTQLPLYSNGSLSLNHWAAYFHQNSVGTYLDCHYFASLESPPRKKYSKVSVENFTNESDNGLSMFLRFSSADIGQLEIHIKEFFHKLPDVPIVCISMLEGDFVNVLGEILLLPSYFPAWMLLSRFDSKTKPITMLLPVDAILEETQHEEASIDELDNQVRASDKNWLCPWGYTIIDYVAPTFRKILEKNFISLSSATLTLNDGQANHVRWWSQRTKLNNHLDKILKNIEESWLGPWKCLLLGYHLTDQHIEEVLTNLIDGLESDFKFEVNPALIKVILGGATSVDEVHDCVSQLITYKGYFGRGGCCGKDRLRAFSSCCIESEALEAVECLVKSTVNELTEPVDRDPVIFVLDINVQMLPWENLPVLRNQEIYRMPSMGSIFLALTRSNNYGKDACVIAPPFPVIDPFNTFYLLNPSGDLSSTQEEFDRLFKNYEWKGKAGHAPSAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGGYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLNSWLQENFVAAKNCSKCCQLTQDFESMTIAVEDNNRPRRRGTRRKKSEETNNSSKRCTCGNRRIASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >LPERR02G27920.2 pep chromosome:Lperr_V1.4:2:23595924:23603317:-1 gene:LPERR02G27920 transcript:LPERR02G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSALDKLNNKLEFPVGLYDKLKTTCIACNHVKESLAAIDGVLPSCAVCANFSQASGDHSNEFMAVKSQKHKDSEGCPPLDVKAKRTTRNSSRLAKEQHVEAHAKTKTRSSKRTGHVKGEKASTELNCKNDISCSDYLSTNDLARGKASCFIGGVDQRIDYTCDTFGCWNCLFVNSLNSGSIQNILQFRWDCVWHHNHVSILLKIARVLGAHGGLHGAHKVHNIYWQCISSLYFRSLPQDYYKTYEHDLFGLIMDENTGDFVNSERAEILYSMSLFLLKGFLSEQSRAVCCRFCSIQMSDVVPWLLKAFVLSRENPSIFQEATCIFSSADIGQLEIHIKEFFHKLPDVPIVCISMLEGDFVNVLGEILLLPSYFPAWMLLSRFDSKTKPITMLLPVDAILEETQHEEASIDELDNQVRASDKNWLCPWGYTIIDYVAPTFRKILEKNFISLSSATLTLNDGQANHVRWWSQRTKLNNHLDKILKNIEESWLGPWKCLLLGYHLTDQHIEEVLTNLIDGLESDFKFEVNPALIKVILGGATSVDEVHDCVSQLITYKGYFGRGGCCGKDRLRAFSSCCIESEALEAVECLVKSTVNELTEPVDRDPVIFVLDINVQMLPWENLPVLRNQEIYRMPSMGSIFLALTRSNNYGKDACVIAPPFPVIDPFNTFYLLNPSGDLSSTQEEFDRLFKNYEWKGKAGHAPSAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGGYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLNSWLQENFVAAKNCSKCCQLTQDFESMTIAVEDNNRPRRRGTRRKKSEETNNSSKRCTCGNRRIASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >LPERR02G27930.1 pep chromosome:Lperr_V1.4:2:23603996:23605584:-1 gene:LPERR02G27930 transcript:LPERR02G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGNIGLTLYNIGHLDEAPKALELCCQTIWMHMRLSYRRLSENQEGQRIVEDISKDTLKDIITDAFARIAKMVDTLYRCQVKTIPDIIVKSLSELLANTDTSELLNSSFVLIKLWVKITCKDVKDDESVDSAPLLYHSLMGCSPPLPKKSVGLILEQELLAYALVESRGTMLCVEMQKKIIDILLNKIYCSKENYLERSRVLVRKARVLRASGVQSISSCLESLSEAISLLQDIPLDSSQGNAPAIHELAIAYCLHAHCAQEANLGAEVIFGSAQNVFGLWSKVSTFVYYSPGMVSHNPSETFIPLLCSLVDLVAMKVSMAIIIFSTLFG >LPERR02G27940.1 pep chromosome:Lperr_V1.4:2:23606344:23608526:-1 gene:LPERR02G27940 transcript:LPERR02G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGHSNKKNNLSHSDDLSFVAYLDSLEFICKIISQYVNAIWKSFSEGITPHYSRNMTYVLNALHQFIDSSIAAYSCTKMPEGDKDLLHEQHGTLLRTLVSAIKISFITNEGIQVL >LPERR02G27950.1 pep chromosome:Lperr_V1.4:2:23610861:23613905:-1 gene:LPERR02G27950 transcript:LPERR02G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEYKLAPHPWATNAPSTNNNKLDLFPTAGGNKRRSGSDSDSDDEDNIPPDWRSLYHPRLDVEPSVKDPRDEATSDAWVRRNPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGPVPKADWSTWTVEVTGLVKRPARLTMEQLVTGFEAVEFPVTLVCAGNRRKEQNMVRQTCGFNWGPGAISTSVWRGVRLRDVLRWCGVMGSSAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIVVASKESESYYHYRDNRVLPTHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCTLDHPERPTKYGKYWCWCFWSVEVEVLELLAAKEIAVRAWDEALNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQSGGWMARQKHLETSESAVSTLKRSTSTPFLNTASTQYTMSEVRRHTTPESAWIIVHGHFPDGGLMSQYLDSLPLGATVDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHLVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEENGWEYGVGRVDERTLREYLPLGDGETLALVCGPPAMVDCTVRPGLEKMGYDLYKSCLVF >LPERR02G27960.1 pep chromosome:Lperr_V1.4:2:23617515:23624787:-1 gene:LPERR02G27960 transcript:LPERR02G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAGALVPTVPKQEPAPSGDASTAAPATAALLAAAAAEEEEEDEAGARAGVGDGGEATTDRDLLCPICMAVIKDAFLTSCGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTMIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDDPAASKMWPSPMDKPSSLYPSNSRGPLSTSNPGGLQNKKLDLKGQITHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVAMNKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCSVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGYYYNATEFWHYSKMQKRK >LPERR02G27970.1 pep chromosome:Lperr_V1.4:2:23628706:23629668:-1 gene:LPERR02G27970 transcript:LPERR02G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSAPPPPPPVASSDETASGSDSDESEEEVEAPAALRAPVVSNKGEESESEEEEESEEEGEDDMVRSSATQKRDPPPQNREEDESSGDEEGESSDSDKAPPPPPPPPPPPNPAPKQVAAEGNGANISSPKKPAFQRVWSMEDDVRILEALAAHRREHGALPQIDALVSTLAGSLDHSVVDAKGLRGKISTLKRRYDAAAKRKSGELPTNSHDRRIFDICKIIWGSSDNAANVTTAGRAAGREFSEMCELYPHLGEEVKALEAAHPGLFKRDFGRLDDDKARALDMKVKKQRIAEITLELRRGDLTKEVTKVLAELVQ >LPERR02G27980.1 pep chromosome:Lperr_V1.4:2:23630398:23633785:-1 gene:LPERR02G27980 transcript:LPERR02G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRRSQQQQEEAEQQPAVDDVSIEPAALVPPLNFAEVHDGIFRSGLPTADNFAFLRSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNRPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQQFAAAKARSTDQIFMELFDTSSLMHLTASQC >LPERR02G27990.1 pep chromosome:Lperr_V1.4:2:23653320:23656112:1 gene:LPERR02G27990 transcript:LPERR02G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASFPVINMEKLETEERGAAMEIIRDACENWGFFEMLNHGISHELMDEVERVTKAHYANCREEKFKEFARRTLEAGEKGADVKDVDWESTFFVRHRPVPNLADLPDVDDHYRQVMKKFASEIENLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVAADAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMMKPAAEEVVHAAPIATA >LPERR02G28000.1 pep chromosome:Lperr_V1.4:2:23657440:23659944:-1 gene:LPERR02G28000 transcript:LPERR02G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRCGLRAVMLAVALPLLFSSVAEAGTVGINYGRVANDLPNPAAVVQLMKQQGITQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPNYALAWVRRNVAAYYPATQTQGIAVGNEVFASAKNMTAQLVPAMTNVHAALARLGLDKAVKVSSPIALTALAGSYPPSAGVFREDLATAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDGGSGLKYYSLLDAQLDAVFAAVSKLGNNYNGVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVDFVLGGGNSAAGGKDNGGLGWQDNGGGNTATGGAVAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPKMGKCELPSTV >LPERR02G28010.1 pep chromosome:Lperr_V1.4:2:23670349:23681229:1 gene:LPERR02G28010 transcript:LPERR02G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGAPPATGCLRSVLPLRMARFGGWVQGSRGSPSVTSLQDHVCTIASNDLLPCAIMRRLFSHPRGRNRLAIVHAEGHPSMGGANDSSPPSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEGLPPRDSSTNPKCHTVYGVIGLLKLAVGSYFLVITGRDRVGSYLGHAIFKVTGLKVLPCSNSHSSSGQQGKLEKEFSELLHAAEKTIGLYFSYDINLTLTLQRLHDLGDEFKSLPLWRQAEPRFLWNSYLLEPLIENKNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVESEQIMESKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPQILERHFYDLRKKYGAVLAIDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLSDKGEKVEKQTGTVRTNCIDCLDRTNVTQSMIGGKILENQLQQIGVLGANDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGIMNDLWNSLARYYLNNFADGTKQDAMDLLQGHYITSVSRDMVGPSKAGLLENYVSFRLAFALVMGALMFMMMSLRHARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >LPERR02G28010.2 pep chromosome:Lperr_V1.4:2:23670349:23680498:1 gene:LPERR02G28010 transcript:LPERR02G28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGAPPATGCLRSVLPLRMARFGGWVQGSRGSPSVTSLQDHVCTIASNDLLPCAIMRRLFSHPRGRNRLAIVHAEGHPSMGGANDSSPPSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEGLPPRDSSTNPKCHTVYGVIGLLKLAVGSYFLVITGRDRVGSYLGHAIFKVTGLKVLPCSNSHSSSGQQGKLEKEFSELLHAAEKTIGLYFSYDINLTLTLQRLHDLGDEFKSLPLWRQAEPRFLWNSYLLEPLIENKNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVESEQIMESKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPQILERHFYDLRKKYGAVLAIDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLSDKGEKVEKQTGTVRTNCIDCLDRTNVTQSMIGGKILENQLQQIGVLGANDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGIMNDLWNSLARYYLNNFADGTKQDAMDLLQGHYITSVSRDMVGPSKAGLLENYVSFRLAFALVMGALMFMMMSLRHANCFDIPSARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >LPERR02G28020.1 pep chromosome:Lperr_V1.4:2:23688741:23693645:1 gene:LPERR02G28020 transcript:LPERR02G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPATKGEKGSGEGKKAGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKGLVDSGIPFDSLSTEPDYGISYFQGSVKERSCNKPM >LPERR02G28030.1 pep chromosome:Lperr_V1.4:2:23691249:23693437:-1 gene:LPERR02G28030 transcript:LPERR02G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCELKNHDRWVPLYFGTCAIAKSIGKLTNLVDLALYNTSLSGLIPPTIGNLTKLNRLLAIHTDLEGPIPASLGKLENLFNLDLSVNHLNGSIPKEILELPSLAWILDLSYNSLSGRLPPEVGILVNLNRLALSGNQLSGQIPNSIGNCEVLKFLMLYKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDSIGQIPSTLQNLTLLSELDVAFNNLQGKVPDQGIFRNLTHTSDAGNENLCGGIPQIHLAPCPNFVVSKDIRWRPNSLKIALPTIGTILVLVSAIILILLHHRKLRRKQNSQPTSPVIEQEYQRVSYYALLRGSNDFSDANLLGKGRYGSVYRCNLESGTIMAVKVFNLQQSGSSRSFEAECEALRRVCHRCLIKIITCSSIDSQGQEFKALIFEFMPNGSLDGWIHPKSSNPTPSNTLSLSQRISIAVDILGAMDYLHNHCQPSIIHCDLKPSNILIAEDKSAKVGDFGISKILPECTTKTLQNSKSSIGIRGSIGYIAPEYGEGSTVTRAGNTYSLGILLLEMFTGRSPTDDIFRDSMDLHRFVAASFLDRALEIADPIIWLHEETNDSDVINASIKTRIIPQCLVSVLRLGISCSKQQPRERMLLAEALSEMHAIRDDYLRSQTVDHAEHSTLS >LPERR02G28040.1 pep chromosome:Lperr_V1.4:2:23694191:23695179:-1 gene:LPERR02G28040 transcript:LPERR02G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALSLLYILTISTASGGGSDEAALLDFKARFCRRSSSSRLVSWNSSTNFCIWEGVTCSRRRRPTRVVALNLPSSNLTGTLSPAIDPPTALTVCLSYNSLSGEFPANLTSCISLKILDLDYNQLGGYIPVELGNKLTQLQMLLLTNNSITGPIPPSLGNLSSLRNLYLDYNHLEGLIPASLGKFPALQELSLEANMLTGKFPALQELSLEANMLTGEFPQSMWNLSTLRVMGVGLNMLQGSIVANICEKFPLMRFFGLNENRFNGVIPSLSNLSRLTDLYLATNNFTGSVPPTMGRLQSLKYLYIGNN >LPERR02G28050.1 pep chromosome:Lperr_V1.4:2:23697022:23698096:-1 gene:LPERR02G28050 transcript:LPERR02G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGMTYLPEDVLIEIFSRVGNIKSLFTLAVTSRHWLRRFTDPSFVPLLCPGNDDGDHRARLLGFLFQQGRFTHRGSVSPPTFLPSPGSPLGRTDCALTTFDDGGDFNYAEPLASRRGIVLMRLFFCRVGRRIGRPILIKTRPPRRADDRHNTPPPRRLQPLERDHDTSYHLIGFAIITATDIDGGCSRRYAFSKLLVTTRGKLPFCAGGSPFLGITSDGKLSVACMFPVHARVWTEEDEDDDGTWVRSVIRIPTMVMPHRDYQILYEKCFDFGRGSMLLMYTSNGIGVFILDLEKEMEKVMDCFLDLSDDKLDRIETPVSSEMDLVEFFLLQLGGLRRDLQGI >LPERR02G28060.1 pep chromosome:Lperr_V1.4:2:23699465:23699677:-1 gene:LPERR02G28060 transcript:LPERR02G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPAQRRYEEGSSGSGACGGEDEREEARKQTLVVEDVTQGREGDECGGQAAAEKRGGEVEGEVWWRM >LPERR02G28070.1 pep chromosome:Lperr_V1.4:2:23701691:23704417:-1 gene:LPERR02G28070 transcript:LPERR02G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPFLLNIHDGGDEAALLDFKAGITGDDSGALAAWNSSTSFCSWKGVTCIRVRVGTLSPAIGNLTFLISLNLSYNWFHGEIPPSIGQLRRLQALDMRDNSLSGTIPANLSFCTSMTYLHLGSNQLHGCIPPELGNMMMRLQKIELHNNSFTGPIPSSLANLSSLYFLGLSLNNFEGPIPPQLGKIRGLEVFDVFQNSLYGVLPHYNLSSMKHFMVGMNMLAGSIPPDIGERFPDIHTLTLSYNMFSGVIPSALCNLSNLTTLALDENRFSGYIPPTLGRSGALRILDLSCKKLEANNTKGWDFVSSLTNCRQLQYLVLSNNSFSGQLPNSVANLSATLQVLYLTDLGISGTIPSDLGNISGLKKLVLVNTSLSGEIPESIGKLANLIDLFLFSSSLSGMIPTSVGNLTQLNRLDASYNNLEGPIPASIGRPNKVYFLSLCNNHLNSSIPKEIFELTSLRTWTCQAIPFLDPFLQNVPENIKNIKGLRILNLTMNKLSGWIPNALGSINNLQELYLAHNNLSGTIPTVLQNLELYKLDVSFNNLQGEVPEEGIFKNITYSSVVGNKDLCSRMPQLHLVPCPISSMKMMRKGPDDSGSALALMWMIPKKLKQKQKGRFLPLEVEKYQKVSYFELSKGTDGFSETNLLGRGSYGTVYKCTFHSEDTSTITAVKVFNLQVSGSSRTFLAECEALRRVRHRCLVKIVTSCSTIDHQGQNFKALIFEFMWNDSLYDWLHLKSTMPAPRKVLTLAQRLNIAVDILDAPDYLHNHCQPPIIHCDLKPENILLTVDMSAQVGDFGISRILSGNTCKTLIDSISSVGIRGSIGYVAPGNNDS >LPERR02G28080.1 pep chromosome:Lperr_V1.4:2:23711529:23716419:-1 gene:LPERR02G28080 transcript:LPERR02G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTSLQEGGAGVMMGSGAKRHFFPLTSLQIGDLQSYLADLTIFLCPHTKKFLILLDNRPWLLDQDTRPAHLWQLMVTRSRLSPFAITRTRRKGDETGKKLVFSKDPRPGSHLWNPSSTWYTLIDDAMRNKKLHVNKLKDSRLLNKQLHRTLYGFIIFEVDWADVRGMNYLNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKGDVYYDARNYFSEPEGDSENVQSDDDDSESIQCMRDSSSFTSSSYTPPPCSGPYKRRKIIRSDAGSSLSEESYSEVVTSPRYSSSASSSCCSDDDCCKPLLEPSTHKDVLILFRFNDHDLPFRLKQVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSFVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIMWPFLLVFSFVLSIANSVLYPFVWLLGEILVAPFRLVAALASFVADIFVDIVGVLRETWSTLSSLYQVGSASRSTGFTSETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLQRLSHALTGTPHTTSCEGARSKGKFTRDNSGLDNLICQVTT >LPERR02G28090.1 pep chromosome:Lperr_V1.4:2:23719180:23719428:-1 gene:LPERR02G28090 transcript:LPERR02G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDGSGVAGREVPGAGAERAREPAVADVRRRGQPMLRHYAFQLMLLGATAIIGAPVSLSRLFLALVIWLVGCLSLFMAWP >LPERR02G28100.1 pep chromosome:Lperr_V1.4:2:23728867:23729190:-1 gene:LPERR02G28100 transcript:LPERR02G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFRQYTGSSYPGSFRGSFTLEISFYATVLEMKQEVMRRKNIPVSSQRIFFRGVELSDGNTLMQLGIGQDTVHLLMRRDPPDGCCSGGSVGSSNQKYDSSSSSTS >LPERR02G28110.1 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKEILGRKNAVGELIKKAVAMKDHLAQFPGFHKFERNGLLVYLESGHGNQLPLLTRKYIQNLLKVNMEEPYGIEWPSEEKVKRRQMVAPEARYIFVRQSSNAFSTKNIMKQGHNSTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.2 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKESGHGNQLPLLTRKYIQNLLKVNMEEPYGIEWPSEEKVKRRQMVAPEARYIFVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.3 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKEILGRKNAVGELIKKAVAMKDHLAQFPGFHKFERNGLLVYLESGHGNQLPLLTRKYIQNLLKVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.4 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKEVNMEEPYGIEWPSEEKVKRRQMVAPEARYIFVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.5 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKESGHGNQLPLLTRKYIQNLLKVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.6 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKEVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28110.7 pep chromosome:Lperr_V1.4:2:23745596:23748936:-1 gene:LPERR02G28110 transcript:LPERR02G28110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRGSGGEEKRPSRKEVNMEEPYGIEWPSEEKVKRRQMVAPEARYIFVRQSSNAFSTKNIMKQGHNSTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQVEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYMKLRYVISSTSPSRVDPLIGLEKNYKILCKTFESEAKSKFELKMDPGLRAIGFGVEVLHISARSNGADGVLGDETA >LPERR02G28120.1 pep chromosome:Lperr_V1.4:2:23749168:23758959:1 gene:LPERR02G28120 transcript:LPERR02G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEPPLPAAAAFAAGGEGDPYAPTTDSATSSSPFPLFPLSAPDASSAPAAGSQWLSNPSFSFDASSLNISTTTSSSVPPPLSPSSDEEEAPAPRPAPARYDLLPSSPSDDEGRDRRKDRKRRKRRREKEQYGSAEASRKSGVRAWAGSETKLAKDYYFDAKGDHDNLAFGSIYRMDIARYKPQSIPETRGLKWLLFHNWGLVSVHMGHESDLDGLDSKVRAGGRYYSAKYAVVERNKGFKHLKVLKKDISASLPEDFVPIGAPAPPEENITGGQELEESWEDEILRRTREFNKMSRECPHDEKTWLDFAHFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTQNLLDKWEQILMKHPDSLDIFVNLCRFEWHTGHRDLATALFQAQVEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIHIQENAQETEKGGWSGWFDPSRNNNETSKVPDGESMEPSISDGNDAEDPDDVGPSTQDDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKSGSLYSDDAPDGEDNDQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGRIPRWTSTNSSSWIDRILSLEMVTDDIQEDLITVTNLVDKNQSSVHNKMVSLLGTMHDFSQRPGIAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAEGKSLSTPASASRALAKTLLKKDRQDLLLCGIYGRIEAMNGDIVKARNIFDLALSTSQGVSEDLRKKVPILYFWYAEMELAIPTSRNDSDSVDRAIHILSCLGSKAKYTSFDGSVSRPQVLKARQGFKEQIRSLRSSFAGDGMKEESVALICSASLFESMTSGYASGIEVIEETFSMAPSERNHSLEYEELWMHYIKLLQKNLNQLSLSRVWPRVSQGIQTYPYNPKSYAAMDPSIIGLLFALFFEFCKAGSDNRIHNLFERALADDKLQKSVLLWRCYLAYEAEITCNASAARRVFFRAIHSCPWSKRLWLDGFEKLSSILTLKELSDLQEVMCDKELHIRTDIYEILLQDETDI >LPERR02G28120.2 pep chromosome:Lperr_V1.4:2:23749168:23757539:1 gene:LPERR02G28120 transcript:LPERR02G28120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEPPLPAAAAFAAGGEGDPYAPTTDSATSSSPFPLFPLSAPDASSAPAAGSQWLSNPSFSFDASSLNISTTTSSSVPPPLSPSSDEEEAPAPRPAPARYDLLPSSPSDDEGRDRRKDRKRRKRRREKEQYGSAEASRKSGVRAWAGSETKLAKDYYFDAKGDHDNLAFGSIYRMDIARYKPQSIPETRGLKWLLFHNWGLVSVHMGHESDLDGLDSKVRAGGRYYSAKYAVVERNKGFKHLKVLKKDISASLPEDFVPIGAPAPPEENITGGQELEESWEDEILRRTREFNKMSRECPHDEKTWLDFAHFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTQNLLDKWEQILMKHPDSLDIFVNLCRFEWHTGHRDLATALFQAQVEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIHIQENAQETEKGGWSGWFDPSRNNNETSKVPDGESMEPSISDGNDAEDPDDVGPSTQDDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKSGSLYSDDAPDGEDNDQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGRIPRWTSTNSSSWIDRILSLEMVTDDIQEDLITVTNLVDKNQSSVHNKMVSLLGTMHDFSQRPGIAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAEGKSLSTPASASRALAKTLLKKDRQDLLLCGIYGRIEAMNGDIVKARNIFDLALSTSQGVSEDLRKKVPILYFWYAEMELAIPTSRNDSDSVDRAIHILSCLGSKAKYTSFDGSVSRPQVLKARQGFKEQIRSLRSSFAGDGMKEESVALICSASLFESMTSGYASGIEVIEETFSMAPSERNHSLEYEELWMHYIKLLQKNLNQLSLSRVWPRVSQGIQTYPYNPKSYAAMDPSIIGLLFALFFEFCKAGSDNRIHNLFERALADDKLQKSVLLWRCYLAYEAEITCNASAARRVFFRAIHSCPWSKRLWLDGFEKLSSILTLKELSDLQEVMCDKELHIRTDIYEILLQDETDI >LPERR02G28130.1 pep chromosome:Lperr_V1.4:2:23758665:23761056:-1 gene:LPERR02G28130 transcript:LPERR02G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNYSYYVDDHFVLPPTTQLDWDWDQLQLHTFGEGGDTPQDGTHGAFLPAMLGVESPEESSSSEASSGYLQDAVAHWSDRSKRQRMTAEAPPRRRPPAAEDLHSLLQSFWDSTTTTTSSGEGDLLHDLNIMIPESGSFVSGDEDDASGWEEQGQRGPIAVAAAASAVQVPAAAQGGGGDAASSRSRSTSTTTATGEAAGQRLQLQKATSAGAAHEHRGNNLATHHQRQPSASSSRAASTSSASLMLAGKEKTEYTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAIVSLTRIRTAGKGTITIIRTRG >LPERR02G28140.1 pep chromosome:Lperr_V1.4:2:23781118:23782946:1 gene:LPERR02G28140 transcript:LPERR02G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILIHVLPKGADASHKELWKSTGSPLIPLLELMEMNVQARYGIKPDKEILEILQAESKSKEVEVLAKVYWGDAREKLCEAVDDLKVDTFVLGCRGLGPLKRALLGSVSNYVLNNATCPVTVVRGPTGSNA >LPERR02G28150.1 pep chromosome:Lperr_V1.4:2:23781916:23786120:-1 gene:LPERR02G28150 transcript:LPERR02G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSAAAAAGKIGSFLSKKPYAPPSWASHLYPVPSHTFSLGHFPTPIHRWNLPNLPNGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKEPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLDEGRKPYVIPVGGSNSLGTWGYIEAINEIEHQIQISGDVEFDDIVVACGSGGTIAGLALASKLSSLKTKVHAFSVCDDPEYFYAYVQGLIDGLHSGLVSHDLVDIVNAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYGMLKDMAANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWCRMDLEESVPRKDGTESHHRVITSLDLDSACRISSISLSGLIPYLACTFISMSSSRGIKASEFRGGKKESEGNLSEPEFAEQKRN >LPERR02G28150.2 pep chromosome:Lperr_V1.4:2:23781916:23786120:-1 gene:LPERR02G28150 transcript:LPERR02G28150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSAAAAAGKIGSFLSKKPYAPPSWASHLYPVPSHTFSLGHFPTPIHRWNLPNLPNGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKEPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLDEGRKPYVIPVGGSNSLGTWGYIEAINEIEHQIQISGDVEFDDIVVACGSGGTIAGLALASKLSSLKTKAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYGMLKDMAANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWCRMDLEESVPRKDGTESHHRVITSLDLDSACRISSISLSGLIPYLACTFISMSSSRGIKASEFRGGKKESEGNLSEPEFAEQKRN >LPERR02G28160.1 pep chromosome:Lperr_V1.4:2:23785994:23795791:1 gene:LPERR02G28160 transcript:LPERR02G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28160.2 pep chromosome:Lperr_V1.4:2:23786146:23796039:1 gene:LPERR02G28160 transcript:LPERR02G28160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28160.3 pep chromosome:Lperr_V1.4:2:23785994:23796039:1 gene:LPERR02G28160 transcript:LPERR02G28160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28160.4 pep chromosome:Lperr_V1.4:2:23785994:23796039:1 gene:LPERR02G28160 transcript:LPERR02G28160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28160.5 pep chromosome:Lperr_V1.4:2:23786391:23796039:1 gene:LPERR02G28160 transcript:LPERR02G28160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28160.6 pep chromosome:Lperr_V1.4:2:23786391:23796039:1 gene:LPERR02G28160 transcript:LPERR02G28160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADHERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAGGGIQFTSRDASDMPPRPSQGKQVNKPHAVMPEETGGNKWSYSGNISKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHKGSNALDRKLHNIFYGGGWKNDIKRFLSRIPVMNPHAKVVQQWNQFFVISSLVAIFIDPLFFFLLQVEKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANNAKNLLRITVLLQYVPRIVRFVPLLGGQSDTSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACYVSNIPSCDGFIDCGRGINIGRQNELSRQQWFNDSASTACFDTGDGAFHYGIYVQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQDGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRSRFQGMRLVAIRTVRCLTNVEAFVLRASDLGEVTSQFSRFLRNPRVQGAIRYESPYWRSIAATRIQVAWRYRNRRLKRAGMSKLSDQSYNSAL >LPERR02G28170.1 pep chromosome:Lperr_V1.4:2:23796561:23797346:-1 gene:LPERR02G28170 transcript:LPERR02G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGVQEAPVGQRRRTLVRKGKRAFAMKRHMYTRHHACSIVVYNRFGVWSQRHQSYTRKSRYLKFAEIGWDISNLNKWLRALKLQHGFFAWDEYKARQGEFLAAREPLHRLFMRRAAARFTRKELKARLQAQLRPPTAHE >LPERR02G28180.1 pep chromosome:Lperr_V1.4:2:23801749:23802369:-1 gene:LPERR02G28180 transcript:LPERR02G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSRLASTRPLHQTPPRRVATTALPAAAASSSTNVEVIDATASSPPPAAARDAVAESRQQWRVAAGGGLGLNLSEEMRRDMMWRMLAPPAAAVAAEAAFLRVLDGAAAAASDVPAWAGAAGSATLFAVGLLGFHYGFLSSRWDAAETGSMVGWELAGRHWNELTMARHSSVVDDEEEDSDEEEEEEEEEDEDEEDDDEDSD >LPERR02G28190.1 pep chromosome:Lperr_V1.4:2:23805459:23807206:-1 gene:LPERR02G28190 transcript:LPERR02G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQSSQALSSLHPSDPSTAPPQPPPRINHGRFWLSGVKEEDKESEKKNKLERLHLHPNADLGVDDSSSTVIRAAAALPLFPQDNAVVECSKIPGGGGGAQQGAADGHRSLLENGHYSKPDPLAIRSSTGERIVNGVMPMAVNNLKEESKSDIINGGNGVGVGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSNAAAADVATAAAVAQPPVVRPC >LPERR02G28200.1 pep chromosome:Lperr_V1.4:2:23810197:23812683:-1 gene:LPERR02G28200 transcript:LPERR02G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGWVKQGGAAAAMAADHVGRARRARLCVYGVALAFGAFAAFLAFAPSLPAPSPSSPAAAWLDGILASASPYRAQVSSYFSSLFPANSSSTEPPGGPSDGGLAAMGGEAGSNRTSEVGGDEPSGRGDGASSSNARGVPSGKSPSGNSTAAMQSTPPPNDQAGGVGAATNNSITSSSTGRAAVPSSDSSKNGTMIKDGAAVRINGTEVIVSSSEGGDVTAVKVNARYAAGSTAHQLDDGARAIVDSSNGTSVPFINQTRNASAVLTDSNGAASQRGGAPGKNQTALNPPAANNQKQSGSRAAASGGSNSTNDAHPHGIASNNTQAAADAGGKKKSHSIEAMASCDMFYGNWLRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLICILRNSVKDKRKVFEVSGRSKFRAEGSYSFLFQDYNSSVEFFRSPFLVQEWEFPVRKGLIKETLRLDMISTSFPRYKDADIIIFNTGHWWTHEKTSLGKDYYQEGNHVHSELNVDDAFQRALKTWAKWVDSTVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEKYLTPYPRKMSILEDVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMVKQHQMLHQ >LPERR02G28210.1 pep chromosome:Lperr_V1.4:2:23817437:23820558:1 gene:LPERR02G28210 transcript:LPERR02G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAPSPRVLRVREAPAAAAAAACSTVAGGGGGAAGRPLGLWRRGGGGEEGRMRRMRGRGDGVRAEAYFWDVSKPVEMEEIDSMDKLDDALRWSHAALQQFPKRNASSDAETYLVSEMHLSEAQTGEDSRRISGVGLNAIKYFDPGLTQLILSIQSVAIPSPREMPFGVCIVRFYFVDVNKVPQAVLWKDGEWAEEVIGGHKAWLVMDEVREMIQKHK >LPERR02G28210.2 pep chromosome:Lperr_V1.4:2:23817378:23820558:1 gene:LPERR02G28210 transcript:LPERR02G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAPSPRVLRVREAPAAAAAAACSTVAGGGGGAAGRPLGLWRRGGGGEEGRMRRMRGRGDGVRAEAYFWDVSKPVEMEEIDSMDKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQAVLWKDGEWAEEVIGGHKAWLVMDEVREMIQKHK >LPERR02G28220.1 pep chromosome:Lperr_V1.4:2:23819786:23820091:-1 gene:LPERR02G28220 transcript:LPERR02G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDATSMIFSPEIRDALTKVAVFVLVQGLVYLILRNSSSVFSKDSKLIRSMSFRSMRSMSVRRLLAPLSDVPVGTDEPSPSASPSPSLSRRWTSRRDD >LPERR02G28230.1 pep chromosome:Lperr_V1.4:2:23821972:23826768:-1 gene:LPERR02G28230 transcript:LPERR02G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRVARRRDLASPLGTDGCSGKTVQLKDPVLAATNNVNTTFIVLDKAARVPTPPHGGADVSRGETCLALVADETAAVHFLLWGAECDAFEPGDIVRLTDGTFSYHKANSLVLWAGRWGRTEKVGEFTMLFVEMSNMSEVKWVRDPGNPKRMLTANAHSTYSANISSRWGGFARAFSAKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLAGEFKRTEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNISLTRSKFESLVNSLIERTREPCKNCLKDAGITAKEVDEVLLVGGMTRVPKVQEIVSEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGLPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSESEIQKMVQEAELHSQKDQERKALIDIRNTADTTIYSTEKSLGEYRDKVPAEVVTEIETAISDLRAEMASDDIEKIKAKIEATNKAVSKIGQHMSGGGGAGGSQSGGSQGGGDQAPEAEYEEVKK >LPERR02G28240.1 pep chromosome:Lperr_V1.4:2:23827721:23829641:-1 gene:LPERR02G28240 transcript:LPERR02G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSTSGARTPPRFKRSSPRKKQQPRTRRIAVNVAAAGAARKPPHPACTAVSAPSPSQIGRALSRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLETIQQRRGQQTEKPILLTGPGKVGQALGLSTGWSNHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAIAGTPWISAPKNTLRPRGVSNWDASEQIH >LPERR02G28250.1 pep chromosome:Lperr_V1.4:2:23830444:23834278:1 gene:LPERR02G28250 transcript:LPERR02G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDRSTPLLAGGEGGSGARPPSLRRRDSAKSLRSTFLSRLPDKVRGGIDPERGGAAAADIDLSRVKGLSQGEKEYYEKQLATLRTFEEVEILCMPGEFESDGEVTELEDKEQKQSESAMNISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILWFTHLSMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKPGEKMTSEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPPEMLQRLTYLAMKHDSRVKRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQNKIEKLPEVERAFVHVDFESTHKPEHRVRNRLPSTEP >LPERR02G28260.1 pep chromosome:Lperr_V1.4:2:23834046:23837697:-1 gene:LPERR02G28260 transcript:LPERR02G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTAATPMDIDAAAPPPPSASAKGKAPLSATPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENKLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDIVRQQIQDFASARSLSFGARPSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRDRLQHIIQSEGLDVDEGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAFWLLNEPFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYRLSFACNDKLQLGALISTFTGARTAMVAAAN >LPERR02G28270.1 pep chromosome:Lperr_V1.4:2:23839657:23840073:-1 gene:LPERR02G28270 transcript:LPERR02G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGYYDDAHAEYGTTTGTFHLFLFLATASLLGAASLYSRCESAMESLLDQARVLLVLSPLLLLLAVQYWTAASAASGSGRRSSSLLVAPLFGDYDQRQRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >LPERR02G28280.1 pep chromosome:Lperr_V1.4:2:23842147:23850969:-1 gene:LPERR02G28280 transcript:LPERR02G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKASSAAAAASRSLPLQQQQPPPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPGGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIIIGTPLKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIIMDNESNELLSPVDGLGVALEDSKEEKKNRKGILNWFKIRKRDGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLAGESASVDLFSIGHGEFTTDILPGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNSEKIQMEMKKVSDEIKGKKHQIASLERQIPHSISNNQGMVDKLELTPSYAQLLEQLNEKSFDLEYPTHPTMNLVWFRFRSGVALALHTLRYSFVQVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQVLQAKDSLSNSIMMQKNAGINHEIGNHADQELSVPREVSGETLTKEPQSVEIDELKQKVSELTEVKSQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRVNNGLRGARRDTISRRHEPATRRDNNAGYEREKAFEAVLMDKEQKEAELQRKIEESKQKEAFLESELANMWVLVAKLKKSQGNDLEDFDTKYNGS >LPERR02G28280.2 pep chromosome:Lperr_V1.4:2:23842147:23850969:-1 gene:LPERR02G28280 transcript:LPERR02G28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKASSAAAAASRSLPLQQQQPPPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPGGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIIIGTPLKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIIMDNESNELLSPVDGLGVALEDSKEEKKNRKGILNWFKIRKRDGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLAGESASVDLFSIGHGEFTTDILPGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNSEKIQMEMKKVSDEIKGKKHQIASLERQIPHSISNNQGMVDKLELTPSYAQLLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQVLQAKDSLSNSIMMQKNAGINHEIGNHADQELSVPREVSGETLTKEPQSVEIDELKQKVSELTEVKSQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRVNNGLRGARRDTISRRHEPATRRDNNAGYEREKAFEAVLMDKEQKEAELQRKIEESKQKEAFLESELANMWVLVAKLKKSQGNDLEDFDTKYNGS >LPERR02G28290.1 pep chromosome:Lperr_V1.4:2:23860076:23861289:1 gene:LPERR02G28290 transcript:LPERR02G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGRMSPKAHLLQQQRKASPKSYITEKKKVSPKAQQPRASWNPGLEKALVDLFREHNNPHYHGQNGWTSEAWNKIVKEFRDKHPYVIMNKQQIQDKEKELKRDYRMLKEARKQSGVSWDDQRCMIIADDAVWENMIKSNDKVKKFSKNKSFPLFDALGELYDWQTAKGSMNFTSIEPPRHATLTQVGDHLERSESFPDVNWVPQDYEGLTDEVEEEENRMEYENQQTHIDTTSRGCGEKDGNKTKSASRERADKPVKRNRRNDVFDLMESYIEMRKEEEAKIRVESSKVDECSIRNCIDAYGKYRDYRRRPCRGKHMVHGIN >LPERR02G28300.1 pep chromosome:Lperr_V1.4:2:23865171:23866004:-1 gene:LPERR02G28300 transcript:LPERR02G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPQEMRNVDSFSQLPFIRPTPPPSQPHQPRAPDTIRLFGCEFSNDKRATDAAAAAGSPDAANGSTVTSEGSNGNGGKTTAAAAAAAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMAPGHMYAGLFNYHHPAHIGGGGRFDHTAAPPPPPAHYPMWASGAGGPGAAFAGPGSMSQPINGSPVQAGLWSVPPESFGAVAGGERKVGMVAAEEKVVAMSLLSSPSSLSSCSSTSPELLGRCELGGQKEGVSLDLHL >LPERR02G28310.1 pep chromosome:Lperr_V1.4:2:23877827:23878628:-1 gene:LPERR02G28310 transcript:LPERR02G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGKQTDTSLANNFTNILQLLNSTNNPITSCTYFSMSRSVGTMARAFAMTQDCTPKSVILYPGKPCDPTRCSSDCSDTYKGTGTCIGQEGGCKCDYCE >LPERR02G28320.1 pep chromosome:Lperr_V1.4:2:23880627:23880983:-1 gene:LPERR02G28320 transcript:LPERR02G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVQFLLVVIALVSLSSVTLGEIRMDDGRVSALTPDCKSVILYPAKPCTPAKCSADCSSMYKGTGTCFGPEGCDCEYCPHLH >LPERR02G28330.1 pep chromosome:Lperr_V1.4:2:23882641:23885241:1 gene:LPERR02G28330 transcript:LPERR02G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPVSPLVPSLGRHVPFYHDAQWAVPPVPCQPDAAQISALPSQSTDFTPRLLSSRVRPPPACAADLHPNFHEPRPPAPPSCCFRRILRGYAMQSLLRRVYLAGNHGAVGVKLLDSAASGVAQSGANLLSIKQLRLCSWIRPFGNSIISSDGVQANGFRLNTLSMRGLSTAGNAEVCVQEESSSSPAVEHPPRIKFKRPDKTARHIMNILNKEAVDNVRAEREIPDVQPGCIVQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >LPERR02G28340.1 pep chromosome:Lperr_V1.4:2:23885593:23885945:-1 gene:LPERR02G28340 transcript:LPERR02G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINTALFLLAIVLVASSTSGVMAATKLRNAKYFQDTCLEVIYPGAPSCDEGECNTRCRSKYKGGVGHCIRTDCKCIYTCTIPSPAPSN >LPERR02G28350.1 pep chromosome:Lperr_V1.4:2:23888322:23888507:-1 gene:LPERR02G28350 transcript:LPERR02G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGQDTCTKVTNLGASSCDIYGCATNCSNNYDCGVGNCDGKQCKCVYPCSSTPAPAPSK >LPERR02G28360.1 pep chromosome:Lperr_V1.4:2:23901079:23901282:-1 gene:LPERR02G28360 transcript:LPERR02G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKLQNAKYFQDTCLEVIYPGAPSCDEGECNTRCRSKYKGGVGHCIRTDCKCIYTCTIPSPAPSN >LPERR02G28370.1 pep chromosome:Lperr_V1.4:2:23903009:23903387:-1 gene:LPERR02G28370 transcript:LPERR02G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHAALFLAIVLVASSSSGVMAAKLRGDQYFGQDNCMKVTNLGASSCDSDDCATNCSNNYEGGVGKCYGKQCKCVYPCTTPPPASN >LPERR02G28380.1 pep chromosome:Lperr_V1.4:2:23911249:23911580:-1 gene:LPERR02G28380 transcript:LPERR02G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSQQVAVLLAFVLLVSMSPPGFAAKCLPEETCTSFIYTGACEASNCYMDCVAAYKGVGEGQCFPQGCRCSYCCKP >LPERR02G28390.1 pep chromosome:Lperr_V1.4:2:23915074:23918358:-1 gene:LPERR02G28390 transcript:LPERR02G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSREAAASGRDGGEEPRRLQLQAQAGARSESASSFARRRHRGRRRVGAMLLPSSSSSSYASKGVSSRKTVDGPMRSTLTFDNNNSVLTSQNIDYGLPMGCISYPYNNSGSGVWASYRSHSVFHPQIVGGGTSTRVPLPPLEVADDEPIYVNPKQYHGILRRRQLRAKLEAQNKLVKTRKPYLHESRHRHAMKRVRGSGGRFLNTKQLQQQQQQSHTASLKITTDSQNSSGSTHLRLGGGAIGDRTSFPFKALDPQDNTKVVTASGSTFTVNSAAHKDEAFFDRHGHHLSFSGHFGQAAAQGGVGSMHNGTQQRVPAMR >LPERR02G28400.1 pep chromosome:Lperr_V1.4:2:23937935:23938786:-1 gene:LPERR02G28400 transcript:LPERR02G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHSGRLAPPRRHRENPSFSAALLDAIYHSLDADGSVPPPTSPPPSSSPVTARLRRRPSQHGDLSPSAVSSSRSPRLQRTPRPCRVRPDQPPQPPPPTTMESTEKKKRRKEKPFTCILNALLCNRRSAEPTTTPRAVVAAAPEPASARSILSSRASRRQSAATGGIMTPARRAVRFSPVAIVVDDDDDGERGWCREAGVARVRGAEMEAERRVEELLRALGVAEAAESERAKESSESSSDLFELDSLPPAFDAAADAELPRARATGGRGGLVLARPRPRVC >LPERR02G28410.1 pep chromosome:Lperr_V1.4:2:23941675:23955387:1 gene:LPERR02G28410 transcript:LPERR02G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELQEAFRATFGRQTTVKDKLWLKRRIAMGLSNSCDVPSSGCVVKDYKVIGMGAKQEIPAVEGIPKMELEATLVRDQVMDPVCERDLPPSFSYHSVEQQRSSKRLKGIPTDYDEPQVTTFAEQGTIKRIRKPTKRYIEELSDIETHESIVRHSSPGKRLVYDEVFLRPRAAPLHEVDSLSTTYPTREDTLGGCSVHVPYVSRMRRGRPRSTFIPFSDFETSVECTEVPAADGVNLEKEGEQINHGLQDKDFCYDDSNPKIKQNGKRKHHRAWTLNEVVKLVDGVARFGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSTIPIPPSILLRVKELAEMQPQAGDLTVPMKFSGQSTVVVQGKGSGFL >LPERR02G28410.2 pep chromosome:Lperr_V1.4:2:23941675:23955387:1 gene:LPERR02G28410 transcript:LPERR02G28410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELQEAFRATFGRQTTVKDKLWLKRRIAMGLSNSCDVPSSGCVVKDYKVIGMGAKQEIPAVEGIPKMELEATLVRDQVMDPVCERDLPPSFSYHSVEQQRSSKRLKGIPTDYDEPQVTTFAEQGTIKRIRKPTKRYIEELSDIETHESIVRHSSPGKRLVYDEVFLRPRAAPLHEVDSLSTTYPTREDTLGGCSVHVPYVSRMRRGRPRSTFIPFSDFETSVECTEVPAADGVNLEKEGEQINHGLQDKDFCYDDSNPKIKQNGKRKHHRAWTLNEVVKLVDGVARFGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSTIPIPPSILLRVKELAEMQPQAGDLTVPMKFSGQSTVVVQGKGSGFL >LPERR02G28410.3 pep chromosome:Lperr_V1.4:2:23953888:23955387:1 gene:LPERR02G28410 transcript:LPERR02G28410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSLMTYSMSCTMLLRRRRPDRAWSPSCAAKSAGDVVAPSETTARNLSPRVAPTPLTLTPRSSTICTTGFRFVSPASAAATPFGVSLAIATRRRPPPLSASLQSDPEEGAD >LPERR02G28420.1 pep chromosome:Lperr_V1.4:2:23952357:23955433:-1 gene:LPERR02G28420 transcript:LPERR02G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTPNGVAAALAGDTNLKPVVQIVELRGVNVNGVGATRGERFRAVVSDGATTSPALFAAQLGDHARSGLLRRSSIVQLIEYVINDVRARRLIVILNLEVLVPECEIIGNPIILPETGFPNPNPTRVEQFNGAPQYGLMSGNSSNTTTRPTDNISMFQHSIAGNSNFATRPSDRTPAIQPTVQPSYRPEPNYKNHGTIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDCDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKTYNHLNNEWEILLENGSTVDLCPDEDSSIPTQRFDFRPISEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVELTMWGDFCNREGSQLQEMVERGFFPVLAVKAGKVSDFSGKSVGTISSSQLFINPDSDEARRLRQWFDGGGRDASTQSISRDFTPAASRNEVRKTVAQIKDEGLGMGDKPDWVTVKASVIFFKNESFCYTSCPNMIGDRQCSKKVTKGITGNWTCDRCNKEFEECDYRYLLQLQIQDHSGTTWVTAFQEAGQELLGCSARELNALKEREDPRFADTMLACLFQDYLLRLKIKEESYGDERRVKNTVVKVDKVDPSGESKFLLDLISRSSALH >LPERR02G28430.1 pep chromosome:Lperr_V1.4:2:23958918:23960309:-1 gene:LPERR02G28430 transcript:LPERR02G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGGGGAGAVGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPTPPPSNTSSAAASNASAAATTTTSSPAPPSYNHRTDASPYHQALYGGGGHYAAAARAPAATFHHAQVSPFHLHLDTTHPHPPPSYYSMDHKDQYAAYGHAAKEIHGGGEHVFFSDGGNDRESHHNHHQWQFKQLGMQSKPNTTTLFPGAGYGGGNNNSTAASPYPIDLSKEDDDEKERRQQQQQHCFLLGADLRLEKPSEAQKPLRHFFDEWPHEKSSKGSWMGLDGETQLSMSIPMATTDLPITTTSRYHNDD >LPERR02G28440.1 pep chromosome:Lperr_V1.4:2:23971984:23981457:-1 gene:LPERR02G28440 transcript:LPERR02G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSKEESGSPMQKLQQQFSKILKGFSASPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDIKKPRNIFSNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKTIFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSPEDNCEDDVCEGTEVTTNKQYIDGHVVELDKSSESSVGVCSKELSDTDSSSGCRDNELDLNCKEVRQECEVQDNPVTGTVTQCDTLQRPDNCLPEDTTADQSEIKLHELDPIPLILNESGTMKNCGDSLQDNVDDGQLDIFINDTILPLMRSRLCEGSESSPSSQGSPSEGINFRSDTHESDSEEPSSIGHGDLVSHNNILQWAKAKKYGSLQVICQYYQLQCPSRGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYISKTSDVHSRLANAVVIDANKNQIKSTSVPQLPQQKELLAALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPARERPFMKCYNRQCCTY >LPERR02G28450.1 pep chromosome:Lperr_V1.4:2:23993059:24001027:1 gene:LPERR02G28450 transcript:LPERR02G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGVLVAAILVAAAAAAVASADDDDGRTLLEIKKSFRNVDNVLYDWSGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKGVVSIDLRSNELSGQIPDEIGDCTSLTTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPELCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPPVIGLMQALAVLDLSFNQLSGSIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNELTGSIPPELGKLTDIYDLNLANNNLEGPIPDNISSCLNLISLNLSSNHLSGAIPIELARMKNLDTLDLSCNMVAGSIPSAIGSLEHLLRINLSNNNLVGYIPAEFGNLRSIMEIDLASNHLSGLIPQEVGMLQNLILLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPELCGYWLGSSCYSSSHAQRFSVSRPAILGIAVAGLVILLMILGAACWPHRPQVPKDLSLSKPDIHALPLSNVPPKLVILHMNMAFLVYDDIMRMTENLSEKYIIGYGASSTVYKCILKNCKPVAIKKLYAHYPQSVKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKHKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKRPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPSSKPTQPPALPQSSTDPSYVNEYVSLRGGTALSCANSSSTSDAELFLKFGEVISQNTE >LPERR02G28460.1 pep chromosome:Lperr_V1.4:2:24004268:24019838:1 gene:LPERR02G28460 transcript:LPERR02G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEGKDRGVVVVLTSQRKKITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLENAKLFLVGTFQGFPLSNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDTNGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRNFHYLNKSKTYELEGTNNEEEYWKTKRAMDIVGISRSDQDSIFRILAAILHLGNIEFSPGNEVDSSKIKDSTSNSHLQMAAKLFMCDPDLLVSTLCTRSINTLEGTIIKALDCSEAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDEKALTNGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQSRFRTFITRKEFVKTRAASISVQAYCRGCLARKMYMVRRETAAAIIVQKYVRRWRLHRTYQQARSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRTRKIIILFQQYRRATVAIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKMIESLSAECAAAKSAAQTEHDKNLLLRRQLDDSLREITMLRSSKIMTAEAEKENSNLKNLVESLSKKNSSLENELTAARKGSDDTMEKLKDVEGKCNHLQQNLDKLQGKLANLENENHVLRQKALNMSPLNNMPMSTKALSEKFAKSIGLPNGEQKHGYETPPPTKYLASLPHTLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGRPVAACIIYNCLLHWRSFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNLRSNGLFSTPSRRSGGPAGIGGKIMETLRSPSKLVGRSDTLAQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSTRAQPGKASKSPGVVAQPPSNSHWVNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNFVFLAVGFLVIHQKRKKTFEEINNDLCPNLSVRQIYRICSMYWDDKYNTQGISNDVVNAMREEVGKDTQNLVSNSFLLDDDLSIPFSTEDLSIAIPAIDYADVELPESLHHYPSVQLLLKHHDPRPV >LPERR02G28470.1 pep chromosome:Lperr_V1.4:2:24019637:24022410:-1 gene:LPERR02G28470 transcript:LPERR02G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLQCFFGGGGEPEAADDNTNKGRPGTDLQKTKKKPVRRSATGRLRSLSLDDLSRTLAQSGLHAFTMAELKSATRGFSGSHFIGEGGFGPVYKGFLDGKLRPGLLESQHVAVKYLDTDGPQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPDDVYSFGVVLLELLTGRRSVDKRRKGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSEKSAAKAALVAYNCLHSVPKSRPHMRDVVDALEPLLHASARDDVHLAGPFVYTVPSPVKPPVSAGDVDAGVKAAAAEADVGKFGDGEEKTAARRKRYVQASAVHAEGVMRKGEQRYASSVSKSPRQSRDRGA >LPERR02G28480.1 pep chromosome:Lperr_V1.4:2:24033396:24044120:1 gene:LPERR02G28480 transcript:LPERR02G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAAQGAKQEEGKYKHTVDLPKTSFGLRANSIMREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSMDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEASQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSIYAAFKVTNLSNPALLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYAVVELQSVLQSESKSGGNQRKLGNMLSSESRKPFIIVAADLVSALESKWGMKLVIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYLTGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGTGNAAVVKYLDEQCNLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWFPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKGIVSKKGSDAWWYMTIEDLLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADVYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKEEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSEMYRKLRGTMRFLLSNLHDWKPENSIPYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYFDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHILDDGSVAEYVFNLKWPVRNEEWLSVPKDDVDFLGVILELRSEVNKILESARTGKLIGSSLDAKVYLHAENVNTVSKLKELASANNDADALHRLFITSQVEILPSLSEETKSGVSNAGTFSDERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >LPERR02G28480.2 pep chromosome:Lperr_V1.4:2:24033441:24044120:1 gene:LPERR02G28480 transcript:LPERR02G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCCRVFSTQRCRFPLRRLAAPLPRRPLCSEPTSEPFAASTSKRRSRGPVMAAKKAAQGAKQEEGKYKHTVDLPKTSFGLRANSIMREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSMDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEASQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSIYAAFKVTNLSNPALLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYAVVELQSVLQSESKSGGNQRKLGNMLSSESRKPFIIVAADLVSALESKWGMKLVIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYLTGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGTGNAAVVKYLDEQCNLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWFPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKGIVSKKGSDAWWYMTIEDLLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADVYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKEEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSEMYRKLRGTMRFLLSNLHDWKPENSIPYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYFDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHILDDGSVAEYVFNLKWPVRNEEWLSVPKDDVDFLGVILELRSEVNKILESARTGKLIGSSLDAKVYLHAENVNTVSKLKELASANNDADALHRLFITSQVEILPSLSEETKSGVSNAGTFSDERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >LPERR02G28490.1 pep chromosome:Lperr_V1.4:2:24044499:24050153:1 gene:LPERR02G28490 transcript:LPERR02G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERGGAASSSAAAPASEEDELFIDLLHEAPLSGHREPRSIVGGTLYCILLAGYAAVAVSAPWIFYLVPHMITPLLCSSNVILLILTGIFQQYWVHQVRKVRLQGYYDFSEKLKRIARLPFATIASGIASMLLIIVWQPLVHVLSVSLLLRIAIVVEAICAGCFMSLYLWHIHKYNSLNGHPDILRSLYSALQPPSASEDRRYYDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDDGSTPQADLAHLLASRDQELRALSAEMNQVHSELQLARGLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALEAERISSIELRKNIAKLRGQTYKEQSA >LPERR02G28500.1 pep chromosome:Lperr_V1.4:2:24050784:24054608:1 gene:LPERR02G28500 transcript:LPERR02G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPAVLAGQEEVTDNMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNANDKVKKIDAAKPIPEVFEDVKAIFAPYAPNAWLRRDYRYVIIKPLILKKSFGSYELPAYP >LPERR02G28500.2 pep chromosome:Lperr_V1.4:2:24050784:24054608:1 gene:LPERR02G28500 transcript:LPERR02G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNANDKVKKIDAAKPIPEVFEDVKAIFAPYAPNAWLRRDYRYVIIKPLILKKSFGSYELPAYP >LPERR02G28500.3 pep chromosome:Lperr_V1.4:2:24051298:24054608:1 gene:LPERR02G28500 transcript:LPERR02G28500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPAVLAGQEEVTDNMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNANDKVKKIDAAKPIPEVFEDVKAIFAPYAPNAWLRRDYRYVIIKPLILKKSFGSYELPAYP >LPERR02G28510.1 pep chromosome:Lperr_V1.4:2:24056465:24060668:1 gene:LPERR02G28510 transcript:LPERR02G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKLDHNGGYLHKFPIDSPISHDIGLGLISHFGSLVESSFQHPRHICSTGSGAVQEAFGCFNKFAGAFYFWLARASNPNVLHKLSAIAGSSSRACRSQIKQITSCMQHFSGLRFGSQVREERAIQMLLAKLANATFGRLWNEVEERHACNILMLAAATVIPPFENISPKMLADSMALGRDGDHTRQPVDQHSMEETRSGCTCVAVPRIILTEDATEPKTGIKFPTFLDDNSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKMLGPKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKMFGSYFSEDICIPAGTKIDFRQTSDGQLITEIDGKQIGAVQSKDLCKAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >LPERR02G28510.2 pep chromosome:Lperr_V1.4:2:24056465:24060668:1 gene:LPERR02G28510 transcript:LPERR02G28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKLDHNGGYLHKFPIDSPISHDIGLGLISHFGSLVESSFQHPRHICSTGSGAVQEAFGCFNKFAGAFYFWLARASNPNVLHKLSAIAGSSSRACRSQIKQITSCMQHFSGLRFGSQVREERAIQMLLAKLANATFGRLWNEVEERHACNILMLAAATVIPPFENISPKMLADSMALGRDGDHTRQPVDQHSMEETRSGCTCVAVPRIILTEDATEPKTGIKFPTFLDDNSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKMLGPKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKMFGSYFSEDICIPAGTKIDFRQTSDGQLITES >LPERR02G28510.3 pep chromosome:Lperr_V1.4:2:24056465:24060668:1 gene:LPERR02G28510 transcript:LPERR02G28510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKLDHNGGYLHKFPIDSPISHDIGLGLISHFGSLVESSFQHPRHICSTGSGAVQEAFGCFNKFAGAFYFWLARASNPNVLHKLSAIAGSSSRACRSQIKQITSCMQHFSGLRFGSQVREERAIQMLLAKLANATFGRLWNEVEERHACNILMLAAATVIPPFENISPKMLADSMALGRDGDHTRQPVDQHSMEETRSGCTCVAVPRIILTEDATEPKTGIKFPTFLDDNSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKMLGPKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKGTKIDFRQTSDGQLITEIDGKQIGAVQSKDLCKAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >LPERR02G28510.4 pep chromosome:Lperr_V1.4:2:24056465:24060668:1 gene:LPERR02G28510 transcript:LPERR02G28510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKLDHNGGYLHKFPIDSPISHDIGLGLISHFGSLVESSFQHPRHICSTGSGAVQEAFGCFNKFAGAFYFWLARASNPNVLHKLSAIAGSSSRACRSQIKQITSCMQHFSGLRFGSQVREERAIQMLLAKLANATFGRLWNEVEERHACNILMLAAATVIPPFENISPKMLADSMALGRDGDHTRQPVDQHSMEETRSGCTCVAVPRIILTEDATEPKTGIKFPTFLDDNSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKMLGPKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKLMGNKLVLSRAKIFARLSSICILVIHLFQWRPNKILHRMWVDSLEDATERQN >LPERR02G28520.1 pep chromosome:Lperr_V1.4:2:24061231:24064061:1 gene:LPERR02G28520 transcript:LPERR02G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPFHLSSANFFQFIPPLLLAARIAFSTPSHFGRVAFSPMRAMKQHCSPRRGDAAAALLLAALVLSGDVMVVAGGGPPSFNYREALTKSIMFLEAQRSGKLPPTNRIKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFQHELKAAGELANVHAAIRWGTDYFLKAAAKKNHLWVQVGDPNADHQCWVRPENMPTPRTLYEINEKTPGSEIAAETAAAMAASSIIFRKDKSYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFISHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKTQADNFVCAVLPDTPFHQVFITPGGMIHLRDGANSQYVTSTAFLFVAYSDVLRRINQPVMCGAQAVQPARLLQFAKQQMDYLLGANPHGRSYVVGFGVDPPTQPHHRGASTPVLPPGYKVNCGMSFGEWFAPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGASLLATH >LPERR02G28530.1 pep chromosome:Lperr_V1.4:2:24066301:24069323:1 gene:LPERR02G28530 transcript:LPERR02G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALDSGPGLGGGGGGGSGGGSGGGFGRRGVTRCAYAASPSPAPSSSSVGLDSDSPAAAAKWEWDGEEVEGGDGEVQSSYRGPFDTMDALQEALPFRKRVSKFYNGKSESFAKLQDSVIPSPPEKGLPPENPSPRKRKGLLPFSFKWGKTQNKEVFPEDAVISPTTCRRMTLSPAATSSSGSNSGSDDENYRSPKLHARQQLRRPSNAMGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMVSPRDKRRKN >LPERR02G28540.1 pep chromosome:Lperr_V1.4:2:24071695:24074033:-1 gene:LPERR02G28540 transcript:LPERR02G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPHLAAVALLILAAAVAVAASSAASDQSTYIIHLAPDHPALRGTSAGGGVAALGRLLRLPRHLLSPRPRLLYSYAHAATGLAARLTSRRSPACSPSTLTSRATSTPPIRRRSGAASPVVVGVLDTGIYPIGRGSFSPLAGLSPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEESKSPLDTEGHGTHTASTAAGSPVAGAGFFEYARGEAVGMSPAARIAAYKICWKSGCYDSDILAAFDEAVADGVDVISLSVGAGGYAPSFFRDSIAIGAFHAVSKGIVVSASAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGNGEVYSGVSLYSGEPLNSTQIPVVYGGDCGSRLCIIGELDPAKVSGKIVLCERGGNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGEKIKQYVTNDPSPTATIAFRGTVIGNSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGDSSPTDLDIDTRRVEFNIISGTSMSCPHVSGLAALLRQARPEWSPAAIKSALMTTAYNLDNSGEIINDLASGLDSTPFVRGAGHVDPNRAVDPGLVYDAGVDDYVSFLCTLGYSPSMISLFTTDGSVANCSTKFPRTGDLNYPAFAVVFSSYKDSVTYHRVVRNVGSNGDAVYEAKIGSPSGVDVTVSPSKLVFGEHHQSLSYDITIAVSGNPVIVDSKYTFGSVTWSDGVHDVTSPIAVTWPSNAGTASM >LPERR02G28550.1 pep chromosome:Lperr_V1.4:2:24078428:24078835:1 gene:LPERR02G28550 transcript:LPERR02G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAQHTAARHAGARRVKLFRMPRRPATAARDGAPPLVPAGGKNNKKRTRMAVARLGGGGAAGGRRRLMGAFRRLRIRWLAALYRRSLRRLRAYYAKAVQDLLEGAAAMSTITSQAAADCSFGTAFAPVVAVGY >LPERR02G28560.1 pep chromosome:Lperr_V1.4:2:24080337:24080531:1 gene:LPERR02G28560 transcript:LPERR02G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYVAAAGGRAIEQEAQATADRKTLRRLRLRWWVAAKYRRLRACYAKAIRDVLEGAVRADAGV >LPERR02G28580.1 pep chromosome:Lperr_V1.4:2:24094766:24099030:-1 gene:LPERR02G28580 transcript:LPERR02G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGAEEGVCIHADLSPPEGSTTTTVAALDFELQAHHVALPPHRGRQRRLDRRQLTEEAISPCSRSSRHDRHPPSVRVGDGRFHETTAVDLGWVLGHQTQPSTSVSATSTPCTKLGQGQFVCTKLSTGMDYNCKSISKHKLISKVYIEDAHRHGALRRRALRSNRTAGTLHLGFRMRQISTSPTTWASICPCRHRLVWWWRVVAVSSRSSFGGRRRPTRFGEDEHVSSSADMYGSSIISDLRTDKCR >LPERR02G28580.2 pep chromosome:Lperr_V1.4:2:24094766:24098550:-1 gene:LPERR02G28580 transcript:LPERR02G28580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFNLRSLSSLSLHRRSCLSAHSSPPEGSTTTTVAALDFELQAHHVALPPHRGRQRRLDRRQLTEEAISPCSRSSRHDRHPPSVRVGDGRFHETTAVDLGWVLGHQTQPSTSVSATSTPCTKLGQGQFVCTKLSTGMDYNCKSISKHKLISKVYIEDAHRHGALRRRALRSNRTAGTLHLGFRMRQISTSPTTWASICPCRHRLVWWWRVVAVSSRSSFGGRRRPTRFGEDEHVSSSADMYGSSIISDLRTDKCR >LPERR02G28590.1 pep chromosome:Lperr_V1.4:2:24102441:24104744:1 gene:LPERR02G28590 transcript:LPERR02G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTSVGVEQVDEATHITNHPGVLVIHPDERRELYTTQSPSFLGLSPSSGLVHASKGGKGAVIAVLDTGVYPKDRRSFAADSSLPPPPPTFHGSCNSTPSFDATAYCNNKLVGAKYFYAGHEANMGHPIDERRESKSPLDTDGHGTHCASTAAGSAVPGANFVNYANGTAQGMAIHAHIATYKVCWKLDNGRGSCTDTDILAAMDEAIKDGVDVISLSLGGPDGQLYNESTSIGAFSAMRAGIVVSASAGNDGPRFHTANNLAPWMVTVGASSIDRRFPAIVVLGNGQSFVGASLFSGENTASSFKPLVYGGNANSSICEPGRLNPDIVAGKIVLCDADYGPRQGAAVQKAGGVGAILKTRSDYGDFLQSFPDLFPASKVTVANGSAIHSYITSTSKPEARIEFHGTVIRQSPSAPRVAAFSSRGPNRFAPEILKPDIIAPGVDILAAWTEDFPPSLRSIDSRKVKFNIISGTSMACPHVSGIAAMLKVAHPSWSPSAIKSAMMTTAYNVDNGGNPIKNSADGEKAGPFELGSGHVDPNRALDPGLVYNATTDDYITFLCSLRYTPKQIAVFTGDVTTTDYCSRRPTQNVGDLNYPAFSVKLPRNGGQLVTITQRRFVTNVGHNTNAVYNVSIVPPPDTTVTVTPQRLAFDAQRKTLDYTITVSSRGTAPNSPEYTGGSISWTDDKQHIVRSPIAVIWH >LPERR02G28600.1 pep chromosome:Lperr_V1.4:2:24117006:24119330:-1 gene:LPERR02G28600 transcript:LPERR02G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLIIVLSFLNVIVVGTSVGVEQADEVSTYIVHIAHAHAAPPAVANTAHLVHAHYNSFLQGILPPHISEPTPKLIYTYSLAATGFAARMAKYQATHITNHPSILVIYPDERLELYTTQSPSFLGLSPSSGLVQASKGGDRGAVIAVLDTGVYPKGRRSFAADSSLPPPPRTFHGRCDSTRSFNATAYCNNKLVGAKYFYAGHEANMGHPIDERRESKSPLDTEGHGTHTASTAAGSAVPGANFVGYANGTAQGMAIHAHIATYKVCWRLDNGQGSCSSSDILAAMDEAIADRVDVISLSLGGPSGQLYQESTSIGAFNAIRAGIVVSTAAGNDGPGFRTANNLAPWVVTVGASSINRQFPALVVLGNGQSYVGTSLYTGQNTASSFIPLVYGGNAGSGSCESGRLSRNVVAGKIVLCDVGYAPQQEAAVQQAGGFGTIVPSGSNYGDFFQSSSDLFPGSTVTLANARAIYLYIISTINPVARIEFRGTVISQSPSAPRVTAFSGRGPNRFAPEILKPDMIAPGVDIIAAWTGENSPSSLSIDSRRVEFNIISGTSMACPHVSGIAAMLKVAHPSWSPSAIKSAMMTTAYNVDNGGNSIKNSVDGQAAGPFELGSGHVNPNNALDPGLVYNATTDDYITFLCSIGYTPREIAIFARDGTTTDCSSRRQNVGDLNYPAFSMVLGRNGGQVTQRRSVTNVGDNINAVYNVGSVAPPGTTLTVTPQRLAFDAQRKTLNYTITVSGGAASSGTYSWGSVSWSDGQHMVRSPIAVTWQ >LPERR02G28610.1 pep chromosome:Lperr_V1.4:2:24124932:24134764:1 gene:LPERR02G28610 transcript:LPERR02G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKTLKNHATRDRREREATKARGQKTKRGGGERGRGGEEPFRVLAFFRSVGRSHRPPDREPADRRSPEIPMTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPRSQDEGPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACQILFCFVNNQVDSTYMFNLESQIPKLCHLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSGNDDSAIEDRRTQWVSEVLKAEGHEPPGTILRRVPTWKDIRASRGDLSLTTEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNNMWSPSKGLALCVLLDMQIVIEKSGQNSHILLSMLVKHLEHKNVLKQPDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQPLVSDVGTGDGMIMNDRYGKAVNECLVQLSRKVGDAGPILDTLAVVLESISSTTPVARSTIAATYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIDLKRTLSRTTSVFSSSAALFGKLKRDVFSFRENPRLDDTNLIPISEDSDQMTENDPKLFKSQTIQRMVSTKDASLTTLPDISISSGPTQETDPVTLMLSSRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFCEAKFSACIFIAKHFITSRFSATITQTLTLYYGNFYARVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKTLSNINKNDQSKQASVSLILDSLKDIPEAELSTIRKQLLEEFSADDVCPLGSHLIDSTSKSPTYNAKLHQKSLEVIPVGFIFEDDTLVEPAESLAEPQLRHPMDNSLLDVDQLLELVVETSRHVGRQSVSTNHNLPFNEVANQCENVLIGKQQKLSVCSSVHQKQDGELLTENLESPLHVFTTVGFVSANDEQCHSDFCKLPVLSPYDKFLAATGC >LPERR02G28620.1 pep chromosome:Lperr_V1.4:2:24136122:24140586:1 gene:LPERR02G28620 transcript:LPERR02G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVSHVAEIGPDPAPSRIPDGRRPIPSAPTRGRRRRRPAARQKIIPNFSSLCPLLSSPSRCEQTTEHTLHADPHLPPPKVSPRLASSIRAGLLLPSPFDASRLARAGVLCSSPPLPTREAPSDGERSTRLSPTPLPALAAGFSRLRFRSPHHQWMAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWGDAQIGHYIGIDASASGVNDARELWESRRKPFTAEFIELDPSADDFEARLREKGIQADILCFESEEHAQKLLNNVSSLLKPGGTKYQKNVEASHNKGQGLKTVPNSIRSENYIITFEVEEEKFPFFGKKYQLKFANESVFENHCLVHFPSLMRLARKAGLEDVEIQNFTEFYDDNRTQFSPLLGSSGASLVDPRGKLVARSYDILGLYSTFVFQKPDPDAIPPIVTPELHDAENAEEEEWLWRQQVSMDDGRGSHNDMLPPMDNEKGILGPGPADMRL >LPERR02G28630.1 pep chromosome:Lperr_V1.4:2:24142333:24147673:1 gene:LPERR02G28630 transcript:LPERR02G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQVEKMNQEGDCGDGRDAATRGALSTASRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELKGDPVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTVILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSNGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTTEEIRTSTNGSSESTSLLTEDTVETTQIVQTETMQFAASEEVQSSTEVSDAVEMMDEKVDSDGEDIIDHHVDEERMTDVELWQQLENELYRRREDDEIVEEEDMTESAINEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSSREETISSEESSVHQDDDDASGDSGTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDLSFQVSDNEAS >LPERR02G28640.1 pep chromosome:Lperr_V1.4:2:24146984:24152896:-1 gene:LPERR02G28640 transcript:LPERR02G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLPVKGASGASGSRHGKKAPQELENVLKQHFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKPGIVLVISPLIALMENQVASLKSKGIAAEFLSSTQTSYNKQKIHEDLDSGNPSLKLLYVTPELVATLGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRNQFPDIPILALTATAVPKVEKDVISSLCLRNPVILRASFNRPNIFYEVRYKDLLNDIYSDISNLLKPSGNACSIVYCLERAACDDLAMHLSQQGISSAAYHAGLNSKGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSRSVLYYGLDDRKKMEFILRNTNNKKSQSSSSSTELSEKALADFSQIIGYCETSTCRRKMIIESFGEKVQPTLCQRSCDACKHPNLVSSRLEELRRVSTCRYNKISPVFKSSLVNPEHMDTEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGIDAKFKALERAENAYYQTKGQTKQQGGKLVDKKSISQTLRDASRKRLLNGLGQARLRLGNLPFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSFDQIQDRLHALNDQITDHGAASSSPSIIPESPPVSPAVICKMPGEASSNETKEKPLTLTETDETARTEHFDEYPKITVSSQNMELPKIPSFREFMSQKGRDRATSSSKVENQPSGVRRKASMEKQGTPGTSKKLKS >LPERR02G28650.1 pep chromosome:Lperr_V1.4:2:24154929:24158622:1 gene:LPERR02G28650 transcript:LPERR02G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGGGRLHPHGQRRSVAAFLAANKTLLAAAWVVGFTLVFLWQSTSMSAGGGGGGRVGFLRLRSAPAPATRPVPLLRPKAYNLTDFGGVGDGRAVNTEAFERAVKAIAALAGRGGGQLNVPPGRWITAPFNLTSHMTLFLAEGSEILGIPDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWLKHRRRILNNTRPPLLQLMWSKDIVVANVTLKNSPFWHFHPYDCSNVTVSNVTILAPISGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSCNIVIRNVMARSLVSAGISIGSEMSGGVANVTVENVRIWESRRGLRIKTAIGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFREIHGRGVRVPVRAHGSSTIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDFYDEQGQLVKHAAMLNSTEVDYDI >LPERR02G28660.1 pep chromosome:Lperr_V1.4:2:24168263:24168901:-1 gene:LPERR02G28660 transcript:LPERR02G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSSSSSSPASCDGEKKYKGVRKRKWGKWVSEIRLPNSRDRIWLGSYDTPEKAARAFDAAFVTLRGHGAAGADLNFPDSPPPPSCGARTSDPQLVQAAALSHANRRARATPRHAAPPPLSMATEECGFATAVRDDGSIDWRPVMAHPPPLYSPPGCWPGGSSNGAYDFLQAPPPASCADDGMDDVEESGHGASASLWSFDTRDYSYFRY >LPERR02G28670.1 pep chromosome:Lperr_V1.4:2:24174837:24177061:-1 gene:LPERR02G28670 transcript:LPERR02G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRFSGAGVGAVAFARKGPASFDALRLPAPATVAVGVLRQARRPSRGLVVRAATVVAPKYTTLKPLAERVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAVGEGRTVGDSKVEVSIQVGAQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLGDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSSVLYSKYAGSEFKGADGTNYIVLRVSDLMAVLS >LPERR02G28680.1 pep chromosome:Lperr_V1.4:2:24177317:24186827:1 gene:LPERR02G28680 transcript:LPERR02G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGRASPSCAAVSGCTMAAVLLQVAGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGSAGDGEERALPPDQLRSLYRELSEIPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLIAGCKAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLEFEFSAKDWNVLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAASGLENNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPGLLNSLTDKQKLRTLELNSLQFLRLLQTQLPAFCSEDCIDAKYDLGIDKFPESVEKKLCRLLSKAFDFHRPSHLHQRSNFKSIEDGYNKTAVDAYYEFLRYASEWLSHRATNKPFNLLVSAISLMIVSCLFLMGIVSCLLKGKSLGQFERRCEWKLDNHWQLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLFKTTQSVLRESNSAVAQTKGEHFHGNNSSCFTSCKLIPSKREGYKLCTILIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSSVVKALQIISVLAVVVLFSISLLLLRPRKMNILAVWLSHLLCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASTSLTFIFVASPWIFPIHSMVAEPTSSGSNPEMAIHLQGINHSMFLTGITYAAFWCLLQLLLQQPINAIPVLLIFLQMISSIIHFSLDKSLHKKWVQIVAMQLLGLSGHFGIGNTNNLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLLLYLGMVIYTSVISTDDISAPYQLKWSCILDKMITLPCLLPLLMNSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFVIAMTAVYTCTVFSYRMRNYRDKSI >LPERR02G28680.2 pep chromosome:Lperr_V1.4:2:24177317:24186827:1 gene:LPERR02G28680 transcript:LPERR02G28680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGRASPSCAAVSGCTMAAVLLQVAGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGSAGDGEERALPPDQLRSLYRELSEIPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLIAGCKAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLEFEFSAKDWNVLILHYLGLDHVGHIGGRQSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPGLLNSLTDKQKLRTLELNSLQFLRLLQTQLPAFCSEDCIDAKYDLGIDKFPESVEKKLCRLLSKAFDFHRPSHLHQRSNFKSIEDGYNKTAVDAYYEFLRYASEWLSHRATNKPFNLLVSAISLMIVSCLFLMGIVSCLLKGKSLGQFERRCEWKLDNHWQLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLFKTTQSVLRESNSAVAQTKGEHFHGNNSSCFTSCKLIPSKREGYKLCTILIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSSVVKALQIISVLAVVVLFSISLLLLRPRKMNILAVWLSHLLCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASTSLTFIFVASPWIFPIHSMVAEPTSSGSNPEMAIHLQGINHSMFLTGITYAAFWCLLQLLLQQPINAIPVLLIFLQMISSIIHFSLDKSLHKKWVQIVAMQLLGLSGHFGIGNTNNLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLLLYLGMVIYTSVISTDDISAPYQLKWSCILDKMITLPCLLPLLMNSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFVIAMTAVYTCTVFSYRMRNYRDKSI >LPERR02G28680.3 pep chromosome:Lperr_V1.4:2:24177317:24186827:1 gene:LPERR02G28680 transcript:LPERR02G28680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGRASPSCAAVSGCTMAAVLLQVAGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGSAGDGEERALPPDQLRSLYRELSEIPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLIAGCKAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLEFEFSAKDWNVLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPGLLNSLTDKQKLRTLELNSLQFLRLLQTQLPAFCSEDCIDAKYDLGIDKFPESVEKKLCRLLSKAFDFHRPSHLHQRSNFKSIEDGYNKTAVDAYYEFLRYASEWLSHRATNKPFNLLVSAISLMIVSCLFLMGIVSCLLKGKSLGQFERRCEWKLDNHWQLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLFKTTQSVLRESNSAVAQTKGEHFHGNNSSCFTSCKLIPSKREGYKLCTILIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSSVVKALQIISVLAVVVLFSISLLLLRPRKMNILAVWLSHLLCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASTSLTFIFVASPWIFPIHSMVAEPTSSGSNPEMAIHLQGINHSMFLTGITYAAFWCLLQLLLQQPINAIPVLLIFLQMISSIIHFSLDKSLHKKWVQIVAMQLLGLSGHFGIGNTNNLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLLLYLGMVIYTSVISTDDISAPYQLKWSCILDKMITLPCLLPLLMNSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFVIAMTAVYTCTVFSYRMRNYRDKSI >LPERR02G28690.1 pep chromosome:Lperr_V1.4:2:24184094:24186624:-1 gene:LPERR02G28690 transcript:LPERR02G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASSATAASSSSSSPAAAAAVAVAGRRVPPEAAELKLRRKTLETVLEQCQLALEMMREADLGIAVPEEEGESSNQEVGGSDAGGNGCDEEGEAAPPTSPPSEADYETDELCDLLKSRVASPEFLEKLEKSVYQNGAVDETISWDIISSTDIWDNEGMNVSDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAIVKAATAAFWTSCRVASKFL >LPERR02G28700.1 pep chromosome:Lperr_V1.4:2:24187374:24191810:-1 gene:LPERR02G28700 transcript:LPERR02G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWMPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDEEVHFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAHDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFSQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNQTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDGGCGRIASPMGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTISDALEDITALFYDEDRNEIYTGNSKGLV >LPERR02G28710.1 pep chromosome:Lperr_V1.4:2:24198307:24203452:1 gene:LPERR02G28710 transcript:LPERR02G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDRDGGGGEVVDLWAMAADLERHFAGYKQRLADRTIHATPDDADADAIGGVNDVVGGGEEEEEEEVRGRMYEAYTRRRDERLREGWRARMERKEAEVMALWARLERRAGGGGDTADGGGGAAGEKAGDDEGEKRRSSDAAAPAGRISGKKHARTRSFSSPTTKTAHRDAVARRALSHEPPPPPPPTSEPPPAAAAGSRRVTPVTGVRGSTSAEKRRVFSAQRTSAARDQRGSSAKCGKNPKPKPPSILSNICSAEATPPVQSCCSSEQNTTIHGETEKDSSLQAPFAAVSPDSDRGEIMAVGSGEDDKTKDFEEHNSEEAMVSRLANGDNNITSESETEPSYVYVVKKDIVVVDDDDAMARRSEALDSPPNCEDATSPESRAGAVAESATTIAGEAPARESSDESPSSSFSAASAATSCISRAPSIERLLVEDAALLRKKRQEVVAAEKRAVTPKTPTAAMATTSTPPARVSGGGGGAARSPREAAVRGFKRFLSFGKKNRSGGGREVTVIDCTSPSVPSVADDDSGSGGGWQWTDTIKPRMASSDTASDDMDHGHVVSSSPQACSLQSLVAASPAKSELNEIDPHEKSPKAHRSFFSFRSFNCGRS >LPERR02G28720.1 pep chromosome:Lperr_V1.4:2:24204896:24205105:1 gene:LPERR02G28720 transcript:LPERR02G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLSSALISSSSHLMAAIDGARIHAGEGAHMLQVNRQQDPSMTSSTCPASPARFAHPSFSSILGLVP >LPERR02G28730.1 pep chromosome:Lperr_V1.4:2:24209055:24216336:1 gene:LPERR02G28730 transcript:LPERR02G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMGDQLLPGTPRSADGGDDDLSLLAGGGEADLAAAILSRLGGSPREDDQRLCATAAAMAQAVRDHGVGGGGVSPTPVAYFAAAAAALAPLARAGAGAVADGGVSGALLAFLSAAVPALPLAVVRARGREVADDVVRVLEFPSTPDSGVRAGVRCLAHLISKGEKASWEAVEPLYGVVLRLAVDPRPKVRKQAHSCLRDILLSFQRQAVLVPAGEGITRCFERFLLLAGGSNAVNTDAAAEGGPKGAKEVLYILNALKCCLPLMASKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSPTVQLKSDVLLDIVCSLGLSVSTVRKSGDEMASIARLLNVATRQIYNQNKSICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDENMVLQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKSLADMQNLSDDDFSFRKQLDACLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIQFRALQSILCDTLKNQPDLRGIICSSIQVLIKQNKEALSVPIEEAILAEDEISKSERRAKERYTKEFAEENLKSIRAFSSKFLELLQTILLDFYRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDVLIEIGRACEDAENDGRKENLHQFFGMVAGGLASQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLSWQTDTKNSFKAKVKSLIEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDNMSMTSRATRQSRWNHTQIFSDFGSDEDDSNGPFSAQHTIASRTGTKASTRSTRRCQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAMPDEDDDEVEMDPEGRIIVREERERRKKKQPISHDDEADERSTVRSQSVKRRKTTSSGWAYTGHEYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRSDRKASARKGMTSVMKVTKKLEGKSAAMALAAKRTQAKKGKKKKSK >LPERR02G28740.1 pep chromosome:Lperr_V1.4:2:24218831:24234018:-1 gene:LPERR02G28740 transcript:LPERR02G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEKCGEAGGDLYSVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDSLQGMGDFIGEMAQMMSQSRPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTDAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVGVDHCQPGFCFGKSDAKQTGEKMRGGNNASRRRNGRKQKIGRDSCFAFVVVTMSGLMNSNLNNSVSNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNIHGNFNLPNMPGSLAQRNAAMSALPPSGVQQHRGSMSGRFASNNLPVAMSQITHGHSGVSGRGMNVGGGPAFSSILNIGGSIQGLSSNLGASGSRSSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSGTTIASRMNLTGDVGSVGLNVQGSSRMMNGIVHQGSPQMLNMMGSSYPTSGGSLSQNQIQGGNNSLGSTGMMHDASDDAPYDIDFPQLTGRPSSASGPQGQCGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYSADQPPPLEFIHFQKFETPTLFCIFYSMPRDEAQLYAANELYNRTWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNSWETIRKIPPDSSFNLLSTVERNFSLCQEQDNDNSEVRACRSAERRRQNRSGNA >LPERR02G28750.1 pep chromosome:Lperr_V1.4:2:24235834:24237149:1 gene:LPERR02G28750 transcript:LPERR02G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFDTLLHLPEVLDRLGAAASGDRRSSGDHHHAAHGHAHGHPRINDGGGAPVDIVETPGEYEFILDVPGLSKSDIQVTLEEDRVLVMKSVHGNGGEGNGNGKRKREDEEGECRYVRLERRASPRAFSRKFRLPEDADTGGISARCENGVLTVAVKKRPPPEKKTKSVQVTVA >LPERR02G28760.1 pep chromosome:Lperr_V1.4:2:24238003:24238504:-1 gene:LPERR02G28760 transcript:LPERR02G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVLGHIGVPPHTSFSAAIGIVVVISGYGRIAYWNIWKRLWSMPSPREMTSWPKRTLFLRKAFDDQKQELKIAEHKTEELQLELDACLQENAALRVEMEDIRIRLHRARLLRSKITRFFIILNIYLLYAAFFK >LPERR02G28770.1 pep chromosome:Lperr_V1.4:2:24247640:24255806:1 gene:LPERR02G28770 transcript:LPERR02G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQEAEDTCLQSFELYESESCCTVYTQNEYRELLENLDEDHRLTGGIKFVTKFYGIIGFIKFIGPYYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSQTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTRGIRNFLRSTLWTVALVYGFFKQDKISVSGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGLRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRKRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEQSHLRFLHWDLHENSRGKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSGLDPFICEENSNSDNTDCMEIVGEISQEDISGSSDSSCNGTAEDKAENSELAPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSLESPELGLDDSLAQHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHTSVFSDEHTRKMKRIRSDGSILCESNTSISGCSPCHNEDNELLNAAPPDVESGSQFPVLESDSVHDNEISLNSGSEVSNLRYTPTVSQVRHVPCGEIDRAMIPSDSNFLDLEWLSASGNSSDERSIAISTPDVNLSAENVTSSVNSETMENQVADIHAQNLPEHFVQWVNHGGTFWY >LPERR02G28770.2 pep chromosome:Lperr_V1.4:2:24248124:24255806:1 gene:LPERR02G28770 transcript:LPERR02G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQEAEDTCLQSFELYESESVRMESCVRVPMRRRASCSAVAWCGRLTGGIKFVTKFYGIIGFIKFIGPYYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSQTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTRGIRNFLRSTLWTVALVYGFFKQDKISVSGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGLRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRKRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEQSHLRFLHWDLHENSRGKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDTAHHWPCLLSGLDPFICEENSNSDNTDCMEIVGEISQEDISGSSDSSCNGTAEDKAENSELAPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSLESPELGLDDSLAQHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHTSVFSDEHTRKMKRIRSDGSILCESNTSISGCSPCHNEDNELLNAAPPDVESGSQFPVLESDSVHDNEISLNSGSEVSNLRYTPTVSQVRHVPCGEIDRAMIPSDSNFLDLEWLSASGNSSDERSIAISTPDVNLSAENVTSSVNSETMENQVADIHAQNLPEHFVQWVNHGGTFWY >LPERR02G28780.1 pep chromosome:Lperr_V1.4:2:24257272:24259602:-1 gene:LPERR02G28780 transcript:LPERR02G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILHHLKAHPAAAGTGRRVTKELLWPEKKKARWGGGGRRHFGGFVEEEEEDFEADFEEFEVDSGESDLEFGVEDDDDDVVEIKPPVVKRSFSRDGLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTYNSAEEAARAYDAEARRIRGKKAKVNFPEAPTAAQKCRGGSTTAKAPKSSVEQKPTVKPAFSNLANTNAFVYQSADFTSNKPDNMPFVPAMNSAVPIEDPIINSDQGSNSFGYSDLGWENDTKTPDITSIAPISTIAEVDESAFLASDTHNSVVPPIMDNSSVDLTDGLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >LPERR02G28800.1 pep chromosome:Lperr_V1.4:2:24264528:24277685:1 gene:LPERR02G28800 transcript:LPERR02G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGWKKEEEEELKAAKRGHREAAAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKFLPQRHLLPSCQSLGEVHLRLGHFKEALTYQKKHLELAKEADDLVEQQRASTQLGRTYYELLLTSENDHSAIRNAKKYFKSSMKLARVLKDKSLSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLIQGLKICEDEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKICHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKRLDDEHALMGQIHQNIETVTKAAKVLEEMKIDEQKLKKLVRDTSNAHGTSKERKLLLEQYAWLDNLMEKARMINAWPKLKEFSKCQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYKIAVKGDLSNVQVDALENMHYSHMVRFDNIEEAKKLQQEITNLKRSLNQLEARDTVSDYCSETESEDGNISDNMLDTEDDDGNVANNISEEFDDDTVLASLVHKSRPSKTKASKIRSIPKNVDGLRGMDESTKEVLSKLCSNHSGRKRVRVVISDDEDEESPEIDQSKRTLNGREDSLSTSERIANAANSNRNQHTSHPIETRVDSVCTPCPPEESICSFRSVSPIHHGNDGPDLGASSIGKLSVSKPAASGSKVGTPASNSQSQGQNAVGVQSSDADHKFWVFKIGEHLVYSDANACTCEGTFSIEFLKVEVACVYYLQIPDEKRSKGLLPIIGELKYCGKVLDDTDSRDYIDQLASEQKCIDAVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIISDCGLQDLSIKPFLDALRLHKTIAVLDISHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECTVMTDRLEVLNLSENRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEESVLSHLSLELSLTGIKLTKLMVDKLCLLAQSSCLSGFLLGGTSVGSGGATKLTEALSCTSQELLRLDFSNCGLTTPDFSQLCTNLSQINIVDLNLGGNSFSLEACDAIGALLSNPRCSLRSLTLDRCSLGLAGIVCIIQAIKGNDQLEELRMAENTNLALERTLRYDEDEQDASPSTDQNKYTNAGANGHIGLGDLENMEVPDSEDEEAVNEYPRAAPGPDGSCASSCQRNSSSGCHAIQQLADAIISAKQLKVLDLSGNGLSEEATQSLYSAWASGPRGDGMARKHVVKEVVHFSVDGMRCCGLKPCCRRDLQIKAMKQMAVSSCYHPPSSIAIPRFGTGKATMFKFVKAPVHSCRCSNAHISQPAAPVKMVFEDQVRGVVCYRDDKGEVICEGYDEGPRLGMRLPEKTCFPWPMGIQVTDFIELSTLRVFEDVDFLKPKKDQKRKF >LPERR02G28800.2 pep chromosome:Lperr_V1.4:2:24264528:24277685:1 gene:LPERR02G28800 transcript:LPERR02G28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGWKKEEEEELKAAKRGHREAAAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKFLPQRHLLPSCQSLGEVHLRLGHFKEALTYQKKHLELAKEADDLVEQQRASTQLGRTYYELLLTSENDHSAIRNAKKYFKSSMKLARVLKDKSLSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLIQGLKICEDEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKICHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKRLDDEHALMGQIHQNIETVTKAAKVLEEMKIDEQKLKKLVRDTSNAHGTSKERKLLLEQYAWLDNLMEKARMINAWPKLKEFSKCQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYKIAVKGDLSNVQVDALENMHYSHMVRFDNIEEAKKLQQEITNLKRSLNQLEARDTVSDYCSETESEDGNISDNMLDTEDDDGNVANNISEEFDDDTVLASLVHKSRPSKTKASKIRSIPKNVDGLRGMDESTKEVLSKLCSNHSGRKRVRVVISDDEDEESPEIDQSKRTLNGREDSLSTSERIANAANSNRNQHTSHPIETRVDSVCTPCPPEESICSFRSVSPIHHGNDGPDLGASSIGKLSVSKPAASGSKVGTPASNSQSQGQNAVGVQSSDADHKFWVFKIGEHLVYSDANACTCEGTFSIEFLKVEVACVYYLQIPDEKRSKGLLPIIGELKYCGKVLDDTDSRDYIDQLASEQKCIDAVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIISDCGLQDLSIKPFLDALRLHKTIAVLDISHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECTVMTDRLEVLNLSENRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEESVLSHLSLELSLTGIKLTKLMVDKLCLLAQSSCLSGFLLGGTSVGSACDAIGALLSNPRCSLRSLTLDRCSLGLAGIVCIIQAIKGNDQLEELRMAENTNLALERTLRYDEDEQDASPSTDQNKYTNAGANGHIGLGDLENMEVPDSEDEEAVNEYPRAAPGPDGSCASSCQRNSSSGCHAIQQLADAIISAKQLKVLDLSGNGLSEEATQSLYSAWASGPRGDGMARKHVVKEVVHFSVDGMRCCGLKPCCRRDLQIKAMKQMAVSSCYHPPSSIAIPRFGTGKATMFKFVKAPVHSCRCSNAHISQPAAPVKMVFEDQVRGVVCYRDDKGEVICEGYDEGPRLGMRLPEKTCFPWPMGIQVTDFIELSTLRVFEDVDFLKPKKDQKRKF >LPERR02G28800.3 pep chromosome:Lperr_V1.4:2:24264528:24277685:1 gene:LPERR02G28800 transcript:LPERR02G28800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGWKKEEEEELKAAKRGHREAAAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKFLPQRHLLPSCQSLGEVHLRLGHFKEALTYQKKHLELAKEADDLVEQQRASTQLGRTYYELLLTSENDHSAIRNAKKYFKSSMKLARVLKDKSLSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLIQGLKICEDEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKICHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKRLDDEHALMGQIHQNIETVTKAAKVLEEMKIDEQKLKKLVRDTSNAHGTSKERKLLLEQYAWLDNLMEKARMINAWPKLKEFSKCQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYKIAVKGDLSNVQVDALENMHYSHMVRFDNIEEAKKLQQEITNLKRSLNQLEARDTVSDYCSETESEDGNISDNMLDTEDDDGNVANNISEEFDDDTVLASLVHKSRPSKTKASKIRSIPKNVDGLRGMDESTKEVLSKLCSNHSGRKRVRVVISDDEDEESPEIDQSKRTLNGREDSLSTSERIANAANSNRNQHTSHPIETRVDSVCTPCPPEESICSFRSVSPIHHGNDGPDLGASSIGKLSVSKPAASGSKVGTPASNSQSQGQNAVGVQSSDADHKFWVFKIGEHLVYSDANACTCEGTFSIEFLKVEVACVYYLQIPDEKRSKGLLPIIGELKYCGKVLDDTDSRDYIDQLASEQKCIDAVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIISDCGLQDLSIKPFLDALRLHKTIAVLDISHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECTVMTDRLEVLNLSENRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEESVLSHLSLELSLTGIKLTKLMVDKLCLLAQSSCLSGFLLGGTSVGSACDAIGALLSNPRCSLRSLTLDRCSLGLAGIVCIIQAIKGNDQLEELRMAENTNLALERTLRYDEDEQDASPSTDQNKYTNAGANGHIGLGDLENMEVPDSEDEEAVNEYPRAAPGPDGSCASSCQRNSSSGCHAIQQLADAIISAKQLKVLDLSGNGLSEEATQSLYSAWASGPRGDGMARKHVVKEVVLRPEALLQKRLANVNLDDLPKHQVFEDQVRGVVCYRDDKGEVICEGYDEGPRLGMRLPEKTCFPWPMGIQVTDFIELSTLRVFEDVDFLKPKKDQKRKF >LPERR02G28810.1 pep chromosome:Lperr_V1.4:2:24276586:24279222:-1 gene:LPERR02G28810 transcript:LPERR02G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHGKRRVPTVAIWCSTTAMLLALALEKPVVVSANQGDTAAKHNAAAAAASVNITAICVATPYPRACETALSSTAARRDPFAASVQFAMAWAASARVLARDLSSTPPRRATSGMDDCAELLDISLDQLRDALAGAADADSAGVTTWLSAALTNQGTCRDGLAAAAAHAPPGRDAVRARVAALEQFIGTALALHAAKHNDTGPPPPPPPATASFPSWLTKHDRRLLSSGGVIAPDAVVALDGSGTHTSIGDAIAAVTAHAARPAARGGGGGNRKVIYVKAGRYEESVSITSKQKNVMLVGDGKGKTVISGHKSVAGGYTTYASATVAAMGSGFIAKGLTIGNSAGPGKGQAVALRVGGDLSVVYQCSIEAYQDTLYVHSNRQFYAANDISGTVDFIFGNAAVVIQGCEIRPRRPSPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWHRYSRTVVMDTFLDRSIAPAGWLEWSGQFGLNTLYYGEYGNSGPGAVTRQRVTWRGVHTYMSTSDATRFTVANFIVGDAWLRGTGVTYTSGL >LPERR02G28820.1 pep chromosome:Lperr_V1.4:2:24279658:24283245:-1 gene:LPERR02G28820 transcript:LPERR02G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLRTIRRLGPSASSDGRCLLLLLPVAPLSSKASAAPPPEYEMPSVTWGVIQGRKERLVSRVLAIDFLRSAGVSDQAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKSLRGLDVDRQDIPRVLERFPEVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYIASLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGVRKEMLPLVIAQYPSILGLPLKAKLAAQQYFFNLKLQIDPDGFARAIEKLPQLVSLHQNIILKPVEFLRGRGISNEDVARMIVRCPQILLLRNELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVATKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNEESDDEVLYRRTVML >LPERR02G28830.1 pep chromosome:Lperr_V1.4:2:24286575:24298735:1 gene:LPERR02G28830 transcript:LPERR02G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGSENNDTLLGNGVVGILAETVNMWERRAPLTPSHCARLMLGGGKRRTGVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYGFFSHTHKAQKENMPLLDKILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPFGICPIVFIFTGTGNVSQGAQEIFKLLPHSFVDAEELPDLSTARSLSQHPQSRKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYRPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQRLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPSTNSSYDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVTKLASAKELLELPSHLRKACIAHAGRLASLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETNGGSFHLVRCDVGQSIDDMSYSELEVGADDAAILDKIIDSLTSLANAHHGDPNARRKNELSLKIGKVNECETDDSMGKEGSKVLILGAGRVCRPAAEFLASYSNAFSSSANDHDVDQIHVIVASLYQKDAEETIDGIKNATAAQLNVADIKNLSSLVSQVEVVVSLLPASFHAAIARVCIELKKHLVTASYVDDSMSKLNQAAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHARKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAISAGRNPAVYKFLGEIINVDGDKLYESAQRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTVYRATLRYEGFSEIMATFAKIGFFDPASRPLLQQTIRPTYKDFLIELFSACNMEISDGQDDELISKLLSFGHCKDKEIAAKTVKTIKFLGLYEERQIPDNCSSAFDVICQRMEQRMAYDHNEQDMVLLHHEVEVEYPNGRPTKKHQATLLEFGKVENGRSTTAMALTVGIPAAIGALLLLQNKIERKGVIRPLEPEIYIPALEILESSGIKLAERVEI >LPERR02G28830.2 pep chromosome:Lperr_V1.4:2:24286538:24298735:1 gene:LPERR02G28830 transcript:LPERR02G28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWERRAPLTPSHCARLMLGGGKRRTGVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYGFFSHTHKAQKENMPLLDKILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPFGICPIVFIFTGTGNVSQGAQEIFKLLPHSFVDAEELPDLSTARSLSQHPQSRKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYRPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQRLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPSTNSSYDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVTKLASAKELLELPSHLRKACIAHAGRLASLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETNGGSFHLVRCDVGQSIDDMSYSELEVGADDAAILDKIIDSLTSLANAHHGDPNARRKNELSLKIGKVNECETDDSMGKEGSKVLILGAGRVCRPAAEFLASYSNAFSSSANDHDVDQIHVIVASLYQKDAEETIDGIKNATAAQLNVADIKNLSSLVSQLKKHLVTASYVDDSMSKLNQAAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHARKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAISAGRNPAVYKFLGEIINVDGDKLYESAQRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTVYRATLRYEGKC >LPERR02G28830.3 pep chromosome:Lperr_V1.4:2:24286538:24298735:1 gene:LPERR02G28830 transcript:LPERR02G28830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWERRAPLTPSHCARLMLGGGKRRTGVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLEMILPDRAYGFFSHTHKAQKENMPLLDKILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPFGICPIVFIFTGTGNVSQGAQEIFKLLPHSFVDAEELPDLSTARSLSQHPQSRKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYRPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQRLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPSTNSSYDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVTKLASAKELLELPSHLRKACIAHAGRLASLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETNGGSFHLVRCDVGQSIDDMSYSELEVGADDAAILDKIIDSLTSLANAHHGDPNARRKNELSLKIGKVNECETDDSMGKEGSKVLILGAGRVCRPAAEFLASYSNAFSSSANDHDVDQIHVIVASLYQKDAEETIDGIKNATAAQLNVADIKNLSSLVSQLKKHLVTASYVDDSMSKLNQAAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHARKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAISAGRNPAVYKFLGEIINVDGDKLYESAQRLRLPELPAFALEHLPNRNSLIYGDLYGISKEASTVYRATLRACNMEISDGQDDELISKLLSFGHCKDKEIAAKTVKTIKFLGLYEERQIPDNCSSAFDVICQRMEQRMAYDHNEQDMVLLHHEVEVEYPNGRPTKKHQATLLEFGKVENGRSTTAMALTVGIPAAIGALLLLQNKIERKGVIRPLEPEIYIPALEILESSGIKLAERVEI >LPERR02G28840.1 pep chromosome:Lperr_V1.4:2:24301517:24304885:-1 gene:LPERR02G28840 transcript:LPERR02G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTNIKKAEKEIKDLAKKINELCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >LPERR02G28850.1 pep chromosome:Lperr_V1.4:2:24307505:24307978:-1 gene:LPERR02G28850 transcript:LPERR02G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAAGGSHLLLLPTTSRPCAPPPRRPSRGPAASGSSGRVSVVGRRPLEEVYKVRVERGAAAAARAEALRVMETWSTWRTGGRCRVPWDWQVDQLVYIVSGEVRVLPAEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >LPERR02G28860.1 pep chromosome:Lperr_V1.4:2:24310001:24311029:1 gene:LPERR02G28860 transcript:LPERR02G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPSGLLKPSANGTKHGVGRLSASSKALVLLPLLLLGFIYLFVYPKEFELQALMMTTCAPPLVASSYTAAPRRLAGEPPASRKPDFRLLIGILTRADNYERRHLLRMVYNLQLTNNNSLTAHVDVRFVFCRLYKADQKILVPLEILLHGDVIILDGCEENLNGGKTYTFFSTVSWLFRDEPYDYVMKADDDIFLRLPNLLDSLGKMPRDDAYYGATIPCDSMDPFGDHYMSGMAYALSWDVVEWVATSDVARNRTVGPEDRMTGQWLRLGGKGKNRFNAKPAMYDYPLPVPVDKCSHEFVPDTIAVHRLKDNPRWADTLRYFNFTAGLEPSKFYKID >LPERR02G28870.1 pep chromosome:Lperr_V1.4:2:24312258:24313220:-1 gene:LPERR02G28870 transcript:LPERR02G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSLFLLPFLLLAFVYSLFFPNGFSILPSLARCNNNRNNNMPATGASMSTSMRLANAADQAPAVDFRVLLGVVTRAEMYERRALLRLAYALQSPPERAVIDVRFFVCSLATEEDAVLVSLEIIAHGDIVVLNCTENMDDGKTYSYFSSLPRLFPGDEAYDYVGKIDDDSYYRLAALADTLRHKPRRDLYHGLLAPCHTDPASQFMSGMGYIVSWDIAAWVAETDSLRRDVKGPEDEVFGRWLRSGGKGKNRYGEVTRMYDYLDGGMKDGVNCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLKPSRLYHVDL >LPERR02G28880.1 pep chromosome:Lperr_V1.4:2:24313269:24324742:-1 gene:LPERR02G28880 transcript:LPERR02G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTAKSHRLLGVHPRLLICTRHLAMAANVVAACLVPVTVLAFFYLVLFPNDFTQLQSALSNKLATEASPNSTEPKAAAAAAVDFRVFFGIITRADSYERRALLRMAYALQPRPRRAVIDVRFVMCRLDKEEDAVLVSLEIITHNDVLVLNCTENMNDGKTYDYFSTLPRLFRGAEAYDYAGKIDDDTYYRLDALADTLRPKARRDMWHGFLNPCHIDPKWQYMSGMGYIVSWDVAEWVAATPELRELGKGGHEDEGFGLWLRKGGKGKNKYGEEPRMYDYLDREMYEDVNCFRHELVTDTVAVHKLKDRLKWARTLRFFNATNGLKPSKMYTKKKQLFSLFFLLPFILLAIIYFLIFPNEFRLQSSLATSPTAAADTAATAKAAPDIRVLLGVLTRADKYERRALVRLAYALQPRPARAVVHVRFVVCNLTKEEDAALVSLEIAAYGDVIVLNCTENMDNGKTHTYFSSLPSLFPGEPYYDYVGKTDDDTYYRLASLADTLRDKPRRDAYYGFLTPCHAADTEKTPQYMSGMGYVVSWDVAAWVAATPELRNDLNGPEDLVFGRWLRWGGRGRNVYGSEPRMYDYLDGEMKEKPNCFRHLLQVDTVAVHKLKDNLKWARTLRFFNATQGHERSPLFRCAPINHEPSITS >LPERR02G28890.1 pep chromosome:Lperr_V1.4:2:24324748:24325692:-1 gene:LPERR02G28890 transcript:LPERR02G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIAACLVPVTILVLFYLVLFPNNFTHLQSALAPCDGGTKNGIVVDVDTPPADDGVDFRMFFGIVTRADFYERRSLLRMAYALQPRPRRAVIDVRFVICSLEKEEDAVLVSLEIITHNDVLVLNCTENMNDGKTYEYFSSLPRLFPGAEAYDYAGKIDDDTYYRLDALADTLRTKARRDMWHGFLNPCHIDPEWQYMSGMGYIVSWDVAEWVAATPELRDDHEGMEDEAFGRWMRKGGKGRNKYGEEPRMYDYLDAGMRDGVNCFRHELVADTVAVHKLKDRHKWARTLRFFNATQGLKPSKMYHVDLTPKI >LPERR02G28900.1 pep chromosome:Lperr_V1.4:2:24328124:24330477:1 gene:LPERR02G28900 transcript:LPERR02G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSSGTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >LPERR02G28910.1 pep chromosome:Lperr_V1.4:2:24331304:24339996:1 gene:LPERR02G28910 transcript:LPERR02G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKMEEAASPSAAATPFQLQFDKPIPFQIKLAEWNPEKDLLAMVTDDSKVVLHRFNWQRLWTIYPGKCITSICWSPDGKIVALGTEDGLVLLHDVENGKMLRRIKSHDVAIVCLNWAEDEPQSRADKDGMLSYEDRTTRFFPPAPVIPRIGGLSSGDTGLADDNEESIQEFSNTACQRFNILCSGDKDGCICFSIFGIFPVGKIVCLSGNLHQLVLLCSGKLIDSDNLSHGNHNTSGLHCLHLDTSIFFNRKNELHQISQQASNIQDLVEVVRASISMMAKQWSSAMNLFNEKFSALPSLIAAHGMGSSSEDEFMSLLFGTRTSPALHQFLVSSLGEAALKRIAKAIDSAGRELRVVVSDHLQPAVEIISFRLAELRGLSRWRSRFQNIGLDEKLIDSVTENIGMLVVQVERFSRVAAAVLYLFQNFFSWVLKCVKILLSEPIDQVPAANSELVVLFLKFLLHKDPIKQLLDANQSFECDLDTVRHLEQLVVLGGFTDTQFLDKTLMKQFDELDESLEEAFAMPFTTISSQIHCQELLPLYPVMSSVDLSSTRTLTSVSFYKDEDSQTSRSSFSLTDYICFKIPDGSLNLKNCICVIKDFSNPSTSRPSLSGFLLHIPDEYECIDLSLYKVDSQIVVLLSERSCSDGPGRSYIVMLHTKDFSFVPLSRIFSSNKYSIQELSALELQLDTDYGKKVRSIPHAVSTPLAVSASRGVACVFSSRRQALVYILDEDEDEDSDEDDASDME >LPERR02G28920.1 pep chromosome:Lperr_V1.4:2:24340720:24349760:1 gene:LPERR02G28920 transcript:LPERR02G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTYGWPQSIPLEPGDSDRVVLLRVLGSLLLAVSPTSLHLWSAAHHKVRLARLDRSPESIAAHGDNAHAVWSPDAKTVALLTSSFYLQIYKLQFSGKPLIIGGKPQPGLCLASISLIITEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVMSWNAEFSDSFKLGCSACSSNRTPTISDALVFDPPSLRENSDTSPAPCCTGNSSIVHVELSVKLRLLVALYSGCQIGLCTIGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVELYDLAENARHIRNISLHDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPGAFKFEPLMGGTSHIQWDDYGYKLFAVEESLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTGELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWFGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVTISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPRPTDEGKPKHDANGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQALTNSVELFWVTCSQHEEKGNLIKEVSWLDYGDKGMQVWYPSQGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSALKNQLSQNIKPVKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANTDYESPRFLSYFLIRSPFKRQSSDLKSNSMKELSPHIASVMNILERHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFIEYLDLLNALEEELSSVSDLTSATWVDEH >LPERR02G28920.2 pep chromosome:Lperr_V1.4:2:24340720:24349322:1 gene:LPERR02G28920 transcript:LPERR02G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTYGWPQSIPLEPGDSDRVVLLRVLGSLLLAVSPTSLHLWSAAHHKVRLARLDRSPESIAAHGDNAHAVWSPDAKTVALLTSSFYLQIYKLQFSGKPLIIGGKPQPGLCLASISLIITEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVMSWNAEFSDSFKLGCSACSSNRTPTISDALVFDPPSLRENSDTSPAPCCTGNSSIVHVELSVKLRLLVALYSGCQIGLCTIGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVELYDLAENARHIRNISLHDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPGAFKFEPLMGGTSHIQWDDYGYKLFAVEESLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTGELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWFGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVTISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPRPTDEGKPKHDANGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQALTNSVELFWVTCSQHEEKGNLIKEVSWLDYGDKGMQVWYPSQGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSALKNQLSQNIKPVKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANTDYESPRFLSYFLIRSPFKRQSSDLKSNSMKELSPHIASVMNILERHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFIEYLDLLNALEEELSSVSDLTV >LPERR02G28930.1 pep chromosome:Lperr_V1.4:2:24354306:24361551:1 gene:LPERR02G28930 transcript:LPERR02G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPHDVSYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSLHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDERVPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSACDPRYVDPRWRPIQQHFDQASMANEYSGHPTNSCPDCSRPAERFVLNQDVRLENGVYVKEQTGGHPPMFYNESHSHDRAWHAHANQSHQRYEDPRSHMPGSGRVMEPYIVDNNSVNSAFAPNKTYEIHSASLSRSDHESPHHFHGSSEHANDTYHSQQVGVGGPYVQASGFEESTGQHYSHSSTYGADPFYQMQQNLPPIQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGIPAYDRMPNPWPSPNGSIPYRVVGHDIPAVLENRSNPNSVQYVQPLFAPESVQNQPGAPLIEIHPERGCAGSVLSSHVDGRVVASALPLSDQLSRVDINPMKKLEGPEDEKVTKNMNETNSLHALNDPSALSHHVGVVPEVDPKQRKPMGHEAGTSKVHEGGATALQECGDISEDRLNFLPELVASVKKAALEDSEEKENAHQDAGPALSPVCDEEGNGKKLDEAPTANTGTDHDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKTNMVSEKIDVYSFGIVMWELLTGEEPYSDMRGAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWATDPADRPSFTEISQRLRKMAAAMNVK >LPERR02G28930.2 pep chromosome:Lperr_V1.4:2:24354472:24361551:1 gene:LPERR02G28930 transcript:LPERR02G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPHDVSYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSLHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDERVPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSACDPRYVDPRWRPIQQHFDQASMANEYSGHPTNSCPDCSRPAERFVLNQDVRLENGVYVKEQTGGHPPMFYNESHSHDRAWHAHANQSHQRYEDPRSHMPGSGRVMEPYIVDNNSVNSAFAPNKTYEIHSASLSRSDHESPHHFHGSSEHANDTYHSQQVGVGGPYVQASGFEESTGQHYSHSSTYGADPFYQMQQNLPPIQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGIPAYDRMPNPWPSPNGSIPYRVVGHDIPAVLENRSNPNSVQYVQPLFAPESVQNQPGAPLIEIHPERGCAGSVLSSHVDGRVVASALPLSDQLSRVDINPMKKLEGPEDEKVTKNMNETNSLHALNDPSALSHHVGVVPEVDPKQRKPMGHEAGTSKVHEGGATALQECGDISEDRLNFLPELVASVKKAALEDSEEKENAHQDAGPALSPVCDEEGNGKKLDEAPTANTGTDHDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKTNMVSEKIDVYSFGIVMWELLTGEEPYSDMRGAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWATDPADRPSFTEISQRLRKMAAAMNVK >LPERR02G28930.3 pep chromosome:Lperr_V1.4:2:24354680:24361551:1 gene:LPERR02G28930 transcript:LPERR02G28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPHDVSYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSLHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDERVPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSACDPRYVDPRWRPIQQHFDQASMANEYSGHPTNSCPDCSRPAERFVLNQDVRLENGVYVKEQTGGHPPMFYNESHSHDRAWHAHANQSHQRYEDPRSHMPGSGRVMEPYIVDNNSVNSAFAPNKTYEIHSASLSRSDHESPHHFHGSSEHANDTYHSQQVGVGGPYVQASGFEESTGQHYSHSSTYGADPFYQMQQNLPPIQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGIPAYDRMPNPWPSPNGSIPYRVVGHDIPAVLENRSNPNSVQYVQPLFAPESVQNQPGAPLIEIHPERGCAGSVLSSHVDGRVVASALPLSDQLSRVDINPMKKLEGPEDEKVTKNMNETNSLHALNDPSALSHHVGVVPEVDPKQRKPMGHEAGTSKVHEGGATALQECGDISEDRLNFLPELVASVKKAALEDSEEKENAHQDAGPALSPVCDEEGNGKKLDEAPTANTGTDHDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKTNMVSEKIDVYSFGIVMWELLTGEEPYSDMRGAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWATDPADRPSFTEISQRLRKMAAAMNVK >LPERR02G28930.4 pep chromosome:Lperr_V1.4:2:24354562:24361551:1 gene:LPERR02G28930 transcript:LPERR02G28930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPHDVSYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSLHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDERVPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSACDPRYVDPRWRPIQQHFDQASMANEYSGHPTNSCPDCSRPAERFVLNQDVRLENGVYVKEQTGGHPPMFYNESHSHDRAWHAHANQSHQRYEDPRSHMPGSGRVMEPYIVDNNSVNSAFAPNKTYEIHSASLSRSDHESPHHFHGSSEHANDTYHSQQVGVGGPYVQASGFEESTGQHYSHSSTYGADPFYQMQQNLPPIQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGIPAYDRMPNPWPSPNGSIPYRVVGHDIPAVLENRSNPNSVQYVQPLFAPESVQNQPGAPLIEIHPERGCAGSVLSSHVDGRVVASALPLSDQLSRVDINPMKKLEGPEDEKVTKNMNETNSLHALNDPSALSHHVGVVPEVDPKQRKPMGHEAGTSKVHEGGATALQECGDISEDRLNFLPELVASVKKAALEDSEEKENAHQDAGPALSPVCDEEGNGKKLDEAPTANTGTDHDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKTNMVSEKIDVYSFGIVMWELLTGEEPYSDMRGAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWATDPADRPSFTEISQRLRKMAAAMNVK >LPERR02G28930.5 pep chromosome:Lperr_V1.4:2:24354680:24359258:1 gene:LPERR02G28930 transcript:LPERR02G28930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPHDVSYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSLHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDERVPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSACDPRYVDPRWRPIQQHFDQASMANEYSGHPTNSCPDCSRPAERFVLNQDVRLENGVYVKEQTGGHPPMFYNESHSHDRAWHAHANQSHQRYEDPRSHMPGSGRVMEPYIVDNNSVNSAFAPNKTYEIHSASLSRSDHESPHHFHGSSEHANDTYHSQQVGVGGPYVQASGFEESTGQHYSHSSTYGADPFYQMQQNLPPIQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGIPAYDRMPNPWPSPNGSIPYRVVGHDIPAVLENRSNPNSVQYVQPLFAPESVQNQPGAPLIEIHPERGCAGSVLSSHVDGRVVASALPLSDQLSRVDINPMKKLEGPEDEKVTKNMNETNSLHALNDPSALSHHVGVVPEVDPKQRKPMGHEAGTSKVHEGGATALQECGDISEDRLNFLPELVASVKKAALEDSEEKENAHQDAGPALSPVCDEEGNGKKLDEAPTANTGTDHDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKV >LPERR02G28940.1 pep chromosome:Lperr_V1.4:2:24368428:24371354:1 gene:LPERR02G28940 transcript:LPERR02G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRAAARANQDPSAAAAICNGAGATTAATAAHALSSSALERIQLHMRLQGLYNAFTTTNAVAAAPPQWPKLETLIPTRPLPAAPAIQPTDAVVATTTVQPNPHHLIGQTLTAAAVTTTSEAFQSDHQLDPAANNYIPGCIDQRAKLGFCPSSTGDAAGVEMNSSSMAGVFAGYGIHDELYDFLYKCESVGGLQDGIIPSLPELQCPDGGAIVGADEKFSTWTSASCDYGGSGGAGDYDLGYDQ >LPERR02G28950.1 pep chromosome:Lperr_V1.4:2:24374403:24382072:1 gene:LPERR02G28950 transcript:LPERR02G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRLLPFAAPVLLLLVAVAADAKPSGKSRLASGFLDAHNAARRAVGVAALRWDDRLASYAARYAAERGRSDCALAHSRGPYGENLFRGSGGVGGWSPADVVAAWVSRERALYDPASNTCRGGAGAGAGGHDACGHYTQVVWRRTTAVGCAIAPCSGGRGTNQFLGQQNAARMAMGLPPLVWDDRVAGYARWYAESRRGDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPRYNYWSNSCYGGMCGHYTQIMWRATRRVGCAMVTSCNTANNQ >LPERR02G28960.1 pep chromosome:Lperr_V1.4:2:24382537:24383058:-1 gene:LPERR02G28960 transcript:LPERR02G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSRRLALATVLLLGTAISSASASAPRRLLQISEAQQFVVPQTHLRAIQGLHPLTWSSDLAQQATRWAEQYRGNCASATAANGGGGVNVFRGYGGEAWQPSDAVAAWAEEAQHYDYGSNACASGKECGHYKQMMWRDSQQVGCASVTCASGETLMACHYEPQGNIMGQKPF >LPERR02G28970.1 pep chromosome:Lperr_V1.4:2:24384574:24388299:1 gene:LPERR02G28970 transcript:LPERR02G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSLHPSATCSLSAAASSPLRRGGGRRRVSAAMGQSQSAPTPSGRGRNSGVSRSPSLGLRLDLGLLLGGRNRKGRSSSSGGERLDLAEWVRCFVAPRLTQPTAEAEAEAEGRDAGNREEEEAGSEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRRNLRKISFVAHSLGGLVTRYAIGKLYDPSINDETSLDSERFSNEERPADGGKIAGLEPVNLIAVATPHLGSRWNKQLPFLFGVPLFERTAAGTAHFIVGRTGKHLFLTDVDDGKPPLLLRMVEDCDDGKFISALHSFKRRVAYANLRLSPTDEKYPHVIHVDKGNSEHQQQEESVEASLKDSLEEMMIRGLAQVNWERVDVCFHKSWLRYNAHHNIQVRTHPVNSDGEDVIYHMIDNFLA >LPERR02G28980.1 pep chromosome:Lperr_V1.4:2:24388379:24392683:1 gene:LPERR02G28980 transcript:LPERR02G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGRDESGGGGYPLVAVCIDKDKNSQNALKYATETLVHKGHTIVLVHVHTKGSSGGVEDAAGYKQPSDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKADIPSSISKTAPDFSTVYVVSKGGKVTSMRQAVRQAPAVSPLRTMIQGPKPDHAPTQKWTPPPPPQSQSTTTPAAAAGMTTPSDNNFIMSPFARGMNTSARKAFPDYSLPESSDISFIGAAPRRSVERFPPRLSTGSDGHGSGGGHDRSSFETARTPNKWGDSFGNDSAASNSQSSNASWSSPPMEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQRTQETRLTEESAMAMIEQEKAKARAAIEAAEASQRLAELEAQKRISAEMKALKETEERLKSMATANRSGTGGGGVRYRKYTIEEIELATDHFSDARKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFHRNGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVARALEHGAIADMLDPAVHDWPVEEARCLAEDMVGDRHSVGERHSIDRSPYNAMPARRSNYN >LPERR02G28990.1 pep chromosome:Lperr_V1.4:2:24395883:24396989:-1 gene:LPERR02G28990 transcript:LPERR02G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPSMRAGLQQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSASSSGSGSLSLGVGVGVPTPPNSVGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRAYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGHRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPAKRPSAAQLLQHRFVAGPQPQQPQPLAPPPS >LPERR02G29000.1 pep chromosome:Lperr_V1.4:2:24406107:24410907:1 gene:LPERR02G29000 transcript:LPERR02G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFHIPETQGHTDMHASRMEQTTGVGGHEHIIDIPRDSGPSASTSHSVARENHGESNPVDRPATRALVPALQAPPAVAAVPNAGHTSGARRSDSYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGETEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHGSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDNRRSDQTDIPVQEIEMH >LPERR02G29000.2 pep chromosome:Lperr_V1.4:2:24408368:24410907:1 gene:LPERR02G29000 transcript:LPERR02G29000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFHIPETQGHTDMHASRMEQTTGVGGHEHIIDIPRDSGPSASTSHSVARENHGESNPVDRPATRALVPALQAPPAVAAVPNAGHTSGARRSDSYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGETEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHGSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDNRRSDQTDIPVQEIEMH >LPERR02G29010.1 pep chromosome:Lperr_V1.4:2:24416023:24422139:1 gene:LPERR02G29010 transcript:LPERR02G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQRSASSCSSFLLPLLVAAAAGVVAAAQAQQNAAAAASVRVGVILNLGSPVGVRRRLGIQMAVEDYYAANPGSATRVELHFRDSAGDVLAAASAAVDLIKNVQVAAMIGPPSSQETEFVAHSGNHSHIPVVSFSATSPSLSPAQTPFFVRAAANDSLQTAPLAAVLDAFRWRAAAVIYEDSPYGGGILPSLADALQSIAGAKVMDRTAVATDASDDRIDAVLFRLMASPTRVFVVHMLYPLAGRFFRRAKAIGMMSEGFVWVATDGVGTFMERFSSDEVEAMQGMVSLRPYVAETDAVRNFSVRFKERLRRDSPGVDDVREPTVFRFLAYDAAWAIASATANGVAGAAFPTARSRTPILTDLDRLGVSLTGTALLDAVLNTTFDGLAGKFSLFEGQLRPPAYEVINIIGKGARTVGFWSPESGIRQDINAAVAVASGDKTLKQIIFPGEPRETPKGWTVSPSGKPLRVAVPTKRGFTQFVDVGNASATTWTRRNISGYCIDVFNEVMKILPYPVSYDYIPYPESPESYEKLVNQVPLKAADVAVGDVTITAKRMEEVDFTMPFTESGWSMVVAVQRETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWLIEHRINDEFRGTPSQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPLLEKMGFEGRMRPYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLGSPMVADVSRAILTLAESEKMAQIEKKWFGEPGSCQSGAAAAVGSSNLSFKSFGGLFLITGVATTAMLLFYLATFFYRERDELRAAEAAAAGSGSGSRSLRRLRVWMRHYDQKDLKSPTFKRWSDKSMRNGSEYASRTPRWGDESPCNGGGGGRIAEEAGGGMSPFSVSASSEMNNAVSPAMGDTPASEFDGSFDRAAEMAGTSQPR >LPERR02G29020.1 pep chromosome:Lperr_V1.4:2:24423541:24429996:-1 gene:LPERR02G29020 transcript:LPERR02G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERAEEARRAPTTAASARVSIWESVRACGVWGKEVDKADLRRQVVMPLYARRAVVAAVKAKDEAAGVAAAAERGGEVEEEAEAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLERLAEQGDDCAKNIRKKLRIVVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQTVVMMPDGDIKELPYALKKVEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKRGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKFGSSCRD >LPERR02G29030.1 pep chromosome:Lperr_V1.4:2:24439862:24442107:1 gene:LPERR02G29030 transcript:LPERR02G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATKMRWSDLDENDDDGEVGVGDLGYLLPPRVVVGPDENGIKKTVEYRFNEEGRTVRVTTTTRVREVARTRVTKRAAERRGWAKFGAAADDLHDAAAAHLTVVSPEEIVLERPRAPGTKSDDPLIPALDKGAALMICRICGAKGSHWTSKCPNKDLATHLDSLLDNNNMPPTSDNTTNNAGSGKYVPPRPKDSEMRRRNDENSVRVSNLSEDTREEDIRELFGSFGPLTRAYVALDHRTGESRGFGFVSFVYKEHAENAISKLNGYGYDSLILHVEWAAPRPN >LPERR02G29040.1 pep chromosome:Lperr_V1.4:2:24442390:24447184:1 gene:LPERR02G29040 transcript:LPERR02G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAAATQKIRWGELEEDDEGDLDFLLPPRVVFGPDENGLKKVVEYRFDDKGNKVKVTTTTRVRKLARARLSKSAIERRSWPKFGDAVHEDVGARLTMVSTEEILLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAEAFVDRPPTADGSGPSGGAVKGAYVPPSLRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >LPERR02G29040.2 pep chromosome:Lperr_V1.4:2:24442390:24446096:1 gene:LPERR02G29040 transcript:LPERR02G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAAATQKIRWGELEEDDEGDLDFLLPPRVVFGPDENGLKKVVEYRFDDKGNKVKVTTTTRVRKLARARLSKSAIERRSWPKFGDAVHEDVGARLTMVSTEEILLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAEAFVDRPPTADGSGPSGGAVKGAYVPPSLRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >LPERR02G29050.1 pep chromosome:Lperr_V1.4:2:24445422:24447138:-1 gene:LPERR02G29050 transcript:LPERR02G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNPSATMAAAAAAPARAWWRTAAPSTASTAAASCFRAGAKSLTGLQMTSTRANKVMTVHVKSGEAEGIPSTESITRDEETLQRDLETAIKEEDYAQAAKLRDELRVLQEDSRSAVLAANVRFYNAFKNGDLVAMHSTWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGKQVATNVFEKVDGKWFMCIHHASHFDE >LPERR02G29060.1 pep chromosome:Lperr_V1.4:2:24451609:24454451:-1 gene:LPERR02G29060 transcript:LPERR02G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASFSSTAVKSAMLRSLSDPDSAAAGGVHVSAAAASVLHHHRNQFRNQITHREEEVNKVIQVLKRGHKRNPVLVGDTADVDAVVQDVMTMIHRQRMGNARVISFQREFGEMVDLDRGELVTKIKQLGEAIRSDCGGTAAAGVVVNLGNLQWLVEERRVAVAGGEEVEKRRGFVLDTARAAVAEMARVMREYGGAGEDQRVWVFGTATCATYLKCQVYHPALESEWDLQAVPITPRPPPPPPPSLGLSPSVNRGILSSSVEVLSSAMTTTTARPSPSPSPSMCNACLDGYERDRAEMMSASSAAVHAAEQPPMSQWLQIATPSSAARPLQDMAREADELRRRWFDRCAHLHSHGGVRPAAMVTCSEWNGASVLSNMRSASAIRPPTVAVDTDLALGPAARSAPPCRDTDEKLLTKRLTEAVRWQPEAAAAVAVTIAKAKSGEGNKRRRGGDTWVIFSGNDVAGKAKMADALSMSVFGTNAVTVRLGGGRSTAAIADAVRANPSRVIVLDGLDQHVDDVTHASILRAVESGRLVDTHGRDVTLAGAIFVIMTSSSSPSPDEITTRCGDEVTVTPFADDHSPWNLELRVRNCFTQNKRRPPLLVGDDHRRVKPRPLHLDLNLSTCDEHHTDVDDDDSGGEESRNSSSDLTVENEHEYGQPPPPSTAGAAAEKFSDQLIKAVDGRIVFKPVDFGPLKRSVSDVMSAKFGDFVAGGEMERLAGAAATSSLEAWADEVLCPTIRHLKRSLSANDVDGSTTVSLSAVEGGGGRRMDGEVFPTSVTVAVDGNY >LPERR02G29070.1 pep chromosome:Lperr_V1.4:2:24464049:24473952:1 gene:LPERR02G29070 transcript:LPERR02G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYAAAAPRAGAATTSTARGGCGGGQRRRRRWAAVGSGGTGLISRGSGSGSDGDDHGDDSAPATAARSGWGGTRSHAARRGASAPAQGRRKRWMGASASVLLVPFIFVDISRIRFPFPLQFIFVDSICRQPRHGGMGEGEEPVGPGGST >LPERR02G29080.1 pep chromosome:Lperr_V1.4:2:24467198:24477372:-1 gene:LPERR02G29080 transcript:LPERR02G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSQLYRLTTNCLASSGKNKIFVPGYNKILDPSSDFIQTWNHIFLFMCFVALFIDPLYFYVPKIVYGTPTSCIGTDRHLAITVTFFRSISDLLYSMHIIIKFRTAYINPSSTLRVFGGADLITDPKEIAWQYLRSEFIVDSMAALPLPQILVWFVIPAIKYSTAENNNNILVLIVLAQYFPRLYLTFSLTYETVKTTGVVLKTAWQGAAYNNILIYMIASHVLGAIWYLLSVDRQTACWKSNCKSETGCNIKFLDCDVAPNQTWASTTAIFNNCDATIDSPIFDYGMFQLALSNQAPGQRFLMKYFYSLWWGLHNLSCFGQTITASTYIGETLYCIFLAVLGFVLFAHLIGSVQIYLHSTTVRVEEWKLKQRETEQWTRHRQLSNELQERVRRFIQYKWLATRGVNEEAMLQALPADLCRDIKRYLCLGLVRRVPFFSQMDDQLLDAICERLVSSLCTEGTYIVREGDTLTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSVKALIEVEAFVLQAEDLKFVATQFRRLHSKRLQYTYRNCSLHGRIWAACFIQGAWRWRRYKSWKMARDLSMSESFSKLEHGAHLCAPPVHLEKLHLASIHVT >LPERR02G29090.1 pep chromosome:Lperr_V1.4:2:24488268:24493255:-1 gene:LPERR02G29090 transcript:LPERR02G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAKRRKEEEEEDEEELRLEEDGDIEESLRRSFEDGDEEDSEDGDDGDGEELGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSIFEGGRSSLGSNLKLPLLSDKLDGKQESVKSLRKSTLGSATDGRSSFLTQYTGEVYIGQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGVLMKYCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFPHIGFDLFGIHIDGKHFFGVLTAVIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVGLVGATDGVGFHFTGKAVNLSGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTDYIVIFYIPAIFIRIALSFIWKKERKKKNCIILNHNRYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATPPQIIASVGIVILGVISAALGTYSSVMRIVDNY >LPERR02G29100.1 pep chromosome:Lperr_V1.4:2:24500035:24505435:1 gene:LPERR02G29100 transcript:LPERR02G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSFLAFHRTPSSAWRFPVNGLGPGHAKLTRPRRSAILCVGGSGTASNPADSGEVHVSNGFYVSDVDAALQGIPKKAGEIEKMIIPGLPEGPQSSLISTGFWEWKPKLTVYYEKSGSQNSKAPAVLFLPGFGVGTFHFEKQLKDLGRDYKVWTTDFLGQGMSLPCEDPAPSNTIGEFADDDAFWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSIVRKLTETVWQKISDPRSIQGILKQVYADHSTDVDNVFSRIMETTQHPAAAASFASMMCAPKGQISFEEALSRCQMQGTPISLMYGREDPWVRPIWGIKVKKQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEDSIEIPFLEDPNYAEDGVSRELEFVREGSKKSVRVRLFGSKISLWGQVSTFLKYGLSNKRLEWTI >LPERR02G29100.2 pep chromosome:Lperr_V1.4:2:24500035:24507522:1 gene:LPERR02G29100 transcript:LPERR02G29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSFLAFHRTPSSAWRFPVNGLGPGHAKLTRPRRSAILCVGGSGTASNPADSGEVHVSNGFYVSDVDAALQGIPKKAGEIEKMIIPGLPEGPQSSLISTGFWEWKPKLTVYYEKSGSQNSKAPAVLFLPGFGVGTFHFEKQLKDLGRDYKVWTTDFLGQGMSLPCEDPAPSNTIGEFADDDAFWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSIVRKLTETVWQKISDPRSIQGILKQVYADHSTDVDNVFSRIMETTQHPAAAASFASMMCAPKGQISFEEALSRCQMQGTPISLMYGREDPWVRPIWGIKVKKQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEDSIEIPFLEDPNYAEDGVSRELEFVREGSKKSVRVRLFGSKISLWGQVSTFLKYGLSNKRVISR >LPERR02G29100.3 pep chromosome:Lperr_V1.4:2:24500354:24505435:1 gene:LPERR02G29100 transcript:LPERR02G29100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSFLAFHRTPSSAWRFPVNGLGPGHAKLTRPRRSAILCVGGSGTASNPADSGEVHVSNGFYVSDVDAALQGIPKKAGEIEKMIIPGLPEGPQSSLISTGFWEWKPKLTVYYEKSGSQNSKAPAVLFLPGFGVGTFHFEKQLKDLGRDYKVWTTDFLGQGMSLPCEDPAPSNTIGEFADDDAFWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSIVRKLTETVWQKISDPRSIQGILKQVYADHSTDVDNVFSRIMETTQHPAAAASFASMMCAPKGQISFEEALSRCQMQGTPISLMYGREDPWVRPIWGIKVKKQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEDSIEIPFLEDPNYAEDGVSRELEFVREGSKKSVRVRLFGSKISLWGQVSTFLKYGLSNKRLEWTI >LPERR02G29110.1 pep chromosome:Lperr_V1.4:2:24506075:24510650:-1 gene:LPERR02G29110 transcript:LPERR02G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPKKVDDEMALKRQKTVRFYDDKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGDELWYNKILDPSSDFILTWNRVFLFSCFVALFIDPLYFYVPKIVYGNPNSCIGTDRHLAITVTFFRSISDLLYFIHIMIKFRTAYINPSSTLRVFGRGDLITDPKEIAWQYFRSDFVVDAVAALPLPQILVWFVIPAIKYSTAEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCNIKFLDCDVIPNQTWASITAVFNNCDATVDSPIFDYGMFQPALSNQAPGQGFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPNELQERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAWDLSMRESFYSMRSDDSSGEDDPRPKQNLALKIMSGSRKGPQNMKELPKIRKPDEPDFSAETYE >LPERR02G29110.2 pep chromosome:Lperr_V1.4:2:24506075:24510650:-1 gene:LPERR02G29110 transcript:LPERR02G29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPKKVDDEMALKRQKTVRFYDDKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGDELWYNKILDPSSDFILTWNRVFLFSCFVALFIDPLYFYVPKIVYGNPNSCIGTDRHLAITVTFFRSISDLLYFIHIMIKFRTAYINPSSTLRVFGRGDLITDPKEIAWQYFRSDFVVDAVAALPLPQILVWFVIPAIKYSTAEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCNIKFLDCDVIPNQTWASITAVFNNCDATVDSPIFDYGMFQPALSNQAPGQGFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPNELQERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVNTFASFYKGQPSFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAWDLSMRESFYSMRSDDSSGEDDPRPKQNLALKIMSGSRKGPQNMKELPKIRKPDEPDFSAETYE >LPERR02G29120.1 pep chromosome:Lperr_V1.4:2:24514081:24517169:-1 gene:LPERR02G29120 transcript:LPERR02G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCFSISLLRPPASQSLPPIARERRSPRPSTAAAATATAAAGTRRSQIHAPASLVNFSSRDLFTMARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDEATALVIGLQDAVVFHLLVGAATAGHHVAEVILLMLTGEMVVPGCGSN >LPERR02G29130.1 pep chromosome:Lperr_V1.4:2:24517358:24520704:1 gene:LPERR02G29130 transcript:LPERR02G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDIDLTSSEEEDEEYEPQSAADSAGKRDDILKNSTPSSLEFLDAKLKALDLKYKAAPNSKLYLHVGGASSSARWVPAEKRATYAFVDKAAAADGDGDGSDGGRAPRWVLEVGPGPRVSALVGPALQLKALPAQRRADFAAGGSVWALRLPTDAAFRRFRQEYDHCLFENTFGVEATDEGRKEVFGADFAAWARPGEADDAVWTDAEDTFTPPPVAAAPARDLLEEFEEEAGEGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRITGGRGGVGGGNAYTTPQKALLMRGETNMLLMSPGEATPHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKEESIVDSRFMHENFATTDSPEAPLVVATPMKVSSFSIANRRLH >LPERR02G29140.1 pep chromosome:Lperr_V1.4:2:24523787:24526710:1 gene:LPERR02G29140 transcript:LPERR02G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYIYWVIMTMSIHGHKVFKSCNTRCARASNLTIEKRLNVFDSADLYLQNVPQQRAGFHLVITTFHPMEKYEDSIVMTFNDFESDNIKSHVKITIATTTLMRRIGQVLGVGIKAQCVPFAVFHVMFFSPCFLYDD >LPERR02G29150.1 pep chromosome:Lperr_V1.4:2:24541849:24545793:1 gene:LPERR02G29150 transcript:LPERR02G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAAADDGVPPLSPASLRLPRVMSPSSPSTPGPARRVIVSHRLPLRAAADAASPFGFAFTVDSDAVAYQLRSGLPAGAPVLHIGTLPPPATEAFSDELCNYLVANFSCLPVYLPSDLHRRFYHGFCKHYLWPLLHYLLPLMPSSLGGLPFDRGLYHSFLSANRAFADRLTEVLSPDDDFVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETADMVRQVMDSYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRAVTVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMTEAEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMAEGSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSPWETCGLAVDFDWKKTAEPVMTHYMEATDGSAIEQKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQYIVEVNPQTCMQHVDQPEVAVKLKGNG >LPERR02G29160.1 pep chromosome:Lperr_V1.4:2:24556244:24557623:-1 gene:LPERR02G29160 transcript:LPERR02G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVPSPPASSSSAAIDGVENKISPSIVFIVAILAIVFFVCGLLHLLIRHLLRLHRRRRAARRGDADSVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGLVGGGGEGKEESFDCAVCLCEFAGDDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCSPVVMVLESESSRDMDVAAAAAAAGEASSPIDDGGEEGDAAEQEEEVVEVKLGKFMCVDAVAVAGDGDGDGEGAGASSSSNGNGDANVKAGVSSQRRCHSMGSYEYVMDAHASLRVAIKPPPARKKLPPAAAISKSQRRGAMSEREFRASSLRLPSFAAMPPPPAAAAAQKQRQDSGGGGGSGAKLGVKDSFSVSKIWMVPKTAAFSSSSSPATAAAAERRAVSFRWPVAKEEEDVEAGSCGGGGSVVSSSSATAAAERPSFARRTLMWVVGGRQLSRVGSCS >LPERR02G29170.1 pep chromosome:Lperr_V1.4:2:24563567:24568235:1 gene:LPERR02G29170 transcript:LPERR02G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVQRTDYLNGGSALHFAALHGHARCLRLVLADYVPSVPNFWNLINESLSEEGPSPDFDDDGLIKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATVEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPDANMNSAQDVLYTFAQPVTHQRAQQEPYHALFVDTRSLLSPHFLGQAQ >LPERR02G29180.1 pep chromosome:Lperr_V1.4:2:24573090:24573641:1 gene:LPERR02G29180 transcript:LPERR02G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASRAEGGGGGRRFKQALLLNLILGLKKGGVASREMTFHDRKTAIKRAADAALAAARGAAPRWSRSLAADSSSSVAAEPCNNSPATATPPSSRKMICRKIILRRSLLRRRPRKAYGSGGGGVARAMMRKRASLLKEIVPGGRALDMSALIGETLDYAVSLKAQVDAMQLLVKILQEQKKIE >LPERR02G29190.1 pep chromosome:Lperr_V1.4:2:24576774:24578367:-1 gene:LPERR02G29190 transcript:LPERR02G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLPIKVETTPGDWRFPSANQTKRCFTCIEAKGKGEESDDCTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >LPERR02G29200.1 pep chromosome:Lperr_V1.4:2:24583859:24585172:1 gene:LPERR02G29200 transcript:LPERR02G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRSSAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLGKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLESCKDADPQPSIVWASSSSVYGLNDKVPFTETDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCIGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >LPERR02G29210.1 pep chromosome:Lperr_V1.4:2:24588357:24594300:1 gene:LPERR02G29210 transcript:LPERR02G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGAWEKVAAAAGLGGGGGGERRKYPIRAEDYELYEEIGQGVSALVYRSLCRPLNEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTNNQTLWVVMPYMAGGSCLHIMKSVYADGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRQFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFITRKLLEGLPGLGDRYQALKEKDEVLLAQKKMPDGKKEEISQDEYKRGISSWNFDMDDLKSQASLITDCDDSISCKDSDASSFYDLDTNLPERATGPHLLRGFSLKYDTDTENDVMSNDKSTVSSPERSICLSRNTSMHRNTNGVQANGQVRKYSSAESSELDLQERDSDAIATSSFHERKFSFSSCSSDGFVSSKESSKHQINIHTRDKCNGGPLQVADEPSPEALPKVPKSSASNVEDHDDRSKPPLIRGRFKVTPGHVELDKAHQPILQKCHSIQAISHLPSLSIPSSIEAASTIIGGSLYMQMYNVLQTNMLQREQILHAMKQLSGCDMAMASPACIAPASRSLSPSSALSIDRSLLEAAQEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQGRSDFVEIVLFDIEQHGNDREGMY >LPERR02G29220.1 pep chromosome:Lperr_V1.4:2:24590116:24597547:-1 gene:LPERR02G29220 transcript:LPERR02G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPPPSQILCASFNQENSLFYVGTNDGFRIFDVRTGRLCYEKNLGGINNMEMYFGSNLLAIVGTGEQPVLSPRRLRLFNTKTGATKKDLNFKTSVLAVRLSKKRLVVVLQDRTFIYDLNSTTILEEIETVSNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHQSPLAAMAFSSNGMYLATASEKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSNDLPDVLVATSSSGSLHMFFLDAARNRRNQPNKLLGSIIPGAITDALDPANHHIIQKVAPAEIKSCVAVHSVENSQNSSKLPALRTIVYIITYDGYFREYIINTTKSNESSWVLHCEFSLLNTGSLPPLQLSDLVDQLLLLFLRRFQLKSQDPEGHSEVS >LPERR02G29220.2 pep chromosome:Lperr_V1.4:2:24594817:24597547:-1 gene:LPERR02G29220 transcript:LPERR02G29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPPPSQILCASFNQENSLFYVGTNDGFRIFDVRTGRLCYEKNLGGINNMEMYFGSNLLAIVGTGEQPVLSPRRLRLFNTKTGATKKDLNFKTSVLAVRLSKKRLVVVLQDRTFIYDLNSTTILEEIETVSNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHQSPLAAMAFSSNGMYLATASEKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSNDLPDVLVATSSSGSLHMFFLDAARNRRNQPNKLLGSIIPGAITDALDPANHHIIQKVAPAEIKSCVAVHSVENSQNSSKLPALRTIVYIITYDGYFREYIINTTKSNESSWVLHCEFSLLNTGYSTPQLDEQHMD >LPERR02G29220.3 pep chromosome:Lperr_V1.4:2:24594817:24597547:-1 gene:LPERR02G29220 transcript:LPERR02G29220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPPPSQILCASFNQENSLFYVGTNDGFRIFDVRTGRLCYEKNLGGINNMEMYFGSNLLAIVGTGEQCYLLVAFAFSTPKQDRTFIYDLNSTTILEEIETVSNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHQSPLAAMAFSSNGMYLATASEKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSNDLPDVLVATSSSGSLHMFFLDAARNRRNQPNKLLGSIIPGAITDALDPANHHIIQKVAPAEIKSCVAVHSVENSQNSSKLPALRTIVYIITYDGYFREYIINTTKSNESSWVLHCEFSLLNTGYSTPQLDEQHMD >LPERR02G29230.1 pep chromosome:Lperr_V1.4:2:24601359:24604835:1 gene:LPERR02G29230 transcript:LPERR02G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNIFRVTLLSYLLMTIGGKEVKTITSGANSLIHTSQQVNKAIKTEDGDVYNCVDMYQQPTFKHPLLKDHKIQMEPSSFPIGMDVESPLVDTVSYAQLSTIDCPVGTIPILRDNKVDITMEQHIGMLASDNVQELDAGITYRDEIYGTRASINVYEPKVKNDSKDYSSSWIQIGYVPEGSNVVGIGAGSCVYPSFNRDSYARFHISWVNEQLNKSCTNHNCPGFVQVSPSIGIGGRIQPISLYKGPQYVIDVLIFKDPKTKNWWLSYGSNNTPIGYWPSSQFSYIKEKGNFAFWGGFVQGPTASSDSPQMGSGHFASEGFGKAAFVRNILAIKDGSNMLVTPNVRRSYPRSDDLRSYGVDGFGLNDDGMHVYYGGPGKYD >LPERR02G29240.1 pep chromosome:Lperr_V1.4:2:24613777:24616026:1 gene:LPERR02G29240 transcript:LPERR02G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHKQAGRASWSGTRWLLAHSAALELELPTLPTHPPCSSSISSSMEERVKIGGGEEESEDAPLEGEEEEEEKAMAAKRRFELVVVVDPPASS >LPERR02G29250.1 pep chromosome:Lperr_V1.4:2:24619200:24623503:1 gene:LPERR02G29250 transcript:LPERR02G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALSTKQIAQISDPHTHMTTPPAAAASAATSRPFSIHLLHLPVSSSSSFSSAASATHPPRVVHGGVRWRRRRGRRCRAAVVEEAADGVLLPKEGEGDDLVKEEGRYDWRQEWYPLYLTKEVPDDAALPVTVFDRQLVLYRDGDGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGQGKCVKIPQLPEGAKIPRNACAVNYEVVDSQGVVWVWMSPTTPPPDSRKQPPWFEPYARTGFTDLSTIHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFELTERTARGFAGYWGKQKKPELRNFVRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSVLLVRFGSTARSPLMKVIPEWFFHQNACKVFEQDMGFLSSQNEVLVREKLPTKELYLNLRSSDTWVAEYRRWMDRVGDGMPYYFGHSSISPPVVPAVVEQAPAGGVAGISAAFPAKGGVGGAHAPNPTNRYFRHVVHCKGCRETVKGYTATRNLFAAAAAAAVAAAILAATRRWKAVLLAAAAGLAAASYGCSWVITLITTNFIRTHRRL >LPERR02G29260.1 pep chromosome:Lperr_V1.4:2:24622098:24625063:-1 gene:LPERR02G29260 transcript:LPERR02G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTVLAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIGTFSFSGLLFHWFTPSGHDCGLNLFFIVFTLILVFLFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >LPERR02G29270.1 pep chromosome:Lperr_V1.4:2:24625696:24629208:-1 gene:LPERR02G29270 transcript:LPERR02G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGDDEAAAIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKKIDEIFPNQGSTFEAPEVAVDPPDDVKPEPLEPQEFLVGSKCRFRHKDGRWYNGSVIELEGSSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVIPMVSLKQFTPTRWRQSLVGSSILAASGHHSGLWRRAELESWDDNLKLGQVVFQEDGSSAKLPSDSLSISEYADVSDEDGEESSSDEGSDFSEDGDQEDESIHQGLGLLESKNLGGVQSDTVIFAKWENHTRGVASKMMAKMGYREGMGLGVSGQGMVDPIPVKVLPPKQSLDHAVAASEVNDSVGSGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAMKSKKESSGQANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >LPERR02G29270.2 pep chromosome:Lperr_V1.4:2:24625696:24629208:-1 gene:LPERR02G29270 transcript:LPERR02G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGDDEAAAIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKKIDEIFPNQGSTFEAPEVAVDPPDDVKPEPLEPQEFLVGSKCRFRHKDGRWYNGSVIELEGSSDARISFLTPTSENMSHPCFLLNPTLNPLRASSIVIPMVSLKQFTPTRWRQSLVGSSILAASGHHSGLWRRAELESWDDNLKLGQVVFQEDGSSAKLPSDSLSISEYADVSDEDGEESSSDEGSDFSEDGDQEDESIHQGLGLLESKNLGGVQSDTVIFAKWENHTRGVASKMMAKMGYREGMGLGVSGQGMVDPIPVKVLPPKQSLDHAVAASEVNDSVGSGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAMKSKKESSGQANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >LPERR02G29270.3 pep chromosome:Lperr_V1.4:2:24625696:24629208:-1 gene:LPERR02G29270 transcript:LPERR02G29270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGDDEAAAIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKKIDEIFPNQGSTFEAPEVAVDPPDDVKPEPLEPQEFLVGSKCRFRHKDGRWYNGSVIELEGSSDARISFLTPTSENMSQFTPTRWRQSLVGSSILAASGHHSGLWRRAELESWDDNLKLGQVVFQEDGSSAKLPSDSLSISEYADVSDEDGEESSSDEGSDFSEDGDQEDESIHQGLGLLESKNLGGVQSDTVIFAKWENHTRGVASKMMAKMGYREGMGLGVSGQGMVDPIPVKVLPPKQSLDHAVAASEVNDSVGSGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAMKSKKESSGQANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >LPERR02G29280.1 pep chromosome:Lperr_V1.4:2:24629580:24635725:1 gene:LPERR02G29280 transcript:LPERR02G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALSRTVAAEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSSHAEITAALSSTAESLRLAAVTSLSSLLPRDDLALVCSSNPSLMAHATAWWGRLAELALDSADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSKRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGVVNTLRQIAKPGDIAIDDSVESSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMYALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDARLKDDLNSITSKNLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRIQGRLIWSISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSTTTSSRIQDVQAVLICAQRLGARNARAGQLLSKELEEFRTSTSADSVTKHQSRYVLQIIKYLTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIGELWRPNPTQLSLLQTKGIGALHKELPKAITLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQTIRQKRSLRPELGEPIVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSTAAERLKISMERIALLKAAKPKVPPAKTKEEEEEERKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAIKAQ >LPERR02G29290.1 pep chromosome:Lperr_V1.4:2:24636388:24637776:1 gene:LPERR02G29290 transcript:LPERR02G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPGRWRLPTRLLNICLAALCRGGSLDAAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLEAGFAVVGRMREAGVDPDAVTYNSLIAGAARRGLPVHALDLFDEMLRSGIAPDSWSYNALMHCLFRSGHPEDAYRVFADMTEKGIAPCSTTYNTLLDGLFRAGYAMNAYSMFRYLQRSGLPVGIVTYNTMINGLCRSGKVGYARMVLRELGMTEHVPNVVTYTAVMKCCFRYGRFEQGLDTFLSLLDKGYISDVFPYCTVISALVKQGRMEEANTYCNLMVKNGSRLDSVCYNTLIHLRCQEGKLDDAFELVTMMEEGGLESDEYTFAILVNGLCKMGHIEAAEKQLCYMEMRGMQSNVVAYNSVVDALCKSKEVDAAIRLLQCMKLKDDFTYTTLVHGLCRVGRYHMASKFLRICLHEGNSVLASAKRAVISGLRSSGFKNDVRKLRIALNMAKLLRP >LPERR02G29300.1 pep chromosome:Lperr_V1.4:2:24642975:24652447:1 gene:LPERR02G29300 transcript:LPERR02G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQEERLDVLTAAGEKTGASKPRHDRTNPTSSPLRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELHEELGIKLPPDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTVAPIPLEAFTLQESEVSAVRYMHLHEYKSCLAKECGEYVPYDVNGTYGQLFSIIEERYKDNIESCSLTLQKQINRYAPIHLEPELTSLSKGDREALGYILKASIVLDDVFHEQVWNSNRALRDWLKTHANSSSFDKLKWLYFSINKSPWSCLDENKAFLSTADSAVKLLTDATRLVSGWKGIEYRAAFPLDKPPGANFYPPDMDKMEFELWKNGLPEKEQKDATGFFTVIKRSDALLPSTLEQLDGSNQTKTSDDLFVVPYSKEYKSSLEKAAEFLHKASECSDTPSLKNLLRTKGNAFLSNDYYESDIAWMDLDSNLDITIGPYETYEDGLFSYKATFEAFVGIRDDIATSQVKLFGDQLQDLEKNLPLENIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERILNERGTSMVMLKNISEAKFKNILKPIANACIREEQKDYVDFEPYYTHIVCHECCHGIGPHSITLPSGKRSTVRRELQEFYSAVEEAKADIVGLWALNFLIKKGLLSKSLSESIKGQALQFNWLYDKGAFVLHSDGKFSVDFTKSHVQLKVEEAVESLSREILTIQAKGDKHAAKSLLQSRASLTQPLRVALDKIEHMQVPVDIAPIFGAANKLLANDQ >LPERR02G29310.1 pep chromosome:Lperr_V1.4:2:24652942:24654769:-1 gene:LPERR02G29310 transcript:LPERR02G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGNPHDRLSKLPDDLLLNILDRLHVRDAARTSLLSRRWRNLPSMLSQLNIEFVHFMRDSASKLTADVLVQTNASVVEATKSILERRNPGNLRFDASDIPNVLMTCKNLQYLRLFNFDSAIPSVLQLEHPQLNVLNIAKCRFESIKLKFLPKLTQFVIEDWISFQDPLSFGYVPSLEAMRLTNVGLKWHKLVKLCEILGNTSVRDLGLDFRSEKIWVQPELPQRLESAFYKLRLVNLFRVPEGCDLTWTLFILKAAPFLKELRMTVWDHWCDMEKDEERRASLYSSKRSIEWESSAQDFKHHNLAVLTIFCFQSEDYLVAFIKRIMEVAVNLEDVFLYNMMACDMCLYNPSKFPRTKRQRCSVKNRINQGGSFAIFQFFPTLKDDHFPISRYP >LPERR02G29320.1 pep chromosome:Lperr_V1.4:2:24656208:24656519:1 gene:LPERR02G29320 transcript:LPERR02G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTAAELRAYDGSDPSKPIYVSIRGKVYDVTTGRSFYGPGGAYAVFAGREASRALGKMSKDDSDVSGDLSGLSDKELGVLADWETKFQAKYPVVARLTDA >LPERR02G29330.1 pep chromosome:Lperr_V1.4:2:24659551:24662805:1 gene:LPERR02G29330 transcript:LPERR02G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQDQVLGKRPATPSPSPAMFKIEMEEEEEEDVDGDLHSHKRVKELHVPPPSSSQDMQILDESSPLGLRLRKSPSFVKLVQMCLDIENAKKKESKSRPCERVKASNFPANYLKIGTWEYTSQYEGDLVAKCYFAKNKLVWEVLDAGLKRKIEIQWSNITALKATCPENGIGTLDLTLSRPPIFFKETDPQPRKHTQWQAALDFTDGQASTNRSIFENPNELKCCNGSGDLEGEHEAHLSKYIYHVSPCGVSLMTNDGINDVIVNQQQSSSQPYNLEVNDVDFKAGVSEEPKDQSNSLGQLRSLSMNVLLSHLGDYIMEQKSTGNNCSLHISDTSSKELLEDINQHLLSDSQGLPTSDEKRLMAKVDSLLSLLQKDTVISSNFESSDSGKIGLVEVNSFNEESSLALI >LPERR02G29340.1 pep chromosome:Lperr_V1.4:2:24663524:24665680:-1 gene:LPERR02G29340 transcript:LPERR02G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVSADPPRIFWKSRRRPASANGRSLQQELNKEAADDQANNQAQEEAMKIDDTNAVSTDDDVHQDPKANLSEKRKALFEPLEPISGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEAFDEEGEVPPPDSL >LPERR02G29340.2 pep chromosome:Lperr_V1.4:2:24663524:24666263:-1 gene:LPERR02G29340 transcript:LPERR02G29340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSDTHTQQAQQSTATEDPEEETSKGEVRSSHKGSEAERGREGRRRSKPWKNLFRLIPRGFSGSLGGDQPQDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEAFDEEGEVPPPDSL >LPERR02G29350.1 pep chromosome:Lperr_V1.4:2:24667270:24670394:-1 gene:LPERR02G29350 transcript:LPERR02G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATRPSKPLPATAPASAAGEENVRSDASLSEPSCSTPAHHQAFRPVTRSMTRKPTAITASPDVKEGGSASASKRTSSRDTCFSTQLAASRPSVTRIRTPHNHNVASSAWKPLTQPIAMTEVQKRTSVSSTDPSAKRSRVALSQAAKDSPTVHRGKKSRDEESTSQGDQLDGAAIPSPSKKLQMCKRPSGVLPKRKSTVANQDGKLAAPLPMVKLETESGESSVIASSKIGPATTNNICQSAEAVQVLPPQLQLDTKNNSNSIITEAIANGTKRINLSVGPVTTESIANRTSQVNQSVFPVTTDTKAIEISQVNQSAVPVTTEAILNRTHQVNQSFGLINNKAIVNRTSQVNKSVAPVNTEAIINRAHQVVAQNKLAAPVIAVPGQNSQEDLQRKLAKLLAARRQISGPSGATGTMVAPKLEIGKAKGSSNVLSDPAFANAKALLIKQQEQLLQQYKSAANSQQQVHIKGPALTDRDEVPPVEPLGTRCQLCKLDIAFRPQGEKNAGDNAPPVVAVLACHHAFHSFCIESIYGLAEPSQCIACLDSVKA >LPERR02G29360.1 pep chromosome:Lperr_V1.4:2:24679152:24685587:1 gene:LPERR02G29360 transcript:LPERR02G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAQGDYLLQKSDKNYGNGRHEDAVLRRKASPSPSPAMQTTPVRRHPSPNAGRASSSAAATEVAAARRSQSTERRPATPSRLSSSGAGAGGGSRANTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDAIAESQSAPRRLTGGGGRTPPPDGLWPSMRSLSSSFQLETKGKRSNGGSPDQTRTRDAGIAGDRKRSPMRGRSATEQPENPNPNPHAKVIDHHRWPAMMGGRVSVSSMSRSVDLTDKIGRPALSSIPSRGVSPKRTSLSSAANALTRSIDLADKIDRLVSSSVSSRVGSPRTPTTASNGTADVSKNMSVGKDAKPAAVTIPSRGVSPIRTAATGGTRALSKRMDLTEKDNSALSSAVSSPGISPSGSVSSVSNATSQTTKSSRGFSPRRTSTSSAIGAISKNIDLPENDKRPASSSASLRGISPRRRLASDGVNAVAKNIDFAEKDSRAVISSTPSRGVSPRRRLASDDSRPSTSSGTFRGTLQGGSLASDGINALSEVVDTTVKDSLQSTPSVESGEISDTRLDGTSTLVKGIACAQEVNAATLDGCKGHISESMDCHETSSSAPSISITSQEQSPSTIVSDGTKIISEDANAAKKDNRAISVKIPIRGASPRRRLASDGIGTISKSVDFAEKDRTSITMSIPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPMPSIAPSRVVSARRVLGPDGANAMSRSMDLTDKIKQPISSTVRKITLADSRVKGPDLLSGDTNGNESQEENVGSSLDPPSNDSEKSAPTKRLARTLSSPSRGLIRPSSPTKAASSTSSFTPRRMPSPSRTRPSTPVSPCSSARSDSASSILSYIGDATRGKKSPSHMEEAHQLRLLYNRNLQWRFTNAYADEMLSVQKMSAETMLYSVWDANSSLCDSMVAKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALQTEHSTSLSSAIEALRASTLRLPVTGGAKADVLTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAAKESTMLNEYRELLGTAAALQVQESSLRTQLIQETE >LPERR02G29360.2 pep chromosome:Lperr_V1.4:2:24672910:24679330:1 gene:LPERR02G29360 transcript:LPERR02G29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLPWRIDRSGGGEAPPPVASSSSDETTARMMVKKIIGNLECHVQPLPFGRPGQKCTALADNPLSRANRVSLSSSPPHARTQFCPNCLNLFHRSDPRRRRRRSFAGATTPDRGKVRIGSIDRLSSLCVVVASRSNSKQSNEEDPPFAGLLLALAFIIISVLLLLCSLPQAKIGGLGIGGGGCQCGWI >LPERR02G29360.3 pep chromosome:Lperr_V1.4:2:24679152:24685587:1 gene:LPERR02G29360 transcript:LPERR02G29360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAQGDYLLQKSDKNYGNGRHEDAVLRRKASPSPSPAMQTTPVRRHPSPNAGRASSSAAATEVAAARRSQSTERRPATPSRLSSSGAGAGGGSRANTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDAIAESQSAPRRLTGGGGRTPPPDGLWPSMRSLSSSFQLETKGKRSNGGSPDQTRTRDAGIAGDRKRSPMRGRSATEQPENPNPNPHAKVIDHHRWPAMMGGRVSVSSMSRSVDLTDKIGRPALSSIPSRGVSPKRTSLSSAANALTRSIDLADKIDRLVSSSVSSRVGSPRTPTTASNGTADVSKNMSVGKDAKPAAVTIPSRGVSPIRTAATGGTRALSKRMDLTEKDNSALSSAVSSPGISPSGSVSSVSNATSQTTKSSRGFSPRRTSTSSAIGAISKNIDLPENDKRPASSSASLRGISPRRRLASDGVNAVAKNIDFAEKDSRAVISSTPSRGVSPRRRLASDGVDAISRSTDFSDKDSRPSTSSSSARCGISPLRRLPSDGINSISKGTDLTDKSYRPSTSSAASRGTSPRTRLASDSIGTISQSMDLSDRDNRPSTSSASLRGMSPRRRLASDVVNGISKNITFTDKDDRTMLSSVASQEISAIRRIPSDGVDTISRNIYLPEKVTRPTTSSAASRGVSPRRRLASDSVNAISKSIDFADKDTGPAMSTAALRGISPRRQVTSDRVDSISKSTDFTEKDKETRPSTSSVTSCGISPRRRLASDGIDAVSKGINFTQKTIRPSTSSMTSRGVSPRRRLASDGVNALLKSTDFTDKDYRPSTSSAALRRMSPRSRVTSNSIDAQSMDLSDKDSRPSTSSGTFRGTLQGGSLASDGINALSEVVDTTVKDSLQSTPSVESGEISDTRLDGTSTLVKGIACAQEVNAATLDGCKGHISESMDCHETSSSAPSISITSQEQSPSTIVSDGTKIISEDANAAKKDNRAISVKIPIRGASPRRRLASDGIGTISKSVDFAEKDRTSITMSIPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPMPSIAPSRVVSARRVLGPDGANAMSRSMDLTDKIKQPISSTVRKITLADSRVKGPDLLSGDTNGNESQEENVGSSLDPPSNDSEKSAPTKRLARTLSSPSRGLIRPSSPTKAASSTSSFTPRRMPSPSRTRPSTPVSPCSSARSDSASSILSYIGDATRGKKSPSHMEEAHQLRLLYNRNLQWRFTNAYADEMLSVQKMSAETMLYSVWDANSSLCDSMVAKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALQTEHSTSLSSAIEALRASTLRLPVTGGAKADVLTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAAKESTMLNEYRELLGTAAALQVQESSLRTQLIQETE >LPERR02G29360.4 pep chromosome:Lperr_V1.4:2:24672902:24679330:1 gene:LPERR02G29360 transcript:LPERR02G29360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLPWRIDRSVGFREEARRRRRWRPPRRTRRRRVPAFQAIYVGFVNALSRANRVSLSSSPPHARTQFCPNCLNLFHRSDPRRRRRRSFAGATTPDRGKVRIGSIDRLSSLCVVVASRSNSKQSNEEDPPFAGLLLALAFIIISVLLLLCSLPQAKIGGLGIGGGGCQCGWI >LPERR02G29370.1 pep chromosome:Lperr_V1.4:2:24679226:24680374:-1 gene:LPERR02G29370 transcript:LPERR02G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVDVLLGENPLDDFVVCDVALDTLDTDPLGEIPGDDTAEERALLSFSVRSIRFDRALVPPVAAVLIGETPRDGIVTAAGLASLPTLMFFDTSAVPLEAVVGVLGEPTRDETDEDTNRSILSARSILLVNAFAADDSDVLFGETPRDGIDDRAGLPILSVRSTLLDIELTDTLPPIIAGHLWWSITLACGFGFGFSGCSVALLPLIGLLFLSPAIPASLVLEEDKLRIDGHSPSGGGVRPPPPVSLLGALCDSAMASRTGVDELEEDDDGLDGADDGEVGAEILDGVFALDPPPAPAPEDDSLDGVAGRRSVDCDLLAAATSVAAADDDARPAFGDGCLLTGVVCIAGDGDGDAFRLKTASS >LPERR02G29380.1 pep chromosome:Lperr_V1.4:2:24686625:24689778:-1 gene:LPERR02G29380 transcript:LPERR02G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIAAGLAFAPRVVARRFTGGHASAASASSSSSARLLTRPWRRPRLVVVARYSASYESDEEVEDEEGFGGGGGGGGWGRRDRGPDPDYDPALDIERIETSTVRLLDEQKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHRYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELIRRFQNDVGEMATEESKNFMERNIYVVLVPNKIAIQKEQDELNKKDTAVEDSDQSDDQPEESEPVAEVLEESKESETEVSANVLLMQLLPFLYIKTDAVVVPHANRVARTVRQNKDR >LPERR02G29380.2 pep chromosome:Lperr_V1.4:2:24686625:24689778:-1 gene:LPERR02G29380 transcript:LPERR02G29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIAAGLAFAPRVVARRFTGGHASAASASSSSSARLLTRPWRRPRLVVVARYSASYESDEEVEDEEGFGGGGGGGGWGRRDRGPDPDYDPALDIERIETSTVRLLDEQKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHRYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELIRRFQNDVGEVLLMQLLPFLYIKTDAVVVPHANRVARTVRQNKDR >LPERR02G29390.1 pep chromosome:Lperr_V1.4:2:24695790:24703212:1 gene:LPERR02G29390 transcript:LPERR02G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRSLLAAFVVVALSLLLGEVAVAVAATAGGEEELCESAAARGDRVEALPGQPAVGFAQYAGYVTVNEPSGRALFYWLTEAAAAAAKKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLFLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDQTYKAIMSSCNFTSTNVSRTCNRAMSYAMNHEFGDIDQYSIYTPSCSAAAANATATGTGRRGTGKAVIRFKDTLLRRRSFGYDPCTETYAEKYYNRADVQKAIHANITGIPYKWTACSDVLIKTWRDSEFSMLPTYRLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLKGEPLPKS >LPERR02G29390.2 pep chromosome:Lperr_V1.4:2:24695790:24702972:1 gene:LPERR02G29390 transcript:LPERR02G29390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRSLLAAFVVVALSLLLGEVAVAVAATAGGEEELCESAAARGDRVEALPGQPAVGFAQYAGYVTVNEPSGRALFYWLTEAAAAAAKKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLFLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDQTYKAIMSSCNFTSTNVSRTCNRAMSYAMNHEFGDIDQYSIYTPSCSAAAANATATGTGRRGTGKAVIRFKDTLLRRRSFGYDPCTETYAEKYYNRADVQKAIHANITGIPYKWTACSDVLIKTWRDSEFSMLPTYRLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLKGEPLPKS >LPERR02G29400.1 pep chromosome:Lperr_V1.4:2:24701961:24702197:-1 gene:LPERR02G29400 transcript:LPERR02G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEQPTPVQSPACPTVNGGSCDPAPATDSKPKKKICCACPDTKKLRDECIVEHGESACTKWIEAHKRCLRAEGFKV >LPERR02G29410.1 pep chromosome:Lperr_V1.4:2:24704358:24707408:-1 gene:LPERR02G29410 transcript:LPERR02G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASTSAAAAAAVRLLVRRSPHLLRRLPLATVTAARPSPSSSSYGGAVKPLGHRARMGHTTAAAGPALGLTKANAVEPPQVSFAAKDVEFSDWKGDILAIAVTEKDLSKDSESENAVVKKLDGQLGGLLSEVSAEEDFTGKAGQSVVLRLPGQGFKRVALIGLGQNAPSTAAAYRGIGESVAAVAKSVQASSAAIVFASPGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDKKLKYANDLSTGVIFGKELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDEEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGDVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPSGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRGATGFGVSTLVEWVLKNSS >LPERR02G29420.1 pep chromosome:Lperr_V1.4:2:24709487:24717658:-1 gene:LPERR02G29420 transcript:LPERR02G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIKSVAAHLLDNFGDHSFMISNFGTRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQNNFLIMHCEQGCWPILAFMLTALLIYLGHYSDEQKTLDMLYKQSPLELLEMFSPLNPMPSQLRYLRYVSMRNVMPEWPPADRALTLDSVILRMVPDFHGHGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNLVRFYSQADEHVKINLQCHVQGDVVLECINLYEDLEREDMVIFSDMDATTSNITTEPISHQEKQGLGIEEFAKVLDIFNHLDWLDGKRDTSPNTPQRKTSSTSQGNINESPADDPETFFDTKEELDFDGLSGESLSSLVLNLADDYVMVGISELKQDSPPLTPAEVPSKLQTIEVAPSRTRSPSVLLLPTKVKMPKISASSSTVIPQAPSSPVQPQGPIDHAVQIPPAQAASTSAEKSGSQTPVNQEPSPLTVNSSASTASLIALCTPPPLPPPPPTVSLAPVPSILPINTSTGLISVSLRSTKPFPSQHPEPSASPVVLARTEELDKSQEPSCENVELKSELSRASNITALSSDSLLSIEKESSSIRTHVPAALSAIPLTSLTPAPTATPPPLPPPPPPPLKSANVMFPLPYGKEVTSAEGPPPKGSSNSLPSRGNVASSTPPPPPTFSSGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEAKRARQRPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMDSVLALDDSMVDCDQVDYLIKFCPTKEEMELLKGFTGNKEDLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLFDKLPEVLDFDKDLTHLEPASKCGGEQQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPASEIFYKGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQTELEKKRAQMETEREKVKVAAHKEDLLEP >LPERR02G29430.1 pep chromosome:Lperr_V1.4:2:24721406:24728142:1 gene:LPERR02G29430 transcript:LPERR02G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAEGLIQRRIEFHAAKRPRGDDGGRAVEGGAAVAGRFWVESLSPDDDNGVAAAAVGRSEGQCRRSEKMEGGGSGMDSELCVARIYLRRIGAGLQNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHRSSFNWEDIETSAHCPKFKMAGISRSFRFYRQEDAHELMVSLLESMHRCCLPSGVPIESPSAYEKSLVHRIFGGRLRSQVRCTTCSHCSNKFDPFLDLSLEIVNATTLVKALKNFTEEELLDGGEKQYNCERCKQKVTAKKRFMIEKAPSVLTIHLKRFSPFNPRRKIDKKVEFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNQVYQVREADVLRQKAYMLFYVCDRPKNSGMYSDYGTVNSSVNKIISEKITCMNGPIKNDLVETKTPSLSSFVKDVNLQKQNSDNGQSSIISNAPKGQCSKIHSDTEVLEGAAYPNNDSASTQKAFCIHPDAAAVTLPTETEQRAADNQRDITSPTQADISALHNGSCNQKAYEKQLQEHKLESNDASTDPGKDAPSTLHTFSVANGILGVNDQSSEPHISPYPAALPIHNVGEGLLGANSQAFEPHTGPCPAALPVHNGGEGLLGENDQSSEPHPDLCTASLPIQNDGEGLLGANGQASEPSEPHTGPCTAALPVHNGGERLLGANDQSFEPHTVPISPAFPVCNGVNVLLEAYGQASGPTNSFCKPIPTISDTMFTAQIIPSEDTAVSNITVSSSDDLTGNTEAKESSELVMNYDEQVVEWELSAKNSGDRANADEQTSVQDNTLEVGQNATKDLPNAEVESEKQICPGISTTLICSEDSAQLVDKDPGNGKMHKKMNLKSKRQVKYPVSMFFGHRQLLLASVKLHKKRKHKRSKRRHALSVDIESIITDKQTSTSETVLLNNMSHKSRGKKRSCAGASSDDGTQLFNKKQHIEGAFNSVPVDSKDAKLASAELPSSCTSSVVHQTDPRNSVHANNRAPRHFNLLTRGLREITVSPWDDNDTINTKETETLCSRTISIGYVLDEWDEEYDRGRRKKVRKSKHGGFSGPNPFQEMTNIKSRQRMRLQSDQAKSGNQPLRI >LPERR02G29430.2 pep chromosome:Lperr_V1.4:2:24721406:24728142:1 gene:LPERR02G29430 transcript:LPERR02G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAEGLIQRRIEFHAAKRPRGDDGGRAVEGGAAVAGRFWVESLSPDDDNGVAAAAVGRSEGQCRRSEKMEGGGSGMDSELCVARIYLRRIGAGLQNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHRAGISRSFRFYRQEDAHELMVSLLESMHRCCLPSGVPIESPSAYEKSLVHRIFGGRLRSQVRCTTCSHCSNKFDPFLDLSLEIVNATTLVKALKNFTEEELLDGGEKQYNCERCKQKVTAKKRFMIEKAPSVLTIHLKRFSPFNPRRKIDKKVEFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNQVYQVREADVLRQKAYMLFYVCDRPKNSGMYSDYGTVNSSVNKIISEKITCMNGPIKNDLVETKTPSLSSFVKDVNLQKQNSDNGQSSIISNAPKGQCSKIHSDTEVLEGAAYPNNDSASTQKAFCIHPDAAAVTLPTETEQRAADNQRDITSPTQADISALHNGSCNQKAYEKQLQEHKLESNDASTDPGKDAPSTLHTFSVANGILGVNDQSSEPHISPYPAALPIHNVGEGLLGANSQAFEPHTGPCPAALPVHNGGEGLLGENDQSSEPHPDLCTASLPIQNDGEGLLGANGQASEPSEPHTGPCTAALPVHNGGERLLGANDQSFEPHTVPISPAFPVCNGVNVLLEAYGQASGPTNSFCKPIPTISDTMFTAQIIPSEDTAVSNITVSSSDDLTGNTEAKESSELVMNYDEQVVEWELSAKNSGDRANADEQTSVQDNTLEVGQNATKDLPNAEVESEKQICPGISTTLICSEDSAQLVDKDPGNGKMHKKMNLKSKRQVKYPVSMFFGHRQLLLASVKLHKKRKHKRSKRRHALSVDIESIITDKQTSTSETVLLNNMSHKSRGKKRSCAGASSDDGTQLFNKKQHIEGAFNSVPVDSKDAKLASAELPSSCTSSVVHQTDPRNSVHANNRAPRHFNLLTRGLREITVSPWDDNDTINTKETETLCSRTISIGYVLDEWDEEYDRGRRKKVRKSKHGGFSGPNPFQEMTNIKSRQRMRLQSDQAKSGNQPLRI >LPERR02G29440.1 pep chromosome:Lperr_V1.4:2:24729296:24730865:1 gene:LPERR02G29440 transcript:LPERR02G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEINSPPSTVGDLLAKASTAAAPVRECPSPRSLLSRIFHRGHGGGGGGFGCRLRLPRYCSSGGAAKEDTAAKAETPRVVARREPSPSSPRSSLGKNAAAAAKEEMEVSPPASLGLGASLVLLLSKSAAELNRMAELRAEMERIVLDGANRNSASVDEHAGGGGESDALSRCSRTAAAPANGGGITAAGVAMDQMEAELEAELTRLQFSTSNNATPRLDDPQLEIEPKGGVDDDEESTDTDGVDDDTLTDIQCDGDDEEEEIREEGGGNGGVSARELERRLHELLQWQQESRIAELETELERAKRKLHEKEREVCWWRNTAKLVTRHKDDSRLR >LPERR02G29450.1 pep chromosome:Lperr_V1.4:2:24731205:24733398:-1 gene:LPERR02G29450 transcript:LPERR02G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSYPLDHEIQSILSSNGSEAHLPKVKCPKGTIPILRNDNKETISSTSDNYITQEEVWPKFSGDNFVRFHIRWACYDFGCPGFVQVSESAAIGGRIRPVSVLDGPQYIMTVFLFQDRKTKDWWLARMDRSSVIGFRPLGYWPRKLFNALQEKATYALWGGWVRGPTVSSDPPPMGSGRFAKEGFRKAAFVRGIRIANRDNKFVNPVVGKALPVTSRPLCYTVDGFGAAELGIHVYFGGPGQCPK >LPERR02G29460.1 pep chromosome:Lperr_V1.4:2:24736093:24740395:1 gene:LPERR02G29460 transcript:LPERR02G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNGREMHDDGSGGGGGGGGGGQIDFFDEMLSTLPPTVWSEHGGSGKIIWELLTGGAGAAAGGSDDHSAFDDSALLASRLRQHQIDGGGGGEDKPIMLQLSDLQLRHGLSDAAGGEDGGFLPLPLFTDRSSRDDIDAAFKSPNAAGADQALYNGFAAAMQPPPFGQGGSMPAQSFGGGAAASGGGAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNGENGGDTMRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISSATSSSLLPRHGGGAGGTLHEGGNGASPPLVNGASGGGDDVGAGGKQ >LPERR02G29470.1 pep chromosome:Lperr_V1.4:2:24740726:24746021:-1 gene:LPERR02G29470 transcript:LPERR02G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEGEEDGAPSRVPHLPWMRNPVDIESFSGCPVEHLPRLDPRLVKALDRMGIESFFPVQVASWLETVGPGAFERDICINSPTGSGKTLAYALPIVQMLSTRKVKEVFDGIAPVVSLSVGSAVGQSSIADEVSDLIENPKQGLYPTLDEECVRMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSTNQNHSWSDMNGKTLLHPLTTIRRSGAERGFKGKCFPRLAKIILSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTLSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLKYVINYDMPPYVKTYIHRAGRTARAGEAGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVILARDSSATGSAFIVDVQNKRDSLMYQPTHHYDVRVEGREGILQFGACPLRIISFVSSCIAIIGASS >LPERR02G29470.2 pep chromosome:Lperr_V1.4:2:24742293:24746021:-1 gene:LPERR02G29470 transcript:LPERR02G29470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEGEEDGAPSRVPHLPWMRNPVDIESFSGCPVEHLPRLDPRLVKALDRMGIESFFPVQVASWLETVGPGAFERDICINSPTGSGKTLAYALPIVQMLSTRKVKEVFDGIAPVVSLSVGSAVGQSSIADEVSDLIENPKQGLYPTLDEECVRMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSTNQNHSWSDMNGKTLLHPLTTIRRSGAERGFKGKCFPRLAKIILSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTLSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLKYVINYDMPPYVKTYIHRAGRTARAGEAGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKPGYKAPNASKRKRTIHT >LPERR02G29480.1 pep chromosome:Lperr_V1.4:2:24746465:24748261:1 gene:LPERR02G29480 transcript:LPERR02G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAADAADEQDTTDWEEPVEEEEEDANPECGEKEEDDTEDLGGGPSGEDAAADADDDDYTGWGEPEEEEEEDASTRVPHAKDDADDLGRVPSGEEADDADADADANESTDWAEPEEEEEEDASTRVPHAKDDADDLGRVPSGEEADDADADADANESTDWAEPEEEEEEDASTRVPHAKDDADDLGRVPSGEEADDADADADANESTDWAEPEEEEEDDANTRSGEKEEDDAEDSGERRSGEGGADDGDIASPNSADSNLILLPADPMKHRIASLLRRKKLILVLDLDHTLMNSVKLRDLSQEERDNGITRDDPSRELFRLILHPDPLPNLVKLRPFVREFLEEANTMFEMHVCTLAKRDYAEAVVRLLDPDGVYIGDRIISRNELPAPQPGEKHWTKNLDGIIGESLLAPSMVIILDDKERVWTDRPENLFEMAKYIYFAAEHRKKKYHPLEYAENRSLSELGHDESRDDGALAVALRVLRRVHDDFYTYSLLAGIFSNVKDLMEKMRLQLLRGCTVEFVGVLRRAHRTWKRAKQLGAEYAHIGGEEATHLVVGRPGTYEPQQLQQPGKFIVRQSWIDAAFFRWVRPDEEDFPVA >LPERR02G29490.1 pep chromosome:Lperr_V1.4:2:24749635:24750873:1 gene:LPERR02G29490 transcript:LPERR02G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAAGAGSGVSTGWMEQEEVEDNASTGAPVEEDDAGDWGEEIGEAAAADDDYYYDDTTDSPGSISNDSILLSPESTSGHLGTLMREKKLILVLDLDHTLINSVKFRELSPMEEAIGFTKHTGDDPGRGLFRLVSNLRPQYPMLTKLRPFVREFLEQSSDMFEMHAYTLGSRDYAESVVKLLDPDGVYFGNRIVSKNESPRDGKSLEVVPVGSSASAANDAAAAMVVILDDTAWVWERDKRGVNLIEMERYLYFANSRRHYGLEGESLSERRADESSHGEGSALAAALGLLRRVHDCFFREAVCAGSFSDARVVIAQLRLVVLRGCTVAFVGVADEPNTGGHRTRRRAAQLGAKCVDVVGEAVTHVVAGTPGTLEAQWAVDHGKFLVGEEWINRAHFRWIKPPEGDFPAP >LPERR02G29500.1 pep chromosome:Lperr_V1.4:2:24756863:24757750:1 gene:LPERR02G29500 transcript:LPERR02G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSDPTAGVISKPVVGVGGGGEEGEETVEIREVWADNLEEEFALIREVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPRGELPALGAGGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNAERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH >LPERR02G29510.1 pep chromosome:Lperr_V1.4:2:24759606:24762524:-1 gene:LPERR02G29510 transcript:LPERR02G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSVFSRAILLTYLVLTISGSELKCIHGGENSAQIHIGQQANMTIQAEDGDIYDCVGVNLQPTFQHPILKDHKTQMEPSSFPIGLEMQSPSVSAYSQAQLSTVDCPIGTIPILRNNIDTTMVKHIGLRVSDDVQQLAAGIKYRDEIYGVRASINVYEPKVKKNSKDLSASWIQLNNGPRPGRVGIGAGSMVDPSSSADSYARFHISWDNEELNKTCIDHNCPGFVQVSQNVGLGGRIHPVSIYNGPQYVINVLIFKDPKTKNWWLVYGSNNMPIGYWPSSQFSYIKDKGDFAYFGGYVQGPTGSSDPPQMGSGHFADEGFGKAAFIRNIQVTDENNKLVTPNIRIADPGSSNLNLYTYGGYGINDDGMHIYYGGPGKYKE >LPERR02G29520.1 pep chromosome:Lperr_V1.4:2:24771196:24772146:-1 gene:LPERR02G29520 transcript:LPERR02G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLRKTRRRLEGRAKRQASALLLRSYIAERNVEKAETFMAELLSGGALCPYSCNQMLKLYIATYQYGKVLTLIDLMKRDSIGRNPMSYNIWMNACAEVFGLASVQSVFEEMLNDDKVKVDWRTYCMLANIFRKYGQNSKTLDFLRTAETKLPSTRSLGYPFIMTCYAALNDRDGVMRLWKATESVQRRIPTANYMTAMIKVGDIVPADWIYGRWEAECRTHDVRVSSLLIAAYVRSGLIEEAERLHLYMLEKGARPDHKTWEILMEGFVQSKQMDKAINAMEKGLSLLKNCHWRPPVELWRDSSLLGRRSSRRQ >LPERR02G29530.1 pep chromosome:Lperr_V1.4:2:24772148:24774508:-1 gene:LPERR02G29530 transcript:LPERR02G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKPESLDYGDLLSRMPRSGSATDYVLRQVVYELRNARRYEQALEISSSLDSPLYPLKFSIGCLII >LPERR02G29540.1 pep chromosome:Lperr_V1.4:2:24775921:24777863:-1 gene:LPERR02G29540 transcript:LPERR02G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRRSRLVGLLLAGASRPNPRPFSSSSSSASPPATARGDDDQLSRRLLRIPRTRGAAAAAAERWAQERGRVSPAELRCAVARLRRARRYEQALEISSWMGSRGNFKLSPSDHTARLELIVKVHGTSEAEEYYKKLSTAASKKAASLPLLHCYVTERNVQKAETFMAELQRCGLPVDPHSFNEMMKLYIATCQYEKVLSVIDLMKRNNVPRNVLSYNIWMNACAEASGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFRKYEQNSKALACLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVMRLWEASKSVPWRIPAENYMTAMLCLIKVGDIGQAEWIFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGADPNYKTWEILMEGFVQRKQMDKAVNAMKKGLSLLKSCHWRPPYELLEAIAEYFAEQGSVEDADSYIKVLQKFKLTSLPLYKSLLRAYINADIAPRNIPQMIARDQIALDDEIGKLVIRASKMGITGNG >LPERR02G29550.1 pep chromosome:Lperr_V1.4:2:24778793:24782279:-1 gene:LPERR02G29550 transcript:LPERR02G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEERKGVVERRRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSTRDRANLDMYEECNKPPNADPDQVHVHVTCGSDQSGRPSKKRKEYCSDEEDEGEVNTQETDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVDKLTRENKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGAFEGLQGYQAFTSSTALSSFTPHGLLNSPRNNSAALGTQGVPASKSIQTMSGSHTLSHSISDAKKYHLSVPGNQQGNLGQGLASLGQTQMQQKWIHEETDDLSTILSGNGLANGMSGTLQSVTSSPLPPQELVECTQAKIGSQPSIQTSSVSSEHLEGAIGVSSSLLDSRVSQLSAIPLSGFSSNGLLIHGSFNNNCTTKLGGTSSACTPVRSSNDLMVARDTKAGASAFGGAMLLPPDTEQKYLNFGGGNSLKQKFDQRTANSLFDPKLVWNTVPSSQLATNVGAHHSPSQRWNNSNSNSTNIGARMIGQTTSSASTVIPQMKTDFLISGDMLMPKNSSDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCVDFYSLGACI >LPERR02G29560.1 pep chromosome:Lperr_V1.4:2:24791727:24794893:-1 gene:LPERR02G29560 transcript:LPERR02G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYELAAADGGGGGMRMTGVSADAGVEVHALPTKAGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKDKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLAKDKTTKAPQMLPSSSMTEVKHNKCLRFGKR >LPERR02G29570.1 pep chromosome:Lperr_V1.4:2:24798217:24798408:-1 gene:LPERR02G29570 transcript:LPERR02G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEDDEITLISSSSSSNEYWSAGRSKGHRYSSVTPFWGGEESRRRKRIQCEATLTEDGDDC >LPERR02G29580.1 pep chromosome:Lperr_V1.4:2:24798733:24806457:-1 gene:LPERR02G29580 transcript:LPERR02G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIAKTTQGSATEYYLHDLPSTYDLVLVKVVNRGGRFLKTVRCKHDEGQILVKVYFKRAGEPIDLKDHERRLERIRSAFEGIEGSHVWPFQVWLQTDKAAYLLRQYFYSSLHDRLNTRPFLSKIEKKWIAYQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGKRRCYLAPERFYEHGAETQVAADAPLQPSMDIFSLGCVLAELFLDGQILFELSQLLAYRRGQYDPIHTLEKIQDTGIRDMILHMIQLDPKERLSCKNYLQKYESVVFPVYFSKFLHKFFSDIVPLHSDARVRIQTYLSTSTSSVILDHKHSNMHTFLQVEKTQEYFEKMHDVMISGSALERIQRSANVSVHDELSVRKGIGGQTIKSPKEYAKSIPVVKINTPGQHKNIVGDLNFLLKEQEKEDRTSRKITQDTDLVTSNVSHADGIQTLPHVCEAGRRSESDLMSQNNYVTHLHKISKSNLLALVSSYDAQSDTYNFGIFQQTEYTVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYVIAMLTDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSQSIEDARPLDESMVAPRSQSVDSSAKKQQDSINGQLASLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSEKMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLCPHLKQFLHREPPSLSSETALLSCLKPPVSKLVLYQALEDVQDAGDVLLKGDGKKEMAVHSGRYPAMTQSGPTTLEDDARLRGPKFSSDVSLNTKDFSSTNSAYISSAVHTSSSFYDGVPKGIPLYSFQGASLHANLGSDRHGGLSNSVPALHTRENHFSNMHRDGSISDSMNSSSSIPGDSISNSDTSGTFTRPALALETSWKPRGVLVAHLQEHRLSINDIAVSNDNTFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCTRGVGNVIERYSGIADVKRNDLKEGAILSVVNCSSDSFSPTILFSTEHCGLHKWDTRTNSEAWSFRSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGCNEVTLWDAENGSRHQIFRTASTENEAAVPKAPSIHPNNKDETRPANYKYRIEELCEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWNQARPEQSFCIAGPSVKGAGNDESYDIKSSSCVQVVQEVCRQPMTASRLTHKTQLAVAAADSAGCHRDAILSLASFNLSSQRLISGSRDGAVKVWK >LPERR02G29590.1 pep chromosome:Lperr_V1.4:2:24811184:24813422:-1 gene:LPERR02G29590 transcript:LPERR02G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRAPAKQKPPEPPASDAPLRERLRWLNDQELERRSAAIKAIQAAEIESILARSHLVQSCISEEQNETYVLQYFQENCPNLSVIWNEKQNVFELKWKDRDNQIFGDHYDDRIFRASVCSLPNAGGAQFSGDSVKKSFMDSSAFNFNDFAWSELPESQLAGATEAFQTPGAVSTRLSFGMTPKTIRLPRKGEMLLSVHGSPLGVYNEGNLGAVHGLSILDIRFSILVFLLSCLKLGYSSRIKTPVTSYY >LPERR02G29600.1 pep chromosome:Lperr_V1.4:2:24816084:24816889:-1 gene:LPERR02G29600 transcript:LPERR02G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPAAAAASDSAAAAASSSFLSAALVAKLHRFNLASVQATQRQRESAAAAASTSSSAAATAMLGNAAAAAAGDAGTVAYGAEWSGRFLEEQHVEQMIDELLDSNFSMEICY >LPERR02G29610.1 pep chromosome:Lperr_V1.4:2:24825060:24826196:1 gene:LPERR02G29610 transcript:LPERR02G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMHQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >LPERR02G29620.1 pep chromosome:Lperr_V1.4:2:24827666:24832479:1 gene:LPERR02G29620 transcript:LPERR02G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLETIPVDEVFQHLKCSKQGLSGSEAQNRLNIFGPNKLEEKTENKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWQEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQLVLTAIGNFCIISIAVGMIVEIIVMYPIQNRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYLRGLDKDSVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGEWHRISKGAPEQIIELCGMNKDAEKKVHSLIDQYADRGLRSLGVSYQKVPEKTKESSGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSTEMAGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALITTAFVNKNDYGKGEREAQWATAQRTLHGLNQSTPNSDLFNDKTGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >LPERR02G29630.1 pep chromosome:Lperr_V1.4:2:24831998:24836378:-1 gene:LPERR02G29630 transcript:LPERR02G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPHGGGDPSSDLTRHSALRKFKEFLRGFTSPTGEFPYRESLVHNRDHVTVAIEDLDAFDAELADKIRKSPADYLPLFETAAAEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQTSANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHFLKFVEKTAPIAVYTSGKGSSAAGLTASVVRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKSTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYVEIRQKMRQQANETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELSRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >LPERR02G29640.1 pep chromosome:Lperr_V1.4:2:24837474:24841828:1 gene:LPERR02G29640 transcript:LPERR02G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAFAVLRGGKSEARAGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVERGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDKSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNGLGTAK >LPERR02G29640.2 pep chromosome:Lperr_V1.4:2:24837263:24841830:1 gene:LPERR02G29640 transcript:LPERR02G29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVERGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDKSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNGLGTAK >LPERR02G29650.1 pep chromosome:Lperr_V1.4:2:24843816:24844469:1 gene:LPERR02G29650 transcript:LPERR02G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLGSHDVLENRMHMEAFAVSSPAAAKPRRRRHAKSAVSSPPTKMAAVSSPPVVKTARVASPPTAKAAAAQGRRSPPARPVVRKQGSPVKELPKQQLVMGEIRILKRGEEPPATAPAPSPVPVVEQRVPRVPAVAQAAPIDQKAPRATRSKQQPAVVAAAKIKSIADAATYAGPAFSSASPEPSSLPFPAFIRRAEAEATRGLRCLLRIGELP >LPERR02G29660.1 pep chromosome:Lperr_V1.4:2:24847672:24849648:-1 gene:LPERR02G29660 transcript:LPERR02G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLLLLVAAAAAAGIADAFYLPGSYPHKYNPGEALSVKVNSLTSIDTEIPFSYYSLPFCLPPEGVKDSAENLGELLMGDRIENSPYRFSMYTNESDVFLCRSPPLAADAFALLRKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDSNVARVMGAGDATDGFASGGKDGAGGAGSSGWMVVGFEVVPCSIKHNPEDVKSLKMYGKYPRNIKCDPTTVSMSIKENEPIVYTYEVSFVKSEIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLIVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >LPERR02G29670.1 pep chromosome:Lperr_V1.4:2:24853128:24858787:1 gene:LPERR02G29670 transcript:LPERR02G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHRARLRSRAAPLLAVVLLAVLALTALLRASRHDFVPQPSRAALAGGGGIAQRKILLDPDFTPRLPRQSALSASLSRRNALPPRNAGGRFGRLPDGHLKIVLYVHNRPRYLRLVVDSLSRVDGIGEALLIVSHDGYFPEMDEIVQSITFCQVKQIFAPYSPHLFPDAFPGVSPGDCQGKDKAAEKNCRGEPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLEETREFDGHILFIEEDHYIFPNAYRNAQLLVDLKPNKCPQCYAINLAPSDVKAKGEGWESLIAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGSSNVCVDNGDAAVQLDAIDKVPNIEANWPVHIIQRQEGYQAGFKGWGGWGDKTRQGNPPPLNCLGDALGA >LPERR02G29680.1 pep chromosome:Lperr_V1.4:2:24873225:24878358:-1 gene:LPERR02G29680 transcript:LPERR02G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAQPRRRGAAAAASRGLGLAGCFGGGSTGGDSGGFVATASSSSRAHEVESWQAARAVAGIDFPASVAPKDIRISSESDRRVHPSSSTISHHLRFNHINSHENKEGALGTEIAETSVRQGSSGKEVMPRGNFSNEAAYVDRTAREGISHIGRSVLESSVNNAETDTVCIPEVGGSVSESGFSSQMTSERIMADLEDGEIALYGTSSTTIMSSERSDTSQSSLTSVLPATSTAPFTIGESVPDTVPSRADVPIFSGTQGQIGGDTLHDDMMSIFSNDGLARVRDLRNNETRRSHRRVLWDAFSRRSSRGYLDSDTDDLGFYSRWLDIGDELFADEIEEARFFHRRRHGSLRVSQYLRSRIREHRRAVFDSGTEQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPWCVRCAVGTSAKVQPEALSSYLMQQHRCSFTEEAAQFIQASVTLCRQCRLPLLNKRKSRLQRLRCIDFFY >LPERR02G29680.2 pep chromosome:Lperr_V1.4:2:24873225:24878358:-1 gene:LPERR02G29680 transcript:LPERR02G29680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAQPRRRGAAAAASRGLGLAGCFGGGSTGGDSGGFVATASSSSRAHEVESWQAARAVAGIDFPASVAPKDIRISSESDRRVHPSSSTISHHLRFNHINSHENKEGALGTEIAETSVRQGSSGKEVMPRGNFSNEAAYVDRTAREGISHIGRSVLESSVNNAETDTVCIPEVGGSVSESGFSSQMTSERIMADLEDGEIALYGTSSTTIMSSERSDTSQSSLTSVLPATSTAPFTIGESVPDTVPSRADVPIFSGTQGQIGGDTLHDDMMSIFSNDGLARVRDLRNNETRRSHRRVLWDAFSRRSSRGYLDSDTDDLGFYSRWLDIGDELFADEIEEARFFHRRRHGSLRIREHRRAVFDSGTEQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPWCVRCAVGTSAKVQPEALSSYLMQQHRCSFTEEAAQFIQASVTLCRQCRLPLLNKRKSRLQRLRCIDFFY >LPERR02G29690.1 pep chromosome:Lperr_V1.4:2:24882449:24888161:1 gene:LPERR02G29690 transcript:LPERR02G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIFLPISNFPDGSRCLELAIHLMQLLVHKLRVDDMTVEKLQGMTSMVISLARLFAVLHTTVKFESLHMLTTLLSQKESLLHGALRSVPSMIWESQIRVGITAILQNRVVSSEKLQALLLAECMMSILGENWLSEDYKVADHQNVMPVDKFVLLVLESARIEVAVLLNELAYLKYESSKNSQSDDAISQKERNLAILFSLIERTIKMISNASSGEGASCQTISESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLDFIFSIEGQDESSPFHSICFMLPMLSQITMEADGCRTLLSFGGYKMVIDCLIRMNEHDGMLNDTGSMFLACDTIINFLSNTKNAHIRMESSFIRLLQALVAWTGTADDSSIIMTASCVCTLVLDLTTEEFLLSCSDFDSKTLERLSQLIARCLHQDTPNDDTEQTNQKQIILSGKCIICYRRWSDRYPRVRNIVQQHVSL >LPERR02G29690.2 pep chromosome:Lperr_V1.4:2:24882449:24888161:1 gene:LPERR02G29690 transcript:LPERR02G29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIFLPISNFPDGSRCLELAIHLMQLLVHKLRVDDMTVEKLQGMTSMVISLARLFAVLHTTVKFESLHMLTTLLSQKESLLHGALRSVPSMIWESQIRVGITAILQNRVVSSEKLQALLLAECMMSILGENWLSEDYKVADHQNVMPVDKFVLLVLESARIEVAVLLNELAYLKYESSKNSQSDDAISQKERNLAILFSLIERTIKMISNASSGEGASCQTISESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLDFIFSIEGQDESSPFHSICFMLPMLSQITMEADGCRTLLSFGGYKMVIDCLIRMNEHDGMLNDTGSMFLACDTIINFLSNTKNAHIRMESSFIRLLQALVAWTGTADDSSIIMTASCVCTLVLDLTTEEFLLSCSDFDSKTLERLSQLIARCYRRWSDRYPRVRNIVQQHVSL >LPERR02G29700.1 pep chromosome:Lperr_V1.4:2:24888779:24890884:-1 gene:LPERR02G29700 transcript:LPERR02G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCASLLRLKSPVAAVSPSVSVEERRLLFLVSLRSVQTLQRAILVIFVQLFSVQYGAKDITYLMEELPESLLEEIVKRICSIEAEQRDAIRVGCGLHPATEAIASLCSRFLNLQKVEIDYSGWTPIHGKQLNNEGLRVLLFQCSLLTDLTLSFCSFIDHSGLSYLSYCKMLRSLRLNSSPVINSSQKMQISVSTPSHIFYIVDYRKTEETGVGFLLRKCKALEKLWLEYVVGLTDDNMITLFQNCRNLTTISLSFVPIFLFTNAILTDESLRGLALFCPMLQVLELTFSLYTSNYLPGVGFSQEGIVMLVKSCPIRALMLNGVHIFDDEGMEAISSSQFLETLELVNCPYVKDAGICSIARAQSLRELVLRKCGGVTDKGVAKLVHAKNLESLTIVGCLQISPMAALGAARSVYYSAEFKRNSDVV >LPERR02G29710.1 pep chromosome:Lperr_V1.4:2:24891853:24893295:-1 gene:LPERR02G29710 transcript:LPERR02G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSEPILAEIIKRIAKTSDRNSLSLVSKQLYTIDAEERDAIHVGRGLHPATDALASLCSRFPNLWKVVIDYSGWMSIHGKQLDNQGLLVLSSHCSSLTNLTLSFCSYIDDCGLGYLAYCKKLRSLRLNFAPAISSRGLLSVAVGCKSLCTFHLVDCMKVGSVEWLEYLGRAGSLEELVVKDCKGISQYDLLKFGQGFMKLQRFEFEINGNYWLGTAYDPAYVRHYTYRYDVCCDNMKELRLAHIVTKPEIGLRFLLGKCRTLEKLWLEYVIGLTDNDVIPLFRNCSNLRSVSLRLIPLFCDFIYFRTALTDDSLKALALYCPMLEVLELTFTFISREYPSEIGFSQEGIVNLVQSCPIRVLMLNGDNAFDNEGMMGLSSSKYLETLELVDCADIGDLGMCFIARIPSLRNLILRECECVTDNGVAELVHAQKLECLTVIGCHRVSLKAVQGAARSVYYSAESEEYDSLKGMKMTRSSK >LPERR02G29720.1 pep chromosome:Lperr_V1.4:2:24893315:24896406:-1 gene:LPERR02G29720 transcript:LPERR02G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSSAQFLETLELGITDAGMSYIVHAPRLINLTLRKCKNVTDDGMAELVSSKKLEPLTVVGCCEISQEGVQGAAKSVRYSEEIESFDSLKGTNLSWSSYRRRRFLAGHRRKSSVAAVSLLLSASFLDCQLNIDIA >LPERR02G29720.2 pep chromosome:Lperr_V1.4:2:24893315:24896406:-1 gene:LPERR02G29720 transcript:LPERR02G29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVHAPRLINLTLRKCKNVTDDGMAELVSSKKLEPLTVVGCCEISQEGVQGAAKSVRYSEEIESFDSLKGTNLSWSSYRRRRFLAGHRRKSSVAAVSLLLSASFLDCQLNIDIA >LPERR02G29730.1 pep chromosome:Lperr_V1.4:2:24906561:24910698:1 gene:LPERR02G29730 transcript:LPERR02G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDELEIEGAERLDFGGAEVETPADSSMEKVCENTVSLEFKQAKPSNFVPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHDSVDDDIISFYGVFDGHGGKDAANYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSHFAEKCSHQDELSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGAAVEMSKDHRTGCLNEKKRIEALGGYVDDGYLNGQLAVTRALGDWHLEGLKEKGEPGGPLSAEPELKLITLTKEDEFLIIGSDGIWDYFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLKVNRPGRVERSISAEGLHSLRVLLEGQ >LPERR02G29740.1 pep chromosome:Lperr_V1.4:2:24911337:24914745:-1 gene:LPERR02G29740 transcript:LPERR02G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAAQRGGSIPGGKEAGGHGGLGLAGKPLALADITNTGRRPNPPGSVADVLKENAKLRHLLVERTKVIEVSRTEMQKVRLMLQALQQKNLQLVQANSQMFAELNLGKERIKLLQHELSCREALLKVKESELARKSKTAKQQHNETKLLEIKARPSKRAPAEDHKKATGAIVDHLVEVQYVPSYTICEEPPQDKTSKRHTNKRKSESCEIVKDANTVQSSGSLHGDEPRKTLRRRSARLNSMSCEVSDVSCDTLHEDHISPLAPKQPNAGKLNLDTDCMYQKNKRILHGHKEVYLEEAIQEPGSKVAGAEAHKIYAWQPLNVEDPKPPQDRGGKRKSMRIDANKQKLESHEGLMSSNKEDCIDTKCSSDSSMPLRHDKRELTRRRSKRLDPGPCEVSNDTFEIVQEDTSAPSSSNVLVEQTKNDAQNLSSSCSRSSEEQVMGRRSSVGRPSRRAAEKVVSYREIPLTFGTDGVDDNQQTVDPVLIT >LPERR02G29750.1 pep chromosome:Lperr_V1.4:2:24916520:24916918:1 gene:LPERR02G29750 transcript:LPERR02G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMSKLSLATAVILILMLMAIEVEGIRLDAETRAKVSNQMANRSTENVPKDSGVSLDEAKRSIAGSEVRAVEHKLPEFHEDYYGPSDHTPRHH >LPERR02G29760.1 pep chromosome:Lperr_V1.4:2:24921623:24926931:1 gene:LPERR02G29760 transcript:LPERR02G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLACIAVLLLAVLPFCATHPGPSREFQKAIVSDGFGLVARRSIAENPVDVNVTTNSSFVLAQGRTYRKDPLNGFRKYTGGWNISESVGYTAAPLFAIALVWFVLFFLVMLGICCKHCCCPHRRYTYSRTAYALSLILLILFTCAAIVGCAMLYDGQGKFHKSTTTTLNFVVGQANFTVDNLNNLSDSLSAAKKVDIGRAFLPSDVQNQINEIQGKLNSSATELATRTTNNSEKLQKWLNREDCFDCRCGSNASSGICWLLLVVTGWILVTGTFILCGVFVLLHNAVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYKSREVTFQLVNMVNQVITNVSNRNFPPQTPFFYFNQSGPLMPTLCNPFTADLSNRTCTRGEVTLDNATQVWKSFECQTTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNYCPGLERYSKWVYIGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHVAEHNYPDKPPPASDA >LPERR02G29770.1 pep chromosome:Lperr_V1.4:2:24932850:24933128:1 gene:LPERR02G29770 transcript:LPERR02G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNDASAPAPAKKKLACLCSPTNHPGSFRCIRHRTPSSSAAAARAKGRSVRAHLLQMISDNNHPPSPSSSGRRRRSCDFQPRPSRLRQCAS >LPERR02G29780.1 pep chromosome:Lperr_V1.4:2:24938024:24938371:-1 gene:LPERR02G29780 transcript:LPERR02G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPTNHPGSFRCSRHKEQGKRNAAAPTSPPSPSGSGGGFGRLTAAGARRMGNALARIGAVESGQWARRALAATVRPSPAAQQAQHRRRVGGARPRPSRLSAVSMAGDRAGDNDQ >LPERR02G29790.1 pep chromosome:Lperr_V1.4:2:24943791:24947999:1 gene:LPERR02G29790 transcript:LPERR02G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSSASPAISTAAAAALSVVARRASSALRLRLRSDFCAAAAAAGPRAAAAAVGAPSWRARRRFAASAASTATEEEEGAGGEMMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTSGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVGEPEVSSLVERIRSMNRMAHLKRAEYDHHGHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSEDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >LPERR02G29800.1 pep chromosome:Lperr_V1.4:2:24948192:24951087:1 gene:LPERR02G29800 transcript:LPERR02G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVKKVIGGNHDVLRFGVNDSVKGDLAPPHPLQASVQKETKFWADKRKFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSESFRAPDMHHGMEVRLGLSKGPICPSFN >LPERR02G29810.1 pep chromosome:Lperr_V1.4:2:24955466:24960753:1 gene:LPERR02G29810 transcript:LPERR02G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKAVGFCFWAVFWLGGAATVSTNAGAGGEAVVVDARSAIAVTDEEFVCATLDWWPPDKCDYGTCSWGLATLLNLDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDSRQPCTPFMKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAADVLALKQIIDNSYQEHSSKPLVIAPGGFFDAAWFTDLISRTKPNQMDVITHHIYNLGPGVDTHLIEKILDPSYLDGEAGTFNSLQGILKSAGTSAVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSATFNGTNKIRAYAHCARDSKGITLLLINLSSNNTNHVYVTSEGAQPYKAKKEGRRFGHIPGLGKAAQLIREEYHLTPKGGNLQSQHVLLNGNVLAPDANGDIPKLEPVQVEGTQPITVAPYSIVFAHIPSFYAPACS >LPERR02G29820.1 pep chromosome:Lperr_V1.4:2:24960473:24962237:-1 gene:LPERR02G29820 transcript:LPERR02G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIECNASNSHNILVDACYKRMHAFRDFISNLVTLAVIFRVYDIDGKGKQVLTKVLEEAGYTRDCSLSLEDFTRIIDHPGLKMEVEVPID >LPERR02G29830.1 pep chromosome:Lperr_V1.4:2:24963394:24967502:-1 gene:LPERR02G29830 transcript:LPERR02G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDMATEVLIPIAAIVGIAFSIAQWVLVARVKLAPAPPGESRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDIFEIKAVKEIEPALKKQLIISTALMTVGIALISWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAISVGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >LPERR02G29840.1 pep chromosome:Lperr_V1.4:2:24971647:24971997:-1 gene:LPERR02G29840 transcript:LPERR02G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQEQDNCDKTNVECVSSAPKGFKHLNLAKLTIYGFQPDGNFIQYIRRVMETAVNLEEISLYDRKVEECCKDMDPKFKVARSMYPQTVEEQESVRKQITEGFGIPLSDIVHFRS >LPERR02G29850.1 pep chromosome:Lperr_V1.4:2:24972663:24974552:1 gene:LPERR02G29850 transcript:LPERR02G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALGSDVVDEVDQPIIDARCVADEDHYGTTSRYCLVCCEVCSKICEKFGKHGLVKPKQTMRSLLTPLRMNKGMDEKVAPKKQADVFERPLLSSCDKAKIERKKRKDERQRTCTVHPASLLPFAALPWCGGTRPMLSDASIFLSSTSLALIAPAIGREHDEA >LPERR02G29850.2 pep chromosome:Lperr_V1.4:2:24972663:24974552:1 gene:LPERR02G29850 transcript:LPERR02G29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALGSDVVDEVDQPIIDARCVADEDHYGTTSSKICEKFGKHGLVKPKQTMRSLLTPLRMNKGMDEKVAPKKQADVFERPLLSSCDKAKIERKKRKDERQRTCTVHPASLLPFAALPWCGGTRPMLSDASIFLSSTSLALIAPAIGREHDEA >LPERR02G29860.1 pep chromosome:Lperr_V1.4:2:24981127:24988603:1 gene:LPERR02G29860 transcript:LPERR02G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMASPRGRSIRETVLETVAAYHHQQRMRRKFRKSLSYAGELSSAGRSSGRGEFGGPSSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHSFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKGDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKKMSENALKLAQPEAVFDIVRDINELSREQGVISQISSSLTSSFFIPSPETTPIQLM >LPERR02G29870.1 pep chromosome:Lperr_V1.4:2:24987828:24989107:-1 gene:LPERR02G29870 transcript:LPERR02G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLKVLRALRDAARRVESGSGGGDCPALHALLALEASADDLLAGDPDLATLRRLLARIAALNWSIRFAGEEGIGMVGYLRWRCRRCSARKGIAAAAGAVAGEIQAWIDRENVARLARMDRFDPRLQRALLRHGVFAAVEERIGDSEVGDSCAAAVLALVRFNKDVFVGPVLMGRAVGALVAASASSPAPLRALNGLVAAIRGPLVDELHARSELPRLVALLCSADARIRAPALEFALRIGFYGRREIIESLLAEGLIKRLLCLQRSDLAGETHEFSFSSPEEKPKSEEGVINGVFFFSGILWCRRRREDEEDDYGGGGEAHRPFVSAVARFAVQVEVGEGLSQREKRAAKVEILRRVREAAVSPAEEATVLAEVLWGATP >LPERR02G29890.1 pep chromosome:Lperr_V1.4:2:25002773:25012020:1 gene:LPERR02G29890 transcript:LPERR02G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSSSSSAPPPPPPSIPASGVWADASPLLAAACRDLEDGELVHGENFSLFGAMSALEIMDPKMDSGIEKSGYYSVEEAIEDGFAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETVSRQLRACKAQALSKKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGRGLELARKHVASCLAELSLMLKSRDFLRSQSNNTSQQGDEATTASGVCPVGFDASLNSRLLSPAPPRAVKIVSWSDAIRYYEKLLHDLDIICSSPLDLVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLPCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASARRKGKKKKDHSKDSSRDTAFPSSCLLLQCHVLLSEGLSMMLAALRNESNSFQSPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHAFIADLTKYNFFKEIQKIIPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVKRS >LPERR02G29890.2 pep chromosome:Lperr_V1.4:2:25002773:25012020:1 gene:LPERR02G29890 transcript:LPERR02G29890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSSSSSAPPPPPPSIPASGVWADASPLLAAACRDLEDGELVHGENFSLFGAMSALEIMDPKMDSGIEKSGYYSVEEAIEDGFAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETVLNPCRTILIWKRITAELCYADYHFYHVVTCLRKPHGRGLELARKHVASCLAELSLMLKSRDFLRSQSNNTSQQGDEATTASGVCPVGFDASLNSRLLSPAPPRAVKIVSWSDAIRYYEKLLHDLDIICSSPLDLVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLPCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASARRKGKKKKDHSKDSSRDTAFPSSCLLLQCHVLLSEGLSMMLAALRNESNSFQSPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHAFIADLTKYNFFKEIQKIIPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVKRS >LPERR02G29900.1 pep chromosome:Lperr_V1.4:2:25012820:25015613:1 gene:LPERR02G29900 transcript:LPERR02G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPADPPRNAAASAGVSCPNPTARDCDWFDIVRGKRKPRKNTTKYGTSARIPSPNNPSSETIIQQENRVALQLEPTADAQCLKAPLSPCGVLQEEELKEEY >LPERR02G29910.1 pep chromosome:Lperr_V1.4:2:25017681:25024012:1 gene:LPERR02G29910 transcript:LPERR02G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDSTTVSIAKVNSDYKDLDIAIVKATNHVEHPSKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDSTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKEPLRTRDLDTIGLLDQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRGDAVRALDLFKRAINQGARLSEFYEVCKSIHIGRGERFLKVEQPPTSFLQAMEEYVRDAPLASVNQRNQAVPAIEYIRKPEDEESSSSAPLPPPVSESSTSEPEPEPAKEISPIPEPADLLGMDEPTPDTSKIDEKNASALAIVQTDNAPKAAARPTTENVTTGWELALVAAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHRQAQQNTSYNPWEATPASGPMMQQQQQPMQNPFYASNAIAPPINVQMAAMAQQQHLFMLQQQQQQQQQMMMMARQQQYGQQQGSSNPFATPHIHAGNMYGSGMQLHAGNAYTYSGTRMM >LPERR02G29910.2 pep chromosome:Lperr_V1.4:2:25017681:25024012:1 gene:LPERR02G29910 transcript:LPERR02G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKEPLRTRDLDTIGLLDQLPALQQLLFRLLDCQPQGSSSYNIIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRGDAVRALDLFKRAINQGARLSEFYEVCKSIHIGRGERFLKVEQPPTSFLQAMEEYVRDAPLASVNQRNQAVPAIEYIRKPEDEESSSSAPLPPPVSESSTSEPEPEPAKEISPIPEPADLLGMDEPTPDTSKIDEKNASALAIVQTDNAPKAAARPTTENVTTGWELALVAAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHRQAQQNTSYNPWEATPASGPMMQQQQQPMQNPFYASNAIAPPINVQMAAMAQQQHLFMLQQQQQQQQQMMMMARQQQYGQQQGSSNPFATPHIHAGNMYGSGMQLHAGNAYTYSGTRMM >LPERR02G29920.1 pep chromosome:Lperr_V1.4:2:25024517:25026585:-1 gene:LPERR02G29920 transcript:LPERR02G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLMYLILPMPLIFFLGSNSSSMMSNDGDAWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWLIQMNSEDEYSSTF >LPERR02G29930.1 pep chromosome:Lperr_V1.4:2:25027179:25028737:1 gene:LPERR02G29930 transcript:LPERR02G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIEYAAVARGAVVLAEHGDNAATNAGAVARQVLDRLPAAAAAAAGGDCNVSYTQEQDLRVFHVKRTDGVTAICLADHAAGRRIPFAFLEEIHGRFVKAYGRAALTSLAYAMNDEFSRVLSQQMDYYSNDPNADRISRMRGEMDQVRTVMIDNIDKVLERGDRLELLVDKTETMQGNTMRFKRQARRFRNTVWWRNVKLT >LPERR02G29940.1 pep chromosome:Lperr_V1.4:2:25029613:25033840:-1 gene:LPERR02G29940 transcript:LPERR02G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAAAAPPPAPMAVDDAEDDQLASMSTDDIIRASRLLDNEIRVLKDEVQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >LPERR02G29940.2 pep chromosome:Lperr_V1.4:2:25029615:25033840:-1 gene:LPERR02G29940 transcript:LPERR02G29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAAAAPPPAPMAVDDAEDDQLASMSTDDIIRASRLLDNEIRVLKDEVQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >LPERR02G29950.1 pep chromosome:Lperr_V1.4:2:25034962:25037727:1 gene:LPERR02G29950 transcript:LPERR02G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVASQTRAAFSRADNSNTTVVSCHYSLLTTNSGDRRRSNSSISMAERGGGRLDVVMIPWLAFGHMIPFLELSKRLAARGHAVTFLSTPRNLARLPPLTTPANLSGQIRLHPLPMPAVDGLPEGAESTADVPPEKGELIKTACDLLAAPFAAFLAGDGERRKKKPDWIIIDFCYHWIPPIADEHKVPCAMFQILPAAMNALLGPRWANSKFPRNSREEFTVPPKWIPFDSTISFRRREADWAAAAFVPNSSGVPDAERFWRTEEGCRFIINRSCHEIEPPKMFEFLTELFHKPTVPSGLLPPPPPPPPTTTNPAVDDDDVSRWLDDQPPRSVIYVALGSEAPLTTTDLHELALGLELAGVRFLWALRNKSAGADEVLLLPEGFEERTRRRGVVWRSAWAAQVAALGHGAVGGFLTHCGWGSTIEALGFGIPMAMLPLVVDQGVIARAMAERGFGVEIATDDDDGGSFGRDGVAAAVRSVMVDGERRDLLVRNVKEMMGVVRDETRQEQYIDELVGYL >LPERR02G29960.1 pep chromosome:Lperr_V1.4:2:25057026:25057322:-1 gene:LPERR02G29960 transcript:LPERR02G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >LPERR02G29970.1 pep chromosome:Lperr_V1.4:2:25057311:25060417:1 gene:LPERR02G29970 transcript:LPERR02G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGGGGWRRFGKTAAERARASASAAAAGVRRRSPRCVGEFIGDPCSGEKWEPSLRRGASSRLISCGGGARRGEKGEEERRGERMPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALERETEGDLLHADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDDGEAQTVGIYERNRPKKRQKTKKNGKGKSWLLNKKEQMRKRGREVPADTKYTGRKRKSYF >LPERR02G29980.1 pep chromosome:Lperr_V1.4:2:25060684:25061434:1 gene:LPERR02G29980 transcript:LPERR02G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIACAVSVAAPPLTGNSNSSSSAPRGGFRRQWRGNRRSHPRPRGVAARFSGKSADADTKARIEDDDSSYLWKLGLGSVGGAAAIKYGSILLPDITRPNIVVALLMVCIPVVVAVVLLLKVSSSED >LPERR02G29990.1 pep chromosome:Lperr_V1.4:2:25062186:25068638:-1 gene:LPERR02G29990 transcript:LPERR02G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSTLPLAPSNPSPSSRSAAAFSPRGVHFAAAARSGALPLACAAPRHGGRPPRRRRRGGGLVVWASGDYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRVLYDQYGEAGVKSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRNTAVQGEDIRYDVTLGFSEAIFGSEKDIILSHLETCDACAGSGSKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPADIKRDGINLYSTVSITYVEAILGTVKKVSTVDGASELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNSGFGRAPLKPKPIRQENESNVAQEYPDQHDGEGDWLKKLKDFAGSISNGAAKWLKDNL >LPERR02G30000.1 pep chromosome:Lperr_V1.4:2:25069755:25073527:-1 gene:LPERR02G30000 transcript:LPERR02G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGGRGRTEAIARELERLRAEREELDSRIRLLESQLRRAEEETMGFPAAAAADMISRYSRHLLLPEFGLEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGNQVELHNLHRQIIHTEAFVGQPKVKSAAAACRAINSSIKVFEHPITLNRGNALDVVQQYDVIVDATDNLPSRYMMSDCCVLMNKPLISGAAVGLEGQLTVYHHNGSPCYRCLDLDPPSAAALQSCTDNGILGIRVIGCLQALEAIKVATSIGEPLCGRMLLFDALSSHIGMTAKQPSSESLLQVKIHGSSPTCKVCGENPAFTMQDFMEFDYDSFAQSTTGKNTTTLSLNLLPENARVSCRDYKRLLDSGKPHLLVDVRPSHHFQIASIAHSINVPLSLLEEKLPLLRDATKEMAETSGKNSGQQHCPVYVMCRRGNDSQVALQILRENGFLYTSDVTGGFESWAKEVDPSFPLY >LPERR02G30000.2 pep chromosome:Lperr_V1.4:2:25069755:25073527:-1 gene:LPERR02G30000 transcript:LPERR02G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGGRGRTEAIARELERLRAEREELDSRIRLLESQLRRAEEETMGFPAAAAADMISRYSRHLLLPEFGLEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGNQVELHNLHRQIIHTEAFVGQPKVKSAAAACRAINSSIKVFEHPITLNRGNALDVVQQYDVIVDATDNLPSRYMMSDCCVLMNKPLISGAAVGLEGQLTVYHHNGSPCYRCLDLDPPSAAALQSCTDNGILGIRVIGCLQALEAIKVATSIGEPLCGRMLLFDALSSHIGMVKIHGSSPTCKVCGENPAFTMQDFMEFDYDSFAQSTTGKNTTTLSLNLLPENARVSCRDYKRLLDSGKPHLLVDVRPSHHFQIASIAHSINVPLSLLEEKLPLLRDATKEMAETSGKNSGQQHCPVYVMCRRGNDSQVALQILRENGFLYTSDVTGGFESWAKEVDPSFPLY >LPERR02G30000.3 pep chromosome:Lperr_V1.4:2:25069755:25073527:-1 gene:LPERR02G30000 transcript:LPERR02G30000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGGRGRTEAIARELERLRAEREELDSRIRLLESQLRRAEEETMGFPAAAAADMISRYSRHLLLPEFGLEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGNQVELHNLHRQIIHTEAFVGQPKVKSAAAACRAINSSIKVFEHPITLNRGNALDVVQQYDVIVDATDNLPSRYMMSDCCVLMNKPLISGAAVGLEGQSCTDNGILGIRVIGCLQALEAIKVATSIGEPLCGRMLLFDALSSHIGMVKIHGSSPTCKVCGENPAFTMQDFMEFDYDSFAQSTTGKNTTTLSLNLLPENARVSCRDYKRLLDSGKPHLLVDVRPSHHFQIASIAHSINVPLSLLEEKLPLLRDATKEMAETSGKNSGQQHCPVYVMCRRGNDSQVALQILRENGFLYTSDVTGGFESWAKEVDPSFPLY >LPERR02G30000.4 pep chromosome:Lperr_V1.4:2:25069755:25073527:-1 gene:LPERR02G30000 transcript:LPERR02G30000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGGRGRTEAIARELERLRAEREELDSRIRLLESQLRRAEEETMGFPAAAAADMISRYSRHLLLPEFGLEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGNQVELHNLHRQIIHTEAFVGQPKVKSAAAACRAINSSIKVFEHPITLNRGNALDVVQQYDVIVDATDNLPSRYMMSDCCVLMNKPLISGAAVGLEGQSCTDNGILGIRVIGCLQALEAIKVATSIGEPLCGRMLLFDALSSHIGMTAKQPSSESLLQVKIHGSSPTCKVCGENPAFTMQDFMEFDYDSFAQSTTGKNTTTLSLNLLPENARVSCRDYKRLLDSGKPHLLVDVRPSHHFQIASIAHSINVPLSLLEEKLPLLRDATKEMAETSGKNSGQQHCPVYVMCRRGNDSQVALQILRENGFLYTSDVTGGFESWAKEVDPSFPLY >LPERR02G30010.1 pep chromosome:Lperr_V1.4:2:25076691:25081668:1 gene:LPERR02G30010 transcript:LPERR02G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVVKRDGRQEAVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIVMSNLHKNTMKSFSETIKVMYMHYDERSGLMAPLIADDVYEIIMKNTARLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQQMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNGDWSLFCPNEAPGLADCWGDEFQHLYKKYEREGMAKKVVPAQTLWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPMESHPPKLVGSRESKNRYFDFEKLAEITSIVTWNLNKIIDINFYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYNALKASAEIAAKEGPYETYGGSPVSKGILQPDMWNVVPSDRWDWTSLREMIAKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNQIVYEDGSVQKITEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRSAADAIKFTVDTALLKDKQQNAEEADVQSKMAQVICSLNNRDECLACGITGSVWQSGRMN >LPERR02G30010.2 pep chromosome:Lperr_V1.4:2:25076691:25081507:1 gene:LPERR02G30010 transcript:LPERR02G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVVKRDGRQEAVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIVMSNLHKNTMKSFSETIKVMYMHYDERSGLMAPLIADDVYEIIMKNTARLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQQMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNGDWSLFCPNEAPGLADCWGDEFQHLYKKYEREGMAKKVVPAQTLWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPMESHPPKLVGSRESKNRYFDFEKLAEITSIVTWNLNKIIDINFYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYNALKASAEIAAKEGPYETYGGSPVSKGILQPDMWNVVPSDRWDWTSLREMIAKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNQIVYEDGSVQKITEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRSAADAIKFTVDTALLKDKQQNAEEADVQSKMAQLCLSY >LPERR02G30020.1 pep chromosome:Lperr_V1.4:2:25083673:25083873:-1 gene:LPERR02G30020 transcript:LPERR02G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLVLLFLCLGGLRPTTKAADEQFIFNGFTGTNLSFYSMATVTSNGRLMLTNSTSMLKGNAF >LPERR02G30030.1 pep chromosome:Lperr_V1.4:2:25084808:25095281:-1 gene:LPERR02G30030 transcript:LPERR02G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAISEGGGEGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSVRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIDGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMHILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALGLVFLLVNDTNVKPLTKELIDYLDLADPDFKEDLTAKICSIVERFSQEKLWYIDQMIKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRSLYRSLQAFVDQGSLIRVAVWCIGEYGEMLVNNVGMLQLEEPITVTESDAVDAVQLALNRYSTDVTTRAMCLVALLKLSSRFPSTSERIKQIVSKNKENIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLVKRASTAQATLAADKPAPTVSPGGLKLPNGAPSSASTDILIDLLSIGSSPSQNGAPAADLSPPAQLGAVVPEATDLLSSLSSSTSVSGTKSAPAVQDMDLLDGLTSNTSVSGHDNYLSITAFQSATLKINFGFKKQPGKPQETTILATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGDGSVTQGFNVTNNQHGQKPLAMRIRMSYKVNDWNKAKSAIFLPGYSADRTRSLNTHIPKAIDRVRRRGEDRHIGERRQGEERGAATAESEERRAAAAAATESVERRAGRTMTGEE >LPERR02G30030.2 pep chromosome:Lperr_V1.4:2:25084808:25095281:-1 gene:LPERR02G30030 transcript:LPERR02G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAISEGGGEGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSVRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIDGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMHILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALGLVFLLVNDTNVKPLTKELIDYLDLADPDFKEDLTAKICSIVERFSQEKLWYIDQMIKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRVAVWCIGEYGEMLVNNVGMLQLEEPITVTESDAVDAVQLALNRYSTDVTTRAMCLVALLKLSSRFPSTSERIKQIVSKNKENIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLVKRASTAQATLAADKPAPTVSPGGLKLPNGVAKPPTSPLADLLDLSSDAPVITPASTTAAPNDFLQDLLGIGGINSSTAGAPSSASTDILIDLLSIGSSPSQNGAPAADLSPPAQLGAVVPEATDLLSSLSSSTSVSGTKSAPAVQDMDLLDGLTSNTSVSGHDNYLSITAFQSATLKINFGFKKQPGKPQETTILATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGDGSVTQGFNVTNNQHGQKPLAMRIRMSYKVNDWNKAKSAIFLPGYSADRTRSLNTHIPKAIDRVRRRGEDRHIGERRQGEERGAATAESEERRAAAAAATESVERRAGRTMTGEE >LPERR02G30030.3 pep chromosome:Lperr_V1.4:2:25084808:25095281:-1 gene:LPERR02G30030 transcript:LPERR02G30030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAISEGGGEGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSVRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIDGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMHILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALGLVFLLVNDTNVKPLTKELIDYLDLADPDFKEDLTAKICSIVERFSQEKLWYIDQMIKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRSLYRSLQAFVDQGSLIRVAVWCIGEYGEMLVNNVGMLQLEEPITVTESDAVDAVQLALNRYSTDVTTRAMCLVALLKLSSRFPSTSERIKQIVSKNKENIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLVKRASTAQATLAADKPAPTVSPGGLKLPNGVAKPPTSPLADLLDLSSDAPVITPASTTAAPNDFLQDLLGIGGINSSTAGAPSSASTDILIDLLSIGSSPSQNGAPAADLSPPAQPITELFKINAPNKPVSTVPLVGAVVPEATDLLSSLSSSTSVSGTKSAPAVQDMDLLDGLTSNTSVSGHDNYLSITAFQSATLKINFGFKKQPGKPQETTILATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGDGSVTQGFNVTNNQHGQKPLAMRIRMSYKVNDWNKAKSAIFLPGYSADRTRSLNTHIPKAIDRVRRRGEDRHIGERRQGEERGAATAESEERRAAAAAATESVERRAGRTMTGEE >LPERR02G30030.4 pep chromosome:Lperr_V1.4:2:25084808:25095281:-1 gene:LPERR02G30030 transcript:LPERR02G30030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAISEGGGEGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSVRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIDGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMHILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALGLVFLLVNDTNVKPLTKELIDYLDLADPDFKEDLTAKICSIVERFSQEKLWYIDQMIKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRSLYRSLQAFVDQGSLIRVAVWCIGEYGEMLVNNVGMLQLEEPITVTESDAVDAVQLALNRYSTDVTTRAMCLVALLKLSSRFPSTSERIKQIVSKNKENIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLVKRASTAQATLAADKPAPTVSPGGLKLPNGVAKPPTSPLADLLDLSSDAPVITPASTTAAPNDFLQDLLGIGGINSSTAGAPSSASTDILIDLLSIGSSPSQNGAPAADLSPPAQLGAVVPEATDLLSSLSSSTSVSGTKSAPAVQDMDLLDGLTSNTSVSGHDNYLSITAFQSATLKINFGFKKQPGKPQETTILATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGDGSVTQGFNVTNNQHGQKPLAMRIRMSYKVNDWNKAKSAIFLPGYSADRTRSLNTHIPKAIDRVRRRGEDRHIGERRQGEERGAATAESEERRAAAAAATESVERRAGRTMTGEE >LPERR02G30030.5 pep chromosome:Lperr_V1.4:2:25084808:25095281:-1 gene:LPERR02G30030 transcript:LPERR02G30030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAISEGGGEGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSVRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIDGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMHILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALGLVFLLVNDTNVKPLTKELIDYLDLADPDFKEDLTAKICSIVERFSQEKLWYIDQMIKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRSLYRSLQAFVDQGSLIRVAVWCIGEYGEMLVNNVGMLQLEEPITQIVSKNKENIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLVKRASTAQATLAADKPAPTVSPGGLKLPNGVAKPPTSPLADLLDLSSDAPVITPASTTAAPNDFLQDLLGIGGINSSTAGAPSSASTDILIDLLSIGSSPSQNGAPAADLSPPAQPITELFKINAPNKPVSTVPLVGAVVPEATDLLSSLSSSTSVSGTKSAPAVQDMDLLDGLTSNTSVSGHDNYLSITAFQSATLKINFGFKKQPGKPQETTILATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGDGSVTQGFNVTNNQHGQKPLAMRIRMSYKVNDWNKAKSAIFLPGYSADRTRSLNTHIPKAIDRVRRRGEDRHIGERRQGEERGAATAESEERRAAAAAATESVERRAGRTMTGEE >LPERR02G30040.1 pep chromosome:Lperr_V1.4:2:25099446:25100941:1 gene:LPERR02G30040 transcript:LPERR02G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNYSHGHHQHNLAVDDELSSSSSSSGKRGFREAFGDTTATTLPLFDDGSNDNKRKKPVVGWPPVSSARRACGANYVKVKKEGDAIGRKVDLALHSSYDELVATLARMFPTNDHQVILHGL >LPERR02G30050.1 pep chromosome:Lperr_V1.4:2:25102866:25105962:1 gene:LPERR02G30050 transcript:LPERR02G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRELVTDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLQNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFLRICKDLSTIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDMKS >LPERR02G30050.2 pep chromosome:Lperr_V1.4:2:25102816:25105962:1 gene:LPERR02G30050 transcript:LPERR02G30050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRELVTDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLQNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFLRICKDLSTIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDMKS >LPERR02G30060.1 pep chromosome:Lperr_V1.4:2:25105204:25107695:-1 gene:LPERR02G30060 transcript:LPERR02G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRGQEHLDLMIMRQHAGMGCESEDALGGSSESEPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLCLPPVNLSGVPEHLPVQQMCAELDQNSTKASNPSVVLQPMNQISGAHLRCEPANYGLRQHKPLFLPGVPNTTTPEPRFLVESSRSNLQSLPLLESAEMIYPHDTMLKHRLTSANESTAVPGTEMKSARQDTYMTGADRFDRYTLGRDQLQDMMEKNTANVCRLESRQWGNGLMELWEERLNDELVIVSWNHPA >LPERR02G30070.1 pep chromosome:Lperr_V1.4:2:25108935:25112490:-1 gene:LPERR02G30070 transcript:LPERR02G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIILHRAPSFSNLPVYSRHFSTFQETSGVSNGHEGLALLTTIVEGGGNCNPASNPSPNQSLLSTSLIDKIIHLIDALDLPCQRSYVALDQLLLDTLEALNIAYPKFLSGLSAHHNTSSIQQGLVHLHEILKLVQDFHGEHKQFGNSESDKHTSTVNQSSMEISKNSTATWHEDLPERRSLPPVLCRPRSPANREISGDPSAIAAIAGITCKDGITVKNNMEQSIHGEVESSCSNQAGEIAAESKPMPPSTPTGDYSTVLQTTPSSLSAPPPSPIPVEGLPMLLLSWDTMQDTNLTVAMVTPPADEPPVNSTASPSVAQRDTMSIAMDDNGKDGRTISMSAGEGNAPPPPPPLPPPTQPADAVEAVAIVPAPARGPPPPPGGGGNIAKALRTKKAATKLKRSTQMGSMYRHLRDRVEGGGGGGGVSRPHDKKARASPAAAGAMADALAEMTKRSAYFRQIEDDVTTHATTILNLKDAIGAFQSNDMGEIARFHGHVERQLACLTDETQVRGKKLETMRMAAALSAKLDGVVATLKGWKLQAASPVSPQLDRVEAYFNKIKDEVDTMERSKEEETKRFVSHNVHFDFGVLVRIKECMVNLSSTCVELALKDERADRLTATLAHEIEERPL >LPERR02G30080.1 pep chromosome:Lperr_V1.4:2:25113679:25114779:1 gene:LPERR02G30080 transcript:LPERR02G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGDAAAATSPSFSSTGSSGDGGGGARVGIYACFANGDGSNTLECYEPGANTWRRVGEVPGVPAGHVLKGFGIVSLGDFVYVIGGRLCRGGGGDDTDVAVRGDVARTLRYKCAGVTWHGRFHVIGGFASAAAAAGEASSALERSSAEVFDCGRGEWEIIPGMWQLDVPPNQIVAVDGRLFSSGDCLNSWKGHVEVYDGELNIWTIVDHSAATPDIAAAVLPPSAQRRYCTMAVVGTRLYFLAGYQVAGDGDGGGFRTVSLVHSFDTSATPELMPAWSSFQPIMDQEDMDDGIKELFSQCCSLQL >LPERR02G30090.1 pep chromosome:Lperr_V1.4:2:25115525:25117830:-1 gene:LPERR02G30090 transcript:LPERR02G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSGLRLPAWAAAAPARGFPPPFPPPSDWRGRRMPLARRRCIAPSSSTPSAAPSRLQQEWVWDCRGGGFGGGRRDYAREMEVAVRVVQAACTLCQRVQASLLGAASASGSGGVHSKLDRSPVTVAVIFPSLPMPDWGVQAIVSWLLSDCFQDENISIVAEEDDQTLSSSEGAALLETVVAAVNGCLVEAPKYGLRSPAKELGAHDILEAIRKCNSTGGRKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLAWRNSREVRVSTVSDPASATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVLIIQEAGGVITDAGGRPLDFSRGVFLEGLDRGIIACSGPLLHHRILGSVDASWNSSTL >LPERR02G30100.1 pep chromosome:Lperr_V1.4:2:25118904:25121856:1 gene:LPERR02G30100 transcript:LPERR02G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVIAGAGGPEVLEERRVDALPAPGDGEVLVAVAAAGVNRADTVQRQGRYPAPPGASPYPGLECSGTILSVGPNVPSRWAIGDQVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVERVKQETNGKGVDVILDNIGGLYLQRNLNGLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVQGKVKPVVYKTFPLSEAAEAHKLMEESSHIGKILLIP >LPERR02G30110.1 pep chromosome:Lperr_V1.4:2:25121561:25129411:-1 gene:LPERR02G30110 transcript:LPERR02G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRPAALPAVCAMKGGAGGGDKRSSWWGGSKQRLPHQPRRQQGGGNGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKQPRQRKQPKPKPKPKPKLPEQEEEEAATGQSPSLPPPSYQPGLSCLELMTADFEALKLYVGYSKHAIEQRLFPGKQQSQHQEPSKPKLQQKQQQQQQQEQQYQTLQPPAFPPPSYPPGCSHLAAPSLSCMELMMADIEALKLYINYYAAILTTPLPQHYDPELLSRYFTSRPHILALRTIQILFAFVSAAVKMQISKRANLITDSTYDSNSIRSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPREHAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFLRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEFHSRYSFMLVPKVLKQLTRKRVLTMEWVAGENPTDLLSFSKGISSEIAGSSEKQRIEAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTEMDVVPPKTNLRRVTMDLEDALGEVTFEDGIPDVKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNRWKEFQWNKITVFLKLASARGNFRYNTGVLPERKGLDVAKLVEISDASSSLDLNHATPERTLHTANLCLRLLLSKDSIVIRRLILTANAKSLARDLISRDATVFRVLLSRVLADVIYQWMVKVTGFKRARQLEPHPRMTAGKNDEELGLSKEASALVTFQTAASDRRLKVIFSKFVRDIREDPILTARVSWNMLAISVVSAAIGAHRFIVLLSEEFLTTLPPPVPPPRLKQQRWSSVRVIQGGSRKEEETAEEGMVGGRGGGGGGGGGRQERQALMVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKSKQLQDELLKAKASLSASTRRFDLLKKDNEVLKRQIEIMKQQCNCTVPSNLGQE >LPERR02G30110.2 pep chromosome:Lperr_V1.4:2:25123954:25129411:-1 gene:LPERR02G30110 transcript:LPERR02G30110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRPAALPAVCAMKGGAGGGDKRSSWWGGSKQRLPHQPRRQQGGGNGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKQPRQRKQPKPKPKPKPKLPEQEEEEAATGQSPSLPPPSYQPGLSCLELMTADFEALKLYVGYSKHAIEQRLFPGKQQSQHQEPSKPKLQQKQQQQQQQEQQYQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYAAILTTPLPQHYDPELLSRYFTSRPHILALRTIQILFAFVSAAVKMQISKRANLITDSTYDSNSIRSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPREHAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFLRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEFHSRYSFMLVPKVLKQLTRKRVLTMEWVAGENPTDLLSFSKGISSEIAGSSEKQRIEAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTEMDVVPPKTNLRRVTMDLEDALGEVTFEDGIPDVKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNRWKEFQWNKITVFLKLASARGNFRYNTGVLPERKGLDVAKLVEISDASSSLDLNHATPERTLHTANLCLRLLLSKDSIVIRRLILTANAKSLARDLISRDATVFRVLLSRVLADVIYQWMVKVTGFKRARQLEPHPRMTAGKNDEELGLSKEASALVTFQTAASDRRLKVIFSKFVRDIREDPILTARVSWNMLAISVVSAAIGAHRFIVLLSEEFLTTLPPPVPPPRLVQIQSL >LPERR02G30110.3 pep chromosome:Lperr_V1.4:2:25123954:25129411:-1 gene:LPERR02G30110 transcript:LPERR02G30110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRPAALPAVCAMKGGAGGGDKRSSWWGGSKQRLPHQPRRQQGGGNGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKQPRQRKQPKPKPKPKPKLPEQEEEEAATGQSPSLPPPSYQPGLSCLELMTADFEALKLYVGYSKHAIEQRLFPGKQQSQHQEPSKPKLQQKQQQQQQQEQQYQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYAAILTTPLPQHYDPELLSRYFTSRPHILALRTIQILFAFVSAAVKMQISKRANLITDSTYDSNSIRSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPREHAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFLRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEFHSRYSFMLVPKVLKQLTRKRVLTMEWVAGENPTDLLSFSKGISSEIAGSSEKQRIEAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTEMDVVPPKTNLRRVTMDLEDALGEVTFEDGIPDVKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNRWKEFQWNKITVFLKLASARYNTGVLPERKGLDVAKLVEISDASSSLDLNHATPERTLHTANLCLRLLLSKDSIVIRRLILTANAKSLARDLISRDATVFRVLLSRVLADVIYQWMVKVTGFKRARQLEPHPRMTAGKNDEELGLSKEASALVTFQTAASDRRLKVIFSKFVRDIREDPILTARVSWNMLAISVVSAAIGAHRFIVLLSEEFLTTLPPPVPPPRLVQIQSL >LPERR02G30110.4 pep chromosome:Lperr_V1.4:2:25121561:25123617:-1 gene:LPERR02G30110 transcript:LPERR02G30110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEDRGSVIRIHEATTFWADKWAVRPSCTGEETAAAAAPFLKKQQRWSSVRVIQGGSRKEEETAEEGMVGGRGGGGGGGGGRQERQALMVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKSKQLQDELLKAKASLSASTRRFDLLKKDNEVLKRQIEIMKQQCNCTVPSNLGQE >LPERR02G30120.1 pep chromosome:Lperr_V1.4:2:25130739:25133818:-1 gene:LPERR02G30120 transcript:LPERR02G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRVHLDDIYKDFTQYKIRVPVCGCIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSVWQQRVRLYIITGVKADTVFAPQTKKEISEISWHKIDELLPAGDDAISRGVNGLKLYMVAPFLQGIKAWIATHRPSQYQKSDTSARGTVWKAKNSSSGAVPVENPVITRAGSDPQTIDSRPGKSFRNFRFDTASILQSMEASFLRT >LPERR02G30130.1 pep chromosome:Lperr_V1.4:2:25133848:25138516:1 gene:LPERR02G30130 transcript:LPERR02G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAISLARSPLPSSASAYALNPDLRSAAVSVRHSHRPSFPAKLAAGVCCASPAVQLLPSLSPEILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNANSLSRSINIECGDLRDADFQEKHGLSKASIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEARAKSWGCRSMALHCDVNNIAALRLYKKLGYKCIRVPEDAKWPEPKIAQGVQYNFMMKLVPKM >LPERR02G30140.1 pep chromosome:Lperr_V1.4:2:25153688:25159206:1 gene:LPERR02G30140 transcript:LPERR02G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEEMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGVAPPPPPATATTGQMVTAALRRCSTPPARSRARTPTLARLPYPTTIPKPNKRACDELDMDMAQLEWLSELFDDGTIPHEPSFPAGVNCTAPIKSSAMAASTANVVLPDKMVEEALFRSSSPISVLEQHIANGGSSSSSSSSASSSSESFAWSAPVSPRPEPPVLIIPARARSKRSRPSAFPAAVRSSAAAAAENEMTILVPTPMYSSTSSQSDPESIAESSPHTQTQPPMKKKKKAKKPALPAASDGEGDADADYEEEAAAGGGGGARALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKVTRNADPSCDLLQYIRRRD >LPERR02G30140.2 pep chromosome:Lperr_V1.4:2:25153688:25159206:1 gene:LPERR02G30140 transcript:LPERR02G30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEEMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGVAPPPPPATATTGQMVTAALRRCSTPPARSRARTPTLARLPYPTTIPKPNKRACDELDMDMAQLEWLSELFDDGTIPHEPSFPAGVNCTAPIKSSAMAASTANVVLPDKMVEEALFRSSSPISVLEQHIANGGSSSSSSSSASSSSESFAWSAPVSPRPEPPVLIIPARARSKRSRPSAFPAAVRSSAAAAAENEMTILVPTPMYSSTSSQSDPESIAESSPHTQTQPPMKKKKKAKKPALPAASDGEGDADADYEEEAAAGGGGGARALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKVTRNADPSCDLLQYIRRRD >LPERR02G30150.1 pep chromosome:Lperr_V1.4:2:25160283:25164360:-1 gene:LPERR02G30150 transcript:LPERR02G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRAHIVKNDYLDGGKFADFVGGKGGNGKSTRAAARHSSRLVAALTCLQLAFAIYATFLLYYMSPSVDLRTKPDFAWATRIAHHMKQLMAQPTTTGPGPFSPEEVCEHESIDFEQKKSTDAAMIRLKRHLYDEILSFQHKSFAAESLPDLLRMRSRWNLNSNSNSNSNSNSNSNSPRVTVILNHFKRRTLCAQIETLRRQTLPFHRCWVLAFGSPNEAALRRIVESYNDSRISFVSSGHDFKYYGRFQMALQSESDFVYVLDDDMIPGNRMLEILCHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLAADLIKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYITQWAAMNPQKVDALFYAHSLSEVRALAPLLEKFRTTAGRKAYLVVSGGGYCPCEEAATVLKWPKVVCKERRFKIFDLAVGAISGPSRSDVPVLQAVYSSMRGIVQMHNPSVIVAVADVDVKIKDALRMVVDVAVNRTALVLLPRNSISKVLWMPTLRPTALPNWNKMRISVNIITQNRAGSLRRLLSSLRNAYYVGDEVPISFNMDSRVDAATLNVVNSFNWPHGPKTLRRRIIQGGLIRAVSESWYPANDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPQVVKERPRWNATAFFGKNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPSQTSFSTNHMEPGAHISAKDNVVKHNKQDFEVPLVADDFSPLLPAGKMPPASKLPVLNLFNQPVSFKGLKAAGAKLRQDVITCGITQLVAVDHVTGLPKNCTAF >LPERR02G30160.1 pep chromosome:Lperr_V1.4:2:25167505:25172445:-1 gene:LPERR02G30160 transcript:LPERR02G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYMMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGRSPGGYGGGYENDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGGAGNTPNREGDRYSRDSNERYGRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGSTQDERPIERKPSNQQIASPPPSYEDVTRNAQGNVHDERNGGAVPVPVAAPKVSSPPVSGISVPPGQVNGVHDNTVEDVPAQQPAHAEANGFDEFDPRGSVPDTSPPVNPSPAVNSLEMDLFGSDPISSLALVSVPQPTATPNVEPPANSGFETNGFMGMPPASTGFNEPFDASNPFGDPTPFKAVHEETPAVSQTNAAPVGSFHATGPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFAATSSPSEISPANPSVLPQQTVPSYVSSQSPQPAAHVAATSFASQAPPASFAPQASPSSFAPQAPPTSFAQAPQAGASYPHAPQAASAFPHSQAPHSAPSQMPQPALPNLPSGQSNFYAQPVPGTGMNGLSGVPSQNGAASYIPSQPQFAAPTNMQPSQPTFLPQTDTSAPQATPISRGASQPLAVPNSMPSGANIQLHSSSSAPPETIISALQFSQSEPVKKFEPKSTVWADTLSRGLVDLNISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGAGSGIGRAGASAMAPPANPMGAGRGGMPMRPPMGMGPGGIPGAGYNQMGAGYGGQQPYGGYRGGPSLLFALF >LPERR02G30160.2 pep chromosome:Lperr_V1.4:2:25167505:25172445:-1 gene:LPERR02G30160 transcript:LPERR02G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYMMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGRSPGGYGGGYENDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGGAGNTPNREGDRYSRDSNERYGRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGSTQDERPIERKPSNQQIASPPPSYEDVTRNAQGNVHDERNGGAVPVPVAAPKVSSPPVSGISVPPGQVNGVHDNTVEDVPAQQPAHAEANGFDEFDPRGSVPDTSPPVNPSPAVNSLEMDLFGSDPISSLALVSVPQPTATPNVEPPANSGFETNGFMGMPPASTGFNEPFDASNPFGDPTPFKAVHEETPAVSQTNAAPVGSFHATGPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFAATSSPSEISPANPSVLPQQTVPSYVSSQSPQPAAHVAATSFASQAPPASFAPQASPSSFAPQAPPTSFAQAPQAGASYPHAPQAASAFPHSQAPHSAPSQMPQPALPNLPSGQSNFYAQPVPGTGMNGLSGVPSQNGAASYIPSQPQFAAPTNMQPSQPTFLPQTDTSAPQATPISRGASQPLAVPNSMPSGANIQLHSSSSAPPETIISALQFSQSEPVKKFEPKSTVWADTLSRGLVDLNISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGAGSGIGRAGASAMAPPANPMGAGRGVGMGMGAGSGYGGGMGMNRPMGMGMGMNQQMGGMGMGMNQHPMGMGMGMNQQQMGMNMGMGMNQGMPMRPPMGMGPGGIPGAGYNQMGAGYGGQQPYGGYRGGPSLLFALF >LPERR02G30160.3 pep chromosome:Lperr_V1.4:2:25168938:25172445:-1 gene:LPERR02G30160 transcript:LPERR02G30160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYMMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGRSPGGYGGGYENDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGGAGNTPNREGDRYSRDSNERYGRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGSTQDERPIERKPSNQQIASPPPSYEDVTRNAQGNVHDERNGGAVPVPVAAPKVSSPPVSGISVPPGQVNGVHDNTVEDVPAQQPAHAEANGFDEFDPRGSVPDTSPPVNPSPAVNSLEMDLFGSDPISSLALVSVPQPTATPNVEPPANSGFETNGFMGMPPASTGFNEPFDASNPFGDPTPFKAVHEETPAVSQTNAAPVGSFHATGPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFAATSSPSEISPANPSVLPQQTVPSYVSSQSPQPAAHHLLLRLLLKHLPLHLLKHLKQGHPILMPLRLHPHFLIRKHLILRLRKCLNLHYQTYHQANQTFMHNQFQGLA >LPERR02G30160.4 pep chromosome:Lperr_V1.4:2:25167505:25168937:-1 gene:LPERR02G30160 transcript:LPERR02G30160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGYLPRMVPHPTFLPSLTPTNMQPSQPTFLPQTDTSAPQATPISRGASQPLAVPNSMPSGANIQLHSSSSAPPETIISALQFSQSEPVKKFEPKSTVWADTLSRGLVDLNISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGAGSGIGRAGASAMAPPANPMGAGRGVGMGMGAGSGYGGGMGMNRPMGMGMGMNQQMGGMGMGMNQHPMGMGMGMNQQQMGMNMGMGMNQGMPMRPPMGMGPGGIPGAGYNQMGAGYGGQQPYGGYRGGPSLLFALF >LPERR02G30170.1 pep chromosome:Lperr_V1.4:2:25176947:25180770:-1 gene:LPERR02G30170 transcript:LPERR02G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDAGDSPSAGSSTAALVSPVASSSSAATSANTTPTAADNANANGKVMMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLTAEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEAIEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTTSKLGDSNSLTTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLASSDRLSVIAFSSSARRLFPLRRMTESGRQQSLQAVNSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAAPEYCAVLPYTTDGRQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVEILHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRASTPRQMRHVKSFPARPQPR >LPERR02G30180.1 pep chromosome:Lperr_V1.4:2:25192568:25202016:1 gene:LPERR02G30180 transcript:LPERR02G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIKNIEITNETAGPSNLERSRTERRRQNNPADDPTKQLFNDKISIRKKLKMLNRIATVKDDGTVVVDVPSSLEATNVDGVAEDGYSDVAVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFVAIGKCLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQIKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDRPIYVGFGSLDPAKMTEIIVDALEATGQRGIINKGWGGLGTLAEPKDSIYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFSLQKLVDAINFMMKPEVKERAVELAKAMESEDGVSGAVRAFLKHLPSRAEETTSPPSSGFLEFLGPVSRCLGCS >LPERR02G30180.2 pep chromosome:Lperr_V1.4:2:25192525:25202016:1 gene:LPERR02G30180 transcript:LPERR02G30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAEEEEASSKGVEGGGGEGPSASANGGGGGDNSAAADAASSPSDDRGLPRSSTMPGGIKNIEITNETAGPSNLERSRTERRRQNNPADDPTKQLFNDKISIRKKLKMLNRIATVKDDGTVVVDVPSSLEATNVDGVAEDGYSDVAVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFVAIGKCLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQIKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDRPIYVGFGSLDPAKMTEIIVDALEATGQRGIINKGWGGLGTLAEPKDSIYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFSLQKLVDAINFMMKPEVKERAVELAKAMESEDGVSGAVRAFLKHLPSRAEETTSPPSSGFLEFLGPVSRCLGCS >LPERR02G30190.1 pep chromosome:Lperr_V1.4:2:25204216:25205249:-1 gene:LPERR02G30190 transcript:LPERR02G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGELALRQEYVIGDEIGRGRFGVVRRCYAVATGDPFAVKSTPKSPLREAAEAADALDLALAEQEPKIHLLASSPGPGSSPHVVALHAAFEDDSAVHLVLDLCDGGDLLSLISSHGSSGGLPEQEAAGLMQQIASALASIHRRGVAHRDVKPENLLFDSAGGGVLKLGDFGSAAWFGDGVAMRGLVGTPYYVAPEVVAGRDYGEKVDVWSAGVVLYVMLSGTLPFYGATAGEVFDSVLRANLRFPPRAFASVSPEAKDLIRRMLCRDVSRRFSADQVLRHPWIVNRGGAAAMG >LPERR02G30200.1 pep chromosome:Lperr_V1.4:2:25209648:25229778:1 gene:LPERR02G30200 transcript:LPERR02G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRLVVGFGAAPRAPPPPPRPLRRWTLSRRSTRLAPLTRCRCCCCSSSREGEGNACGKPHREGSNSVRLHVKANNDQETYLADEQQDGVDIWELFNKAQQNILYLNKQRLVAMEELKKLQDENESLLHDIEVLEKERQGISIGKAAPRFCELLLRIDTMAISGTVDMTEASDLRKKVIDNRYMIHSIFSDIHDKEDTELLSELRLFLHKPVEKPLHVVHICSEMDPIASCGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDGIHYLRKAEADYESYFGGRWHKNRIWTGISSGVSITLVEPVQLSYFDRDMFRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGSTRILLTCQDLNSQGLEDPKKLELCGLDPHKLQRPDRLQDNSKTDLVNVLKGGIVYSNKVLLMSSTLPRDMPIQSLGHGLEATLTTHKEKVLLASHGLDGELWDPSKDIHLPQRYSANDIEGKSFCRKALKRRLGFHSSSVVVGCISNGDSNTAGLREAVRVALHGGAQVIFMENKGSVLHSTAQTLKDELKGDGVIFIEMYDEALEHLIFAGSDIFLCSSTDPSLQIVMRAIKYGSAPVQINFPGNGSRQTEWNDSPSTALSQYVISTYGDMSLSQALDDIKNNPSQWDSRIKDGMTKVLSWDAECYDLHWKAYSVVVAILAIILVTRGATSAQQQPPGCPDKCGNISIPYPFGIGSGCARDEGFQLDCNHTHYSPPRLTVSSHGQHLISLSLNDGEAITVLNAKRNCYNSTEGFVSSNNDQTTFISLAGSTTYRLSAARNRFVALGCPNLAYFIDSSGFYVSGCTSICRPSQWSINGSTTAGCTGEGCCQSKIPSNVDFYEAAMLVFKPGEGDPIFQGNRTSCRYAFLAEDKWIQTTYRDHAVFNRSDDFTVPVVLDWAIRNVGNCNQAERNATGDYACRAHSDCADSTNGAGYRCRCSRGYEGNPYIDNGCRDINECERKAEFPCHGLCTNLLGDYKCECPPGYSGDALVKNGCRQKDKFTLALKAVTGVGVGVILIGSICLWLHLGLQKRKLTRMKQRFFEHNGGVILQQQMHSGGGAGGFKIFSTEELKKATNNFADDRVLGRGGHGIVYKGFLEDKTLVAIKKSKMMEAAESKEFAREMFILSQLNHKNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTSDISLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLSAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGSEEGRSLVSGFTTAVKAGRHQELMDTQVRSEMNDEILTKITHLLMRCLSMNGEERPTMKEVVERLEMLRRYQQHPWAEAEGNAEENQCLLGMEQHNSNYQFRQQDVLDLEEGTY >LPERR02G30200.2 pep chromosome:Lperr_V1.4:2:25209648:25229778:1 gene:LPERR02G30200 transcript:LPERR02G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRLVVGFGAAPRAPPPPPRPLRRWTLSRRSTRLAPLTRCRCCCCSSSREGEGNACGKPHREGSNSVRLHVKANNDQETYLADEQQDGVDIWELFNKAQQNILYLNKQRLVAMEELKKLQDENESLLHDIEVLEKERQGISIGKAAPRFCELLLRIDTMAISGTVDMTEASDLRKKVIDNRYMIHSIFSDIHDKEDTELLSELRLFLHKPVEKPLHVVHICSEMDPIASCGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDGIHYLRKAEADYESYFGGRWHKNRIWTGISSGVSITLVEPVQLSYFDRDMFRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGSTRILLTCQDLNSQGLEDPKKLELCGLDPHKLQRPDRLQDNSKTDLVNVLKGEGIACFSWTGWRTLGSIQRHSSSSEVGCISNGDSNTAGLREAVRVALHGGAQVIFMENKGSVLHSTAQTLKDELKGDGVIFIEMYDEALEHLIFAGSDIFLCSSTDPSLQIVMRAIKYGSAPVQINFPGNGSRQTEWNDSPSTALSQYVISTYGDMSLSQALDDIKNNPSQWDSRIKDGMTKVLSWDAECYDLHWKAYSVVVAILAIILVTRGATSAQQQPPGCPDKCGNISIPYPFGIGSGCARDEGFQLDCNHTHYSPPRLTVSSHGQHLISLSLNDGEAITVLNAKRNCYNSTEGFVSSNNDQTTFISLAGSTTYRLSAARNRFVALGCPNLAYFIDSSGFYVSGCTSICRPSQWSINGSTTAGCTGEGCCQSKIPSNVDFYEAAMLVFKPGEGDPIFQGNRTSCRYAFLAEDKWIQTTYRDHAVFNRSDDFTVPVVLDWAIRNVGNCNQAERNATGDYACRAHSDCADSTNGAGYRCRCSRGYEGNPYIDNGCRDINECERKAEFPCHGLCTNLLGDYKCECPPGYSGDALVKNGCRQKDKFTLALKAVTGVGVGVILIGSICLWLHLGLQKRKLTRMKQRFFEHNGGVILQQQMHSGGGAGGFKIFSTEELKKATNNFADDRVLGRGGHGIVYKGFLEDKTLVAIKKSKMMEAAESKEFAREMFILSQLNHKNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTSDISLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLSAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGSEEGRSLVSGFTTAVKAGRHQELMDTQVRSEMNDEILTKITHLLMRCLSMNGEERPTMKEVVERLEMLRRYQQHPWAEAEGNAEENQCLLGMEQHNSNYQFRQQDVLDLEEGTY >LPERR02G30200.3 pep chromosome:Lperr_V1.4:2:25209648:25236681:1 gene:LPERR02G30200 transcript:LPERR02G30200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRLVVGFGAAPRAPPPPPRPLRRWTLSRRSTRLAPLTRCRCCCCSSSREGEGNACGKPHREGSNSVRLHVKANNDQETYLADEQQDGVDIWELFNKAQQNILYLNKQRLVAMEELKKLQDENESLLHDIEVLEKERQGISIGKAAPRFCELLLRIDTMAISGTVDMTEASDLRKKVIDNRYMIHSIFSDIHDKEDTELLSELRLFLHKPVEKPLHVVHICSEMDPIASCGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDGIHYLRKAEADYESYFGGRWHKNRIWTGISSGVSITLVEPVQLSYFDRDMFRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGSTRILLTCQDLNSQGLEDPKKLELCGLDPHKLQRPDRLQDNSKTDLVNVLKGGIVYSNKVLLMSSTLPRDMPIQSLGHGLEATLTTHKEKVLLASHGLDGELWDPSKDIHLPQRYSANDIEGKSFCRKALKRRLGFHSSSVVVGCISNGDSNTAGLREAVRVALHGGAQVIFMENKGSVLHSTAQTLKDELKGDGVIFIEMYDEALEHLIFAGSDIFLCSSTDPSLQIVMRAIKYGSAPVQINFPGNGSRQTEWNDSPSTALSQYVISTYGDMSLSQALDDIKNNPSQWDSRIKDGMTKVLSWDAECYDLHWKAYSPQVEREEHEHCEEHTDDDP >LPERR02G30200.4 pep chromosome:Lperr_V1.4:2:25229837:25236739:1 gene:LPERR02G30200 transcript:LPERR02G30200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLQAAAVVPSLAVALLLFLLSPATPSSAQQPASDCPDKCGNISIPYPFGIGAQCARDINFQLYCNRTYNPPRLTYFPPLPPPDSKGWQPTYAGRRLNLISISLADGEAISLLNAVRECYNTTEGFLGNNYDNFTVYMSLLGSKTYRLSTERNRFVALGCPNFGYLTDDMGYYITGCTSICRPSQWNAISPGACTGVGCCQSRIPPNVTYYEPSIQGFKDGDGRVFRENTTACRYAFVVEDKWIETTYRDRADFNRTDDFAVPVVLDWAIRDAGNCGIAMRNRTNYACRSANVGVFLTVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMHSGGGAGGFKIFSTEELEKATNNFATDRVLGRGGHGVVYKGVLDDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAMKAGRHQELMDSQVRSEMNDEMLTEIAHLLMRCLSMNGEERPTMKEVAERLEMLRRYRQHPWAEAAGTAEENQSLLSNEQQNSNYQFRQHDVLDLEEGSTYTFSFPRWSEKNMNTVRNTPMMTPEHVYVSPLGGNRSPVLHFH >LPERR02G30210.1 pep chromosome:Lperr_V1.4:2:25218492:25224090:-1 gene:LPERR02G30210 transcript:LPERR02G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHLQTIALAVLLLRLAPLTPTASAQQPPDCPAKCGDINIPYPFGIGAGCAPRLQPHPLSSTPHHVNPSATHNQPIPRRRQAIALFNTKRECYNSNEGLVSQNDPTTTSMNLTGSTAYRFSAARNRFVALGCPNLGYFIDSTGCYVSGCTSVCRPAQWNADKPGRCTGVGCCHSSIPLGIDLFEPYILGIRRDDDRRFSTFRDNTTACRYVFLVEDKWIERTYRDRVDFNRTDDFAVPVVLDWAIRNIHNCSAAKRNATDYACQSKFSECFDTRDGEGYRCRCSIGYEGNPYLDGGCKEEYPCHGVCRNSPGNYTCECPPGTRGDATVKNGCRQKDNFTLAVKIVTGVSVGVFLSVFMCFWLYLGIQKRKLITTKQNFFEQNGGVLLKQQMCFIGGTSGFRIFSTVELEKATNSFAADRVLGHGGHGVVYKGILKDNTVVAIKKAKMTEEVQTKEFAREMFILSQLNHRNVVKLLGCCLEVEVPMLVYEYVSNGTLYHYIHGKNPKADIPLDTRLRIAAESDEALSYMHSSASPPILHGDVKTANILLDDKLNTKVSDFGASKLAPTDESEIATLVQGTCGYLDPEYMITCQLTEKSDVYSFGVVLLELLTRRKALYLDRPEEQRSLVSCFTTAVKVGRLQELMDDQVRNEMSDEILKDIAQLLMLCLSMNGNERPMMKEVAESLEMLRRYRKHPQIRAEGNLEESQSLLEDYQFRQQDILDLEEGSTYTGGAGGFKIFSKEELEKATDSFAPDRVLGRGGHGVVYKGILEDKAVVAIKKSKMMEEAHTTEFAREMFILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLHHYIHGNALDAIISLDSRLRIAAESAEALSYMHSSASPPILHGDVKSANILLDDKFTAKVSDFGTSKLAPTDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVSCFIAAVREGRHGDLVDNQVRKEMTTEILQEITHLVMQCVSMSGEERPKMKEVAERLEILRRYQQHPWDKGDGNPEEKQSLLGMEQINVDHKFRYHDDYDPEDPA >LPERR02G30220.1 pep chromosome:Lperr_V1.4:2:25236693:25237841:-1 gene:LPERR02G30220 transcript:LPERR02G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRLMSLQVAVFLVLLAPVTPPVSAQKPAAHCLDKCGNISIPYPFGIGADCARDEGFQLHCDRNESTPRLLTYQFKPPQQLVNLSLADGEARVLLKPETKCIVEERSADLPPPPTSYTFVNGSTTYRYSAKKNRLVALGCPNLGYIVDGSDNYVSGCMSACRPSLDSPLPAPCTGERCCQINIPPTLNFYVPRMYNFDNLSTVDAELRGSTTPCRHVFLVEQTWIETVYNDTKDFNRLELEAMPVVLEWAIRNVYNCSAGKRKTTDYACRSANSDCFNTTDNQGYRCRCYDGYEGNPYLDGGCKDIDECQRQKEYPCFGKCTNTQGNHTCVCPPGTSGNAKQENGCRPTD >LPERR02G30230.1 pep chromosome:Lperr_V1.4:2:25258020:25258736:1 gene:LPERR02G30230 transcript:LPERR02G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMISGGGGGQDPSAADAAAAGATHHDTFFLGAAAMFSGVGSSSSGAAAAGTSATAGGGNPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLTRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKSEGSPPPPGGSGGGDTSASPQFIIT >LPERR02G30240.1 pep chromosome:Lperr_V1.4:2:25272099:25274624:-1 gene:LPERR02G30240 transcript:LPERR02G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGIAAGAAGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKYPAAAASAMAAGGRHHRGGASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKADTETDQYSSIMESDQTTDAVIAMARTIKAAGASCYSNNSSSSHDHSPPPFPSYLACSSSGGAGGHHHRYQQQLLTPSLFGGGEIPATTTSMALYSSIFDFSQHLDGGVAASTSAAGSGIDGGGDQCGLMDLGIEEHYSYNGLMPM >LPERR02G30250.1 pep chromosome:Lperr_V1.4:2:25287862:25293073:-1 gene:LPERR02G30250 transcript:LPERR02G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLMFMACCYSDPEMLIDPDTVYPIRPECRSDAPKTRFKPRPGLTLSPKRWKLLHNEEGCLDTAGMIRRVQRGGIHPNIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDATVGSGRVITMPVITEDGQPIQDPNSNGGTRPSAGEEDQASEAPLPKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILVVYSWVDMDIGYCQGMSDLCSPISILLEHEADAFWCFERLMRRVRGNFVSTSTSIGVRSQLTTLSSIMKAVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPSLFSMLESDKGTSKANTKDENALKQCGKFEQKNLQGAKQDEQIPLSVFIVASVIEARNKQLLSDAKGLDDVVKILNDITGSLDAKKACRGALKIHEKYLTTARSRDKGVEDKHISGGFLAEHRGRAAACGTS >LPERR02G30250.2 pep chromosome:Lperr_V1.4:2:25286920:25287860:-1 gene:LPERR02G30250 transcript:LPERR02G30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEPEMQIGNPTDVKHVAHIGWDNASVTAPSWMNEYKGGTGGGGEPEAGGSGGDHKAEQSAAGGGGRAEQSERPRRGARGKEGSRRPQKTEAGENCEGGGGESAAPTATKQRRRKGKASGTSSGRSKSSSGGGGGGCAPPAEQQAARPDAAAAAAEDDGGKCY >LPERR02G30260.1 pep chromosome:Lperr_V1.4:2:25293983:25294300:-1 gene:LPERR02G30260 transcript:LPERR02G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSGAGELRRAGSSVGDLYANAKWIWRRQAPPRLECWKGEARVPTPGRERARAAKAKAATGESDGLKWGARASGGFDPDRVGGTRVDCSVWSPFKTGRRPSSK >LPERR02G30270.1 pep chromosome:Lperr_V1.4:2:25295340:25299049:-1 gene:LPERR02G30270 transcript:LPERR02G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVSGGKDSCFAMMRLLRWLISFPSMMPSTSSTVTCIRREQGLKYNVTAGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTSLFDEMIRRGIVAIIVKVAALGLNPSAHLGKELAELKCHLHQMNESYGINVCGEGGEYETLTLDCPLFRDARIVLDDFEVILHSSDPIAPVGILHPLAFHLELKPNSSGTIENSTIDQESSSCLYEVDGAIVHSDLESKQETLSPVTTVDACISKTGKKLFSIGCWVQDPCGTSKGLKADLVAVLSRIENQLKEEGLGWVNVLYVHLFISSMKEFGLANEIYVSFITEQKCPLGVPSRSTVELPLVQVGLGNASVEVLVTNEQAKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELELALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEEVEHELHSSYVTNLDCSNTGSFPTILYVFASGLPKGAYVEIKPVLYVPTNDGVVATRELEAGGSWQASSKAFSAWGAQYSDLDDSFCQVHTIGGKICSAVVSVTNAIASKICSTTEQRYYLEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSIEHSVTMDVMSRAFSEAFAELEEGGVGSCTTNGPPIFNIVPVSASGCSTSMSDIISCELLASKV >LPERR02G30270.2 pep chromosome:Lperr_V1.4:2:25295340:25299049:-1 gene:LPERR02G30270 transcript:LPERR02G30270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVSGGKDSCFAMMRLLRWLISFPSMMPSTSSTVTCIRREQGLKYNVTAGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTSLFDEMIRRGIVAIIVKDARIVLDDFEVILHSSDPIAPVGILHPLAFHLELKPNSSGTIENSTIDQESSSCLYEVDGAIVHSDLESKQETLSPVTTVDACISKTGKKLFSIGCWVQDPCGTSKGLKADLVAVLSRIENQLKEEGLGWVNVLYVHLFISSMKEFGLANEIYVSFITEQKCPLGVPSRSTVELPLVQVGLGNASVEVLVTNEQAKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELELALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEEVEHELHSSYVTNLDCSNTGSFPTILYVFASGLPKGAYVEIKPVLYVPTNDGVVATRELEAGGSWQASSKAFSAWGAQYSDLDDSFCQVHTIGGKICSAVVSVTNAIASKICSTTEQRYYLEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSIEHSVTMDVMSRAFSEAFAELEEGGVGSCTTNGPPIFNIVPVSASGCSTSMSDIISCELLASKV >LPERR02G30280.1 pep chromosome:Lperr_V1.4:2:25304126:25312165:-1 gene:LPERR02G30280 transcript:LPERR02G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVSREESRRRRSRSRSLSHGRSAAAVAAGAELLRLLLGEHQESGLLLGEHHRKATSTSRSVLLRVRSPCSRLAAPGGSAASAHLHPASNVPAASTASRGCPTRDFALQHIVSKEASSEVKFELVDVDHIIWVAIKKMKRKYYSFEECMRLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLIKDRVKPFSEAEVQNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAENIKYRFPQVRGNQLADVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFKDCTFVPPPVRPKASRLPKTPPCVGIKGVSEHGMARRYSTGTLSTTKPLKAASAKSNALSKTSVQRKLQMDHQGSQKSKKPTENNNKPTTNRHPVLRHSRSLPETGRATVHKVSTITDRLYNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G30280.2 pep chromosome:Lperr_V1.4:2:25304126:25312165:-1 gene:LPERR02G30280 transcript:LPERR02G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVSREESRRRRSRSRSLSHGRSAAAVAAGAELLRLLLGEHQESGLLLGEHHRKATSTSRSVLLRVRSPCSRLAAPGGSAASAHLHPASNHIVSKEASSEVKFELVDVDHIIWVAIKKMKRKYYSFEECMRLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLIKDRVKPFSEAEVQNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAENIKYRFPQVRGNQLADVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFKDCTFVPPPVRPKASRLPKTPPCVGIKGVSEHGMARRYSTGTLSTTKPLKAASAKSNALSKTSVQRKLQMDHQGSQKSKKPTENNNKPTTNRHPVLRHSRSLPETGRATVHKVSTITDRLYNLSVTSRTRTTPKPPAPLLKAGLGKSDLLGKSDEIPPAKRLTRKLVS >LPERR02G30290.1 pep chromosome:Lperr_V1.4:2:25312896:25313213:-1 gene:LPERR02G30290 transcript:LPERR02G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPIPHFSIPNPGDDNGVSTTVAVAASAAVIAPLCVASPLFRGAIFSTYSSLFLPQIWAMATTILTAVAAVAPPRVFARPPSPRARLHASAWLLSHLSIEHSR >LPERR02G30300.1 pep chromosome:Lperr_V1.4:2:25313687:25314016:-1 gene:LPERR02G30300 transcript:LPERR02G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVSREESRLRRSLSCGRSAAAVAVGAELLRLLLGEHQESGLLLGEHHRKATSTSRSVLLRVRSPCSRLTAPGGSTASTTSRYRLCSRWWLNSGDDIESCSVSPEEAQ >LPERR02G30310.1 pep chromosome:Lperr_V1.4:2:25323187:25326474:-1 gene:LPERR02G30310 transcript:LPERR02G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAINVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTGGAAGPSMEKMEKAPGEASGRRNPSGSLNQSDNYAQRPSMSLKEIACNLTIVHGSLPQMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGSSVEPMEQQYSRTSRLFSSNNSGSRPSSTQRVNPSVGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >LPERR02G30320.1 pep chromosome:Lperr_V1.4:2:25330466:25333548:-1 gene:LPERR02G30320 transcript:LPERR02G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEDEGWRRSGIEVSALQFGYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILGGKHMVGGRDVIRVLNGSAFHDTQLVCNGELSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLHWRMHRVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEDLKSAKNLLSVVESWLRSETKLPKKEHPRLETQPRRSSPFDASPFRSSRHMAYYR >LPERR02G30340.1 pep chromosome:Lperr_V1.4:2:25336292:25340547:-1 gene:LPERR02G30340 transcript:LPERR02G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPSPRPGPPATPQAAMAMTTPLKTPTSKHRLHFPAMTPKNNGGGGGGAAATEHPVEVIGRIRNLSGGVTSASALEIAGGGTAVRVRGDGGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGASSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGASAKGNGPKARLEVMGKKAKNATYISGNEAGKISKEVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHVGTPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQCALKKKEEELAQLRLKLKLIEGQGAVAKEDEINSKVMEKTQVLRSELMKMEEKMLRQQQELLALQQRLKEAEPEKPVQQDIIGGRLLARLSEMSARPDQSMSMDMSIDFDMGDQPAVQDVKVIKEDTRQQGQIWNQTNTAAEQEDVRLSGYPEKVALSTVFEEGDEEEDKDSGAEEVCKEVVEESYIMQQPLAEPEDPATRNNRIQNIFRLCGNYRELAKHPKVQSPAKQAFGDENNDPAKQAFGYENNNHQPAKQQVFGDENKQTAEQLFGDENRDPSAWGVIEPPMCDVRVTDSPVSSQLSPIVCQVVDDAKLPVSEQLKSGDPVGAADEKKENSSSGLLDVYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEEAGSKQQQQFTFLLLGDPSGAPVSKEKEAGVPISKLPNWNNQPNSYLACLRVVKKQQPASEQMPFSPLDSKLNSALNDAHRAAMSPKVNQMSPNYIRELRA >LPERR02G30350.1 pep chromosome:Lperr_V1.4:2:25344435:25349626:1 gene:LPERR02G30350 transcript:LPERR02G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASKYAHSPAHLAVARRDHASLRRLVAGLPRLPRAGEVATEEESIAGEAVADAVSAVIDRRDVPRRETPLHLAVRLRDPVAADLLMSAGADWSLQNADGWSALQEAVCTREDTIATIIARHYQPLAWAKWCRRLPRVLASISRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARAEEAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGVELDAELDEVLTAEERKQLDSALRMGSQEEEFEEKGEEGDGGADHLDANGVAKDKKGWFGWGGKKGAKNDEKPSKAGSKDESGDLSKGKEKSSGKKKKGASSGDSNKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEADPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >LPERR02G30360.1 pep chromosome:Lperr_V1.4:2:25349908:25355031:1 gene:LPERR02G30360 transcript:LPERR02G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIKDRGKAAPAAVATRPLTPKVFPSSSTRRTPSLAAGKENSASKPSKPTSAVRWSTSSIPRASRIPSSVESSSKLVSSTLRASTAMMPGRASLGKEMEAEAGLRRSVGGGIRSAVPERARRSVSSLGGRIVSDGRRASSVPRGDEIGRRREGFDSRAKAVDETSGKREGFDARAKQMIDKRRESFAKARQIGGKRETFGVNVVKQCDEIKGKREGFDTNVTKQCDGIGGQNEVFDVKGRPGDGINKKKEVFDAKMVKEINPKKTVSSVTGSGDSSSKAAPPSATEKDGAGGNNAVIPVFTVHVLDSNVPCRVREEQKNADEPKKQEERSKLADKIRVFEKAAASGEGTSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISHAKKIVKSVVKPSDPKNAAKGMNTDELEARFFPHNKLLRDRKLSSAIQQESSTAVKEDCNTNMELSSLDPQEDGNSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAMDVSSKTSGEGSSNNPVGSNDEKEIELLATEKLEEFDEQENKSSMILQEETEELSSNQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIVNHEFKSEYKPPSVVSNDMWGDCWLIRAPGVDGCSGRYIVAASAGNALDPGFCSWDYYSREAKAFHVEEISNATSAPLSRTVLGPLPNVSSSRSSSAISSVERHQWWYRPCGPLLLSASSKQKMVTAYDIRDGDVVMKWEVSNPVHGMEYSSPLQWRSRGKVVIAGNDSIGLWDVNSLNPQPLLSAASAGKKLYCLHVNNTDAELGGGVRQRASSSEVEGNDGVFSTQESVNILDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHSHHSSITQVWGNSNIVLASCGMGLFAFDAFKEDAQQTYSFDRGATIGVREAIGSDDLYCPTFDYSSSRVLLVSKDRPAHWRYLS >LPERR02G30370.1 pep chromosome:Lperr_V1.4:2:25353952:25358832:-1 gene:LPERR02G30370 transcript:LPERR02G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKKIKVARRDDESSFAAVSVAGDLAAAMPKKEDAGGGGGFGFSILDPVRAIAARFSARSQTQAPLRHSAEDEECPDTGVLVRSADKHEREFMERADEELEKVNAFYTGQESELLARGEALLEQLRILSEVKRILADHAAARRLARTRSLPLPQSPSGGSGRYLLSGLTSPQSMSDGSVELQAARVAEGAAVAEEVMAALERNGVSFVGIAGKGKAKDGGGGGGKGMRSSALQMPATVRIDIPATSPGRAALKVWEELVNVLRKDGGGGDPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRVTDLFSEKVKRSPFSSSDKLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRPMSVASLLPLVTQPTWKSMFALISLHIFLYGCNLFMWKSTRINHNFIFDFSSNTALTHRDAYLMSASIMCTVVGALVINLFLKNAGIAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVLRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFKTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGRDINQLANAGKYVSAMIAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNKWLRNELILKNKSIYYVSMLLNLALRLAWTESVMKIHISKAESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >LPERR02G30370.2 pep chromosome:Lperr_V1.4:2:25353952:25358832:-1 gene:LPERR02G30370 transcript:LPERR02G30370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKKIKVARRDDESSFAAVSVAGDLAAAMPKKEDAGGGGGFGFSILDPEDEECPDTGVLVRSADKHEREFMERADEELEKVNAFYTGQESELLARGEALLEQLRILSEVKRILADHAAARRLARTRSLPLPQSPSGGSGRYLLSGLTSPQSMSDGSVELQAARVAEGAAVAEEVMAALERNGVSFVGIAGKGKAKDGGGGGGKGMRSSALQMPATVRIDIPATSPGRAALKVWEELVNVLRKDGGGGDPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRVTDLFSEKVKRSPFSSSDKLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRPMSVASLLPLVTQPTWKSMFALISLHIFLYGCNLFMWKSTRINHNFIFDFSSNTALTHRDAYLMSASIMCTVVGALVINLFLKNAGIAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVLRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFKTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGRDINQLANAGKYVSAMIAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNKWLRNELILKNKSIYYVSMLLNLALRLAWTESVMKIHISKAESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >LPERR02G30380.1 pep chromosome:Lperr_V1.4:2:25366104:25370563:-1 gene:LPERR02G30380 transcript:LPERR02G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSHSSSNKSIERLISRRALKMGNSAPCKTWALGFFCGICIVYLFGVALPPIHILMIRLVHPPLPRAILWNSTSAESGGATETDDLSVLQEKIETVTISENIDEAGEMHLYNAWSSLLDTTRDEVSKSSDLPRPPHLENCRLNWERNKKFDSYGVNGTFPPWTLWKGSLGLQLFNQNYSEERKKMYFRSDAKSDMPPYPPWIEGSDEENYPLTRQVQRDVWIHQHPPNCSDTSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKEKRILVTGYYNRADHNGCKGLARSSWSCYFLPETSADCRKRASDLMQSKDSWSNGIIKVKENYTSKQIWAGHIPRVWGRPWKYMQPTTEINDRLVINHRKMDRRWWIAQAVRYLMRFQSEYMCRLLNVARHSAFGMQAAKLVLENVPDTPKTSNSRAESDIERLVWSEHKPYIPRPLLSMHVRMGDKACEMELIGFEKYMELAGHLRERFPSLKNEVIDKTKLHPQWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFCPWS >LPERR02G30380.2 pep chromosome:Lperr_V1.4:2:25365916:25370563:-1 gene:LPERR02G30380 transcript:LPERR02G30380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSHSSSNKSIERLISRRALKMGNSAPCKTWALGFFCGICIVYLFGVALPPIHILMIRLVHPPLPRAILWNSTSAESGGATETDDLSVLQEKIETVTISENIDEAGEMHLYNAWSSLLDTTRDEVSKSSDLPRPPHLENCRLNWERNKKFDSYGVNGTFPPWTLWKGSLGLQLFNQNYSEERKKMYFRSDAKSDMPPYPPWIEGSDEENYPLTRQVQRDVWIHQHPPNCSDTSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKEKRILVTGYYNRADHNGCKGLARSSWSCYFLPETSADCRKRASDLMQSKDSWSNGIIKVKENYTSKQIWAGHIPRVWGRPWKYMQPTTEINDRLVINHRKMDRRWWIAQAVRYLMRFQSEYMCRLLNVARHSAFGMQAAKLVLENVPDTPKTSNSRAESDIERLVWSEHKPYIPRPLLSMHVRMGDKACEMELIGFEKYMELAGHLRERFPSLKNEVIDKTKLHPQWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFWYD >LPERR02G30390.1 pep chromosome:Lperr_V1.4:2:25384710:25386278:1 gene:LPERR02G30390 transcript:LPERR02G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAAATDDAHHDGTDAAAEKQAPAPPQPPAKVRLMVSYGGRIQPRPHDHQLSYVNGDTKILSLERPLRFADFAVKLAALAGPGCHGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPSPGSGASAAAGGGGSSRGGSTPRLRVFLFPVQSPPPPPQPSGLLEPKQEQRGWFVDALNSVHPPPPPSPPQLKQEQSPPPAAAPMPMPMLQPPVLQAQTEPDYLFGLDNGFVPPPAVKVKDPAGDPPTLKDNVPVEIPTKNDDRLTTTTTNPVSDHIVVSPVVSPGEYQRRQIQNLEKLQVADAAAAQQQQPPPPTPATAAPPPPPPTTTTTLPRNGSDDSLTRAYPPATITPTPTPEYYLPKYQEKPTAPPQSSAPATAFLPVPGRYTSVAPGSGADHGPVFFIPAPPHGYFTTAAAAPGAASFPTMYAVAPHNGNANANGNGPSAAASNAQAYAAPQVAYDSNGRAIYYTSMLPQYASAVNGVPAPATVLGADQAKPVAVKPTVS >LPERR02G30400.1 pep chromosome:Lperr_V1.4:2:25393228:25424763:1 gene:LPERR02G30400 transcript:LPERR02G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVNANMNFFCILGSRINQSTMLSNSISSSNGEKQQQLVCVTGAGGFIGSWVVKELLLRGNYRVRGTARDPGKNAHLLALEGAGERRLTLCRADVLDYGSLLAAFAGCHGVFHVASPISKDPNLVAVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVVDETCWSDPEFCRQTDIYCYAKMMAEKMAEAEAAKRGVELSVVVPCVTVGPILQPAVNLSNQLIVRYLLGAAKTYPNAVAAYVDVRDVARTHVLVYECPDAHGRYLCVGTVIHRAQLLQMLKELFPYYAVTSKCEDEGNPMVQPYKFSNKRLKDLGLEFTPLRQSLHETIMSLKRKGQLPVVGAQQRARFCITMSSISNIAINNGDDLKQQQRQLVCVTGAGGFIGSWVVRELLLRGKYLVRAAVRDPARRKNAHLLKLDGAGERLSLCRADVLDLDGLLAAFAGCHGVFHVASPISKDPNLVAVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRNPDVVVDETCWSDLEFCRQTDVCLSSLCTNITFEFRALNKHTPSQIYCYAKMMAEKTAAAEASKRGLELSVVVPCVTVGPILQPDVNLSNQLTVRYLIGAVAAYPNAVAGYVDVRDVARAHILVYERPDALGRYLCIGAVIHRAQILRMLKELFPYYPYCITMSSNSKSNNNNGDANRQRQMVCVTGAGGFIGGTARNPTDRKNAHLLALDGASERLSLCRADLLDSGSLRAAFAGCNGVFHVACPVSKHDPNLVKVAVEETRNVMNAAADMRVRRLVFTCDDEVNLMVKPYRFSSQRIKALGLEFTPLRQSLKDEIVCLQHMGHLPLVAVTQQPSTMSSINTDNSSSSSNGEKQNQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADRKNGHLLALDGANDRLSLHRADVLDYQSLRAAFAGCQGVFHVASPVSKDSNLVPVAVEGTRNVINAAADVGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCLREDIYCYAKMMAEKTAAEEASKRHMQLAVVVPCVTVGPMLQPGVNFSTHHIVRYLTGAAATYPNAVAAYVDVRDIARAHVLVYERHDARGRYLCIGTVVHRAQLLRMLKELFPNYPVTAKCDDELNPMVKPYKFSSQRIKALGLEFTPLRQSLKEAFVCLQRKGHLPLAVVTQQRASFTDHIHITMSSSIPNIAIDNGDDLQQQQQLVCVTGAGGFIGSWVVRELLLRGNYRVRAAVRDPADRKNAHLLKLDGAGERRLTLCRADVLDYASLLAAFAGCHGVFHVACPLSKHDPELMTVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDENCWSDLEFCRQKDLYCYAKTMAEMTASEEATKRGLDLAVVVPSMTMGPMLQPTLNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVAHAHVLVYERHDTRGRYLCIGEVVHRARLLQILRELFPQYPVTAKCEDKGKVMVKPYEFSSKRLRDLGLEFTPLRKSLYEAVICMQQNGHLSAVVPS >LPERR02G30400.2 pep chromosome:Lperr_V1.4:2:25393228:25424763:1 gene:LPERR02G30400 transcript:LPERR02G30400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVNANMNFFCILGSRINQSTMLSNSISSSNGEKQQQLVCVTGAGGFIGSWVVKELLLRGNYRVRGTARDPGKNAHLLALEGAGERRLTLCRADVLDYGSLLAAFAGCHGVFHVASPISKDPNLVAVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVVDETCWSDPEFCRQTDIYCYAKMMAEKMAEAEAAKRGVELSVVVPCVTVGPILQPAVNLSNQLIVRYLLGAAKTYPNAVAAYVDVRDVARTHVLVYECPDAHGRYLCVGTVIHRAQLLQMLKELFPYYAVTSKCEDEGNPMVQPYKFSNKRLKDLGLEFTPLRQSLHETIMSLKRKGQLPVVGAQQRARFCITMSSISNIAINNGDDLKQQQRQLVCVTGAGGFIGSWVVRELLLRGKYLVRAAVRDPARRKNAHLLKLDGAGERLSLCRADVLDLDGLLAAFAGCHGVFHVASPISKDPNLVAVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRNPDVVVDETCWSDLEFCRQTDVCLSSLCTNITFEFRALNKHTPSQIYCYAKMMAEKTAAAEASKRGLELSVVVPCVTVGPILQPDVNLSNQLTVRYLIGAVAAYPNAVAGYVDVRDVARAHILVYERPDALGRYLCIGAVIHRAQILRMLKELFPYYPVTSKCDDEVNLMVKPYRFSSQRIKALGLEFTPLRQSLKDEIVCLQHMGHLPLVAVTQQPSTMSSINTDNSSSSSNGEKQNQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADRKNGHLLALDGANDRLSLHRADVLDYQSLRAAFAGCQGVFHVASPVSKDSNLVPVAVEGTRNVINAAADVGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCLREDIYCYAKMMAEKTAAEEASKRHMQLAVVVPCVTVGPMLQPGVNFSTHHIVRYLTGAAATYPNAVAAYVDVRDIARAHVLVYERHDARGRYLCIGTVVHRAQLLRMLKELFPNYPVTAKCDDELNPMVKPYKFSSQRIKALGLEFTPLRQSLKEAFVCLQRKGHLPLAVVTQQRASFTDHIHITMSSSIPNIAIDNGDDLQQQQQLVCVTGAGGFIGSWVVRELLLRGNYRVRAAVRDPADRKNAHLLKLDGAGERRLTLCRADVLDYASLLAAFAGCHGVFHVACPLSKHDPELMTVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDENCWSDLEFCRQKDLYCYAKTMAEMTASEEATKRGLDLAVVVPSMTMGPMLQPTLNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVAHAHVLVYERHDTRGRYLCIGEVVHRARLLQILRELFPQYPVTAKCEDKGKVMVKPYEFSSKRLRDLGLEFTPLRKSLYEAVICMQQNGHLSAVVPS >LPERR02G30400.3 pep chromosome:Lperr_V1.4:2:25391556:25393305:1 gene:LPERR02G30400 transcript:LPERR02G30400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFDANNNNNSSSKNGNNGEKQQQQQQQMVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLELDGAGERLTLCRADVLDAGSLRAAFAGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADVGVRRVVFTSSYGAVHMNPNRNPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEITATEEAAKRGVEIAVVVPSMTMGPMLQQTLNFSSSHVAKYLMGTKKSYPNAVASYVDVRDVALAHVLVYERRDARGRYLCIGDVVHRARLLQILKELFPQYPVTAKCEDDGKPMAKPYRFSNQRIKDLGLEFTPLRKSLHEAVICMQQKGHLPLIYPMQKRAYL >LPERR02G30410.1 pep chromosome:Lperr_V1.4:2:25426364:25429809:1 gene:LPERR02G30410 transcript:LPERR02G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKGSRGPLGEPNPHQPSPATILPFSRAVKLSHSFQIGASSVVGNGTSIGEKLKEKATLLGNNVLIHGSYLWDNVIIEDGCKLSNSLTRNRRAIGYIWENVDYAIREEWIQSIAPIPKDKLEELQHAASDDNDDESEDEFNNHPTVPDQDDDSDVEGTFQQALDGIHQDNLILGINARRLSYSLQYADCAGALFHSVMRSALVAAQSTNGMYILPYLYDKEVVSEDAILRWAEEKQYADESDKNANFSSFPLNGLRKLKRKMAEEE >LPERR02G30420.1 pep chromosome:Lperr_V1.4:2:25434461:25447703:1 gene:LPERR02G30420 transcript:LPERR02G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRAAAVCREFRAAAESDAFWSRFLPLYIPLLADGELSPVLPPPPSAKGLFLRLSAAPLLFRDELMSMWLDRESGDKCYMLSARALHISWGDTPQYWEWIPLADSRFKEGARLRTVCWLEIHGKIPSKMLSLNTIYAAYLVYKLDDKSSRLDYPFQEATVSIGGSKTIHHVGIAERRLKTRYPAVVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPLRSLPVYPRLTTSRSCKEEMFLTDGLTSMWLDKETGFKCYMLSARALQIENYVANWRWISLTGGSRFSEVVELVQGYRVEICCKIPCKMLSGNSNYAAHIVFVVAEDSYELVSVWDATVSVGGRQSTRLVRLDTYNYKGEIEVPQDGSAFVPRERTDGWLELELGEFYNKEGNYEDESLEAELVFFERASRLGLRNGANDDLAEPSSIRNHVLALTTPRDACRTAAVCREFLASADSDAVWSRFLPGGDFPLLADGELEPSLSLPPPSTKGLFLRLSAAPLLLPDELKSMWLDRESGHKCYMLSARALQIYWGDTPQYWEWIPIADSRFKECAQLRTEIHAKIPSKMLSLNTNYAAYLVYKLADGSRGLDFPFQEASVSIGGSKTICQVGIAERRLKTRYPTAVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPFRSLPCSHEKPAYPRLTTSRSCMEEMFLPDGLMSMWLDKETGFKCYMLSARALNIEDYPANWCWISLTGGCRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGPILRERE >LPERR02G30420.2 pep chromosome:Lperr_V1.4:2:25434461:25447703:1 gene:LPERR02G30420 transcript:LPERR02G30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRAAAVCREFRAAAESDAFWSRFLPLYIPLLADGELSPVLPPPPSAKGLFLRLSAAPLLFRDELMSMWLDRESGDKCYMLSARALHISWGDTPQYWEWIPLADSRFKEGARLRTVCWLEIHGKIPSKMLSLNTIYAAYLVYKLDDKSSRLDYPFQEATVSIGGSKTIHHVGIAERRLKTRYPAVVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPLRSLPVYPRLTTSRSCKEEMFLTDGLTSMWLDKETGFKCYMLSARALQIENYVANWRWISLTGGSRFSEVVELVQGYRVEICCKIPCKMLSGNSNYAAHIVFVVAEDSYELVSVWDATVSVGGRQSTRLVRLDTYNYKGEIEVPQDGSAFVPRERTDGWLELELGEFYNKEGNYEDESLEAELVFFERASRLGLRNGANDDLAEPSSIRNHVLALTTPRDACRTAAVCREFLASADSDAVWSRFLPGGDFPLLADGELEPSLSLPPPSTKGLFLRLSAAPLLLPDELKVRSLLAPHPQTLAMCFWLLLVLYRFSNRIGLLLLLLLLCCCAGERAQVLHALGQGATNLLGRYAAVLGMDSYRRFKEIHAKIPSKMLSLNTNYAAYLVYKLADGSRGLDFPFQEASVSIGGSKTICQVGIAERRLKTRYPTAVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPFRSLPCSHEKPAYPRLTTSRSCMEEMFLPDGLMSMWLDKETGFKCYMLSARALNIEDYPANWCWISLTGGCRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGPILRERE >LPERR02G30420.3 pep chromosome:Lperr_V1.4:2:25434461:25445889:1 gene:LPERR02G30420 transcript:LPERR02G30420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRTAAVCREFLASADSDAVWSRFLPGGDFPLLADGELEPSLSLPPPSTKGLFLRLSAAPLLLPDELKVRSLLAPHPQTLAMCFWLLLVLYRFSNRIGLLLLLLLLCCCAGERAQVLHALGQGATNLLGRYAAVLGMDSYRRFKEIHAKIPSKMLSLNTNYAAYLVYKLADGSRGLDFPFQEASVSIGGSKTICQVGIAERRLKTRYPTAVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPFRSLPCSHEKPAYPRLTTSRSCMEEMFLPDGLMSMWLDKETGFKCYMLSARALNIEDYPANWCWISLTGGCRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGR >LPERR02G30420.4 pep chromosome:Lperr_V1.4:2:25434461:25445889:1 gene:LPERR02G30420 transcript:LPERR02G30420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRAAAVCREFRAAAESDAFWSRFLPLYIPLLADGELSPVLPPPPSAKGLFLRLSAAPLLFRDELMSMWLDRESGDKCYMLSARALHISWGDTPQYWEWIPLADSRFKEGARLRTVCWLEIHGKIPSKMLSLNTIYAAYLVYKLDDKSSRLDYPFQEATVSIGGSKTIHHVGIAERRLKTRYPAKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPFRSLPCSHEKPAYPRLTTSRSCMEEMFLPDGLMSMWLDKETGFKCYMLSARALNIEDYPANWCWISLTGGCRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGR >LPERR02G30420.5 pep chromosome:Lperr_V1.4:2:25434461:25445889:1 gene:LPERR02G30420 transcript:LPERR02G30420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRAAAVCREFRAAAESDAFWSRFLPLYIPLLADGELSPVLPPPPSAKGLFLRLSAAPLLFRDELMSMWLDRESGDKCYMLSARALHISWGDTPQYWEWIPLADSRFKEGARLRTVCWLEIHGKIPSKMLSLNTIYAAYLVYKLDDKSSRLDYPFQEATVSIGGSKTIHHVGIAERRLKTRYPAVVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPLRSLPVYPRLTTSRSCKEEMFLTDGLTSMWLDKETGFKCYMLSARALQIENYVANWRWISLTGGSRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGR >LPERR02G30420.6 pep chromosome:Lperr_V1.4:2:25434461:25445889:1 gene:LPERR02G30420 transcript:LPERR02G30420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDPAACEIARLPSELLAEVLALTSPRDACRAAADSDAVWSRFLGDFCLVTDKFSEVVELLHGDRVDICGKIPCKMHSGSSDYAAYLVFVIHMGWLWSEGMHQSASVGGRRSTRQVCLDISNYKDEVEVPQDGSVFLPQERADGWMELELGEFYNEECSNEDFVVELKGRGLLPTRGLVVQGIEIRPKKSGRSTISPPSINSHHQITRARGGASNRSKEESSIHPSMADAEEDHPAACEIARLPTELLVEVLALTTPRDACRAAAVCREFRAAAESDAFWSRFLPLYIPLLADGELSPVLPPPPSAKGLFLRLSAAPLLFRDELMSMWLDRESGDKCYMLSARALHISWGDTPQYWEWIPLADSRFKEGARLRTVCWLEIHGKIPSKMLSLNTIYAAYLVYKLDDKSSRLDYPFQEATVSIGGSKTIHHVGIAERRLKTRYPAVVVLARDVEHPQKRDDSWIELKLGELYNEEGDDGEVCISLMETKGGNWKSGLVVQGIEIRPKKTPPLRSLPVYPRLTTSRSCKEEMFLTDGLTSMWLDKETGFKCYMLSARALNIEDYPANWCWISLTGGCRFSKVVELSDGWMLDIHAKIPCKMLSGNSNYAVYIVFVVAEDSYGLDAILDASVSVGKNQFSTHQVCLDSSSCLGEDDYYYYDKIEVPQDGSVLLPQERADCWMELELGEFYNDEGDNWGEVCLSLVEPKEGRWLGKGGLVVQGIEIRPKNSGR >LPERR02G30420.7 pep chromosome:Lperr_V1.4:2:25447676:25450478:1 gene:LPERR02G30420 transcript:LPERR02G30420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEWDDAACEIARLPEELLSAAIARSSPRDACRAAAVSPAFRAAADSDAVWAAFIPRGLPPLADGELPPAPPHSKKELYLRLSDGPRLLPDKLMSIWLDRETGGKCYMISARALVIVWGDTPQYWRWIPLTDSRFAEGAELIDVCWLEIRGKIHTKMLSPNSTYTAYMVFKIADEFYGLDSPFQEASVSLGGRGSTKNVCVQSYDSDDEDGNDPVPENYWPMTMGPLLRRRARRRNRRPVPHDEAVTLPQKRADGWMELEMGEFFNEEGEDGDAHFSLTEIKRGNWKRGLIVQGIEIRLKKSG >LPERR02G30430.1 pep chromosome:Lperr_V1.4:2:25451088:25452896:-1 gene:LPERR02G30430 transcript:LPERR02G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWPMLHY >LPERR02G30440.1 pep chromosome:Lperr_V1.4:2:25454700:25460759:1 gene:LPERR02G30440 transcript:LPERR02G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDEEMAVAADDGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGASFRGEFEDSKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAQVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNVGAIKVDEYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTIMKLVVDSETDRVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSAASKPKTNL >LPERR02G30450.1 pep chromosome:Lperr_V1.4:2:25470536:25473444:1 gene:LPERR02G30450 transcript:LPERR02G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLTLLLLAHAATFLAWQAITRRRRGACYLLDYACHKPTDDRKVTTEQAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDGREECPTLADAVDEMDSFFDDAVSAVFSKSGVSPLDVDLVVINVGSFSPSPSLADRIVRRFGMRDDVMAYNLSGMGCSAGLVSVDLARNVMMTRAGNTTALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPAMRRRAKMELRCLVRAHIGAHDEAHAAAVHREDDDGRLGVSLSKSLPKAAVRAFTDNLQRLAPRILPAAELARFAARLLLRKIFLRRRSKSPKSTGVDHQNSAVSPKIDFKTGVDHFCLHPGGTAVIEAVRKSLGLDGYDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKKGDRLLMVTFGSGFKCNSCYWEVINDLADAGVWEDCIADYPPENLVNPYMEKFGWVNDLNSQGQGGAFSFF >LPERR02G30460.1 pep chromosome:Lperr_V1.4:2:25474922:25477476:-1 gene:LPERR02G30460 transcript:LPERR02G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSPFRLALVAASATLLLLLFISSCHASSREHPTVESSKTVVIQDDPKCEVMVPCTQAKCDLYCLSIGLDEQNGFCTFKPDFQFYCCCPVPGSNKTVVIQDDPKCEVMVPCTVPSCTGYCYSIGLEDPGFCTFKQDFQFYCCCPVPSSK >LPERR02G30460.2 pep chromosome:Lperr_V1.4:2:25474922:25477476:-1 gene:LPERR02G30460 transcript:LPERR02G30460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSPFRLALVAASATLLLLLFISSCHASSREHPTVERSKTVVIQDDPKCEVMVPCTVPSCTGYCYSIGLEDPGFCTFKQDFQFYCCCPVPSSK >LPERR02G30470.1 pep chromosome:Lperr_V1.4:2:25480213:25481188:-1 gene:LPERR02G30470 transcript:LPERR02G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLPAGTTPYGRPVRVVELGETHIPREVFEDYLRDISPRYTAETYRLMTHNCNNFSNEVAQFLVGAGIPDYILNLPNEVMSSPMGPLIMPMIQNLESTLRSNAAPMATQFVPTSASVSVSAPPMPPPQKKPNSAAGSSESKKQEEEKKSEAAAKVGDSLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATTMQQS >LPERR02G30480.1 pep chromosome:Lperr_V1.4:2:25482796:25488853:-1 gene:LPERR02G30480 transcript:LPERR02G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAVAAVGTAAAAAAGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGTTFGLPVSEPINMDRLCIMTMLVLESVKEVDSRGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCYHRQQCDEMEYKSEYSGWPTNLVSIEIPTIRDMEAYPSIMDTTENITSNMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSTALIHQLEKYYQSDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSLLSRQNKIVTQFILTRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTRMNVDHHEGQSLLPNMMRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKEGAQTAFRGPLRHEDCHWDYAKARCGFPEHCEYRYTFGDVHLGMSCKLKNSSTNLLQQYL >LPERR02G30490.1 pep chromosome:Lperr_V1.4:2:25489958:25493621:-1 gene:LPERR02G30490 transcript:LPERR02G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGALTRWPWHDLGNYKYALVAPWAAYRTYQFVTARSDKERDLLSFMVLPVLLLRLLYSQLWITISRHQTARSKHKIVNKSLDFDQVDRERNWDDQIILTALLFYIVNATVPLAQGVPWWNGKGLVVTVLLHVGPVEFLYYWLHRALHHHWLYSRYHSHHHASIVTEPITSVIHPFAEELVYFVLFAIPLLTSVATGTASVIMTNSYLIYIDFMNYLGHCNFELIPKCLFDVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDKSSDDLYERTLQGRDQIATRPDVVHLTHLTAPESVFHLRLGFASVASTPLSDGAASGRLLATVLRLVSAIASPFLFLFASTFRSEANRLDKLNIETWVIPRFTTHYTSKSDGHRVSRLIEKAVSDAEASGARVLTLGLLNQGYEMNRNGELYVIRKPSLKTKIVDGTSLAVAAVLHMIPQGTKDVLLLGNANKISFVLTLTLCKREIQVRMVNKEMYECLKQQLQPEMQKQLVLTCSYSSKVWLVGDGLTDEEQRKAQAGAHFVPYSQFPPNKARDDCFYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALEKWEAHECGARFTGVQKAWSAALARGFRPYDHDPGSGAYGRGGH >LPERR02G30500.1 pep chromosome:Lperr_V1.4:2:25506024:25508366:1 gene:LPERR02G30500 transcript:LPERR02G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKGGYFTLLAPVETEPPVKPDLITCNADQQDILSQLPDDILLTILDGLNIRDAARISLLSRCWRPLPTMTSQVMINVSDFVPKGTSWFTDDELVRINAAVVKATKSILAFRKSDEHTIRLLSMRFYLRNDDCISIGHTVSHAMATQKVEMVKFTILTEKDDKQCVDDDLVTYGRQFSFGLTRLELENLRFGELEIPNILKTCDRLKYLRLYNCDSGIWTLLEVEHMQLCELSIVNCRFERVKLSWLPKLTRMIFGGWLSFQDPLCFGHVPLLKSVGLSNVGLSWHKAVKLSKFLSNISTRDLILDFKSEKIWIQPEGPKALASAFHKLRFVNLVDLPEGYDHLWTMFIIEAAPFLRELYVTYGIIHVKWKQMRRRGKLEEKRKAYSYSENRSIDWDDASATSFKNHSLSTLAIFGFQSSDNLIKYIRRVMDAAVNLEDIFLYKRMVCDECTGKNTNPLRSPSNKKQRFSMRNRIAQGTRSLAMIHFPSVIRDDHNAKLLYQLSD >LPERR02G30510.1 pep chromosome:Lperr_V1.4:2:25508786:25510545:1 gene:LPERR02G30510 transcript:LPERR02G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYKVTSLKFLDHAFISQIFVQLNQYVLFAPRMIPDLGNPKPAWVHLEILVMLPVVLGSHSASRSSWRGTCCVLLLATAAFFGSVRGQYKKPLTLAKCTKPCSFFFPVPNKNKGTSSCS >LPERR02G30520.1 pep chromosome:Lperr_V1.4:2:25510135:25516874:-1 gene:LPERR02G30520 transcript:LPERR02G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDIKKALQWGLFFEEVFKNLCDNGQYDDSARELDAALLQLTSNPNFPKGIEDIRSKTLSTARELVVKHFLKTTSEENLGAVLEAVVEMDIDDISASGRDVRQEYVQSMLDANLSSLMRVKNACDVGHPTSSDKLCEESLLMGNSQIVLKELLKKLDSVLCISLAERGLNTLLKSMKRNSFYDAGSTLCTSATAQKTQIFENFILWKQWRAKCLSYLLDERTSRIMSGTNLIFNAPKEQWMGLFEQLKVSADSCLVEVMYADLHQLLQGTAQDDYQDKLLGLKEKDICEYARQSLESKPYILWLLPPVLTAAAMPPWSSLFGIYLIEIDKQFDGAASTDRKCDCRGYGIEQHQNFSSPSIKALSRLSSYNGNPSSLRMVYPKVSEQNSWSQEPLPGQFVCPTSVDLFDQQDVATFDQQIQDNIAASPNTNLAKQNEWFSSGTSLRYLESSVCAGSVLKAVDATSTTPSNYSHYHAQKNTSYSPSFNELCSSNLTSSNIAPTKPRMRWTPELHERFVDAVNKLGGKATPKAVQKVMKVESLTIYHIKSHLQKYRTVHHRPELTDGRGMETCEGLRVQIGLQKQLHEQLEVPLFLFGTGKKKEQGFVHLANIQRKLQLQVEEHSKYLAMMIAKQSESLKQLGALPRSLDAPTQVLDYQDQESCEGQTGHTDSTEQKSGK >LPERR02G30530.1 pep chromosome:Lperr_V1.4:2:25516714:25519624:1 gene:LPERR02G30530 transcript:LPERR02G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >LPERR02G30540.1 pep chromosome:Lperr_V1.4:2:25520045:25522218:1 gene:LPERR02G30540 transcript:LPERR02G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPPPSPLQLRRPPPPQSPPPIPRRHRTRLIPIAATGGGASQDPLTAISRLLWGRALPPSNLVLAVRHGWTSAWGLLMRQLAPSDPTTGAFTRTPSRFPSVVGSPSQRLHLYVGLPCPWAHRALLARALLGLDRQIPLSVAVPGEDGAWCFTPESPDKLYGKGKLREVYASRSGGFEGRASVPLLWDAERREVVCNESIEIAKFFCGLAAGDGDGDGGDGGLDLWPPELREEIDQWYSFIYPSVNNGVYRCGFAQSQEAYNVAASELFSALDRLEDHLSGSRYLCGDKLTLADVCLFTTLIRFDLVYNSLFRCTRRKLVEYPSLHAYTRDIYQMPKVADTCDMDAIMDGYFGTLFPLNPGGIQPLVPASCDREALLEPHGREALSSASAADNGSRQLEATSASN >LPERR02G30550.1 pep chromosome:Lperr_V1.4:2:25522460:25524846:-1 gene:LPERR02G30550 transcript:LPERR02G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEWALRTEKLAVDPGRDGGRRGVVVLVANGSFNPPTYMHLRMFELAKDELQQRGYSILGGYMSPVNDAYKKKDLLPAVHRIRLCELACESSSFVMVDQWEAMQKGYQRTLTVLSRIQTALYKDGLADGGGLKVMLLCGSDLLESFSTPGVWIPDQDSIISVDEIVPNQISSSRVRECIRRSLSIKYLICDEVIQYIKEQKLYKESDSSSESSRNGTICPHDKLLLQVPE >LPERR02G30550.2 pep chromosome:Lperr_V1.4:2:25523155:25524846:-1 gene:LPERR02G30550 transcript:LPERR02G30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEWALRTEKLAVDPGRDGGRRGVVVLVANGSFNPPTYMHLRMFELAKDELQQRGYSILGGYMSPVNDAYKKKDLLPAVHRIRLCELACESSSFVMVDQWEAMQKGYQRTLTVLSRIQTALYKDGLADGGGLKVMLLCGSDLLESFSTPGVWIPDQDSIISVDEIVPNQISSSRVRECIRRSLSIKYLICDEVIQYIKEQKLYKESDSSDTR >LPERR02G30560.1 pep chromosome:Lperr_V1.4:2:25524880:25525076:-1 gene:LPERR02G30560 transcript:LPERR02G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPLPVFGLPYVMSSYRRSPAAWFHYGARSGDQRGHGILGGGEELKGGVQILWELGILGG >LPERR02G30570.1 pep chromosome:Lperr_V1.4:2:25525166:25527044:1 gene:LPERR02G30570 transcript:LPERR02G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSMKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAAPRNKGAAASN >LPERR02G30570.2 pep chromosome:Lperr_V1.4:2:25525252:25527044:1 gene:LPERR02G30570 transcript:LPERR02G30570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIAANDSFLFSSRGSDMVVALVVLGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSMKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAAPRNKGAAASN >LPERR02G30580.1 pep chromosome:Lperr_V1.4:2:25527601:25528410:1 gene:LPERR02G30580 transcript:LPERR02G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADGCASPPSPSTPSSTLLEVTVISAQDLHRRFGHRRVRAFAVAWADEAHKLRTGVDLASGADPTWNDRFLFRVDDSFLRSDTAAVTVEVRSPRRILGGDAVLGVTRIVVSTFVGMSSASSATTRRRQVAALQLRRPRSLRPQGIVNVAVALLDGGGDGAAESPDAFSVKDLVARRPGSLARIAEVTDAEEAAAADDPTPATAAAAPAIDGRGSAVEQKKLEMTLEKWKADLSPEQQKGGNNCRRKHRRRSSCFGGGGGGGGAEWER >LPERR02G30590.1 pep chromosome:Lperr_V1.4:2:25529115:25531329:1 gene:LPERR02G30590 transcript:LPERR02G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQKQNHLLSHPSLPTGRPRAPPHHPHAGVASTPSPIAMANSFSSCLSTSATAALRLANLPGIMQLLFPRPVRHHHHQQRRLLLAVVSSDHAVVPVVGRTRVRAMVSEEEAAATAVEEEELQEEDVAVREEEEEEEEESGVLDEAEAAAAATNTKLYFGNLPYNCDSAALAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMTTVEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTDMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGMELEGREIRVNPALGKK >LPERR02G30600.1 pep chromosome:Lperr_V1.4:2:25530756:25536740:-1 gene:LPERR02G30600 transcript:LPERR02G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGLKQCVPWKHRQGKKRIEIRNPEPESEMEMEEEESDCEMTRLPEELLVEVLALTTPRDACRAAAVCRDFRAVTDSDAVWSLFLPPRSDLLPLAADDPPSTSSKGIFLRLSDGPLLLPHDHLRDNGAKCYMLSARKLQICRLDGNGMPQYWRWIPLDDSRFREGAKLLSACWLEIRGKIDSKLLSRNTNYAAYLVCKIADDSFGLELPFQEASVIIRGSTTTCQVAIVERMIIRRYTPVAVLAEDIEHPHKRADSWMELKLGEFYNEEGDDGEVCISFMETEEHNPKSGIVVQGIEIRPKKILPLNSLACSHENSMLTTYSFSEETVLTDGLTSMWLGRDTGIKCYMLSARALQIPDLADNWRWISLTGSSRFSEVVELLDSDDLEIIAKIPCKMLSENSNYAAYIVFVELENSCGLPSVLDTSISVGGSQFTKTQQVCFDSDVHMSGWIGTLEDEDVILAQESADGWMELDLGEFCVEEGNNEGELCISLVVMQENKWLGLGGLIIQGMEIRPRNRSIVNHLHEKAG >LPERR02G30600.2 pep chromosome:Lperr_V1.4:2:25530754:25536692:-1 gene:LPERR02G30600 transcript:LPERR02G30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGLKQCVPWKHRQGKKRIEIRNPEPESEMEMEEEESDCEMTRLPEELLVEVLALTTPRDACRAAAVCRDFRAVTDSDAVWSLFLPPRSDLLPLAADDPPSTSSKGIFLRLSDGPLLLPHDHLRDNGAKCYMLSARKLQICRLDGNGMPQYWRWIPLDDSRFREGAKLLSACWLEIRGKIDSKLLSRNTNYAAYLVCKIADDSFGLELPFQEASVIIRGSTTTCQVAIVERMIIRRYTPVAVLAEDIEHPHKRADSWMELKLGEFYNEEGDDGEVCISFMETEEHNPKSGIVVQGIEIRPKKILPLNSLACSHENSMLTTYSFSEETVLTDGLTSMWLGRDTGIKCYMLSARALQIPDLADNWRWISLTGSSRFSEVVELLDSDDLEIIAKIPCKMLSENSNYAAYIVFVELENSCGLPSVLDTSISVGGSQFTKTQQVCFDSDVHMSGWIGTLEDEDVILAQESADGWMELDLGEFCVEEGNNEGELCISLVVMQENKWLGLGGLIIQGMEIRPRNRSIVNHLHEKAG >LPERR02G30600.3 pep chromosome:Lperr_V1.4:2:25530756:25535229:-1 gene:LPERR02G30600 transcript:LPERR02G30600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEEESDCEMTRLPEELLVEVLALTTPRDACRAAAVCRDFRAVTDSDAVWSLFLPPRSDLLPLAADDPPSTSSKGIFLRLSDGPLLLPHDHLRDNGAKCYMLSARKLQICRLDGNGMPQYWRWIPLDDSRFREGAKLLSACWLEIRGKIDSKLLSRNTNYAAYLVCKIADDSFGLELPFQEASVIIRGSTTTCQVAIVERMIIRRYTPVAVLAEDIEHPHKRADSWMELKLGEFYNEEGDDGEVCISFMETEEHNPKSGIVVQGIEIRPKKILPLNSLACSHENSMLTTYSFSEETVLTDGLTSMWLGRDTGIKCYMLSARALQIPDLADNWRWISLTGSSRFSEVVELLDSDDLEIIAKIPCKMLSENSNYAAYIVFVELENSCGLPSVLDTSISVGGSQFTKTQQVCFDSDVHMSGWIGTLEDEDVILAQESADGWMELDLGEFCVEEGNNEGELCISLVVMQENKWLGLGGLIIQGMEIRPRNRSIVNHLHEKAG >LPERR02G30610.1 pep chromosome:Lperr_V1.4:2:25538168:25542450:1 gene:LPERR02G30610 transcript:LPERR02G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSTAASNLLLLHATAPHRRRIVVAASAARFDRRSAALLLLSSAAAAPPANAAGIGLFGIRKKLERAEEAAAEAVREVEEAAVEAAEVTVEAAEKGAREVAGEGMQLFAGAELAGDGLVQAGVVAGAEALGVVVGLSVPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGTVLDECGQEVGDLLLNLAKAWDVAFGKRLVSAGRRFQSMGQYGNGETKKIAETMAKIGKLLSKRPVVQSEVAAMKAKRKLKFLELEFELTAENANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANDNALQMAKSLRVSLLVLGYTSTALSVFASLGLLLLAQQINSDDKPE >LPERR02G30610.2 pep chromosome:Lperr_V1.4:2:25538168:25542450:1 gene:LPERR02G30610 transcript:LPERR02G30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSTAASNLLLLHATAPHRRRIVVAASAARFDRRSAALLLLSSAAAAPPANAAGIGLFGIRKKLERAEEAAAEAVREVEEAAVEAAEVTVEAAEKGAREVAGEGMQLFAGAELAGDGLVQAGVVAGAEALGVVVGLSVPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGTVLDECGQEVGDLLLNLAKAWDVAFGKRLVSAGRRFQSMGQYGNGETKKIAETMAKIGKLLSKRPVVQSEVAAMKAKRKLKYANDNALQMAKSLRVSLLVLGYTSTALSVFASLGLLLLAQQINSDDKPE >LPERR02G30620.1 pep chromosome:Lperr_V1.4:2:25542624:25548287:1 gene:LPERR02G30620 transcript:LPERR02G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQGQVITCKAAVAWEANKPMTIEDVQVEPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGAIVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVVLPLPFAAKNFGVTEFVNPKDHDKPIQQVLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYLNKEIKVDEYVTHSMNLSDINKAFDLLHEGGCLRCVLATDK >LPERR02G30620.2 pep chromosome:Lperr_V1.4:2:25542624:25548287:1 gene:LPERR02G30620 transcript:LPERR02G30620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQGQVITCKAAVAWEANKPMTIEDVQVEPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGAIVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYLNKEIKVDEYVTHSMNLSDINKAFDLLHEGGCLRCVLATDK >LPERR02G30630.1 pep chromosome:Lperr_V1.4:2:25546700:25548835:-1 gene:LPERR02G30630 transcript:LPERR02G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSTSNSLVLSTDAGEKSPKRKPPPKRFVHTPIPSSILTDPTLAAAATDLLPAAYNFELPKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLAPFLDPDPSNDVLVLADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAHRLADAVRAAFPDPAAAPRLAIAGTVQFISAVHAAREMLTRDGYRDIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVREEYDHVGMKQSRKEAVLAARKAKSWGVILGTLGRQGSTKVLDRVVEHLEEKGLEHTIVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPMLTTFEFDVALGYVPGWWENGCRECGNGDGTGCCSGSGTSTDCGCRNGGCADQDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRMGAGNNVQVGEK >LPERR02G30640.1 pep chromosome:Lperr_V1.4:2:25549079:25556083:1 gene:LPERR02G30640 transcript:LPERR02G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSLVSWNLKNSRVCGKSGSQGTLTGVGLSIGYPMALNGSPSGLAVMSAAPEGPAEKSGILPGDIILAIDDRSTEDMDIYDAAERLQGPEGTSVDLAIRSGSNTRHVVLKRQKVTLNPVRSRMCEIPGAKENSKVGYIKLTTFNQNAAGSVKEAIKKLRENDVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGVATVAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVTPDRPLPASFPTDEDGFCSCLRDSSSACNLNAAQLFTR >LPERR02G30640.2 pep chromosome:Lperr_V1.4:2:25549079:25553886:1 gene:LPERR02G30640 transcript:LPERR02G30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSSSRPLFVPAVAASPSPALGRRGAPLVRLHTVAVVSIWEPHRHLRAHMRLCCKAARRSSSGLTTEHPIYALPRAIKKMLSTYPSLLISLSGNLARGYKEAKPFLSIGFGRVVLGMVLVMSVSVATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFKYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGSQGTLTGVGLSIGYPMALNGSPSGLAVMSAAPEGPAEKSGILPGDIILAIDDRSTEDMDIYDAAERLQGPEGTSVDLAIRSGSNTRHVVLKRQKVTLNPVRSRMCEIPGAKENSKVGYIKLTTFNQNAAGSVKEAIKKLRENDVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGVATVAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVTPDRPLPASFPTDEDGFCSCLRDSSSACNLNAAQLFTR >LPERR02G30650.1 pep chromosome:Lperr_V1.4:2:25553404:25556350:-1 gene:LPERR02G30650 transcript:LPERR02G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGEVVTPGELLGNSLSLLAGRGAYADGRSVRASVTGHRRFVPPPPGSTDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIVCVDSKAVKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVRALLSLGDARAYYLSTAQNELGVVSAQSITGGTLVPISWTEMQCELTGQIEQRKVAKNHDVLQQQCVDQRCWFLMRASISRDAQAHVATG >LPERR02G30660.1 pep chromosome:Lperr_V1.4:2:25558490:25565116:-1 gene:LPERR02G30660 transcript:LPERR02G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAGVGVGGGGLFSFVMVLLLHAVSLLHAMTPPSPAPHPSGTPHTSLFGPQISPAFSPRAMSPESTGISVHKHHRRPYHAHSPSPSPLPQGCTDNCSEPMTTTPIGSPCGCVLPISVIIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTTVTIYLVPLREKFDTQSASLINEGFWNNKIQINSSIFGDYEVINVTYPGLRPPPPSLPETSHGSDPTGSGEDPITANVHHGKNKKLDSWIIVVIAGSSLTLIATCIGVVILLVKWFKLRQLHEAVSPSTTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKKFSLAQLEKATDCFDSKRILGQGGFGRVYHGIMDNGDNIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIENNKRCLVYELIRNGSVESHLHGADKAKDMLNWDVRIKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREATNGILPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDANGPQNLVTWARPLLCHKEGLERLIDPSLNGKFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDVEEACDDSYSHRDSSYDQDDEYHGALALDDGSGSWWNRSSNPSGVFDNQNPLPVITMEYSSGRIEGVHDHRYALSTGAHVQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >LPERR02G30670.1 pep chromosome:Lperr_V1.4:2:25571715:25574693:1 gene:LPERR02G30670 transcript:LPERR02G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGQGSSSAYVEVEFEHQKRRTRARPKELNPVWNERLVFSVSDPDDLPYRAIDVGVYNDRAAAPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRTNSGEVVVKSSKQEKPVKAVVSGPEVVAAPPVNGGKKQQHQQPVVAVQPPPAPPQQQNQQPASQAPMDMMPPPAPAMMKPVMLADPYNPAASGVFSSGPGDFSLKETRPRLGGGAAADKASATYDLVEQMQYLYVRVVRARGVAAAVGETVAEVKLGNYRGVTAPAAAAAAHQWDQVFAFSKETIQSSFVEVFVRARGAGGGNDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVSVSSIERRWDEKLVVSRWFGLDRGAGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRPRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATLLFSIVCVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >LPERR02G30680.1 pep chromosome:Lperr_V1.4:2:25580030:25582655:-1 gene:LPERR02G30680 transcript:LPERR02G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRLLLPHRLLAVAGAGAGAALPGRLSCRRTPASTMSSSAAARHPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGAVDILHCIESWGPDEQRRAYEVIARFEKDGLDRLQIMPGASQLCGFLDSKQIRRGLITRNVKTAVDLFHQRFGMIFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPHESLSEDVRPDFKVSSLSEVLSVLEDHFNLAPVVSESRI >LPERR02G30690.1 pep chromosome:Lperr_V1.4:2:25587612:25589610:-1 gene:LPERR02G30690 transcript:LPERR02G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWHVLLLSVAVICLGFFPAATHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISEHLGAEPVLPYLSPELDGEKLLVGANFASAGIGILNDTGIQFANIIHIEKQIRYFEQYQDRLRKVIGDEEAKRLVAESLVLITVGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQVLMHIYQLGARRVLVTGVGPIGCVPAELALHSLDGTCDTELQRAADAYNPQLVEMLAELNREVAGDVFIGVNTKRMHDDFIDDPTAHGFATSTEACCGQGRFNGMGLCTLVSTLCADRDAYVFWDAFHPTERANRLIVQQFMEGSLDYITPMNLSTILKVDQELAAAQARLRN >LPERR02G30700.1 pep chromosome:Lperr_V1.4:2:25600351:25611133:1 gene:LPERR02G30700 transcript:LPERR02G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLRELTPAAGEELSDAGAAALAECCGGLLGGGDAEAACSALDALCAARGEAMRRHAEELAPLVVGRLGDVDPAVREAARRFLVQLMEMEEINARIGNREKNPCISDVQDNRCMTIEIEPTDANQARKSSKVKIITRDASLLAGDGDTTRNLVGPIKVFSEKDLAREIEKIASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTALITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPRIIEFAKNDRSAVLRARCCEYAILMLELWVDTPEIQKSVDLYEEFIKCCIEDATSEVRSSARACYRIFSRIWPERSQQLFSSFESSRQKMINGEEAETHQRHLSPVERVKLMQSQSSSCNSAAMDKVVKVDSGTSFSSVDLQSVQVKASFQHDDMNSKVQAEGKTDGNSITGSSFKDTSMLEKETSPDKVPDSNKCDSGVNLSACDPPSATQFALEPTSELSTSDATVVTIVQDKAECRPNTDHMTRHPSDQLNLSPSVSLKESGNLLKQNPTQLNSDGSSGEKPGAQKAKHRVNTSSKSVVPKDARNNYTPNFRRPLLSKQMTNWFYASTKSDLVDKQLILSEMVSNMDVPSSLTEALSLGLNPRSDWMMRVYAFNFLRQSLLEQGPRGIQDVAQNFDKVMRLVSRYLDDPHHKIAHAALSTLSEIMPIFKKPFEHYLDKTLPHVFSQLNHPKESIKQQCSAILKLSGEIYSIDSLLPALLRTLEEQKSPRSKLAIFEFANASFVKCTLSSDSYSTSSFLKPWLEKLAILFKDKNSKLKEVSIAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVALFDSFAAADQPYAKGSVIKQNNHHLHVTYQSECLQPDDVFNSALHCLPRLSLEVHERRTGKTESGCSDESNGHDAEMMNKKSSDTRDGLPRIFDYNVISDNTVESTRKEGTGIKRLEESNVSELNMTVRNKNVVLNNCQEHMPSISKTYHQDAMSQMEEVQEINGPSVKNLHQVSSSLLEMLDDPEESTRELALSLLVEILEKQVVNQAHICLTTVVTQFDPLICLRAIASQLSCQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >LPERR02G30700.2 pep chromosome:Lperr_V1.4:2:25600351:25610129:1 gene:LPERR02G30700 transcript:LPERR02G30700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLRELTPAAGEELSDAGAAALAECCGGLLGGGDAEAACSALDALCAARGEAMRRHAEELAPLVVGRLGDVDPAVREAARRFLVQLMEMEEINARIGNREKNPCISDVQDNRCMTIEIEPTDANQARKSSKVKIITRDASLLAGDGDTTRNLVGPIKVFSEKDLAREIEKIASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTALITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPRIIEFAKNDRSAVLRARCCEYAILMLELWVDTPEIQKSVDLYEEFIKCCIEDATSEVRSSARACYRIFSRIWPERSQQLFSSFESSRQKMINGEEAETHQRHLSPVERVKLMQSQSSSCNSAAMDKVVKVDSGTSFSSVDLQSVQVKASFQHDDMNSKVQAEGKTDGNSITGSSFKDTSMLEKETSPDKVPDSNKCDSGVNLSACDPPSATQFALEPTSELSTSDATVVTIVQDKAECRPNTDHMTRHPSDQLNLSPSVSLKESGNLLKQNPTQLNSDGSSGEKPGAQKAKHRVNTSSKSVVPKDARNNYTPNFRRPLLSKQMTNWFYASTKSDLVDKQLILSEMVSNMDVPSSLTEALSLGLNPRSDWMMRVYAFNFLRQSLLEQGPRGIQDVAQNFDKVMRLVSRYLDDPHHKIAHAALSTLSEIMPIFKKPFEHYLDKTLPHVFSQLNHPKESIKQQCSAILKLSGEIYSIDSLLPALLRTLEEQKSPRSKLAIFEFANASFVKCTLSSDSYSTSSFLKPWLEKLAILFKDKNSKLKEVSIAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVALFDSFAAADQPYAKGSVIKQNNHHLHVTYQSECLQPDDVFNSALHCLPRLSLEVHERRTGKTESGCSDESNGHDAEMMNKKSSDTRDGLPRIFDYNVISDNTVESTRKEGTGIKRLEESNVSELNMTVRNKNVVLNNCQEHMPSISKTYHQDAMSQMEEVQEINGPSVKNLHQVSSSLLEMLDDPEESTRELALSLLVEILEKQVVNQAHICLTTVVTQFDPLICLRAIASQLSCQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKHNAHHPGLPLQAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >LPERR02G30710.1 pep chromosome:Lperr_V1.4:2:25613042:25617974:1 gene:LPERR02G30710 transcript:LPERR02G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKQKKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGSFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGDMAVKMLMEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSNKEYRSGSFNENSRSLRPKKESKGHQNQKVGTHIEPCSGFSDQTLRMNSSRNKYQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLISNNNYEFQPVTPPSETKQITILKPSDSIKRNGSTLVGRQLYLDGDESEGNRCRRHQSSNVSPANSTFSEPTRIVVLKPGLAKSHEPKILRSPSSSSTAADSEDDSMLAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENTKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRILERLSMVSSNVNGEEEREARRSIGTLGDMLTIPEVKKDQEVFGGVTLENPIPEMDSEEPFLCLPRSRSVPISLSFGGIEPNVVATGSQEADKEKNKKSLSFREKVSSLFSKNKKVARGKLDPSGIPSTNDSLKHQNTAAVSDFNENIDHSALDNPLNCTLQNADEISMPRLMSSSWHMNYMENMPAKDISSIPVIGAPGIFGEPQDQPSPVSVLDGPFLSDNRTLVCSSESFIAASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLASPLELDFLEKFSDYQEEGTKLREKRSKEKFLFDAVNEALTELTWTTELMTYPWGRSSSLERKDCESAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVELILKREVVEAASGDMTRLEAFELTSTVCAMVLEDLIADAAVDLSA >LPERR02G30710.2 pep chromosome:Lperr_V1.4:2:25611891:25617974:1 gene:LPERR02G30710 transcript:LPERR02G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKQKKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGSFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGDMAVKMLMEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSNKEYRSGSFNENSRSLRPKKESKGHQNQKVGTHIEPCSGFSDQTLRMNSSRNKYQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLISNNNYEFQPVTPPSETKQITILKPSDSIKRNGSTLVGRQLYLDGDESEGNRCRRHQSSNVSPANSTFSEPTRIVVLKPGLAKSHEPKILRSPSSSSTAADSEDDSMLAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENTKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRILERLSMVSSNVNGEEEREARRSIGTLGDMLTIPEVKKDQEVFGGVTLENPIPEMDSEEPFLCLPRSRSVPISLSFGGIEPNVVATGSQEADKEKNKKSLSFREKVSSLFSKNKKVARGKLDPSGIPSTNDSLKHQNTAAVSDFNENIDHSALDNPLNCTLQNADEISMPRLMSSSWHMNYMENMPAKDISSIPVIGAPGIFGEPQDQPSPVSVLDGPFLSDNRTLVCSSESFIAASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLASPLELDFLEKFSDYQEEGTKLREKRSKEKFLFDAVNEALTELTWTTELMTYPWGRSSSLERKDCESAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVELILKREVVEAASGDMTRLEAFELTSTVCAMVLEDLIADAAVDLSA >LPERR02G30710.3 pep chromosome:Lperr_V1.4:2:25613322:25617974:1 gene:LPERR02G30710 transcript:LPERR02G30710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKQKKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGSFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGDMAVKMLMEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSNKEYRSGSFNENSRSLRPKKESKGHQNQKVGTHIEPCSGFSDQTLRMNSSRNKYQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLISNNNYEFQPVTPPSETKQITILKPSDSIKRNGSTLVGRQLYLDGDESEGNRCRRHQSSNVSPANSTFSEPTRIVVLKPGLAKSHEPKILRSPSSSSTAADSEDDSMLAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENTKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRILERLSMVSSNVNGEEEREARRSIGTLGDMLTIPEVKKDQEVFGGVTLENPIPEMDSEEPFLCLPRSRSVPISLSFGGIEPNVVATGSQEADKEKNKKSLSFREKVSSLFSKNKKVARGKLDPSGIPSTNDSLKHQNTAAVSDFNENIDHSALDNPLNCTLQNADEISMPRLMSSSWHMNYMENMPAKDISSIPVIGAPGIFGEPQDQPSPVSVLDGPFLSDNRTLVCSSESFIAASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLASPLELDFLEKFSDYQEEGTKLREKRSKEKFLFDAVNEALTELTWTTELMTYPWGRSSSLERKDCESAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVELILKREVVEAASGDMTRLEAFELTSTVCAMVLEDLIADAAVDLSA >LPERR02G30710.4 pep chromosome:Lperr_V1.4:2:25613830:25617974:1 gene:LPERR02G30710 transcript:LPERR02G30710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKQKKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGSFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGDMAVKMLMEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSNKEYRSGSFNENSRSLRPKKESKGHQNQKVGTHIEPCSGFSDQTLRMNSSRNKYQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLISNNNYEFQPVTPPSETKQITILKPSDSIKRNGSTLVGRQLYLDGDESEGNRCRRHQSSNVSPANSTFSEPTRIVVLKPGLAKSHEPKILRSPSSSSTAADSEDDSMLAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENTKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRILERLSMVSSNVNGEEEREARRSIGTLGDMLTIPEVKKDQEVFGGVTLENPIPEMDSEEPFLCLPRSRSVPISLSFGGIEPNVVATGSQEADKEKNKKSLSFREKVSSLFSKNKKVARGKLDPSGIPSTNDSLKHQNTAAVSDFNENIDHSALDNPLNCTLQNADEISMPRLMSSSWHMNYMENMPAKDISSIPVIGAPGIFGEPQDQPSPVSVLDGPFLSDNRTLVCSSESFIAASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLASPLELDFLEKFSDYQEEGTKLREKRSKEKFLFDAVNEALTELTWTTELMTYPWGRSSSLERKDCESAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVELILKREVVEAASGDMTRLEAFELTSTVCAMVLEDLIADAAVDLSA >LPERR02G30720.1 pep chromosome:Lperr_V1.4:2:25623420:25629957:1 gene:LPERR02G30720 transcript:LPERR02G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASCSSSSICLRTRWISFDTSPNRCNSSIHPFGARQNQMTITRSNTIIYSYQVERNSSILRWRRCHWVSSSTSSEAPGLKRRRVWAPWRRQGRVRRGGAGGVARTGAATRDPRDGRSRRTAAGAAPPPTTTTMQPPRPPWRRKEEAKEGKLLLLLRRRPRGEGEKLPQRTATIFSSGAVQWSSSSTLYWYGIQVLCVVGKKEEFFYLACDSRVRLVVEDASTREAGSRSWTGRVECDMAG >LPERR02G30730.1 pep chromosome:Lperr_V1.4:2:25623433:25629650:-1 gene:LPERR02G30730 transcript:LPERR02G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAASSSSSAAAPHLLQCGGFGRLAGLASQLPFGRHRRRRLAGRVLAVATEPKPSSASAPAPRSRSRRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVSSVENNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINDKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGMRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTGWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRTALRYTIYGKSGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEMGAQPSTSLVPAFPMAMSQPEQPIKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRIILNNVEKVVKFLTAGNANPTTIDGDINIAYLVQELLPVLPSISSKILPEVMSRLSQRGSAPFSFMSAVLAASFSTSFSYDRSSLTILLHVDVLIFRRRRKLERAEEERGGADRRGKRREEFVGGGGGCG >LPERR02G30730.2 pep chromosome:Lperr_V1.4:2:25625636:25629650:-1 gene:LPERR02G30730 transcript:LPERR02G30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAASSSSSAAAPHLLQCGGFGRLAGLASQLPFGRHRRRRLAGRVLAVATEPKPSSASAPAPRSRSRRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVSSVENNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINDKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGMRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTGWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRTALRYTIYGKSGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEMGAQPSTSLVPAFPMAMSQPEQPIKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRIILNNVEKVVKFLTAGNANPTTIDGDINIAYLVQELLPVLPSISSKILPEVMSRLSQRVFARLIREAFL >LPERR02G30740.1 pep chromosome:Lperr_V1.4:2:25630984:25632755:-1 gene:LPERR02G30740 transcript:LPERR02G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAPWRAGASASRISYKNATIAVCAINILATALLLRNYFSSWPRIAGGGDRFDSAQLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMTTQEDAKQTAAVDLSKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSGAKTR >LPERR02G30750.1 pep chromosome:Lperr_V1.4:2:25632987:25636999:1 gene:LPERR02G30750 transcript:LPERR02G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAWRRHLLEGGHLSPTAAAAISAFRSASQPALAPQGLGGGGADGARYMSARAPAVKGAGHLVRKGTGGRSSVSGIIATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVNSIKAVMAKSNVVINLIVKFHPDYVNSISTGREYETRNYGFEEVNHHMAEQLAMISKEHGGIMRFIQVSGLGASASSPSRMLRAKAAGEESVLKEFPEVATIVRPATMIGTEDRILNRWAQFAKNWGFLPLVGDGSTKIQPVYVVDVAAAIVNSLKDNGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYINLPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSEDNLVSENALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >LPERR02G30750.2 pep chromosome:Lperr_V1.4:2:25632987:25636999:1 gene:LPERR02G30750 transcript:LPERR02G30750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAWRRHLLEGGHLSPTAAAAISAFRSASQPALAPQGLGGGGADGARYMSARAPAVKGAGHLVRKGTGGRSSVSGIIATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVNSIKAVMAKSNVVINLIGREYETRNYGFEEVNHHMAEQLAMISKEHGGIMRFIQVSGLGASASSPSRMLRAKAAGEESVLKEFPEVATIVRPATMIGTEDRILNRWAQFAKNWGFLPLVGDGSTKIQPVYVVDVAAAIVNSLKDNGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYINLPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSEDNLVSENALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >LPERR02G30760.1 pep chromosome:Lperr_V1.4:2:25642331:25656864:1 gene:LPERR02G30760 transcript:LPERR02G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATVAVGSQVWIEDPDVAWIDGEVIKVHGDTVTVKCSDEKTVTVKVTTVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLHEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCHKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINFSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVNYQTELFLEKNKDYVVAEHQALLSASKCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLQRSAVQLQTICRGELARRIYQNLRREAASLKIQTSYRMHAARKAYNELFTSAVTIQSALRGMVARKELHFRQQTKAAISIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKERETAKKAAEVAPVIKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDYTEKKYEETNKISEERLKKAMDAESKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVAKNLENGYHEVEEPKKSLKAAGAPGSVSRKKPPQPTSLFGRMAQGFRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHYAAASWDELKHIRQAVGFLVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQDKDFSDVKPAEELLENPAFQFLQD >LPERR02G30770.1 pep chromosome:Lperr_V1.4:2:25657787:25662601:1 gene:LPERR02G30770 transcript:LPERR02G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYAADGRTTLESPGASSSVPVSSLAPKHEVAADNNMLHGDNFSTSTKQQDVFDSMQEVVKEESPKQSATGSGKERADLKSRESLSQKEQPEICSISTTIGEGCENKLECTDDTNNQSLVSSSAHADRTTNQAEDSKASASVGVNSHQKLNNTAKPSQSKSRFSHLLTFRRRVKSKAGLEGPAAASYSGDNDKHCSTLTCNPPSSPLDSIPLFKQTGGSSFDVEDKVIIAGTSNGQSVIAEHLLEQKSTHIPKLPVHHMVPLQPAEDSNQNSTPEDDAPVSGFTSVQEISELDARVEDSNKTSADATEVPKVIEVKGDGHGNGQTSSLQSPRKMIDVNLSKPTNRIDAADLLESQGSTKNVPIIVLDDDTDERGKEHEKSEVLDQLVQEKNKSRLSLGQINLNLSCVELTQERLLSLDETSVYKLQDQDQYVHERKQMPHPVERLFFTKEKETMHGKQHHEGTSTMHNSYSNFFDPAPSSSSWNAGKLKETSSMPSELKFRILDKAPEFNLDLGLDSFLESSVSARRHDKLFRGGTSSGSHFLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGTSNWNAMLRDRRLRFLNSRNAEDLAKQWDKEQRNLLGVDLLQSIRSSARGPPPPSHIPEDYVASSSWSGCSKSPFLSAPTDLSLGDMYLQNARTSERGHHLSNLGMLNLHATDNGPRNLSLGGFPVASSPYGRNSSRSRRASKLPKSYYDNKAVWCQDPSERVPPFLPMNPEPINNLPEWLTKDAEMAGKSRLDAELWPSMQAAGHSAAVQLNDMKPHVLPDESLKRPTKRKAEWCGFSKKLFQTGDVALDLNQRAAAMAGPLGAPGTSDTGASSEETVSDS >LPERR02G30770.2 pep chromosome:Lperr_V1.4:2:25657431:25662601:1 gene:LPERR02G30770 transcript:LPERR02G30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYAADGRTTLESPGASSSVPVSSLAPKHEVAADNNMLHGDNFSTSTKQQDVFDSMQEVVKEESPKQSATGSGKERADLKSRESLSQKEQPEICSISTTIGEGCENKLECTDDTNNQSLVSSSAHADRTTNQAEDSKASASVGVNSHQKLNNTAKPSQSKSRFSHLLTFRRRVKSKAGLEGPAAASYSGDNDKHCSTLTCNPPSSPLDSIPLFKQTGGSSFDVEDKVIIAGTSNGQSVIAEHLLEQKSTHIPKLPVHHMVPLQPAEDSNQNSTPEDDAPVSGFTSVQEISELDARVEDSNKTSADATEVPKVIEVKGDGHGNGQTSSLQSPRKMIDVNLSKPTNRIDAADLLESQGSTKNVPIIVLDDDTDERGKEHEKSEVLDQLVQEKNKSRLSLGQINLNLSCVELTQERLLSLDETSVYKLQDQDQYVHERKQMPHPVERLFFTKEKETMHGKQHHEGTSTMHNSYSNFFDPAPSSSSWNAGKLKETSSMPSELKFRILDKAPEFNLDLGLDSFLESSVSARRHDKLFRGGTSSGSHFLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGTSNWNAMLRDRRLRFLNSRNAEDLAKQWDKEQRNLLGVDLLQSIRSSARGPPPPSHIPEDYVASSSWSGCSKSPFLSAPTDLSLGDMYLQNARTSERGHHLSNLGMLNLHATDNGPRNLSLGGFPVASSPYGRNSSRSRRASKLPKSYYDNKAVWCQDPSERVPPFLPMNPEPINNLPEWLTKDAEMAGKSRLDAELWPSMQAAGHSAAVQLNDMKPHVLPDESLKRPTKRKAEWCGFSKKLFQTGDVALDLNQRAAAMAGPLGAPGTSDTGASSEETVSDS >LPERR02G30770.3 pep chromosome:Lperr_V1.4:2:25657787:25662785:1 gene:LPERR02G30770 transcript:LPERR02G30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYAADGRTTLESPGASSSVPVSSLAPKHEVAADNNMLHGDNFSTSTKQQDVFDSMQEVVKEESPKQSATGSGKERADLKSRESLSQKEQPEICSISTTIGEGCENKLECTDDTNNQSLVSSSAHADRTTNQAEDSKASASVGVNSHQKLNNTAKPSQSKSRFSHLLTFRRRVKSKAGLEGPAAASYSGDNDKHCSTLTCNPPSSPLDSIPLFKQTGGSSFDVEDKVIIAGTSNGQSVIAEHLLEQKSTHIPKLPVHHMVPLQPAEDSNQNSTPEDDAPVSGFTSVQEISELDARVEDSNKTSADATEVPKVIEVKGDGHGNGQTSSLQSPRKMIDVNLSKPTNRIDAADLLESQGSTKNVPIIVLDDDTDERGKEHEKSEVLDQLVQEKNKSRLSLGQINLNLSCVELTQERLLSLDETSVYKLQDQDQYVHERKQMPHPVERLFFTKEKETMHGKQHHEGTSTMHNSYSNFFDPAPSSSSWNAGKLKETSSMPSELKFRILDKAPEFNLDLGLDSFLESSVSARRHDKLFRGGTSSGSHFLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGTSNWNAMLRDRRLRFLNSRNAEDLAKQWDKEQRNLLGVDLLQSIRSSARGPPPPSHIPEDYVASSSWSGCSKSPFLSAPTDLSLGDMYLQNARTSERGHHLSNLGMLNLHATDNGPRNLSLGGFPVASSPYGRNSSRSRRASKLPKSYYDNKAVWCQDPSERVPPFLPMNPEPINNLPEWLTKDAEMAGKSRLDAELWPSMQAAGHSAAVQLNDMKPHVLPDESLKRPTKRKAEWCGFSKKLFQTGDVALDLNQRAAAMAGPLGAPGTSDTGASSEETVSDS >LPERR02G30770.4 pep chromosome:Lperr_V1.4:2:25657431:25662785:1 gene:LPERR02G30770 transcript:LPERR02G30770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYAADGRTTLESPGASSSVPVSSLAPKHEVAADNNMLHGDNFSTSTKQQDVFDSMQEVVKEESPKQSATGSGKERADLKSRESLSQKEQPEICSISTTIGEGCENKLECTDDTNNQSLVSSSAHADRTTNQAEDSKASASVGVNSHQKLNNTAKPSQSKSRFSHLLTFRRRVKSKAGLEGPAAASYSGDNDKHCSTLTCNPPSSPLDSIPLFKQTGGSSFDVEDKVIIAGTSNGQSVIAEHLLEQKSTHIPKLPVHHMVPLQPAEDSNQNSTPEDDAPVSGFTSVQEISELDARVEDSNKTSADATEVPKVIEVKGDGHGNGQTSSLQSPRKMIDVNLSKPTNRIDAADLLESQGSTKNVPIIVLDDDTDERGKEHEKSEVLDQLVQEKNKSRLSLGQINLNLSCVELTQERLLSLDETSVYKLQDQDQYVHERKQMPHPVERLFFTKEKETMHGKQHHEGTSTMHNSYSNFFDPAPSSSSWNAGKLKETSSMPSELKFRILDKAPEFNLDLGLDSFLESSVSARRHDKLFRGGTSSGSHFLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGTSNWNAMLRDRRLRFLNSRNAEDLAKQWDKEQRNLLGVDLLQSIRSSARGPPPPSHIPEDYVASSSWSGCSKSPFLSAPTDLSLGDMYLQNARTSERGHHLSNLGMLNLHATDNGPRNLSLGGFPVASSPYGRNSSRSRRASKLPKSYYDNKAVWCQDPSERVPPFLPMNPEPINNLPEWLTKDAEMAGKSRLDAELWPSMQAAGHSAAVQLNDMKPHVLPDESLKRPTKRKAEWCGFSKKLFQTGDVALDLNQRAAAMAGPLGAPGTSDTGASSEETVSDS >LPERR02G30780.1 pep chromosome:Lperr_V1.4:2:25667338:25668779:1 gene:LPERR02G30780 transcript:LPERR02G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEINLLHREGGRGGGGRGQLWRCRLLGSLAGIGRPRRSRCVVCLQVQHVTGMPAAAEGRRVVVGWRSKGGGGGGAEGEHTSPARVSRGTAAFDEVFLNYFSAGGATLRGFAVWAALVADSPSIAGGDLGCFPVDLTEIATAERESSSSNPIRFGGKALSFPLSGAAAGAVLTVSVYCRVMDHDETHAASAAAAAANGHARGGRAERRKNKGKGSYYASCLPDLSCLRNHRPSPSPAAAGSSARRAASLRSDRGGGGFITIENSNSAAETAGFGPHRRVADEDDADEGFITMEKGTISSSRSRSRSHRPPLGSDDDEDEKPCLLMELEPEAAEAAAVAAFEVEEEFLAMLEDKYWARSKEIEKGLGMSLDVGMDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYNDLLRRWSARDAAAGCWPAAAFAFGSPI >LPERR02G30790.1 pep chromosome:Lperr_V1.4:2:25670383:25675512:-1 gene:LPERR02G30790 transcript:LPERR02G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARCNCKYFGSRFASKFRPFPPPPPYHPTALHLPSPPLRQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSTNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRGSQASSSTDWKFGEQIAQLDMSSMWAFGVKWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEALGKLYGQSKQAAGSDTVEPSKPRGGAHENCITCIVPLRKGNDSIVKRFSTSGLDGKIVVWDSENHIDIAK >LPERR02G30800.1 pep chromosome:Lperr_V1.4:2:25677850:25681795:1 gene:LPERR02G30800 transcript:LPERR02G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRADVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDHGMAFYWGTSEWSAQQITEAWSVANRLDMVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYTKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVIDKIEQVVQSKPKRTESYR >LPERR02G30810.1 pep chromosome:Lperr_V1.4:2:25682563:25684948:-1 gene:LPERR02G30810 transcript:LPERR02G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAAAAEEEVEADEEVEESSAGAGDEEEEEELELGLSLGSKKHQQQQMTPSPKRAKAEEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSEADTKKTATNESEMHKDKEESEKKVRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKSSEIPGYSQDSCFNKRQSLRACNEMKSYAAGEA >LPERR02G30810.2 pep chromosome:Lperr_V1.4:2:25682563:25684948:-1 gene:LPERR02G30810 transcript:LPERR02G30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAAAAEEEVEADEEVEESSAGAGDEEEEEELELGLSLGSKKHQQQQMTPSPCRILTARDLRPAAAAAAAAALSPDSSVSSSSPAAAAAASKRAKAEEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSEADTKKTATNESEMHKDKEESEKKVRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKSSEIPGYSQDSCFNKRQSLRACNEMKSYAAGEA >LPERR02G30820.1 pep chromosome:Lperr_V1.4:2:25688250:25691554:-1 gene:LPERR02G30820 transcript:LPERR02G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSSSNQPLLSASECAAGDSAAYHRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTTVLKAVLDNTRINPGEIGDIVVGTVLGPGSQRAVECRAAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRFGVTRQEQDEAAAESHRRAAAATAAGKFKDEIVPVPTKIVDPKTGEEKKVVVSVDDGIRPGTTASGLAKLKPVFRKDGSTTAGNSSQVSDGAGAVLLMRRDIAMKKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCIATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHN >LPERR02G30830.1 pep chromosome:Lperr_V1.4:2:25692738:25697075:-1 gene:LPERR02G30830 transcript:LPERR02G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLLPLAVTDHISFTQGQDMVLQKRLDYGSHGHQVPVKPLKPTLAPVKRSTRIRKKQMYALDLLATAAENLLEDQDKLSSEPNINGTPEGHATSMKSVKTEQIDEAFPLRSVAMEKDVCKGCTVVGCAGICGFPRQANVCLAENSSTQNLADSVLESLTAKPDVLAKDSLVSSRKSCRLGFGLGTIPEYGSDGACQPLSTRSAEVKQVHRARLTAIRSQEDSDAAALCALVETMDLDTKPLAEASSASNSGMHMCGHDKGHSSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGFIPHYTGDRRIRRLFASRLRKAARNKICGEMSCKGSKLNFCAKKLSTTRRRVQQPILKRKRLAQLYSEKPSDEVKLTIKSFSIPELLIEIPENATVGSLKKTVSDAITTMIEGGIRVGILLQGKSIQNDNKTLRQAGICRGKKLDDIGFTLEREAGQDSRPGGRVQEEMDFADASVMDKFAMVKCEEPDDNQQLMQDSPGCSLSDPGSVDYHAEWIKQETSANSQAIVPFEDPNVQALACVPLSRSKRPDFGQRRIRRPFTVPEVEVLVEAVEHLGTGRWRDVKFRAFGNSSHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQGDPPVPEICPT >LPERR02G30830.2 pep chromosome:Lperr_V1.4:2:25692738:25697075:-1 gene:LPERR02G30830 transcript:LPERR02G30830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGSHGHQVPVKPLKPTLAPVKRSTRIRKKQMYALDLLATAAENLLEDQDKLSSEPNINGTPEGHATSMKSVKTEQIDEAFPLRSVAMEKDVCKGCTVVGCAGICGFPRQANVCLAENSSTQNLADSVLESLTAKPDVLAKDSLVSSRKSCRLGFGLGTIPEYGSDGACQPLSTRSAEVKQVHRARLTAIRSQEDSDAAALCALVETMDLDTKPLAEASSASNSGMHMCGHDKGHSSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGFIPHYTGDRRIRRLFASRLRKAARNKICGEMSCKGSKLNFCAKKLSTTRRRVQQPILKRKRLAQLYSEKPSDEVKLTIKSFSIPELLIEIPENATVGSLKKTVSDAITTMIEGGIRVGILLQGKSIQNDNKTLRQAGICRGKKLDDIGFTLEREAGQDSRPGGRVQEEMDFADASVMDKFAMVKCEEPDDNQQLMQDSPGCSLSDPGSVDYHAEWIKQETSANSQAIVPFEDPNVQALACVPLSRSKRPDFGQRRIRRPFTVPEVEVLVEAVEHLGTGRWRDVKFRAFGNSSHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQGDPPVPEICPT >LPERR02G30840.1 pep chromosome:Lperr_V1.4:2:25698025:25702495:1 gene:LPERR02G30840 transcript:LPERR02G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINASKTRGRGSQTFRCQASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLRSQEAAVQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFESVSGLPVVDASLRCVGVIVKGDRARASHGSKTKIAQVMTSPAITLPSDKTVMDAATLMLKKKIHRLPIVNQDKQVIGIVTRADVLRELEALLEV >LPERR02G30850.1 pep chromosome:Lperr_V1.4:2:25699084:25706016:-1 gene:LPERR02G30850 transcript:LPERR02G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAAVAPPPCRGFLSSGHAQLRLPPPAAAAAGRRRELLLRCSASGGNGNGKGGGFEEERRRRRQAELASRIASGEFTAQGPAWVAPLAANLAKLGPPGELAAGLLTKLAGGGASRGPEIPQAVGSIRAVADQAFFMPLYDLFITYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSRAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINNTLDELIDTCKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEDDMLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSQKNTSSTPSMAISAASIASGEDQQGEVGTEIVVTEQGEVLRPLTGDDGFRVLVNLKPEAPVHERRRLAPEDRSRRTLFIVAEVDRNAWEGMAYQ >LPERR02G30850.2 pep chromosome:Lperr_V1.4:2:25699971:25706016:-1 gene:LPERR02G30850 transcript:LPERR02G30850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAAVAPPPCRGFLSSGHAQLRLPPPAAAAAGRRRELLLRCSASGGNGNGKGGGFEEERRRRRQAELASRIASGEFTAQGPAWVAPLAANLAKLGPPGELAAGLLTKLAGGGASRGPEIPQAVGSIRAVADQAFFMPLYDLFITYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSRAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINNTLDELIDTCKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEDDMLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSQKNTSSTPSMAISAASIASGEDQQGEMVE >LPERR02G30850.3 pep chromosome:Lperr_V1.4:2:25699084:25706016:-1 gene:LPERR02G30850 transcript:LPERR02G30850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAAVAPPPCRGFLSSGHAQLRLPPPAAAAAGRRRELLLRCSASGGNGNGKGGGFEEERRRRRQAELASRIASGEFTAQGPAWVAPLAANLAKLGPPGELAAGLLTKLAGGGASRGPEIPQAVGSIRAVADQAFFMPLYDLFITYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSRAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINNTLDELIDTCKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEDDMLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSQKNTSSTPSMAISAASIASGEDQQGEVAEVDRNAWEGMAYQ >LPERR02G30850.4 pep chromosome:Lperr_V1.4:2:25701023:25706016:-1 gene:LPERR02G30850 transcript:LPERR02G30850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAAVAPPPCRGFLSSGHAQLRLPPPAAAAAGRRRELLLRCSASGGNGNGKGGGFEEERRRRRQAELASRIASGEFTAQGPAWVAPLAANLAKLGPPGELAAGLLTKLAGGGASRGPEIPQAVGSIRAVADQAFFMPLYDLFITYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSRAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINNTLDELIDTCKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEDDMLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSQKNTSSTPSMAISAASIASGEDQQGEVSATRI >LPERR02G30850.5 pep chromosome:Lperr_V1.4:2:25699084:25700353:-1 gene:LPERR02G30850 transcript:LPERR02G30850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASKPPAELPTREGRQHGEKFSGHSPEMMASGFSSISSRRLPSMNVDAWHRKVAEVDRNAWEGMAYQ >LPERR02G30860.1 pep chromosome:Lperr_V1.4:2:25706034:25706252:-1 gene:LPERR02G30860 transcript:LPERR02G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGSKRFRYTRIASLENGLVVVFFEPAAILCGTERRTRAPHVPSTSSPSPPLILLPHFTACTTDSERAG >LPERR02G30870.1 pep chromosome:Lperr_V1.4:2:25708599:25710750:-1 gene:LPERR02G30870 transcript:LPERR02G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKFLEKNSRKGRFCPSKLKGKKVIELGAGCGLAGFGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNPDSGSFGSITVAELDWGNKEHIRVVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMIGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDAKYHHPSIYLYMMDPKATLIPEAGISDNSNNEEEEVVSNPGDDEDPEAENGGTGSDSAEAKTDNLDDWEIRRCGAMAARLLKDVKL >LPERR02G30870.2 pep chromosome:Lperr_V1.4:2:25708599:25710750:-1 gene:LPERR02G30870 transcript:LPERR02G30870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKFLEKNSRKGRFCPSKLKGKKVIELGAGCGLAGFGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNPDSGSFGSITVAELDWGNKEHIRVVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMYTMLLDTQIGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDAKYHHPSIYLYMMDPKATLIPEAGISDNSNNEEEEVVSNPGDDEDPEAENGGTGSDSAEAKTDNLDDWEIRRCGAMAARLLKDVKL >LPERR02G30880.1 pep chromosome:Lperr_V1.4:2:25711227:25712975:1 gene:LPERR02G30880 transcript:LPERR02G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSRLRRGGGRGITAAPGGFLHLSLLASLRRRPSLQAHAQLLLLGLSLPPPAASRLLRPHLRSGNPAASLRLFLRVLRDRRPCNPLEGSSQEAFPEDESHSFSAALAACSRHASPYPGFSIHGFLVKSGMLISDVFAANSLLHFYGSFGLHSLARNLFDEMPRRDAVSFNTLISSYVRSSCVNDAFEVFRIMLGSGLRPDGWTITALLGACAELQDLRAAKAVHGVARRMLHAQVFDSGEVVTGLVDVYVKCRCLALARQVFDLAGEKARDVRLWTTMVSGYAGVQEFDIARRLFHEMPEKDTISWTALIGGFVRAGRYNEAVVLFEEMEEAGFEADEATVVTVLAACVRYGNINLAKRLHVRMGCDGRIGRNAKLATTFVDMYAKHGCIQTAQDVFSSVDDNFKTLELFNAMINGLARCKFGAKAIALFDQMGLLGIRPDKITLVGVLSACRHSCLVSQGFHIFDSMVEKYGLKPEIEHYACMADLLARDGQLDDAYHFIQNMPFKANSVVWSSLVRACMLQGNIKIRRLAEEKLLQLDPNYKPENLSLSNLFSDGKRKERAARVRKSMNHKPVCRHSK >LPERR02G30890.1 pep chromosome:Lperr_V1.4:2:25715058:25716190:1 gene:LPERR02G30890 transcript:LPERR02G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKKGGGGGGGEQKVKATEMVLKVAMHCNCDGCKDKIRNGVRELALVPGVEAVDKSALETKGEVRLVATTANPEKLRHRLHKVTGKKVDLAVILPPQPKPAAAVTVDNAEAAALLAALQRQAQAQAQAAAAAGVYGNGWNTAAWGALQQQQPEYYYSPAAYPHQAVAYHQQLGHGGGVSPWYPLG >LPERR02G30900.1 pep chromosome:Lperr_V1.4:2:25716959:25719446:1 gene:LPERR02G30900 transcript:LPERR02G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKRGGGGEQQQQKAAAEHDNQDHQQQQQGGDDHLAAAPSSADAHTQQIKSASPEAEEKGKEKDKEKEKAKDEGKGNKEKEAAKTKVVTAVLKVDMHCDGCANRIRASIRHYPGVEGVAMEVEKGIMTVVGRFDATKLRDRVASKTKKKVDLLTGNNNNKKGGGNNNKGANEYGDGKPEQEDGGGEEKKKGQGDDEKSKGKDGDNNKANSGAGAGEKGKGGGKDRKKPVVPVVGTVVLKIGGVGLHCDGCMHRIRSKLFHIDGVEQVSMEMGKNQVTVIGTMDIKALPEKLHKKLGRPVDVVQPNNKQKQQQDGKEKHDGKDAKEKALTAEKDAWKAAFYDQQSLLATEYMLSDENPNACTIA >LPERR02G30910.1 pep chromosome:Lperr_V1.4:2:25742718:25745795:-1 gene:LPERR02G30910 transcript:LPERR02G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQQTLDYSSPVRPAQSETRNLQKCRCRERRGGKSHGVSEIQNSEPDVGAGPDARQALQRMLVVLHLSLKAALQTQLLLLLLACLAVPGIMQ >LPERR02G30920.1 pep chromosome:Lperr_V1.4:2:25755658:25761111:1 gene:LPERR02G30920 transcript:LPERR02G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGAAAAAASPAVVGAIAMMALVYYSTVFVLLDHWLGLGTTAGAAHAAAFSLVVAACFLSFACAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATIGSLYSLVVFLFDLFQTEHDYDVPYVKVIHVLVGVLLFLLSLTIGSLLCWHIYLLCHNMTTIEYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMTMGPNILCWLCPTATGHLKDGTEFQITNN >LPERR02G30920.2 pep chromosome:Lperr_V1.4:2:25755658:25761111:1 gene:LPERR02G30920 transcript:LPERR02G30920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAYAATVAGEATASPSRRVVVGGRPPPPRRVSFLPKSPSGRASISLPPTGPRRAGPAAAAAVRMEEEEEPSWVELEPIGGEQQMDRALSEAQQLGLPIVLLWMASWCRKCIYLKPKLEKLAAEYYPRIRFYCVDVNAVPQKLVNRAGVTKMPSIQLWSDFQKQAEVIGGHKSWLVIDDVRRMIDQEE >LPERR02G30930.1 pep chromosome:Lperr_V1.4:2:25761818:25770910:1 gene:LPERR02G30930 transcript:LPERR02G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAAGPTFEDLERDLQAVLMDQNHAATADELSMFRSGSAPPTVQGARTAIGSLFSAAPAHVDSFVDASSGGIGDVLSDEEIRSHPAYLQYYYSHEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSEVGGGSSLFSMQPGAQHISGEELLVNDRMGGGERNGLARQQSSEWLGSGADGLIGLSDASGLGSRRKSFADALQENISRPASGASHLSRSNSRNAFDSPNPIRPSDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGNTDKKVEGSAVASHNHDTDIAAALSAMNLSGSKMNSLEADVQNRVYQNFGDQTDVLFNVPKERRQFSQQKLAQNADEESINALEYAVFPNGSSNYNNSNISKLAVDSKSKFPIQSPHGNAHNKGSLMSPTGPVSLYQNLNGDSSNIDVSVRNTKIRSSSFGSSMLNNQLNADGEYVNLLSNQGGSGFQGQPMEAMYTPYLQANSESPLGTAANMSALQGSGFSGSVPLNSPGYQKAYIASLLAQQKLQYGMPYLGKSGSLSPNLYGNDPAFGMGMAYLSSPTSTPFISSPQGHVRQGDRLARVPSMAKTTTGGPMGSWNSDNGLIDSGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLEGFVLQLSLQMYGCRVIQKALEVVDVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIQFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDENTQSTMMEEIMQCVVLLTLDQYGNYVIQHVLQHGKPEERSAIIKQLAGQIVKMSQQKFASNVVEKCLTHGSDEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHNREFILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGVSSSS >LPERR02G30930.2 pep chromosome:Lperr_V1.4:2:25761761:25770910:1 gene:LPERR02G30930 transcript:LPERR02G30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAAGPTFEDLERDLQAVLMDQNHAATADELSMFRSGSAPPTVQGARTAIGSLFSAAPAHVDSFVDASSGGIGDVLSDEEIRSHPAYLQYYYSHEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSEVGGGSSLFSMQPGAQHISGEELLVNDRMGGGERNGLARQQSSEWLGSGADGLIGLSDASGLGSRRKSFADALQENISRPASGASHLSRSNSRNAFDSPNPIRPSDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGNTDKKVEGSAVASHNHDTDIAAALSAMNLSGSKMNSLEADVQNRVYQNFGDQTDVLFNVPKERRQFSQQKLAQNADEESINALEYAVFPNGSSNYNNSNISKLAVDSKSKFPIQSPHGNAHNKGSLMSPTGPVSLYQNLNGDSSNIDVSVRNTKIRSSSFGSSMLNNQLNADGEYVNLLSNQGGSGFQGQPMEAMYTPYLQANSESPLGTAANMSALQGSGFSGSVPLNSPGYQKAYIASLLAQQKLQYGMPYLGKSGSLSPNLYGNDPAFGMGMAYLSSPTSTPFISSPQGHVRQGDRLARVPSMAKTTTGGPMGSWNSDNGLIDSGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLEGFVLQLSLQMYGCRVIQKALEVVDVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIQFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDENTQSTMMEEIMQCVVLLTLDQYGNYVIQHVLQHGKPEERSAIIKQLAGQIVKMSQQKFASNVVEKCLTHGSDEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHNREFILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGVSSSS >LPERR02G30940.1 pep chromosome:Lperr_V1.4:2:25768764:25773261:-1 gene:LPERR02G30940 transcript:LPERR02G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCVDGVVTPAAAAALRTHATAAMRLLKNPIFSSSSSSSSPRGGGGEMAEETEAPPAPPAPPKLLYIAVSDGAGRRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFKVMRNEFLDASRSDNVAEEENDPSLGIVKDVETLKPKILEATLSSIPFELYKTQTTIIVSREKFLSVVCDALSSYKYVGPNQKADLLLACRIKEKKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAHAKAKRKAKKLDVVSHPNTNEGRDDTSDDKSHHTSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMALLNTDGSVTKSWHVDKSNGNGNLDGTTSSDTSTKNPMFDTFGKVEAVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLSDEERDIHEIVAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAAASSTNNSPDQEKNILGAADGDVDVDEGSGRYNLAFFLKMSKKVAATELPCA >LPERR02G30950.1 pep chromosome:Lperr_V1.4:2:25775673:25776909:-1 gene:LPERR02G30950 transcript:LPERR02G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRQVVRVSVLVSRAAEAGRQRRQIDLTALNCCMVVEIDLLKKNKKMKIEKNVKRNGGNRTRVKTLLLNY >LPERR02G30950.2 pep chromosome:Lperr_V1.4:2:25775673:25776909:-1 gene:LPERR02G30950 transcript:LPERR02G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRQVVRVSVLVSRAAEAGRQRRQIDLTALNCCMVKGYVVEIDLLKKNKKMKIEKNVKRNGGNRTRVKTLLLNY >LPERR02G30960.1 pep chromosome:Lperr_V1.4:2:25777434:25780338:-1 gene:LPERR02G30960 transcript:LPERR02G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNHPSVGIYHPGNAGEHDHDAVYIEPSSSSSCPDTDDCYQMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIISQLKGNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKIQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKKRWWPFSHNHHHHH >LPERR02G30960.2 pep chromosome:Lperr_V1.4:2:25777434:25781608:-1 gene:LPERR02G30960 transcript:LPERR02G30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLSSVDSVGEGAVGSRGNAGEHDHDAVYIEPSSSSSCPDTDDCYQMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIISQLKGNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKIQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKKRWWPFSHNHHHHH >LPERR02G30960.3 pep chromosome:Lperr_V1.4:2:25777434:25780338:-1 gene:LPERR02G30960 transcript:LPERR02G30960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNHPSVGIYHPGNAGEHDHDAVYIEPLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIISQLKGNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKIQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKKRWWPFSHNHHHHH >LPERR02G30960.4 pep chromosome:Lperr_V1.4:2:25777434:25781608:-1 gene:LPERR02G30960 transcript:LPERR02G30960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLSSVDSLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIISQLKGNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKIQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKKRWWPFSHNHHHHH >LPERR02G30970.1 pep chromosome:Lperr_V1.4:2:25787038:25790005:-1 gene:LPERR02G30970 transcript:LPERR02G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKGEDPITMSASVRSMSTASTERDARSGSDFNSMNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDDPAERTEIAGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSTSTLSWPMRLKVALDAARGLKYLHEEMEFQIIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKVEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVDSIETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRFQEFKFGCRQIVWRGWRPEIIKTC >LPERR02G30980.1 pep chromosome:Lperr_V1.4:2:25795128:25796810:-1 gene:LPERR02G30980 transcript:LPERR02G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLPLIRRRIFSALNQSPAHAPVSTRGFLFPAPMTAGIRSLQTIMDASNNHSGDLAQDVEDTKIDAPPATVPSADSSFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKDSYENGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAQQGEEVKKGSSDTLNFTLEDLVGSQCTGLAESHKTHNIRLKVHISR >LPERR02G30990.1 pep chromosome:Lperr_V1.4:2:25800491:25801798:1 gene:LPERR02G30990 transcript:LPERR02G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALWNRKPKPNPNPSPEPEKKKSPELMCKSKSSVATAAASSSSSMAAATTPRKQQRSPRTAATYQYSSSSAGAAASTTSGSSSLSTAASLQALRDSLPSLPLLLSFPELAAATSNFSSSHRLTQNSPNSTSFRCSLRGHPAAVFRRPLRRRDACHVSSRLAVLGHCHHAAIAHLLGAAASPDSGSVFLAYELLAGATPLSSLLRSTKNPAFTPLATWQARLKVAADVSDALHYVHLQANTIHNRLSSSTVLVSGDGDAAGDRLRAKIAHFGAADLAGELNDDGDRRHGRRIEGTRGYMAPELIAGGSPSRRSDVYALGVVLLELVSGDEPVRYEVNRATGEYERTSLIESAAAAAAGGEEAMRRWVDRRLKDSFPVEAAEAMTALALRCVAEDGGARPEMAWVAAKVSKLFLEAQDWADKFRLPTDISVSIAPR >LPERR02G31000.1 pep chromosome:Lperr_V1.4:2:25803281:25806703:-1 gene:LPERR02G31000 transcript:LPERR02G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNAKSGFKRVVTMSKL >LPERR02G31000.2 pep chromosome:Lperr_V1.4:2:25803281:25806301:-1 gene:LPERR02G31000 transcript:LPERR02G31000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNAKSGFKRVVTMSKL >LPERR02G31010.1 pep chromosome:Lperr_V1.4:2:25811070:25812035:1 gene:LPERR02G31010 transcript:LPERR02G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADREPVFPVQQMPSLLFPPPPPRPLALGSSSSSSSSFATHHTFITSFPILVLTILGILTTSVLLLTYYIFVIRCCLNWHSRHSADAPAAGLISRRHRRGSVSSSLPAVAEPRGLEEAAIQSLPAFKYRKAIKKDTAHSSACAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRSAIATNNSQLPLDQLMRPEEVVIQVITDPEEEEEGTQEPRQEVNTAASGPADDATTDQQVSSKKRKNQNAWHISISKGDECIAVRKDRDVLPLRRSFSMDSLGGAGEVKLQIQNIQQRSTHFHGDVCDSSSSTGTL >LPERR02G31020.1 pep chromosome:Lperr_V1.4:2:25811625:25815448:-1 gene:LPERR02G31020 transcript:LPERR02G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASHLLLLRPRLRSPIPIPTPPPKTLNPRLLPPRRHFSPHPPPPPVPTSAAAAAAVADEAEEAFEAAKGTKDLLAAFSRLESVLPPSDKRLALACLKLGQHLESSGAGEPSRVLALALRSLSILEATPNSPLPVGSHSGDAVSLAMALHLAGSASFDLSRFHDALSFLSRSLRLLSPLIPSSKHAAADDDADEVDEGFDVRPVEHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYATVLNFKEALPLCQKALELHESTLGKNSVEVAHDRRLLGVIYTGLEQHEEALQQNEMSQKVMKSWRVGGDELLHAEIDAANIKIALGKFDEAVTVLKSVSKQVDKDSEIRALVFISMAKALANQEKARDTKRCLEIACEILEKKELSAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSKAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQNLANAYNAMGSYPLAMEFQKRVIDSWQNHGPSARDELKEAIRLYEKIKIKALALISPENSAIELPEPQEKEADSASTRSSESSIICRTRSCCVHLLPWFLCALFFFFRVGDHLDDYLLGSHELIQWKLTVVGGYG >LPERR02G31030.1 pep chromosome:Lperr_V1.4:2:25816725:25818040:-1 gene:LPERR02G31030 transcript:LPERR02G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIVDKMTVAASPPAAGGVLPLTFFDVPWLFTGPVERVFFYPAGDHIVPHLVSSLAAALHSFYPLLGRVRPSPDGGGGYEFYSTGGDSDRVELTVAESSDDFDDLVAGGPRDVARLYELVPRIPPAMEEDGSFALAAAQVTVFPQKGIAVGVSIHHVACDDSSFMHFVKTWAGHCRVAVAGGVEDADSVAIPPPPLLDRGVVSDPDGLARKTLDQMRQLAPPPPPPPRRRFSWRHKLKRRVTASDSGVHCSAFTVACAFAWTCLVRLDATAAGEKHLLFSVECRRRLTPPVPQEYLGNCLRPCFVEADMAGGFLLELVTAARGIGAAIRGLDDGVLDGAEGWFERIMSMMPHRPMSVGGSPRYGVYDTDFGAGRPAKVELVSIDKTPGTVSMAESRHGDGGIEIGVALPEADMERFSSFFSDGLKQL >LPERR02G31040.1 pep chromosome:Lperr_V1.4:2:25822110:25823108:-1 gene:LPERR02G31040 transcript:LPERR02G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTPTAGAAAAAGAGSPCGACKFLRRRCVAECVFAPYFSSEHGAARFAAIHKVFGASNASKLLSHLPVSDRCEAVVTITYEAQSRLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACSSPVSHHHHQQWPENGSISALLRQDMAARSRPGSLEDCFGIGGGGGALLPELMAAGFGGKEDSIAGGCSKAVDAGELQYLAQAMMRSTSNYSQ >LPERR02G31050.1 pep chromosome:Lperr_V1.4:2:25825276:25828070:-1 gene:LPERR02G31050 transcript:LPERR02G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAAGGERAASPSPPPPPPPPRPPALKSALKRDKPNSDAAASSPAAAATDAVAEGRVPEKRLRFRTTVDASEMQIIDAMHKITSHIGNPSKFSKASKLALQLIEAGSVKPGTINHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKNQFDIWLLHAVVANDLYTDDSFVFSKAVGKIKDAISALPIATVDDDNDEAAALAAIESKSGTTHNKTDDSEQSAASNSLPDDSTHAAASNSGEETSDPFGLDGLLEHKSKKSEKAREKTFAALNRKSDEEESKRFLRSQREALLKCLEIAARRYRIPWTQTTIDIFAKHAYDNMNRFTTQQRDAIMKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRRAVGGGGERRTTQWLG >LPERR02G31060.1 pep chromosome:Lperr_V1.4:2:25828220:25832281:1 gene:LPERR02G31060 transcript:LPERR02G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGLGLYPTSLTKNYTRCHASRTFDPFVFPQILLLSSPPPPFSSSDSQPAPPSSDRRRLLRREPSALTNYRSTRTSLFDGIEEGRIRAPSYSSHEIDENENEQAIDGLQDRVSILKRLSGDIHGEVEAHNRMLDRMGNDMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLIYYLTR >LPERR02G31070.1 pep chromosome:Lperr_V1.4:2:25837021:25837569:1 gene:LPERR02G31070 transcript:LPERR02G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGGADVAEAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEEEEGSGPPIPGAGDPLMVAGGGGHGGIADPSAMPMFNLPPTNGLAGGGGDGFPWAPHGRAPY >LPERR02G31080.1 pep chromosome:Lperr_V1.4:2:25844194:25849578:1 gene:LPERR02G31080 transcript:LPERR02G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPMPPPRFRCADYHFSITTTTMRRILLPPLLLALSPAFAAGDSDDYHCHCDINGNGGGGGFWSMENIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYDPHPFMVVLLLTSAKFLTALVSFLTAITLLTLIPQLLRIKVRESLLWIKAKELDREVVMMKQQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLKNCAVWMPAGDGVMCLTHELRRDGSGGGGVVGVDDADVVEVRGSDGVKLLRGDSVLAAASGGGGEVAAIRMPMLKVADFKGGTPEVIQTSYAVLVLVPPDDDKGWGPHEMEIVEVVAGQVAVALSHASLLEESQAMRERLAEQNRELLQARRDAVMANEARQAFQAVMSQGMRRPIHSILGLVSIVQQGDEDEEQKTLSTEQRLVADAMSRTATVVSTLVNDVMEMSADSRDRDRFPLEARPFRLHAVIRDAACVARCLCDFRGGFGFAVHVENTLPDLVVGDERRIFHVLLHMVGNLIGRRAEPGHVTLQVRAEDDELMEDRLSGQRWDPWRPSYSSGNGYSSVKFVIGVKRQQNGDARSSLSRRPSGKGIDLKLSFSMCRKLVQMLQGNIWAVLDPQGLPESMTLVLRFQLQSSLTSFSLGGSFEQKHSSPSCQLAGLNVLLIDDDDDINLVVVRKLLEKLGCIVSLPPSGSGFLNSVGSSAAMCQLVVVNLEMKRVNALDVATRISQYRSGRWPIVLAMASDQKAWEKCAQSGINGILKKPVILRELKEELARILQST >LPERR02G31090.1 pep chromosome:Lperr_V1.4:2:25850252:25852469:-1 gene:LPERR02G31090 transcript:LPERR02G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNGNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGAKDTAVVFSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >LPERR02G31100.1 pep chromosome:Lperr_V1.4:2:25854592:25855176:-1 gene:LPERR02G31100 transcript:LPERR02G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREAGSTSKVDDDVGGGGGDVEETRVAGAGEDDEDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRRDRVIGGRSATTPSSSSSTAAAATRRSVSYDTFVGLFSSGQTRPPASGSGCEEVTAAAGVRTPQELRLFGRDDGRREEGGGVRDRRDRYGWCSKDGGGDDGNGGGDQGEEELDLELRLGGSSGS >LPERR02G31110.1 pep chromosome:Lperr_V1.4:2:25856547:25859150:-1 gene:LPERR02G31110 transcript:LPERR02G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSWTRSRLDLPIELKCPRVYGAMDMEGRRERRRGVLSSSSSTGRRTPERERWAWSPAWSRPLSDGGGGKGASSFKSLFRSIGVWFSSLSTSSSSPSTSVSSSSGNRRRRSMQDEVMKKPPLPGPASEQGKPSMASLYNSSRAGRGINTTRPQFHSSTTTAKFTMEDILRATSNFSPAAKIGQGGFGAVYRGVLPDGTPVAVKRAKLPHTNATHVDAEFRSEVRAMASIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDGCNGRFLELGERLEIAIDVAHAVTYLHMYSNHPIIHRDIKSSNILLTPSLRAKVADFGFARLGIGEAAGEVTHVTTQVKGTAGYIDPEYLKTCQLTDRSDVYSFGVLLLELTSGRRPIETKREMRERLTVRWATRLFADGATAEVLDPRLARTAATLRATEMVMELVFRCLAPLRQERPSMGECCRALWAVRKTYRDMVAGTAHETPVSSISDRASSSSASTGGGDRSGDLCRN >LPERR02G31120.1 pep chromosome:Lperr_V1.4:2:25860372:25860953:1 gene:LPERR02G31120 transcript:LPERR02G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGVAVGGGWQGAWLHTSTCLAATPVHLGLPLGFIFGYLFRWDVQGIWAGMLSATAMQTAILMYMVCKTDWNAEAAQALERVRLWGGQHEHEKLPTSEQEDTIS >LPERR02G31130.1 pep chromosome:Lperr_V1.4:2:25861701:25868664:1 gene:LPERR02G31130 transcript:LPERR02G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGFGGRGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVQHKHAGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARIVALNASYFLKNGGHFVMSIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKKNLRTQRNAKREREQFPFSLVRLLCFPHQLRAIAQPSPPMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMCDPFDEAEVKGNGTKGDDDSAAALLMSGHFSVSQSEVESLLRGTDNKERQEELCGQDAVSAEAVEEKFQETCEHKLKDELNRIPSFDKGKSLSLVDMEFDDGKAFQGMGLVGEEPVGFSSSLYSPIDAKKDLSRSVTESRYEKKTEEPPLQNVNPVIDPSKVVASSEQNKPDGSELPRDASWRKQLLSLYKNVRKSNKFWPIVVAATALVGITYFGRRGHKVKLQQQPIKLYPSSGNEKVNQAVGPLNRIKDILATALPAITHLQLSMPVFD >LPERR02G31130.2 pep chromosome:Lperr_V1.4:2:25861701:25868664:1 gene:LPERR02G31130 transcript:LPERR02G31130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGRGGGRGGMKGGSKVVVVQHKHAGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARIVALNASYFLKNGGHFVMSIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKKNLRTQRNAKREREQFPFSLVRLLCFPHQLRAIAQPSPPMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMCDPFDEAEVKGNGTKGDDDSAAALLMSGHFSVSQSEVESLLRGTDNKERQEELCGQDAVSAEAVEEKFQETCEHKLKDELNRIPSFDKGKSLSLVDMEFDDGKAFQGMGLVGEEPVGFSSSLYSPIDAKKDLSRSVTESRYEKKTEEPPLQNVNPVIDPSKVVASSEQNKPDGSELPRDASWRKQLLSLYKNVRKSNKFWPIVVAATALVGITYFGRRGHKVKLQQQPIKLYPSSGNEKVNQAVGPLNRIKDILATALPAITHLQLSMPVFD >LPERR02G31140.1 pep chromosome:Lperr_V1.4:2:25870375:25874460:1 gene:LPERR02G31140 transcript:LPERR02G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSEMRPVHNSVDTVNAAAVAIVSAESRTQPPAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDVPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPRSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQQASSRCTFPSFPVREPPKILDGESVASQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRASFELTVEDVARCLEKKTNISGESAAASFRLLPSGNGDHPRESNDTRAGAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNIDANSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >LPERR02G31140.2 pep chromosome:Lperr_V1.4:2:25871177:25874460:1 gene:LPERR02G31140 transcript:LPERR02G31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSEMRPVHNSVDTVNAAAVAIVSAESRTQPPAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDVPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPRSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQQASSRCTFPSFPVREPPKILDGESVASQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRASFELTVEDVARCLEKKTNISGESAAASFRLLPSGNGDHPRESNDTRAGAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNIDANSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >LPERR02G31150.1 pep chromosome:Lperr_V1.4:2:25877221:25881352:1 gene:LPERR02G31150 transcript:LPERR02G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVITADDAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGKLLSWRSHGGKDLLVRTCLVSAISSALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGDFVFGILPATLVGAVVNAGILLCLYWRHLSDDKCGVEVVVAVPTDVVEEDDVTSHRFSPATMSHLRSASCLSDHHHSCSCCEVSHEPVKPVNVVVNGNGNDGKIAGIGIHQRRGGGAVVKGWAKESEEKEKEEKDEAEAMEQWKSNVWKFCVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSTFWEFMEPYARIDTPSGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEHFGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >LPERR02G31160.1 pep chromosome:Lperr_V1.4:2:25881691:25885250:1 gene:LPERR02G31160 transcript:LPERR02G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWVAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLLAIIKNRKDVYTAELKELEKRREQLLQEMKESSAAEHLNSELAEVTSAFETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSTR >LPERR02G31170.1 pep chromosome:Lperr_V1.4:2:25886323:25891099:1 gene:LPERR02G31170 transcript:LPERR02G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSSPPPPQQASSEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPNPNYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPGTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTSEAIQAAESMIMQRVTASSER >LPERR02G31180.1 pep chromosome:Lperr_V1.4:2:25891335:25898372:1 gene:LPERR02G31180 transcript:LPERR02G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPDSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGHRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGERTNWVMHEYRLLDANGAQDLHVVCRIFQKMGSGPQNGAQYGAPYLEEDWEEEDDAIENAPASGASTEIAAVADTADEESNEDDEKFSFKANELLEETQEDINQPEMTPVKAQDLRETNGSGYGDDVFSLDEILQEPENVCKNEEQNAIDDNFTIEELSGYPRQDDVYVGENGPVNWVGPSNGDNANWPLRAYSTQNHVNGTLSADGFFDTGNDTSSYSGQQQFCPSDNQNMYLQDDGMTASRQVDDSMPFFDASSNHKWVDGKDDYVNLNDLLYQPTENQSLFDVGDDLMAYFDANEDDFKLDIMGTEGNSELPDMSNFVQKDDNKEKFTLDGMSNSTLYGASSSGSHGNMYPDTAVPDMPMDDTLDKSFGKRLASMLGSIPAPPAMASELPPSTGKSLGVVNPNSSIHVTAGIIQLGGLTFTGSTEHLQKNGDFNLLFSFTVEGDVSSKSIDFEPVTRMSTAPIVLRSGMYLFFVSAMILMLSYKVGLCIYSR >LPERR02G31190.1 pep chromosome:Lperr_V1.4:2:25895942:25900948:-1 gene:LPERR02G31190 transcript:LPERR02G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGQGKTTWPSGATYEGEYSGGYIYGEGTYTGSDNIIFKGRWKLNRKHGLGCQTFPNGDIFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMFGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNGCGYYVGTWTKGLKDGKGTFYPKGCRVPVSDERYINNLRNQGVLPDMRRQNHGSRILHSSSVDMGNMKVGLTRESSGSSSRRNSSDQPHLKNVSLERRWSLEVAIEKFIGHDASGSSGLERSESINDSDMPMLEREYMQGVLISEVVLDRSFSDSSKKVKRRQKKMVRETKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRSSYRRSMAAERLTVLSEEDAQEDDAFNYPEGLVLVQRGSDENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARLQIQLGVNMPARAEQSPKEDESKSFREMYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTIFPANS >LPERR02G31200.1 pep chromosome:Lperr_V1.4:2:25907835:25909780:-1 gene:LPERR02G31200 transcript:LPERR02G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLAWSSAPSSRPRRSPSLVIVAQGKVKKYRQVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKAQLLTPEVLKEMQLEQERIDAEKKRVKEEAQQLARVFETIGAFKLAPQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPEVTAQLNTCSHLLVEILLKLQDAM >LPERR02G31210.1 pep chromosome:Lperr_V1.4:2:25910368:25912393:1 gene:LPERR02G31210 transcript:LPERR02G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFESAGDDAASFVLSRIPHSDTAAFAAATGAALSFAALRRAALSLAAGLRLGLGLHRGDAVMVISPNTLLLPPIVLGVLAAGGVVVAAAASTAVEIAAVARASGVVIVVAAPEVADKVAAAGIPVPVMLTSRSSDPRVLSAEELMDGGDPTAIDPTAAVRSRPSDVAIVFHTSATTATAMRHADVIAAVSTASSPSPDDDGARVCLACLPICSFHGLPLLALAMPAAGVTTVLLSSDPTAAAHGGGGVTDVVATPEVAAALAAQMSTTTLSSLRRVVVAPPFASAATRQVFRRRLPWVELTEMAGSPEKRMVTSASEQVHVTPTKLIQQIREKAHSVSVTSEAAASVPPLEKIQGDNFSKSTASKILREHPETCSEQVISKL >LPERR02G31220.1 pep chromosome:Lperr_V1.4:2:25912777:25917226:-1 gene:LPERR02G31220 transcript:LPERR02G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIDVGDALAAAPYDQWLPFIPAGGSSRPSARYKVFDFRTFKWSVLSPARDSTQLNTENNTTERSFPALAGHSLVNWKNNIVVVAGNTRTSSNKVSVWLINVETNSWCSVDTYGKVPISRGGQSVSLVGSRLIMFGGEDSKRRLLNDLHILDLETMMWEEVKTGKGGPSPRYDHSAAVYADQYLLIFGGSSHSTCFSDLYLLDLQNLEWSQPDTQGAHVNPRSGHASTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEVFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDIVATDDLDVKRVQPAVYSKKITTEVDALNGEKGKLESRLAEVRDENSKLKDRLDMVKLSHSELTKELKSVQNQLTAEGSRCQKLESQIAAAHKRLESAGSLENELEVLRQQISQVEQNMTTAQRQKSGGVWNWVAGSAEVSDDE >LPERR02G31230.1 pep chromosome:Lperr_V1.4:2:25924660:25930296:1 gene:LPERR02G31230 transcript:LPERR02G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGDTRNEEVEEEEEEAVYCAVGKEAGKEWKANLLWVLASFPRRRRVVFIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEEKISKVLDDLLDICKTWVANKLLKNSQVNASKIIFSCDDTVTGLLHLIDDHGITELVMGAASNKAYSRKMRAPRSKKAQKVQLKASPSCKIWFVCKGNLICTREVNEVLNRTGSSTTSTSPRSSTSDNSRSRSSPRVHSVSSEPFGMQDSAEPTSLSVDQTPIREDNAMDNSTEGFNHEATDVASSSTVPVSENLEAEQRSAATVVQSLQQIEEDSPTPSGHGSEDAGDMDDAYDKLKDAVIEAENLRDEAYEETRRRQKADRDLADATRIANEAERSYQREARHRKEVEERIARERAAIDQERRELDDVLDQTRKADARAAELELQITESELMMCDLESKISESYGLLHQLRRDRRRRDESISTSTASAAAADGCDDVETETFLRLGLSELETATNNFDESVRIGGGVYRGELRGMEVAVRLIGDDDVTVAVDEVGFCREVEAIGRARHPALVALVGACPEARAVVHELVPGGSLESRLAVAGGEGSPPATLPWHAMCVVAHRTCSAVAFLHSTGTAHGDVRPANILLGDECCSSKLAGLGIRRLVTTPAPEEDEMTPERDARVVGVVLLRLVTGKPPFTAVKLSREAAAGVKRWHDVVNGGWPVDVATEVALVGLKLCHVSDSDSSTCRLLEEAIGVMEAAMSAAPGRSWSSVSSASSDGGDGSAPSYFLCPIMKEVMRDPQIAGDGYSYEAEAIKEWLKSGRDTSPMTNLKLPRRELVPNLPLREAIHEWRLRRAMRNKSTSRS >LPERR02G31230.2 pep chromosome:Lperr_V1.4:2:25924660:25930296:1 gene:LPERR02G31230 transcript:LPERR02G31230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGDTRNEEVEEEEEEAVYCAVGKEAGKEWKANLLWVLASFPRRRRVVFIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEEKISKVLDDLLDICKSQKVNASKIIFSCDDTVTGLLHLIDDHGITELVMGAASNKAYSRKMRAPRSKKAQKVQLKASPSCKIWFVCKGNLICTREVNEVLNRTGSSTTSTSPRSSTSDNSRSRSSPRVHSVSSEPFGMQDSAEPTSLSVDQTPIREDNAMDNSTEGFNHEATDVASSSTVPVSENLEAEQRSAATVVQSLQQIEEDSPTPSGHGSEDAGDMDDAYDKLKDAVIEAENLRDEAYEETRRRQKADRDLADATRIANEAERSYQREARHRKEVEERIARERAAIDQERRELDDVLDQTRKADARAAELELQITESELMMCDLESKISESYGLLHQLRRDRRRRDESISTSTASAAAADGCDDVETETFLRLGLSELETATNNFDESVRIGGGVYRGELRGMEVAVRLIGDDDVTVAVDEVGFCREVEAIGRARHPALVALVGACPEARAVVHELVPGGSLESRLAVAGGEGSPPATLPWHAMCVVAHRTCSAVAFLHSTGTAHGDVRPANILLGDECCSSKLAGLGIRRLVTTPAPEEDEMTPERDARVVGVVLLRLVTGKPPFTAVKLSREAAAGVKRWHDVVNGGWPVDVATEVALVGLKLCHVSDSDSSTCRLLEEAIGVMEAAMSAAPGRSWSSVSSASSDGGDGSAPSYFLCPIMKEVMRDPQIAGDGYSYEAEAIKEWLKSGRDTSPMTNLKLPRRELVPNLPLREAIHEWRLRRAMRNKSTSRS >LPERR02G31240.1 pep chromosome:Lperr_V1.4:2:25931131:25937045:1 gene:LPERR02G31240 transcript:LPERR02G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDDEFVGVGARFGPTIESKEKHANRTGLVLADPIDCCELPTQKFFCQKMQAMIYRSFSHVVKLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVTEQEKLLKDGHESSLNFEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGITLIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQHLPKDTDGEQVMMRDRSGEEVVVRLRVCGQR >LPERR02G31250.1 pep chromosome:Lperr_V1.4:2:25938115:25940337:1 gene:LPERR02G31250 transcript:LPERR02G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGTDEKDYREPPPAPLFESDELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSNTKCSTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAIFYMVMQCLGAICGAGVVKGFQARNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHWIFWVGPFIGAALAAFYHVVVIRAIPFKSRD >LPERR02G31260.1 pep chromosome:Lperr_V1.4:2:25947209:25953433:1 gene:LPERR02G31260 transcript:LPERR02G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAADFRRDLEDLVCDHLGGCYSPPSSSSSCSAATGGGGGGGGGGGGHQADEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPPPAPSPTPPSLLQMWRELEHPRSDAADQPFDREPSPDTDRERVRQIARRLTSNTDVPTAAAAAANGEWLGETERQRVRLVREWVQMASQPRDARVVASRREETAAGERERRGEPPRLRGRQARLDVITRLARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRSDSSVSESVGVLGSDEPQQEAEVRAFTGTEDTTQIMLEDVGLQEVDAENAGMESPSVALDNMVEMHETQGDNRLQDETGHDARLWQPSLDDSLERWPDETAEVAERSWEDNAEELHSETMEDDVREHAHLQDEHDEWHDDESHGTENWQDDFQDSTLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGSGPLNWNFDAAMPTVNSPDENQEQERNAEPRRFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGSPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >LPERR02G31260.2 pep chromosome:Lperr_V1.4:2:25946477:25953433:1 gene:LPERR02G31260 transcript:LPERR02G31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCYSPPSSSSSCSAATGGGGGGGGGGGGHQADEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPPPAPSPTPPSLLQMWRELEHPRSDAADQPFDREPSPDTDRERVRQIARRLTSNTDVPTAAAAAANGEWLGETERQRVRLVREWVQMASQPRDARVVASRREETAAGERERRGEPPRLRGRQARLDVITRLARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRSDSSVSESVGVLGSDEPQQEAEVRAFTGTEDTTQIMLEDVGLQEVDAENAGMESPSVALDNMVEMHETQGDNRLQDETGHDARLWQPSLDDSLERWPDETAEVAERSWEDNAEELHSETMEDDVREHAHLQDEHDEWHDDESHGTENWQDDFQDSTLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGSGPLNWNFDAAMPTVNSPDENQEQERNAEPRRFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGSPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >LPERR02G31270.1 pep chromosome:Lperr_V1.4:2:25954156:25956994:1 gene:LPERR02G31270 transcript:LPERR02G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGEDGELSPAEARLAMMEIANMISVPMALTAVIRLGVPSKLWAGGANAPLTAADLLPPGHPDPSVLERLLRLLASRGVFSEHNPTGGEDSRRFALTGVGRTLVPSGPSGASFADYVVQHHQDALVRAWPRLLDAVLDPSGPEPFARANNGVPAYAYYGQDKEANEVMLRAMTGVSEPFMEALLLDGGRGLFEGVRTLVDVGGSSGACLEMIMRRVPTIREGINFDLPDVVAAAPPISGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNEECTAILSNCHNALPAGGKLIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRQLGLATGFTSFRAIYLDPFYAVLEYTK >LPERR02G31280.1 pep chromosome:Lperr_V1.4:2:25957193:25958556:-1 gene:LPERR02G31280 transcript:LPERR02G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLACLLPLFLIPVVNALPLLVDLLLSKIYRLFGWEYRRPERAPPACPFKPAAAAKKNDEGASESKPLVEPQTATTAEDKKED >LPERR02G31290.1 pep chromosome:Lperr_V1.4:2:25963868:25965660:-1 gene:LPERR02G31290 transcript:LPERR02G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDRHQLQSLLLLLMTVAVATQAQFNNSSSSSSATVVAPPSPGLYPSKRFHPKPFTHDFLTLWGAEHQQPYSSSNSGGGGGGVTVWLDSNSGSGFKSRRAFRSGYFGCWLRLQGGYTAGVITAFYLSNAEAHPGWHDEVDMEFLGTTPGRPYTLQTNVFARGSGDGSRVLGREIKFHLWFDPTEGFHHYAILWTSNHIIDNLVSFFVDDVPIRRYKRRSAGVMAFPARPMWVYGSIWDASSWATEDGKYRADYRYQPFVAHFSSFLLRGCSPRATSTCAAPVADNLTVRQLTAMHWAQRFHMVYNYCYDPKRDHSLTHECRHLSSSNHN >LPERR02G31300.1 pep chromosome:Lperr_V1.4:2:25966515:25972837:1 gene:LPERR02G31300 transcript:LPERR02G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPAPSPAVRVLSRTPPPQPTSSPSPPPPAAAAAAAAAAAPTSSHDGVVAVGFFGGGGTARLADRILDAHVFSPGGSGRTLAGGVRYHRDGERRMVFLHLAPSPATATLDAPGDLRGLLFMFSVCHVIIFIQEGFRFDTQILKRFRLLQSSKHAFAPFVRSLVSPAMPSKAARSNTPTKPTHRASSISPPACRGGRHPSAISLMSGTGSHPSMLPGLCVPVVLFVFEDDPMDGPGAATSLDDTSDTSSSNQASNTDSLPKPNMTSKGSSSVVMLARPAIRSDSTFSKKIHSSVEGQIRFLLKKCRTLVGSEPGHIVSRGVSNVSHLPLFSLDTSRAVALLDRSINKKREALDIIAGLFEDSLTSKSSLDISSLENNCHSATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTSAPVSGVGMVAAAAAAAAASAASGKQTTAPDLPSFDTWLSISSSISSALISMEDGSSSSQSKKAPPAQKNDQLPSAGSNSIRTALSCLESNNGLNVKFSTSWCQRVLPVAKEVYLKDLPAFYPTSMHQVQLQKALQSFHSMVKGPAVQEFSKKLKDECQAIWESGRQQCDAISLTGRPCKHQRHSKSSSSDGMEQHSSGYIFLHACACGRSRRLRDDPFDFVAANVTFNCFSNCEDLLPTLVLPRGTNAGSFSVSSWRLVRLGGAKYYKPTKGLLQAGFCSKEKYLLRWTISLGKEQGKHVTRDTTKLSSASNADPQAPVVAGEVKSTVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHPSKDSEFPALQLKKPLKPGNRKDDRLVSVADQTNGRSYAALSQGPIADNESEKVSRDKSNGSADGKPFLQIGSNIVPMVVGKETKEINQPVQQFVVYVGFEHECSYGHRFLLSEKHLKEIGSSVLPFEKSNLNNEAESKHGSQKLPQNASRFAATMDLTSGGKHNRPMDSSGRNTQQQLLQPRVDSEIFQHAHSLSDPQNESKGDLSLQYVTLDDDGEAFSLLNRNLPIYMHCPHCKSSDWKGNQDVKFAAAVSQLQRIFIVTPDFPVLLASCPVVQFEASKIIATHASCMPSNASDHEQQGLFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTTPLKYLDQQPELTAWLVGGTALQIVSAGHATEKEAPL >LPERR02G31300.2 pep chromosome:Lperr_V1.4:2:25966515:25972837:1 gene:LPERR02G31300 transcript:LPERR02G31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPAPSPAVRVLSRTPPPQPTSSPSPPPPAAAAAAAAAAAPTSSHDGVVAVGFFGGGGTARLADRILDAHVFSPGGSGRTLAGGVRYHRDGERRMVFLHLAPSPATATLDAPGDLRGLLFMFSVCHVIIFIQEGFRFDTQILKRFRLLQSSKHAFAPFVRSLVSPAMPSKAARSNTPTKPTHRASSISPPACRGGRHPSAISLMSGTGSHPSMLPGLCVPVVLFVFEDDPMDGPGAATSLDDTSDTSSSNQASNTDSLPKPNMTSKGSSSVVMLARPAIRSDSTFSKKIHSSVEGQIRFLLKKCRTLVGSEPGHIVSRGVSNVSHLPLFSLDTSRAVALLDRSINKKREALDIIAGLFEDSLTSKSSLDISSLENNCHSATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTSAPVSGVGMVAAAAAAAAASAASGKQTTAPDLPSFDTWLSISSSISSALISMEDGSSSSQSKKAPPAQKNDQLPSAGSNSIRTALSCLESNNGLNVKFSTSWCQRVLPVAKEVYLKDLPAFYPTSMHQVQLQKALQSFHSMVKGPAVQEFSKKLKDECQAIWESGRQQCDAISLTGRPCKHQRHSKSSSSDGMEQHSSGYIFLHACACGRSRRLRDDPFDFVAANVTFNCFSNCEDLLPTLVLPRGTNAGSFSVSSWRLVRLGGAKYYKPTKGLLQAGFCSKEKYLLRWTISLGKEQGKHVTRDTTKLSSASNADPQAPVVAGEVKSTVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHPSKDSEFPALQLKKPLKPGNRKDDRLVSVADQTNGRSYAALSQGPIADNESEKVSRDKSNGSADGKPFLQIGSNIVPMVVGKETKEINQPVQQFVVYVGFEHECSYGHRFLLSEKHLKEIGSSVLPFEKSNLNNEAESKHGSQKLPQNASRFAATMDLTSGGKHNRPMDSSGRNTQQQLLQPRVDSEIFQHAHSLSDPQNESKGDLSLQYVTLDDDGEAFSLLNRNLPIYMHCPHCKSSDWKGNQDVKFAAAVSQLQRIFIVTPDFPVLLASCPVVQFEASKIIATHASCMPSNASDHEQQGLFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTTPLKYLDQQPELTAWLVGGTALQIVSAGHATEKEAPL >LPERR02G31310.1 pep chromosome:Lperr_V1.4:2:25978026:25979099:-1 gene:LPERR02G31310 transcript:LPERR02G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDKQQQLAGGGGVVKRKRTKRPRHHHAPASPASSSESTTTEEEDMANCLILLAQGAAVLHADSNPSTTPPPMAQPPSPAPVIAATAPAPVKSEAKVLPQSLKSERYTSRKYTEAATTADGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLAAAADDDNTNNAATVNSNPPPMTITMTTTMTSSPPPPPPQADVSAVPEVTTVLSLNNNNISVAVAGAGSGVMARKLRVHECSICGAEFGSGQALGGHMRRHRPLHPTPAPAMTVTAIAAAAAADAKKEGSSSINLELDLNLPAPSDEESVSPPPPPVLLALAGKFDDGKKPLLLTSSAALVGCHY >LPERR02G31320.1 pep chromosome:Lperr_V1.4:2:25988487:25991306:-1 gene:LPERR02G31320 transcript:LPERR02G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSPQTLHASFLCSLAIALLRAGRRSAATHLLTNLPSDPPAHLLRRLLPALAYSGLPAAAIRFRPVSLNAALYSYCRLRMLRPALALLRSSSRPTTVAYNLLLAGFSDHGHGRLAPAVFGEMSKRGVPFDGVTVNTILAGLFRNCEVDEAAGLADMLLRGGRIHGLDVVGWNTLIAGYCRAGDTAMALGVADRMMAQGVPMDVVGYNTLVAGLCRAGEVDAAQGILGTMKDAGVDPNVATYTPFIVEYCRAKGFDEAFDLYEGMVRNGVMPDVVTLSALVAGLCKVGRFSEAYALFREMGKVGAAPNHVTYCTLIDSLAKAGRGKESLSLFGEMVSRGVVMDLVTYTALMDWLGKQGKTDEVKDKLHFAVSDNLSPNVVTYTVLIDALCKAGNVDEAEQVLLEMEKSITPNVVTFSSVINGFVKRGLLDKATGYKRMMEERGINPNVVTYGTLIHGLFKFQGQEAALEMYHKMLSEGIEVNKFVVDSLVNGLRQNGKIEEAVAFFKDMSGRGLSLDHVNFTTLIDGLFKAGDMPAAFKFGQELMDRNMLPDAVVYNVFINCLCMLGKSKEAKSFLTEMRNMSLNPDQSTYNTMIVSHCRKGETAKALKLFHEMKRSSIKPNLITYNILIAGLFESGQVEKAKYLLNEMVSAGFCPSSLTHRRVLQACSQSRRLDVIIDIHEWMMNAGLHADITVYNTLVHVLCCHGMTRKAKVVMEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQKISPNIATFNTLLGGLEYVGRIGEAGTVLNEMEKIGLEPNNLTYDILVTGYGKQSNKVEAIRLYCEMVGKGFVPKVSTYNALISDFTKAGMMAQAKELFKDMQQRGVHPTSCTYDILVSGWSRFRNGTEVRTILKDMKENGFSPSKGTLSFICRAFSKPGMTWEARRLFKNLYKVWNAEC >LPERR02G31330.1 pep chromosome:Lperr_V1.4:2:26000361:26002090:1 gene:LPERR02G31330 transcript:LPERR02G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALVAVASLLAVFLLYPLYLSPAAAARRLRNAGFSGPAPSFPLGNLREIASSLAVANKPTTRSSAGGGSIHAAVFPYFARWRGAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMIGVMEETTARMVNEWGDMVAAGNDVIDVEKGVVRNAAEIIAKASFGIDDGDATGAMVFSKLQEMQAMLFRSTRLVGVPLAGLLQIRATYDAWKLGREIDALLLDIIATRRRQQQQHRRGRSTDLLALLLAGNEAHAGVERKLTSRELVDECKTFFFGGHETTALALSWTLLMLAAHPDWQSAVRDEIFSVAGDESGHLDAAALSNLPKTSCVLNEVLRLYPPSPNVQRQALHDVTINNDNKNKNSSSQSVIIPKGTNMWIDVVAMHRDVELWGEDADLFRPERFMKEAVQGGCRHRMGFVPFGFGGRICVGRNLTAMELRVVLAMVLRRFELEVAPEYRHQPKIMLSLRPSHGIQLRLKPLK >LPERR02G31340.1 pep chromosome:Lperr_V1.4:2:26007859:26011389:-1 gene:LPERR02G31340 transcript:LPERR02G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGLGVPGASGHPAGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVAQHGGGGGASGSMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSMPHSSSPAMGTMVTTPGSGFGSGTGSGGSGSGAPTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISASGAVSSATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKTEQEPENNEEPMGDDEDTPTLALPEHNMPHHSMGGWSAGLMRPMDSRTSNIDINSIRE >LPERR02G31350.1 pep chromosome:Lperr_V1.4:2:26013913:26016478:-1 gene:LPERR02G31350 transcript:LPERR02G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQARKSKMKIDGGETKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDNDKMSKLPWHMYSIKLYSIGIIGFPCELKYMRERCGDVNSSWEK >LPERR02G31360.1 pep chromosome:Lperr_V1.4:2:26016770:26019000:1 gene:LPERR02G31360 transcript:LPERR02G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEAKRADAAAASPVTGGEVVKPAGGDAGAVVKPTDGPSAPPADKAAMPTGSADRDAILAKVELDRKLSMIKAWEESEKSKAENKAQKKLSSILAWENTRKAAVEAKLRTREEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEAMRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >LPERR02G31360.2 pep chromosome:Lperr_V1.4:2:26016874:26019000:1 gene:LPERR02G31360 transcript:LPERR02G31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVTSTSAAGGTNTNTLLFYELPQASESSKRFIIIFSWPSRVFGASARVLEMEAQEAKRADAAAASPVTGGEVVKPAGGDAGAVVKPTDGPSAPPADKAAMPTGSADRDAILAKVELDRKLSMIKAWEESEKSKAENKAQKKLSSILAWENTRKAAVEAKLRTREEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEAMRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >LPERR02G31370.1 pep chromosome:Lperr_V1.4:2:26019588:26020650:-1 gene:LPERR02G31370 transcript:LPERR02G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASPSPAAAERTPRPSTIPRSSSASAHRTPIGAASKPPLPRADAATTGKGVAKRLAYDDLAFPDADLAPLLDLPDPADSSTTVVSAAPEDASDSSALTEVADSTVMAINVEEKDPLPEQITLALAELHADHALSPRSRRLVTALVEAAAAAELCSSTATAARLRRAAFWGKLRVAVLAAMVAAVAAVDVALAVALLSSRRAGDQLPPT >LPERR02G31380.1 pep chromosome:Lperr_V1.4:2:26020847:26024230:1 gene:LPERR02G31380 transcript:LPERR02G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDIRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASDRR >LPERR02G31380.2 pep chromosome:Lperr_V1.4:2:26021016:26024230:1 gene:LPERR02G31380 transcript:LPERR02G31380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDIRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASDRR >LPERR02G31390.1 pep chromosome:Lperr_V1.4:2:26024343:26025064:-1 gene:LPERR02G31390 transcript:LPERR02G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEEAVRLSQLLANVPSIRDLHLNFMCEKCLDLDKLPEGYDIAWTSFFLEAAPYLKEMCITVWDHWCEIVIDKEERKVLGYSDKTNVEWMPSAPDGFRHHNLAKLTIYGFQPDDNFIGYIRRVMETSTNLEEISLYDKKMLPCCEDLDPKINKVAPSMLYPQNIEEQELVRKQVTEGLVIASPHVIIHFRS >LPERR02G31400.1 pep chromosome:Lperr_V1.4:2:26025111:26041303:-1 gene:LPERR02G31400 transcript:LPERR02G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSVDNRKGHPNDRLSKLPDDLLLSILDRLNVRDAARTSLLSRRWRHLPAMLSRLMIDFGDFLPNGRLTILSEDDLVRINAVVVQATKSILERRNPNECTIQQLCMVFFLTENDYISIGRAVEQAIATSKIDMAEFTILTEKFHTQCSDGDFVNYGRQFAFFFDSCPDAFGGLTRLNLGNMRFGDRTSPTSSRVVKSYGIYVCLTAIQELGPSCKSNTHNSMCSVFPSVVFKLIWLPELTTLIFEDWISFEDPLTFGYVPLLEAVRLTNVGLSWHKMVSLSKFLGKTHVRDLTLDFKSEKIWVQPEVPQRLASSFYKLRFVNLFRVPEGCDLTWTMFILEAAPHLKELYMTVWDHLCDMETDEGNRRALSYCEEKTIEWPVSAIDDFKHQNLAVLTIFGFQSEEYMVMYVKHVMEVAVNLEDVFLYNSNKLACVKCSSNNPVKQTKYPWTKRQRCSLKKRINQGTSFAILHFPAAIRDDHTARLKIIEGEKLWKAVARAMATNKLDSAEFTILTTYKLHRYTPTLSEPASFLHLRHMLSHFQISVKSFVPDDGYIWVQPECPKLLAPVLQNLQVLNLDELPEGCDIAWTNFFLEAAPALKEVCITVWDHWCEIETDKEEREEQGYCDKINMECKSSAPDGFRHYNLTKLTIHGFQPDENFMGYIRHTMEAAVNLEEISLYDRKVLECCEDLDPNIKISQSMYPQTVEEQELVRKQITEGLVMASPDIWVQPECLKLLAPVLQNLQQDLAHLNYPAMKNKKGSHRNHNKSVPFMVDRFTKLPDDILLNILNRLNTSNAVRTCLLSKRIAHLRHILSHFQIRVDSFLPDHGYDTFKNTINNVVADATETVLTFRSQEIPLHKLTVCFYLKYYNCLTIGKAVAQAMATNKLDSAEFIILTEMGQDCYTSYGLRHNGMQLMRFFNACTDAFAGLTRLDLHNLRLDETDILKILDTCKFLEYLHLSYCQIQEKDVLQLPVLKVEHTRLVEFHMLNACLEVVELNSVPNLKRLVYNTWKLT >LPERR02G31410.1 pep chromosome:Lperr_V1.4:2:26042546:26046855:-1 gene:LPERR02G31410 transcript:LPERR02G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQYKRMHEKLARQKQKGLLRHEKQLYLARLRSEIRASRLPAAATESPPDDGHGDGGGPTSSRAHIRALADRFLLPGAEDLWNDDDGPIHRTDRPLPPRRLVSGGRHQLRDSTRELPLGQPRAGRGGGMEPRLAAFNPRRDFQTTAAPWWWQWSSSYGIHSRTKEASLCFFGTKICYSVMPPFQVNQESGDASSMMPMIARGLASGRIAPSQLNEERLYSVAAGRFGRKWRPDSSDEDDKGISTAKKNLRFAKFGASSEEESEDDELEVRSAIRKKWSSAALRNCDMKKDRRALKSYEEENNDLTGSFRELREEIKNKEVLGVERRRYESRGESLFTNKRFDECGISPLTVKALTDAGYVQTTVVQETTIPMCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKSHTNHQVSPIFSLILCPTRELAIQLTAEANVLLKYYQGIGVQSLIGGTRFKLDQRRLETDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHMLDLGFRTDVEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVEQLYLTVPHELHFHMVYRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIHDLPVQKSNSPHIDEDMKQKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPSLYRKTALKMGLKDVPGIRVRK >LPERR02G31420.1 pep chromosome:Lperr_V1.4:2:26047188:26053558:1 gene:LPERR02G31420 transcript:LPERR02G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERKRVLVVGGSGYLGQHLLAALSSGGGGGGVDVAFTHHRETPPQPLLHALPGLRAFRVDLRSGDGLKAVSDSFGQPHVIVNCAAISVPRECETDPAAAMATNVPSSLVSWLLCFGNDSTLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVQDMVDVVLSLTKSWLAEGKAIQVLLNVGGPDRVSRLQMAESVADIRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVKATLAAEAST >LPERR02G31430.1 pep chromosome:Lperr_V1.4:2:26054875:26055602:-1 gene:LPERR02G31430 transcript:LPERR02G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDDEPAAEDAHDRPLVLSDANAPKDDKLPPMSKYFCLLLPHHSIA >LPERR02G31440.1 pep chromosome:Lperr_V1.4:2:26056308:26061567:-1 gene:LPERR02G31440 transcript:LPERR02G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEASMGVVREVLGSDVVDEVDQPIIDYFANVLADEDFDFGAPDGHGIFHALGELLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQTMRSLVTPLRMNEGMDEKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQMHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFNVTVGGRDLIQECTITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAHLVQQQKDLEYEAEFEQSTPKSKGGLDKDAISKRLEEIYKRLELIDADAAEARAASILAGLSFTPEMQRKHTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLEAYLLKWPKTFIVVSHAREFLNTVVTDILHLHGKKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQSMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWAVSEGKVTPFTGTFKDYKKIIK >LPERR02G31450.1 pep chromosome:Lperr_V1.4:2:26062608:26067932:-1 gene:LPERR02G31450 transcript:LPERR02G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNKPAVKFVQDRISDLPDDVLLNIFERLDTPDAVRTCILSKKMAMLPYLSITAWDHWCKMLRDKKKREERGYCEKANVEWEPSVSDLKHQNLAKLTIHGFQPDQTLRVIEAAVNLKKVILYDRKVGKCCASMDPKIKVTPSRYPRTIEEQELLKKIRQDRISDLPDDVLLNIFERLDTPDAVRTCILSKKMAMLPYMLSQFVVDANSFIPKDDDDSGFLSLRDTVQMNGAVVDATNNILTFRNPQIPLHQLRLRFYLRYYDFLSIGKAVSQAMATHDLDIIEFTNVTEKERIECTNDDLLFFAKQFNIFFSAYPGVFAGLTRLQIQNLRFDESDIPNILLNCKKLEFLRLYNCKSKSRTVLRVEHHHLVELQIAYGNFETVELVYLPKLERMTCQIWVLPESSEQLAPMLRELQHVTLRKLPEGCDINWTMFIVEAAPKLKELSITVWDHWCKILIDKKKREESGYCEKANVEWEPSVSDLKHQNLTKLTIHGFQPDQNFVGYVMRMMEVAINLKEISLYDRKVLECCEDLDPKIEVVPSRYLRTIDEQELLKKEMAEGVGIALSDVIHFRS >LPERR02G31460.1 pep chromosome:Lperr_V1.4:2:26068830:26074660:-1 gene:LPERR02G31460 transcript:LPERR02G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSARESGSLNLSNRSLREVPKEVYNNLDTGGQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNITHNNISSLPAAIGDLPLLKSLDISFNQISSLPEEIGFAAALVKVDCSNNRLTDLPASLARCLELSELNASNNTISIMPDELAGCSKLRRLNLEGNRLVTLSNKMCMSWTMLSEMNAAKNLLTTIPDSVGALSKLIRLDLHQNKITLIPPSIKGCSSLAEFYMGNNFLSSIPEDIGMLSNLGILDLHSNQLEEYPVGACRLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLAGNPMRALRSSLVSGPTTTLLKYLRSRLSSDEEASGSGSTPTKHGQIAAARRLSLSSKELDLSGLGVTSVPPAAWETNDVVKLDLSKNSIEALPNELSLCSSLHSLILSNNKIKRWPGTVISSLASLSLLKLDNNPLAEILATDLEALPKLEVLDLSGNASSLPEPSVFSALPHLQELYLRRMKLHGFPDGLLGLKQLRILNLSQNYLTTVPEDIKDLTSLVELDLSDNNITTLPPELGFLEANLQVLKLDGNPLRSIRRALLERGTKAILKYLKEKLPVE >LPERR02G31470.1 pep chromosome:Lperr_V1.4:2:26077223:26077792:1 gene:LPERR02G31470 transcript:LPERR02G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKKGRRGNRQARGLLEKDRFTSLPNDILINILERLLTPHAVRICSLSKKTAKLPALLSGIVLDVDHFSCIDPGYYLSLRDVIRTNGHVVDALDTLLTFRPPLAAGGKPREPIRKGISLCSNQSPSPSMGIVHPEGVFPVTIWD >LPERR02G31480.1 pep chromosome:Lperr_V1.4:2:26078146:26079721:1 gene:LPERR02G31480 transcript:LPERR02G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYWHWNTDDDPLYFLDVPLLSSLRLIDASAGWQKILRFGFTQNAQNYWSLSSRILTRLELQNLHIAEPNIYNMLSTCKHLKSLQLCHCVTHADQVVLQLEHTQLVGLKILCGNFILVELKCLPKLKIMAYRNWGTYWDPFSFGGVGVPLLSSLRLSDAASGWQKILRLSQFLSNIWVHPECPKLLGHVFHKLQRIMKWGKDAAEVAISEQVSEDEERGPFETIERISARNTRVAGAAVQNVSSKRRRQMERAKRFAQAE >LPERR02G31490.1 pep chromosome:Lperr_V1.4:2:26079843:26087619:1 gene:LPERR02G31490 transcript:LPERR02G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGLTGIRLPYRHLRDAEMELVSLNAGDSHRGGAPKGAPLNGTHHHHPHQEGGSPAAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAATVFILGFWMLDLANNTVQGPARALLADLSGGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVSSLKFRSCSRDICNVFLLFCMSVTLYFAEEIPLEPKDTQRLSDSAPLLNGSRDDGSTSNETNGELPNGHTDRSNVPANSSAEDSNSNSENAEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSEKKAYDDGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFVCMMATTILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASIFSLGAGVLAVLKLPKLSNSYKSAGFHGFG >LPERR02G31490.2 pep chromosome:Lperr_V1.4:2:26079843:26087619:1 gene:LPERR02G31490 transcript:LPERR02G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGLTGIRLPYRHLRDAEMELVSLNAGDSHRGGAPKGAPLNGTHHHHPHQEGGSPAAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAATVFILGFWMLDLANNTVQGPARALLADLSGGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACITLYFAEEIPLEPKDTQRLSDSAPLLNGSRDDGSTSNETNGELPNGHTDRSNVPANSSAEDSNSNSENAEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSEKKAYDDGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFVCMMATTILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASIFSLGAGVLAVLKLPKLSNSYKSAGFHGFG >LPERR02G31490.3 pep chromosome:Lperr_V1.4:2:26079843:26082736:1 gene:LPERR02G31490 transcript:LPERR02G31490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPALMRGIRPPRVFPTRGGRASPYALAFTALLLLSAFLLALIAFGVFSLPVSAPNASTTTGGDAESTDPRPARTRARRDLSQGLGERGAQWTEVISWEPRAFVHHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATVLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDASLDPLSLHGGCPVIKGNKWSSTKWMHVHEWILYKALTGHWQLCGGKR >LPERR02G31500.1 pep chromosome:Lperr_V1.4:2:26088042:26092799:1 gene:LPERR02G31500 transcript:LPERR02G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIDAAPDLPNGSSAAASDKKKSRESERRRRRRKQKKKPAPNAADSDATAGDAADAAAAAEEKPDVKPQVEVEVEYVPEQADLDDSLLADFKSIFDKFTFKDSSAAAEDDEKKDEAADAAKKAAGSDSEDDEQGTQQKKEGGMSNKQKKLQRRMKIAELKQVCNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDLSTRTDCYSEYLQEEPVDRSKHWGDLEEEEEEEEEETDGEEEEEAMEDEEMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHTYVVGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENANKRKRKQEKDGKSKKKDFKF >LPERR02G31500.2 pep chromosome:Lperr_V1.4:2:26088042:26092799:1 gene:LPERR02G31500 transcript:LPERR02G31500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIDAAPDLPNGSSAAASDKKKSRESERRRRRRKQKKKPAPNAADSDATAGDAADAAAAAEEKPDVKPQVEVEVEYVPEQADLDDSLLADFKSIFDKFTFKDSSAAAEDDEKKDEAADAAKKAAGSDSEDDEQGTQQKKEGGMSNKQKKLQRRMKIAELKQVCNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEETDGEEEEEAMEDEEMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHTYVVGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENANKRKRKQEKDGKSKKKDFKF >LPERR02G31510.1 pep chromosome:Lperr_V1.4:2:26095001:26101575:1 gene:LPERR02G31510 transcript:LPERR02G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLGFLLGLLALAAAEAAALLWLVRRLRRREPAPPPAPSPDAVELPGERPFPYKKQGFLWILEPEKIPKGNTERSSIGGPKEAKDKKNIVEVLPAKKLAKIKGHSLILYPIKLESKECQIYNGSKACYLYAETSWEKESWCKALRIAATADKKTLNWHAKLSEEFCNYISSLNSEYPCFLKPAVFSGEDHDVMDRTIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSLGSSTQGERKILDKIRSYQGTPFIEGLIGSQDDKSNSTSSQDTVKPSASTHAQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSSMRTPAYVGDITLTDFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDPQKSLSSVEEKDEADASQSKSTGWTSTYVSRWKNIVHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHVASLIGSRFKASLRDSLVLPNCESIPIPFMLAEKDDWVPRKDAPFIWLNREPSESRSHAATVTPTQPEELSLKDDASNKIVTPSMPSSSARSEEALKTATSIDEPTQVPVVSADASHELKKSPLALTGEASPSSPDAIDELRKPLLISEKLQEDDSESKMGSPSYPYTSLRAIVPGEQAGDDSKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRHIVEKMRENARTNSFDRSTSSNSTSNS >LPERR02G31510.2 pep chromosome:Lperr_V1.4:2:26095001:26100105:1 gene:LPERR02G31510 transcript:LPERR02G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLGFLLGLLALAAAEAAALLWLVRRLRRREPAPPPAPSPDAVELPGERPFPYKKQGFLWILEPEKIPKGNTERSSIGGPKEAKDKKNIVEVLPAKKLAKIKGHSLILYPIKLESKECQIYNGSKACYLYAETSWEKESWCKALRIAATADKKTLNWHAKLSEEFCNYISSLNSEYPCFLKPAVFSGEDHDVMDRTIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSLGSSTQGERKILDKIRSYQGTPFIEGLIGSQDDKSNSTSSQDTVKPSASTHAQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSSMRTPAYVGDITLTDFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDPQKSLSSVEEKDEADASQSKSTGWTSTYVSRWKNIVHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHVASLIGSRFKASLRDSLVLPNCESIPIPFMLAEKDDWVPRKDAPFIWLNREPSESRSHAATVTPTQPEELSLKDDASNKIVTPSMPSSSARSEEALKTATSIDEPTQVPVVSADASHELKKSPLALTGEASPSSPDAIDELRKPLLISEKLQEDDSESKMGSPSYPYTSLRAIVPGEQAGDDSKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRHIVEKMRENARTNSFDRSTSSNSTSNNGKRSSCARYMNMYVRRVLPQQTGQVIRQIL >LPERR02G31510.3 pep chromosome:Lperr_V1.4:2:26095001:26101290:1 gene:LPERR02G31510 transcript:LPERR02G31510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLGFLLGLLALAAAEAAALLWLVRRLRRREPAPPPAPSPDAVELPGERPFPYKKQGFLWILEPEKIPKGNTERSSIGGPKEAKDKKNIVEVLPAKKLAKIKGHSLILYPIKLESKECQIYNGSKACYLYAETSWEKESWCKALRIAATADKKTLNWHAKLSEEFCNYISSLNSEYPCFLKPAVFSGEDHDVMDRTIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSLGSSTQGERKILDKIRSYQGTPFIEGLIGSQDDKSNSTSSQDTVKPSASTHAQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSSMRTPAYVGDITLTDFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDPQKSLSSVEEKDEADASQSKSTGWTSTYVSRWKNIVHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHVASLIGSRFKASLRDSLVLPNCESIPIPFMLAEKDDWVPRKDAPFIWLNREPSESRSHAATVTPTQPEELSLKDDASNKIVTPSMPSSSARSEEALKTATSIDEPTQVPVVSADASHELKKSPLALTGEASPSSPDAIDELRKPLLISEKLQEDDSESKMGSPSYPYTSLRAIVPGEQAGDDSKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRHIVEKMRENARTNSFDRSTSSNSTSNS >LPERR02G31520.1 pep chromosome:Lperr_V1.4:2:26100328:26102329:-1 gene:LPERR02G31520 transcript:LPERR02G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPPAVKTLLPAPPPPPRLRRLPATAAAGRTDNAAAASGTTARERRLAKVREERRRLLENACKDDDELRAILGDSIGNPDLMKQRVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSEQASGVMPSSFHDISDVEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMTNSDYGYKHFKI >LPERR02G31530.1 pep chromosome:Lperr_V1.4:2:26102585:26103559:1 gene:LPERR02G31530 transcript:LPERR02G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASPAPAERTPRPSTLPRSSASKPPLPRAADVSSSSSATTTAKGVAKRLAYDDDDLAFPDAPLLDLPDPADSITTLISAAPEDASDSSALTEVAAAPADPSEMVVKVDEPLPEQITLALAELHAGRGLSPASNRLVTALVDAVATELSSTATAVRLRRALFWRKVRIGIVATTLAAVAAIDVALAVALLSFRRTDHQLPPT >LPERR02G31540.1 pep chromosome:Lperr_V1.4:2:26105779:26107738:-1 gene:LPERR02G31540 transcript:LPERR02G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAAGVEAICAAVIKSTLTQSHHHLLSASPSLLAGVLGRLTPLPTTALAFFRSLPPPHPLDASLALLRLLAAHPRHHATARSFLRDLSLRHPLSSPLLLPSLLDLADVPSWLLLVLSQSSRPHDAVRVFDQMRARGIVPDAHACSALLTALAKARMTAAARKVFDEMSRAGVAMNTHVYNAMLHVCLKAGNTALAEAIMTRMDAAGVQLDTFSFNTMIALYCRKGMQYEAMCVRERMENEGVKADVVTWNSLIHGLSKEGRVKEAARLLKEMANAGVVPNHVTYTTLVDGYCRAGDLVEATRLRGEMEAMGMLPGVATYNAILRKLCEDGNMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSARKVKRRMMESGLQLDQFTYKALIHGFCKTKELDEAKEALFEMMGAGFSPNYSVFSWIVDGLCVKNNEVEVLAIPDELTKRGFPPDKAVYRSLIRRLCKKGFIDLAGKVFDEMLGKGLEVDCLVYATLACAYLTAGKPAAAFDTLDEMVKKQLYITPQIYNCICTSYADEKGSLNMLWVHAIERGLIKKSVYKVIHQARMKSSNPAV >LPERR02G31550.1 pep chromosome:Lperr_V1.4:2:26108093:26109567:-1 gene:LPERR02G31550 transcript:LPERR02G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPIRAMQIRHALFQFITLGIVIAAALMLWKGFVVMTGSESPLVVVLSESMEPGFKRGDILFLHMSKDPIRTGEIVVFNDGRGIPIVHRVIELWLQQHHIMGRAIGYVPYAGWVTIAMTEKPFIKYLLIGAQGLLVIAP >LPERR02G31560.1 pep chromosome:Lperr_V1.4:2:26110191:26114135:-1 gene:LPERR02G31560 transcript:LPERR02G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKPNDASAIRRRPGSIGEVAVFVPGLRVPESSEELPLQPLGDGLARRLAERLAAMRNRIIVMAAHEAIYMTKPTWRITITQHGGSKSADLIQVLEDYLPTLLGLVKDGSELEDKIQFAWMNQEDDAEDTSMPSAWYEVLSVLHMMAMLRLTQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEQRWCACPLIAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAYESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEEFLKESKAACEAFHAATPCRCPPLWGSMRYLHEKIQKESSCKVRINKDLYDNDNSRMIHESVPALPDFAVALKPEEYRLPVLTLTDDAAND >LPERR02G31570.1 pep chromosome:Lperr_V1.4:2:26115882:26122896:1 gene:LPERR02G31570 transcript:LPERR02G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPRRDATLAAALPFLRGEEEQIDPALPKLASVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVAWCGLYHSAYSNSYVNLAIFQPDVGRDHVRPIVGAEAERLVHLFCIVPRHQLIHDELLFNYADEDLVADLASSEASLQDARRGVFRDGEAWRGKIQRLLPPDGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFNNTNGLLEFSGNTWTSLWPGTGKPGLWTTSISRMGALYTLIVREEEIYISQRKHSNNGQERDESAAARDEDIDLVIPPVFNGCTQVLSTNDQKAARDLYWEAVCSGEDETDWRKVEELLRQTIAKNPFVGEPHLVLAQVLLNMEMYEEAEEQTEAGLKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKEKDWPHTSFGVLSLGLVNGQAARQLPISVDADRRDNMDGGGDLQQVLAAARPFLRGDLSKVDSSLPSLVTVLCDAGAGECYHKHGTFLAHLLDVHRILRLWSAPDAVSRCGLYHSAYSNSYVNLAIFLPDVSRAHVRSIIGAAAERLVHLFCVVPRHRLIHHDLLFRYSHADLAADLAAASGDGDDEAAWRVRLGEVVPVEGVVARHIRTGEPVALSRRVLAAFILITIADFSDQYTDYQDDLFGNREDGRLEYSGDNWRAIWPGTGKPGLWMSSMSRLAALYRLIAIDEQLRGSNNIVEPEDLELELVIPPVFDGCSKVLDAGEQKEARDLYWEAVCGEWEEGKTEELLRRSIEKNPFVGEPWLVLAQAIMNSDSADDRRYGEAAAAAEKGLRLVVEWGSSWDKRMSWEGWVSWGRVLLHGAKDQSWPTTAWGIINLGLVKS >LPERR02G31580.1 pep chromosome:Lperr_V1.4:2:26127130:26127819:-1 gene:LPERR02G31580 transcript:LPERR02G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGAAAAAAPDKQLVPAAPTTNGALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSTTAASNASPFILGKRLRAADDSPAPDLAAHPVAPTQGFWALPARADFGQLWSFAAAPEMMVAAAAAPAMAGEASAARVGNYLPMAQGNLNLLASFSGGPGGAGAAAAAATGRAEEESAR >LPERR02G31590.1 pep chromosome:Lperr_V1.4:2:26136164:26136436:-1 gene:LPERR02G31590 transcript:LPERR02G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQHTATACFFLTGVALIVAAARLSYANIDPQRAKAAASSRTSSDASAASTTTPLNPSCADSTTTFIFFLHAEDACPGFRSRLSRLPV >LPERR02G31600.1 pep chromosome:Lperr_V1.4:2:26138073:26145328:1 gene:LPERR02G31600 transcript:LPERR02G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTEATGAAIDGSAGVEAKRKRGRPRGSFKVVKKPKSRSRDDWTFRPPVMRPEDEGRGVAARPGALRARKPPPTALVEPDVTDDDEVDVEETAKDEAFKPQNMPTRRGAGKKRGSRKKKVDQENIKRQGNNANAAKGMMLGNAKVLKPAKKRKRRDADSDEEKGKKKLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCISQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISEQNQIRYACHVVRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKIEQAVCDPDERVYCNRCSTSIVDFHRSCKHCLYDLCLTCCQEVRKGEIPGGEEVEKLEPINRGKDYCFGKIPNHKKPSDISNGDELGNDMATDENQKKSLLFWKSTSDGSILCPQKENEDCSGSFLDLKCLFPEKWLAELEYRAEKIFNSETFAKELARTCDLCPCFDHSGKIRSDSKKVRQAAKREDSSDNYLYCPVATAIQDDDLLHFQMHWAKGEPVVVSDTLKSTSGLSWEPMVMWRALRERAKGKAKDEQFAVRAVDCLDWCEVVINIHMFFSGYTTGRTHPRNHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPAGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDISDAVNILTHTAEVPLGTYNAVRIEKTQQKIKMQDYVEIYGKIKSGIEHMPSPDRVELGDRAVDEAPKASSSTENVHTFQDKSNGFDMNTLPPDDAGGDVRDEALSYESAVHSEVAYHNHEVNNSDDTYNGTPLKTSPDVLDHQNRGGALWDIFRREDSEKLQYFLMKHASEFRNIHCDTVKQVFHPIHDQCFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAMDFVSPENVGECVRLTKEFRRLPSFHRAKEDKLEIKKMALHALNEVINFLDPAFSKGSKRTDVKAKDETEASGGNELGKPSNEESDQSRSEAAEQKPKRGRGRPKKRTR >LPERR02G31600.2 pep chromosome:Lperr_V1.4:2:26138073:26144726:1 gene:LPERR02G31600 transcript:LPERR02G31600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTEATGAAIDGSAGVEAKRKRGRPRGSFKVVKKPKSRSRDDWTFRPPVMRPEDEGRGVAARPGALRARKPPPTALVEPDVTDDDEVDVEETAKDEAFKPQNMPTRRGAGKKRGSRKKKVDQENIKRQGNNANAAKGMMLGNAKVLKPAKKRKRRDADSDEEKGKKKLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCISQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISEQNQIRYACHVVRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKIEQAVCDPDERVYCNRCSTSIVDFHRSCKHCLYDLCLTCCQEVRKGEIPGGEEVEKLEPINRGKDYCFGKIPNHKKPSDISNGDELGNDMATDENQKKSLLFWKSTSDGSILCPQKENEDCSGSFLDLKCLFPEKWLAELEYRAEKIFNSETFAKELARTCDLCPCFDHSGKIRSDSKKVRQAAKREDSSDNYLYCPVATAIQDDDLLHFQMHWAKGEPVVVSDTLKSTSGLSWEPMVMWRALRERAKGKAKDEQFAVRAVDCLDWCEVVINIHMFFSGYTTGRTHPRNHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPAGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDISDAVNILTHTAEVPLGTYNAVRIEKTQQKIKMQDYVEIYGKIKSGIEHMPSPDRVELGDRAVDEAPKASSSTENVHTFQDKSNGFDMNTLPPDDAGGDVRDEALSYESAVHSEVAYHNHEVNNSDDTYNGTPLKTSPDVLDHQNRGGALWDIFRREDSEKLQYFLMKHASEFRNIHCDTVKQVFHPIHDQCFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAMDFVSPENVGECVRLTKEFRRLPSFHRAKEDKLEIKKMALHALNEVINFLDPAFSKGSKRTDVKAKDETEASGGNELGKPSNEESDQSRSEAAEQKPKRGRGRPKKRTR >LPERR02G31610.1 pep chromosome:Lperr_V1.4:2:26155339:26162473:-1 gene:LPERR02G31610 transcript:LPERR02G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGTPPPPPSLSTSAASTSSCSFLPSLIPLRRRHRCLCHAFGPPRRPPPISANNLPPPPDVSELAPPPTSSTFAPRVEVGEDPLVSKLRTQLGVIHPLPSPPLNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLQEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQFLTKLLTEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNFASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQVIKSKKNSQTSVIGQPGEPIWNQDFHMLVTNPRKQKLCIEVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVSLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKSEFAAGYVSDEDVLDYAQDGTSDMDGKERETFMDLLAALLVSEEFQGIVSSEPGSSRDSEEANDQQAAKSRDGKNVAAAVTDTGTVSNSSTDTALVWLAAITSVMTRGASSTMPLTVKQISDAQQSGNSGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDASDSAETAAVQNGMYVSVIGSVKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENNKSNIASPAKTNSAMGSSSSNGLSEMTTQTSAKSNPAQLTSVTNGSEKDDLQTQVLNVLREPAYVESEHGVHIDEIAKRFRQPAAKIKEAVDYLSDIGHIYSTIDDSHYKSAFTE >LPERR02G31620.1 pep chromosome:Lperr_V1.4:2:26162524:26164207:-1 gene:LPERR02G31620 transcript:LPERR02G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCRLDWVGLLTLSWAGLYWATLVAEEHISLPLQYDRSSFPWKKKKETEEKKKQCKKRETDWGGDGGENWWGGRLHADTVWCGCRGDAPVTTVSELPLPPQAGSCCEPPVLNSNFAACLLPLPTR >LPERR02G31630.1 pep chromosome:Lperr_V1.4:2:26164102:26168322:1 gene:LPERR02G31630 transcript:LPERR02G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPPTPATLSPQEWEQLIEDFPTPRRQRWLHLPLLDLALSSLPRRDLPSHLRSLLLSFLDDHLLLHHHPLSASHLSLLLSSLLAFPSDHHLLVTVTSAFASALSAPIYAHHVDPLAGIVTALLASANRPNHAPDRAARALACDALRALDAALPGLLADVLAHLYALAAAERSPAAQSYLLLLASAARHVVRLGRLSSTTSILAVSGPPTPFYVPAHLLSDPAPDPPANPPSDVNLRDIRKVLALIMERPQVLTPAAAMEMTAILAEVSAAVVMWAPAIAAHVKVQFGGMAHSSSLMLLHSVLTLFVQFPDAFGAEDERKMACRLALAALEAHRPLAARLLALHWLLGSGKFRYAVPGLARWFYPGLFDPLAVKAKKLDCLALVAAGVDGHKIEGGRDVDQKIGLVDDGLACVSAFRWLPGWSTETAVAFRVLHGVLVAAAPHSTDGSECSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLRTLDENLLPRLEPGFQLASYYPLFEKIAENGTVPQSRLIELLTKQMVSLAKKHDPETELKTWSQGSKVVGICRVMLKHHHSSRIFLPLSHLLVLTIKSYPDLEVRDHARICLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPGLASYIHLERVMPLVVKQSWALTLPNFSIQSRASGQIFSIQDVSSTPSEQESTPQPTIERIGYTQEALRVMDSKGAETLEILRRHFACVPDYLHSSGLKIRIPCTFRFDSEPFNHAWGSDSAAPGSEGVDGLPALYAVTIRFSSSAQFGKIPSCHVPFLLGEPPGSGMDIVPLDNGHQLESSYCASVVIELEPREPSPGLIDVVIAANTENCQVISGSIQPITVGIEDMFLKANVPPDIMKEDVAEYYRDLFHALWEACNSCSNTGRETFPLDGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILKGNAIIKNILWEESESDPAVGTDALVPYSLDTNLLLKRIDEDEFEVGAETYAQLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >LPERR02G31640.1 pep chromosome:Lperr_V1.4:2:26168598:26171471:-1 gene:LPERR02G31640 transcript:LPERR02G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLNLSFTAAPLASPAPRRARARAAASFRASASSPALDRRRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISEEDRTKALQALLSCPTSSIHTEKPAKDILQVQDMFPIPIDTDLLPGVYLCGYHSQDSYGATSYLITHPDGNILVDSPRYTTKLADNIKKLGGARYMFLTHKDDVADHRKWAELLKCERIIHSGDVENVTVDVEWKLTGNGPWNIGADFELIHTPGHTEGSVCLFYKPLKALFTGDHVAKSEESDGLNLFRMYSKQSVGLQLDSLRKLLKLDFQWFLPGHGYRIHYKDVHAKDSAIESAIASYTS >LPERR02G31640.2 pep chromosome:Lperr_V1.4:2:26168598:26171471:-1 gene:LPERR02G31640 transcript:LPERR02G31640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLNLSFTAAPLASPAPRRARARAAASFRASASSPALDRRRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISEEDRTKALQVTCHANLLSSSIFLNFNAKLNHASHVVGVQALLSCPTSSIHTEKPAKDILQVQDMFPIPIDTDLLPGVYLCGYHSQDSYGATSYLITHPDGNILVDSPRYTTKLADNIKKLGGARYMFLTHKDDVADHRKWAELLKCERIIHSGDVENVTVDVEWKLTGNGPWNIGADFELIHTPGHTEGSVCLFYKPLKALFTGDHVAKSEESDGLNLFRMYSKQSVGLQLDSLRKLLKLDFQWFLPGHGYRIHYKDVHAKDSAIESAIASYTS >LPERR02G31650.1 pep chromosome:Lperr_V1.4:2:26172093:26175511:-1 gene:LPERR02G31650 transcript:LPERR02G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSPPPTSGSRLGRVRFQDLAPYDGGATAAYGRAVHALSASLTRHGAALLVDLPAAHAAILRCALHSARAFFHHQPQSQPLFLYRAGRTSDDGGDLSSPACMDDAFRCLGEAARAALSAIARHLRLRTNVFDHLLDDTPLPFNEVSSSELLVAYSNQQHLHSGHVPTSAPQVDRGFLMLVASDHPGIEVCDPNGQWYLADGLSGPGDLLLLTGRALSHVTAGLRQTSRYRITNESRGSLTFRLMPRANAILDCSPISAAGHCVPQMYQSISASQFMDDLCAEEHAISNHSEAPSGSQGSFVSEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKEVTERNDVLKSTGGSRGNGELLLDTENSPSPRGVQYPFVVGERVLIMGNRRTPDKFIGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQPQSEMRRLQPLTFLHNKYPSGS >LPERR02G31650.2 pep chromosome:Lperr_V1.4:2:26172093:26175121:-1 gene:LPERR02G31650 transcript:LPERR02G31650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFRCLGEAARAALSAIARHLRLRTNVFDHLLDDTPLPFNEVSSSELLVAYSNQQHLHSGHVPTSAPQVDRGFLMLVASDHPGIEVCDPNGQWYLADGLSGPGDLLLLTGRALSHVTAGLRQTSRYRITNESRGSLTFRLMPRANAILDCSPISAAGHCVPQMYQSISASQFMDDLCAEEHAISNHSEAPSGSQGSFVSEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKEGNGELLLDTENSPSPRGVQYPFVVGERVLIMGNRRTPDKFIGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQPQSEMRRLQPLTFLHNKYPSGS >LPERR02G31660.1 pep chromosome:Lperr_V1.4:2:26176608:26176946:1 gene:LPERR02G31660 transcript:LPERR02G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMESRVDSMAAAYDELVDAVAALIAEPVRTPAAINRLNSRLHAFVVSCDRADDLVAAATNQLALHTGAAAPPPSPGTGAGRLDALLQALQAISPEDLQAKDTAAADNNN >LPERR02G31670.1 pep chromosome:Lperr_V1.4:2:26178984:26180252:-1 gene:LPERR02G31670 transcript:LPERR02G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRRSLAAGPAAAPHLLRRQLLIRLLSTTQTQTPADLATLKNSIRSAAHTPDALADLFISGLSHPAFISDRPLFTLSVNRLASAGRRDLVASILSSSLTSLPSPHPSEGFLIRLISLYSAASMPEHSLSTFNIISPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAQLGIKPSVVSHNVILKSLVASGDLASARALFDEMPAKAGIEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTATGGKRRLKPNVVTYNLRMAALCSKGRSFEAAELLDAMEAKGVPPNRGIFNTVINGLCNEGEVGAAVAIFKRMPEVARPNGKGVSPNSETYIMLLEALVNKGVVDPALEVFKECLQNKWAPPFQAVQGLIKGLLKSRKAKHAKEVAMGMRKVVKGDAKEEWKKVEAEFAFEPTNKNAA >LPERR02G31680.1 pep chromosome:Lperr_V1.4:2:26180926:26184118:-1 gene:LPERR02G31680 transcript:LPERR02G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEKETGLSLPALCLSSDLTNGRAVGKLEPTARFSSLGNWDPSSSCCCFYLPFVVDLLLAVLISFRFKLYFDGRPKLTSFRLTAAVAMTMNMVASSSIQQPQIHISQRTAGRSVCKLQVAAMSGSRRRRTLGAIRAVSDGGGGGESTSNNDKEEKRRREELERLVGRPEDATFSGADLAALIRGKYGRSYDVTLIRKEFMGRSLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >LPERR02G31690.1 pep chromosome:Lperr_V1.4:2:26184345:26185847:1 gene:LPERR02G31690 transcript:LPERR02G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIATAALHLLLSRPSLTAAQLRQVHAQLIISSLLSDPFFPNSLLRSLLPASPHRALRLFPRLRRIIHTNPFFPNSYTFSFLLTASASASLHPPTPPPPHVSTLVSSLHALAVVLALDAHAYVSNGLIHAYSSCALLSSARRVFHLNASCRDVCSWTSLLTAYARAARLHDARHLFDEMPDKTTVAYGAMLSAYVGAGSFADAVDVFRDMLRARVRPNRAAVLGVLAACGALGALEQGRWVHAMVSGPSAGAMASDGMVATALIDMYGKCGSLETARQVFDGMPARDVFAYTAMITALSDHGRCAEAIELFGRMQEDGVRPNEVTLICVLSACGRAGMVERAREIFGSMAAAYGMEPGVEHFGSMVDALGRAGMVEEALELVRNMPMRPDSYVMGALLNACVALGGEEVAARVAELGILERSSGAQVQLSNLYAGRGKWEEVVSVRRGMEERELVKVPGCSMVEVDGVAWEFLAGDRSHESWIMDVAAHLHKHLRSTIQ >LPERR02G31700.1 pep chromosome:Lperr_V1.4:2:26186149:26190676:-1 gene:LPERR02G31700 transcript:LPERR02G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRGGGIPVAMVLLIFSASFLRLPLPLLARARLPPNPSRITTRASRSRALLLLPSSSPLRAFCPASRRPSPATCSAYSSMAAADDNPLLADFDFPPFDRVEPSHVRPAVRTLLARLEGELADLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYEAFNAIRNSSDWDTLSEARKRIVEAQIKEAVLIGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAVSKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVQDMEDLKAFAKGSGSPEANDLAHWDLNFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLAHKLFGVTVEPADGLAPVWNSDVQFYCVKDSSNSPVAYFYFDPYSRPAEKRGGAWMNVVFSRSRVLARNGSSVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGIEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPVEIYEKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDIDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPAAA >LPERR02G31710.1 pep chromosome:Lperr_V1.4:2:26191480:26192212:-1 gene:LPERR02G31710 transcript:LPERR02G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVPEPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALEILSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCSRVMK >LPERR02G31720.1 pep chromosome:Lperr_V1.4:2:26194714:26200773:-1 gene:LPERR02G31720 transcript:LPERR02G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVGFFSGVWSRLRAAASAWRRSENDDDADDGQNEETVRSRLVRRAAAARRLAQKLAFVSINLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTTRLNLKDHRRLKRLQEEKQANDCESSKFNQNDLISSQNCNDVADASNSLPATDSNSTSLPATHSVNITLKPRKRRQPSIGSRADGEIDLSWGHSKDFQPMPSDGLRKRRFSSEKTCATNSSATVSIEEKIQDTMPSNVADSSCGEGFPEFSESNIVYSQANNIKPSRGSSAPLISYQGILPTYGNEEVPAASTHIYKHGGAHDSTKDTVYPPLDYRNHSGPVISVKLAEPPTIHHESPIGGDEDRVFGRLMETVNTNFSSSKDNLIRLVKSHDTSFDRGDSCLPEHGIPSLSTVFRELPVKVSKENSPSQPEKLEPCPVSIKEAPASPSNYTVAHSSLNDMSPNSSDPGLSASENFVKVPPEWSKEESFLEPHKPAARQSDTFAPEKIPTLHAIDGNGVIINSDEDTSALAYTCSNANINEAIVNIDTSSCRLNLPAFQQLHREEVEDPEVSFSSSAEVVMKGDEDAIEKEPCGFEAQEGNGTSICLQEEALLGPFVVSATEQYLETLGFPSCHQDDDMMEFSGIVAGNPELNNPTSRELFKDSDEVSMEELSYDLHLKEQNNLPFNLEKEDFLDPPVVDIAEHSLATFSVEEISISPEEHINSEKYSFYSRSSSCISEVNMGYAPGDGASSKPENSHIFSFDEQKTMMVHSVNSAENYGNNTMPAEFIPETNVIETLDVAGEAMAGLLHEVSSNTVDAFVTPDIGNGMGKSDDYLDLLSSSSAHTIEDFKAENNPYKMPMLYSADANLIGCLGGVQQETHPQQEETALCFDNLCMTRQDENSENSFTNLRSQDMPDDSVSEILQVEEILSSDSLHDGIFSPEGTLISLDDGNNADSPCVLHDTQINRNLLGLQEGSLKPQAEHTMNSISRNEVHIAKRPACNISEESMVADLQDTNKTPSEPRDEFFSSFSGACNFLDDSKGSTNHPYYSRSASPRRNLIKIPEAVQGETVEPDDKNFYSFEETLTPGYSSNSPRSSYNCKQEAVGSSEKGLAGPLLVDVHSFDMIAASEERENKSLTESAHSSDETSIIQAEVKYAENFLDKLGLFSYAQKDNCIVDPENFDRRSCEPQYQEGPEIAVSLAGMPLLVDIDTENEKGHDSTRCSPSQVEFNILEDPLQELSIDAGNKVLPKGAGVHEWHATDKEPKDSRLDDVKEDLKESDEDHESSPVNPPEVAESTPAHAPMPSVKLNAKDASWRDSAMGVSNSFEVAQSAGLRQRKQVFTISNITESSTVAELVDGQSTELVDNVVGSLSAPLPPSAAPSIEIDQMLSIGNKYDAVLD >LPERR02G31730.1 pep chromosome:Lperr_V1.4:2:26201848:26202342:-1 gene:LPERR02G31730 transcript:LPERR02G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRRGRGYRGRKKGVVLVVGEKRMDRGGRYTYLVYNQNLKDMYMTTTQEKEDGEWILMRELPRRPVSQVDSLRDKEERLDLGMVGGRQPRAHPPPLRLPSAQPTQLAGFHPALRVARHGHLRQLGIHGSRQDHLCLATCNLRCYDLPDNVQLAYLLQPTPLT >LPERR02G31740.1 pep chromosome:Lperr_V1.4:2:26202737:26206101:-1 gene:LPERR02G31740 transcript:LPERR02G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDERRMGGRRRKRLLFAMETKSTDGWKYLVLSIDVKHMFHESDDCGSEPRLVADFGPQKLDRLDFTVVGSNVVAVSSCKRTLLYDVDAENSSVSAGPELGYDLAGGAVLIPLGNRVYAIGRGPSWMISPSFQALTPGRWRTLPPPPQELCRVVYESLGGWMGGGTHVWLSAPGKGTFAFDTQANAGLGAPYPGTVPDLDRLCFGICSTRRHRNFLCAFDLPTANNSRPPVIRYVWPATYPHEYYDGCYSPLLLRPSLAYLGRGRFCITWTMGTEFDRNSFARRFALLLIAVQLSPHRPHCHSSGKLRLVKRRLRCYNMDANGREAYVLNPDLLFFIPLEAGVLYASANTPAGHPQALIPSDGKRRRWSWRSLPKPPRELWGRAFRGWQGVGTHIWMSTPDRGTYSFDTTAHSWRKEGEWELPFMGRALFLHHLCFGICPHLLCLCAFDLPKSGTHEPVTPRYVWPASFPHDAGCLLITPGNLSYLGDGNFCIAWTMAIEFEYNGALRSPSSSPGTRISSALSIARCDATTCHPMDEMPTCFSLPYLPPPTLPERTTSPVPSPGALIIYGGDGSLDVFVKSQEADMSKPGKWLTARDAAGKDCCYRMSRRRRKAFLLQPSLCRYGPRKYEIVRFRPVSVSYYSASIHVQCISYYSFAFKVVIVLERSAYFMTGLVINNM >LPERR02G31750.1 pep chromosome:Lperr_V1.4:2:26213600:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.10 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.11 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.12 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSVMSCDAHKYLDLIREHSSLVPPMNGRGLMGRMRDALFSLKTQKYPDYNKVLQKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.13 pep chromosome:Lperr_V1.4:2:26221392:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLWLLGLMFFFALGVLLAEFNQRRGSIQQINAWRTACTCLLLACIPTGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.2 pep chromosome:Lperr_V1.4:2:26213600:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.3 pep chromosome:Lperr_V1.4:2:26213600:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.4 pep chromosome:Lperr_V1.4:2:26213600:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSVMSCDAHKYLDLIREHSSLVPPMNGRGLMGRMRDALFSLKTQKYPDYNKVLQKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAMFFFALGVLLAEFNQRRGSIQQINAWRTACTCLLLACIPTGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.5 pep chromosome:Lperr_V1.4:2:26213600:26222346:1 gene:LPERR02G31750 transcript:LPERR02G31750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSVMSCDAHKYLDLIREHSSLVPPMNGRGLMGRMRDALFSLKTQKYPDYNKVLQKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKMFFFALGVLLAEFNQRRGSIQQINAWRTACTCLLLACIPTGFGGSCANRRELNFSLPGYMQLGIADWWLEARSHFRRFAGHFGRREMQEFFSINSNLQRHCALISKNKCQSGEQQAFSRIGIQLDSFLS >LPERR02G31750.6 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.7 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.8 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHWSICKCKYCHDLLVVKYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSVMSCDAHKYLDLIREHSSLVPPMNGRGLMGRMRDALFSLKTQKYPDYNKVLQKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31750.9 pep chromosome:Lperr_V1.4:2:26213600:26221047:1 gene:LPERR02G31750 transcript:LPERR02G31750.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHYDKTLSMAGEAAAPSPQMGGCQHVGTFVECNRKKYEQHHSRQFDVRCVSDKSKGKLFGRQKTIIHEVMGSQKPAGVLLRTNKKSFGVLALATTFWIFSRLYLYCGRNMKYLFVLKSGPCIKVIRIEARSFCFKMNHLKHYRDYSGCFISLRDYCSGADLITSVNIMGASVTASLTGTAQFSALAESGRLLILHLIKIHMDCLDDGLCLNELSIDDLEVDLNYDHLPRLLRTAIEVPKSDDLIDKSFLNLAILIQRGVRRKYTDIPADLLHLFSVMSCDAHKYLDLIREHSSLVPPMNGRGLMGRMRDALFSLKTQKYPDYNKVLQKIVFPADWETLIQHYRYLILIHNSRPKPKSGTKKGQAVQMQGIQGAPGQQPVQVQGIQGAPGQQGGIPTTVQALGGSSGAAQGGGQHGQRHKQVGLPRAQGHQGKDKQAPNQRIPKAMVAKSSVSTSSGTPLQSLQAKPQPLPKQQQQQQTPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAARKQQKQQPPAVRQQKQPAAVRKQQQQQPPPPMPQQLPPPPPAPRWPPLHIGKYNGPNTDPAEEAVRYNRNGREQRTDLHIPQIAIEFMYRVVFPLLLPTLQKALFEAGRFGDLDMPTLIPR >LPERR02G31760.1 pep chromosome:Lperr_V1.4:2:26222666:26223608:-1 gene:LPERR02G31760 transcript:LPERR02G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKARIQKRHATALGVRRTIALTSLTLEGAAFVYGLWSWMAISRRGIKMRAAFAAAAKTKKKLLLPVLLSVPAFAALLLAAFARFHKFIDAKDQQELDRLRAERKGKMRGSHHNLQKLIHNHTQTQTQEIHLDSSAEPAATKTAGHSRLSFHVGDDE >LPERR02G31770.1 pep chromosome:Lperr_V1.4:2:26226509:26230612:-1 gene:LPERR02G31770 transcript:LPERR02G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRLLLLLLLLLMPRPRLAAGATDADALLALKSALDRSGRLPWRPETAPTMCSSWLGVRQCSNQGRVTKLVVENLNLTGVLTAALLSPLSQLRVLSLKSNALTGPIPDALPASLPNLKLLYLSHNRLHGPIPPTLALLHRATVLVLSHNLLDGEIPTSLTTLPRLTSLLIDGNNLAGAIPSLPQTTLRLLNVSSNRLSGEIPPVLASKFNASSFLANAGLCGPPLPTRCAPAPAPTTSPAAAAAFTPLPPPRSGKSRRGKNAAIVAGATVAGLVVLGILVAAAVMASRRGRSKRVAGDVDKGGAMPEEEYDAAAPVPPLTAPASVGAPSSSTERGREFSWEREGIGKLVFCGGVGEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAEFGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGPSSRASSKGKPLHWTSCMKIAEDVAGGLLHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHSDLSSASLLYRAPETRGAATRAFTPASDVYSFGVLLLELLTGKPPFQDLMDQHRDDIQSWVRAVREEETTESGGESASASGAEEKLGTLINVAAACMATDPARRPTTMEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVHMGMAVPPPQPRDHGDLGGFT >LPERR02G31780.1 pep chromosome:Lperr_V1.4:2:26232817:26248285:1 gene:LPERR02G31780 transcript:LPERR02G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLPAQAQLILVFEDDLDIGQTRRILKLYNKEGGGGGEREGEEKGIFSGGGGQGWVRQKGKLATPRSSHHLSTAAALAAAGVSYPPPLPPTPPSAAAATAPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRAQLMNWMDKQSKHIAVGFPLVTLLLCLGDSHTFSNNFNSHKEILYKYLKDKNHRSMALDCLHRLVKFYLDVYADYQPPNIVWDCFDSVTSQLLTALKKGLLTQDAQHDKLVEFCVTLAENDLEFTMRHMILELLKQDSSSEAKVVGLRALLEIVVSPSNKLIGLDVFQEHGAGPISKVKPSIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRSDKLTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMASFILKLPDEFPLLIQTSLGRLVELMRLWRICLSEELLAKDIQNAKRSSLGGDALQRSPFHRSRDASEFRASEMDAVGLVFLSSADVQIRLTALELLRHVRALKNDLRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDIKADGLATSVRLLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHMVFPSLRHGSESYAVAATAALGHSHLEVCEIMFGELTSFLEDVSSEPEAKPKWKNPRSRREDLRTHVANIYRMVAEKIWPGMLSRKPVLRLHFLRFIEETYRQTNLSSSDSFQDLQPLRYALASVLRYLAPEFIDAKSERFDNRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWAAMNAIASLLYGPCFDDNSRKMTGKVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTGHLRVLLAKTALKNILQTNLDLFPSCIDQCYSPDPSISDGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNDEPVRPGKADTSANVVLEFSQGPSTSQVATIVDSLPHMSPLLVRSSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGIHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESNERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSALLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSDRLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPVQEQNQKAYYVASNISVWCRVKSLNDLAEVFRAYSFGEIISLEDLFVRASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVEGTLCQEALNVLEALLRSCSGVTGGQTDEIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGGVVTRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >LPERR02G31780.2 pep chromosome:Lperr_V1.4:2:26232817:26248285:1 gene:LPERR02G31780 transcript:LPERR02G31780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLPAQAQLILVFEDDLDIGQTRRILKLYNKEGGGGGEREGEEKGIFSGGGGQGWVRQKGKLATPRSSHHLSTAAALAAAGVSYPPPLPPTPPSAAAATAPDMGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRAQLMNWMDKQSKHIAVGFPLVTLLLCLGDSHTFSNNFNSHKEILYKYLKDKNHRSMALDCLHRLVKFYLDVYADYQPPNIVWDCFDSVTSQLLTALKKGLLTQDAQHDKLVEFCVTLAENDLEFTMRHMILELLKQDSSSEAKVVGLRALLEIVVSPSNKLIGLDVFQEHGAGPISKVKPSIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRSDKLTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMASFILKLPDEFPLLIQTSLGRLVELMRLWRICLSEELLAKDIQNAKRSSLGGDALQRSPFHRSRDASEFRASEMDAVGLVFLSSADVQIRLTALELLRHVRALKNDLRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPRSVQDARQGLWEHPVIFLLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHMVFPSLRHGSESYAVAATAALGHSHLEVCEIMFGELTSFLEDVSSEPEAKPKWKNPRSRREDLRTHVANIYRMVAEKIWPGMLSRKPVLRLHFLRFIEETYRQTNLSSSDSFQDLQPLRYALASVLRYLAPEFIDAKSERFDNRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWAAMNAIASLLYGPCFDDNSRKMTGKVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTGHLRVLLAKTALKNILQTNLDLFPSCIDQCYSPDPSISDGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNDEPVRPGKADTSANVVLEFSQGPSTSQVATIVDSLPHMSPLLVRSSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGIHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESNERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSALLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSDRLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPVQEQNQKAYYVASNISVWCRVKSLNDLAEVFRAYSFGEIISLEDLFVRASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVEGTLCQEALNVLEALLRSCSGVTGGQTDEIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGGVVTRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >LPERR02G31790.1 pep chromosome:Lperr_V1.4:2:26248810:26252523:1 gene:LPERR02G31790 transcript:LPERR02G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPPVDDDEARRRRNTDCIYFLASPLTCKKGSECDYRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDGLVGAPTTPRASQQSAPQVSVPPLAPVSNPASGTAKQGVPCYYFQKGMCVKGDRCAFMHLPQAAGSPAPQQTAKVFVLASVPHPQMKNSWTKPNSSAQQNAPPATFDNPKDSAHNGKPALKPNLTNRAGHSSGITHDKKSSSVPSGVTKNYRSPTSIGDELAENGMEMGEFVREPSAGADDYAEQSLREDRSSYRRTNGEQHIGMPRHTHGSYGFERSQRSSAEKLLSQSRFSQRELPFTAENSDLRQRLLKQRRLNNPRPGQVSDRHNIYLEDERHDHHHRRGEEQATHDGVSSSRLRGRIKLPAETSSDRHGLQPDKERDRGPRSRLSPPNQTDLRGKLHDRLKARPNEDVSSNTKGSLAKANSGEDVDSLNFAGPKSLAELKAKKVAGSAGRHSIKSAGPSAGPVRMTSEIVSVQDSSNPVAFEGPKPLNAILKRKREADSGNATNSGSKQEEHSVGDEEGSQNEFENIEDDIVGTNNEGEGNGEESFQPEDDVVYDDSLSPADDIAVEATDDASKELEEQQDLETAEEYDYEMDDVNAEEENDYQEYEDDDLEDDDDFARKVGVMIT >LPERR02G31800.1 pep chromosome:Lperr_V1.4:2:26263774:26268991:-1 gene:LPERR02G31800 transcript:LPERR02G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHKRKRPEAEEDEAGVGAVDLSALEAEADADAVEVLDLRAAKRLLLGFERRLRDNLEARMKHPDDPSRFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLPGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLIELRPHLADIVCDRTKILRWLLARLKAREFDANKQYASEILAILLQDSPANQKRVGQINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPVENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLSKDDVKDILQPAQSICHPHEETKKKQARGQSIQFPEAEERMRMMLGVGVPGVGGVAARRRAPTTPLLRRRNSFRPQPSPSPWTRRLAAVSVDDDGDDFFTVDYDPYELEEEVDEGSPWEGAVVYRRDASVQHVEFGTTLERLGLGDLSSPHSRARAATMGILLSTASHDHTPVLVSVDVARRRGSLRLDAILRTVITLGCYGCAEPAPQGIFANFSLLLTEDKVEEPDVVDLGTIYEEEQTKAPVITGSQDEDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCLGCGTNLNTSSCNCNREKHQDRNVQRRGPLKDLLKPLQR >LPERR02G31810.1 pep chromosome:Lperr_V1.4:2:26270583:26272040:-1 gene:LPERR02G31810 transcript:LPERR02G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLRLPPPHLSSASLEEEKGSISMEREEDSLLGGADEYIRDSIHHSLGLPVSDRSLRLKLLASEDQRRRLQDHVFALEEDLRAASRRIDQLKSEAAMNASGLRRCIEEKESMVTAYNDLTSHSAKLERECTLYERDLERAMETCDDLAKENEEIRARLNDDTTLTTLTNEVEALQKDKDNLRINLNKAEEEVKLLFEENKILDEENKRLLSLLEKERLHRSERKHSSSTSTTKHKRKSSSLKETSPSGRTIDFNGADSSRHPLSPLPPNSPDYRAHKK >LPERR02G31820.1 pep chromosome:Lperr_V1.4:2:26272278:26278114:1 gene:LPERR02G31820 transcript:LPERR02G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLGRSDSIADMMPEALRQSRYQMKRCFQRYVSQGRRLMKRQQLMDELDKSIDDKADRDQLLQGFLGYIISSTQEAAVLPPFVSFAVRMNPGIWEFIKVHSADLSVEQITPSDYLKNKEALVDDKWGTYDENAQLEIDFGALDLSTPHLTLPSSIGNGAHLASRFLSSKLSDNKKPLLDYLLSLSHRGDKLMINDTLDTVEKLQTALLLAEVSVAGLQPETPYSEFEQKFQEWGLEKGWGETAETCRETLSCLSEVLQAPDPINMDKFFSMVPCVFTIVIFSIHGYFGQEMVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLNATPKILVLTRLIPDAKGTKCNIELEPIENTKYSSILRVPFKTEDGKDLQQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDIKWREMDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINIFDPKFNIAAPGADQSVYFPFTRKQKRLTDLHPQIEELLYSKEDNDEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLIDKYHLVGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLNKEERQAKQRYLHMFYNLQFRKLAKNVPKPGEQPAQLTESTEPNRIIPRPKERQVCPFLRNLLKKEAGNN >LPERR02G31820.2 pep chromosome:Lperr_V1.4:2:26272278:26278114:1 gene:LPERR02G31820 transcript:LPERR02G31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLGRSDSIADMMPEALRQSRYQMKRCFQRYVSQGRRLMKRQQLMDELDKSIDDKADRDQLLQGFLGYIISSTQEAAVLPPFVSFAVRMNPGIWEFIKVHSADLSVEQITPSDYLKNKEALVDDKWGTYDENAQLEIDFGALDLSTPHLTLPSSIGNGAHLASRFLSSKLSDNKKPLLDYLLSLSHRGDKLMINDTLDTVEKLQTALLLAEVSVAGLQPETPYSEFEQKFQEWGLEKGWGETAETCRETLSCLSEVLQAPDPINMDKFFSMVPCVFTIVIFSIHGYFGQEMVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLNATPKILVLTRLIPDAKGTKCNIELEPIENTKYSSILRVPFKTEDGKDLQQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDIKWREMDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINIFDPKFNIAAPGADQSVYFPFTRKQKRLTDLHPQIEELLYSKEDNDEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLIDKYHLVGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLNKEERQAKQRYLHMFYNLQFRKLKNANKDPEDCNQLTWTTAPSLQFLMRCSLM >LPERR02G31830.1 pep chromosome:Lperr_V1.4:2:26273332:26275221:-1 gene:LPERR02G31830 transcript:LPERR02G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDDNGEHAGHHAEELVHVDGIRCLEHLGEAAQWCLGLQASDGNLGEKQCCLKFLNSVEGVVDHQLVAALGRQESGGQVCAVANGGGQSQVRRRQIQGAEIDFQLGVLIGLLVLEVVGWRDLLDGQICRMDLDELPDARVHPDCE >LPERR02G31840.1 pep chromosome:Lperr_V1.4:2:26276869:26286659:-1 gene:LPERR02G31840 transcript:LPERR02G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSSLLVVAFLAAVVLASASPPPPPPCSRSCAALNCDWIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSKKCPYEMAMATMTSGMDMAIMLAQLGSQNQKTVATLLLLLVELGTRAEQQLSGVALTWHVDVEREEGPGQGKERQQQQAGLPRYLRCNCKAKAKAKAIDLLLPFRSPRPSTQLFAAMSSRRPTQQHHSEAPDPGGRGRGRGRGRARGASPSHQQPRAPPAPGLTLPYSSATAPPELRQAMEPPSPPHPPAPTHAHAHAQPGPSRPQQHVAPAIPSSSKSIRFPLRPGKGTIGTRCLVKANHFFADLPNKDLHHYDVSITPEVTSRLVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPHLGRRQPLGEGLESWHMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEAGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFALEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQSSADRSGNILPDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSVVSGPGGGRGPLSGSSTSRSTRVPGGAAVKPLPALKDSVKRKVLTIVLYIKLHLMRNWRLGAVVQVSWLQSCTSHSIFMD >LPERR02G31840.2 pep chromosome:Lperr_V1.4:2:26277518:26284427:-1 gene:LPERR02G31840 transcript:LPERR02G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYLSAPLHSPRRLLPLPSSSSRPASLAIFAATAKRRRRRRRSISSSLSVLRAPMSSRRPTQQHHSEAPDPGGRGRGRGRGRARGASPSHQQPRAPPAPGLTLPYSSATAPPELRQAMEPPSPPHPPAPTHAHAHAQPGPSRPQQHVAPAIPSSSKSIRFPLRPGKGTIGTRCLVKANHFFADLPNKDLHHYDVSITPEVTSRLVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPHLGRRQPLGEGLESWHMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEAGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFALEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQSSADRSGNILPDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSVVSGPGGGRGPLSGSSTSRSTRVPGGAAVKPLPALKDSVKRVMFYC >LPERR02G31840.3 pep chromosome:Lperr_V1.4:2:26277518:26284172:-1 gene:LPERR02G31840 transcript:LPERR02G31840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPTQQHHSEAPDPGGRGRGRGRGRARGASPSHQQPRAPPAPGLTLPYSSATAPPELRQAMEPPSPPHPPAPTHAHAHAQPGPSRPQQHVAPAIPSSSKSIRFPLRPGKGTIGTRCLVKANHFFADLPNKDLHHYDVSITPEVTSRLVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPHLGRRQPLGEGLESWHMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEAGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFALEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQSSADRSGNILPDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSVVSGPGGGRGPLSGSSTSRSTRVPGGAAVKPLPALKDSVKRVMFYC >LPERR02G31850.1 pep chromosome:Lperr_V1.4:2:26286319:26291619:1 gene:LPERR02G31850 transcript:LPERR02G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSHQQTLSSATAVLGHATRPLRELYTMGRKLGQGQFGTTYLCTEVATGVALACKSIAKRKLLTGEDVEDVRREIQIMHHLAGHGSVVTIRGAYEDAQYVHIVMELCEGGELFDRIVERGYFSERKAAQITRVIVGVVEACHSLGVMHRDLKPENFLLKDRAVESSLKAIDFGLSVFFTPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWADTQQGIFDAVLRGKLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQALCHPWVCEDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVISQNLSDEELAGLKEMFKAMDTDASGAITFDELKAGLRKYGSNLRDSDIRHLMDAADVDNSGTIDYHEFIAATLHLNKLDREEHLLAAFAYFDRDASGYITVDELEHACRNHNMADVGIDDIISEVDQDNDGRIDYGEFVAMMKKGAIIGNGRLTIGRPTTATPDDPPPSIPSSSSSSRS >LPERR02G31860.1 pep chromosome:Lperr_V1.4:2:26293708:26295753:1 gene:LPERR02G31860 transcript:LPERR02G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLAAAAAAIGNMLQGWDNATIAGALLYMKKDLPELEAHPSLQGLVVATSLIGATIVTTFSGPLSDSRGRRPMLVASALLYTLAGLLMLWSPNVEVLLLARLVDGLAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFAMTLTAPLPNWRLMLGVLFMPSLLYLLVVVLYLPESPRWLVSKGRMKEARAVLQTLRGRGHDVSAEMALLVEGLTTGRDTAIEEYVVGPPDQDANKPVTLLSAGSRMSLSVSLVAGSLAGSRQGSVLDHLKDPVVALLDSLHDIKPPVGGMDVVPSLGSMIGAHDRPPIDWDDESADDIEEPLLGLGVRRHSSLTVDGGDATSTLGIGGGWQLAWKWTEGVAPDGTRQSTVKRMYLHEEQTAEAMEGVQAAALVSQSALCTATRQHQQQQDDDPMLVAAAPADETEAGWRELLEPGVRHALVCGVAIQILQQFSGISAVLMYAPQILEQAGVGVLLSRLGLRADSASILISGLTTLLMLPTIAVAMRLMDVSGRRSLLLWTIPVLIASLASLVAASVVPMAAAPHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAYWLADIAVTYTLPIMLSSLGLAGVFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPILHQDDDDD >LPERR02G31870.1 pep chromosome:Lperr_V1.4:2:26298924:26299523:-1 gene:LPERR02G31870 transcript:LPERR02G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRALLHSTDLPSSPPPPAAISIDSDMVVILASLLCALICVAGLALVARCACRRRPRRADASTTSAPAVSAPCAPKGLKKKAIDALPTVSYAAGDKQQAAECIICLAEFAAGEELRVLPHCGHGFHMACIDTWLGAHATCPSCRATVGTPPPALFLPGRCRRCGEVGDVATLGAAAHFSGTSALASNGDVDDETPPHT >LPERR02G31880.1 pep chromosome:Lperr_V1.4:2:26301757:26303685:-1 gene:LPERR02G31880 transcript:LPERR02G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGASQAQDGLRIHAKEKLPISSNALLQAHGEIHATTGAPTYLALLLRSFYPRLSANLGLGLSTRFPNYPRNRKPPSAWDHFTYTLRAKKAIIPFPSNALLGINIKGRLLADTNFKPTSRTAAVELAWTILDLKRGQDVRLKLGYQLLDKMPYFQLRENNWTFNAYLDGKWDVRFDL >LPERR02G31890.1 pep chromosome:Lperr_V1.4:2:26304686:26326146:1 gene:LPERR02G31890 transcript:LPERR02G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGRREGTPAARRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKRLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDHALDAVMKKLFKNYKRWCKFLGRRSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYRVIEKEAERSNIIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAFHDEVNGENRPAGRGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQASNAAILDIILSWKARRSMPLAGKLRYILKLISAAAWVVVLPVTYAYTWENPTGLAKTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAALFLFPVLRRALERSNLKVVTFMMWWSQIKPLVRPTKDIMKEPIRMFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTIIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGFRAAFSSKPSKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYTLVVGTKEREFIQDIFTAVDEHIAQDTLIKELNMSNLPTLSKKFVELLDLLQKNNKEDQGQVIILFQDMVEVVTRDIMDDQFSGVLESVHGANNRRHEGITPLDQQDQLFTKAIDFPVKDSHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLERVNCKTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDDDLMEGFRATDLLADESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHHAQDILRLMTTYPSLRVAYIDEVEEPSKERNKKIEKVYYSALVKAAVTKPDDPGQKLDQEDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITVSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIILEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPAVVKTGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >LPERR02G31900.1 pep chromosome:Lperr_V1.4:2:26325939:26331392:-1 gene:LPERR02G31900 transcript:LPERR02G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLLAAAAAFIYIQVRLFVTQSHYADRLAQAEKSENQCTSQLRSLIDQVSTQQEKIVALEEMKIRQDEERVHLKILIQDLEKRSMQTLVNSNVVPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGTNGEVKKKALSYTQITYMQHVDFEPVSTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHKDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDVHIKWNSEDLSYLKEDKFLIQFGKDVSSATPLHGSDAALKAHNMNADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLRELGV >LPERR02G31900.2 pep chromosome:Lperr_V1.4:2:26325939:26331392:-1 gene:LPERR02G31900 transcript:LPERR02G31900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLLAAAAAFIYIQVRLFVTQSHYADRLAQAEKSENQCTSQLRSLIDQVSTQQEKIVALEEMKIRQDEERVHLKILIQDLEKRSMQTLVNSNVVPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGTNGEVKKKALSYTQITYMQHVDFEPVSTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKVHKDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDVHIKWNSEDLSYLKEDKFLIQFGKDVSSATPLHGSDAALKAHNMNADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLRELGV >LPERR02G31910.1 pep chromosome:Lperr_V1.4:2:26331225:26334816:1 gene:LPERR02G31910 transcript:LPERR02G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMMESNPYFAVTAGSPLDVSKRARMMEPTPPYFGAMGSSAGGGTSAFYQPYGANLPGAGANSAIQNFPGVRLRGLPFDCDDLDVCKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIGYRPDGKATGEAYVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRGRQ >LPERR02G31920.1 pep chromosome:Lperr_V1.4:2:26336775:26345419:1 gene:LPERR02G31920 transcript:LPERR02G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRVDQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQIGVVCGLALAAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLVS >LPERR02G31920.2 pep chromosome:Lperr_V1.4:2:26336775:26345419:1 gene:LPERR02G31920 transcript:LPERR02G31920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRLGNLSAVVLLPLLICVFRLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRVDQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQIGVVCGLALAAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLVS >LPERR02G31920.3 pep chromosome:Lperr_V1.4:2:26336775:26345419:1 gene:LPERR02G31920 transcript:LPERR02G31920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQIGVVCGLALAAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLVS >LPERR02G31920.4 pep chromosome:Lperr_V1.4:2:26336775:26345419:1 gene:LPERR02G31920 transcript:LPERR02G31920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRVDQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLVS >LPERR02G31920.5 pep chromosome:Lperr_V1.4:2:26336775:26346567:1 gene:LPERR02G31920 transcript:LPERR02G31920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLLWKSGPWSFLHEEPRTKLAG >LPERR02G31920.6 pep chromosome:Lperr_V1.4:2:26336775:26344861:1 gene:LPERR02G31920 transcript:LPERR02G31920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQIGVVCGLALAAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLLWKSGPWSFLHEEPRTKVCYSFYSMVLQFINCLVLCSIFFRLK >LPERR02G31920.7 pep chromosome:Lperr_V1.4:2:26336775:26345419:1 gene:LPERR02G31920 transcript:LPERR02G31920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVVEAAVRRYAAGKPVELLPALLSLLSRQQQPSSSALAAQLHADVAKRPPSAAASNSLLCYYLRSSRPDLALAHLRCRSTSPRDSLTYNILLNHLPAAAASSTIFRLFQLAMRDASFRPNVAALLTLLRASSSDHVEMMHAYLLKTAACIHTPVANSLISVYSTLGNFDSAGTVFDEMPARDVASWTSMIGACLEAGYAADALHLFGEMVSDGELQVDGVVAVVVLRACAMLEDARVGASVHAVVVCLGLQGDIFVDNSLVDMYAKCVDLRSAKKVFGLIAVKNVVSWNTMLSGLVHAGSCCPEEALHLLASWSLEIGVVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRRRLLLSMPLLNALLDAYGKCGRVEDVLALFQGMRERNVITWSTVIGACSHNGQAHAAVACFAAMLETGERPNSVTVLSLVEACALCAEVRASRRAHGVAVRSGLASDELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGMNGRARDALALLRRMELELEGGVRPNGVTMLAALSACAHGGLVEEGIALLQGMERPRVEHLSCVVDMLARAGDLDGAAEIARRSSSPAAWSALLSACRRRGDGGGPRAGAGAGQLGGVSAVHGIGFRPGVGGVRCGRLRGWSWRAPTAWCTPAPKDGLSAMMHRHAARPLRGPTFASSSSALLRTLSSPSTNTNLPKLLTNRRRIIPVTTNAPHALANDDAHNASHAISFADATPTQPPIDLHPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAQLFLSLRALGAPANVLMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLICVFRLGITGAAISTVASQWHAFRKNPLDFINYDNRDINGCSTRPNSYGSSSNLLTSMACDALAVSAQAMIASSYAILDNKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMALRMAAGILRLVS >LPERR02G31930.1 pep chromosome:Lperr_V1.4:2:26348208:26349453:-1 gene:LPERR02G31930 transcript:LPERR02G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASGGQYAHQFLNSALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKTALFTHNDGRAAHLLQADGTIPIHHGGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLLDLVRSLSHLFGLDPPLFTRSPNPPPPQIPPAAATPPPRLHPSSSSSSPSPSXAPSFPASPQLAARPPPTEDPAEVYKRNAITKLVDMAYADAAALRPTREVEVDALFAMQATLRSRGEAVSDGVRKMGEEKEALERRLQDVMMATDVMEAWVMENRKGAAIDNEADEVIETADVLSKQMLECTAADLALEDTIYALDKAIQDGSVPFDGYLRSVRTLAREQFFQRVMSTKVNRAQQQAQVARMAAQAPQYTS >LPERR02G31940.1 pep chromosome:Lperr_V1.4:2:26350923:26358308:-1 gene:LPERR02G31940 transcript:LPERR02G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRATHSPAPLPQTRRSSQPLVSFPSRRTRFVGLRLARAAADSQGPNGAAPGGDGEAKPPNGADTNLPKNRRDILMEYVKNVQPEFMDLFIKRAPPQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKDSADYAPGTQKKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSIRNIEVRFDMERVLGAAPKIGELPPGENI >LPERR02G31940.2 pep chromosome:Lperr_V1.4:2:26350923:26358308:-1 gene:LPERR02G31940 transcript:LPERR02G31940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRATHSPAPLPQTRRSSQPLVSFPSRRTRFVGLRLARAAADSQGPNGAAPGGDGEAKPPNGADTNLPKNRRDILMEYVKNVQPEFMDLFIKRAPPQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKDSADYAPGTQKKVSGEVIRWNKTTGPEKIDAVKYIELLEAEIDELARQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSIRNIEVRFDMERVLGAAPKIGELPPGENI >LPERR02G31950.1 pep chromosome:Lperr_V1.4:2:26364049:26366587:1 gene:LPERR02G31950 transcript:LPERR02G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGAKCSDEVSDGTYLMAGVMVVSGLMVTVLALSGIFHCLLRRVGQPSIISHILTGVVVGPTVLGRAVDLRRLGMEDAGSALRDTIYFVRMVFMFFIGLEMDLRYLRHHLRRSVALACGGSGLCLLLAALAGPFLYGLLHQGQGPFQPDTLYASTALFMLVLSSTASPVLIRIVTELKLSGSDTGQLAIGAAFANDMASLTVFSFMVVAGTTTYGPGGQIRPMSFPQASTVVSMAFTTWLVVILAASAARLLNRLKRGRRYISEYQLCAMLVLIVGLSLLNQMLGYSATMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLLMPLCFGVIGARLDLFAAVGTPAQFAVAVAFTTLLGAAGKVGGTLLVGRLVLGISARESLVLGFLLNVKGYCDILAINLGNQAGIWGDTAQVVLLLSSILNTFMAGPASAAIVRQQRRASRYRSRCLQDLSLHHELRVLVCVHGAGGVRAMLALAELSKGTAPLAVYLLHLAELMPARKYAMITHLYNHHGPHAYDADDDGDMDDDEWGYGREIEQVAAAVNGFSYEYGGLAVRQMTAISSLGSMDADVRNGVEDSRASLLIVPFHKEQRYDGRMVCRREGRRQLNQRILQRAPCTVGILVERRRLQQQQHQQQQQVVAVFLGGPDDREAVAFATRLAAHPSVCVTVVRLIFNSHAHPRDTNDDEESSSTNDDEEFIADVYARFVVAGQLQYRETHVSNGADTVNALSAMVGTYSLFVVGKGNDHGAAAAMTSGMGGLLQEEECPELGPLGEVLASDDFTACGSVLVLQQHRTRRSNLHHHHHPSLNLHHHRTIDILRSSS >LPERR02G31960.1 pep chromosome:Lperr_V1.4:2:26368446:26369207:-1 gene:LPERR02G31960 transcript:LPERR02G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLGSPQLSLSSCSSFLSISSAAADGAPHLSLGAGGGEELDLLMQVGIGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRHCKQLKTEMAALIQPTTKQSSHRRSSST >LPERR02G31980.1 pep chromosome:Lperr_V1.4:2:26376666:26382562:1 gene:LPERR02G31980 transcript:LPERR02G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKKAQKACAIL >LPERR02G31990.1 pep chromosome:Lperr_V1.4:2:26381535:26382323:-1 gene:LPERR02G31990 transcript:LPERR02G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGEILWRGRFNLQQLQVGMMGVLLLLLLGAGAVSPAKIHGNPANELVELVNANRTASKLPRLRTSAGLGCMALQYISECIGIDACGGNGTVACQPPEEHMTEVYAANCGVELPTVDVITGRLVGCHRQRYDAEAALEAVLSQAGAGAVIRGKAHTQVGAGFDRAHRRGPFFWCLLFTSGSANSTFLLEAAGKGIHQTHGCFSAPPDTTLTLSCSAAAALPSSFLLLIPLLFLLAVAT >LPERR02G32000.1 pep chromosome:Lperr_V1.4:2:26389580:26394626:1 gene:LPERR02G32000 transcript:LPERR02G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRQAGIHPVLTAGQPHTIGSLGCLCKRPAMPMAIGGGARHVNPLILPHPAKLKPPTPSTCTRRREALCCSLSTDDGPSTMIVSITGATGFVGRRLVQKLLSEDHKVCILTRSASKAKSVFPASTCPGITIAEQGDWDKCIQSSTAVVNLAGMPISTRWSTEIKREIKESRINVTSKVVNYINNANADARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGQQWFSWIHLDDLVDLVYESLKNPAYKGVINGTAPNPVRLSEMCEQLGRVVGRPSWLPVPEFALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIASDL >LPERR02G32000.2 pep chromosome:Lperr_V1.4:2:26389580:26394626:1 gene:LPERR02G32000 transcript:LPERR02G32000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRQAGIHPVLTAGQPHTIGSLGCLCKRPAMPMAIGGGARHVNPLILPHPAKLKPPTPSTCTRRREALCCSLSTDDGPSTMIVSITGATGFVGRRLVQKLLSEDHKVCILTRSASKAKSVFPASTCPGITIAEQGDWDKCIQSSTAVVNLAGMPISTRWSTEIKREIKESRINVTSKVVNYINNANADARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEDGGALAKMIPLFMMFAGGPLGTGQQWFSWIHLDDLVDLVYESLKNPAYKGVINGTAPNPVRLSEMCEQLGRVVGRPSWLPVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIASDL >LPERR03G00010.1 pep chromosome:Lperr_V1.4:3:4276:5097:-1 gene:LPERR03G00010 transcript:LPERR03G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNYVGVAGINLVAALLSIPVIAAGIWLSTQVDSACVQLLKWPLIGLGVAVLAVGVAGFVGAFWRLPWLLLAYLVGMLLLVVALACLAVFVFVVTAGGASSGHAVPSRAFLEYDLEDFSGWLRGRVADDGRWEQIKTCLAATPVCSDVNHTYGASAQDFFSAHITPLQSGCCKPPTRCGYTFVTPIFWISPISASADPDCASWTNDQSQLCYSCSSCKAALLQDLRKQWRRADLILLVATVLLLAVYAFGCFAFRTAKTDELFRRYRQGHT >LPERR03G00020.1 pep chromosome:Lperr_V1.4:3:6010:13179:1 gene:LPERR03G00020 transcript:LPERR03G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASCDLRMEMAASTSTIFNNAKYSSCKLLTLRRFSFSHTHCSIGFASRPRSWIRAAAEGRGGDQRRSGHQLAAADGPRVVEVPAAVPVVAAGGGGGGRGFAARDAELAIWDRLGAVVRLTYGIGIYAAMALTGRFICQMAGIDCTGGFSPSLSALVQGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPEMRSNTGRENLKKIFAGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRKRLRQRVEEIRREGTNADTL >LPERR03G00030.1 pep chromosome:Lperr_V1.4:3:10677:14014:-1 gene:LPERR03G00030 transcript:LPERR03G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSAERRRRRWSLAEPPPGLFPTRDDLLRLLAVLSIAAAAAAACSLLNRRPKPFCDSDSDSDSTAHGAGTDSCQPCPHHGRCVHGNLECVQGFKKYGKICIEDGLISQTASKIFQELDMSNMADELLSKNFVGLSDDGVKVAKIRVLEIARGFFEKTFSYNGTEEFKCPELVAELYRPLACQIRQWISRNIMSVIAFCFLVCEVLEDNVINAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELIMEDSRIDQYPKVIKGESKVVYEWQASGSLSGKNKKKMQGKARGNAAGGGIKLAEELGAG >LPERR03G00030.2 pep chromosome:Lperr_V1.4:3:10677:14014:-1 gene:LPERR03G00030 transcript:LPERR03G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSAERRRRRWSLAEPPPGLFPTRDDLLRLLAVLSIAAAAAAACSLLNRRPKPFCDSDSDSDSTAHGAGTDSCQPCPHHGRCVHGNLECVQGFKKYGKICIEDGLISQTASKIFQELDMSNMADELLSKNFVGLSDDGVKVAKIRVLEIARGFFEKTFSYNGTEEFKCPELVAELYRPLACQIRQWISRNIMSVIAFCFLVRLWHHHLSHAVCVKTAHLIIEPKFSALLWILWSIYKRQTLSKRAEQIYDQVCEVLEDNVINAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELIMEDSRIDQYPKVIKGESKVVYEWQASGSLSGKNKKKMQGKARGNAAGGGIKLAEELGAG >LPERR03G00040.1 pep chromosome:Lperr_V1.4:3:13318:15392:1 gene:LPERR03G00040 transcript:LPERR03G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEVRGAPPLISCSIYTISVTKDEREVEKEVTMGSGVAVAVAVAEGFGAAIEKAAGGGGGGGDGEDGEEAEEVVPGGEESRRGFGERPPPASPLRRARRHASAAGCWLAASTGRDRSVIRKAICSRVMHCE >LPERR03G00050.1 pep chromosome:Lperr_V1.4:3:20777:21364:1 gene:LPERR03G00050 transcript:LPERR03G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVVVVVMLSLSLSAVAEAAKVEKTCKAAAATDKRVNVRMCVSQLGHHRDSQKAEDAWGLAKVAALVGVNNADLAADDIKDLEAGRGIPATIKPALAECRKLYRGVGFAFAGAHDDINDRSYVAGEARLSEALSLTQLCNAAFAKVGVPLPQPLAQMTADSIQMAIIATAITCLVKETAATPPTTSSSSSSTP >LPERR03G00060.1 pep chromosome:Lperr_V1.4:3:21564:22169:-1 gene:LPERR03G00060 transcript:LPERR03G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTNHGLAPAAASFGRVPAPAMRQVGWRRQQKKSMVVAMADLLGDLGARDPFPAEIESKFGEKTLGNVDTLHQILIPTLSVLSLARLPLDPNPSPVDAADARRLLHKVVGWRLLQDPGMRLECVWKVRDEACGHELVSRINAAVDGAPATVVFDAPSLEVRAELQTPSAGGLTVNDFIVAARVDQVKALDLIPKKRFWA >LPERR03G00070.1 pep chromosome:Lperr_V1.4:3:27691:36089:1 gene:LPERR03G00070 transcript:LPERR03G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFEEQVVADLVEDPNGGLVVLSSGLPLASIASALLVHLCYQSQGSGGGGGCFLILSAPDPVKAQIRRRLEGSGSSLQVHEVAPDLASQHRVALYASHGAVLFLSPRALVADLLTSRLLPSHVGALLLLSAHRSSDTSSDAFVARLLRQRHLLPVFAFSDRPHAMLSGFSKAERSMKSLYIRRLHLWPRFHLLAASDLERSPPEVIDVRVPMTPPMRGIQSAILHAMDACLKELRRSNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLLRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVIADGTKVAAHKGTPTKKRKVARTTSRKGKETENEDSSDNKDNAETGVVVEEILEEAPKWKVLRELLQEIAEEQTKGDSENVMPVDEDRINESGIVLVACKDERSCLQLQECISKGSHQVMRVEWEKYLLGKAELHGLHRKNKKTSQQPKGFGVLDGEVPMRSGESAGPVSISRLETNALLAAASEISTVTKEANLNDDSNVSCRKRGLVKGKGKVKKTMAKREASNLIKRTTMEHTDLEVEGQSGKTDEQAEVNACMLSKKDDSASAPAIDKDANFLSAPGDPVDAKPLPLQFYALDSDQHVLDILLRYKNSSPAFAGKMKHLNL >LPERR03G00070.2 pep chromosome:Lperr_V1.4:3:27691:36089:1 gene:LPERR03G00070 transcript:LPERR03G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFEEQVVADLVEDPNGGLVVLSSGLPLASIASALLVHLCYQSQGSGGGGGCFLILSAPDPVKAQIRRRLEGSGSSLQVHEVAPDLASQHRVALYASHGAVLFLSPRALVADLLTSRLLPSHVGALLLLSAHRSSDTSSDAFVARLLRQRHLLPVFAFSDRPHAMLSGFSKAERSMKSLYIRRLHLWPRFHLLAASDLERSPPEVIDVRVPMTPPMRGIQSAILHAMDACLKELRRSNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLLRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVIADGTKVAAHKGTPTKKRKVARTTSRKGKETENEDSSDNKDNAETGVVVEEILEEAPKWKVLRELLQEIAEEQTKGDSENVMPVDEDRINESGIVLVACKDERSCLQLQECISKGSHQVMRVEWEKYLLGKAELHGLHRKNKKTSQQPKGFGVLDGEVPMRSGESAGPVSISRLETNALLAAASEISTVTKEANLNDDSNVSCRKRGLVKGKGKVKKTMAKREASNLIKRTTMEHTDLEVEGQSGKTDEQAEVNACMLSKKDDSASAPAIDKDANFLSAPGDPVDAKPLPLQFYALDSDQHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSMKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTTANEPEALLSQNSITRKAGGRKPPEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSHDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESRAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRAIMEGCNNLAELALLPAERLSELMGTQKGARMLKEFLDAKCPTML >LPERR03G00100.1 pep chromosome:Lperr_V1.4:3:56361:65117:1 gene:LPERR03G00100 transcript:LPERR03G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANAMDAITKETVDLEHIPIEEVLEHLKCTREGLTSDAAQQRIHAFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDARGKRMRIDYHDFVGIVLLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKKLIEVVFAKGVEKADVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVLEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKNDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPLLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLSEIFATGVIIGAYLAVTTALFFWAAYKTQFFVHVFNVDTLNIKKIDTTDNELVAQNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIAIYLLLDPIKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVAAWAHEQRTLHGLQSVGREKAASTELSQMAEEARRRAEITRSLRELHTLKAKVESVAKLKGIDLEDVNNQHYTV >LPERR03G00100.2 pep chromosome:Lperr_V1.4:3:56361:65117:1 gene:LPERR03G00100 transcript:LPERR03G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANAMDAITKETVDLEHIPIEEVLEHLKCTREGLTSDAAQQRIHAFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDARGKRMRIDYHDFVGIVLLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKKLIEVVFAKGVEKADVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVLEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKNDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFLLLACFWKFDFPPLLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLSEIFATGVIIGAYLAVTTALFFWAAYKTQFFVHVFNVDTLNIKKIDTTDNELVAQNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIAIYLLLDPIKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVAAWAHEQRTLHGLQSVGREKAASTELSQMAEEARRRAEITRSLRELHTLKAKVESVAKLKGIDLEDVNNQHYTV >LPERR03G00100.3 pep chromosome:Lperr_V1.4:3:56361:65117:1 gene:LPERR03G00100 transcript:LPERR03G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANAMDAITKETVDLEHIPIEEVLEHLKCTREGLTSDAAQQRIHAFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDARGKRMRIDYHDFVGIVLLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKKLIEVVFAKGVEKADVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVLEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKNDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVRTIMTISKDKVKPSPYPDSWKLSEIFATGVIIGAYLAVTTALFFWAAYKTQFFVHVFNVDTLNIKKIDTTDNELVAQNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIAIYLLLDPIKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVAAWAHEQRTLHGLQSVGREKAASTELSQMAEEARRRAEITRSLRELHTLKAKVESVAKLKGIDLEDVNNQHYTV >LPERR03G00100.4 pep chromosome:Lperr_V1.4:3:56361:65117:1 gene:LPERR03G00100 transcript:LPERR03G00100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANAMDAITKETVDLEHIPIEEVLEHLKCTREGLTSDAAQQRIHAFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDARGKRMRIDYHDFVGIVLLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKKLIEVVFAKGVEKADVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVLEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKNDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRTIMTISKDKVKPSPYPDSWKLSEIFATGVIIGAYLAVTTALFFWAAYKTQFFVHVFNVDTLNIKKIDTTDNELVAQNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIAIYLLLDPIKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVAAWAHEQRTLHGLQSVGREKAASTELSQMAEEARRRAEITRSLRELHTLKAKVESVAKLKGIDLEDVNNQHYTV >LPERR03G00100.5 pep chromosome:Lperr_V1.4:3:56361:65117:1 gene:LPERR03G00100 transcript:LPERR03G00100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANAMDAITKETVDLEHIPIEEVLEHLKCTREGLTSDAAQQRIHAFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDARGKRMRIDYHDFVGIVLLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKKLIEVVFAKGVEKADVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVLEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKNDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPLLVLVIAILNDELAVPSKTHLYFPHYLQHVFNVDTLNIKKIDTTDNELVAQNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIAIYLLLDPIKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVAAWAHEQRTLHGLQSVGREKAASTELSQMAEEARRRAEITRSLRELHTLKAKVESVAKLKGIDLEDVNNQHYTV >LPERR03G00110.1 pep chromosome:Lperr_V1.4:3:66687:69456:1 gene:LPERR03G00110 transcript:LPERR03G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSSKDRLSSAAAKDSPPPPPPPQSPPISKSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSLCNCVLPESIKVSAVRDATATLEFPDDDLESNASIVDGSDADDLDHLLTTPSSDVVSSKDKILTPGRDSL >LPERR03G00120.1 pep chromosome:Lperr_V1.4:3:70970:75587:1 gene:LPERR03G00120 transcript:LPERR03G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVVVVAVIVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLTDWSASDGYCLEIGSGTWDSWLMPLQKQADIVCNKVKEMKELSNGYNIVGLSQYLNILYPQGSLIGRAVVEYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDIADYLKRCRFLPKLNNEIPCERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKSDMKKYIVPYLKGKGKASIAATWRAITSTIGEAFVLN >LPERR03G00120.2 pep chromosome:Lperr_V1.4:3:70970:75587:1 gene:LPERR03G00120 transcript:LPERR03G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVVVVAVIVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLTDWSASDGYCLEIGSGTWDSWLMPLQKQADIVCNKVKEMKELSNGYNIVGLSQGSLIGRAVVEYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDIADYLKRCRFLPKLNNEIPCERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKSDMKKYIVPYLKGKGKASIAATWRAITSTIGEAFVLN >LPERR03G00120.3 pep chromosome:Lperr_V1.4:3:70970:75587:1 gene:LPERR03G00120 transcript:LPERR03G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVVVVAVIVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLTDCEIGSGTWDSWLMPLQKQADIVCNKVKEMKELSNGYNIVGLSQYLNILYPQGSLIGRAVVEYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDIADYLKRCRFLPKLNNEIPCERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKSDMKKYIVPYLKGKGKASIAATWRAITSTIGEAFVLN >LPERR03G00120.4 pep chromosome:Lperr_V1.4:3:70970:75587:1 gene:LPERR03G00120 transcript:LPERR03G00120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVVVVAVIVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLTDCEIGSGTWDSWLMPLQKQADIVCNKVKEMKELSNGYNIVGLSQGSLIGRAVVEYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDIADYLKRCRFLPKLNNEIPCERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKSDMKKYIVPYLKGKGKASIAATWRAITSTIGEAFVLN >LPERR03G00130.1 pep chromosome:Lperr_V1.4:3:74610:78377:-1 gene:LPERR03G00130 transcript:LPERR03G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFTVGLAVTASKSSTYALQWALSRFTNTTDAAPIFLLIHVLTKLFAVPTPMGNYIPIDRVRNDVADAYFQDVQDKAQKMLLLYKNMCHQNKVEAQVLLVKGNDVSETISCVVAEYRINILIVGITTKSAFTRNPFGNRTSSKICKSVPSFCTAFLVSKDGLSSVYSSALEADSASSIPTSNHVSSKSDALSDAMSSSSVNFNGSSDQNPFDSPRRLGSDLPSEDPKYGVPARRIRSLTLYDYLSGSPSTYPDKDGRLTSSTGSESSKSSELRQESSLQGLMLSEHKDDISTELESLRLELRLIQGKHKRVQDESDDASRQASSMAELAAKRLEEETQLREIQSRLDKANGNVEEQRANRHAAEQALNHVHDLVRGEIMQKNMLQVKASRDADKKLRLEKLFVLNGNSYSTFTWEEINNATTSFANYLKIGSGANGTVYKGYLNHSTVAIKVLHSDDNSSNKHFRQELEVLGKIRHPHLLVLLGACVEKGCLVYEYMENGSLEDRLRCKNGTAPLAWCDRLRIAWEVASALVFLHSTKPSPIIHRDLKPENILLDGNLVSKVGDVGLSTLVPRKEESMATSSRSSSSSSTMYKKTALAGTLFYIDPEYQRTGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEEGRLREVLDESGGKWPMKEAQELAQLGLSCLEMRGKDRPDLKNRVTVDLERLKHTKPEPPTHFLCPILKRVMQEPCIAADGYSYERDAIQMWLCDKDVSPVTKARLPNKTLVPNRSLLSAITTCRSQGGRKPPNKYTPPD >LPERR03G00140.1 pep chromosome:Lperr_V1.4:3:86005:89695:-1 gene:LPERR03G00140 transcript:LPERR03G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGPGGGGGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYIAGLVATLFASSVTRRFGRRASILIGGSVFVAGSAFGGAASIPLYLSEMAPAQHRGAINNGFELCISMGILMANLINYGVDKIEGGWGWRISLSLAAVPAAFLTVGALFLPETPSFLIQQQQQQRARALLQRLRGTEAVQKELDDLVAAASQQNKHPLRDIVLQRRYRPQLAIAVLIPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRLCATAANVVAMAVVDRFGRRKLLLLGGAQMLLSQLTVGAILAAKFKEHGGMDKEYAYLVLFVMCVFVAGFAWSWGPLTYLVPTEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAAWVALMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRLHHHHQTSD >LPERR03G00140.2 pep chromosome:Lperr_V1.4:3:86005:89695:-1 gene:LPERR03G00140 transcript:LPERR03G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGPGGGGGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYIAGLVATLFASSVTRRFGRRASILIGGSVFVAGSAFGGAASIPLYLSEMAPAQHRGAINNGFELCISMGILMANLINYGVDKIEGGWGWRISLSLAAVPAAFLTVGALFLPETPSFLIQQQQQQRARALLQRLRGTEAVQKELDDLVAAASQQNKHPLRDIVLQRRYRPQLAIAVLIPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRLCATAANVVAMAVVDRFGRRKLLLLGGAQMLLSQLTVGAILAAKFKEHGGMDKEYAYLVLFVMCVFVAGFAWSWGPLTYLVPTEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAAWVALMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRLVGPHQQQQHDDDEEPAAPSTTLVELQHHHHQTSD >LPERR03G00140.3 pep chromosome:Lperr_V1.4:3:86003:89695:-1 gene:LPERR03G00140 transcript:LPERR03G00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGPGGGGGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYIAGLVATLFASSVTRRFGRRASILIGGSVFVAGSAFGGAASIPLYLSEMAPAQHRGAINNGFELCISMGILMANLINYGVDKIEGGWGWRISLSLAAVPAAFLTVGALFLPETPSFLIQQQQQQRARALLQRLRGTEAVQKELDDLVAAASQQNKHPLRDIVLQRRYRPQLAIAVLIPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRLCATAANVVAMAVVDRFGRRKLLLLGGAQMLLSQLTVGAILAAKFKEHGGMDKEYAYLVLFVMCVFVAGFAWSWGPLTYLVPTEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAAWVALMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRLVGPHQQQQHDDDEEPAAPSTTLVELQHHHHQTSD >LPERR03G00150.1 pep chromosome:Lperr_V1.4:3:91615:93340:-1 gene:LPERR03G00150 transcript:LPERR03G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMQQRVVVMRHGDRIDHAEPLWAASNPRPWDPPLIDAGLLRAWTVGKRIRAAAAADGFRIHRVLVSPFLRCLQTAAQAAAALCALPDHLALHTSANVSIEYGLSEMMNAQAMGLVVSQVAPTIDKWFPDLSQLEAALPPGTIDHSVEPLYPEVPKWGESVSEARSRYASVIKGLADKYPDENLLLVTHGECVGSSVSFFEPGVEIYEVEYCAYSVLGRQQQEEGLKLLSTSGPTGIHYYYTTPQVPEH >LPERR03G00160.1 pep chromosome:Lperr_V1.4:3:93736:96038:-1 gene:LPERR03G00160 transcript:LPERR03G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCASVLLGHSPSPLTSQTRSRSRRSTTVCCRCSQQEIMAVVKAIRVMRWEQVEVGEPKDGEIRIKNTAIGVNFIDVYFRQGVYPAPLPFVPGMEAVGVVTAVGPGLTGRKVGDVVGYAGNPMGSYAQEQIIPASVAVPLPPSVDHKTAAAVMLKGMTAHVLLRRVFKVQSGHSVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVKKVKEFTDGKGVHVVYDSVGKDTYKASVESLASRGMLVCYGQSSGRPDPIPVSDLATKSLLVTRPSMLHYTGTREELLQSAGEVFANVGSGVLRIRVNHTYPLSEAARAHADLQARRTSGSIVLIPDDDKED >LPERR03G00160.2 pep chromosome:Lperr_V1.4:3:93738:95781:-1 gene:LPERR03G00160 transcript:LPERR03G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEQVEVGEPKDGEIRIKNTAIGVNFIDVYFRQGVYPAPLPFVPGMEAVGVVTAVGPGLTGRKVGDVVGYAGNPMGSYAQEQIIPASVAVPLPPSVDHKTAAAVMLKGMTAHVLLRRVFKVQSGHSVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVKKVKEFTDGKGVHVVYDSVGKDTYKASVESLASRGMLVCYGQSSGRPDPIPVSDLATKSLLVTRPSMLHYTGTREELLQSAGEVFANVGSGVLRIRVNHTYPLSEAARAHADLQARRTSGSIVLIPDDDKED >LPERR03G00160.3 pep chromosome:Lperr_V1.4:3:95798:97359:-1 gene:LPERR03G00160 transcript:LPERR03G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCASVLLGHSPSPLTSQTRSRSRRSTTVCCRCSQQEIMAVVKAIRVHELGGPEASICSRSRFNRSIHPSI >LPERR03G00170.1 pep chromosome:Lperr_V1.4:3:105903:108480:1 gene:LPERR03G00170 transcript:LPERR03G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLTRDQDAPAEDIRPGELNQPVHLEGRRCTECGQVLPETYEPPADEPWTTGIFACTDDPDSCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERTGRLAENNAVPMTVVNPPAVQEMSMLPESRGPVSSGMENGASSSSKAEHEAAKSSDHDDVEVIPL >LPERR03G00180.1 pep chromosome:Lperr_V1.4:3:109073:116989:1 gene:LPERR03G00180 transcript:LPERR03G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRFRVGPPWSPLFSSRPCSHSHQRRRRLHASSPNSGPRQGNFILPGATAATIVMFGILHARRMYQDQKVVEMKEKGIEPEFSPDFKASFLRLLPLRSMSRLWGSLMEVELPVFMRPAIYKSWARAFHSNLQEAALPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSIRADSNVKSWWRVSVASPKLRDQTLLSPKKGIFYCVIYLNPGDYHRVHSPVDWHIIKRRHFSGHLFPQNERAVRTIRNLYVENERVILEGQWKEGFVALAAIGATSVGSIKLFIEPELRTNRAGSKILNSQRPDERVYEPAGTGVMVKKGEEVAGFRMGSTVVMVFEAPVSKAREDGRSVTTDFDFCIKAGDRIRVGEAIGRWSE >LPERR03G00180.2 pep chromosome:Lperr_V1.4:3:109073:116989:1 gene:LPERR03G00180 transcript:LPERR03G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRFRVGPPWSPLFSSRPCSHSHQRRRRLHASSPNSGPRQGNFILPGATAATIVMFGILHARRMYQDQKVVEMKEKGIEPEFSPDFKVVNTAESFADYSGALFDSRPHTDNILNTGFVSQASTVAFNVTFMGILDGSDLQEAALPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSIRADSNVKSWWRVSVASPKLRDQTLLSPKKGIFYCVIYLNPGDYHRVHSPVDWHIIKRRHFSGHLFPQNERAVRTIRNLYVENERVILEGQWKEGFVALAAIGATSVGSIKLFIEPELRTNRAGSKILNSQRPDERVYEPAGTGVMVKKGEEVAGFRMGSTVVMVFEAPVSKAREDGRSVTTDFDFCIKAGDRIRVGEAIGRWSE >LPERR03G00190.1 pep chromosome:Lperr_V1.4:3:119427:120251:-1 gene:LPERR03G00190 transcript:LPERR03G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKSGAVGYLVVVCWCLMQGGGNASSGAAALYNESAAGMGGGWMSAKATWYGAPNGAGPADNGGACGFKNVNLPPFSGMTSCGNQPLYKGGKGCGSCYRVRCDRHAACSGAAQTIAITDMNYFPLSQYHLDLSGTAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSTPVYLAILVEYENGDGDVAQVELREASPSPSPNGWTAMRESWGSVWRLDSNHRLRAPFSIRIRSDSGKTLTAPNVIPLNWRPNTFYRSFVQYS >LPERR03G00200.1 pep chromosome:Lperr_V1.4:3:123450:125695:1 gene:LPERR03G00200 transcript:LPERR03G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTNREYIAKQRKASTASTWYGVAALDSDSIYRSIDRSELMAGRSRSFRSVAVAAALLCLLAAHGCSASKHHKPKPKPKPSPGGAISGNASSSSNSSTTPPPAPPLPTPPTPTPAPIPTPTPSPGSSSSNGSISGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVAHQACSGVPEIVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYAGLTVTFHVEQGSNPNYLAILVEYENGDGDVVQVDIMESRPAADGTLVPTGVWTPMRESWGSIWRLDTNHPQQGPFSLRITNESGKSLVADDVIPADWQPNAVYSSLVQFDD >LPERR03G00210.1 pep chromosome:Lperr_V1.4:3:141507:145935:1 gene:LPERR03G00210 transcript:LPERR03G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKQRANAHLPPKVMSTSGRLTIGFVGYGRVGKSTLLQALLNNKIDLSKRKTHPTSELHVVSAHIYKCSFPNTPCYRSRVNGNVVPALCGFPGHELNSMVLSRDVSFVDCPGEVQFASTMLGALCLVDVIFILSTATGVFTQSPSFELLAAANILGKKVVVIQSMIDSTNETRGMQCIEPLIIPISAWNRTNINEVCKVISDISVEEYDDHSPVGMLIYSNCTEVDQESGDTILTIYGRLLKGTFKQNQRLEIRPGAVSLDEDGRPKCNPIIIELTSLESEGGMPTSGSLISVKAAINYDLVGNLVTDMTGYISGDVGSLPDVFSALEIKVSLLPFMFNPYTEELEVTSKILENEKLLMTIGMLSTSATVRKVMDNAVIDFAIH >LPERR03G00220.1 pep chromosome:Lperr_V1.4:3:150817:156976:1 gene:LPERR03G00220 transcript:LPERR03G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSHQWLFVTDSSLICDIVTKYSIAAMEMYGLDDLEEHLTFCPQCNLPLYQKDDHIKFMLFSCRSCGYEVQQDYFYSLENKKINGIPVLPPIKSQKRGACIPTSLLTIHEIALRTQKAEMKEEFHCELSVDHLLEQYKEKKGCGYLEDNSCDKDQRRKLTCTEIMLEDGVSCSHDDEAFCSQYPNSKTKGTENYKIEEVCHLKNLSFNDIAGFVLKGHALNIRIPITKQFAQLKTGDMYIADKKMVKAVNYCEIWHSVVIVRFGRREGVNYFRFMNSHGPDFCDKGFADVRAADITEAFLLKI >LPERR03G00220.2 pep chromosome:Lperr_V1.4:3:150817:156778:1 gene:LPERR03G00220 transcript:LPERR03G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSHQWLFVTDSSLICDIVTKYSIAAMEMYGLDDLEEHLTFCPQCNLPLYQKDDHIKFMLFSCRSCGYEVQQDYFYSLENKKINGIPVLPPIKSQKRGACIPTSLLTIHEIALRTQKAEMKEEFHCELSVDHLLEQYKEKKGCGYLEDNSCDKDQRRKLTCTEIMLEDGVSCSHDDEAFCSQYPNSKTKGTENYKIEEVCHLKNLSFNDIAGFVLKGHALNIRIPITKQFAQLKTGDMYIADKKMVKAVNYCEIWHSVVIVRFGRREGVNYFRFMNSHGPDFCDKGFADVRAADITEAFLLKI >LPERR03G00240.1 pep chromosome:Lperr_V1.4:3:168723:170836:1 gene:LPERR03G00240 transcript:LPERR03G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTPAATAVERLSRPSPRRFPSLVACAAVEKWRSCPRRASMPPHPDASYPHSTPPQGAALPLPSYPPAIDKPHGGKDSYLLFVVHIAAAIHLPIAVPKPIQRRQQPLLSDRSLPASPLSAPPNSFLDYLLEEGGRREMRGGRGGRWRADGGGSPGSGRRRLQTALQEVHFVALAKRETVLVNLYVLTEVSAIKFITYALEN >LPERR03G00240.2 pep chromosome:Lperr_V1.4:3:168723:175815:1 gene:LPERR03G00240 transcript:LPERR03G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTPAATAVERLSRPSPRRFPSLVACAAVEKWRSCPRRASMPPHPDASYPHSTPPQGAALPLPSYPPAIDKPHGGKDSYLLFVVHIAAAIHLPIAVPKPIQRRQQPLLSDRSLPASPLSAPPNSFLDYLLEEGGRREMRGGRGGRWRADGGGSPGSGRRRLQTALQEVHFVALVGKHQMFTQ >LPERR03G00250.1 pep chromosome:Lperr_V1.4:3:187493:189745:1 gene:LPERR03G00250 transcript:LPERR03G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPARFSEVPLLLPGRAQAGPASIVGWADGSCDAVVRPQPGGTSTLSWRLSGVCQYFQNNQTTPAGNWYCYAKTVAEQAAWEVAKDRRLDLIVVNPSLVLGPLLQLAVNASTWHVLKCLDGSTARGTYADAAQSYAHVRDVADAHTCVYECPAARSRFLCTAVRLCRHERKMEERD >LPERR03G00260.1 pep chromosome:Lperr_V1.4:3:194993:200492:1 gene:LPERR03G00260 transcript:LPERR03G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGRNRRNGSRRRGPHRRRARAPTGARAAVDGFPGGALLPWPRSTRGIRSLVAAADFEPILTNLAAAVSTVCKQKRKNAKRHGRTANEATPAAPHVARNRSPVLFAVQPVLVLVVTASRARAPKQNKV >LPERR03G00270.1 pep chromosome:Lperr_V1.4:3:213769:216249:-1 gene:LPERR03G00270 transcript:LPERR03G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRTKTTTTTDPTPTDSPPPKIDQDKAAASPSGSSCISSDRFVAQRKTAALSPPSSSSSHPHPPPPRPEGPGAQAWGWTPKRGGEQAPAAADPGALTFRLLNLIRGYLLEALSRLPAACARGLLVAGHCYGPFSPLHNIIVNSIWYDAAFPLSRRPPIPFPLDPPGDDEEFFEVSADSIARLAHRSLQGLLAYLRHACPHLDDDALLLWHLHLARADLAAAIASASTAATTPPPPQSFLAAAQAAHHPVPPAMAFFASHLQDPKVHDHVQRFLLLSTTATRMLSAPDIARLSAMLLPLPLPNHLSPPPPFDLITARVAREIRRNRKTSRKSQLISRQIIQAALRKYAQNTSLHYHLHFICGTNILFGCHYLCYHINFLACSNQHPHLPALYFFVEAFPPYDDDDIFTEEHITICCPLTPSTVGWCEGCLESRKKIDHPVGKKHQGGFDHEKDEIEEGWNCRGPLHVDYIFFDAERDIATVSLILAEKRALDSDSDSDQGSVREL >LPERR03G00280.1 pep chromosome:Lperr_V1.4:3:217849:218662:-1 gene:LPERR03G00280 transcript:LPERR03G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNISQCQSVTTHRYRGELWWSSVWESWVCGRKNFDGKSGGRGANTMVVAELVATADGDAKAREGEP >LPERR03G00290.1 pep chromosome:Lperr_V1.4:3:224203:225456:-1 gene:LPERR03G00290 transcript:LPERR03G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRRRRRRQRVDGAAKSASPPSSDSSDRTTVQRKIPKEAAAWCEADVRAMRVRLLSMIHGYYLEALSRLPTAELRTTALARGLLVAGHCYGPFSPPLHNILLNSIWYAAAFPLRRRIHQEEEEEEDDGDVDEVTVISTDGIARLCHRSLLGLVAFLRHCCPSLSSDAAALYHLTLADANLLVAIASANDTSSVRALAAMASLAHGASKVAAEAANHPNPTAYALFATASLADMEQRRQAVEPVLDVLLRANYLLSSSHLQLLSSHLLPPHQHLPVFLPPPPNLTLDMVSSYKRRWKQQEQQLLLVVGVALRKYMLQTGNQFHLHVICGASFLEEETELDCRRCHLNFLASPNSTGSAPLLFFCEAALPTQDDKDIWLCVPVEPSRAIGMFLIALPVAHNDQCLPLAGISTLLIV >LPERR03G00300.1 pep chromosome:Lperr_V1.4:3:225981:226991:1 gene:LPERR03G00300 transcript:LPERR03G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSRCHGDVTAGPQGAAAPVAADAQGVSDLVGLAGRERDTSVLFFLFLSDMWRPRALLAKTTRNTTGGPLLRRF >LPERR03G00310.1 pep chromosome:Lperr_V1.4:3:232889:234587:1 gene:LPERR03G00310 transcript:LPERR03G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRSFRSVAVAAALLCLLAAHGCSASKHHKPKPKPKPSPGGAISGNASSSSNSSTTPPPAPPLPTPPTPTPAPIPTPTPSPGSSSSNGSISGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVAHQACSGVPEIVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYAGLTVTFHVEQGSNPNYLAILVEYENGDGDVVQVDIMESRPAADGTLVPTGVWTPMRESWGSIWRLDTNHPQQGPFSLRITNESGKSLVADDVIPADWQPNAVYSSLVQFDD >LPERR03G00320.1 pep chromosome:Lperr_V1.4:3:242269:244417:1 gene:LPERR03G00320 transcript:LPERR03G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSVEASAPDAEKQSQTTATLCDAWDYKGRPAVRASSGGWSSAAMIVCVELNERLTTLGIAVNLVTYLTGTMHLGAAASANTVTNFLGTSFMLCLLGGFLADTFLGRYLTIAIFTALQAAGMAVLTLSTAAPGLRPPACADPTGSSSSCAAPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFNESDGGERRVMARFFSWFFFLISIGSLLAVTVLVYVQDKIGRRWGYGVCVVAILAGLLLFLAGTTRYRFKKLVGSPVTQIAAVIAAAWRNRSLPLPSDPAMLYEDLDVDAAKQRLPHSKQCRFLDHAAIVEETAAPATNKKSNRRAACTVTEVEEVKQVVRMLPTWATTIMFWTVYAQMTTFSVSQAAAMDRRLSSTFVIPAGSLTVFFVAAILLTVPLYDRLLAPLARRLTAHPHGLSPLHRIFLGLLLAAFAMLAAALTERTRRQSSTPPSVFLLLPQFVLVGAGEAFTYIGQLDFFLRECPRGMKTISTGLFLTTLSLGFFLSSLLVTLVHKLTSSRRPWLADNLDHGRLDYFYWLLAGISALNLAAFVAAARGHVYKDKRLADAGIKLHPDDDVVLHA >LPERR03G00330.1 pep chromosome:Lperr_V1.4:3:251726:264265:1 gene:LPERR03G00330 transcript:LPERR03G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPFYVRSIRSMAAKKLQICAALLALNMVLQMAAVQACQPYCPTPTPPVVPPPAPVVPTPSGGSCPIDALKLSVCANVLNLLKLKIGVPESEQCCPLLGGLVDLDAAVCLCTAIKANILGINLNVPVDLTLLLNFCGKKSAMAKKGAAVMATVLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGGGGGGGGGGGSGGGGGSGGGSGGGSGGGSGGGSGRCPIDALKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANVLGINLNLPINLSLLVNYCGRRVPSGFQCPN >LPERR03G00330.2 pep chromosome:Lperr_V1.4:3:249333:251942:1 gene:LPERR03G00330 transcript:LPERR03G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRQLVQDCPPNPPVVPSPRPPTPGGGGGGQCPINGLDLSVCAGVMSLLKLSIGVPDNEQCCPLLSGLVDLDAAICVCTAIQADILGIDLDVNVDLRLLLNFCGKTCPDDFDCPDN >LPERR03G00340.1 pep chromosome:Lperr_V1.4:3:273425:274633:1 gene:LPERR03G00340 transcript:LPERR03G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNHLYFHDPQQIMSPVHHDTKPPPFAAAAALPFEQPASDDSSTAVVVDQVAQAAKLAESGDLFAARQILNRPPHRLPAAATPLLRSAFYFNDALRAALSSSSPPPAASTPVDVLLKLTAYKAFSDASPVLRFAHFTCVQALLDELASSSATCIHVLDFDIAVGDQWASLMHDLAHRRQHAAFKLTALVTTASHHPLELHLLHHTLSTLAADLGVPFRFAVFNLDATDLTALLSLAAAGDAIAVRLPVGSVHASVLHLLRRLGARLVVSVDRGWWCERGELPFAAHIMQALQSTAFLLDSLDAVGTDSDVAAKIERFWVRPRIDDCVRAATAGCGEKTAAWRATLASAGFVPVQVSGLAEAQAESLLKKMAVRGFRLERRGGSLFLHWQRGLLASVSAWRC >LPERR03G00350.1 pep chromosome:Lperr_V1.4:3:276942:281468:-1 gene:LPERR03G00350 transcript:LPERR03G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIQWIPNRTAEKIAELSESVAASLEGKRPESLTREEALPFRTGGAFIPKVRGESGDV >LPERR03G00360.1 pep chromosome:Lperr_V1.4:3:283819:285925:1 gene:LPERR03G00360 transcript:LPERR03G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYEGESELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEADLQD >LPERR03G00370.1 pep chromosome:Lperr_V1.4:3:288268:290396:-1 gene:LPERR03G00370 transcript:LPERR03G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTAYRTLRGPSPFVLAMKTRLAPPPPLADPLPLPAAAPPALLPPPYQPEKRRRGRPRNCDRLPPPPGFSPSPPQTPTLPAPSRPPLLAQGQGKSSGFQPHILQIGVGEEIIPKITALSKINGRVICVLSVLGAVQEATLLLSSGVTSHHKGPLEIIRLFGSIFTPNDQGCLRVTLASADSSVIGGIIIGPLIAATPVQVVVASFYSDADWPNKAPKIFAHYPNSQYTISNGLTLSSERANPGYASCTTVDRNESSQVDVKPSIGMLNLALCTAMDQNESSEVDVKPSIGMLNFAPCR >LPERR03G00380.1 pep chromosome:Lperr_V1.4:3:292478:295198:-1 gene:LPERR03G00380 transcript:LPERR03G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIASKCESRSQSGAAPEILQSPCRTIRENGYRDRRYSQLKCSDGNSGELHLESIPNFHCKSLPTRSRKTNAEDSIVGKRGSMYQSSSEISTIRKLQEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSMESRRIHRASRDFLDLSFRELPDENCRLDRPRMDGTLLKNDAGDGFLEISLEKEITKGPCKNASPQLLGGDSSKGIEANFPQKKTSECPIESHCSQREGDSTNSLPTSMSTKVRISDGTRPSESFSRGMEATKVRSSPFKKILDPIMKSKSFRSPSLMEDSNSVTVPVNRKNCVSRKSLLSDFSRTEQSQAINCQLNGERRHTAPALSPAHLQAVLKLDSKNGIPVFEFCVDGPEESISARSWKTGNELNWIYTFHSGGKRASAAGRTSKDGRWCSPPIVGQMQVSSYLCSEVGKDGVLNNSVTTEFVLYDIAHARRSFSVEEKTQCTETTQLTLSSVIDKSASGDCPQRINLTDQQISTRNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFIRSKSKELKNSLSPGTVKVVTPSGLHGLPDDNEASPSPLLDRWRYGGGCDCGGWDMACPISVLGNVYDNNWANSVTKEGENPMELFVLGDKEELPALSIKANEKGQLLVHFHARLSALQAFSACISLLHCSEASTTVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKRTERAPRSVVLDPPFSPLGRV >LPERR03G00390.1 pep chromosome:Lperr_V1.4:3:298026:301136:-1 gene:LPERR03G00390 transcript:LPERR03G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHSPSIHRHLPLPKPPATHVARCFRDPKAPIPSSAGGDTNSNSNPLMSMLSSVPDWADAVSERRIRDRRPLYTHADWREHRSSRRHIRHLLSSLSSRVILSLVPPVSAFTAVAAAVATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAAAADLAGMAMRHTPAAQPHYNQIHHTGTSALVNYILAFPVALKCHIISHSDVRSDLQGLLSGDDLNVVLRSKHRPRCIIEFISQSLYMLDFEESKRTIMESKLSCFHEGINVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDSTQDVLSVQNLVQKRLSAKTKDQDSSIRRCSDNGWPSSKSEQAKID >LPERR03G00400.1 pep chromosome:Lperr_V1.4:3:302279:304853:-1 gene:LPERR03G00400 transcript:LPERR03G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSASAAAEEERRHLLGGRAQPAPGLTAEKAKAVAAAIEKHERRRERAYARARSPCGLPFPFFSKELLLDTTRPGVPITADQSKRLRQEKDTIRRYMQPGRFQRLAMDRKFVLQCLKHYNAMHPDDEYEPAPGKVTKHFDRDNGITWTHGNFVARRKRTGCFSILPAPRILFFFELMSSSGFEGVVTCTPLDEAVTEAYSIMGFPLWWSSRRSGRLDGLCKTCFRHFNLPHPFIRKTFACGHNQVERVCEMCYFSSEVLHPFPGEFAYGYREYKYRYYY >LPERR03G00410.1 pep chromosome:Lperr_V1.4:3:306971:312128:-1 gene:LPERR03G00410 transcript:LPERR03G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYEAALLLLQLDQSLASRTVVIFIYLFAASVTSSPDEPVTEAYSIMGFPLWWSTRRSGRLDCLCKTCYHQFDLPHPFIRKTFACGHDKVERVCEMCYFSSEVLHPFPGEFTYGYREYKYRYH >LPERR03G00410.2 pep chromosome:Lperr_V1.4:3:306971:312128:-1 gene:LPERR03G00410 transcript:LPERR03G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYEAALLLLQLDQSLASRTVDEPVTEAYSIMGFPLWWSTRRSGRLDCLCKTCYHQFDLPHPFIRKTFACGHDKVERVCEMCYFSSEVLHPFPGEFTYGYREYKYRYH >LPERR03G00420.1 pep chromosome:Lperr_V1.4:3:312282:314282:-1 gene:LPERR03G00420 transcript:LPERR03G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAAEEEIRHLLGGGARPAPGVTAEKAKAAAAAIEKLGRRRERAYARARSRCCLPFPFFAKKLLQDTIPVAVPHSADQLYRLREERDRIHRYMLPGRFERLAMDRNFVLECLQHYNSMHPDDEYEPAPGKVTKHTERDNGIAWTHGNFVARRKRTGCFSILPAPRILFFFEHISSGVFRGIVTCTPLVNSIGRLFRPSDLTRMKDPAVHEHSISASLFVGIGIGISASSADQ >LPERR03G00420.2 pep chromosome:Lperr_V1.4:3:312516:314282:-1 gene:LPERR03G00420 transcript:LPERR03G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAAEEEIRHLLGGGARPAPGVTAEKAKAAAAAIEKLGRRRERAYARARSRCCLPFPFFAKKLLQDTIPVAVPHSADQLYRLREERDRIHRYMLPGRFERLAMDRNFVLECLQHYNSMHPDDEYEPAPGKVTKHTERDNGIAWTHGNFVARRKRTGCFSILPAPRILFFFEHISSGVFRGIVTCTPLGRPI >LPERR03G00430.1 pep chromosome:Lperr_V1.4:3:314835:316331:-1 gene:LPERR03G00430 transcript:LPERR03G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGIEISNGNNSEQARGKECARAPGRKEALADRRLLRLVQASLLKNALEAISDLFSNASLNFSGTGLELQAMDTSRVALILRADAFDHYHCDRDLSMGLNLADMANKDDIITIKADDVTFTFQSPAPGIRVPGHSPHALCNKLSCFGDRDTPFPSPALVVIKVDKERIEFFTVGTKWEVKNCLQAHPNRSQAKRTYHYRNERTGFPGLWPEVHELLLQVTIRLSSELPAVFEYKIAVAEMGYIRYYMWPMKDEMQN >LPERR03G00440.1 pep chromosome:Lperr_V1.4:3:320930:325347:-1 gene:LPERR03G00440 transcript:LPERR03G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARPQENNIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFSGFSGRGHSRKKSRDFEEIDEDEDNIEECDTRKRTVKRLMEDELGKVKQVKKIPNDEVKRILADLGHDVCLEKSSTQSGKQNRAKSHNTSTAMASPSGLMDPSGSKSMKQAEEDDLELPLADFLGEIYRYHNVWPHDDYKNKSELCPELKSLIHTKLNELKSVPCELAYKEAPERGQKEPFYETHLCNGRPYQSNKLIDAPEMLSPEKGFFLKTLQKPNPHTMEKENTQKHQNRQLEPKNMLQKGENTRSSKESKQHEAIKTHTKEGRNIFFWRKDKSIRKDTSEGINSSKMVNKIVILKPNPRGMDTTVATDSTCLQQQPCTIRSPKYSATESSKFSIKEVRRRFRIVTGETRRERPSVYEDNLQKESHWIHDSVSTVRRDSKQDDLRPSTSGRQKQRNVGLGEINRDIITSKDTSIFYEEAKKHLTDILEYKSQTTKHPTVHTSKSLKGMLSLPQCNASSPSSSPRVKYCIDLSPEETDNFATHKDGMTEYAKEVNQSDEDLENVVCGTSEVLDGKADQDRHSISMKQERTQDGDIMCNEEIVKPAYSETISGAGTTLKEQCRCNSPLEIIEGIEPGRQHAAMLLSYPENTDESLEHEEPRTPKPSASLELISQILPDGNDEKQEQPSPVSVLDPFFPEDVDSPGHETTIKRELHQDTMRPQTPDAISDQGVFWEDEDARLGYIKEMLELSELCTYQNLEVWYLEDELISPCLVEELHQGNQTDDLKLLFDCICEAITVIQETYFRNPPCLSFLRHKIQPPPLGENLIHEINKHIERHLHNQFPTTLNQLVNMDLEDGTWMNLLLESEEIVVDTWDFILDELLEEVANDLLI >LPERR03G00440.2 pep chromosome:Lperr_V1.4:3:320930:325347:-1 gene:LPERR03G00440 transcript:LPERR03G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARPQENNIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFSGFSGRGHSRKKSRDFEEIDEDEDNIEECDTRKRTVKRLMEDELGKVKQVKKIPNDEVKRILADLGHDVCLEKSSTQSGKQNRAKSHNTSTAMASPSGLMDPSGSKSMKQAEEDDLELPLADFLGEIYRYHNVWPHDDYKNKSELCPELKSLIHTKLNELKSVPCELAYKEAPERGQKEPFYETHLCNGRPYQSNKLIDAPEMLSPEKGFFLKTLQKPNPHTMEKENTQKHQNRQLEPKNMLQKGENTRSSKESKQHEAIKTHTKEGRNIFFWRKDKSIRKDTSEGINSSKMVNKIVILKPNPRGMDTTVATDSTCLQQQPCTIRSPKYSATESSKFSIKEVRRRFRIVTGETRRERPSVYEDNLQKESHWIHDSVSTVRRDSKQVEQALAWKTSGTGKDDLRPSTSGRQKQRNVGLGEINRDIITSKDTSIFYEEAKKHLTDILEYKSQTTKHPTVHTSKSLKGMLSLPQCNASSPSSSPRVKYCIDLSPEETDNFATHKDGMTEYAKEVNQSDEDLENVVCGTSEVLDGKADQDRHSISMKQERTQDGDIMCNEEIVKPAYSETISGAGTTLKEQCRCNSPLEIIEGIEPGRQHAAMLLSYPENTDESLEHEEPRTPKPSASLELISQILPDGNDEKQEQPSPVSVLDPFFPEDVDSPGHETTIKRELHQDTMRPQTPDAISDQGVFWEDEDARLGYIKEMLELSELCTYQNLEVWYLEDELISPCLVEELHQGNQTDDLKLLFDCICEAITVIQETYFRNPPCLSFLRHKIQPPPLGENLIHEINKHIERHLHNQFPTTLNQLVNMDLEDGTWMNLLLESEEIVVDTWDFILDELLEEVANDLLI >LPERR03G00450.1 pep chromosome:Lperr_V1.4:3:321595:327969:1 gene:LPERR03G00450 transcript:LPERR03G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTINIFREEWIKNRYRTGLLLLFIVSVGTYLITEETTGDLDDRHSEPRNQRKKTIKILQDMFMAM >LPERR03G00450.2 pep chromosome:Lperr_V1.4:3:321595:326423:1 gene:LPERR03G00450 transcript:LPERR03G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTINIFREEWIKNRYRTGLLLLFIVSVGTYLITEETTGDLDDRHSEPRNQRKKTIKILQDMFMAM >LPERR03G00460.1 pep chromosome:Lperr_V1.4:3:329403:334391:-1 gene:LPERR03G00460 transcript:LPERR03G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISALPPRSRRPGGGGGMSASASAAAGASQPRSQPLSQQSLSQQSLSQGGVGSGGAMLSHSQSQLSQASLDENLLSLHLLSPTRDQRFSLPDDSSKRMSSLPTSSASCAREESQLQLAKVPSNPMHRWNPSIADNRCQVANEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKVVLLESSLQQILKGQDDLKTLLESSTKGNPDQTSVLNAHTSKLNEISSTLSTFQTQMQADIRPTQADIRQMQADIKPMQADIRQIQADIRQLQGDIFTVFPKEIERIVRAIRSLNGRPTAMQMMADQSCNANEILLRNQIPVTNGKSPVNQPFIANGRSQVNQTPVPNVRSLVNQIPVANRRPEMNQVPVSNGWIQVNQTSVAAGRSPMNQAPAADGRARMNQIPTTKMLSAHLVYPAKVTDLKPKVEQGKVKAATQKSLASSYYRVVPKQEDVVIRKVNPQVPAKKAPVSIIIESDDDSEGRASCVILKTKAGSKEWKVTKQEVTEEGLEILRKARKRRRREMQSIVLAS >LPERR03G00470.1 pep chromosome:Lperr_V1.4:3:335095:339181:-1 gene:LPERR03G00470 transcript:LPERR03G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLTSRGALQAAPPLAAHRPHFSPFTKPSLRIKNHTYSVPPIFSKGHSSTGCHASLATSYMETSAVADLDWENLGFGLVHTDFMYIAKCGPDGNFSKGEVVPFGPIEVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMRDGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRIAKEKGYSDVLYLDAVHNKYLEEVSSCNIFIVKGNVISTSAIKGTILPGITRKSIVEVAQRKGFKVEERHVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYGNQGVGVVSQQLYTSLTSLQMGHVEDCMGWTVELSQ >LPERR03G00470.2 pep chromosome:Lperr_V1.4:3:335095:339181:-1 gene:LPERR03G00470 transcript:LPERR03G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLTSRGALQAAPPLAAHRPHFSPFTKPSLRIKNHTYSVPPIFSKGHSSTGCHASLATSYMETSAVADLDWENLGFGLVHTDFMYIAKCGPDGNFSKGEVVPFGPIEVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMRDGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRIAKEKGYSDVLYLDAVHNKYLEEVSSCNIFIVKVEERHVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYGNQGVGVVSQQLYTSLTSLQMGHVEDCMGWTVELSQ >LPERR03G00480.1 pep chromosome:Lperr_V1.4:3:342212:343015:1 gene:LPERR03G00480 transcript:LPERR03G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLILACVVAAAMVSAVSCGPPKVPPGPNITAKYDSNWLEARATWYGKATGAGPDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCTKPEACSDKPVIVHITDMNEEPIAAYHFDLSGKAFGALAKEGKEEELRKAGIIDTQFRRVRCKYPGDTKITFHVEKGSNPNYFALLVKYVAGDGDVVEVDLKEKGSEEWKPLKESWGAIWRLDTPKPLKGPFTVRITTESGKKLVADDIIPADWKADALYKSNVQLD >LPERR03G00490.1 pep chromosome:Lperr_V1.4:3:348121:356979:1 gene:LPERR03G00490 transcript:LPERR03G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPPSTATAVAAEWVVLHRYPTSRKDDDDDGIFLSLAAPPRISLLAVPPAARGSRVLAADPSGILLISIFSGADHPSYLIWDALHTNTTHYISSSTETPSSSCAGLLVLPARFSHNHTMLAELSFAAPPPPSLAATPTPHKIPIGSTRFYTPLSSSVSRGILRLVVMTTTRMPKIKLWTLADHEAAKWTLDFDVSISGFQTDAKLAFVHPTNPHVVYFFLKHQLTAVDLQTMNTIGTEDHDPSSSLVHMDKEVPESASNFTLLPWALPPSLRTTLSGPSLAQDTENKFKLSERLFMQIFLEQTDTKEKKYAHLNFYGATDSERTLVFAEFHTDAVCDNEPNQWALSACKTLKMNYHGGLYGEDADQRRSMGSKKRKKSIYCFACTAELLHPISGFHGGYAGMSAM >LPERR03G00500.1 pep chromosome:Lperr_V1.4:3:363300:363686:-1 gene:LPERR03G00500 transcript:LPERR03G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLVVLGMALALLALLESAAVGVKFTDEDLKSEESLWRLYERWGMRYNMTRHPVDKLRRFSFFKDLVRHVHLHATAAGQTPGLNGFTTLPTASSTATTSATSWRSALTHSAHEEARRQVPHSPHLH >LPERR03G00510.1 pep chromosome:Lperr_V1.4:3:368401:369198:-1 gene:LPERR03G00510 transcript:LPERR03G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFAFVVAAAMVSGVSCGPPKVPPGPNITAKYDSNWLEARATWYGKATGAGPDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCTKPEACSDKPVIVHITDMNEEPIAAYHFDLSGKAFGALAKEGKEEELRKAGIIDTQFRRVRCKYPGDTKITFHVEKGSNPNYFALLVKYVGGDGDVVAVDLKEKGSEEYKELKESWGAIWRLDTPKPLKGPFTIRITTESGEKLIAEDIIPNDWKADALYKSELQLD >LPERR03G00520.1 pep chromosome:Lperr_V1.4:3:370403:375027:-1 gene:LPERR03G00520 transcript:LPERR03G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHYHCTGQAHVHQPKNHTSLSSPPAPPPSLSLRPPPLRPFSSARESELAVIKPEAMKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQIQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHAKNPPKADPEPEEAARGGPFNVGSGNNSRTPCQNSNVQDDVDPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHLIGGNGSLQRFSGFNHR >LPERR03G00520.2 pep chromosome:Lperr_V1.4:3:370403:375027:-1 gene:LPERR03G00520 transcript:LPERR03G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHYHCTESELAVIKPEAMKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQIQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHAKNPPKADPEPEEAARGGPFNVGSGNNSRTPCQNSNVQDDVDPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHLIGGNGSLQRFSGFNHR >LPERR03G00530.1 pep chromosome:Lperr_V1.4:3:375177:379573:-1 gene:LPERR03G00530 transcript:LPERR03G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLPLVVLLAVVAALLSAAAAAAAETGGAFDVRRHLATVTRYDVARRSNTVASAPSISDDCRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQRPDGDFTKKIPSWMEGWESPWKGRVKAGELVSEGEEELYDLAIRVKERFQGLFDEEYHPDVYSIRSTQVPRASASAVAFGLGLLSGKGKLGPEKNRAFSVLSESRASDIYLRFFDSCETYKDYRKRKEPDVEKQKGPILEHVTSALVNRYQLNFTTQDVSSLWFLCKQEASLNHITNQACQLFNEDEVYFLEWTDDLESFVLKGYGESINYRMGLPLLKDVFQSMEDAIIAKEENHPDGMYEKARLRFAHAETLLPFSCLLGLFLEGSDFEKIQREESLAIPPMPPHGRKWKGSVVAPFAGNNMLALYQCPEKSDGTKISQDQKSSYFVQVLHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHEFDALCKIRPVVREEPSSFSSKVSNFFLGLFSEKGYHVSAQNVKTEL >LPERR03G00540.1 pep chromosome:Lperr_V1.4:3:379750:385694:1 gene:LPERR03G00540 transcript:LPERR03G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEESAMAELETGEEEEVLRRLGTGEKAGLTQKEAARRLRLHGPNLVILSHHQEESILWKFLIKLFSLWSWNHVFPKYRNMVRLMLNSMSWVTVLTTILSLTIASAGQRSYMMSITIYLLATSLIACLTVRLVVEYAKAPLEAKAYAPRAKVLRDGTWINVHAANLVPGDIIFLKVGDIVPANARILRFQKIDTMTCWAKRSVDYVHGFLIYYAWTVSCVQGTAVVIATGHGIPQSTLRLYPQRYTRPGQLKEGTMLAGCFCFSLMLFGTIAELILKMFFQKHRSGAILQSGHFMPLIGVVPMAMPVVLYLALAFGSLRLCLLGIASRGTVALEDLASMDVMLFNMTGTITCNKPCFASDKIELFANSVNKDQAIVLASRASRSQQELHIEPIDAAILNLLDDPEQARAGVQVIEHHARFFVALKLMFLTTYIDENGSMCSVFKGDPAKASHSYNIHIQRSTQVPPLSLNVAHQCRCSKATKERISMIMDNLAIDGYQAIAVGHQADSCWEFVCLLPFKDDLRHDSADAVNSLIGLGLDILILTESPLLVTRQVCGKLGKLGINVLPAHAVFELARNNKEVHLNTNGISDLFPEDNSDIVRRLRNFGCRCAMVGCEFLDHDAISESHIGISVADATDYTKSESDLVLTQPALTPVSSAVQISTSFAMLFERMKLSKSRDTLRVKNIIAHGAAFGSYIVLSTAMFFRATTTINLFSCKIEGKSLVGTNEEIRAALFLQMSIVNQAVALFAHSDDCCPIRCPGPVVSFAFIFTQMVATRKAVQGDLDFAMAKGVGWLKAGLIWLHNFVLLLVPVFICHRWKHAKMTGEKLLASCMRSTILHTVLIWLLYIILDVRLQKPFSS >LPERR03G00540.2 pep chromosome:Lperr_V1.4:3:379750:385694:1 gene:LPERR03G00540 transcript:LPERR03G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEESAMAELETGEEEEVLRRLGTGEKAGLTQKEAARRLRLHGPNLVILSHHQEESILWKFLIKLFSLWSWNHVFPKYRNMVRLMLNSMSWVTVLTTILSLTIASAGQRSYMMSITIYLLATSLIACLTVRLVVEYAKAPLEAKAYAPRAKVLRDGTWINVHAANLVPGDIIFLKVGDIVPANARILRFQKIDTMTCWAKRSVDYVHGFLIYYAWTVSCVQGTAVVIATGHGIPQSTLRLYPQRYTRPGQLKEGTMLAGCFCFSLMLFGTIAELILKMFFQKHRSGAILQSGHFMPLIGVVPMAMPVVLYLALAFGSLRLCLLGIASRGTVALEDLASMDVMLFNMTGTITCNKPCFASDKIELFANSVNKDQAIVLASRASRSQQELHIEPIDAAILNLLDDPEQARAGVQVIEHHARFFVALKLMFLTTYIDENGSMCSVFKGDPAKASHSYNIHIQRSTQVPPLSLNVAHQCRCSKATKERISMIMDNLAIDGYQAIAVGHQADSCWEFVCLLPFKDDLRHDSADAVNSLIGLGLDILILTESPLLVTRQVCGKLGKLGINVLPAHAVFELARNNKEVHLNTNGISDLFPEDNSDIVRRLRNFGCRCAMVGCEFLDHDAISESHIGISVADATDYTKSESDLVLTQPALTPVSSAVQISTSFAMLFERMKLSKSRDTLRVKNIIAHGAAFGRGQCKIEGKSLVGTNEEIRAALFLQMSIVNQAVALFAHSDDCCPIRCPGPVVSFAFIFTQMVATRKAVQGDLDFAMAKGVGWLKAGLIWLHNFVLLLVPVFICHRWKHAKMTGEKLLASCMRSTILHTVLIWLLYIILDVRLQKPFSS >LPERR03G00540.3 pep chromosome:Lperr_V1.4:3:379750:385694:1 gene:LPERR03G00540 transcript:LPERR03G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEESAMAELETGEEEEVLRRLGTGEKAGLTQKEAARRLRLHGPNLVILSHHQEESILWKFLIKLFSLWSWNHVFPKYRNMVRLMLNSMSWVTVLTTILSLTIASAGQRSYMMSITIYLLATSLIACLTVRLVVEYAKAPLEAKAYAPRAKVLRDGTWINVHAANLVPGDIIFLKVGDIVPANARILRFQKIDTMTCWAKRSVDYVHGFLIYYAWTVSCVQGTAVVIATGHGIPQSTLRLYPQRYTRPGQLKEGTMLAGCFCFSLMLFGTIAELILKMFFQKHRSGAILQSGHFMPLIGVVPMAMPVVLYLALAFGSLRLCLLGIASRGTVALEDLASMDVMLFNMTGTITCNKPCFASDKIELFANSVNKDQAIVLASRASRSQQELHIEPIDAAILNLLDDPEQARAGVQVIEHHARFFVALKLMFLTTYIDENGSMCSVAHQCRCSKATKERISMIMDNLAIDGYQAIAVGHQADSCWEFVCLLPFKDDLRHDSADAVNSLIGLGLDILILTESPLLVTRQVCGKLGKLGINVLPAHAVFELARNNKEVHLNTNGISDLFPEDNSDIVRRLRNFGCRCAMVGCEFLDHDAISESHIGISVADATDYTKSESDLVLTQPALTPVSSAVQISTSFAMLFERMKLSKSRDTLRVKNIIAHGAAFGRGQCKIEGKSLVGTNEEIRAALFLQMSIVNQAVALFAHSDDCCPIRCPGPVVSFAFIFTQMVATRKAVQGDLDFAMAKGVGWLKAGLIWLHNFVLLLVPVFICHRWKHAKMTGEKLLASCMRSTILHTVLIWLLYIILDVRLQKPFSS >LPERR03G00540.4 pep chromosome:Lperr_V1.4:3:379750:385694:1 gene:LPERR03G00540 transcript:LPERR03G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEESAMAELETGEEEEVLRRLGTGEKAGLTQKEAARRLRLHGPNLVILSHHQEESILWKFLIKLFSLWSWNHVFPKYRNMVRLMLNSMSWVTVLTTILSLTIASAGQRSYMMSITIYLLATSLIACLTVRLVVEYAKAPLEAKAYAPRAKVLRDGTWINVHAANLVPGDIIFLKVGDIVPANARILRFQKIDTMTCWAKRSVDYVHGFLIYYAWTVSCVQGTAVVIATGHGIPQSTLRLYPQRYTRPGQLKEGTMLAGCFCFSLMLFGTIAELILKMFFQKHRSGAILQSGHFMPLIGVVPMAMPVVLYLALAFGSLRLCLLGIASRGTVALEDLASMDVMLFNMTGTITCNKPCFASDKIELFANSVNKDQAIVLASRASRSQQELHIEPIDAAILNLLDDPEQARAGVQVIEHHARFFVALKLMFLTTYIDENGSMCSVAHQCRCSKATKERISMIMDNLAIDGYQAIAVGHQADSCWEFVCLLPFKDDLRHDSADAVNSLIGLGLDILILTESPLLVTRQVCGKLGKLGINVLPAHAVFELARNNKEVHLNTNGISDLFPEDNSDIVRRLRNFGCRCAMVGCEFLDHDAISESHIGISVADATDYTKSESDLVLTQPALTPCKIEGKSLVGTNEEIRAALFLQMSIVNQAVALFAHSDDCCPIRCPGPVVSFAFIFTQMVATRKAVQGDLDFAMAKGVGWLKAGLIWLHNFVLLLVPVFICHRWKHAKMTGEKLLASCMRSTILHTVLIWLLYIILDVRLQKPFSS >LPERR03G00550.1 pep chromosome:Lperr_V1.4:3:388429:389853:-1 gene:LPERR03G00550 transcript:LPERR03G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLASAPKVALGSVAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMIVFHVISPDDAYASIDLPILGLLFATMVVGSYLKNAGMFKHLGRLLAWRSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLLGILPAMLAGMAVNMVMLLCMYWRELGGGVDFIDGSANGGKQTTPDQAAAVEEGRSSPMSLKSPAAMSLEMSENITTKHPWFMQCTEERRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISAAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAIGIPLIGKI >LPERR03G00560.1 pep chromosome:Lperr_V1.4:3:397595:406320:1 gene:LPERR03G00560 transcript:LPERR03G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQQMMIMTASQMALIEMSFSASGSHRSSNSGDSIADKTNLSPRDSSNGGVHVGRQDSASSYVSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQAELAVELSAAHAERDSYRQEIEELKSSMQEVTTRQKVGTSKYGDWIDLQKELEDDVKFLKDSNANLSVQLKNTQEANIELVSILQELEETIEEQKAEITRISKVNNVTDPDALKNGSLVKQDTEWAKQMSIKEDEITMLREKLNRVLNIENAGAAGSDVVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGITKSQGHCISNNSNLQIGELKAHIRQLEEELRNKELLHTGSFAGASISSSKELQEKCADLELKLLNFRSQAYELEEKFRKSQEELEQRNLELSELKQKLDISHSTAVEVVQSGGRGYQFREGMDSEPETDMLKAKIQLQQQENDNLRCSKVEMESTISKIQAEKSQLEERLEASLKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKAELELHISDLEQENIDLSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMESQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIADLKRQKLELHGRLTQQEQELDNSKKRNLDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEQEERINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTHEERENATVEAIREVSVLRADKVKLEASLQDVSAQLKHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLTADAEHMKKLMEVAKSNEDELRKSSGELELKLKASDYEKQQMMEEVSGLKLQVQKIVGLQDEVLKLKSSLDEVKFEKGKLEELLRSVTEECEELKAEKAMLSDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSALEASHVHEAELKNELNRIKRSNSEYQRKVQSLEQENEDLTRRSQLEQMTHIKEEELGKQEIGGSPLDEEAGSHLKIQVLEAKLAEALEENKMYRAQQKSPVSDGQSAAGNGKESSNDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKNAKKGGRWF >LPERR03G00570.1 pep chromosome:Lperr_V1.4:3:409571:412546:1 gene:LPERR03G00570 transcript:LPERR03G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAAMESDELRASKERACSTSRIIAQWAARRRQLACEQMMMECRDRERESELLALARLHAVSMLDASFLCSGAQHQDSRRRSPEPERALVRRIAREWTQPPQEESSSSSSWLGESERERVRSVRERLVRMATTQQPNTNRTSAVARRMAMERQRELQGLSHHRAVSSFAHRARIQGRFYHSGRPLHAESPLSLSGARELGHLRQSHPVSRLREEVRSRTEVTTNDRARIHNVPISTARSSSASDQHQENATHNEIQTLQSVEDDTVHIQSSITTSNDVAQNDFDQEQLHQYEEYSDSGSSEQASRQPDSSISSPSDNRAQQEAEAYEQQTDLEWSSETSSSEDAEDGLFQQTDLHWHAINNSQEAEPQWRSASSFSSSRNINRFSPTDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYVRRQELDDPLDWDYERQGTTTGLLSDDQGEDRINESSNQAAVSDGIDQPSILPQQRHWQIELPHHHRHHHNWNQQTIRHSEFDWDVIHVLRDDLTGLQRGMNNMQQMLEACMEMQMELQRSIKQEVSAALNRSPTIPADKGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCANELLHGVGKCPLCRAPIVEVVRAYCIM >LPERR03G00580.1 pep chromosome:Lperr_V1.4:3:415761:416203:1 gene:LPERR03G00580 transcript:LPERR03G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVYLYHYWTIQFTTTGLSNTKLVIMGSRRFCIARFFKTMSMDGDGILIDRSVVFTGVELTSIGHYGNANGKAKVKL >LPERR03G00590.1 pep chromosome:Lperr_V1.4:3:417923:419066:1 gene:LPERR03G00590 transcript:LPERR03G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRRFQNLIADSPYSGGKWLRRVNLADQLFGNTPTEDGSESALAQDSASQIMTPSCYPKNWNRRAAIAALKMERIRIPSPIFRFQAAKAPNLSMHCFPLVDHKVVMADHTGRMFQCDASTREVVTMAPNLQKAKSWPISMFIPSADVIGSDICISTNSCNNTYRLDTARNSWQVSNVKLPLYGKVEYVPELKLWFGFTKQQPKCLQVLAAADLSSSAMDSQSQPQLIGDWKEFEPPEGWMLTRGPQLVNLGSGRFCIARFFKTMTMDLDEVIDRFVVLTGVEVIPVGQDGDASTGIKLRMIKHKSRFHVSNATIIRAIF >LPERR03G00600.1 pep chromosome:Lperr_V1.4:3:423672:423929:1 gene:LPERR03G00600 transcript:LPERR03G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEFYRPTAPPSPVSATGAMDEEQYSSCRTPTHVGIKEPTTCPPAPRKPRPVACRKLHLDPSPHHQIISLRLDDLHRLFRPT >LPERR03G00610.1 pep chromosome:Lperr_V1.4:3:424918:429331:-1 gene:LPERR03G00610 transcript:LPERR03G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPIVGSRSMRRPSPLNLASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDPDLEYFGVDIRAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNRNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGVSKNSITLKWESDNCSSVEISGLDIGWGQIIPLAYNKEKGAWFLERELPEGRYEYKYIVDGKWVCNENEKKTKANADGHVNNYIQISRDGTSVEEKELRERLTSQNPDLTKEERLMIREIDILLGVTDSLWARCGTGKAW >LPERR03G00610.2 pep chromosome:Lperr_V1.4:3:424886:429331:-1 gene:LPERR03G00610 transcript:LPERR03G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPIVGSRSMRRPSPLNLASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDPDLEYFGVDIRAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNRNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGVSKNSITLKWESDNCSSVEISGLDIGWGQIIPLAYNKEKGAWFLERELPEGRYEYKYIVDGKWVCNENEKKTKANADGHVNNYIQISRDGTSVEEKELRERLTSQNPDLTKEERLMIREEGLVNERSYHGASR >LPERR03G00610.3 pep chromosome:Lperr_V1.4:3:424886:429331:-1 gene:LPERR03G00610 transcript:LPERR03G00610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPIVGSRSMRRPSPLNLASGASTSSAESGAVEAGTEKSDTDFDAFDLRLRLPAVVSKLHKLVNRNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGVSKNSITLKWESDNCSSVEISGLDIGWGQIIPLAYNKEKGAWFLERELPEGRYEYKYIVDGKWVCNENEKKTKANADGHVNNYIQISRDGTSVEEKELRERLTSQNPDLTKEERLMIREEGLVNERSYHGASR >LPERR03G00620.1 pep chromosome:Lperr_V1.4:3:430590:433237:1 gene:LPERR03G00620 transcript:LPERR03G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPPKQQSNSKLPRRASSSSHLADKLRKHSTWVLLLLWFALSLYLFLFPTPPPASPLPLRLQHRAAAAITPLRIYVYDLPSRFNRDWVAADPRCSRHLFAAEVALHEALLGSSSFSSAEDADLFFVPVYVSCNFSTANGFPSLSHARGLLADAVELVRSQMPYWNRSGGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKSQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQKYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWSDISLQVAEKDVASLEMVLDHVVATNLTIIQKNLWDPAKRKALVFNRPMEEGDATWQVLRELEILRDRSQRRHVRSWR >LPERR03G00630.1 pep chromosome:Lperr_V1.4:3:434430:435771:-1 gene:LPERR03G00630 transcript:LPERR03G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSYVTAAQLVPMLRDPCTAIVDVRDEERMYDAHIAGSQHYASDSFAERLPELAEATKGKETLVFHCALSKVRGPSCAQMYLDYQSEAKEDFGVKHIVVLERGFNGWELSGRPVCRCKDAPCKGVCS >LPERR03G00630.2 pep chromosome:Lperr_V1.4:3:434430:435752:-1 gene:LPERR03G00630 transcript:LPERR03G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSYVTAAQLVPMLRDPCTAIVDVRDEERMYDAHIAGSQHYASDSFAERLPELAEATKGKETLVFHCALSKVRGPSCAQMYLDYQSEAKEDFGVKHIVVLERGFNGWELSGRPVCRCKDAPCKGVCS >LPERR03G00640.1 pep chromosome:Lperr_V1.4:3:436570:442121:1 gene:LPERR03G00640 transcript:LPERR03G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAVDDGFPRVFPPSAAAIVILTELLPVYRVEAILIHQWQSAKLQQEGNEKANCSGPNLGIQVDVQLVAHEFPRKPANLLRLSLSLSSTFASLRPKENEKKKNREAPRFDPPAAIAVAMPSRSSGRRLGPPPFFLDDDDVEVDYGEEEEETDEEESEEEGSDVEGAGDEEEEGEPGQESIGEGCGPAVGARVSGGAAAETHGCPVCMEPWTSQGAHRICCVPCGHVYGRSCLEKWLQQCGNTSATCPQCGKRFQHKGITNLYAPEVAVPNNDLEKEVLHLKRKSESLEEKVMKHEKLLEEMNERLLEMTSAQKRQVVSEQTLMNDIGSSKRQKMAEHLIGTTYLEPSTSAKEDFSSSDSCNFVFQKEIVMDGLRVMAIDALNQIILASGKAPGVGQEHVLSKFSMCSHYESRNIQLPPDTKTIRDICILPSGSAIFTSLGRKLSSFSMTTDRVVLQCDLPCPGWSCSADESSHHICAGLQNGNLIIFDIHQTSIPLHSMAGLSRHPVHTLHKVIDNNGSRKFLSASSIGPCMWDADGNQGRPNLILGTDCQRVCIALACAPPSSDLIVASYRPKVDTSEDATSSQVYLSQTPTPSGNGKLGHHALIRRVGNLSSFTRERMCSAFVSEIRLSKSAIIPYGNN >LPERR03G00640.2 pep chromosome:Lperr_V1.4:3:436570:442121:1 gene:LPERR03G00640 transcript:LPERR03G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAVDDGFPRVFPPSAAAIVILTELLPVYRVEAILIHQWQSAKLQQEGNEKANCSGPNLGIQVDVQLVAHEFPRKPANLLRLSLSLSSTFASLRPKENEKKKNREAPRFDPPAAIAVAMPSRSSGRRLGPPPFFLDDDDVEVDYGEEEEETDEEESEEEGSDVEGAGDEEEEGEPGQESIGEGCGPAVGARVSGGAAAETHGCPVCMEPWTSQGAHRICCVPCGHVYGRSCLEKWLQQCGNTSATCPQCGKRFQHKGITNLYAPEVAVPNNDLEKEVLHLKRKSESLEEKVMKHEKLLEEMNERLLEMTSAQKVRPFNLNSQWSIPEHSRETIFPLKKMAEHLIGTTYLEPSTSAKEDFSSSDSCNFVFQKEIVMDGLRVMAIDALNQIILASGKAPGVGQEHVLSKFSMCSHYESRNIQLPPDTKTIRDICILPSGSAIFTSLGRKLSSFSMTTDRVVLQCDLPCPGWSCSADESSHHICAGLQNGNLIIFDIHQTSIPLHSMAGLSRHPVHTLHKVIDNNGSRKFLSASSIGPCMWDADGNQGRPNLILGTDCQRVCIALACAPPSSDLIVASYRPKVDTSEDATSSQVYLSQTPTPSGNGKLGHHALIRRVGNLSSFTRERMCSAFVSEIRLSKSAIIPYGNN >LPERR03G00640.3 pep chromosome:Lperr_V1.4:3:436570:442121:1 gene:LPERR03G00640 transcript:LPERR03G00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAVDDGFPRVFPPSAAAIVILTELLPVYRVEAILIHQWQSAKLQQEGNEKANCSGPNLGIQVDVQLVAHEFPRKPANLLRLSLSLSSTFASLRPKENEKKKNREAPRFDPPAAIAVAMPSRSSGRRLGPPPFFLDDDDVEVDYGEEEEETDEEESEEEGSDVEGAGDEEEEGEPGQESIGEGCGPAVGARVSGGAAAETHGCPVCMEPWTSQGAHRICCVPCGHVYGRSCLEKWLQQCGNTSATCPQCGKRFQHKGITNLYAPEVAVPNNDLEKEVLHLKRKSESLEEKVMKHEKLLEEMNERLLEMTSAQKRQVVSEQTLMNDIGSSKRQKEIVMDGLRVMAIDALNQIILASGKAPGVGQEHVLSKFSMCSHYESRNIQLPPDTKTIRDICILPSGSAIFTSLGRKLSSFSMTTDRVVLQCDLPCPGWSCSADESSHHICAGLQNGNLIIFDIHQTSIPLHSMAGLSRHPVHTLHKVIDNNGSRKFLSASSIGPCMWDADGNQGRPNLILGTDCQRVCIALACAPPSSDLIVASYRPKVDTSEDATSSQVYLSQTPTPSGNGKLGHHALIRRVGNLSSFTRERMCSAFVSEIRLSKSAIIPYGNN >LPERR03G00640.4 pep chromosome:Lperr_V1.4:3:436570:442121:1 gene:LPERR03G00640 transcript:LPERR03G00640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAVDDGFPRVFPPSAAAIVILTELLPVYRVEAILIHQWQSAKLQQEGNEKANCSGPNLGIQVDVQLVAHEFPRKPANLLRLSLSLSSTFASLRPKENEKKKNREAPRFDPPAAIAVAMPSRSSGRRLGPPPFFLDDDDVEVDYGEEEEETDEEESEEEGSDVEGAGDEEEEGEPGQESIGEGCGPAVGARVSGGAAAETHGCPVCMEPWTSQGAHRICCVPCGHVYGRSCLEKWLQQCGNTSATCPQCGKRFQHKGITNLYAPEVAVPNNDLEKEVLHLKRKSESLEEKVMKHEKLLEEMNERLLEMTSAQKRQVVSEQTLMNDIGSSKRQKMAEHLIGTTYLEPSTSAKEDFSSSDSCNFVFQKEIVMDGLRVMAIDALNQIILASGKAPGVGQEHVLSKFSMCSHYESRNIQLPPDTKTIRDICILPSGSAIFTSLGRKLSSFSVLAGHALRMNLRIIFVQGCRHPVHTLHKVIDNNGSRKFLSASSIGPCMWDADGNQGRPNLILGTDCQRVCIALACAPPSSDLIVASYRPKVDTSEDATSSQVYLSQTPTPSGNGKLGHHALIRRVGNLSSFTRERMCSAFVSEIRLSKSAIIPYGNN >LPERR03G00640.5 pep chromosome:Lperr_V1.4:3:436570:442121:1 gene:LPERR03G00640 transcript:LPERR03G00640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAVDDGFPRVFPPSAAAIVILTELLPVYRVEAILIHQWQSAKLQQEGNEKANCSGPNLGIQVDVQLVAHEFPRKPANLLRLSLSLSSTFASLRPKENEKKKNREAPRFDPPAAIAVAMPSRSSGRRLGPPPFFLDDDDVEVDYGEEEEETDEEESEEEGSDVEGAGDEEEEGEPGQESIGEGCGPAVGARVSGGAAAETHGCPVCMEPWTSQGAHRICCVPCGHVYGRSCLEKWLQQCGNTSATCPQCGKRFQHKGITNLYAPEVAVPNNDLEKEVLHLKRKSESLEEKVMKHEKLLEEMNERLLEMTSAQKRQVVSEQTLMNDIGSSKRQKEIVMDGLRVMAIDALNQIILASGKAPGVGQEHVLSKFSMCSHYESRNIQLPPDTKTIRDICILPSGSAIFTSLGRKLSSFSVLAGHALRMNLRIIFVQGCRHPVHTLHKVIDNNGSRKFLSASSIGPCMWDADGNQGRPNLILGTDCQRVCIALACAPPSSDLIVASYRPKVDTSEDATSSQVYLSQTPTPSGNGKLGHHALIRRVGNLSSFTRERMCSAFVSEIRLSKSAIIPYGNN >LPERR03G00650.1 pep chromosome:Lperr_V1.4:3:441948:443365:-1 gene:LPERR03G00650 transcript:LPERR03G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPNNARLLATTSNQKLLLMMVLLIVVVSSVSVQPSVAQQPPSPGYYPSRTISSMAFGDGYNNLWGAQHQTLSPDQKDLTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDMELLGTIPGEPWTLQTNVYVHGTGDGNVIIGREMRFHLWFDPTADFHHYAILWNPDHILFLVDDIPVRRYPRSGNSNFPDRQMWAYGSIWDASDWATDGGRFKSDYRYQPFVSRYRDLKIAGCDAGAPANCRPVPASASGGVGLSSQQNAAMSWAQQRSMVYYYCQDYSRNHANYPEC >LPERR03G00660.1 pep chromosome:Lperr_V1.4:3:446347:449262:1 gene:LPERR03G00660 transcript:LPERR03G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIERQIRDVQREEKKVEKAIREAAKRNDIGSAKALAKEVVMSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKIVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAERTAIAESVDDDDELEMIRERLAKVRS >LPERR03G00670.1 pep chromosome:Lperr_V1.4:3:449823:451286:-1 gene:LPERR03G00670 transcript:LPERR03G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYTMPADAEAALGRAMTWAEHACNYCLYCHNVPILLIVYTLASLHLRHLLLPHKLQPRVRHTLADFLRCYLATTRVLLLAVGPLQLVSYPAVVRLAGIRTGFTMSSRRRWVTRRRPGFCWPGRRALPHDRFLALFPLNPTKYIPFYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDKGYRYHKASLAKAKEIAGNYVEKGEENGFDNRKQD >LPERR03G00680.1 pep chromosome:Lperr_V1.4:3:452195:455552:1 gene:LPERR03G00680 transcript:LPERR03G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAHAGILLLRHPETLRWRGSVSLSCGGARRSWAAAAAGGGEEGRGYERVAMDTPGAYRLVDRSTGRSVIVWGGTDDGDDLTLPSPAVLSRTTRVSGHGRTKENGGSTSIGNFGRLKAQKVKALARRSTHLKRENSGRISLSRFGESPSDESDEDEPNFGRGRTRNARQNSKSRDDRTRGAHSLNSVLRQYRGDEDDLDYPGSEASSGSKKWGNVGDVTYGRQNQRKKGPLDSGFFSRTSFKEIGCSDEILGALKSFDFPRPSHIQAMAYRHVLEGRSCIIGDQSGSGKTLAYLCPIVQNLRKEEVQGLHRSSPRNPRVVILTPTAELASQVLNNCRAISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQINNLRCVVLDEVDILYGEESFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSSLIKIIEESPVRKTIIFCNKIETCRKVENALRRLDRKASQIKVLPFHGALDQEQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >LPERR03G00690.1 pep chromosome:Lperr_V1.4:3:455409:456854:-1 gene:LPERR03G00690 transcript:LPERR03G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSGRETTKRMRTAASASAMSNGGEEDTASQVSAGGVVAASSGGVAAATETEGEEMAAAEEEEMAAASAETEEHVQRILLAIDGFTRQVSEMLEAGRALFKNLAADFEDRLCTIHKERVEKWEEDIRELRARDAANEQARALLHNAQAHLLGTVRHE >LPERR03G00700.1 pep chromosome:Lperr_V1.4:3:462685:464330:1 gene:LPERR03G00700 transcript:LPERR03G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSYDMMGLRAIESRLPPGFRFHPSDEELVCYYLRNKQQSNLQTTTAATMLVEVDLHACEPWDLPEVAKLSADEWYFFSYRDKKYATGSRRNRATKQGYWKATGKDKAIHEHDAGSGGCSRKNRAVAGARKTLVFYFGRAPNGRKSSWVMHEFRLLLPHPKPKFHNMQEEVEDWVLCRVFHKGNNSNGGQACQSSSGVITATTTPRSSSSAPTTIHHLQLHACSLPQQKQLQILADDNNKLKGLEESLMISSSPATIIMSDQNRFSSSIMHHDHHDNQQRVLLDNERIIISSSSRCDDQLGSNEEQQQLLLDLSFLQAPAFLELDDHSPGGGGGVEIITDMENLLETSGVLQGADSYYMQLY >LPERR03G00710.1 pep chromosome:Lperr_V1.4:3:475391:479994:1 gene:LPERR03G00710 transcript:LPERR03G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQETMSRFKRTCVFCGSSQGNKTTYRDAAIDLAKELVARGIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEIIGETVGEVRPVSDMHQRKAEMARQSDAFIALPEYQKLTSKWQNNSGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRITVSAPTAQELMEKLEEYVPYHDRVASGLNWETDHLGF >LPERR03G00720.1 pep chromosome:Lperr_V1.4:3:485122:493118:1 gene:LPERR03G00720 transcript:LPERR03G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENSHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMFPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWTIMGGDGVEDVVIACNSTKKIRTSNSAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASAGRTLDLASSLEVGSATTQASGDASTDDSNLRSVLTIAFQFPYEIHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQSGLNAGQRIISGFPEAATLARWVCQSYHYHLGAELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDESGKEALFSNIPKLMEQGHAYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNCRPERVGD >LPERR03G00720.2 pep chromosome:Lperr_V1.4:3:485122:493255:1 gene:LPERR03G00720 transcript:LPERR03G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENSHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMFPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWTIMGGDGVEDVVIACNSTKKIRTSNSAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASAGRTLDLASSLEVGSATTQASGDASTDDSNLRSVLTIAFQFPYEIHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQSGLNAGQRIISGFPEAATLARWVCQSYHYHLGAELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDESGKEALFSNIPKLMEQGHAYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >LPERR03G00730.1 pep chromosome:Lperr_V1.4:3:494409:494831:-1 gene:LPERR03G00730 transcript:LPERR03G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIERYYSRMTVDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALDVDEIRVDGETLDMLVSLGMAKLPGVVRQADVSTAAAAGRVPYGRRDRA >LPERR03G00740.1 pep chromosome:Lperr_V1.4:3:495595:496994:-1 gene:LPERR03G00740 transcript:LPERR03G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIAANTWVVSGTPQTKSPDNLDNLRRLAEQFQKQVPGAEAGASAGNAQDDDDDVPELVPGETFEEAAEEKKEPEEKKGAEPEEKKE >LPERR03G00750.1 pep chromosome:Lperr_V1.4:3:497679:502465:-1 gene:LPERR03G00750 transcript:LPERR03G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDFRILGEIMAMLTKPSDAEKEALFPHYTGNCSTSTHPPGRLTMDASPSPSAAAALFPQFTGDCSAQPPPTLGGTMAAAADFPYYNGNGEGSSAPIPPTMSGGCSSDLSGMEMETTPSVPLDAVPNPFVGHGLITPDGKASTEDMYQFLIEILDDEAGQSQPMPAGAGIMEGNDKWMMAAGVAPQEPPPASLHQHFMMNSAADAGVMPWESQFVTDSLLGTNQTTIDDNMAAAEFDYDYPHDPAAVAWGTDKVVVQEIPYTDTPAFDHPQQHLLDNEDMEMEMAEIYRVASQCDEEESIVWSPDDDKLLLDRLNSRLANQDMVSICIEIAYSLPKKTSKDVASRIQWLQLVHVPSMFEDAKTKIDAILMKMRKMNISTDEFKINLEAFEEVKRGLHPLTNGYEMWPENPNPLSAQAHPVAPVRTEESDGQDRSPGC >LPERR03G00760.1 pep chromosome:Lperr_V1.4:3:503108:505714:-1 gene:LPERR03G00760 transcript:LPERR03G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFAFVLMAPRAVGVAARCAASSSSAASPSVAAAAAAYDHASFIKEVAVTDPPEHLNSLLNVLQARGEKLVSPGAKRGLIPLLVPLSESPAGNLTSLLRWPTAPSGMEMPVVEVHNHGLWLLAKNVNQYIHRMLVEADITADNADDLWSAVGDAGKNLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSRHFEKGDYVSALITGEFYTRDHFPGFGRPFVFNAEILKRVGRTTESKDSARVALKSPWWTLGCSYEEAAELAVWEDEQIEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLAFVDGNWDDVVDRIAGCYREAGLDDIAKFIAYRE >LPERR03G00770.1 pep chromosome:Lperr_V1.4:3:506063:509444:1 gene:LPERR03G00770 transcript:LPERR03G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLTRIYKQEQLCLISVSKHVAFRYIFCLLHLCCGMDEAPAPLGSSTSHQESDGDQCQQQADRRHPSDASNGSSNIQPVDGNAAHIDTTAPIDSVKGAVSKFGGKLDWGERRKQVQDELDKVQEEMVEFQKRSQEAEAGMAQAVKELGGANGEISDLRLSLETAQAEEARARQDAELAELRLRGGESTAAKAELAVARERHATAVADLTTARSELDSLRKEHAAAVADADAAAARARGTASSSSEAVNAVEELAAELAVLKEELDASHVAHDEAEEKRIRLGMAFEKEKIQWREELERAEIEAKKLAESVMEKRDLESKVAAASDLLVGLRAELFAVAVEGTIGDEEATVSSRAKLEKTRKDLEEVKSNVDKVNEEAKCLRVAAASLGVDLEKNRSELAALRRRESATSSTIPSLEEELIRVTAALTAARANGGGATTTAAQLDAARREAAKAKGDATSAQEEVSKAREEAILANAAVHAMEARLEALARETLAATTSEGIAAASAAALAATTTIKTQDGDDKAVTLTAEEYEDLSRRARETEEIAGNRVTEAVKMIKEAKEAEVRSIEKLAQMAKQTEQRRQALIAASSEAEEAEFGKLAAERELRQWRAEHEHQRRLAGATASPRTGLAEISVFDDVSAGGGGGNPHILSPRGGYMPRNEMTAAGEGEGGAKQRPTFFPRMVMFLARKRAQNWK >LPERR03G00780.1 pep chromosome:Lperr_V1.4:3:513627:514157:1 gene:LPERR03G00780 transcript:LPERR03G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLLLAIVAAISLLATVSPAAAGRPCGHAQTLLISFSSVSRPNPDPTNPTPLTTTVVTVLRVRRLGPHQPLQIRRPDPLPAAASDASSSSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLLASTCAPSYEEVYGEDEDDELSDSESPKKAGYVIIHDADQFVGGKN >LPERR03G00790.1 pep chromosome:Lperr_V1.4:3:515903:523460:1 gene:LPERR03G00790 transcript:LPERR03G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTPPPPSTAGLRILLSKDRPPASSAAPATTTAAAAAVSSHTDRDRIIGVFRDALSRTESPEVFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGRRIMQYGNNGENDCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDEISVDFNFYKTLWSLQEHFSNPALTAANLTKWQKFASDLMVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEMIPPKGKEFLQSIEHILDREKNWVWWKRDGCPAFERQSFEKKPAQAGVRKRKPRWRLGNKELAQLWKWAEQNP >LPERR03G00790.2 pep chromosome:Lperr_V1.4:3:515903:523460:1 gene:LPERR03G00790 transcript:LPERR03G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTPPPPSTAGLRILLSKDRPPASSAAPATTTAAAAAVSSHTDRDRIIGVFRDALSRTESPEVFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGRRIMQYGNNGENDCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDEISVDFNFYKTLWSLQEHFSNPALTAANLTKWQKFASDLMVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLEVRLFYQMFPVVIDSLILELPLCS >LPERR03G00790.3 pep chromosome:Lperr_V1.4:3:515903:520027:1 gene:LPERR03G00790 transcript:LPERR03G00790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTPPPPSTAGLRILLSKDRPPASSAAPATTTAAAAAVSSHTDRDRIIGVFRDALSRTESPEVFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGRRIMQYGNNGENDCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDEISVDFNFYKTLWSLQEHFSNPALTAANLTKWQKFASDLMVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKLFIPQAPGKTDKEGPTGSMKEEIDSCEERVKKLLEMIPPKGKEFLQSIEHILDREKNWVFF >LPERR03G00800.1 pep chromosome:Lperr_V1.4:3:523906:528249:1 gene:LPERR03G00800 transcript:LPERR03G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEQQLQTVCRVPTCPPWLQAAIADIEQRVRSLAVSVPDDAAATATDHSFAERAENYYHKRPQLLSLLTDLHHRYLYLADRYSQSLNKSPLFSAAASDCASSFSDIDDRSSDAGSSLSFQPPPPTAIAKIATPPVDDDPDLIVAELVIAWVDRDVLADEAERRKAETARKIDLQGSLLEVLESERLVLLGENARLEFRATAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCLLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKIGVAEIERLRAENKRLAAEADAAMAMTVAARRKRKGKGGGSGWMWWARVRMAAEWTPCAPVAAVRKVGEQMKHGGGGGAGGKGVKYNGGGGGCFCI >LPERR03G00810.1 pep chromosome:Lperr_V1.4:3:530767:533816:1 gene:LPERR03G00810 transcript:LPERR03G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSSAHHAAGDLGGGDGSVVTTVEVEQSPPPMSSCGRYILHRVCRFDTLAGVAIKYGLEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPATHHPPREWTTRRPPKNAALDPLLKPPRSTASPSMNLLQSYYGLARPPKGSTENEGTEMATYSIGQPRKAISLSTGFSLVSDDATREVEDAEKPIRRRQKSDAEFSVREGNTGGLMKAGPGLALRPKSGNRPDINNSQQDLVATAVPSYGDGLQAVRKSSSSPEFQESDNSIASVWLKSKWGLKPDAFTLPLPILLLDSIPKPIFDSFPKQIAAWRNKAARD >LPERR03G00820.1 pep chromosome:Lperr_V1.4:3:534113:541419:1 gene:LPERR03G00820 transcript:LPERR03G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANEAVTVEEARRLIADRVDGKPRSRLYVGGFEKQYYTRAGPQGGGVRYDYDSVDGRPRKPTFIRRVRNWIQSLHYHCESGLCLPYLR >LPERR03G00830.1 pep chromosome:Lperr_V1.4:3:543166:545265:1 gene:LPERR03G00830 transcript:LPERR03G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKAGEAAAMEVTRLLASVDDSKDCSEGVFVLDDGWEMLPKPTLIQRVRDWIHSLHDHWERALNFNLLLEKVIKHSASARHNDTGISEHGNFLARRKRTGCCSFLPGPRTLFFYEIVDCVCQACGYRVSIPYPFPKKKTYACGHGIEEVKPLCRMCYDRSPVVHPSPGKFKHCIDGISDVSTGPVPV >LPERR03G00840.1 pep chromosome:Lperr_V1.4:3:547876:555701:1 gene:LPERR03G00840 transcript:LPERR03G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWASDSGDDGKFDWETDDEAETSSAPALRNVVDAPGPSTRPRQDANGRANGAAPSALVAEFVSMGFTKEMVMRAVKEIGDTDSDQLVELLLTYQAIDGAPSAGDCSASASVPQILVDDEEEDDVNWDEDDIAGNCNRVNASDGSDDEEFLQELSEKDEKIKTLVSMGFPENEAQMAITRCGLDAPVEVLTDAIYASQTAGSGYAANPSDYEDTESSIFGGRNKTIFMDGRKRKRKRYGSGTQGNQVSFDGSHEETMPLPNPMVGFGLPTDRLRSVHRNLPDEALGPPYFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPVENRSPLLPCPPKTIFEAFPNTRRWWPSWDPRRQFNCLQTCMASAKLTERIRVALGRFSDVPPPQVQKYVLDECRKWNLVWVGKNKVAHLEPDEMEFLLGYPRNHTRGVSRTERFKALGNSFQVDTVAYHLSVLRDMFPHGMNVLSLFSGIGGAEVALHRLGIRMKAVVSVEKSEVNRTLLTSWWDQTQTGTLIEIEDVRALTAERIESFVRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYFRILDSVKTSMSLLLRSLITPYVLLQVTLGFIDGACTTLTGGPMRKVVICTRSMLGSSNGAPPGGLVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAIFMRFQQKEDIAKFQSSPYYSKILDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESVSGDSVEDALASLQKLISQCSSFIVQATLGCCLNHMDSGYSHAAVIRFPSFDDFKLFREGMEYKDMWASKFQPIVEKSLELYFTVDPVGNQLM >LPERR03G00850.1 pep chromosome:Lperr_V1.4:3:555396:555668:-1 gene:LPERR03G00850 transcript:LPERR03G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSVRFMLLLILLGAEVTGICHGRTIPSLKVIMVHDEGDSPSPASKAYVLTAEKAPPGDAGRRMMQDDEGGVYESKRVVPEGPNPLHN >LPERR03G00860.1 pep chromosome:Lperr_V1.4:3:556753:560904:-1 gene:LPERR03G00860 transcript:LPERR03G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPLAAHLRRLLPPPRRLFSPRAIAMASVAAAPAQAQPGGGGGVVVAAEEYEDVLGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLHGEHQYVNAGLAVALASTWLEKQGHVDRIPLNRTDPLPDQVISGLSNASLQGRAQIVADSQEKEIKTALWFSIWMALTVLKVWKFVPDGLAMLLRRIGEHHLPWCDLSQATLKRHILLFNCMSVRDPMRLLPHLVDTSTQNGAHFDMALFVPNQSQYNKLGSKSSAPAEPEQIDLSWQLSLQRVWEKLLHGTNNMNSSEASLVFESLPLAIEWLRTNARQNRSTSFQVLVTGSLHLVGDVLRLVKK >LPERR03G00860.2 pep chromosome:Lperr_V1.4:3:556753:560904:-1 gene:LPERR03G00860 transcript:LPERR03G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPLAAHLRRLLPPPRRLFSPRAIAMASVAAAPAQAQPGGGGGVVVAAEEYEDVLGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLHGEHQYVNAGLAVALASTWLEKQGHVDRIPLNRTDPLPDQVISGLSNASLQGRAQIVADSQILLFNCMSVRDPMRLLPHLVDTSTQNGAHFDMALFVPNQSQYNKLGSKSSAPAEPEQIDLSWQLSLQRVWEKLLHGTNNMNSSEASLVFESLPLAIEWLRTNARQNRSTSFQVLVTGSLHLVGDVLRLVKK >LPERR03G00860.3 pep chromosome:Lperr_V1.4:3:556753:560904:-1 gene:LPERR03G00860 transcript:LPERR03G00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPLAAHLRRLLPPPRRLFSPRAIAMASVAAAPAQAQPGGGGGVVVAAEEYEDVLGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLHGEHQYVNAGLAVALASTWLEKQGHVDRIPLNRTDPLPDQVISGLSNASLQGRAQIVADSQVSSGEGDQDCSLVFYLDGAHSPESMEICARWFSNVTKEDRRASSSMILLFNCMSVRDPMRLLPHLVDTSTQNGAHFDMALFVPNQSQYNKLGSKSSAPAEPEQIDLSWQLSLQRVWEKLLHGTNNMNSSEASLVFESLPLAIEWLRTNARQNRSTSFQVLVTGSLHLVGDVLRLVKK >LPERR03G00870.1 pep chromosome:Lperr_V1.4:3:563094:567155:1 gene:LPERR03G00870 transcript:LPERR03G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEIAVALVPSWSAVVVLFSYLGYLAAAGAILPGKLVPGALLPDSSRLHYLCNGLLSLLLLLGLSSLGVYMGWMSPTVIADRGIELLSTTFIFSVIVGGITHLISLLLSYVTSLLYYSGLRSRHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLADSANLSVILYQFFCAWYIIDYFLHEEFMTSTWDIIAERLGFMLVFGDLVFIPYTFTIQGWWLLRNKKVELSLLAAIVNCFIFIIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYCLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWAEYCKLVPWRIFPYVY >LPERR03G00870.2 pep chromosome:Lperr_V1.4:3:563094:567155:1 gene:LPERR03G00870 transcript:LPERR03G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEIAVALVPSWSAVVVLFSYLGYLAAAGAILPGKLVPGALLPDSSRLHYLCNGLLSLLLLLGLSSLGVYMGWMSPTVIADRGIELLSTTFIFSVIVTSLLYYSGLRSRHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLADSANLSVILYQFFCAWYIIDYFLHEEFMTSTWDIIAERLGFMLVFGDLVFIPYTFTIQGWWLLRNKKVELSLLAAIVNCFIFIIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYCLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWAEYCKLVPWRIFPYVY >LPERR03G00870.3 pep chromosome:Lperr_V1.4:3:563094:567412:1 gene:LPERR03G00870 transcript:LPERR03G00870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEIAVALVPSWSAVVVLFSYLGYLAAAGAILPGKLVPGALLPDSSRLHYLCNGLLSLLLLLGLSSLGVYMGWMSPTVIADRGIELLSTTFIFSVIVGGITHLISLLLSYVTSLLYYSGLRSRHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLADSANLSVILYQFFCAWYIIDYFLHEEFMTSTWDIIAERLGFMLVFGDLVFIPYTFTIQGWWLLRNKKVELSLLAAIVNCFIFIIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYCLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWAEYCKLVPWRIFPYVY >LPERR03G00870.4 pep chromosome:Lperr_V1.4:3:563094:567412:1 gene:LPERR03G00870 transcript:LPERR03G00870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEIAVALVPSWSAVVVLFSYLGYLAAAGAILPGKLVPGALLPDSSRLHYLCNGLLSLLLLLGLSSLGVYMGWMSPTVIADRGIELLSTTFIFSVIVTSLLYYSGLRSRHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLADSANLSVILYQFFCAWYIIDYFLHEEFMTSTWDIIAERLGFMLVFGDLVFIPYTFTIQGWWLLRNKKVELSLLAAIVNCFIFIIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYCLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWAEYCKLVPWRIFPYVY >LPERR03G00880.1 pep chromosome:Lperr_V1.4:3:568680:570155:1 gene:LPERR03G00880 transcript:LPERR03G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYSSLPLTSIELQSNQLKPEFTNVGGGGGGYNGHAKISKQDSFLGEAEDGAGAGAGGEHDELPLIGDGPAGPPEGSGVAGAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILIMGILSEITIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALVKVIEGKISMPRMGPDLSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALVALRLDKEGKSLGKGERLLSLVMLGLAIVVSIIGVIGNVYSLKSKSE >LPERR03G00890.1 pep chromosome:Lperr_V1.4:3:571816:573804:-1 gene:LPERR03G00890 transcript:LPERR03G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAKKVEVTTKDIAEEKAVVPLPPPPSKHDDSKAIVLVKDAEVTGGSTERDAYLAKIVSEKRSVLINAWEESEKARAENRAAKKLSFITSWENAKKAEMEAELKRIEEQLEKKKAAYEEKLKNKLALLHKTAEEKRALTEAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >LPERR03G00900.1 pep chromosome:Lperr_V1.4:3:577083:577379:-1 gene:LPERR03G00900 transcript:LPERR03G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMRKAGCCLVVVVVVAMAVVMGAGAAGRCDAGQLTVCAGAIAIGSRPTAACCSGLRAQQGCFCQFAKDPRYGRYINSPNARRAVSSCGLPLPSCH >LPERR03G00910.1 pep chromosome:Lperr_V1.4:3:579611:580653:-1 gene:LPERR03G00910 transcript:LPERR03G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVPTMTDDKTKQKAIEAVADIYGIDSIVADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKPEKEEEKKDKK >LPERR03G00920.1 pep chromosome:Lperr_V1.4:3:581281:589276:1 gene:LPERR03G00920 transcript:LPERR03G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARFQAQTASDGPKKTEKKQKKKAVEDENPEDFIDPETPHGKKKLLASQMAKQYNPTAVEKSWYPWWESSRYFGADPTSSKPPFVIVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGREEFVSEVLKWKNEYGGTILNQLRRLGASLDWSRECFTMDEPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDHQEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFTARVAVIDALKAKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKKIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGADNDRWVVGRNKSEANVEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLEGLLKRLEEGNLDPNELNIAIDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGSNYTPPASISVTTMPPICKWILSVLNKAIDKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDTLWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWTDDKLENEIDVALDTVNKLRSLKPPTDTNERRPAFAVCRGQEITAIVQCYQSLVVFLSSISSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLKKKREEIKKLQHALSQKMEASGYKEKAPQNVQKDDMRKLTSFLEQLEIISEAEKKLDAKTGNN >LPERR03G00930.1 pep chromosome:Lperr_V1.4:3:589988:597265:1 gene:LPERR03G00930 transcript:LPERR03G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAASARLVASFQVFDGVRVHGIQPRSSSPPGDVTVAVFGERRMKIFRFRVDVEEDGEVCGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSDCLLHTRVKSPEKCLLYSMRMWGNSVKALLVASGTILNEILIWKLVPQTLEKSLLTSYKRNTHGVENYRNMHFRDKQYIAIHLGRLKEHEGSIFRIAWSCDGSKFMSVSDDRRLVHSFSLISLSILCIRFSLLKYPASGTFFLRYYGSARIWMLNSQSQNFVNMAAGQDDIQIIPKITLFEHSARIWDCYVSDSVAITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTSLLVTAGFDSAIKVHHLCNSSFHDKVEDKVVPDNENYDSEAFSISSPTVSGQYGPLDSKSEYVRYLHFVEENNLYVATNNGYLHHAELSNSKDVRWTKVIQVAEMAPIIYMDIMVMHSDISLDREDIIALGDGRGNVTVVHLTASDLGPKVDSYFTWSAEKDRQLLGVYWCKSLESDPRGVLKLWDIRNALFSNIVDITKSQKVPLIAVFESSFGARIMCLDASPQDEILIAGDKKGNITAFPFPKVLVAHESSETEHYMPSCDRFKGAHGISSVTSVHIIASTSDNLEIHSTGGDGCICFFKYDRNVQKIDFFGMRQVKELGTIQSIFSHHASEKNSTYAIGFTSADFIIWDLENESKMLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHSIHIRRQWAPAQDKKLLPQVLHTQFHGREVHSLCFIDPVGYSNPERSSDLYIATGCEDGTVRLTRNSVNSAGRWCSSKLLGEHVGGSAVRATCFVQKAYTLLDKSCNTICNGNSDEILVKNKESIFLLISVGSKQVLTTWVLQPKVAENRHVCSSGLDVDSKRSSESTGHGDSAMTFQWLSTHMPPKLTNRLKTGYVKQNDEERNSSMVQHNQVIMDQLENDWRYLSVTAFLLEHPSTNLTVCFVVVACSDATVVLRSVSGKDNAYSGNTYIVVSGSTDGSITFWDLTDTIHAFMQLVLETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKRINEETSLPDRSNMDTPNAAENTSETSNVEENGAANNQNYGFSSSHSCNIPEVTPMHIFSGVHQSGVNCLHVSDMEDCSYSTPGMSYRVISGGDDQAVHCLSFTLGSLQDCAINTSLASSDSDAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRIRCWKMESSGKFIEYSHVIISVPEPETLDVFHDRRERKYWIAVAGRGMQMVEFLSPEDN >LPERR03G00930.2 pep chromosome:Lperr_V1.4:3:589988:597265:1 gene:LPERR03G00930 transcript:LPERR03G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAASARLVASFQVFDGVRVHGIQPRSSSPPGDVTVAVFGERRMKIFRFRVDVEEDGEVCGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSDCLLHTRVKSPEKCLLYSMRMWGNSVKALLVASGTILNEILIWKLVPQTLEKSLLTSYKRNTHGVENYRNMHFRDKQYIAIHLGRLKEHEGSIFRIAWSCDGSKFMSVSDDRSARIWMLNSQSQNFVNMAAGQDDIQIIPKITLFEHSARIWDCYVSDSVAITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTSLLVTAGFDSAIKVHHLCNSSFHDKVEDKVVPDNENYDSEAFSISSPTVSGQYGPLDSKSEYVRYLHFVEENNLYVATNNGYLHHAELSNSKDVRWTKVIQVAEMAPIIYMDIMVMHSDISLDREDIIALGDGRGNVTVVHLTASDLGPKVDSYFTWSAEKDRQLLGVYWCKSLECRHVFTADPRGVLKLWDIRNALFSNIVDITKSQKVPLIAVFESSFGARIMCLDASPQDEILIAGDKKGNITAFPFPKVLVAHESSETEHYMPSCDRFKGAHGISSVTSVHIIASTSDNLEIHSTGGDGCICFFKYDRNVQKIDFFGMRQVKELGTIQSIFSHHASEKNSTYAIGFTSADFIIWDLENESKMLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHSIHIRRQWAPAQDKKLLPQVLHTQFHGREVHSLCFIDPVGYSNPERSSDLYIATGCEDGTVRLTRNSVNSAGRWCSSKLLGEHVGGSAVRATCFVQKAYTLLDKSCNTICNGNSDEILVKNKESIFLLISVGSKQVLTTWVLQPKVAENRHVCSSGLDVDSKRSSESTGHGDSAMTFQWLSTHMPPKLTNRLKTGYVKQNDEERNSSMVQHNQVIMDQLENDWRYLSVTAFLLEHPSTNLTVCFVVVACSDATVVLRSVSGKDNAYSGNTYIVVSGSTDGSITFWDLTDTIHAFMQLVLETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKRINEETSLPDRSNMDTPNAAENTSETSNVEENGAANNQNYGFSSSHSCNIPEVTPMHIFSGVHQSGVNCLHVSDMEDCSYSTPGMSYRVISGGDDQAVHCLSFTLGSLQDCAINTSLASSDSDAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRIRCWKMESSGKFIEYSHVIISVPEPETLDVFHDRRERKYWIAVAGRGMQMVEFLSPEDN >LPERR03G00930.3 pep chromosome:Lperr_V1.4:3:589988:597530:1 gene:LPERR03G00930 transcript:LPERR03G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAASARLVASFQVFDGVRVHGIQPRSSSPPGDVTVAVFGERRMKIFRFRVDVEEDGEVCGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSDCLLHTRVKSPEKCLLYSMRMWGNSVKALLVASGTILNEILIWKLVPQTLEKSLLTSYKRNTHGVENYRNMHFRDKQYIAIHLGRLKEHEGSIFRIAWSCDGSKFMSVSDDRSARIWMLNSQSQNFVNMAAGQDDIQIIPKITLFEHSARIWDCYVSDSVAITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTSLLVTAGFDSAIKVHHLCNSSFHDKVEDKVVPDNENYDSEAFSISSPTVSGQYGPLDSKSEYVRYLHFVEENNLYVATNNGYLHHAELSNSKDVRWTKVIQVAEMAPIIYMDIMVMHSDISLDREDIIALGDGRGNVTVVHLTASDLGPKVDSYFTWSAEKDRQLLGVYWCKSLECRHVFTADPRGVLKLWDIRNALFSNIVDITKSQKVPLIAVFESSFGARIMCLDASPQDEILIAGDKKGNITAFPFPKVLVAHESSETEHYMPSCDRFKGAHGISSVTSVHIIASTSDNLEIHSTGGDGCICFFKYDRNVQKIDFFGMRQVKELGTIQSIFSHHASEKNSTYAIGFTSADFIIWDLENESKMLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHSIHIRRQWAPAQDKKLLPQVLHTQFHGREVHSLCFIDPVGYSNPERSSDLYIATGCEDGTVRLTRNSVNSAGRWCSSKLLGEHVGGSAVRATCFVQKAYTLLDKSCNTICNGNSDEILVKNKESIFLLISVGSKQVLTTWVLQPKVAENRHVCSSGLDVDSKRSSESTGHGDSAMTFQWLSTHMPPKLTNRLKTGYVKQNDEERNSSMVQHNQVIMDQLENDWRYLSVTAFLLEHPSTNLTVCFVVVACSDATVVLRSVSGKDNAYSGNTYIVVSGSTDGSITFWDLTDTIHAFMQLVLETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKRINEETSLPDRSNMDTPNAAENTSETSNVEENGAANNQNYGFSSSHSCNIPEVTPMHIFSGVHQSGVNCLHVSDMEDCSYSTPGMSYRVISGGDDQAVHCLSFTLGSLQDCAINTSLASSDSDAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRIRCWKMESSGKFIEYSHVIISVPEPETLDVFHDRRERKYWIAVAGRGMQMVEFLSPEDN >LPERR03G00930.4 pep chromosome:Lperr_V1.4:3:589988:597530:1 gene:LPERR03G00930 transcript:LPERR03G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAASARLVASFQVFDGVRVHGIQPRSSSPPGDVTVAVFGERRMKIFRFRVDVEEDGEVCGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSDCLLHTRVKSPEKCLLYSMRMWGNSVKALLVASGTILNEILIWKLVPQTLEKSLLTSYKRNTHGVENYRNMHFRDKQYIAIHLGRLKEHEGSIFRIAWSCDGSKFMSVSDDRSARIWMLNSQSQNFVNMAAGQDDIQIIPKITLFEHSARIWDCYVSDSVAITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTSLLVTAGFDSAIKVHHLCNSSFHDKVEDKVVPDNENYDSEAFSISSPTVSGQYGPLDSKSEYVRYLHFVEENNLYVATNNGYLHHAELSNSKDVRWTKVIQVAEMAPIIYMDIMVMHSDISLDREDIIALGDGRGNVTVVHLTASDLGPKVDSYFTWSAEKDRQLLGVYWCKSLECRHVFTADPRGVLKLWDIRNALFSNIVDITKSQKVPLIAVFESSFGARIMCLDASPQDETGGDGCICFFKYDRNVQKIDFFGMRQVKELGTIQSIFSHHASEKNSTYAIGFTSADFIIWDLENESKMLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHSIHIRRQWAPAQDKKLLPQVLHTQFHGREVHSLCFIDPVGYSNPERSSDLYIATGCEDGTVRLTRNSVNSAGRWCSSKLLGEHVGGSAVRATCFVQKAYTLLDKSCNTICNGNSDEILVKNKESIFLLISVGSKQVLTTWVLQPKVAENRHVCSSGLDVDSKRSSESTGHGDSAMTFQWLSTHMPPKLTNRLKTGYVKQNDEERNSSMVQHNQVIMDQLENDWRYLSVTAFLLEHPSTNLTVCFVVVACSDATVVLRSVSGKDNAYSGNTYIVVSGSTDGSITFWDLTDTIHAFMQLVLETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKRINEETSLPDRSNMDTPNAAENTSETSNVEENGAANNQNYGFSSSHSCNIPEVTPMHIFSGVHQSGVNCLHVSDMEDCSYSTPGMSYRVISGGDDQAVHCLSFTLGSLQDCAINTSLASSDSDAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRIRCWKMESSGKFIEYSHVIISVPEPETLDVFHDRRERKYWIAVAGRGMQMVEFLSPEDN >LPERR03G00940.1 pep chromosome:Lperr_V1.4:3:600554:610654:1 gene:LPERR03G00940 transcript:LPERR03G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEVYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDVALQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVVSDQPPVNGSVPASNHLTLVKMPSQNITEESNVAYEEPPVEIPKENGAPAEVESKVENIPETNIESKVEPPTSRPVSQADLLADLLGPLAIEGPPAAVEQNPVQGSEANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLASVQALILPPSHFKMELSSVPDTIPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTALVNVKLRLPIVLNKFLQPITLTPEEFFPQWKALNVQSLKVQEVVKGVKPLPLPEVANLFMSLHLAVAPGLDNNPNNLVACTTFFSETTRAMLCLVRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHIIDIPRVQAASPPIPVQPQLSPAVPTAATYNDPGAMLAGLL >LPERR03G00950.1 pep chromosome:Lperr_V1.4:3:612481:621542:1 gene:LPERR03G00950 transcript:LPERR03G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAGAAAEMLSPAEADWPPELRLPQPPPPPQSQPAIGMDDSQFLGSIMALPAQTLPHHPQATAAAAEAQAVVGVKRRRGRPPKKKDGAGTAAVVPAAAKAARGKVDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYTTILLIESKDEGGSKVLLLEYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFLVSEPSTVNDDNQGVVNPNSAQIDHASGHNDMAAKISPDRRRRMHRKMERESQANPEDYAAIDMHNISLIYLRRSLMEDLIDDPTFSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHNVSDKYSIGKKTTNLALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYNLISRLKVGDIQEKAKVFQFVRVNDLINTPEERTRRINEVLDVHVDSHMDPDYESTEEFGNVERNINWSRSDTFASPIKVKHPNTSQKNYDATHHPRNLSKQNTVRKSGAARNFENSHSSIGMDVRNSGTNVKSTMCGTAPLSSSGVTVSNEEPEKVWHYKDPSGNVQGPFTLLQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTLADTGRNRNNQIVDLNKAPSPVGYSMLNSSETTAQSTKHSVLERESVNSLDDRLSLSTDSVPQKDTNTSNSQAMFRTKHSSSLPSPGSPHQQPDLHHDEVHGGHSGEWNNQHNSSERWSPAMPQTSSSAQSDLESYHNQVHHYALSSQVQHDSKNSLQARSGKNLNSRQDFSQKLPGQRITRDVPSPVFAWSPSESRTASSQYEGSCLSSTTNLCSHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSHSERAPIRSPQSAPSVSASDTCKMEIINQQKTLEADISNTSVNQSPQSKILPESSPDNEDTVREYRSPPPISESKGLSPQSRTTPESSPDNQDNQDTEHEDRSPLPISESKVLYPQSRTPESSPDKEATERPPPISESKELYPQSRATPISSPDKQDTECEYPSPPPIPESKELSPQSRTIPESSPDNQDTEREYPSPPPIPENKELSPHSKVLPESSPDNKDAEPECPSPTPTPTPESKEPSPQSKILPEPSPDDQDIKHEYPSPTVICKSKEVSPQSKILSECSLDNQDIEHEYPDPTLISESKELAVDLSGSVSLAPEKIASDVGEHSSLAFMFSKSSPAGDGALKSVSDIEKTLFDDEDSNIKEELYVESTDVIRGDMVVNPASGVESIDMSENLLESLIEQSCGSFYMDGATALENFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEPGYYEGDSVGSLWGIQDNDPINDIWPLSTQTPALHYSSGSTAHFIDEVTVTHGDDGIVPRSTMPGEKVELPNSGVCMDWRLVEQVNPEANDASVSTINGSTGLVNSQPSANDGSNVDTARNTNHNTNLPLKHETAVPLSTGSGEASRKQGCTTDMNVATSGEALGGTKNWNRSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRGRSDGFGTGGSSRSTPRGQTQRGVCKFHENGYCRKGASCNYLHPQ >LPERR03G00960.1 pep chromosome:Lperr_V1.4:3:621837:625624:-1 gene:LPERR03G00960 transcript:LPERR03G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGSAHDADADEDDAGRTSTSSGGSSSSGGGADAADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRALQELALAKGVDVMVMSLGSDTEVDDDPIKEGDQDALPCSSGTDKSLGKSSSGDLLGVDKLSLDDIPSNNHRKMALLFALLSACVADKPVSQEEEDRKSSRFRKGYDARHRVALRLLSTWLDVKWVKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDYFRPWEGWKDNLERYILQWESKHVIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRTMVTQECLPNAINFPRPVTLIGFSLGARVIFKCLQELSLSSDNEGLVERVVLLGAPVSVKGERWETVRKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFIPLSAASEETDVTVT >LPERR03G00970.1 pep chromosome:Lperr_V1.4:3:626775:629240:-1 gene:LPERR03G00970 transcript:LPERR03G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGAVADYLLQDPWLVIWLAMATLAFALLRSRRRGAPPPLPPGPRPLPIIGNLLMMGQLTHRGLAAMSARYGGLVHLRMGRVHVVVASSPEHAREVLQAHDGELSNRPASIAIAYLTYGRADMAFSHYGHFWRQSTNLVRSIAAAVNAGDGDDGGSEAVVEIGELIFGLSKDVIFRAAFGTRGGGGGHGGELEILLQEFSRLFGAFNIGDFIPWLAWLDLNGLNGRLRAARAGLDDVIDRIIDEHVRDATPAGDEDADMVDDMLVFLDEGGRDESGGGGGDELQGTLRLTRDNIKGIIMDFVFGGTETVASAIEWAMAELLHSPTNLHRLQAELADVVGLNRHVTEDDLDKLPFLKSVAMETLRLHPPIPLLLHEASTDCVVGGYSIPKGSRVLVNVWSVGRDPAAWNGAGAGEFFPARFMPGGEAEGVDLRGGCFELLPFGSGRRACPAVVLGLYEMELVVARLVHAFDWAVPAGEVLDMGDGFGLTAPRAVRLRAVPTPRLTCEM >LPERR03G00970.2 pep chromosome:Lperr_V1.4:3:626777:629200:-1 gene:LPERR03G00970 transcript:LPERR03G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGAVADYLLQDPWLVIWLAMATLAFALLRSRRRGAPPPLPPGPRPLPIIGNLLMMGQLTHRGLAAMSARYGGLVHLRMGRVHVVVASSPEHAREVLQAHDGELSNRPASIAIAYLTYGRADMAFSHYGHFWRQSTNLVRSIAAAVNAGDGDDGGSEAVVEIGELIFGLSKDVIFRAAFGTRGGGGGHGGELEILLQEFSRLFGAFNIGDFIPWLAWLDLNGLNGRLRAARAGLDDVIDRIIDEHVRDATPAGDEDADMVDDMLVFLDEGGRDESGGGGGDELQGTLRLTRDNIKGIIMDFVFGGTETVASAIEWAMAELLHSPTNLHRLQAELADVVGLNRHVTEDDLDKLPFLKSVAMETLRLHPPIPLLLHEASTDCVVGGYSIPKGSRVLVNVWSVGRDPAAWNGAGAGEFFPARFMPGGEAEGVDLRGGCFELLPFGSGRRACPAVVLGLYEMELVVARLVHAFDWAVPAGEVLDMGDGFGLTAPRAVRLRAVPTPRLTCEM >LPERR03G00980.1 pep chromosome:Lperr_V1.4:3:634631:635750:-1 gene:LPERR03G00980 transcript:LPERR03G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLLQRHRHRLLCCGGGATAPSRFVAGDGEATTDHHKNAVARQLSWAQVEAMTRGFTSSIVGEGGFSTVYLARIAGSLAAVKVHRSSERLHRAFRQELDALLRLHGGSKGVTMTWTRRVAVATQVAKALEYLHDRCDPQVVHGDVKSSNILLDAAMAARLCDFGSAHAGFVSAAVHGGHPRAPRPSALAVLGSPGYVDPHYLRSGVITKKSDVYSFGVLLLELLTGEPAFVDGRLLTAAVAPNLKDDVQKLVDKRLGCRYDVAEAAAVAALAAACVGENPSLRPSMADVVRTLEQHGGKGGR >LPERR03G00990.1 pep chromosome:Lperr_V1.4:3:638721:643674:1 gene:LPERR03G00990 transcript:LPERR03G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICAMRSLLAASTFHLRSSPLPRSPSLALPFRLNLPRPSFGPARSISATAAAAKSRGGMEARSAHSGEIHVIVGPMFAGKTTALLRRVQLEAGTGRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYDKVDVIGIDEAQFFDDLHDFCCNAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEAVQPTRIVLDLERSTVML >LPERR03G01000.1 pep chromosome:Lperr_V1.4:3:643988:649345:1 gene:LPERR03G01000 transcript:LPERR03G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVGAWLDYGAMLFDICATIISDRIPTSQQAYFEIKEAFYRHIKNIAQPPRFLTELLW >LPERR03G01010.1 pep chromosome:Lperr_V1.4:3:651983:654391:-1 gene:LPERR03G01010 transcript:LPERR03G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQPGSMPPFSAAGTGEAAPSPISSRPPPPQPEQAADEHQLGNGSSSLLEHDGMAGGESAAGGGGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYKRNAKKCREKFENVDKYYKRTKDGRAGRGDGKNYRFFTELEALHGAARPHPPPPPQPAPRMSTIPAPIPIIPTPIISAMDAAACVMTMDDVSFSSGSDTEETAEEDGNNNNSHKRKRRHGGGDGKPAMRMFEALMRQVMERQEAMQQRLLEAIERRDQERMIREEAWRRQEIARLARDQDALAHDRAIAASRDAAVISFIQRLTGQSIPTAAAVITPPPPPLQPTPVASAAPPHHHIMPMTPQPQLQPPQSKESTAVAVIPDQAAAQQHETAAAAASSSRWPKAEVHALIQLRTEMEARYQDSGPKGPLWEDISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRSKANANAVAAAGSPSTPAITVLAPVPLSQSTPPPPHGEHGSNGNGINGWPASAAAVGANNGGTQTKASNGNGNGVVMDNNKVILFG >LPERR03G01020.1 pep chromosome:Lperr_V1.4:3:661511:661874:-1 gene:LPERR03G01020 transcript:LPERR03G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNYTNKSPTILLVLIVVTTVARSAWVGDYASNHGCGETVAAELCDPGDSAANHACSDACHYNGCRGGMCVEADGGGGRGARGCYCRR >LPERR03G01030.1 pep chromosome:Lperr_V1.4:3:665200:669139:-1 gene:LPERR03G01030 transcript:LPERR03G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLLRAVRRRDLASPLGTFTANVQSTCAANMRSRWAGFARTFSAKSTGDEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLNKMKETAESYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVNEFKRTEAIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIEKTRDPCKNCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNSADTTIYRVEKSLGEYRDKIPAEVVTEIETAIADLRNEMASDDIEKIKSKIEAANRAVSKIGQHMSGGGFGGSQSGSQGGGDQAPEAEYEEVKK >LPERR03G01040.1 pep chromosome:Lperr_V1.4:3:669501:675455:1 gene:LPERR03G01040 transcript:LPERR03G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARATPAESTELPNGAVEQEQEPESAHAAETPAPAAAAAEEEEDDVVEAGPTRSEIAGDSEANAAMGAEGEASRPFTMRELLGELKDGGGEAPAAAAAGRSGVVEGNGIGSAGAEGASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNPEDPDACYNWALVLQESADNVDPDSNSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSQFILNHEGLQQADVAESPTGKPTGHTGRNKKYIKVAVADIVSVSACSDLTLPPGAGLCIDTIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >LPERR03G01050.1 pep chromosome:Lperr_V1.4:3:679449:688744:1 gene:LPERR03G01050 transcript:LPERR03G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWNPTIGQFSSTQETAESMILVPGPRVVLSGLTRGDCRADDSVLFINAGGSAIEGCESPSKFSGDSFFEGGDEIETSDDIVDGGDYPMLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVLSELDVYAVVGGNKPLQVCDIRVIVENNGAITVNFNGVRGSPMTRTSKLVSKYEKQIEELTNQCNMKSDECSMAWSLLESTNQQLEKLKMELHQKVVLSDNIEQVLDKQADQLRNVSQRYESAQKLWGSAISNLENKIKSMKQEQALLSLEAHDCANAVPDLSKMIGAVQALVAQCEDLKLKYYEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSSYKCAVDFDGAKDGEIAVVNGGTARKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKETVTYNISVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGQHVPGIVEAKVESIKEVWGVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERAKQEVKLKDDSLRKLEDNCQNINKAKGKEQLCKSLQEKVKELESQLDSKMHSQITSEKQQNQLSGKLKEKDEMCTALQQKIAELERKLRLEQQLESDVAVLKQTIMELELRLKEQEHQRSVAELKAVELGQELLETQRTEGMLQIKLRDLENNLQERAKIQDTNMIPDSTNCVRVATTPGEAKVQLITREEAMTEKEHQILRSSDSVNKRVASNSSLVGATEVVNEKKRKGESRNASIGGELENQQPVGSQNAARKRSLQGEPRLKRKSTEPLAKNPGRTTGTSKTATATQRTGPVTRATRQQPAVNKTRGWVR >LPERR03G01050.2 pep chromosome:Lperr_V1.4:3:679449:689078:1 gene:LPERR03G01050 transcript:LPERR03G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWNPTIGQFSSTQETAESMILVPGPRVVLSGLTRGDCRADDSVLFINAGGSAIEGCESPSKFSGDSFFEGGDEIETSDDIVDGGDYPMLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVLSELDVYAVVGGNKPLQVCDIRVIVENNGAITVNFNGVRGSPMTRTSKLVSKYEKQIEELTNQCNMKSDECSMAWSLLESTNQQLEKLKMELHQKVVLSDNIEQVLDKQADQLRNVSQRYESAQKLWGSAISNLENKIKSMKQEQALLSLEAHDCANAVPDLSKMIGAVQALVAQCEDLKLKYYEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSSYKCAVDFDGAKDGEIAVVNGGTARKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKETVTYNISVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGQHVPGIVEAKVESIKEVWGVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERAKQEVKLKDDSLRKLEDNCQNINKAKGKEQLCKSLQEKVKELESQLDSKMHSQITSEKQQNQLSGKLKEKDEMLKEQEHQRSVAELKAVELGQELLETQRTEGMLQIKLRDLENNLQERAKIQDTNMIPDSTNCVRVATTPGEAKVQLITREEAMTEKEHQILRSSDSVNKRVASNSSLVGATEVVNEKKRKGESRNASIGGELENQQPVGSQNAARKRSLQGEPRLKRKSTEPLAKNPGRTTGTSKTATATQRTGPVTRATRQQPAVNKTRGWIKLESHQLTEKIIPAD >LPERR03G01050.3 pep chromosome:Lperr_V1.4:3:679449:689038:1 gene:LPERR03G01050 transcript:LPERR03G01050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWNPTIGQFSSTQETAESMILVPGPRVVLSGLTRGDCRADDSVLFINAGGSAIEGCESPSKFSGDSFFEGGDEIETSDDIVDGGDYPMLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVLSELDVYAVVGGNKPLQVCDIRVIVENNGAITVNFNGVRGSPMTRTSKLVSKYEKQIEELTNQCNMKSDECSMAWSLLESTNQQLEKLKMELHQKVVLSDNIEQVLDKQADQLRNVSQRYESAQKLWGSAISNLENKIKSMKQEQALLSLEAHDCANAVPDLSKMIGAVQALVAQCEDLKLKYYEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSSYKCAVDFDGAKDGEIAVVNGGTARKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKETVTYNISVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGQHVPGIVEAKVESIKEVWGVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERAKQEVKLKDDSLRKLEDNCQNINKAKGKEQLCKSLQEKVKELESQLDSKMHSQITSEKQQNQLSGKLKEKDEMCTALQQKIAELERKLRLEQQLESDVAVLKQTIMELELRLKEQEHQRSVAELKAVELGQELLETQRTEGMLQIKLRDLENNLQERAKIQDTNMIPDSTNCVRVATTPGEAKVQLITREEAMTEKEHQILRSSDSVNKRVASNSSLVGATEVVNEKKRKGESRNASIGGELENQQPVGSQNAARKRSLQGEPRLKRKSTEPLAKNPGRTTGTSKTATATQRTGPVTRATRQQPAVNKTRGWVR >LPERR03G01050.4 pep chromosome:Lperr_V1.4:3:679449:689038:1 gene:LPERR03G01050 transcript:LPERR03G01050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNRPIQLNSDDSVLFINAGGSAIEGCESPSKFSGDSFFEGGDEIETSDDIVDGGDYPMLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVLSELDVYAVVGGNKPLQVCDIRVIVENNGAITVNFNGVRGSPMTRTSKLVSKYEKQIEELTNQCNMKSDECSMAWSLLESTNQQLEKLKMELHQKVVLSDNIEQVLDKQADQLRNVSQRYESAQKLWGSAISNLENKIKSMKQEQALLSLEAHDCANAVPDLSKMIGAVQALVAQCEDLKLKYYEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSSYKCAVDFDGAKDGEIAVVNGGTARKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKETVTYNISVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGQHVPGIVEAKVESIKEVWGVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERAKQEVKLKDDSLRKLEDNCQNINKAKGKEQLCKSLQEKVKELESQLDSKMHSQITSEKQQNQLSGKLKEKDEMCTALQQKIAELERKLRLEQQLESDVAVLKQTIMELELRLKEQEHQRSVAELKAVELGQELLETQRTEGMLQIKLRDLENNLQERAKIQDTNMIPDSTNCVRVATTPGEAKVQLITREEAMTEKEHQILRSSDSVNKRVASNSSLVGATEVVNEKKRKGESRNASIGGELENQQPVGSQNAARKRSLQGEPRLKRKSTEPLAKNPGRTTGTSKTATATQRTGPVTRATRQQPAVNKTRGWVR >LPERR03G01050.5 pep chromosome:Lperr_V1.4:3:679449:689038:1 gene:LPERR03G01050 transcript:LPERR03G01050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNRPIQLNSDDSVLFINAGGSAIEGCESPSKFSGDSFFEGGDEIETSDDIVDGGDYPMLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVLSELDVYAVVGGNKPLQVCDIRVIVENNGAITVNFNGVRGSPMTRTSKLVSKYEKQIEELTNQCNMKSDECSMAWSLLESTNQQLEKLKMELHQKVVLSDNIEQVLDKQADQLRNVSQRYESAQKLWGSAISNLENKIKCEDLKLKYYEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSSYKCAVDFDGAKDGEIAVVNGGTARKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKETVTYNISVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGQHVPGIVEAKVESIKEVWGVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERAKQEVKLKDDSLRKLEDNCQNINKAKGKEQLCKSLQEKVKELESQLDSKMHSQITSEKQQNQLSGKLKEKDEMCTALQQKIAELERKLRLEQQLESDVAVLKQTIMELELRLKEQEHQRSVAELKAVELGQELLETQRTEGMLQIKLRDLENNLQERAKIQDTNMIPDSTNCVRVATTPGEAKVQLITREEAMTEKEHQILRSSDSVNKRVASNSSLVGATEVVNEKKRKGESRNASIGGELENQQPVGSQNAARKRSLQGEPRLKRKSTEPLAKNPGRTTGTSKTATATQRTGPVTRATRQQPAVNKTRGWVR >LPERR03G01050.6 pep chromosome:Lperr_V1.4:3:679195:679647:1 gene:LPERR03G01050 transcript:LPERR03G01050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGAGSLRFLGLLKQPESSSAAAAVAPFELDERDVVWPTVAGEDSGEDDDGDDEEGIVPPHVMAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >LPERR03G01060.1 pep chromosome:Lperr_V1.4:3:689553:690287:1 gene:LPERR03G01060 transcript:LPERR03G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATVDDGRAAAVASFGRRGGRRLEIRAFYLRLSSSAAPADVDLTLVYLPAIGGAALEIDGRPLPPATTAELPLRRVEGGDAGAAAYASVDRVRAAEGARFEVYAGKDLAADGVFSLRPGGGGGGGGWRVECRRTAAMAVAEVVVLDEGGAVMRAKARKGIGCGGARLEGIPEEEDGWCGGCECGACGEDDEWEVVVGEDGDTTPEMEAEALTWALEMGAWAVCVSVGLLATARRFRRKRTFW >LPERR03G01070.1 pep chromosome:Lperr_V1.4:3:691789:693349:-1 gene:LPERR03G01070 transcript:LPERR03G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACSEDAVTLAEGESVMQVLTLRGSNVIEVMDGKGVKSLALFPAKFQKSFWIKKGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRVMEKSEEWPAIFKSTIKDASEATTQEVSTPHTEEEPDSDEDDDLPPLEANTNRQRPFELYADSDSDSDS >LPERR03G01080.1 pep chromosome:Lperr_V1.4:3:694891:703859:1 gene:LPERR03G01080 transcript:LPERR03G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLGSHVPPRHAKIITRPFPKSTTFFALAPISCPLFPRFSHQFTTPLHTVSHARATRVNVGDRRRLGSGTAAASALAATSVGRTMGRSGSVRRTAASSLPAAAAGAGAAAAVPAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRIVAVKCLDLDRVNSNLDDVRKEAQTMSLIDHPNVIRGYCSFVVDHNLWVIMPFMAEGSCLHLMKVAYPDGFEEPVICSILKETLKALDYLHQQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSCEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDDGNDRINEEDKDSCSRLHFVSDELSSGNHSGQPKNLNGNHFSEQTCVTAVSPGGNTHEPSIGLISEPGNADSERKVDGYRKQGSEIKSLPSTSNRDSEGQNCRSEVKQKHNRSITENSHIFDREAAAQLVSDKQKNGTKRTANLSGPLALPTRASANSLSAPVRSSGGYVGSLGDKPKRSVVEIKGRFSVTSENVDLAKVQEVPTSGIPRKLQEGSALKKSASVGHWPIDAKPMSNSLQRKELCNGSVSASVLIPHLRNLIQQTTFQQDLITNLLSNLQQNDKADANQYRPGTVDSDTGLQEELKTLYRQEEIIDTREEDNA >LPERR03G01090.1 pep chromosome:Lperr_V1.4:3:707008:708501:-1 gene:LPERR03G01090 transcript:LPERR03G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVVVAVAYAAVAMVALRLALSYKSAIYAARRLWRWADEWAQAYQYHEVPRHSPDGSGGENPLFRKAASYVSSLPSLEDADAASVLLLSPSPSSSPARTNGGISLHLGPGHTARDSFLGARLSWTCRGEALVLRVRRHDRTRVLRPYLQHVESVADEIELRRRELRLFANAGVDGRWTSAPFTHPATLDTVAMDPDLKSRVQSDLESFLKGRAYYHRLGRVWRRSYLLYGPSGTGKSTFAAAMARFLGYDVYDIDLSRAGDLRDLLLRTTPRSLILVEDLDRFLLAGGGEATARVVNFMDGVASCCGEERVMVFTMRGGKEGIEAAVMRPGRLDVHIQFTMCDFEAFKAMASSYLGLKDHKLYPQVEEGFHAAGDKRISPAELGEIMLANRSSPSRALRNVITKLHRVSSAGAATAEAAARPPPHRRNTSWSGEEKAADGGEEAAACGVFVKDAPMREIKKLYGLIKIRSRKEGSGGGGGGMVPLHAGEDDTER >LPERR03G01100.1 pep chromosome:Lperr_V1.4:3:715658:719633:1 gene:LPERR03G01100 transcript:LPERR03G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFYYLVFGGLAAVVAGLELGKSAKDKVATSTAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRAFDPQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNFLVPLSSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLLMAAVLQRRLMVVSDLHKLSTKAQEMVDEDEPLNP >LPERR03G01110.1 pep chromosome:Lperr_V1.4:3:721737:722324:-1 gene:LPERR03G01110 transcript:LPERR03G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFTAGSGSRDERATDASGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGAIAGAGIGLRDAARNAEPGDTAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESGMVAVRDRDDWINSVAAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQAAKRYVPAL >LPERR03G01120.1 pep chromosome:Lperr_V1.4:3:723279:725094:1 gene:LPERR03G01120 transcript:LPERR03G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRESAMAAAALLLLLIGSCGGAMYKVGDLDAWGIPPSSKPDVYSRWSKSIHFALGDSIWFLYPPSQDSVMQVTATAFATCSAAAPVMKLDDGNSVFNLTTPGRAYYISAAPGHCRKGQRLAVDVPLANGTYLPPTSDDLAALAPMPPADPPAGFESAALGPAGAHPSSSSAAAADAVAAGGGAGSLILLVAGVFFGVLLM >LPERR03G01130.1 pep chromosome:Lperr_V1.4:3:725433:732211:-1 gene:LPERR03G01130 transcript:LPERR03G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSAGGVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERIQKQTNQSPSVAAGRPATQKPKSSSRQWSGSDETFLSTTNSLSDLSISSEAMDELSYRRRRRRAHTADEAAAVLRKAWCRLRLSARDPSRVPPWDAVVLTAASPEQAALYDRQLARARRLGRFPPSTAAIAVPDPDAARIGSGAATLHAVASLARHLLAQASKEEIAELLPEAGESCDADDIPLGSVVEFMAKKHILLLHAGGDSKRVPWANPMGKAYLPLPYLAGDIPDGPVPLLFDHILAISSSARQAFENQGGIFIMTGDVLPCFDASDLVLPDDSACIVTVPTTLDVAANHGVVVASKDGTDGENYSLCLVDNLLQKPTVRELVEGQAIRDDGRALLDTGIISARDDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAAILSSNISSGVSVGEDSLVYDSSLSGRVRIGSQSIVVGVNIHETHGNKSEIISTSSYFTLPDRHCLWEVPLTNSVGRVMVYCGLHDNPKVSIKRDGTFCGKPWRNVLEHLKVHETDLWGSTNEDKCLWNANLFPVMSLPEMLNVGMWLMGSISNPDGKVASLWKKSQRISLEELHRSIDYHQLCMDSSKRQADLAANIAKACMTYGLLGRNLFQLCDEMLQNENSCLEELLSLCPSHGDQYSGVLPESRRYQVKMDLLRASGDLSTAAIVEQKVWASITSETASAIKYGSEELSSNSKSSCNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAVIETTVDHAGVLLEDDAGRNVYIDDLTSIASPFKEDDSFRLVKSALIVTGILNHEKLSDIGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDDNVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSYPGQPLRLQVFPLLASPQLIQELERRLLVVFTGQVRLAHQVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLAKNLNSAKELREALENSRFDVKVYNWNVAMTP >LPERR03G01140.1 pep chromosome:Lperr_V1.4:3:734051:736585:-1 gene:LPERR03G01140 transcript:LPERR03G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSPARFPPATSSPPPRATHVVTARRGRRHNPSPPSLSAPEAEQPEALARILRTEAAVSGVSRKAAASRHQSTRLWPRAVLEALDSAVASCRWDSALEIFDLLRKQQWYKPRSQTYARLLMMLGKCRQPGAATTLFKVMLSERLKPTVDVYTALVGAYGYSGLLDEALATVEQMKVVADCKPDEYTFSVLINCCCKSRQFDRIPAILDEMSYLGIECSAVIHNAIIDGYGKAGLLDEMENALTSMVEGGDSVPDMYTMNSIIWAYGNNGRIAEMERWYSEFQLMGVEPDTQTFNIMIKSYGNANMHDKMMSVLKFMKKHFFSPTVVTFNIVIECFGRAGNIEMMEYYFGLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNSVIDAYAKSGDIKIMEEMLELMKEKKCKPDKVTYAIMIQAYNAHGMDEAANLLKMEVESVDGKLLVNSRGMFSVLWAGYVQRDPRFPVCFECIFLFLSSVIPRAKQGSTGAEPKTLSCSFIASSNAGLLDVGARQAPWISRNFETRK >LPERR03G01150.1 pep chromosome:Lperr_V1.4:3:745039:753213:1 gene:LPERR03G01150 transcript:LPERR03G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRFEEDEEKDEFFDSREAISPASVFSSPASSGRIDNDALLQVWATGICSVDERRRRFIRSLGLDDSGDDEPCSRSSAMEEILPGSPGAELVSAAASFACRGDETPGASVAGAGGEEGVDCLFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNKTVTAAEFERTFGSSPFICELMRRVDDSDESSTVEKGLVRGKRRRKRFGWLRRLGIGGCVLDVEEEEETNSTSSSSWQSCSGKVNRVKVRYYKKRSKELSAVYRGQDIKAHDGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFRVNENSELAPVYSSEGSKSKHYKSSKVSADPACVVIPHRTFALSQVPVHEFYGHDDAILDLSWSKNRDLLSASMDKTVRLWQVGCNSCLKFQPTSDSYFISGCLDGLVRIWDVPRCQVVDWADSKEIVTAVCYRPDGKGAVVGTITGNCRYYDASVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGHHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQITPVTNHMKTVWSNEHFSCHDVAIAIPWNASQTRNCISLACNITSSRQEVSNEFYNEHDSASCSHPGDSPDGDSLYQLPSGNFTLSSSFFAESAPRGSATWPEEKLPSNSSTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >LPERR03G01150.2 pep chromosome:Lperr_V1.4:3:745039:753213:1 gene:LPERR03G01150 transcript:LPERR03G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRFEEDEEKDEFFDSREAISPASVFSSPASSGRIDNDALLQVWATGICSVDERRRRFIRSLGLDDSGDDEPCSRSSAMEEILPGSPGAELVSAAASFACRGDETPGASVAGAGGEEGVDCLFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNKTVTAAEFERTFGSSPFICELMRRVDDSDESSTVEKGLVRGKRRRKRFGWLRRLGIGGCVLDVEEEEETNSTSSSSWQSCSGKVNRVKVRYYKKRSKELSAVYRGQDIKAHDGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFRVNENSELAPVYSSEGSKSKHYKSSKVSADPACVVIPHRTFALSQVPVHEFYGHDDAILDLSWSKNRDLLSASMDKTVRLWQVGCNSCLKFQPTSDSYFISGCLDGLVRIWDVPRCQVVDWADSKEIVTAVCYRPDGKGAVVGTITGNCRYYDASASFHFMHYQYCPSDPKKLMVTSGDSQVRILDGHHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQITPVTNHMKTVWSNEHFSCHDVAIAIPWNASQTRNCISLACNITSSRQEVSNEFYNEHDSASCSHPGDSPDGDSLYQLPSGNFTLSSSFFAESAPRGSATWPEEKLPSNSSTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >LPERR03G01150.3 pep chromosome:Lperr_V1.4:3:750937:752325:1 gene:LPERR03G01150 transcript:LPERR03G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPLSQFLPLPTACSLPCTPPPGVLLKLLQAMHTDDRVAGDHRSPVLQPPNGGFLQLSNGLHSTYVQLDAHDADALVTARPHLVGHLLHLDRLRFARPVPRAVGIHPIPSSSQAVPFVGTPEPLVARPVVCSRGYVIQSVSHFDAAPPLMPSNSGNDAVAAAVKRAVLAPKTSPARSGGPTPHRTPARRCRLASPREIWNPAAIASPRRTPGAAPPEEPPTAPALASSPLRLTLLLLRPRRPSPLPPLSASRLTPPMPTPEEDDVTPSTLPPSGSKGKSAEARVRGPGLVSPAPVKSPWPPAVATTCSFNSSAKREEEREEGRQRTPRRAVFQAGQWSQISDLFPFAGWLGHLYTICFPPGIAI >LPERR03G01160.1 pep chromosome:Lperr_V1.4:3:750181:751206:-1 gene:LPERR03G01160 transcript:LPERR03G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLDVGGVEAVGELEEAAIGRLEGVGGGGGEGGDDGGDLEHRGAVVAGDAVVGKGLSSSPIWGQERDLSKEVRLTHKATPPQKPLKRFQYSFRNQYEETRNENELGILVLES >LPERR03G01170.1 pep chromosome:Lperr_V1.4:3:753585:757937:1 gene:LPERR03G01170 transcript:LPERR03G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAAAASAISIPWRSLLQRALDGNAHLKHSTFFQLATVGGGGRPANRTVVFRGFQEHSDKIQINTDARSSKIGEIRNCPFGEICWYFTDTWEQFRIGGLIEVIDGSSPDPVKLQLRESAWFGSSVKSRLQYLGPCPGLPIPDDDLVKDAHLDPSAGPVDAFCLLVLDPEKVDYLNLKSNQRLMFTRNQKGDESNNWMAEKISPNVNVVLAF >LPERR03G01170.2 pep chromosome:Lperr_V1.4:3:753804:757937:1 gene:LPERR03G01170 transcript:LPERR03G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAAAASAISIPWRSLLQRALDGNAHLKHSTFFQLVRPDPDPDAPATVGGGGRPANRTVVFRGFQEHSDKIQINTDARSSKIGEIRNCPFGEICWYFTDTWEQFRIGGLIEVIDGSSPDPVKLQLRESAWFGSSVKSRLQYLGPCPGLPIPDDDLVKDAHLDPSAGPVDAFCLLVLDPEKVDYLNLKSNQRLMFTRNQKGDESNNWMAEKISPNVNVVLAF >LPERR03G01180.1 pep chromosome:Lperr_V1.4:3:758765:760399:-1 gene:LPERR03G01180 transcript:LPERR03G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAARYLLGSPGGNGFGSKSTAEDVTAACPDLASATAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEEVSARVRDECPGADVLVLPLDLSSLASVQAFAHRFLAMGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMVETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAMRLKEMGANVTVNCVHPGIVRTRLNRDREGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHSRVAGVSGRYFADCNEALPSPAATNRHDAHRLWQISESMLLSSARVTQQHHHHTDDADTS >LPERR03G01190.1 pep chromosome:Lperr_V1.4:3:772201:777129:1 gene:LPERR03G01190 transcript:LPERR03G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSRPIHISFLEHWSVGPKCQSQQTGSARNIRLRVKKPLFSLHLERSSPNFSAAAAAAMAFSARRSLISGLSRHLSRRLHPSASHLIPSHHGNSDENPSPPTQPPPSLPSLLGSPSRSQTLTLPFPFGLRHSVHRGFSTSSSGATPPSGELLSDAATSVCGPGDVLPNGAPLPFPGEVAAAAADSFPPVAALQYLIDGVHSLSGLNWWASIALTTLLIRTITVPLLVNQMKSTVKLNEMRPEIEAINLEMRTSNDPQSMLEGQKKMSELFRRHGVTPLTPLKGLFIQGPIFMSFFFAISTMVEKVPSFKGGGIYWFTDLTTPDELLILPVLTSLTFLATVELNMQDGMEGNPMLKPIKNFSRVMALLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVIRKPVVRSSLGLPPIETLAPSQQPTFNLFGGSKSIPAVGSVAESDRSSSVLSQRFSDLENRAKSRRESQD >LPERR03G01190.2 pep chromosome:Lperr_V1.4:3:772201:776408:1 gene:LPERR03G01190 transcript:LPERR03G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSRPIHISFLEHWSVGPKCQSQQTGSARNIRLRVKKPLFSLHLERSSPNFSAAAAAAMAFSARRSLISGLSRHLSRRLHPSASHLIPSHHGNSDENPSPPTQPPPSLPSLLGSPSRSQTLTLPFPFGLRHSVHRGFSTSSSGATPPSGELLSDAATSVCGPGDVLPNGAPLPFPGEVAAAAADSFPPVAALQYLIDGVHSLSGLNWWASIALTTLLIRTITVPLLVNQMKSTVKLNEMRPEIEAINLEMRTSNDPQSMLEGQKKMSELFRRHGVTPLTPLKGLFIQGPIFMSFFFAISTMVEKVPSFKGGGIYWFTDLTTPDELLILPVLTSLTFLATVELNMQDGMEGNPMLKPIKNFSRVMALLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVIRKPVVRSSLGLPPIETLAPSQQPTFNLFGGSKSIPAVGSVAESDRSSSVLSQRFSDLENRAKSRRESQD >LPERR03G01200.1 pep chromosome:Lperr_V1.4:3:784394:789911:1 gene:LPERR03G01200 transcript:LPERR03G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGAVVTPAPATALLGSLAGWMSRAVDPPAPRLCGTEGGPPVTATRLRLRDGRHLAYCESGVPKDKARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVINYWWPGFPAELAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRRNMKADGSFQKKNDLATQQGIHESYYRDMTVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRHLASRLSWVNYHELPGTGHFLSAVPGLGDTVLRTIFG >LPERR03G01200.2 pep chromosome:Lperr_V1.4:3:787301:789911:1 gene:LPERR03G01200 transcript:LPERR03G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSTAPMLSCAAKRGAGGAGSAMAPLAGALGSWIARAVAPPPPPRRICGSPGGPPVTAPRVRLKDGRHLAYSESGVRKEDARFKVVFSHGFTGSRLDSVRPSPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVINYWWPGFPAELAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRRNMKADGSFQKKNDLATQQGIHESYYRDMTVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRHLASRLSWVNYHELPGTGHFLSAVPGLGDTVLRTIFG >LPERR03G01200.3 pep chromosome:Lperr_V1.4:3:784392:787009:1 gene:LPERR03G01200 transcript:LPERR03G01200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRRRPAGAEAVRHGGRPAGDGDKAEASRRATPRLLRERRAQGQGQVQGCLLPWVHWLPRGQRPCLPGP >LPERR03G01210.1 pep chromosome:Lperr_V1.4:3:792487:794988:1 gene:LPERR03G01210 transcript:LPERR03G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMHADVEKGKGNGKGKVPSPLYPQHEGEREWVPWIVPVFLVANITVFVLTMYANNCPKNTSSRDGKCIARFLGRFSFQPIRQNPLLGPSSATLQKMGALVWEKVVHEHQGWRLISSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAVYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGQNANDSCHWCHYLSCVPTSRWTCSN >LPERR03G01220.1 pep chromosome:Lperr_V1.4:3:795683:799688:-1 gene:LPERR03G01220 transcript:LPERR03G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPAAAAEAVANGLGGGAEPSPAPVSADQLDVEAYAAQYSGRTRLSRLLFIAERCGVEAVELEALRMAYDEIRRGEDTMFHRDVAQKINGRLGARYGFDQAWADSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDNLDPIIVAKLKAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNNNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKPNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMATAFMTSVCMLEKELAALIRENKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >LPERR03G01230.1 pep chromosome:Lperr_V1.4:3:800034:800309:1 gene:LPERR03G01230 transcript:LPERR03G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGEVVSTKPVALTKAARVFSLFAVSDESGIASDGGALLLSAAEAALELHAFRRHGGASGQGEEKRRPKKSKRKNEPSSASGLANLDE >LPERR03G01240.1 pep chromosome:Lperr_V1.4:3:803296:805782:1 gene:LPERR03G01240 transcript:LPERR03G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEEGGGLGELPELCAAEVLLHLDAPDICRLARLNRAFHGAAAADFVWEAKLPENYGYLLGFVDGAKEGEGGGGRRSGMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIALSSKSLVITGIDDRRYWSHMPTTESRFQSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHAMSQCYLDEPGSWILYHVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKVISSQK >LPERR03G01250.1 pep chromosome:Lperr_V1.4:3:806565:813364:1 gene:LPERR03G01250 transcript:LPERR03G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPRRRGERRRSRGSSRPLRACAPMGGKGNSHKFHHHKSPHHPTQPPTKRNKGENATKPTRRPGRRGRWRCPHFSSPPPLLIHPGLMQMQQASPRRAPPHRGGGSTLLIPMELDAAAGDDDARCDFLDWVGHDTSACIFRCLDHPADIVRAAAVSRSWRRFVVDNEFSKRLCVRICPEIANFTSAEEVSRSALAAHAAAAESSHNVELKARERDYRIYSCLSGALVSTKPSTGCILHCIAASSTDYFPDETIENTLVPHDRVKHRPSYWSSGGQDDPDVPESLIYRLNSDMCIVDEIRLQPFEVYAMLK >LPERR03G01260.1 pep chromosome:Lperr_V1.4:3:814300:822301:1 gene:LPERR03G01260 transcript:LPERR03G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWWNYRVLLVVPMDAIEQEIVGEGEHYVAGSVSGVRRRRSPGLRDGCVPRLISHHIGAMMVTIAMVTWCIHIMDAGPMVRNPNKLSWKRLDEPNSNKVTIPNIGTGIQDADSRAEDFVGEHTQSLLGLCVLAIAVVEGRKKNRRGEDEVAIRDHAHDEHCREIHQEMSLRSNPAGDDGGGEVETTVDELLARVRAMVPPAMAAAGAAEGFPGRWKAIAAKMDKLPACLSDLSSHPCFARNTLCRELLQSVAATLAEAAELAALCRAPPRAGKLQTQSAVDALAGKLDLNLRDCSLLVKTGVLSDAFMPAPAPPSPTAADVRELLARLQIGHTEAKSRAVDGLLEAISKDEKSVLSVLGRANVAALVQLLTSPAAKVREKAATVICHLAESGNCETLLVSEGVLPPLIRLAESGTLLGREKAVVTLHRLSMSPVTARAIAGHGGARPLIEICQTGDSISQSAAAGALKNISTVPESQQTLADEGIIRVMVSLLDCGTVLGSKEHAADCLRNLTSTSDSFRRAVVSDGGLRSLLVYLDAPLPRESAVTALGNLVGDVSPDSLVSLGVLPRLAHVLRVGSLGAQQAAASAIWKISTISSSVDMKRVVGEHGCVPLLVRMLDAKSNSAREAAAQAVASLVGYGPNAREVREGVPCLVQLLDPSAANTAKKYAVACLLSLAAVKRCRKLMVSHGAIGYLKKLSDMDVAGARKLLQRLEERGKLRSLFSTRD >LPERR03G01260.2 pep chromosome:Lperr_V1.4:3:817802:822301:1 gene:LPERR03G01260 transcript:LPERR03G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIAMVTWCIHIMDAGPMVRNPNKLSWKRLDEPNSNKVTIPNIGTGIQDADSRAEDFVGEHTQSLLGLCVLAIAVVEGRKKNRRGEDEVAIRDHAHDEHCREIHQEMSLRSNPAGDDGGGEVETTVDELLARVRAMVPPAMAAAGAAEGFPGRWKAIAAKMDKLPACLSDLSSHPCFARNTLCRELLQSVAATLAEAAELAALCRAPPRAGKLQTQSAVDALAGKLDLNLRDCSLLVKTGVLSDAFMPAPAPPSPTAADVRELLARLQIGHTEAKSRAVDGLLEAISKDEKSVLSVLGRANVAALVQLLTSPAAKVREKAATVICHLAESGNCETLLVSEGVLPPLIRLAESGTLLGREKAVVTLHRLSMSPVTARAIAGHGGARPLIEICQTGDSISQSAAAGALKNISTVPESQQTLADEGIIRVMVSLLDCGTVLGSKEHAADCLRNLTSTSDSFRRAVVSDGGLRSLLVYLDAPLPRESAVTALGNLVGDVSPDSLVSLGVLPRLAHVLRVGSLGAQQAAASAIWKISTISSSVDMKRVVGEHGCVPLLVRMLDAKSNSAREAAAQAVASLVGYGPNAREVREGVPCLVQLLDPSAANTAKKYAVACLLSLAAVKRCRKLMVSHGAIGYLKKLSDMDVAGARKLLQRLEERGKLRSLFSTRD >LPERR03G01260.3 pep chromosome:Lperr_V1.4:3:816551:822301:1 gene:LPERR03G01260 transcript:LPERR03G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILITALLGLCVLAIAVVEGRKKNRRGEDEVAIRDHAHDEHCREIHQEMSLRSNPAGDDGGGEVETTVDELLARVRAMVPPAMAAAGAAEGFPGRWKAIAAKMDKLPACLSDLSSHPCFARNTLCRELLQSVAATLAEAAELAALCRAPPRAGKLQTQSAVDALAGKLDLNLRDCSLLVKTGVLSDAFMPAPAPPSPTAADVRELLARLQIGHTEAKSRAVDGLLEAISKDEKSVLSVLGRANVAALVQLLTSPAAKVREKAATVICHLAESGNCETLLVSEGVLPPLIRLAESGTLLGREKAVVTLHRLSMSPVTARAIAGHGGARPLIEICQTGDSISQSAAAGALKNISTVPESQQTLADEGIIRVMVSLLDCGTVLGSKEHAADCLRNLTSTSDSFRRAVVSDGGLRSLLVYLDAPLPRESAVTALGNLVGDVSPDSLVSLGVLPRLAHVLRVGSLGAQQAAASAIWKISTISSSVDMKRVVGEHGCVPLLVRMLDAKSNSAREAAAQAVASLVGYGPNAREVREGVPCLVQLLDPSAANTAKKYAVACLLSLAAVKRCRKLMVSHGAIGYLKKLSDMDVAGARKLLQRLEERGKLRSLFSTRD >LPERR03G01260.4 pep chromosome:Lperr_V1.4:3:814300:822303:1 gene:LPERR03G01260 transcript:LPERR03G01260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILITALLGLCVLAIAVVEGRKKNRRGEDEVAIRDHAHDEHCREIHQEMSLRSNPAGDDGGGEVETTVDELLARVRAMVPPAMAAAGAAEGFPGRWKAIAAKMDKLPACLSDLSSHPCFARNTLCRELLQSVAATLAEAAELAALCRAPPRAGKLQTQSAVDALAGKLDLNLRDCSLLVKTGVLSDAFMPAPAPPSPTAADVRELLARLQIGHTEAKSRAVDGLLEAISKDEKSVLSVLGRANVAALVQLLTSPAAKVREKAATVICHLAESGNCETLLVSEGVLPPLIRLAESGTLLGREKAVVTLHRLSMSPVTARAIAGHGGARPLIEICQTGDSISQSAAAGALKNISTVPESQQTLADEGIIRVMVSLLDCGTVLGSKEHAADCLRNLTSTSDSFRRAVVSDGGLRSLLVYLDAPLPRESAVTALGNLVGDVSPDSLVSLGVLPRLAHVLRVGSLGAQQAAASAIWKISTISSSVDMKRVVGEHGCVPLLVRMLDAKSNSAREAAAQAVASLVGYGPNAREVREGVPCLVQLLDPSAANTAKKYAVACLLSLAAVKRCRKLMVSHGAIGYLKKLSDMDVAGARKLLQRLEERGKLRSLFSTRD >LPERR03G01260.5 pep chromosome:Lperr_V1.4:3:814300:818362:1 gene:LPERR03G01260 transcript:LPERR03G01260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWWNYRVLLVVPMDAIEQEIVGEGEHYVAGSVSGVRRRRSPGLRDGCVPRLISHHIGAMMVTIAMVTWCIHIMDAGPMVRNPNKLSWKRLDEPNSNKVTIPNIGTGIQDADSRAEDFVGEHTQCTHERSSRYK >LPERR03G01260.6 pep chromosome:Lperr_V1.4:3:814300:817561:1 gene:LPERR03G01260 transcript:LPERR03G01260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWWNYRVLLVVPMDAIEQEIVGEGEHYVAGSVSGVRRRRSPGLRDGCVPRLISHHIGAMTELTGKRGSVLKTYRKGRFR >LPERR03G01270.1 pep chromosome:Lperr_V1.4:3:814606:817482:-1 gene:LPERR03G01270 transcript:LPERR03G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSIHTRASRKIARRNAAKVFCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQGVGQDAGEISGMEEALEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVHVPKLELDTVTELSAFCEKATMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAVQIANNISDLGQIYNREDMDSNNPARGKILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKAIRLKNLIYGLEKVVPYHSPNVMTDQPGDAAVTKPWRSPPSDSADTAGHIMLALTNNFLLNGIHGNHQ >LPERR03G01270.2 pep chromosome:Lperr_V1.4:3:814606:817482:-1 gene:LPERR03G01270 transcript:LPERR03G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSIHTRASRKIARRNAAKVFCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQGVGQDAGEISGMEEALEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVHVPKLELDTVTELSAFCEKATMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAVQIANNISDLGQIYNREDMDSNNPARGKILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKAIRLKEFSSHIPREIQALDIHGKDNEIKAVTKLGKVVPYHSPNVMTDQPGDAAVTKPWRSPPSDSADTAGHIMLALTNNFLLNGIHGNHQ >LPERR03G01280.1 pep chromosome:Lperr_V1.4:3:823171:824934:-1 gene:LPERR03G01280 transcript:LPERR03G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAISSQLNPHKSNGEIAGEASHCCTPVFREWKEGFGKQQEGKMSTLEATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQNVDRSTTLARKVFRLLKWVNDLHALISPPAKGTPLTLVLLGKCKNALLSTFLFLDQFVWLGRTGIDKNKERTDRVVRISLYCWMASSVCAGLVELGELKRLSKSMRKLSRELKDADKYENDQYKSKMKQSDERLLALVKASMDVVVAVGLLQLAPKKITPRVTGAFGFVTSLISCYQQLPSRAPAAKVKA >LPERR03G01290.1 pep chromosome:Lperr_V1.4:3:825173:827490:1 gene:LPERR03G01290 transcript:LPERR03G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSLVSRAHLTTTRRAVSMALPPRSTARFGVRGRRGLVGCVRCQAQAQGSDMDGHYIRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLKSAGIDVRLCVEEEASCRKLNEAYIHRMLTGKAFATLRTTLSMNGVVVNQIGSGADQLGGYYSTLLKEYDGIIISSNFAETTTLPMSNETGAKQPLYIIIAQGEKSQLNIPFLKQECASEAIVLSDSPITVEPSGVEVLVLDQMNLESILQLLAQRGLCSVLVDFREAGGSITSLLNNFQEEKLVQKVVVELLPFWAVSKGPNDLAFGGSQSFPLKDVEHSEVNGCVLLEGYV >LPERR03G01300.1 pep chromosome:Lperr_V1.4:3:829463:833158:1 gene:LPERR03G01300 transcript:LPERR03G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVALLSASSPSTSSPAHRLLLLARRPPPRRSSCRRRAASGLSIMAALGEDPIREWILTEGKATKITGVSSIGGGCINSAQCYKTDAGSFFVKTNRRIGTSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGRSRGDQSAFGRKLAEMHKAAKSDKVYGFYVDNTIGSTPQINTWTADWIEFYAKHRLGFQLELITQRFGDSAIYDKGQRLIKNMHPLFEGAVIEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >LPERR03G01310.1 pep chromosome:Lperr_V1.4:3:833598:834185:1 gene:LPERR03G01310 transcript:LPERR03G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEESLGVEPLTGRRVRADTRHPVYRGIRLRGGKWVSEIREPGKSSRIWLGTYPSPEMAAAAYDVAALALRGADAALNFPGLATSSSRRPAPASASPDDIRAAAAAAAASVIGSGNWDNARVDASTSRAEPEMDQKRVVDEDDVFEMPRLLVSMAEGLMMSPPRLSPATDGGGGGVSPEDDVDEEDGMSLWSHT >LPERR03G01320.1 pep chromosome:Lperr_V1.4:3:839566:843610:1 gene:LPERR03G01320 transcript:LPERR03G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSTMPPPPPLTLRDFLEQSSSEGFRSYPRYPVPDDVDNGSGGSVVGGGGGGDHLDDLAPPVRLLIEAGLRRSPSTRLPPSFYGFFHKSPGTLAKISRSLSRRFRDGFSWRRRENDGDDDDIGVDERDEFGWPSPVVSSCSSSDTEEEMAMENLASASASEKEEMSQSSTGSVAFEGAADAGGDGHNKEEEVDGEPVGRNLEMEDKQQLSPVSIMDFPFDDDDDDEGGGEEVRDAGAMCSPSFEQCLAELQRSKAELVHKIRRLEGLTQVVVPVDLDAQSTESDSSPDTRTHLNSNNSSSDDTATSTPTTTSPRADVEQQKCTDVQDDHRLLFARLVESSSATDDDENTAWLLHDFFAEGVDRLRASGKPVTDREEAALAREADAWARGAGQRWGVRDVVFSGGSALAEMERSRRWMCVGEEERDVGAEVEALVVDALVDELLRDLAR >LPERR03G01330.1 pep chromosome:Lperr_V1.4:3:844653:848764:-1 gene:LPERR03G01330 transcript:LPERR03G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCKQRKASSEANGNVFTPGFPTCPNGIPLPASNKVRDLGYGSVGEELEAAYARKAASHAEKIAETQVFPTLHNERKRRKSETSTLVEKNSDSRTLVEVERNSKPSTLVERNSEPSTLIDEDAGTTLGKSKRKNQQNQAAVDSTLDLQQNDVPSQSYRTMIEEEKPVEESDGLEDLWKDFSLAAECTKLDTHEDVSNEQEIDDEKETDGDCNHDIRIHEDLGHVCRICGMIVRKAETIFDYQWKKAYMSLSWNSIQGMGPVAKMECLHQSIIESLLHLASRTRTNYYESRSKDAAEIDTGTVKISEDFIVCDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGVRSSKGVADNVFTDLVEGTLLNDDNFSRKAHVIRSLRDLTKDVLHYYKGDILDELPGLVDFSVFLKLTPKQKDIVHRIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDDAVDSLVESINIRDGVKARFFYNILSLANSAGEKLLAFSQYILPMKFLERLLVKMLGWHVGKEIFMISGDTSAEDRELAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVRLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEEKFHEAAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDVKALYRR >LPERR03G01340.1 pep chromosome:Lperr_V1.4:3:852385:856450:1 gene:LPERR03G01340 transcript:LPERR03G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTVKHMVNPWKTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPLHEYVSAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQHTYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPMTEQHVTGLLLISMGIVLKLLPENKENGRVRQVRMVKHCDDDKHQVISREAEEEEKSPLV >LPERR03G01350.1 pep chromosome:Lperr_V1.4:3:864263:867835:1 gene:LPERR03G01350 transcript:LPERR03G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKEKCACAVWTDMCIYKTLEIPWNDNLWSYLNQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPTEQSWPGVSSLPDYKSAFPKWQAQDLASIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >LPERR03G01350.2 pep chromosome:Lperr_V1.4:3:864068:867835:1 gene:LPERR03G01350 transcript:LPERR03G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKEKCACAVWTDMCIYKTLEIPWNDNLWSYLNQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPTEQSWPGVSSLPDYKSAFPKWQAQDLASIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >LPERR03G01360.1 pep chromosome:Lperr_V1.4:3:868409:870348:1 gene:LPERR03G01360 transcript:LPERR03G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRKGGLRCPPWVAAAADTASWCVALSLVALLLVCSLGATTGGEQRAGVVVRGAALSERACEEIYVVAEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPR >LPERR03G01370.1 pep chromosome:Lperr_V1.4:3:870727:873728:1 gene:LPERR03G01370 transcript:LPERR03G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRGSSVSLILATVLGSLCLTLTASPEAQKRYNFRFVRHARDAPLVSHYNYIVVGGGTAGCPLAATLSEHACVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASDGYVRAAGWDPRFVNASYRWVERELVFRPDVPRWQCALREGLLQAGVTPDNGYTVEHVPGTKIGGTIFDSTGRRHTAADLLRRAHPKRLTVFLHATVSRILFQGSGKPVAYGVVFTDPTGVRHHAYLKSGVGAKSEVIVTAGTLGSPQLLMLSGIGPRMQLEKHGIAPVVEQAMVGQGVADNPMNSVFVPSPVPVALSLVQIVGVTRFGSFIEGVSGSQTPEAMRRAAEAMRRLDRSAFRGGFILEKILGPLSTGHIELRSTDPDANPAVTFNYFRDPRDVERCVRGIETIERVVRSRAFARFTYANGTAMEAALLSRGVGSLPPVNLLPRRVSDTRPLQQYCRETVMTIWHYHGGCHVGAVVDKDYRVLGVRGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQKERWTRNDETD >LPERR03G01380.1 pep chromosome:Lperr_V1.4:3:876191:881026:1 gene:LPERR03G01380 transcript:LPERR03G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAERRKDVGILAMDIYFPPTCVLQDELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPICFESKFRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTLEEESREKLEPYSGLSSEESYQSRELEKASQQVAKCLYDSKVQPTTLIPKQVGNTYTASLYTALASVIHDKNETLAGQRIVMFSYGSGLTSTMFSFKMNEGHHPFSLSNIVTILDVSKKLESRHVVAPEKFVVALKQMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDAMYRRFYAVKGAAATAVSNGH >LPERR03G01380.2 pep chromosome:Lperr_V1.4:3:875906:881026:1 gene:LPERR03G01380 transcript:LPERR03G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAERRKDVGILAMDIYFPPTCVLQDELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPICFESKFRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTLEEESREKLEPYSGLSSEESYQSRELEKASQQVAKCLYDSKVQPTTLIPKQVGNTYTASLYTALASVIHDKNETLAGQRIVMFSYGSGLTSTMFSFKMNEGHHPFSLSNIVTILDVSKKLESRHVVAPEKFVVALKQMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDAMYRRFYAVKGAAATAVSNGH >LPERR03G01380.3 pep chromosome:Lperr_V1.4:3:875933:881026:1 gene:LPERR03G01380 transcript:LPERR03G01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAERRKDVGILAMDIYFPPTCVLQDELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPICFESKFRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTLEEESREKLEPYSGLSSEESYQSRELEKASQQVAKCLYDSKVQPTTLIPKQVGNTYTASLYTALASVIHDKNETLAGQRIVMFSYGSGLTSTMFSFKMNEGHHPFSLSNIVTILDVSKKLESRHVVAPEKFVVALKQMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDAMYRRFYAVKGAAATAVSNGH >LPERR03G01390.1 pep chromosome:Lperr_V1.4:3:881720:885338:1 gene:LPERR03G01390 transcript:LPERR03G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAEEFEDEETLAALLEASRSAQGRAALSDALADTLHLLPASPPRLLLLRLRLLRNLLAGVELNQGTFLLLSGPAAVASSALSSSPSSTSPDVARAALQALGNAALAGEHHRAAVWEALFPGSLQDLARVREKGVLDPLCMVIDTCCSGEGGRGRIEELCHEELGLPILVEIVTTAWQAGHDEEWLEWLLIKICVEEQKFQTLFAALCSGNDAGHSDGDECKTEFNAKHAYLLGKLSKYLANRPKEVSVSNSFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQNGLVKHLLTYLRELEPASIIRKSMARGQGHHQPALSTAKVCPYIGYRRDVVAVIANCLHRGKKVQDEVRQLGGIIVILQQCVVDEENPYLREWGLFAVKNLLEGNEENQREVSELEMQEPVITPEIADIGLRVEIDKETGHPKLVNN >LPERR03G01390.2 pep chromosome:Lperr_V1.4:3:881720:884247:1 gene:LPERR03G01390 transcript:LPERR03G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAEEFEDEETLAALLEASRSAQGRAALSDALADTLHLLPASPPRLLLLRLRLLRNLLAGVELNQGTFLLLSGPAAVASSALSSSPSSTSPDVARAALQALGNAALAGEHHRAAVWEALFPGSLQDLARVREKGVLDPLCMVIDTCCSGEGGRGRIEELCHEELGLPILVEIVTTAWQAGHDEEWLEWLLIKICVEEQKFQTLFAALCSGNDAGHSDGDECKTEFNAKHAYLLGKLSKYLANRPKEVSVSNSFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQNGLVKHLLTYLRELEPASIIRKSMARGQGHHQPALSTAKVCPYIGYRRDVVAVIANCLHRGKKVQDEVRQLGGIIVILQQCVVDEENPYLREWGLFAVKNLLEGNEENQREVSELEMQEPVITPEIADIGLRVEIDKETGHPKLVNN >LPERR03G01400.1 pep chromosome:Lperr_V1.4:3:885097:886777:-1 gene:LPERR03G01400 transcript:LPERR03G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKMEGDAAPPPQQRRNKSSAANKGKKGGASADGGGGVGRWPTIRPKKDLQINRLKGTHLLTVPNFFTSAEAKAFIDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINRIFEDISISGKVATSLNPNIRLYRYTEGQRFGRHIDESVNLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDNRGGVVAEVAPEQGMALLHLHGAKCMLHEARVVKKNIKYVLRSDVVFA >LPERR03G01410.1 pep chromosome:Lperr_V1.4:3:887273:891263:-1 gene:LPERR03G01410 transcript:LPERR03G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVWPHVFGSSSSSTSRAVMLHGSLDIWIHEARNLPNMDILSKTMGDFLGRKKKSSSSSSAAAMTSDPYVTVQLAGATVARTYVVTDNENPVWAQHFLVPVAHESPAVHFLVKDSDVFGAELIGAVLIPTDRLESGEHVEGTYPILDTSGKSPCSPGAVLRISVQYIPVARLTMYHHGVTPGPDFAGVTNTYFPLRRGGRVTLYQDAHVPDGWLPEIELGNGGCYYRHGQCWRDVFDAMSQARRLIYITGWSVFHTIHLVRDGGGGGGSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQIQLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNHKRKIVAFVGGLDLCGGRYDTPRHPLFRSLQTLHKEDYYNPNFAVLDERGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKRSGAKKLSKSNNDTLLWIERIPDIAGIDDEVHLNDNDPERWDVQIFRSIDSNSVKAFPKDPREATSKNLVCGKNVLIDMSIQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEIIHKALREVGLDNTYEPEDYLNFFCLGNREAEDRPGASSGSCSANNPQDQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGSVEESFSCPESLDCTRQVRYIGEQNWKQFASNEVSEMRGHLIKYPVSVARDGKVKSLPGFAAFPDLGGNICGTFLPIQENLTI >LPERR03G01420.1 pep chromosome:Lperr_V1.4:3:893895:896159:-1 gene:LPERR03G01420 transcript:LPERR03G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMRTHLLSIVVLSFALLAVVTCGTGQERKNYVVHLDPREDGVTTESDSVEVWHRSFLPEATTADDGPRIIYSYSHVLSGFAARLTDEEAEAMRNKDGCIRLYPEEFLPLTTTHSPGFLGLHLGNDGFWSRAGFGKGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAAFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGINGENPDSRDCSSLVEEEVSGKVVVCESRSLVEHVEQGQTVSAYGGAGMILMNKAAEGYTTFADAHVLPASHVSYAAGSKIVSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSSVADHAGIPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHANDYIAYLCGLGIGDDGVKEITHRRISCSKLKSITEAELNYPSMVVKLMSQPITVHRIVTNVGNPNSVYTAVVDMPKDVAVTVHPPMLRFTRLYEKQSFTVTVRWAGQPAVAGVEGNLKWVSGDHVVRSPIVIPPAKAVV >LPERR03G01430.1 pep chromosome:Lperr_V1.4:3:927795:930723:-1 gene:LPERR03G01430 transcript:LPERR03G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNLAYGGCTAAAVKIAEQHSDFVIGFISVNSASWSVAPSSPAFIHATPRVQMVSGGDALGHLRQRQWVWLEESA >LPERR03G01430.2 pep chromosome:Lperr_V1.4:3:927797:928847:-1 gene:LPERR03G01430 transcript:LPERR03G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNLAYGGCTAAAVKIAEQHSDFVIGFISVNSASWSVAPSSPAFIHATPRVQMVSGGDALGHLRQRQWVWLEESA >LPERR03G01430.3 pep chromosome:Lperr_V1.4:3:928645:930723:-1 gene:LPERR03G01430 transcript:LPERR03G01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNLAYGGCTAAAVKIAEQHSDFVIGFISVNSASWSVAPSSPAFIHATPRVQMVSGGDALGQQ >LPERR03G01440.1 pep chromosome:Lperr_V1.4:3:942890:969352:1 gene:LPERR03G01440 transcript:LPERR03G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREAGAIDRSQDVAKLQEFYKLYREKHKVDELREDEMKLRESAVFSGNLGELERKTLKRKKILATLKVLWSVIEEITREISPDDADKLISEEMKRVMQKDAEMTEDVVAYNIIPLDALSTTNAIVNFPEVRAAISALQYHRDLPRLPATFSVPDARNSDMLDLLHFVFGFQKDNVTNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLRPVWNNTESLTKEKKLLYVCLYYLIWGEAANLARELEEIMRKQTAEPAESCSSNGVVSFLDEVISPMYEIIAAEAANSNNGRAPHSAWRNYDDFNEFFWSLKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFNDRKFDTNTVLELLSLGPTYVIMKFIESILDILMIKAIQDGTNSATFKIYVFVIGAYVGAKIVISLLMSVPCCHGLTDTCYRWSVVRLAKWMHQEHNYVGRDMHERPYDYIKYVAFWLAILGAKFSFTYFLQENNHNALTILSLWAPVIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVSVPKRKQLLSSSQHSELNKFDASRFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGALPIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVEVELIYGGVRDSISKRNIQSDLHFSKLPNVMAKLVAVAGILKETESTDLRKGAINAIQDLYEVVHHEVLSVDMSGNIDNWTRIKRARAEGRLFNNLKWPTDPGLRDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTETELFGSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESPLATTGLADIHFELSPEARAQADLKFTYVITCQIYGVQKGEGKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQDHGKHKPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILKNVALNTALNTQFLFQIGVFTAIPMILGFILEYGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKGFVFSDFTLKISMTLVFHRLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAISWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNIGGRILETVLSLRFFIFQYGVVYHMDASESSRALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVADVFASILAFVPTGWGVISIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAICSWFPFISTFQTRLLYNQAFSRGLEISLILAGNNPNAGV >LPERR03G01440.2 pep chromosome:Lperr_V1.4:3:942890:969352:1 gene:LPERR03G01440 transcript:LPERR03G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREAGAIDRSQDVAKLQEFYKLYREKHKVDELREDEMKLRESAVFSGNLGELERKTLKRKKILATLKVLWSVIEEITREISPDDADKLISEEMKRVMQKDAEMTEDVVAYNIIPLDALSTTNAIVNFPEVRAAISALQYHRDLPRLPATFSVPDARNSDMLDLLHFVFGFQKDNVTNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLRPVWNNTESLTKEKKLLYVCLYYLIWGEAANLARELEEIMRKQTAEPAESCSSNGVVSFLDEVISPMYEIIAAEAANSNNGRAPHSAWRNYDDFNEFFWSLKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFNDRKFDTNTVLELLSLGPTYVIMKFIESILDILMIKAIQDGTNSATFKIYVFVIGAYVGAKIVISLLMSVPCCHGLTDTCYRWSVVRLAKWMHQEHNYVGRDMHERPYDYIKYVAFWLAILGAKFSFTYFLQENNHNALTILSLWAPVIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVSVPKRKQLLSSSQHSELNKFDASRFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGALPIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVEVELIYGGVRDSISKRNIQSDLHFSKLPNVMAKLVAVAGILKETESTDLRKGAINAIQDLYEVVHHEVLSVDMSGNIDNWTRIKRARAEGRLFNNLKWPTDPGLRDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTETELFGSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESPLATTGLADIHFELSPEARAQADLKFTYVITCQIYGVQKGEGKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQDHGKHKPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILKNVALNTALNTQFLFQIGVFTAIPMILGFILEYGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAISWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNIGGRILETVLSLRFFIFQYGVVYHMDASESSRALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVADVFASILAFVPTGWGVISIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAICSWFPFISTFQTRLLYNQAFSRGLEISLILAGNNPNAGV >LPERR03G01440.3 pep chromosome:Lperr_V1.4:3:942890:969352:1 gene:LPERR03G01440 transcript:LPERR03G01440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREAGAIDRSQDVAKLQEFYKLYREKHKVDELREDEMKLRESAVFSGNLGELERKTLKRKKILATLKVLWSVIEEITREISPDDADKLISEEMKRVMQKDAEMTEDVVAYNIIPLDALSTTNAIVNFPEVRAAISALQYHRDLPRLPATFSVPDARNSDMLDLLHFVFGFQKDNVTNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLRPVWNNTESLTKEKKLLYVCLYYLIWGEAANLARELEEIMRKQTAEPAESCSSNGVVSFLDEVISPMYEIIAAEAANSNNGRAPHSAWRNYDDFNEFFWSLKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFNDRKFDTNTVLELLSLGPTYVIMKFIESILDILMIKAIQDGTNSATFKIYVFVIGAYVGAKIVISLLMSVPCCHGLTDTCYRWSVVRLAKWMHQIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVSVPKRKQLLSSSQHSELNKFDASRFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGALPIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVEVELIYGGVRDSISKRNIQSDLHFSKLPNVMAKLVAVAGILKETESTDLRKGAINAIQDLYEVVHHEVLSVDMSGNIDNWTRIKRARAEGRLFNNLKWPTDPGLRDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTETELFGSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESPLATTGLADIHFELSPEARAQADLKFTYVITCQIYGVQKGEGKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQDHGKHKPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILKNVALNTALNTQFLFQIGVFTAIPMILGFILEYGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKGFVFSDFTLKISMTLVFHRLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAISWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNIGGRILETVLSLRFFIFQYGVVYHMDASESSRALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVADVFASILAFVPTGWGVISIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAICSWFPFISTFQTRLLYNQAFSRGLEISLILAGNNPNAGV >LPERR03G01450.1 pep chromosome:Lperr_V1.4:3:972763:973878:1 gene:LPERR03G01450 transcript:LPERR03G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPSLRPPPPDRLDPAFSHPVVSSTLRALTDRAVPAAGFFDWLAFRKRFVPSAHAHNLLVENAGRLGDYPAMSRALASMSARRVPVTERAFAFLKSSSSSHGGAKDTAMGILRALDEIGGPCRVSGVFSLVKALALIGEFDAAISVIKETARGVRYYNALMAVKCKTGDFHGAREVFDEMRRSGFGPDANSWNYLLGCFLKNGRVAEACELVEAMERYEPGNIPNSLTYEILAYHVCKAGRMDSAMRILDQMFLEKLTPRITIHTAFIKGYLYTGRVEDACRYVSAMSIRDRHSINRNYSLLAKLLCKTGRIVEAGRILYELMEKEVLLPDHSTYIRVIKDLHGIGEGNLVTELKLIFQKLSVHVQSAR >LPERR03G01460.1 pep chromosome:Lperr_V1.4:3:975231:975542:1 gene:LPERR03G01460 transcript:LPERR03G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR03G01470.1 pep chromosome:Lperr_V1.4:3:978799:982607:1 gene:LPERR03G01470 transcript:LPERR03G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVSTTRYEVGKQYYSDFFPCLGTRRFLWEVEVLCLPLAESTDLSVDDNLGTQGRIPTPPSMF >LPERR03G01480.1 pep chromosome:Lperr_V1.4:3:985235:989659:1 gene:LPERR03G01480 transcript:LPERR03G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECEIDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSVDRSPTLEVSCDGRADDFESSSFSFPTETPMESMHGGFGMQMSAPHEDGKWMQFLSEDAFNTTNPFFTNPVAANFSCLPSKVDVALECARLQHRLTLPPLGVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSSSYPEMWLGASTSSASYVNELSSLVEMGGAGTSNHDESARLQIEITDMEVFKNEKKRVENLRGVKLVNNDLGEIVVEGDESNPTEAIVAQYTIKDTVDNSGEAGHRMTDPTDVGIIDTAPIFSQSQPDDFAVGFDDVNPNASFDLYEKVDVSHGLFVSRVGAAKTFFHRIEPSKKIRFHSNPAATAVSKATTEKFHFPVTTKVISGRVSIFSKLKALIRDKFLMRRPSSNYQRLGSKETTVAELLQIVSLLLAPKQITGPTEQVLVKKKAKEVMKKPGWGRDGGNKLWLPIPKGKGISSMFLSGKWTFLTSALAISTPAECDH >LPERR03G01490.1 pep chromosome:Lperr_V1.4:3:991334:994501:1 gene:LPERR03G01490 transcript:LPERR03G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSKMATKTKYCTGRIKSFVIPLANYSVDTTASPVSFFEEEGVIEVVPEMCNRSISTPMEPTILPCQ >LPERR03G01500.1 pep chromosome:Lperr_V1.4:3:992206:997881:-1 gene:LPERR03G01500 transcript:LPERR03G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISWAQINIPPPREACGPSKPIGPRKRVPLRPCTTCNRRIVRLVGFSLSLFHSPSLSAFLHRPPFPDAAHHRSLTSSSPSPWIWVAAMDPLESEVDSRKSNNKPRYSKFTQQELPACKPLLTPGIVISAFLLIGVIFVPIGLASLSASQEIVELVDRYDANCVPASDKVEFIQNSDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTIDGAPIVPCGLIAWSMFNDTYTISVNKKAINVNKKDIAWKSDKTSKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQVTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNSFIGVAYLTIGGLCIFLAVGFVVLLYMVKPSSSCCFCLIFYEFTDP >LPERR03G01510.1 pep chromosome:Lperr_V1.4:3:998366:998620:-1 gene:LPERR03G01510 transcript:LPERR03G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSVVVSAEERHRIERVARFVARDRDGDMAEALLLRLLKITRNGRRWGFLAHDHPLHPYYLQQKVSEQCRILRPRPAAAGDR >LPERR03G01520.1 pep chromosome:Lperr_V1.4:3:999242:1002915:-1 gene:LPERR03G01520 transcript:LPERR03G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHEPPLQRQSGGGFSPAKLRAMLLGLEKNQHNGEDTSPEANDSGELDDRRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRSSAVAALLPPFSRPTPSKWDDAEKWISSPTANRNGRVGNAAGAVVPKKSALAFPEHVTRPPPVSKVVAEVPSNTGTLVKSSVALSQPNSFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQTGTPIIASTPTSSRTPTPQRNAQISIGEFSSSKIEMSEEELQMNTRKEIMDLGERLGKTTIAAWASKEEKSTTNFRNITTDKAVEIDRETRAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRIEAKLEIKRAREQDRLSSKLAAARHKAEAKREAAEARRNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >LPERR03G01530.1 pep chromosome:Lperr_V1.4:3:1009299:1012648:-1 gene:LPERR03G01530 transcript:LPERR03G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAVAPDWWDDVNNSPIWQDRTFHALAVLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFIVNGVRSIVFVLRRDVQLVQPEIFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQIILWLVLWWKPVRAMVTLSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEGGLQTLITPINQRILQMCFNAFDKEADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >LPERR03G01540.1 pep chromosome:Lperr_V1.4:3:1014413:1016002:-1 gene:LPERR03G01540 transcript:LPERR03G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFYPTGGHRGGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSKPLSLQTVELKVRMCCSGCERVVKHALMKLRGVDSVEVELEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGQLPEPHRGADPVSNMFNDDDVNACSVM >LPERR03G01550.1 pep chromosome:Lperr_V1.4:3:1023073:1026418:1 gene:LPERR03G01550 transcript:LPERR03G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNLLSFLDTILIEQRRLAYCFDRPDKVCHLKPYLLQLNVATKMARYGDTMIH >LPERR03G01560.1 pep chromosome:Lperr_V1.4:3:1032313:1033269:1 gene:LPERR03G01560 transcript:LPERR03G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPATAMAAGGGGGRGVEEESDGRKLAPEVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRFFIDWRRRPDVVAALAPPSHRFPSSVSVPFAPWSAAAAAAAHYYHGGGGGGGGSRFLQLPPSTAIYDHRRHGQAVGYDDYAAAAASRQVVFYRPVTHHQQQQPAVVLESVPVRMAAAGHGEPSAPSKRVRLFGVNLDCANSEEDAKTAPPPLLQLPSPPSSSSTSSSGKVRCSLNLDL >LPERR03G01570.1 pep chromosome:Lperr_V1.4:3:1056347:1069821:-1 gene:LPERR03G01570 transcript:LPERR03G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTTWEKSVVSVLCLCTLAVVCAGFPVNDGSLHPNFYAATCPQAETIVRQEVTKAVRTNIGFAAGLIRMHFHDCFVRGCDASVLIESTPDNVAEKDTPINNPSLRGFEVIDAAKSRLESVCPGVVSCADVLAYAARDGVAVTDGPRYEIPGGRRDGTVSLASEVADNIPPPTSTLDQLIDSFTAKGLTQEEMVTLSGAHTVGRAHCTSFADRLYNFSATGAADPSIDLRFLSQLRRACPAAGPDGAVDPGLVVPMEPRTPNGFDMLYYWAVLRNRGLFTSDQALLSSPRTAAQVRQSAYGGYPWKLKFAAAMVKMGQIEVLTGSSGEIRSKRKVAILETRMDMMQEDLSELPNKQRINLKELNKLAAKLSTLSSKVKKFGAPFTMKRSSNRSDLLEPNDDNHAKIDVSSVAQMGNCEIIELQRNVIKEQDDELDKLEKTIESTKHIALTINEELHLHDRLISSGYREIETMEMVVVVGAVVLALSLLCTGGVQAQLQVGFYDQSCPQAEVIVRDEVGKAVSATPGLAAGLVRMHFHDCFVKGCDASVLLDSTANGTTAAEKDAAPNRSLRGFEVVDSAKQRLETACKGVVSCADILAFAARDSVVLAGGSPYRVPAGRRDGNTSVASDALGNLPPPTADVPKLTQVFAKNGLSQDDMVILSGAHTIGVTHCSSFSARLYGYNSSTGQDPSLDAAMATRLSRACPASGGAAAANTVAMDDGSPYAFDTSYYQNLLAGRGVLASDQALTADNATAALVAQNAYNMYLFATKFGQAMVKMGAIGVLTGDRSMEARGSRGMKLRLFVAVMAMAISSRSSQAQLQVGYYDTLCPAAEIIIQEEVSKAVSANPGMAAGLVRLHFHDCFVRGCDASVLVDSASGNTAEKDAPPNTSLRGFDVIDKAKSRLETACFGVVSCADVLAFAARDALALVGGNAYQVPAGRRDGNVSSAQETSGNLPPPSANVAQLNQIFGSKGLTQAEMVALSGAHTIGVSHCSSFSNRLYSSGPNAGQDPTMDPSYVSALTQQCPQQQSSTATAGMVPMDAVTPNAFDTNYYAAIVANRGLLSSDQALLADQTTAAQVVGYTNNPDSFQSDFAAAMVKMGSIGVLTGNAGTIRTNCRVVS >LPERR03G01580.1 pep chromosome:Lperr_V1.4:3:1070824:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPSEVVVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDDDTNDKGEKREKPTAESAAAATYLLALLGRVVFGGPIVFRMAAKALAHGPVARGVRGQSRTVCTAYPQASDC >LPERR03G01580.2 pep chromosome:Lperr_V1.4:3:1072684:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSQAEVIRDRTGYRVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKDRKELEKHVPMPSEVVVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDGKQS >LPERR03G01580.3 pep chromosome:Lperr_V1.4:3:1072684:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQQAEVIRDRTGYRVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKDRKELEKHVPMPSEVVVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDGKQS >LPERR03G01580.4 pep chromosome:Lperr_V1.4:3:1072684:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQQAEVIRDRTGYRVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPSEVVVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDGKQS >LPERR03G01580.5 pep chromosome:Lperr_V1.4:3:1072684:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPSEVVVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDGKQS >LPERR03G01580.6 pep chromosome:Lperr_V1.4:3:1072684:1082702:-1 gene:LPERR03G01580 transcript:LPERR03G01580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGEQAAVAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPEPVPVASPPELPMLDQQLQVVSAPVPNNAVAVMEVVQRGSNGVEAARKERRRESHGCAAVNGGGGGEWRDGKRSRLASGGVGGPRQDWRRRPMLPPPQPPSRGWDDRCGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVFRPGSWEQESDREAKRARTQDGGSMEKKAEADRTGAAQREKPVVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVALSFLTALQNDERANYQVDVKFQECYLKKVVDLLHCQLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFVRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSSVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKTGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRGGCQSSQFIKLYMYSVNCVNTGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGKIEITESQLVLLKSFHVRLMSIVLDVDVDPSSTPWDPAKAYLFVPVVADKCTDPLREIDWTLVNSIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKSHPTYGIRGAIAEFDIVQASGLVPARERGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNSENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKFPQKHEGQLTRMRQKMVSNIVLYGYALQKNLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEEPTGCELQKNSYDDYAENMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIDAELDPQEIPPPKPYNIPESIMRSIDFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDDEKDGEKKNGTQMFTRQTLNDICLRRQWPMPHYRCVNEGGPAHAKRFVYAVRVNTSGRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDYPDKPDGKQS >LPERR03G01590.1 pep chromosome:Lperr_V1.4:3:1071448:1073497:1 gene:LPERR03G01590 transcript:LPERR03G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVLDRSQCTAFDDIEGEQGVGGNEGSKQSRSEKKSRKAMMKLGMKPVTGVTRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMAKPDAAAAADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >LPERR03G01600.1 pep chromosome:Lperr_V1.4:3:1087028:1095820:1 gene:LPERR03G01600 transcript:LPERR03G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATARKGTRLVNPPRIVGEYKLLEEVGVGSFAKVYRATHLRTGDVVAVKEIDPRRIDERVRREILKEKAILSSLSHPNILRLVDTIQDENLYLILEYCDGGDLEGYRTKGGEYARLPEATARDFMRQLAEGLKMMRGRSIVHRDLKPQNLLLSTNGDSITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANMVKLKENIHESNGVKFPPEINDDSHSDFIDLCRGLLRLDPKKRLSFEEFFNHKFLAATEPSLHSGGSIQSKREINSEPHHPADLLKDTSQTISCDVLKVKSESVNSKNVKAFDSWEWIEREYVLVHANCTSSEMLSSLEKSMKDGISAKPASYDKPTVKRSAGNQNRCSLSRVVAVKSNGCTPFSTSCESATAENLLSPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELVILSIWNEALTACSLSMDASLDGKSFTLAYDNILPKNDSRPSQNVLQGLDFTRLVSVRSWAENGFIEAYDRAEKISHRLRDNNDNTKMPDAVEIIFQSALIYGTTGAAKELLGDQNRSVALYSKAIILLTFVLQEATHLPLNPPFSLSPSDQQRIHRYITNLRSHLCSAQLTGQQERYVQN >LPERR03G01600.2 pep chromosome:Lperr_V1.4:3:1087028:1095820:1 gene:LPERR03G01600 transcript:LPERR03G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATARKGTRLVNPPRIVGEYKLLEEVGVGSFAKVYRATHLRTGDVVAVKEIDPRRIDERVRREILKEKAILSSLSHPNILRLVDTIQDENLYLILEYCDGGDLEGYRTKGGEYARLPEATARDFMRQLAEGLKMMRGRSIVHRDLKPQNLLLSTNGDSITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANMVKLKENIHESNGVKFPPEINDDSHSDFIDLCRGLLRLDPKKRLSFEEFFNHKFLAATEPSLHSGGSIQSKREINSEPHHPADLLKDTSQTISCDVLKVKSESVNSKNVKAFDSWEWIEREYVLVHANCTSSEMLSSLEKSMKDGISAKPASYDKPTVKRSAGNQNRCSLSRVVAVKSNGCTPFSTSCESATAENLLSPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELVILSIWNEALTACSLSMDASLDGKSFTLAYDNILPKNDSRPSQNVLQGLDFTRLVSVRSWAENGFIEAYDRAEKISHRLRDNNDNTKMPDAVEIIFQSALIYGTTGAAKELLGDQNRRDLMDYIFIYDMKVNKPKVPDLH >LPERR03G01600.3 pep chromosome:Lperr_V1.4:3:1087028:1093403:1 gene:LPERR03G01600 transcript:LPERR03G01600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATARKGTRLVNPPRIVGEYKLLEEVGVGSFAKVYRATHLRTGDVVAVKEIDPRRIDERVRREILKEKAILSSLSHPNILRLVDTIQDENLYLILEYCDGGDLEGYRTKGGEYARLPEATARDFMRQLAEGLKMMRGRSIVHRDLKPQNLLLSTNGDSITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANMVKLKENIHESNGVKFPPEINDDSHSDFIDLCRGLLRLDPKKRLSFEEFFNHKFLAATEPSLHSGGSIQSKREINSEPHHPADLLKDTSQTISCDVLKVKSESVNSKNVKAFDSWEWIEREYVLVHANCTSSEMLSSLEKSMKDGISAKPASYDKPTVKRSAGNQNRCSLSRVVAVKSNGCTPFSTSCESATAENLLSPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELVILSIWNEALTACSLSMDASLDGKSFTLAYDNILPKNDSRPSQNVLQGLDFTRLVSVRSWAENGFIEAYDRAEKISHRLRDNNDNTKMPDAVEIIFQSALIYGTTGAAKELLGDQNRSVALYSKAIILLTFVLQEATHLPLNPPFSLSPSDQQRIHRYITNLRSHLCSAQLTGQQERYVQN >LPERR03G01610.1 pep chromosome:Lperr_V1.4:3:1101313:1105732:1 gene:LPERR03G01610 transcript:LPERR03G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQLQGPIGSLWAAAAAAEQAAASAGAGGAQMPFLALLHGAMEADAGGDMRKRNGMFSCPCPTVAADIDLLESCVTQAAAPPVDAAPASRAERRRKRPRQRPRAAPPPEKRKKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAERHGVGVGGVVGTAASDGVFVSPQYTSYSESRGGGGGVDVEATAAVGGHVRVSVAGRRWNGRLVRAVAAMEELRLTVLHLAVTSVGHDAVVYCFNLKMEEGCEVATADEVATVVHQIFAYAGACC >LPERR03G01620.1 pep chromosome:Lperr_V1.4:3:1108226:1110296:-1 gene:LPERR03G01620 transcript:LPERR03G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSLSLRGIPSPTATNLSSSFLGAPSSFLRPSPLAGAATQPRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILVKKEKVLL >LPERR03G01630.1 pep chromosome:Lperr_V1.4:3:1110873:1114272:-1 gene:LPERR03G01630 transcript:LPERR03G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLISTADHDTLPGNYVRPESQRPRLADVVVDASIPVIDLSNSDHADLVSQVAVACHSHGFFQVVNHGVPVELTLSMLAVAHEFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLDRFVPEWPANPPSFREIVSTYCKEIRELGFRLYGAISESLGLEHDYIKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVTGLQVFKEGKWIAVNPQPNALVINIGDQLQALSNGRYKSVWHRAVVNSDKPRMSVASFLCPCNDVLIGPAQKLTADGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >LPERR03G01640.1 pep chromosome:Lperr_V1.4:3:1127837:1144914:-1 gene:LPERR03G01640 transcript:LPERR03G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIENPTSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASAQKTIERYRTYTKENISSKTAQQDIEQVKADAEGLAKKLEALEAYKRKLLGEKLEECSIDELHSLEVKLERSLTSIRGRKTKLMEEQVSKLREKEIKLRKDNEDLREKCKNQPVPMVAPVPIVASAPVTVTAADDNPGRNNTNNNMDGCLAEAAPALLQEIVRLRLRHSPILKVRSPRISWISVLREKGDARHAMTPDLKNPHHVVYVLTCINCPHGCKCETHAPITNPPPGRNPSIGCLHYRHLNRNLMCLHVFLSLR >LPERR03G01640.2 pep chromosome:Lperr_V1.4:3:1127837:1144935:-1 gene:LPERR03G01640 transcript:LPERR03G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASAQKTIERYRTYTKENISSKTAQQDIEQVKADAEGLAKKLEALEAYKRKLLGEKLEECSIDELHSLEVKLERSLTSIRGRKTKLMEEQVSKLREKEIKLRKDNEDLREKCKNQPVPMVAPVPIVASAPVTVTAADDNPGRNNTNNNMDGCLAEAAPALLQEIVRLRLRHSPILKVRSPRISWISVLREKGDARHAMTPDLKNPHHVVYVLTCINCPHGCKCETHAPITNPPPGRNPSIGCLHYRHLNRNLMCLHVFLSLR >LPERR03G01640.3 pep chromosome:Lperr_V1.4:3:1144929:1145421:-1 gene:LPERR03G01640 transcript:LPERR03G01640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKNGNATGRQPPETPHRGNTSKDQKGKPRSSRDRERRGRGEERRKREGESEGGYGQTLQRLHHHDFLPFLRIGIGGDRRW >LPERR03G01650.1 pep chromosome:Lperr_V1.4:3:1157097:1161210:1 gene:LPERR03G01650 transcript:LPERR03G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTSVKIGGPLDFALTPHKPQRQSGFAKGAAAAAAAAAMSGGIARGRLAEERKAWRKNHPHGFVAKPETMPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPNIV >LPERR03G01660.1 pep chromosome:Lperr_V1.4:3:1161464:1163411:1 gene:LPERR03G01660 transcript:LPERR03G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEHPPRTNPAAGKTKKRKKPKKDKWGQTIPDTGDQPAVEPPEEQIPAVPAAAEEEEEGDEAGIYEAGKVVASGLPYTTTEEEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEEAMKSLELDGFKIGNRFMRVERCRIAAGSKRKKTTKFKTDPKKADGCLSAYVGNLKWDVTETDLREFFKSLKIASIRFAINKRTGDSRGFCHIDFEDDESLEKAVGMNQSELCGRPIKISYAVSNRG >LPERR03G01670.1 pep chromosome:Lperr_V1.4:3:1165474:1169460:1 gene:LPERR03G01670 transcript:LPERR03G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQIPPSIPPPAMRSPMENSAAASTKPPTPSSTPSSRLAAAPSSRLASAPPHPSPSSAAPSPASRTVYSDRFIPSRSGSNLALFDLAPSPPPPPPPSPSSSHEVSPSAGSTATTPAAASSSPYCALLRAALFGGPATPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDEGDDGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVCGLKWSYDNRQLASGGNDNRLYVWNQYSVNPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >LPERR03G01680.1 pep chromosome:Lperr_V1.4:3:1177076:1184308:1 gene:LPERR03G01680 transcript:LPERR03G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQGGGGSLGTMEMGVGGFSGGGGECSSSSVTAAAAAAAAAAEAEERQLLKGEIAVHPMCEQLVAAHVGCLRVATPIEHLPLIDAQLAQSSGLLHSYAAHHRAFLSPHDKHELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDDTPMLESPMDMGSDGGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >LPERR03G01690.1 pep chromosome:Lperr_V1.4:3:1182829:1186419:-1 gene:LPERR03G01690 transcript:LPERR03G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVYAPQPPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGSAYPPPGSYPPQGSYPPQGYPPQGYGKEQTCIVFQDSEVSTELSMLVET >LPERR03G01700.1 pep chromosome:Lperr_V1.4:3:1190446:1193784:1 gene:LPERR03G01700 transcript:LPERR03G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLLQLPLVHNERLWARPWRWAKTAFFLVSMLASLLLVCAPPLLVVLLDLLLPPALLSNSRNHPSAAASSLLDEARAFRFRSSLVDLPAVSAARSLLILCAYTACGGGAVYLWVAVACSVGSVCYVLAKAAAMFAAGAGGDGLGMQGKGQVVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKSLKQQFAV >LPERR03G01710.1 pep chromosome:Lperr_V1.4:3:1200475:1206280:1 gene:LPERR03G01710 transcript:LPERR03G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADGATASSVTTLMPTMVDDTAPELYGLQSGIELLGMIPGATTKLADAANDGGAATMRFVAEHHHHHNHHNRLSQAPLSLSLSQIAGAARQHPHLAPTAGAAPWMQHDAPPTPHGVAWQLRGSRFLVPAQQVLQEFCSLPVDTTKRTKPPTQQEDGSSASAQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRSVSGGFEAVAGERAAAAYTAVAARTISRHFRSLRDGVVSQLQAVRKALGEKDVRIAGMTRGETPRLKVIDQCVRHHKSLGGAGGMDGHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDGQDAGNGSTVSGGQGISPNTKQAAVGDGDGDGEQKPTTAQLRHHDATGSLASVVNVDVVARDNFGIMGHLDFDAYGDNQHNQHAAFVGGGGGGVSLTLGLQHHGSRGVGDGGGVNIAFGAPASAAAHGGAGFVYPGEQMVDVVQFMDGGGGGGDASHGGQEQRYRSLSAGFHLLRDLAG >LPERR03G01720.1 pep chromosome:Lperr_V1.4:3:1207202:1208386:1 gene:LPERR03G01720 transcript:LPERR03G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLLPLHSPSSARPLRDRPHRIPILLRRLVPAGQPCQCRRCTSHGHREAVDGVDYVGVRGCGDARRAVAAGDGDADAHVPQLVPARRLHRVRVQHAPRGATADYMRQARLDRRRNTTYERRRVAPVRCGWRIPDPGRRAARPRRRAQET >LPERR03G01720.2 pep chromosome:Lperr_V1.4:3:1207202:1208495:1 gene:LPERR03G01720 transcript:LPERR03G01720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLLPLHSPSSARPLRDRPHRIPILLRRLVPAGQPCQCRRCTSHGHREAVDGVDYVGVRGCGDARRAVAAGDGDADAHVPQLVPARRLHRVRVQHAPRGATADYMRQARLDRRRNTTYERRRVAPVRCGWRIPDPGRRAARPRRRAQET >LPERR03G01730.1 pep chromosome:Lperr_V1.4:3:1210237:1212795:1 gene:LPERR03G01730 transcript:LPERR03G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLLPILLLLHSTAIAAFSPSFSYFLACGSPSNVTIPSDSRTFVPDAPFLSSPAAAAATITNSTSNKASSPLYAAARASAGSSRFTYRFADTDSASTPNAVRVLRLHFFPLAVNLTSARFSVSVRDDAYTVLTSFSPPTAGVVKEYFVPASTSGEFVITFTPDAGSTAFVSAIELFPAPPELLWKFSVTPVGAMDSDVISLWQQEALETVYRLNVGGSKVTSASDTLWRTWIPDDPFLFNGNGLWVLNSTTARIVYDGRFTRDVAPDSVYSTQRGMNMTGAITNAVPGPFNLTWTFRLPAPDQSGSDDYLVRLHWCVYTAPSSVADSDAVFNVYVAQGIGTRNVLSKHQATQPNEAFYLDYAATAPTDGNLTVTIGTTTNRQSEGGILNGLEIMKLRRRVNSSSPGSRKKKILIVTLSTALGVAVLACVMLCFYAVLRRRRQPLPRPAPEQEKESTQLPWSQHTTQDGSSWVNDTSNMMTTSGSRALHRMSMQLNISLAEIRDATDGFHERNLIGVGGFGNVYRGALRDGTLVAVKRANRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEHSEMILVYEYMEKGTLRSHLYGSDEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDGYIAKVADFGLSRIGPSFGQTHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLDRDQINLAEWAVNLQQKGELGKITDPRIAGQVNDNSLRKFAETAEKCLADYGMERPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSNSFLMDDVDESLTDTGIVNSKAFSQLSSGDGR >LPERR03G01740.1 pep chromosome:Lperr_V1.4:3:1214664:1216085:1 gene:LPERR03G01740 transcript:LPERR03G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSTALAVPILLCLLASTATAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPEPTLPSAASDAATRPSPLHAAARVFSCRASYDLAVRRRGYHILRLHFYPFEPALAAARFHVGAAGFLLLHNFSASAPVVKEFILPVQSDVLVLTFVPDSGSNAFVNAIELVSAPEELVGDIGTLVSSGGTKQTEGLSSQVYETLYRINVAGRKVTPFNDTLWRTWVNDGRFLVNTESSNSMVWSFGGRIAYPKDSRLMSREVAPDNVYNSARSVSSQGNVTWGFPVPAASRYLVRMHFCDIVSKALNELYFDIYVNGHLAVKDFDISGATRFLAYPYYIDFVVDVEDEGALKLAIGGSKLSRSDEVSGILNAVEIMRMNKTSAGIDGDFAVALDMENVASKGIDEFARSLLCGFIFAGLLLILLMLVVRLRSELRNNGTAWSWQPMDSGDGKLARAYQLVSTKTDY >LPERR03G01750.1 pep chromosome:Lperr_V1.4:3:1222429:1224366:1 gene:LPERR03G01750 transcript:LPERR03G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLQHLGDSFSSYRWLKHASGKTPSFKRLVDVDGDVGGGGSSRYFIDMDPADLFSMRWTAPDGSDLNFDFDLPAGVGDGDDDDSTIFPLLVSASKIFHDGRLLPHEMMITDDDGDVRRRVSGSTSPVFHSAQSTPASLSSSSSARSKQNAAATPTPLLAAGKSSSSSSPWKILLRYLRFLMPLYRKVRALPTTATRKRRVSPAASSAAVSARASTSSIDWCHGIADTAVHDAILYCKKSSILKASY >LPERR03G01760.1 pep chromosome:Lperr_V1.4:3:1234022:1239158:1 gene:LPERR03G01760 transcript:LPERR03G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTTLHTAAAICVAVALVFCVCGIGAGASSPAARAGCRKHVAKITEYGGVGDGKRSNTAAFAKAVADLSKHAGDGGAALIVPKGRWLTGPFNLTSHFTLFLDHGAEILASQKLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSDNIIISNVTFVDSPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDQYGIKFNMPSQHILISRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAINTESAVRIKSGVGRGGFVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPTALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICISNVTAQLAPDAKKLQWNCTDVKGVTSDVSPKPCPELGAAAGKPCAFPEEELVTGPPELPKCSY >LPERR03G01770.1 pep chromosome:Lperr_V1.4:3:1237903:1239094:-1 gene:LPERR03G01770 transcript:LPERR03G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSPSPFPVASTTRNRCPLLRSTLTPRRALRVVVAAAPADAPPKVAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLANITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSIGLRDQGVFPEIPYEVGGKKNGMDVCIITTAKTDNEALRLLTLLGMPFAETVKSGVLIRKKRLKRHHFMSKGRGRR >LPERR03G01780.1 pep chromosome:Lperr_V1.4:3:1241096:1243188:-1 gene:LPERR03G01780 transcript:LPERR03G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGITSMAAAAVYYRFAWQMEGGGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFTRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGSEELEKEIKKRIKRKKTLDAIQ >LPERR03G01780.2 pep chromosome:Lperr_V1.4:3:1241098:1243085:-1 gene:LPERR03G01780 transcript:LPERR03G01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLMAAPSPLAAATKRSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFTRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGSEELEKEIKKRIKRKKTLDAIQ >LPERR03G01780.3 pep chromosome:Lperr_V1.4:3:1241096:1243188:-1 gene:LPERR03G01780 transcript:LPERR03G01780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFTSMAAAAVYYRFASQMDGGGDARSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFTRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGSEELEKEIKKRIKRKKTLDAIQ >LPERR03G01780.4 pep chromosome:Lperr_V1.4:3:1241096:1243188:-1 gene:LPERR03G01780 transcript:LPERR03G01780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFTSMAAAAVYYRFASQMDGGGDAVPGEEEVGAADVPGGGGDVQPRHHVNGRRRRLLPLRLANGDFPSHQGGGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFTRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGSEELEKEIKKRIKRKKTLDAIQ >LPERR03G01790.1 pep chromosome:Lperr_V1.4:3:1248044:1255556:1 gene:LPERR03G01790 transcript:LPERR03G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPTCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSANPAITAEVEDDVSNSPDSTPKKAKPANDEVTKVTRPQGRYKKRERGKSVSSYSAKDLEGILVRKSDDNCKVDQEAEPTCCDEPDPAICQNAVSQADDVNWWGHKFGFKSGGFLGAKSHKNKSSAAKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKSQPMKVAGQRWKGNKTSFGVSDDENSAQSNELSELEEDGDEEESANDAESIDMKMNTGKEACVDVKHKPKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSNSMFSNFSCRREALMFLKRKHELIVFELEQSSVELDAATCNPVVLVAVLTFAISFCSSKEAENSILMAKGCSLYLKLRSRRGVAEAIVVSSDDYTLVQSAHYALQYRCANGRTGKQWKQLLPDLRTRFADQCDICECFTSAPFDAIDITREAIKDGADAVIAVGGDGTLHEVVNGFFSKGSPVHALDLGPDHITTLGLIPLGTGSDFARTFNWTNDPHEAIDRIVRGVKSKLDIGMMKGPDGNPHYFVNVADIHLSAKAGYFSSMYKRFGNLCYVFGALRAFWGHSNRDLRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGDIEVVILQDFKWYDFLLKLHRLYGGTHLSVSGVSSIRVQSIEVAEKELTADIFVQSDGEHFGFLPTKFSVLPGAVDFFC >LPERR03G01800.1 pep chromosome:Lperr_V1.4:3:1258292:1263769:1 gene:LPERR03G01800 transcript:LPERR03G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLLAEAPPSSQQHQPHSSRHQQGAPSSVWSAGYLNGWLSRRTPVFGLRLWVLIGIAVGAAIVLVLLLVFICLSRRRRRRDDLAASNLYPAAADTKLLKHHLQQQPTPSKDIQEIVRRQQNQQTPSPAAAQHGVQLAAKAETPPPPPPVMAAGSTRTTAASGMSATTSGGSERDAATPRSTASGMSGGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLAEKPSPKALKRALLVALRCVDPDGHKRPKMGHDKKPGRDAHQTSDRYTSREGGNHSKRENQRYR >LPERR03G01800.2 pep chromosome:Lperr_V1.4:3:1258292:1262793:1 gene:LPERR03G01800 transcript:LPERR03G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLLAEAPPSSQQHQPHSSRHQQGAPSSVWSAGYLNGWLSRRTPVFGLRLWVLIGIAVGAAIVLVLLLVFICLSRRRRRRDDLAASNLYPAAADTKLLKHHLQQQPTPSKDIQEIVRRQQNQQTPSPAAAQHGVQLAAKAETPPPPPPVMAAGSTRTTAASGMSATTSGGSERDAATPRSTASGMSGGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLAEKPSPKALKRALLVALRCVDPDGHKRPKMGHDKKPGRDAHQTSDRYTSREGGNHSKRENQRYR >LPERR03G01810.1 pep chromosome:Lperr_V1.4:3:1265795:1268666:-1 gene:LPERR03G01810 transcript:LPERR03G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLGGWPSYNPQNFSQVVPADPSAQPLNVIPATYIATHRTDPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPVADGGGQASGRS >LPERR03G01810.2 pep chromosome:Lperr_V1.4:3:1265795:1268666:-1 gene:LPERR03G01810 transcript:LPERR03G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLGGWPSYNPQNFSQVVPADPSAQPLRLTSQHTGQIHLPVKESFKLTVGTWNLPIENDIDLRPKRAAPDNLTPQNNGKQPRGPVADGGGQASGRS >LPERR03G01820.1 pep chromosome:Lperr_V1.4:3:1275227:1280668:1 gene:LPERR03G01820 transcript:LPERR03G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHAVCCGAMFWIYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLSKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIIPQAICTRYGLSVGAKAAPVVRILLIVFYPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGSPSNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVDADLPLYDILNEFQKGHSHMAVVVRRIKEAGASIEKSNSDRSDYKIHSDRSDYRINLKDAHSGGLSPSRVSIAGSRRTNIEKNGDVRLYKKSEKKRDNILDFNSGPLPSYSLDEVAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPAISPNSGHQSQGLRRTPLASPLSPYHHGGSNLRSPASNHPQSPGALPTINSPEKSPAHDSPNSSQKFRAALKVHHP >LPERR03G01820.2 pep chromosome:Lperr_V1.4:3:1275227:1280668:1 gene:LPERR03G01820 transcript:LPERR03G01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHAVCCGAMFWIYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLSKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIIPQAICTRYGLSVGAKAAPVVRILLIVFYPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNETAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGSPSNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVDADLPLYDILNEFQKGHSHMAVVVRRIKEAGASIEKSNSDRSDYKIHSDRSDYRINLKDAHSGGLSPSRVSIAGSRRTNIEKNGDVRLYKKSEKKRDNILDFNSGPLPSYSLDEVAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPAISPNSGHQSQGLRRTPLASPLSPYHHGGSNLRSPASNHPQSPGALPTINSPEKSPAHDSPNSSQKFRAALKVHHP >LPERR03G01830.1 pep chromosome:Lperr_V1.4:3:1281384:1284719:-1 gene:LPERR03G01830 transcript:LPERR03G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLCCRRRFLSRVLPAGISHVLPACSSKQVEGIPGPRGRGGILPSMERSFNTEPLREFSLERLKLGEGDTDTFMRKVSSGIDNANTLFDIHRLQEIFENEIRPLLAPRFHIFRKEPLYLSSLDVAVQKVLLSEEDWLVFLEYIFKHNICVVNDWARSYGMVEKLYYSRGPESIGDSFKGESDASFNKSDKTAKLSYVIHEEGMFTWGEVFHGVSCNNITEAEVLAAIALQQKLIELKLTRGTVWTDNKECCKVMTGEKNIQTNDPNRGMFLYLRSLRAQFDSIVPVWNPRQLLFLADSLLRVADTPLISHPDIVKAISNLVPYLTGTPCFKVTPTVKISRLLGVENKEEEEEESTKSLVPSSPDSTSTYWLKAGDEAAQLSAFRGVLKVLNPQNLEVMVDLEGKSSSFQEQLKDVCQNFYASWERIGSVATLKFEKLVCDPISASRLDIGNRKTLAIVFDPHIFLDQHLDLEGVCSIVFARQDQIPHLVNAGVEEINAETFFNYHGVELKLEKKSEAVEHKSKEGEEGKISAGGVAVRGGVG >LPERR03G01830.2 pep chromosome:Lperr_V1.4:3:1281382:1283984:-1 gene:LPERR03G01830 transcript:LPERR03G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFNTEPLREFSLERLKLGEGDTDTFMRKVSSGIDNANTLFDIHRLQEIFENEIRPLLAPRFHIFRKEPLYLSSLDVAVQKVLLSEEDWLVFLEYIFKHNICVVNDWARSYGMVEKLYYSRGPESIGDSFKGESDASFNKSDKTAKLSYVIHEEGMFTWGEVFHGVSCNNITEAEVLAAIALQQKLIELKLTRGTVWTDNKECCKVMTGEKNIQTNDPNRGMFLYLRSLRAQFDSIVPVWNPRQLLFLADSLLRVADTPLISHPDIVKAISNLVPYLTGTPCFKVTPTVKISRLLGVENKEEEEEESTKSLVPSSPDSTSTYWLKAGDEAAQLSAFRGVLKVLNPQNLEVMVDLEGKSSSFQEQLKDVCQNFYASWERIGSVATLKFEKLVCDPISASRLDIGNRKTLAIVFDPHIFLDQHLDLEGVCSIVFARQDQIPHLVNAGVEEINAETFFNYHGVELKLEKKSEAVEHKSKEGEEGKISAGGVAVRGGVG >LPERR03G01840.1 pep chromosome:Lperr_V1.4:3:1285559:1285741:1 gene:LPERR03G01840 transcript:LPERR03G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATDGLVMGYSDNAWGGLAAIGNCLGDLCICGGIPAFTFLDSLHPVYVLAAASNDRQI >LPERR03G01850.1 pep chromosome:Lperr_V1.4:3:1289874:1291174:1 gene:LPERR03G01850 transcript:LPERR03G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYQNRPAAALAARGRKRPPPVSSAACRSTRQRRTPAGADSSSSSWASLHEDLVELIARRVLANDPVDYIRFRAVCAQWRSTTSSPRGRGIVDERFHPRRWMMLPEGHGLYPGHGKLRGFVRFFSLSTGAFVRVHLPLFRDHCVLDSVQGLLLLQRDHDSAIRFLHPFTGDILDFPPLETLLSPLPFQGKLYMVHHYITCGEPEILQIDPPQQVEEGTEPWLPPPRLIAKLPASKPDTGLSYHLVECDLKILAITITAIGGVMRSINQLACDLAEEAVVWRLSGARF >LPERR03G01860.1 pep chromosome:Lperr_V1.4:3:1291237:1294071:1 gene:LPERR03G01860 transcript:LPERR03G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTVPVTLVAMPSSLRNLCVRSKAFPTIVGDTIVFIHINELYLAQYHLKSGALLPTSDGSIIGHAIPSPCSIIYHIHTCCYPQQWNKGCIRYQGNNKKWRVKRKWRHGA >LPERR03G01860.2 pep chromosome:Lperr_V1.4:3:1291237:1291778:1 gene:LPERR03G01860 transcript:LPERR03G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTVPVTLVAMPSSLRNLCVRSKAFPTIVGDTIVFIHINELYLAQYHLKSGALLPTSDGSIIGHAIPSPCSIIYHIHTCCYPQQWNKGCIRYQGNNKKWRVKRKWRHGVSLALWCNVSVSVYAFLASTFTDYSPKPHHS >LPERR03G01870.1 pep chromosome:Lperr_V1.4:3:1313448:1316725:1 gene:LPERR03G01870 transcript:LPERR03G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASLESQRQSPATAAGMWVPGMSPDAVTGGGSARAQEIARRREEMLGMLRDLPESEYELSLTDLVEKAATAGGGGGVAPNSPSPSEGKETARTASGRQQQAAAGRPERRGSARRWDSGGGGGSFRSSSDGVLLNFYMPRSFTRSFTAPRSGPGRPPSVSGGRSAASVCSDHKRERDGETVRCWSLLWDRRWRKSSRGEREHSADDSTIRAASAAILKAAKHKDSSSPKKI >LPERR03G01880.1 pep chromosome:Lperr_V1.4:3:1315633:1320185:-1 gene:LPERR03G01880 transcript:LPERR03G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLSSSTSNPLAPAAHLPLSARLPHARPPRPSRLPPALRVAVHPPAAPVSDAAAASRIASFDKVLEALIGGDHFTEEEAEATLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLARAMLSCCVGVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQKGSIADALVLNAAASLLVSGKVSSLHDGVALAQETQRSGKAINTLESWIKISNSCKD >LPERR03G01890.1 pep chromosome:Lperr_V1.4:3:1322212:1327001:-1 gene:LPERR03G01890 transcript:LPERR03G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAASGGGGQQQQQPLVVSLNCLDDPSLEQEGLAGVAGVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAALAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRQASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRYTANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEYDQLDEKASSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASGRSQHIVSRSEGRRSRSGKKGKKRPARRRSQQKTDELSAIESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSEKKVPAVLRRKYPDTLKDGFVVALRAKDDSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLVNCRNSSAVLDVCIEILAAASEEDGVTRWLD >LPERR03G01900.1 pep chromosome:Lperr_V1.4:3:1329374:1332473:-1 gene:LPERR03G01900 transcript:LPERR03G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSPLIFSPPHLLHRNRRREANPQPRSCTRAYLLLRRRVVSDRRLYCSPGGEVSAAPSPAAEQQEEAAKAEEEYTLLAVTGSDFNEVIMIIDSPATRYLLLDTNRNVHSVLPKTGVWTNSYWDEFASLPTVVPRGPIALLGLGAGTAAHLMLKFYPWIQLVGWEIDPKIIELSRDYFGLPDLEKTTESGGSLSVHIGDALSPSATIEGGFAGIVVDLFADGKIIPQLEEVETWLQIAKKLMPDGRIIVNCGGADAAVSLADDTGPSSWVQNPTIKALCAAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSELSTKVKQWVPCELA >LPERR03G01910.1 pep chromosome:Lperr_V1.4:3:1339519:1342080:1 gene:LPERR03G01910 transcript:LPERR03G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSCSGTATPMSSGGDSAEADGDKLRAWRAADGGGDRRAAAGKRLRRARRAAAGAVTLRRPTAEPAVGDGKVLGATDQQEGAAKVEEEYTLLAITGSDFNEFIIIIDSPATRCLLLDTNRTYYEKTTESGGSLSVHIGDALSPSATIEGGFSDGRIMVNCGGADAAVSLADDAGPLSWVQNPTIKALCAAFPGQRVAVIIPRSEMMIDDTAMSGRDQVLSSSSRFAS >LPERR03G01910.2 pep chromosome:Lperr_V1.4:3:1332117:1342080:1 gene:LPERR03G01910 transcript:LPERR03G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVGVEEEEYTLLAITGSDFNEFIIIIDSPATRCLLLDTNRTYYEKTTESGGSLSVHIGDALSPSATIEGGFSDGRIMVNCGGADAAVSLADDAGPLSWVQNPTIKALCAAFPGQRVAVIIPRSEMMIDDTAMSGRDQVLSSSSRFAS >LPERR03G01910.3 pep chromosome:Lperr_V1.4:3:1332117:1335936:1 gene:LPERR03G01910 transcript:LPERR03G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVGVEEEERVLLLRLRRFLLLLGGWRWSGRDFAAGGAVQAPIGDHSPPEKEVGSGAGAGLGIGFAAAVAVEEDLVAQNARKAWEMAVMPPPKSRPMRLHHQNQR >LPERR03G01920.1 pep chromosome:Lperr_V1.4:3:1344267:1359183:-1 gene:LPERR03G01920 transcript:LPERR03G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPVRFMWNYGDIYDRGMYRDSFFFITTLVGFSDMVLEADAADEEWRGYGVDLDAKLKEYKATFKKNLKHNRRELDAKNVPVDQYEVKGRVKARRRTLLPADFEQRAGFPRPHEELMVIEYRRRQDQLEMI >LPERR03G01930.1 pep chromosome:Lperr_V1.4:3:1360531:1365438:1 gene:LPERR03G01930 transcript:LPERR03G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHIQPARPRNRRRAIPPAAVDDAPRGPRTLPGPWQAAGIRPLLQPLNRRLRPRPSPALQGSLRSRLRRRPPPAAARPRLRNPPPPPLHRRHPRLPAPGDPPKVAFATSGEQRWRVSTWSYNQFSSPLPFQGKLYMVHHYITCGEPEILQIDPPTPAGRRRRDRTLVATTKVDCQIASSQTRYRAFVPSGRM >LPERR03G01930.2 pep chromosome:Lperr_V1.4:3:1360433:1365438:1 gene:LPERR03G01930 transcript:LPERR03G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRYQNRRPAAALAACGRKRAPAVSLAASRSTRRRRTSAGADSSSSSWASLHEDLVELIARRVLASDPVDYIRFRAVCARWRSTTSSPRGRGIVDERFHRRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGDFVRVHLPLFRDHCVLDSVEGLLLLQRDHDSAIRLLHPFTGDILDFPPLETLLRSTHPPQQVEEEGTEPWLPPPRLIAKLPAAKPDTGLSYHLVECDSEILAITITSIGIPKKVSVYRLTDLMLGRTVPVTSIGGNALFIGKRNLCVRSKAFPTIVGDTIVFVHINELYLAQYHLKSGTLLPASDGSIIGHAIPSPRSIIYHIHTCCYRQEWNKGCIRYQGKSQKWRVKRKWRHGGIQEPSSGGREVPADVFHQELKQTNNSGMMFLIQAISKG >LPERR03G01930.3 pep chromosome:Lperr_V1.4:3:1360433:1364932:1 gene:LPERR03G01930 transcript:LPERR03G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRYQNRRPAAALAACGRKRAPAVSLAASRSTRRRRTSAGADSSSSSWASLHEDLVELIARRVLASDPVDYIRFRAVCARWRSTTSSPRGRGIVDERFHRRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGDFVRVHLPLFRDHCVLDSVEGLLLLQRDHDSAIRLLHPFTGDILDFPPLETLLRSTHPPQQVEEEGTEPWLPPPRLIAKLPAAKPDTGLSYHLVECDSEILAITITSIGIPKKVSVYRLTDLMLGRTVPVTSIGGNALFIGKRNLCVRSKAFPTIVGDTIVFVHINELYLAQYHLKSGTLLPASDGSIIGHAIPSPRSIIYHIHTCCYRQEWNKGCIRYQGKSQKWRVKRKWRHGGSPHQEGGKYQQMYFIRSSSKQTTVA >LPERR03G01930.4 pep chromosome:Lperr_V1.4:3:1360433:1362113:1 gene:LPERR03G01930 transcript:LPERR03G01930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRYQNRRPAAALAACGRKRAPAVSLAASRSTRRRRTSAGADSSSSSWASLHEDLVELIARRVLASDPVDYIRFRAVCARWRSTTSSPRGRGIVDERFHRRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGDFVRVHLPLFRDHCVLDSVEGLLLLQRDHDSAIRLLHPFTGDILDFPPLETLLRYISSCLTDDKWHYIRSIGAVSISVSANQAVSLMISTHPPQQVEEEGTEPWLPPPRLIAKLPAAKPDTGLSYHLVECDSEILAITITSIGIPKKVSVYRLTDLMLGRTVPVTSIGGNALFIGKRNLCVRSKAFPTIVGDTIVFVHINELYLAQYHLKSGTLLPASDGSIIGHAIPSPRSIIYHIHTCCYRQEWNKGCIRYQGKSQKWRVKRKWRHGGEIVHTPQSQTNRETVAFPLS >LPERR03G01930.5 pep chromosome:Lperr_V1.4:3:1360433:1365824:1 gene:LPERR03G01930 transcript:LPERR03G01930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRYQNRRPAAALAACGRKRAPAVSLAASRSTRRRRTSAGADSSSSSWASLHEDLVELIARRVLASDPVDYIRFRAVCARWRSTTSSPRGRGIVDERFHRRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGDFVRVHLPLFRDHCVLDSVEGLLLLQRDHDSAIRLLHPFTGDILDFPPLETLLRYISSCLTDDKWHYIRSIGAVSISVSANQAVSLMMWSSGMVQVAFATSGEQRWRVSTWSYNQFSSPLPFQGKLYMVHHYITCGEPEILQIDPPTPAGRRRRDRTLVATTKVDCQIASSQTRYRAFVPSECDSEILAITITSIGIPKKVSVYRLTDLMLGRTVPVTSIGGNALFIGKRNLCVRSKAFPTIVGDTIVFVHINELYLAQYHLKSGTLLPASDGSIIGHAIPSPRSIIYHIHTCCYRQEWNKGCIRYQGKSQKWRVKRKWRHGG >LPERR03G01940.1 pep chromosome:Lperr_V1.4:3:1371967:1373094:1 gene:LPERR03G01940 transcript:LPERR03G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKKIVVKLDLHDNKDKQKAMKVVSTLVGIDAVSIDMASRKMTVIGTVDPVTVVSKLRKASWPAYIESLGPAKEPEKKKEGGGDGKKDAAGDGKKEGGGDGKKEGGDGKKEGGDGKKEGDGEKKVAAAAAPMMPMPMMPRPMAMAMQQLPPPPYMFNAEYMNQYRPPPPPVSYPPPYAPPPQYYYAKEMSMEENPNSCAIC >LPERR03G01950.1 pep chromosome:Lperr_V1.4:3:1388611:1392396:-1 gene:LPERR03G01950 transcript:LPERR03G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGAGRRMTRVGHYEMGKTIGEGSFAKVKLACDTRTGDAFAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEFVDGGELFDKIVNSRRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFALHTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFEDENIMTLYKLISKAKFTCPQWFSSGAKRFIKRILDPNPHTRITIAEILEDDWFKKDYKPPLFEHGEDVSLDDVDAAFDGSEENLVAERKEKPESMNAFALIARSQGFNLGNLFEKETVGMVKRETSFTSQCTAQEIMSTIEKACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNVTLNDIIIPGLVHIDKFSGIQIVCRPL >LPERR03G01960.1 pep chromosome:Lperr_V1.4:3:1396279:1396650:-1 gene:LPERR03G01960 transcript:LPERR03G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQERKAAAVVAAGALDVEAAGGRGGQQQLAAGEGMRVTWSCMAVAAGVAATGVAGAAVLVWWAVVFHPAHEQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGNLRAVAGHDLSPPTPPQR >LPERR03G01970.1 pep chromosome:Lperr_V1.4:3:1399283:1401497:-1 gene:LPERR03G01970 transcript:LPERR03G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGFNAALAAISAKFFAPPLLKYSMVILFNMTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFVFEEPLPSKA >LPERR03G01970.2 pep chromosome:Lperr_V1.4:3:1400740:1401497:-1 gene:LPERR03G01970 transcript:LPERR03G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGFNAALAAISAKFFAPPLLKYSMVILFNMTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFVFEEPLPSKVI >LPERR03G01980.1 pep chromosome:Lperr_V1.4:3:1401739:1413662:1 gene:LPERR03G01980 transcript:LPERR03G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCNRQATAPQEQFNREVNWEEFRQAIAGEQPLMIM >LPERR03G01990.1 pep chromosome:Lperr_V1.4:3:1403301:1405791:-1 gene:LPERR03G01990 transcript:LPERR03G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGGDHMDAMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHERSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARNMMETWDSNYSNIMSATAAFVDPSVAAYAAAAQMRRPQQQQKNGRFKQEADAAAAAALLHYSSHLSELPQLESPSAAPPPQNPNQLITAADDDNNCRRKAKKARAASGDNVATDWRALDKFVASQLSPGETMPAAAAAAGVSSQMEDNHGEDDMAALLFLNSDERDEVDRWTGLLGSAGGDGDLGICVFDK >LPERR03G02000.1 pep chromosome:Lperr_V1.4:3:1425921:1428919:1 gene:LPERR03G02000 transcript:LPERR03G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGIGDALMQPQLMVSSSSLPMVASTFVVPEQAPAANKTRGAGLPPTPPPPTQVMAFAAQRGDVCMEDSAAPPRNKAHHHRRSSSDVPFGYQLLPPLKAEAAWGAHHVSGGAGAGAGDDLFNAYLNLEGLEGINSHDEGDNSRGSSIKTSGADSSENESEECADDTRGGSAGGGGIRFWGADAGVKRSATGEPLGRHARSLSMDSLMGKYNFASAAANGGGGLGPNRFSLEFGSGEFTPNEMRKIMADDKLAELALADPKRVKRVLANRQSAARSKERKMKYIAELEQKVQILQSEATNLSAQLTMMQRDSVGIATQNNELKFRLQAMDQQAQLRDALNEALTAEVQRLKLATAHLGDSCSSSSIAQQIQLNAQNRMFQLQQQQQATQIPFYQLQQSQQNGAAKNNESKE >LPERR03G02010.1 pep chromosome:Lperr_V1.4:3:1429329:1434153:-1 gene:LPERR03G02010 transcript:LPERR03G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDPSSQVLVTPKSAAKDEASCNAATPPKATVSPGEMRAVARKFADQPVQNPEDGVWAVLTAISKSARLRPEGMNILLSADEHFLGRAVQEPSFRISSQQISGKHCKIYRDTVLGELNRNEPVPVYLKDTSSNGTFINWTKIKKTSPPTKLNHGDIISFVSAPNDDNSYAFVYREVNAASCIENEINILKRKSEEICSESKRLKGLGIGSPDGPVSLDDVRRLGKSNAELREQLEEHVVTIETLRTQIKISEVQHEKELKELKEITSSSYLDQARSLQQTLEDKQKQIDSLSTSNTELHNSIKDLDERLSAYKQSRAEADEIIQSQKSNIHELEAQLTEERNLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEVYLRQQQEQKEVISKLQESEKETRLLVETLRSKLEDTRENLVTSERKARELEAQLQDEQLVSANNQKVAVVHMLRVLSTTCFICHSLNLCNVFSAFYPPLQWQKSDNLETQLRKVKKELENEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATGAANDARARVDYSKNTVEASGASTENTQASEQSSSDDDSKETEQQDDATRVEGGNTQECNSPEMPTERFRSDSHGGDLAATAPEPEPTDTEQVPETESQAGNIGYDQNLALQKFSDMGGDTMQLDDEVQPQENDEPALICKDGEQPQGNKEHSLTLKDGIGHFSEEKLEVDCSESKHEDTQTRTIRTADLLASEVAGSWAVETCPSVNGENESPRSLGEADHAGEQDESAGSAAAHTLVNSDGQAAGSQSNIDHVITKITSHHRVLSAMIEIVDPDFRKQLPGSGIGKNDVMSDAETEEGSEAADDTDSDGEVMVEDSVG >LPERR03G02020.1 pep chromosome:Lperr_V1.4:3:1440403:1445233:1 gene:LPERR03G02020 transcript:LPERR03G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRSPAAVLAAVLLLLLHWVVAGVDAATAAEKGILLEFKAAVTDPNGALASWTAGGDACDFAGVTCDASSRTVQRLRLHGVGIAGRITPSLASLPALESVSLFGNALTGGIPSSFAALAPTLHKLNLSRNSLSGEIPPFLGAFPWLRLLDLSYNAFSGEIPSSLFSPCLRLRYVSLAHNALTGPVPTGIANCSRLAGFDFSYNRLSGELPDQLCAPPEINYISVRSNSLSGDIAGKLSACRSIDLLDVGSNHFTGEPPFGLLGLVNITYFNVSSNAFDGGIPNIATCGSKFSYFDASGNRLTGPVPESVANCRSLRVLDLGVNALAGDIPASLGKLRSLSVLRLAGNAGVSGSIPPELGGTEMLVTLDLSGLALVGEIPGSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKMLDLHMNRLVGGIPVTLAQLTNIDLLDLSQNQLTGPIPSELGNLSNLTHFNVSYNGLSGIIPDTPVLQNFGPSAFMGNPLLCGRPLNNLCGENRRSKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLVSESTPMLASPGRSGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPQTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELAFPSLRYSDKSDVFSFGVVLLEIVTGRKPVDSPGVATAVVLRDYVRAILEDGTVSDCFDRSMRGFVEAELIQVLKLGLVCTSNTPSGRPTMAEVVQYLESVRTNS >LPERR03G02020.2 pep chromosome:Lperr_V1.4:3:1440403:1444453:1 gene:LPERR03G02020 transcript:LPERR03G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRSPAAVLAAVLLLLLHWVVAGVDAATAAEKGILLEFKAAVTDPNGALASWTAGGDACDFAGVTCDASSRTVQRLRLHGVGIAGRITPSLASLPALESVSLFGNALTGGIPSSFAALAPTLHKLNLSRNSLSGEIPPFLGAFPWLRLLDLSYNAFSGEIPSSLFSPCLRLRYVSLAHNALTGPVPTGIANCSRLAGFDFSYNRLSGELPDQLCAPPEINYISVRSNSLSGDIAGKLSACRSIDLLDVGSNHFTGEPPFGLLGLVNITYFNVSSNAFDGGIPNIATCGSKFSYFDASGNRLTGPVPESVANCRSLRVLDLGVNALAGDIPASLGKLRSLSVLRLAGNAGVSGSIPPELGGTEMLVTLDLSGLALVGEIPGSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKMLDLHMNRLVGGIPVTLAQLTNIDLLDLSQNQLTGPIPSELGNLSNLTHFNVSYNGLSGIIPDTPVLQNFGPSAFMGNPLLCGRPLNNLCGENRRSKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLVSESTPMLASPGRSGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPQTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELAFPSLRYSDKSDVFSFGVVLLEIVTGRKPVDSPGVATAVVLRDYVRAILEDGTVSDCFDRSMRGFVEAELIQVLKLGLVCTSNTPSGRPTMAEVVQYLESVRTNS >LPERR03G02030.1 pep chromosome:Lperr_V1.4:3:1446318:1453353:-1 gene:LPERR03G02030 transcript:LPERR03G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLAVVLVVVLQLGAAAARPDKEMREKFYGKLVTNGTSNASGDGSIAEMFGRVLDKEFSDSDTPDAPDKNSFNNSISDHQAVLETVAVITHDKKKNDSQQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGMMSMAKLLLVLSIFIAVAYMLTWSFIPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKGIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPLESSMQNEDKATMLEAYNRSL >LPERR03G02040.1 pep chromosome:Lperr_V1.4:3:1456145:1457353:1 gene:LPERR03G02040 transcript:LPERR03G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAIVVFLACFAISSAIQGVNITAMLNGYPDYKQFNKYLSETKVCDEINAREAITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRNLPDADTLTDTLYQAGGDAAGKMGNVKISLLDTGKIAFSSANPGGKYEATVVKTIKQMPYKLAIMEISAPIEFDGLFDTPSANNLTRILEKAGCKRFASLIATTGDVMKTYESAMEKGLTVFAPNDDAFDAKGAPDLGKMSKGDLATLLKFHALPSYNPKPSLKTVPHARALRTLASTASGKYNVTVDSQGDSVTINTGVDKSRVAATVIDDTPVCVLTVDSLLMPVELFGDAPAAAPSPDDAAPSPSPSSVADASPPAPPPADAPSKPADHKEVKASSAVVSRVSVLAAFAAAACFVVLI >LPERR03G02050.1 pep chromosome:Lperr_V1.4:3:1460177:1476925:-1 gene:LPERR03G02050 transcript:LPERR03G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGSRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENAPTLTGRAHKSDAREIQSFYQHYYKKYIQALQNASDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKSYEHKTDNVSNQREHLILLLANVHIRRNPKTDPQSKLDDNALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCQYSYSPDQIYESTITEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWRARRTMEFAVKLRYVLKFTLASLWVVLLPVTYAYTWENPTGIIRAIKSWFGNGQNHPSLFVLAVVIYLSPNILVAVLFALPFLRRILESSDYKFVRFIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLVEPTKDIMKFPIHTFKWHEFFPKEKGNIGVVIALWAPVILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPIAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTEKEKIAARFAQMWNEIVTSFREEDLINNREKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLDNDYYFKCAIEECYASFKNIINDLVQGVPEKRVINNIFAEVEKYISEDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLPSILESNHGGSHQRPEGMMTWDREYQLFQPAGAIKFPLQITEAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSNKELEEENEDGVSTLFYLQKIYPDEWKNFQERIGWDEELKENEGMKEDLRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKHEDLMEGYKAVESVSDEQLKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALANAQDILQLMRTYPSLRVAYIDQVEDRVGEKKMESAYYSTLVKVALTKDSESADPIQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITASKSILVYLISWLVILMVLLVMKIAQACKPVARRTGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSGNKD >LPERR03G02050.2 pep chromosome:Lperr_V1.4:3:1460177:1476925:-1 gene:LPERR03G02050 transcript:LPERR03G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGSRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENAPTLTGRAHKSDAREIQSFYQHYYKKYIQALQNASDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKSYEHKTDNVSNQREHLILLLANVHIRRNPKTDPQSKLDDNALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCQYSYSPDQIYESTITEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWRARRTMEFAVKLRYVLKFTLASLWVVLLPVTYAYTWENPTGIIRAIKSWFGNGQNHPSLFVLAVVIYLSPNILVAVLFALPFLRRILESSDYKFVRFIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLVEPTKDIMKFPIHTFKWHEFFPKEKGNIGVVIALWAPVILVYFMDTQIWYTIFSTLLGGIYGAFQRLGERKHTEKEKIAARFAQMWNEIVTSFREEDLINNREKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLDNDYYFKCAIEECYASFKNIINDLVQGVPEKRVINNIFAEVEKYISEDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLPSILESNHGGSHQRPEGMMTWDREYQLFQPAGAIKFPLQITEAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSNKELEEENEDGVSTLFYLQKIYPDEWKNFQERIGWDEELKENEGMKEDLRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKHEDLMEGYKAVESVSDEQLKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALANAQDILQLMRTYPSLRVAYIDQVEDRVGEKKMESAYYSTLVKVALTKDSESADPIQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITASKSILVYLISWLVILMVLLVMKIAQACKPVARRTGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSGNKD >LPERR03G02060.1 pep chromosome:Lperr_V1.4:3:1493747:1499625:-1 gene:LPERR03G02060 transcript:LPERR03G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRGWRREETARRRTDVDGDGDGDLFPSDVRALRCLLAGFQNSQFQCPTHCKDGGIRAHYYHPTVSGSSP >LPERR03G02070.1 pep chromosome:Lperr_V1.4:3:1501708:1502303:-1 gene:LPERR03G02070 transcript:LPERR03G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPQSSQGGGGKVSVQHVAKASSDELLRKFADPDAHSKQITPPRRSLALRRKRSSRRVASGLSAREYHESSSGVELAAPKRRRSIGGSTEWRAGLLLPTTTASARKGGGGGSHSRRGGAARLDDAAGIGILLAALERTWRKTVAGASKMFVERHRTNHVMLISDMV >LPERR03G02080.1 pep chromosome:Lperr_V1.4:3:1503596:1504757:-1 gene:LPERR03G02080 transcript:LPERR03G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLHLASTLPLLRTLRTPPRGLPHAVSAVPHRAVRAVHRGLRLADPAARKFLCPENSIKQAQFASEIDSTRARAKQNSSSDENSSSPDGPPVLTILAGIIVFLLVFWVLGSLITWIAGLVFGAAKS >LPERR03G02090.1 pep chromosome:Lperr_V1.4:3:1505772:1508562:-1 gene:LPERR03G02090 transcript:LPERR03G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQAEYINLDERYAIQGEKYQGQQYSHIYYTRLHHMRNLLHALVPDWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSVLDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGSIPPAAYVTGVVVAVHGKETSAGNFLVEDVLEAGLPPQTPLPSISNIFPILVRSFSISTICLLEDEDKYVVFVSGLSVGSDKFNPLQFQLLIDHITGHLGDENEQKIASNIVRVVVAGNSVHISPRFFNGQFIVPVLSSDLIFQTVPSKDQSRIAEPIKELDIMLTQLVASLPVDMMPGPNDPANFLLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFSERTLRWRHLAPTAPNSLGCYPYTDKDPFLIESCPHIYFIGNQDKYETRLLQGAEKQNVRLICIPRFCDTGVAVMSSSRL >LPERR03G02100.1 pep chromosome:Lperr_V1.4:3:1516217:1526457:1 gene:LPERR03G02100 transcript:LPERR03G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPRESAVYADGYVQESYLDLKKFDNTWHEVNNFKPTAAGILRRGLDPTSISVLGRKTPDLREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRELFKAVDTKNRGVITFGELREGLRRLGAEFKDAEINDIMEAAHKDNNITINYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNSGLGWQTMESSLNVALRDAPQRTHLDVRALPSPRTQPTSHTNSSSPFSLNKLHSCFGSVTIPSSSSLINLSPGSSVLAGEPRGGGGVTAPFPDHFGHGEPLPGGKRGLADGASTKLLPVPVLAVPLLGEHLDPTQPRLLPQRHRLHEPDSDTIAVAGSHDAVFHLDDGRGAPGPGGDVGRRPTVREGRGGRGVALVRGVDRGGVGLAADGGEETDEAWRSHGDDGSASVGHCVCQSCTATPHSLYASGTTFSGSSTGSPTEVNSAPYPSHSPDTRAANSSQLSGPCAVGAAVATVVGDDVAAPARGLVKLLLWPSPPSACGCGGGDLNVRIARWVARRMGWIVGRRRWVERRCIIVARAQLKPSDKRLCVGRAPRGGSEDGVAWGDMWAWFYDPEVVVTVDRATTAAKPNQSTYARARLQYACLVATLSGRQFRRALGDGRAASRKGDHGYGLTINAMLVAIVASIGNLLQGWDNAAIAGMTSNAIGKRPLLSFAGILYFTSGLIMWQASNKYMLVMARFIYGLGLGLVVTYAPLYISETAPTNMRGLLNTLPQFSGSLGMLLSYIMENNIVESNLNPIPSLIDPIVTLFDSMHDNMSETTQCSNSENVLSNGEQFKINLDLDLERQEEYDNHYEDDIDHPLLLHQTSDVEGMEGNTIEEEFDVNGG >LPERR03G02100.2 pep chromosome:Lperr_V1.4:3:1516217:1522249:1 gene:LPERR03G02100 transcript:LPERR03G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPRESAVYADGYVQESYLDLKKFDNTWHEVNNFKPTAAGILRRGLDPTSISVLGRKTPDLREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRELFKAVDTKNRGVITFGELREGLRRLGAEFKDAEINDIMEAAHKDNNITINYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNSGLGWQTMESSLNVALRDAPQVH >LPERR03G02100.3 pep chromosome:Lperr_V1.4:3:1516777:1522249:1 gene:LPERR03G02100 transcript:LPERR03G02100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPRESAVYADGYVQESYLDLKKFDNTWHEVNNFKPTAAGILRRGLDPTSISVLGRKTPDLREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRELFKAVDTKNRGVITFGELREGLRRLGAEFKDAEINDIMEAAHKDNNITINYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNSGLGWQTMESSLNVALRDAPQVH >LPERR03G02100.4 pep chromosome:Lperr_V1.4:3:1516217:1522802:1 gene:LPERR03G02100 transcript:LPERR03G02100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPRESAVYADGYVQESYLDLKKFDNTWHEVNNFKPTAAGILRRGLDPTSISVLGRKTPDLREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRELFKAVDTKNRGVITFGELREGLRRLGAEFKDAEINDIMEAAHKDNNITINYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNSGLGWQTMESSLNVALRDAPQVH >LPERR03G02100.5 pep chromosome:Lperr_V1.4:3:1515931:1522802:1 gene:LPERR03G02100 transcript:LPERR03G02100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPRESAVYADGYVQESYLDLKKFDNTWHEVNNFKPTAAGILRRGLDPTSISVLGRKTPDLREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRELFKAVDTKNRGVITFGELREGLRRLGAEFKDAEINDIMEAAHKDNNITINYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNSGLGWQTMESSLNVALRDAPQVH >LPERR03G02110.1 pep chromosome:Lperr_V1.4:3:1521364:1522696:-1 gene:LPERR03G02110 transcript:LPERR03G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSTQRLLPTIHPIRRATHRAILTFKSPPPQPHALGGLGHNNNFTNPRAGAATSSPTTVATAAPTAQGPDSWEEFAARVSGEWDGYGAEFTSVGEPVELPENVVPEAYREWGVAVHDWQTQCPTLADPSSPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYGGSSSYVAAWPRGPAPVVEVEHCVVRPGDGDRVRVRLVQTVALGKEARLRGVKVFSEQWYGEYRNGEQLGGCAVREAAFAAGERLSVSEVVGEWRRDAASAARFSGELDPETGKFVGLTTEEPGERLIRDEDDGIVTLPKQLWSLFKENGDDEFVCEVGWVLGDGSALTSRCVLSRDGDEIIVAQESRVSEGT >LPERR03G02120.1 pep chromosome:Lperr_V1.4:3:1528809:1533097:1 gene:LPERR03G02120 transcript:LPERR03G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENTQVSAIGAAAAHAICFAGIAAAHYFSGRGALVSDPVHTLRLLVAFEAPLVIAVFSLLRRDPKRCSAAARGLLGFPMGAFLNAFGAIVLGAPVGINYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGSVAGHLIGMTISLALVVTHKRRGRPKAD >LPERR03G02120.2 pep chromosome:Lperr_V1.4:3:1528809:1532261:1 gene:LPERR03G02120 transcript:LPERR03G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENTQVSAIGAAAAHAICFAGIAAAHYFSGRGALVSDPVHTLRLLVAFEAPLVIAVFSLLRRDPKRCSAAARGLLGFPMGAFLNAFGAIVLGAPVGINYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGSVAGHLIGMTISLALKPVPHHRCALKEKSESAMSTWIADDDAALLLSGSIRWKACG >LPERR03G02120.3 pep chromosome:Lperr_V1.4:3:1528809:1533970:1 gene:LPERR03G02120 transcript:LPERR03G02120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENTQVSAIGAAAAHAICFAGIAAAHYFSGRGALVSDPVHTLRLLVAFEAPLVIAVFSLLRRDPKRCSAAARGLLGFPMGAFLNAFGAIVLGAPVGINYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGSVAGHLIGMTISLALVVTHKRRGRPKAD >LPERR03G02130.1 pep chromosome:Lperr_V1.4:3:1532148:1535477:-1 gene:LPERR03G02130 transcript:LPERR03G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRELPETPTWAVAVVCAVMVLVSVAIEHGLHKLGHWFHKREKKAMVEALEKIKAELMLLGFISLLLTVAQTPISKICIPKSAADIMLPCKAGKEGIKEKEKDSRRRLLWFPGEEESHRRSLAGATGEDYCGKSGKVALMSSGAMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWESETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSPNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLFILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFSRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKTCFHENMGLSIMKVIVGLFTQCLCSYSTFPLYALVTQMGSHMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFMAQMSGDTTPSRGASPPPSLGSSPVHLLHKNRGRSDDPPSAPTSPEFAGDMYAVPVAPVVQPHAFHRMDPDRRRAASSSAIQVDIADSDFSFSAQR >LPERR03G02140.1 pep chromosome:Lperr_V1.4:3:1538915:1547281:-1 gene:LPERR03G02140 transcript:LPERR03G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTKAGRVQKVVREHYLRDDIPCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKATEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYNEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDEEDDVHLAPNNADDAPRKANPTQSTVTSSADSVSSRPVGLVVGVIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHGSNKYAKCNFDLQWLWHIRSISIYTDIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALDIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTHIYFKTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRITKPGTSISYAVLQTVMIHMEVVEPQPHRPKLQLTLI >LPERR03G02140.2 pep chromosome:Lperr_V1.4:3:1538915:1547281:-1 gene:LPERR03G02140 transcript:LPERR03G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTKAGRVQKVVREHYLRDDIPCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKATEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYNEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDEEDDVHLAPNNADDAPRKANPTQSTVTSSADSVSSRPVGLVVGVIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHGSNKYAKCNFDLQWLWHIRSISIYTDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALDIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTHIYFKTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRITKPGTSISYAVLQTVMIHMEVVEPQPHRPKLQLTLI >LPERR03G02140.3 pep chromosome:Lperr_V1.4:3:1538915:1547281:-1 gene:LPERR03G02140 transcript:LPERR03G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTKAGRVQKVVREHYLRDDIPCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKATEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYNEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDEEDDVHLAPNNADDAPRKANPTQSTVTSSADSVSSRPVGLVVGVIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPDGSNKYAKCNFDLQWLWHIRSISIYTDIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALDIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTHIYFKTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRITKPGTSISYAVLQTVMIHMEVVEPQPHRPKLQLTLI >LPERR03G02140.4 pep chromosome:Lperr_V1.4:3:1538915:1547281:-1 gene:LPERR03G02140 transcript:LPERR03G02140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTKAGRVQKVVREHYLRDDIPCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKATEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYNEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDEEDDVHLAPNNADDAPRKANPTQSTVTSSADSVSSRPVGLVVGVIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPDGSNKYAKCNFDLQWLWHIRSISIYTDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALDIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTHIYFKTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRITKPGTSISYAVLQTVMIHMEVVEPQPHRPKLQLTLI >LPERR03G02150.1 pep chromosome:Lperr_V1.4:3:1547865:1551588:1 gene:LPERR03G02150 transcript:LPERR03G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPATARLHSKAASRQRVDLGDFSGLRPGSCSISHAAREAPFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGRENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAADGPLKGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAVQGSGDPLEDFCKDNPETDECKVYEN >LPERR03G02160.1 pep chromosome:Lperr_V1.4:3:1554026:1554584:-1 gene:LPERR03G02160 transcript:LPERR03G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRNPLPHLYADGDFQIACDHFTGDPDDLSNSPNGGFTYVRSTAATAEFYRFWYAARESHPGMHDQDVLNLIKGDPYIAHELGVRIRFLGTEEFGGICEHGRDLSRVCTMHSNCCIGLRRKIDDLRLMLRDWRRFMATPMRDRRSVTWSVPRNCSLKKLER >LPERR03G02170.1 pep chromosome:Lperr_V1.4:3:1555105:1555431:-1 gene:LPERR03G02170 transcript:LPERR03G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTMILTTLNSAWAEPGSVIDVFLESFRIGNNTRWLLDHLVMVSLDLVAHRRCEQIHPHCFALTTDGVDFSGQKNFMTDGYLKMMWRRIDFLGRVLAKGYSFIFTV >LPERR03G02180.1 pep chromosome:Lperr_V1.4:3:1558856:1563971:-1 gene:LPERR03G02180 transcript:LPERR03G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGGIQVQQVDQEHTYGGGVEVWTDMQQCDHECDVPCCSCQHSPKPRKEPLLHLQLKSSMNIDEAGTRARVAPNVHSTDVVVAAATASSETEDDDASANPRSQQQQQQLIRNQAGIYSERQEYRSSLPSKTASLQCYYVHSTQTTTMHQMARGIKQQAMPIAAAAGDGGRRQCYSGHCYNSSRFKFLGFVVTSAPHHSHPLPACCRDEHHPFQVGTFPPLRAMAPTASCTGGGRSMFEPPAAVDGEHDADCSLSLSLALDTRCGGGGGGSSSLVSSTTTMTSSSASRISLDLSLSTLDS >LPERR03G02190.1 pep chromosome:Lperr_V1.4:3:1568945:1573913:-1 gene:LPERR03G02190 transcript:LPERR03G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLPLAYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKAKGGATIGVPLIPSAAKLS >LPERR03G02200.1 pep chromosome:Lperr_V1.4:3:1597273:1599730:1 gene:LPERR03G02200 transcript:LPERR03G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQKRPANYVPLSPIGFLPRANAVYGDRPSVIYGRVRFTWSQTYARCRRLASSLLALGIRHNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAKAVAGILRHSEAKLFFVDYQYVRLASDALQILAAAGEGGRAHVPLVAVIDDADTPTGVRLGELEYEALVSRGDACAELPRLDDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWGVGNEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARADDIHRAISRHAVTHLCCAPVVFNILLDGNHSLAAPVHVLTGGAPPPAAMLERVERAGFRVTHAYGLTEATGPALACEWREQWDRLPLTDRASLKSRQGVSVLSLADADVKDARTMASVARDGKSVGEIVLRGSSIMKGYLNNDRANEESFRGEWFLTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEVLFQHPGIADAAVVAMPHPHWGETPCAFVVAKDGAAGVCEDDVIAFCRKHVSRFMVPKKVVVYDVIPRNGNGKVEKNVLRAAASKLAPPAAVPAQKTKVKTTTVGGRRGEHPVAHVMAISRL >LPERR03G02210.1 pep chromosome:Lperr_V1.4:3:1611048:1614552:1 gene:LPERR03G02210 transcript:LPERR03G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRASASSGGAAASAARRRMSTAVAAEQTAPPSFAGAAERARRRAAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEDQGESGFILDGFPRTVNQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNLACIDVKGENGLPPIYMEPLLPPNNCMSKLITRADDTEEVVRNRLKIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >LPERR03G02210.2 pep chromosome:Lperr_V1.4:3:1610926:1614552:1 gene:LPERR03G02210 transcript:LPERR03G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHASTASSPRLSSRLLLQAAGVVVVVVESGALSYKYRNGQGEQTLKNPAASTPRSRSAPMAAVQRLLRASASSGGAAASAARRRMSTAVAAEQTAPPSFAGAAERARRRAAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEDQGESGFILDGFPRTVNQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNLACIDVKGENGLPPIYMEPLLPPNNCMSKLITRADDTEEVVRNRLKIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >LPERR03G02220.1 pep chromosome:Lperr_V1.4:3:1615909:1621848:-1 gene:LPERR03G02220 transcript:LPERR03G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALFGPAAARIPPPKIAGGPSPTPQMGAAGAPRPQVNAVAAAPAPGQVAAAQPQMNAAGTPRPQGSGMMPNSAQFGGQQQVNAGAVPRPVQGINSMMPAASQGGALQPTQFAQPRGMQSQLPSMGFNQQPPPSSTGFMRPPQPGAPAGSLQAQAPGINQIPLGGSSTGGIAGWQAGNVGSVGGVPQANMGATARPQATPDGFGLALSSTMGMAPGQQAHGMPSSSMPPQNNSAVSAQDSKALVLSGNGSTSGSGTSNDIFSALTQPKSNVSTPSFPTSMAPNSSSFMSTPSGSRNLSNLSQFGSQQGTPTVSSGGSLPQQTHPITKPSVPTTTMPGVSAGVSSSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGSEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPTLPDSLKYDEVLLRATGLPSTAYNGPSWQQNPGLTQKGPGAAGMPAAGVRPPMPPHLQGQMDGANRSGQPRSHMPGMDNHTAAQTNKDNKSGMNSAAQEVPDTSKKVEVEKLVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAQFRDVQERKVELHDALVKMIQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKPEGAIEWDEDWDKFDDEGFGLVKDNGTIVENPVSSENVKVPSLWDDTDEVLPVASSNGHIKDERHYRGGDQAAKSELEYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNDHGSTHNSFFGSDDFGKKKSSFFDDSVPSSPAYTSGFSPKFDSVNSSKGENVTGFDSPKSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >LPERR03G02220.2 pep chromosome:Lperr_V1.4:3:1615909:1621848:-1 gene:LPERR03G02220 transcript:LPERR03G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALFGPAAARIPPPKIAGGPSPTPQMGAAGAPRPQVNAVAAAPAPGQVAAAQPQMNAAGTPRPQGSGMMPNSAQFGGQQQVNAGAVPRPVQGINSMMPAASQGGALQPTQFAQPRGMQSQLPSMGFNQQPPPSSTGFMRPPQPGAPAGSLQAQAPGINQIPLGGSSTGGIAGWQAGNVGSVGGVPQANMGATARPQATPDGFGLALSSTMGMAPGQQAHGMPSSSMPPQNNSAVSAQDSKALVLSGNGSTSGSGTSNDIFSALTQPKSNVSTPSFPTSMAPNSSSFMSTPSGSRNLSNLSQFGSQQGTPTVSSGGSLPQQTHPITKPSVPTTTMPGVSAGVSSSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGSEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPTLPDSLKYDEVLLRATGLPSTAYNGPSWQQNPGLTQKGPGAAGMPAAGVRPPMPPHLQGQMDGANRSGQPRSHMPGMDNHTAAQTNKDNKSGMNSAAQEVPDTSKKVEVEKLVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAQFRDVQERKVELHDALVKMIQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKPEGAIEWDEDWDKFDDEGFGLVKDNGTIVENPVSSENVKVPSLWDDTDEVLPVASSNGHIKDERHYRGGDQAAKSELEYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNDHGSTHNSFFGSDDFGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGKFDSFRSQESGFFPQESRFSRFDSVNSSKGENVTGFDSPKSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >LPERR03G02220.3 pep chromosome:Lperr_V1.4:3:1615909:1621848:-1 gene:LPERR03G02220 transcript:LPERR03G02220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALFGPAAARIPPPKIAGGPSPTPQMGAAGAPRPQVNAVAAAPAPGQVAAAQPQMNAAGTPRPQGSGMMPNSAQFGGQQQVNAGAVPRPVQGINSMMPAASQGGALQPTQFAQPRGMQSQLPSMGFNQQPPPSSTGFMRPPQPGAPAGSLQAQAPGINQIPLGGSSTGGIAGWQAGNVGSVGGVPQANMGATARPQATPDGFGLALSSTMGMAPGQQAHGMPSSSMPPQNNSAVSAQDSKALVLSGNGSTSGSGTSNDIFSALTQPKSNVSTPSFPTSMAPNSSSFMSTPSGSRNLSNLSQFGSQQGTPTVSSGGSLPQQTHPITKPSVPTTTMPGVSAGVSSSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGSEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPTLPDSLKYDEVLLRATGLPSTAYNGPSWQQNPGLTQKGPGAAGMPAAGVRPPMPPHLQGQMDGANRSGQPRSHMPGMDNHTAAQTNKDNKSGMNSAAQEVPDTSKKVEVEKLVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAQFRDVQERKVELHDALVKMIQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKPEGAIEWDEDWDKFDDEGFGLVKDNGTIVENPVSSENVKVPSLWDDTDEVLPVASSNGHIKDERHYRGGDQAAKSELEYDFGEESVRSPSSAGRSASGNFIPQVTWHLLCHSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNDHGSTHNSFFGSDDFGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGKFDSFRSQESGFFPQESRFSRFDSVNSSKGENVTGFDSPKSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >LPERR03G02230.1 pep chromosome:Lperr_V1.4:3:1623278:1624222:-1 gene:LPERR03G02230 transcript:LPERR03G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCVVPFDFLAGGDGNGGDDDDDMPCPTDGLCWPDDPIDEVMKCLSVIDEPLLHALKLNCSPSLPPPAADSGGGNTSRRESNAPAANDAQWFKASTAARKPRNPPTIVNKRVWSMDRPTLPVAVSNIRRELSSPCAAAVVADTVTIDNVHCESASPCAATIVNTVVVVHDDDSHHREEAFIGGGGGGGENSRRPTAKRRRKRKCGEEKRCGHCEATETPQWRAGPNGPSTLCNACGIRYRMDGRLLPEYRPSTSPGFGSEEYSNRHRKVVKLREKKQKEGKVISGEAVMVPAMAAPNAGEIMDQCAIVNISS >LPERR03G02240.1 pep chromosome:Lperr_V1.4:3:1627291:1632413:-1 gene:LPERR03G02240 transcript:LPERR03G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLINKKLWCRASQRGVSSLLTPANPHFHGGRSSKRGLPSSAVDRRQPAEYCWISLASAIMLQYLDFSHASTSRKWSHKRQGDGFEAPRNSMEFTMEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHDDISFRTNEVQKRPSVIARLMGMDSPPLNTTAGHSEEKRQDMITTRPMPRRDPSEMVSTKHVSFVQHKNSMKQPSKQADVCTYDDVELFGQLSKAISSTEWSKPQPREHPQEEELQKFKKDFEKWQASRMWEQPRALDLESHLDNDDDGVMCTDIVPYRFHHRGKDNASKHTHSNGDNHRRRSKDNGTGTSTGTSISGSRTFSLTSADAGSTRLPLSRFYYEEERLPSPKKIVILKPCPEMSTDDIEESSLGSPELVKKEENNMEAFLEEVKKRLKIELEGRMASNDKAADRWATTTVGGDIPVADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVMLNGQSQMDYICRDARRHLSDRLKNVLRREPETEIEPPPMSSHRRRTASSSSFDEEPRPKPTRHEVARKGKIKSKEEKKLAIESDVMSFRRGKSQYKASSPAPAIDSDEPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGGSRPPPPPPSSETERKGRKDTFGIKGRVSNLRQNLGLRAKLFGKKLHSTGDEWPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAASEPEHPLSEQVQADQELAETSPIQEEDDDDATDEMTDPVKAYIREVLVIAGMYGNRRSSDHLFSIDREVKPIPLWVFEEVESSSSSSAPATDGDAAAAVVDHRLLFDLINDSVDELIGRDLSMSPWNGPFREEVAGAGVEMEAEIIDELVDEALWDVLLNTAITPPGNDGYLLEYHSDAALPTTQLMPEFTAVVPGADEPALGGEEQPARSCRPPSRRRNGGGQTLQATKRQSRGFVPLY >LPERR03G02240.2 pep chromosome:Lperr_V1.4:3:1627291:1634223:-1 gene:LPERR03G02240 transcript:LPERR03G02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHVSFKFNKLCFLELHILQQQAPQYCWISLASAIMLQYLDFSHASTSRKWSHKRQGDGFEAPRNSMEFTMEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHDDISFRTNEVQKRPSVIARLMGMDSPPLNTTAGHSEEKRQDMITTRPMPRRDPSEMVSTKHVSFVQHKNSMKQPSKQADVCTYDDVELFGQLSKAISSTEWSKPQPREHPQEEELQKFKKDFEKWQASRMWEQPRALDLESHLDNDDDGVMCTDIVPYRFHHRGKDNASKHTHSNGDNHRRRSKDNGTGTSTGTSISGSRTFSLTSADAGSTRLPLSRFYYEEERLPSPKKIVILKPCPEMSTDDIEESSLGSPELVKKEENNMEAFLEEVKKRLKIELEGRMASNDKAADRWATTTVGGDIPVADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVMLNGQSQMDYICRDARRHLSDRLKNVLRREPETEIEPPPMSSHRRRTASSSSFDEEPRPKPTRHEVARKGKIKSKEEKKLAIESDVMSFRRGKSQYKASSPAPAIDSDEPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGGSRPPPPPPSSETERKGRKDTFGIKGRVSNLRQNLGLRAKLFGKKLHSTGDEWPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAASEPEHPLSEQVQADQELAETSPIQEEDDDDATDEMTDPVKAYIREVLVIAGMYGNRRSSDHLFSIDREVKPIPLWVFEEVESSSSSSAPATDGDAAAAVVDHRLLFDLINDSVDELIGRDLSMSPWNGPFREEVAGAGVEMEAEIIDELVDEALWDVLLNTAITPPGNDGYLLEYHSDAALPTTQLMPEFTAVVPGADEPALGGEEQPARSCRPPSRRRNGGGQTLQATKRQSRGFVPLY >LPERR03G02240.3 pep chromosome:Lperr_V1.4:3:1627291:1632413:-1 gene:LPERR03G02240 transcript:LPERR03G02240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLINKKLWCRASQRGVSSLLTPANPHFHGGRSSKRGLPSSAVDRRQPAEYCWISLASAIMLQYLDFSHASTSRKWSHKRQGDGFEAPRNSMEFTMEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHDDISFRTNEVQKRPSVIARLMGMDSPPLNTTAGHSEEKRQDMITTRPMPRRDPSEMVSTKHVSFVQHKNSMKQPSKQADVCTYDDVELFGQLSKAISSTEWSKPQPREHPQEEELQKFKKDFEKWQASRMWEQPRALDLESHLDNDDDGVMCTDIVPYRFHHRGKDNASKHTHSNGDNHRRRSKDNGTGTSTGTSISGSRTFSLTSADAGSTRLPLSRFYYEEERLPSPKKIVILKPCPEMSTDDIEESSLGSPELVKKEENNMEAFLEEVKKRLKIELEGRMASNDKAADRWATTTVGGDIPVADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVMLNGQSQMDYICRDARRHLSDRLKNVLRREPETEIEPPPMSSHRRRTASSSSFDEEPRPKPTRHEVARKGKIKSKEEKKLAIESDVMSFRRGKSQYKASSPAPAIDSDEPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGGSRPPPPPPSSETERKGRKDTFGIKGRVSNLRQNLGLRAKLFGKKLHSTGDEWPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAASEPEHPLSEQVQADQELAETSPIQEEDDDDATDEMTDPVKAYIREVLVIAGMYGNRRSSDHLFSIDREVKPIPLWVFEEVESSSSSSAPATDGDAAAAVVDHRLLFDLINEVLCWFCGKGVGECKMSTAITPPGNDGYLLEYHSDAALPTTQLMPEFTAVVPGADEPALGGEEQPARSCRPPSRRRNGGGQTLQATKRQSRGFVPLY >LPERR03G02240.4 pep chromosome:Lperr_V1.4:3:1627291:1632243:-1 gene:LPERR03G02240 transcript:LPERR03G02240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITAEKSYERIPVQYCWISLASAIMLQYLDFSHASTSRKWSHKRQGDGFEAPRNSMEFTMEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHDDISFRTNEVQKRPSVIARLMGMDSPPLNTTAGHSEEKRQDMITTRPMPRRDPSEMVSTKHVSFVQHKNSMKQPSKQADVCTYDDVELFGQLSKAISSTEWSKPQPREHPQEEELQKFKKDFEKWQASRMWEQPRALDLESHLDNDDDGVMCTDIVPYRFHHRGKDNASKHTHSNGDNHRRRSKDNGTGTSTGTSISGSRTFSLTSADAGSTRLPLSRFYYEEERLPSPKKIVILKPCPEMSTDDIEESSLGSPELVKKEENNMEAFLEEVKKRLKIELEGRMASNDKAADRWATTTVGGDIPVADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVMLNGQSQMDYICRDARRHLSDRLKNVLRREPETEIEPPPMSSHRRRTASSSSFDEEPRPKPTRHEVARKGKIKSKEEKKLAIESDVMSFRRGKSQYKASSPAPAIDSDEPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGGSRPPPPPPSSETERKGRKDTFGIKGRVSNLRQNLGLRAKLFGKKLHSTGDEWPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAASEPEHPLSEQVQADQELAETSPIQEEDDDDATDEMTDPVKAYIREVLVIAGMYGNRRSSDHLFSIDREVKPIPLWVFEEVESSSSSSAPATDGDAAAAVVDHRLLFDLINDSVDELIGRDLSMSPWNGPFREEVAGAGVEMEAEIIDELVDEALWDVLLNTAITPPGNDGYLLEYHSDAALPTTQLMPEFTAVVPGADEPALGGEEQPARSCRPPSRRRNGGGQTLQATKRQSRGFVPLY >LPERR03G02240.5 pep chromosome:Lperr_V1.4:3:1632541:1634223:-1 gene:LPERR03G02240 transcript:LPERR03G02240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHVSFKFNKLCFLELHILQQQAPRLDLISLFLRASLPASVKNFQEVKAKREYRGKRSSKGKMAIKKEY >LPERR03G02250.1 pep chromosome:Lperr_V1.4:3:1635545:1638726:-1 gene:LPERR03G02250 transcript:LPERR03G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRCLLVTGPPGVGKTTLVTRVFETLRASHPHLNIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKEDTDLFIIDEVGKMELFSSAFFPSVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAAIYTLNTGNRDAMREDY >LPERR03G02260.1 pep chromosome:Lperr_V1.4:3:1640186:1646524:1 gene:LPERR03G02260 transcript:LPERR03G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKAAAAPPPPPPPPPPPPPPAETPSPQRRKKKKGRPSLLDLQRRSLRLQAQNPSPDPTPSRRREPNPSDEDDDGVGSGRRRQKRLKSVLSGGDEDDEAAPAKGKAAVKEEVEEKKKVSAKATGKGDAASDGGPTTGTPLPDKKLLLFILDRLQKKDTYGVYSEPVDTEELPDYLDFIDHPMDFSTIRAKLLNDSYTTLEQFENDVFLLTSNAMSYNSEDTIYFRQARSIEALAKKDFENLRQVSDVEEEQPKTVPRRGRPPKYAKKIVEKTENDLSPDLSHAKTKSADHAETVRRRLTGDKTRNANITPRELSFLQHNALASFAGKRTDKFGDYSGPSKYGKKTTPTFSDDDRRSTYDQQYFHSSPLFSALDGERKLLVPVGLQQQHAYARSLARFAAKFGPIGWDIAAKRVRRLLPPGTNFGPGWVVDGEPPENSQWPRGPTLSDPSIQSTSVPDGSMIPKNDASHQKSGLSSNEDAAGEEHPNRTEPVASTSASVEMSSKFPTKPTTRYENGANVSCDGAVSTGQTPPLQQHNHSRETPSNINGFTSVPNTPSQFTGQPFLGSMTHAQLLGMFSGVNGRTNGFMHGHPLVANNIKAAQNGDVGKATTNPPPDAGHDSEAALAQNKSSAPILSAGVQPSGSMPSGKLANPKKHPDLALQL >LPERR03G02270.1 pep chromosome:Lperr_V1.4:3:1652592:1663527:1 gene:LPERR03G02270 transcript:LPERR03G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFGCFLPEVDDDLKPSKPNYHSSDESSGPDARRKVAPLASDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTQDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPAGKQPLDWNTRMKIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEGFRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFHRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRAVPMEGLTACDEDYDVLIEDLTEMEEKRAAKEKVPVDGPILEELAAVREASVVNSPSRHSKRRNKYKKFDRSIDMGNCFCCPDAAAADEKTPPATSTSTTGTMTTTTSMSTATTVSGGGGGGGGDQTGMVLEAARLRVFTLAELRSVTRGFKAEMVLGAGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCREERELLLVYEFMPKGSLENHLFRKGSLDPLSWSLRLKIAIGAARGLAFLHSSEREIIYRDFKASNILLDVDYTPKLSDFGLAKNGPGAGKSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDHNRPSHQHNLVEWARPYITSGKKLTSLMDQRLAGDYPPKAALRAARLADRCLSGDPKSRPSMDDVVAALQEIEAIGTARPPPAKAGGRSPHRNPYYSKP >LPERR03G02270.2 pep chromosome:Lperr_V1.4:3:1652592:1663527:1 gene:LPERR03G02270 transcript:LPERR03G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFGCFLPEVDDDLKPSKPNYHSSDESSGPDARRKVAPLASDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTQDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLSRPFLHDKRKFHRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRAVPMEGLTACDEDYDVLIEDLTEMEEKRAAKEKVPVDGPILEELAAVREASVVNSPSRHSKRRNKYKKFDRSIDMGNCFCCPDAAAADEKTPPATSTSTTGTMTTTTSMSTATTVSGGGGGGGGDQTGMVLEAARLRVFTLAELRSVTRGFKAEMVLGAGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCREERELLLVYEFMPKGSLENHLFRKGSLDPLSWSLRLKIAIGAARGLAFLHSSEREIIYRDFKASNILLDVDYTPKLSDFGLAKNGPGAGKSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDHNRPSHQHNLVEWARPYITSGKKLTSLMDQRLAGDYPPKAALRAARLADRCLSGDPKSRPSMDDVVAALQEIEAIGTARPPPAKAGGRSPHRNPYYSKP >LPERR03G02280.1 pep chromosome:Lperr_V1.4:3:1664052:1667765:-1 gene:LPERR03G02280 transcript:LPERR03G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPPATAAAARDEDGLLAGDLDLMEEFLDLADFWHHPAGGASSPTTATPFSPLFDIGSSLTTLTPPPQLAAAGEDDEAEMPWTIQTPATAAEEPTVKERLRRALDRMAARRGGGGGDGDGELLLQVWVPTRIGDRQVLTTCGQPFWLDRRNSRLASYRTVSMKYQFSADETARAGLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVQHAQFFDIRGSVALPVFEPRSRACLGVVELVLTTQKINYSAEIENICDALKEVDLRSSDVSSDPRSKVVDASYQAIVPEIMDVLRAVCDTHNLPLAQTWIPCIFQSKSGTRHSDEKYNYCVSTVDEACYVRDRSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITAYGKTQYPLSHHAKLFSLRAAVAIRLRSVRTGSLDFVLEFFLPLKCIKTEEQRDMLNSLSNTIQQVCYTLRVVTAKELVDDERYEVSQPTRPEFYAKSVHENLDELCSGINVPGRTASVEASEEVSSWIASLVDAQNKGAKGEIDVDLPFEFSKQDDEGFSVTAGWHTSPVIAPEGSIFSGFKKDEDYDVKENTCSSDPSHSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPDGTVQLSSLYENFTKTTWSERELQGDVNFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSLSPSCSQSSNSSLGCSSVPKPHQQHGSAPQLAVKQEISMDENHCSTLIKSTSHAEADLQMFVEERPATLFRSQSQVLLSEHIPTENILNLNVQKATSDSLKIKAIYREERCIFRLQPSWGFQKLKEEIVKRFGIAQDTHVDLKYLDDESEWVLLTCEADLLECIDVYKSATLHLVKLVCLDSGIAALSVSSPHLQVKSADVTLSCKPRWWNTQAPYLDTRLRCNPMDLPADVAVELRCVPESERK >LPERR03G02290.1 pep chromosome:Lperr_V1.4:3:1674484:1677088:-1 gene:LPERR03G02290 transcript:LPERR03G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSVNLTGRREKTVITKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSSKPSM >LPERR03G02290.2 pep chromosome:Lperr_V1.4:3:1674484:1676214:-1 gene:LPERR03G02290 transcript:LPERR03G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINELNCNDFTSGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSVNLTGRREKTVITKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSSKPSM >LPERR03G02290.3 pep chromosome:Lperr_V1.4:3:1676792:1677088:-1 gene:LPERR03G02290 transcript:LPERR03G02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGTNHFFPGCVLWSWSCDRVELRVVRGLYRVQ >LPERR03G02300.1 pep chromosome:Lperr_V1.4:3:1684183:1689171:-1 gene:LPERR03G02300 transcript:LPERR03G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDGAAGEAAAAAPATLHIRCTNGSKFAVRADLGITVGAFKGIVAESCDVPAPQQRLIYKGRILKEEQTLASYGVETDHTIHMVRSAAPPPATTAPPAANTTSATNATTTTNSPTAGFGGLLQGLGGAGSANSGGLFGSGLPELSQMQQQLAENPNLMREMMNMPLMQNMMNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILHQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSPNPFSALLGNHGSNQARDPAANSSTTTSESTTGSPAPNTNPLPNPWSATAGPAQGATRPSPVTNARSATTGGLGGLSSADLGGMLGGGSDASFLSQVFQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLITFQQSLMSQLGQQQAGQERIQSGAGAGAGNVNLNNLMSLFSGLGAGGGLGVPNTPNEPPEVLYATQLSQLQEMGFFDTQENIRALVATAGNVHAAVERLLGNIG >LPERR03G02310.1 pep chromosome:Lperr_V1.4:3:1691239:1697346:1 gene:LPERR03G02310 transcript:LPERR03G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRRADPSSPPSSRLLSRLHFAASALQALPYSSKKEGGGMGVRKGGSSFDRSPGVADSSSWRFFDSRAAGISREAIPRPALAVLQKLKRKAYLVGGCVRDLLLNRVPKDFDVITTASLQQIKKNVFRRCIIIGKRFPICQVNMLGNKIEVSSFGTNSNLAEGSKDIGCSKKLECYNEGDILLWQNSMKRDFTINGLFFNPFNSMIYDYVNGVRDIRKNKVSTVIPALVSFDEDPARILRGLRIAARLGFEFSSETSAAIRELYGSIALIGKARLIMEMDYLLSYGAAESSLRLLRKYGLLDILLPFQAAYLTNQMKDKSNDRDLMLMRLLANLDKLLSADRPCHSCLWPALLTFHSALVNHPQDAQVIRAFGALMYFGSWGSTINFLNQDIVAPTPFIPETLGPSRSKLDNLMEQTSNLASLVLVSGRERERVLRIFNGLDSDLTSYQERKGMHGIDYKLLKNGHPSEVRFVLGKVIMDTMSEELPCASTAYDQLNKQANLDDLPDRSHPSQ >LPERR03G02320.1 pep chromosome:Lperr_V1.4:3:1697589:1705186:1 gene:LPERR03G02320 transcript:LPERR03G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSTLSTSFLHSPTRFAVAVTIATANTSSSSRIKRFARFRCCVEPSSSPEEEKPAIPIPTPLPVKPSVASSSLWGISTSTWSAGVAGLGLLETGYLSYLKLTGSEAFCPVGGGGCGNVLQSDYSVVFGIPLPLLGLVAYGSVLTLSLQKNGKKFLPGIDDLDIRLTLLLVSTSMATASAYFLYILNTKFIGTTCSYCLLSAFLSFALFSIRIKDFGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSIGAKMYGAFWCSHCNEQKQIFGREATKLLDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFPVE >LPERR03G02320.2 pep chromosome:Lperr_V1.4:3:1697589:1703482:1 gene:LPERR03G02320 transcript:LPERR03G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSTLSTSFLHSPTRFAVAVTIATANTSSSSRIKRFARFRCCVEPSSSPEEEKPAIPIPTPLPVKPSVASSSLWGISTSTWSAGVAGLGLLETGYLSYLKLTGSEAFCPVGGGGCGNVLQSDYSVVFGIPLPLLGLVAYGSVLTLSLQKNGKKFLPGIDDLDIRLTLLLVSTSMATASAYFLYILNTKFIGTTCSYCLLSAFLSFALFSIRIKDFGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSIGAKMYGAFWCSHCNEQKQIFGREATKLLDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFPVE >LPERR03G02330.1 pep chromosome:Lperr_V1.4:3:1703736:1704869:-1 gene:LPERR03G02330 transcript:LPERR03G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSHPKPRTPSLSITTLPLRLRLPKRRLTALALFQDQTNQRNPAASIDDEAYGEVDRIVSSRTVKSAVFAEDGSATTATATEYLVEWKDGHEATWIPAEAIAADVVAEYETPWWAAAKKADAAEIAALLADEALRRDPDAEDAQGRTAMHFAAGLGSEECVRALADAGADVGKPERAGGGLTPLHIAAGYGRAAAVRALIELGADPESPDGQGRTPLELVRDVLDKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVIDGRGEGKWREYLVEWRDGGDREWVKAAWVAEDLVKDFDAGLEYAVAEAVVDKRQAAAEAEGEEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGAAAGGGN >LPERR03G02340.1 pep chromosome:Lperr_V1.4:3:1706015:1711811:1 gene:LPERR03G02340 transcript:LPERR03G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAAAAAVDARSGYCAATRTFRSKRADVPLPADPNLDVVTFLASRRHAGVVALIDAATGRRITFTDLWRSVAGAATALSAPPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTAGEIAKQVADARPVLAFTTRELLPKLPPLAVAHDLRVVLLESTRLPEDASDPRIVATIDKISTTAPDPARRKDRVTQDDAATLLYSSGTTGPSKGVVATHRSLISMVQIILTRFRLEDSDKTETFLCTVPMFHVYGLVAFATGLLGSGATVVVLSKYELPEMLRSINSYGVTYLPLVPPILVAMVAHPKPLPLGQLRKVLSGGAPLGKELIEGFKEKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNHTGELWIRGPYVMKGYFKNTEATQSTLTPDGWLKTGDLCYIDDDGYLFVVDRLKELIKYKGYQVPPAELEALLLIHPEVADVAVIPFPDREVGQFPMAYVVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >LPERR03G02350.1 pep chromosome:Lperr_V1.4:3:1715819:1727252:-1 gene:LPERR03G02350 transcript:LPERR03G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRFLNLIVGDLIPGTRSLCRIDMMRQQFFRAPSPPPPAGAGGGSETPPEAASLMMEQLQLPNPILRFEAQAAHHMWSINWFPLVDGKVLWADQSGRTFLFDANTRDFVTVPNFQSRKWDPISLFVPNAVNGDGSLFLMERVPSPEPLSRTQTSKQFETIVFGMPTTAKSQLLPPPPYLRDNNYKHRCHKITAYGVVDGGSQIFISVEDVGTYCLDTVSHTWSQVGKWTVPFHGKVEYVPELKLWFGLSADSQRLAAADLSSMDSQAPQLVGDWKDLERPLECEEFKESQLVNLGSGRFCIARFFKTMQTSDDFGDEDDFGDEDWQSFVILTNVEVTHCVHDGNCSGDAGSGGNGQVKLEMNKHKSLRHMSDGTVSMYSTMGLSRRFLNLIVGNGVSGVKSLCSIDLTESLFNLTAPTEPPNGNGSQSGGPPDYANRQTPAADTGNEKNKHAQVVRMMEQFQLPGPSFNFKASASSQKDQSKIDCFPLAGRKVICSDQLGRAIYFDMEKGHAGTMPNLHNPKSMPLSLYVPNADADDDFGYHCLGSSLFVMERFPKFEAIGCTSQQQEYRHPHQKQRTDQFEYFVYRKPNSRNFFKAWHCQLPPPPPFVHEPKYWGDSRCRPEIISYAVLGPNDICISVNGVGTYSLHIENLGMSQAWKEVGKWTLPFRGRVEYVPELKLWFGFSGESQCLAAADLSSLELDSHPQLLGGPWKEELDLPEEWKECKDPQLVNLGSGKFCIARFFHHHKNLDVEELGDEKLTDQNFVTVLTGVEVAPRGVHDANVNANSSNEVTELQMITHKSRCHISSGTTIDVVF >LPERR03G02350.2 pep chromosome:Lperr_V1.4:3:1717690:1727277:-1 gene:LPERR03G02350 transcript:LPERR03G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRFLNLIVGDLIPGTRSLCRIDMMRQQFFRAPSPPPPAGAGGGSETPPEAASLMMEQLQLPNPILRFEAQAAHHMWSINWFPLVDGKVLWADQSGRTFLFDANTRDFVTVPNFQSRKWDPISLFVPNAVNGDGSLFLMERVPSPEPLSRTQTSKQFETIVFGMPTTAKSQLLPPPPYLRDNNYKHRCHKITAYGVVDGGSQIFISVEDVGTYCLDTVSHTWSQVGKWTVPFHGKVEYVPELKLWFGLSADSQRLAAADLSSMDSQAPQLVGDWKDLERPLECEEFKESQLVNLGSGRFCIARFFKTMQTSDDFGDEDDFGDEDWQSFVILTNVEVTHCVHDGNCSGDAGSGGNGQVKLEMNKHKSLRHMSDGTGIDDPI >LPERR03G02360.1 pep chromosome:Lperr_V1.4:3:1756471:1759407:-1 gene:LPERR03G02360 transcript:LPERR03G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILHSPTRLGIIFPSLPRVTRAALSFRGGRRLLSRPPPPLPLSSASAAGHHPSASLRLLSRCTDLKMASISRVRCQLEAALKSSFRAKRILGCNGIYREYSECPTIPLFPEKCYIMGRYGKISFPGHRWQNLNDFLEENPLLESIPSKELYGSTNPWSSRCNDSSRWLMKSGWFLPHFASNAGRSYAGVYATGNVGVIKDLGIFAYKNIVEDPDYKWQMEPISGYLEYCKFLSGLLYSQLETLSGDMFEHASLPADANFFLCSLSGMGESPANEACILSEFKKEDFLVDQSSLMSDEEYGNSLEVLYKVLSLRCPQTGKGTLADKIYVAIYKAHPDCLGMCRGNPILNRFLPGYLRNPCFNPNSGVQSVSVGPEKLKPIPANSIAELELKTGKLLVRINRVGVAHSDDQRLRRLLRFYPDEVARNLRRVALGKFVIRLLRHYFPKVLPTAFKELSSCSPSELDDLGINLHDQLPTICFAENERQFA >LPERR03G02370.1 pep chromosome:Lperr_V1.4:3:1764673:1767185:1 gene:LPERR03G02370 transcript:LPERR03G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKAKIVFTKSDQAHEYNDSTKESTSASPKVPPKDQAKAQEKPQVKADPKAQVKPQAKAQAKPSPKAKGKPPPKAQATSSPKAQAKPSSESQVKASPKAQSKAHSKAPPTPPKDKTTKTHMPHSQFRASYVILKDNLGRVVAKFVGTKNERTASIWVPKSLISCVKAYSFEGSCDLNSGSSNVLVRGLAGRPPAAPLVVPAPGAASRHVHLLGRPLSHLLAVPLLLRASLRRRRTPRRHRRRICSTDDLRRRCRSPTTPEAPPTTTQALSRGFVTVSSWIWRCNPSSTVSLLIHLLYFVWLSMLVM >LPERR03G02380.1 pep chromosome:Lperr_V1.4:3:1777175:1779830:1 gene:LPERR03G02380 transcript:LPERR03G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVVSNFVDLLERGYFKNTEATQSTLTPDGWLKTGDLCYIDDDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVADVPFPDREVGQFPMAYVVRKKGSNLSESEMMEFVAKQVSMIQLSDTNSVFTVDSKIRLTHSCIS >LPERR03G02390.1 pep chromosome:Lperr_V1.4:3:1790645:1792503:1 gene:LPERR03G02390 transcript:LPERR03G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASLSHARLLLVTVALLAARFASGCDRCVRRSRASYYTSSLTLTAGSCGYGTSAASFNAGGEGFLAAAGHGLYRGGVGCGACYQVRCKDKNLCNTAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLAKLAAVDVEYKRVPCEYRHKNLSVRVDERRSNSHNELAITFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQLRMVITGGYDGKWVWANREVLPARRRSGEVYDTGVQITDIAQEGCFPCDTHEWK >LPERR03G02390.2 pep chromosome:Lperr_V1.4:3:1790645:1792895:1 gene:LPERR03G02390 transcript:LPERR03G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASLSHARLLLVTVALLAARFASGCDRCVRRSRASYYTSSLTLTAGSCGYGTSAASFNAGGEGFLAAAGHGLYRGGVGCGACYQVRCKDKNLCNTAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLAKLAAVDVEYKRVPCEYRHKNLSVRVDERRSNSHNELAITFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQLRMVITGGYDGKWVWANREVLPARRRSGEVYDTGVQITDIAQEGCFPCDTHEWK >LPERR03G02400.1 pep chromosome:Lperr_V1.4:3:1796290:1798166:-1 gene:LPERR03G02400 transcript:LPERR03G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKSAAAAN >LPERR03G02410.1 pep chromosome:Lperr_V1.4:3:1801908:1802781:1 gene:LPERR03G02410 transcript:LPERR03G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLAALTIPKASSYLRRTRHKKLSYSRARSASLPAGRFHPVVAGLHDTANALLGRRARSVARRAGGRRRRGRSGCYLSRLASTLRDLSYRSSSSASSAASAATSDSGEAALAEAVDAATCAVAAASASIFAGLASASASSASRSLTSPTAASPAKVAVAPVWWVADLLRWRRRTVSIAAACESGAGAKETPLDECIDEEEEERKAAMDRLLRLEECVVAAEDGCERVYRALVNARVSLLNVLTPCF >LPERR03G02420.1 pep chromosome:Lperr_V1.4:3:1811491:1818322:1 gene:LPERR03G02420 transcript:LPERR03G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLQAGTHPEKPPRRESAPPPPPPQRPSFSLNQHQAPAPGLGGGRGEVPAFVEFSLAELRAATGGFAAENIVSESGEKAPNFVYRGRLQSSRRAIAVKKFPKLAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAHHIAEALDYCSCNERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQMLMDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGNLVSILEPLQTKLEVPSYEMLGIPKYEEEAPPPPPPPQPQHPLSPMGEACSRMDLTAIHQMLVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIDCYTQFVDVGTMISPTVYARRSLCHLMFDQHDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEATQLEEKRQKSLRGP >LPERR03G02430.1 pep chromosome:Lperr_V1.4:3:1819449:1821127:1 gene:LPERR03G02430 transcript:LPERR03G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFAWGYCLVKEVKPTDPPYYGRGPIQLTHMYNYQQAGDALNLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHAVITNQWTPSDDDRSLGRVPGYGMATNIINGKLECGKVNPTDGDNDRVGFYKRYCDMLQIGPGENLDCSNQMYYGN >LPERR03G02430.2 pep chromosome:Lperr_V1.4:3:1819505:1821127:1 gene:LPERR03G02430 transcript:LPERR03G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFAWGYCLVKEVKPTDPPYYGRGPIQLTHMYNYQQAGDALNLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHAVITNQWTPSDDDRSLGRVPGYGMATNIINGKLECGKVNPTDGDNDRVGFYKRYCDMLQIGPGENLDCSNQMYYGN >LPERR03G02440.1 pep chromosome:Lperr_V1.4:3:1824964:1826138:-1 gene:LPERR03G02440 transcript:LPERR03G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMVLALLAFAGATQLAAAGGASGQAGVGSIITKSMFESMLSHRWDNGCEGAFYTYGAFIKAARSFSNFGTTGNHETRRRELAAFFGQTSHETTGGWPTAPGWPFAWGYCRVKEINPTDPHYYGRGPIQLTHKYNYQQAGDALGLDLVNNPDLVASDPVVAFRTAIWFWMTPQPPKPSCHACGKGAYGTDYAKDRVGFYKSYCNMLGIGYGDTIACNNQKPYGG >LPERR03G02450.1 pep chromosome:Lperr_V1.4:3:1830208:1832038:-1 gene:LPERR03G02450 transcript:LPERR03G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMELEQDLPGFRFHPTEEELLDFYLARVVLGKKLQFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDFAAGRAAPPFPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSSHGDHTNIASIVHDASASTGDDYFSSDDVHDSGFLIPSSSSSAAAAAAPSDSSKNGGAPREAKKEEEADVTVTVASATSAASLSGLQLPSLQLPANHGVMDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >LPERR03G02460.1 pep chromosome:Lperr_V1.4:3:1839522:1839869:1 gene:LPERR03G02460 transcript:LPERR03G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVPNTKKKMEDKEEKPKVPSHDPELADLVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGRRDPTRPRASATQSADGPEEAAVRPKHTPPPSTGDRDLDITGQSYIQ >LPERR03G02470.1 pep chromosome:Lperr_V1.4:3:1841678:1846265:1 gene:LPERR03G02470 transcript:LPERR03G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTARGATPPRRRAIRCCCRAQQEPRGRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRSRRQSKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINIVDEAFKESGTNEDGSTWYRESAEDLGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPPSDDPPGMSSSPLE >LPERR03G02480.1 pep chromosome:Lperr_V1.4:3:1847297:1853535:1 gene:LPERR03G02480 transcript:LPERR03G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAGLTTPATVAILLLVSLLANPTSAANFTCLAAAATTCQSAIVYTSPNATTYGELLTRFNTTTLRDLLGANDLAETTPSTTAVAANSTIRIPFRCRCDGKVGQSDHHPVYVVQPQDGLDAIARNVFNAYVTYQEIATANNIPDPNKILVNQTLWIPLPCSCDKVEGSDVIHLAYSVASKETTAGIAAKYGVTESTLLKTNQIDDPKKLQMGQILDVPQPVCRSSISDTSADHNLMLLPNGTYAFTAQNCIRCSCSASTNQLNCTAVQGKGCPSVPQCSAILKLGDSNGTGCGSTTCAYAGYSNSPFSIQTSLAANQTTDCQKGGSGRSQFAGSMWSMSVISFHMALIIICLL >LPERR03G02490.1 pep chromosome:Lperr_V1.4:3:1850099:1860877:-1 gene:LPERR03G02490 transcript:LPERR03G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGDAAAAARGRVRVAQGVGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSLQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKQNGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYTEKEDPSLTMKHASLSGLPLAKLPGLTNQRLKGHNGPSLQDRLAQISDASHDDTSAPSRHWCLVGRETEAGKEEEIEVLDMEAGTVRCAANYAPLTPISFIERAAAVYGDRDAVVFGERRHTWRETRGRCVRVAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISALLAHSGAKVFFVDSHLLDVGRAALSRLAAANLPVLLTINDGSESADSGCVDYEDLVNDAPSQFEIRWPVNELDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITATPTYLWTVPMFHCNGWNLPWGVAMQGGTNVCIRHFTARLIFEQIARHGVTHMGGAPTVLNMIANAPAADRKPLPAGPVRVMTGGAPPPPRVLLAVEELGFVIYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQEVAVKDSSTMESVPYDGHTVGEVMFRGNTVMSGYYKDIGATRESMAGGWLRTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEEEIIRFCREKLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANSCTLSDANYAPLTPVSFLERAAVVYGDRTAVVSGEREYTWRETRERCLAGASALAHLGVNRRDVVAVIAANISAMYELHFSVPMTGGVLCTLNTRHDAAMVSVLLKHSEAKVFLVESEFLPVAHDALKLLADAKAKLPLVIAISDTGGEGLEYEALLRGAPRGFEIRWPADEHDPISLNYTSGTTSRPKGVIYSHRGAYLNSMATLLCNDMTSMPVYLWTVPMFHCNGWCMVWATAAQGGTSICIRSIVPSVIFDQITRRGVTNMGGAPTVLNMIVNAPPSDRTPPLRRKVVISTGGAPPPPQVLAKMEELGFDVVHGYGLTETYGPATRCVWRPEWDALPAYERARIKARQGVQHQMLQDVDVKDPVTMASVPSDGRAVGEVMIRGNTVMSGYYKDVAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDAAVVARPDDHWGETVCAFVTPKDGEIATADEIIAFCRARLPRYMAPRTVVFGELPKTATGKTQKFLLREKANAMGSLPMPRKAKL >LPERR03G02500.1 pep chromosome:Lperr_V1.4:3:1863986:1868721:1 gene:LPERR03G02500 transcript:LPERR03G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMMATRVDFTSILLSPSPSSLSSALRVRASPPAAAAARVRSSYLAPRAASATAAVSAKPAAVSPLAADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGEGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEELANMPEWTQERPMRVVTGFGYLGEKFLRENGFKHVSFLAGDGALESYPAMGMADIIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRKGVLEITHEMLERLEAHLTATGELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCSRDGQVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLSELGL >LPERR03G02500.2 pep chromosome:Lperr_V1.4:3:1863986:1871656:1 gene:LPERR03G02500 transcript:LPERR03G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMMATRVDFTSILLSPSPSSLSSALRVRASPPAAAAARVRSSYLAPRAASATAAVSAKPAAVSPLAADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGEGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEELANMPEWTQERPMRVVTGFGYLGEKFLRENGFKHVSFLAGDGALESYPAMGMADIIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRKGVLEITHEMLERLEAHLTATGELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCSRDGQVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLSELGL >LPERR03G02510.1 pep chromosome:Lperr_V1.4:3:1869182:1871164:-1 gene:LPERR03G02510 transcript:LPERR03G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAENWRLLPLTFLPAAISGQHDTATTLPAIATSFVYLAIFVCVAWAATALLYWAHPGGPAWGKYWRARGQSPSPCPIPGPKGLPVLGSLGLMSGLAHCSLASEAARRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGVYWRALRRVAAGHLFGPRQVDAFAPYRARVADGVVAALRRFNDGSVRVRGVLRRASLYYIMRFVFGKEYNVAESGSEVEELLGMVHEGYELLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVHGIIQEHRGKAEIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARIVLHPEVQVKVHHELDNVVGRSNKIAESAVPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDAPLEFRPERFMPGGEAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPAAAGAVDLSEVLRLSCEMAVPLEVRVSARRNV >LPERR03G02520.1 pep chromosome:Lperr_V1.4:3:1881256:1882514:1 gene:LPERR03G02520 transcript:LPERR03G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARGLSCLMSPLNGKRPSGDNARRSSAACICCIGPHHKPSSGSGGCVPCLAPNADHSVRAPLTSCCGGDNSNLRSRTSSSNARTPRTPKTPCTPTARRLCGVRSRTPRRGQVGCFQSPSSPAAARTPRTPTTQRACCVRGPAQGNAKLGSRRRRWLRSNGQTPRRTTARAGGDVVGNGNGGEVKAYTTTGLVEAAHAEEEAVTKEEETSSSDEYALLCTQGFPREDVAAVTIQAYFRGHLARRAFKALRSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARHMLGKPKEGQLLPS >LPERR03G02530.1 pep chromosome:Lperr_V1.4:3:1883162:1884292:-1 gene:LPERR03G02530 transcript:LPERR03G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGPQRMPQYLKLQPRGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPTMPKQNDNGNSNGNGRDVQVANAGSKRVVAGTAAPEGTMNTAASGGKQQKEEEMRRLFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNEISKRESWEYVRSLQRPPSTVVDAAGEAHANSNGQQQRGASAGEQRGNDSSNQQQGQQQHYRNDQVENYSN >LPERR03G02540.1 pep chromosome:Lperr_V1.4:3:1884320:1894842:-1 gene:LPERR03G02540 transcript:LPERR03G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEQWIESEGQSFNPPSLSMAFQLAFAPFMGRATDMAVVEENEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEVSARPSWKKPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTLVDSRAICRYVCTQFPKEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLSIPQDNAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTSRKNVARWYDQISKRKTWEQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPEDGNRTIYGTGSLERASIEQWLQAESQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWFEEISSRPSWKQVVKMQSEHPGAFEQEHTTIFLLKPHQSQ >LPERR03G02540.2 pep chromosome:Lperr_V1.4:3:1884320:1894842:-1 gene:LPERR03G02540 transcript:LPERR03G02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEQWIESEGQSFNPPSLSMAFQLAFAPFMGRATDMAVVEENEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTLVDSRAICRYVCTQFPKEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLSIPQDNAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTSRKNVARWYDQISKRKTWEQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPEDGNRTIYGTGSLERASIEQWLQAESQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWFEEISSRPSWKQVVKMQSEHPGAFEQEHTTIFLLKPHQSQ >LPERR03G02540.3 pep chromosome:Lperr_V1.4:3:1887609:1894842:-1 gene:LPERR03G02540 transcript:LPERR03G02540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEQWIESEGQSFNPPSLSMAFQLAFAPFMGRATDMAVVEENEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEVSARPSWKKVVELQNPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTLVDSRAICRYVCTQFPKEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLSIPQDNAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTSRKNVARWYDQISKRKTWEQVVKMQMEHPGAFELTHIHLQIHQHIAHGGRNNLTGNS >LPERR03G02540.4 pep chromosome:Lperr_V1.4:3:1884320:1887600:-1 gene:LPERR03G02540 transcript:LPERR03G02540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPEDGNRTIYGTGSLERASIEQWLQAESQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWFEEISSRPSWKQVVKMQSEHPGAFEQEHTTIFLLKPHQSQ >LPERR03G02540.5 pep chromosome:Lperr_V1.4:3:1886703:1887600:-1 gene:LPERR03G02540 transcript:LPERR03G02540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPEDGNRTIYGTGSLERASIEQWLQAESQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWFEEISSRPSWKQVVKMQSEHPGAFE >LPERR03G02550.1 pep chromosome:Lperr_V1.4:3:1896536:1898996:-1 gene:LPERR03G02550 transcript:LPERR03G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCPPDPIEPEPTSPPAMDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALSFLPSFHLLEVALTHELLRPLMPPNPSLRSIRLDCARLEDAAIDCLARPDLHELTLLNCDNISGRLLRELGATCQDLRSTFVSLECRVLSLNDLSERRGLPISFSDLQQLLNGCSRLESLRLALDFSMFDDPNFCNVWASASGTLTSLEIGYIPMTMLLELLTVAMEPQQCMHHVKEPVFFPSLQKLCLSVDFITDHLIGSISTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQLTDLVFHDIIATSLCLTHVSLRWCNLLTDVGVERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILLLDGTDISDQAVKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIVELRMRENPKIGDAAVMALASMLVDGGTHGSSLQVLDLYDCGGITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTLYWDRSSDWYRHDDDDLDELEQWILNGEPVSDTETITEE >LPERR03G02560.1 pep chromosome:Lperr_V1.4:3:1907726:1910769:-1 gene:LPERR03G02560 transcript:LPERR03G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSLHLHHHLFLFPFPSNVQTLTQLCASPRLHPPTKSPLPRPLVSSFAVAAVDEFDEDFAIGDCLVFDDDAFEEPDVDLPSPAPSTAASRPRRRPATDAGGGVGRSLVPERWRDAAEEINMTKKEKRRIAHGLRFGSRLERRAPPAVAAPDEYRAYREGRLDSELGRVLRDRSEPIERYPVRDRVETPPPPEPGTRVPPRNPRLGLGARSLDDITELFSSTEYVPGEMEMEDGNSTKSRRKLFTDEEKILLNKRVPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNIDVNALDKDGLPAIHKAIISKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTVKTLLLYNVDINRPDDYGWTPLHLAIQTQRTDIVKLLLIKGADRTLKTQDGFTPLELCLRLGHHARTYELIKLLKTFRLPKQCDSV >LPERR03G02570.1 pep chromosome:Lperr_V1.4:3:1910926:1920233:-1 gene:LPERR03G02570 transcript:LPERR03G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPIIKDPDKNYTCCGICGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGSSASHQRTLYKGKEEIRQIVNSLRVGGGDTIIDKAHRYYELAVDKNFTRGRRTTHVAAACLYIACRYVLGAVFLQLCQVLLLSEHPIIQKLIDPSLFIHRFTERLLRKRDNAVSDAALRITGRKPSGLCGAALYIAALSHGYDCTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVPVIKISPKSGEVLCKHKDKGGQHFAHGLCEKCYNKFIKLSGGLEGGSDPPAFQRAEKQRLEAAKKAIEAAATKEGALESICETHGSDVENNIMTPTKNVIGDKHSTITSGEVADESVPSEDLEGEGKNDEAVEEPESLSDIDDVEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVLEEGKKKRRRHSEDTKNLTPAQTPAEATQNMLKRKRFGSRINDEAVNKLYNTKDEDGKAGKDMDFNNDEHGQDNGDGETFEGGYDYPDYNYDGYGDGAYNDYDDHEKP >LPERR03G02580.1 pep chromosome:Lperr_V1.4:3:1925835:1927860:-1 gene:LPERR03G02580 transcript:LPERR03G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRREGSSPWQPAPYPGSGAGAGGGMMMSDLLFYGGERGAGLEASPFHELTPMPPPPPPHQHPQQHEEFNFDCLSEVCNPYRLVPSEAAITSQTQQQQQQQQQKQLTPLRLGDAMVAEEETSGGGGGDKPAAFHGGARGSSSSPTFMFGGGGGESSEMMAGGGGGIRCGGVGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKSLEEEIGATPEELDLLNTMKDSSSANSNEMLVRNSTKFDVERRGSGSTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVISTDEIKQTLFRSAGYGGRNCSSGFVLS >LPERR03G02590.1 pep chromosome:Lperr_V1.4:3:1943269:1944363:1 gene:LPERR03G02590 transcript:LPERR03G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATMAWTAAGVGVALVYWFVWVMGAAEVKGKRAVDLKMGSITHDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGHRLLDVGCGVGGPMRAIAAHSRSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFPNSSFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADDPEHIEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVAEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPAAESK >LPERR03G02600.1 pep chromosome:Lperr_V1.4:3:1950579:1952180:-1 gene:LPERR03G02600 transcript:LPERR03G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQIHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTVEGSTKPGSLPPDVSAAVNGVAFVGTLTGQLFFGWLGDKVGRKSVYGMTLLLMIICSIASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGVTAAFRTRFPAPTFAANPAASTPPEADYVWRIILMFGALPAALTFYWRMRMPETARYTAIVARNAERAAADMSKVLQVKITADQAEITDTTTTIISPNAKPFTTKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALFGTVPGYWFTVAFIDVVGRFKIQAAGFFMMTGFMLALAVPYDHWTAKGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSPDPAKAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENETAQP >LPERR03G02610.1 pep chromosome:Lperr_V1.4:3:1952990:1953400:1 gene:LPERR03G02610 transcript:LPERR03G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGTYRCRECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMEGTGQFGMGPSQVIPRRPRYRIKIKAVTVANPPAAAPYR >LPERR03G02620.1 pep chromosome:Lperr_V1.4:3:1954566:1955054:1 gene:LPERR03G02620 transcript:LPERR03G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARARTASSLLRGIAISRRPHLRSIHEGPDTIDELLDRHLAKKPSSSSSSAAALDDDAAEAEARRRLTSTRREALALYRDILRVTRLFEWPDDRGVPWRETLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRMVEAEEAKRRGGA >LPERR03G02630.1 pep chromosome:Lperr_V1.4:3:1955636:1958002:-1 gene:LPERR03G02630 transcript:LPERR03G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLAARPARATTTTTHASHNLIAATSKEPPPTLRPKRGGGLAGAGSKSVVVSLAAEGRMEEAADAFAAVRGGPDAFLHNVMIRGFADAGLPAEALAAYRAMLAAGARPDRFTFPVVVKCCARIGGLDEGRAAHAAVIKLGLERDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNGLGALALACFQEMHDVLELQHDSVGIIAALAACCLEFSLMQGKEIHGYVIRHGLEQDVKVGTSLLDMYCKCGEVAYAESVFATIPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEDLQVEVVTAINLLVACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGQITNKTLVSWNNMIAAYMYKEMYREAIALFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQMHSYIIRLGYGDNTLIMNAVLHMYARSGDVAASREIFDKMVSKDVISWNTMIMGYAIHGQAKIALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRIWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLRRTEPRSLVEFHDMSCSFVNGDMSHSRSKTVHEVSDILSRKIGETDDTRNQSYPVSLASRRTTMPNKHSARLAVVFGLISSEIGSPILVKKNVRICNQCHHALKLISKYSRRRIVVGDTKIYHEFSDGSCCCGDYW >LPERR03G02640.1 pep chromosome:Lperr_V1.4:3:1958568:1961177:-1 gene:LPERR03G02640 transcript:LPERR03G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSQEEEDIDHYEVLCLPSGEEGAALSLEQIEKAYKTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARIRGQREAAARAAASGVKRRKAVSDLEERERAIAAGHPVDADEAARREDKRKAADVKRELEEFFAAKQSPAPGTASTSARGVPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGEVEDVVIKTRKSRSRGSAIVVMASKEAALSALRNHSVYNVFSIPLIVVPVQEPSGIPARSTQTPESRTSNIGGTGFSDLEASVFRKLQEAQKRKQSG >LPERR03G02650.1 pep chromosome:Lperr_V1.4:3:1963389:1969792:-1 gene:LPERR03G02650 transcript:LPERR03G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSTLLSRSSSSSSTRISAAAVAAISNPAAAVAPSSSSSSPPPARHPRPAASPFSSGLAGRIFGGHRAAARSSLSAAAVFERRFASAATRNSYDEILTSLPKPGGGPEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDDFQVTGKDVEKILDWENSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTVHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPDNVSDIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >LPERR03G02660.1 pep chromosome:Lperr_V1.4:3:1982040:1990006:1 gene:LPERR03G02660 transcript:LPERR03G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDIAGRQDGLLWYKDFGQLVTGEFSMAVVQANNLLEDQSQVESGALSMVEPGPQGTFVGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSADVIRKAFQATEEGFLSVVSKEWSMKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKSTGEVLAMQLSAEHNACYEEVRQELQSSHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQSNPRNGIARRLVKIAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRSPSVSLRGGGVSLPANSLAPFSAPTVLSSTY >LPERR03G02670.1 pep chromosome:Lperr_V1.4:3:1986176:1989876:-1 gene:LPERR03G02670 transcript:LPERR03G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSLSGGGGGSLLRSRYPPSTAAAAAAVCCAPIPRSSRRWPPRRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKSVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSSTSLPSTYTSPDVPGFVLATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVRFLAPNTNLMNVNALSAF >LPERR03G02680.1 pep chromosome:Lperr_V1.4:3:1992209:1994593:1 gene:LPERR03G02680 transcript:LPERR03G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAPPPSDAAAGGEPEAWYGSIQYLVNISAVGAASCVLLFLLVKLRSDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLTAVAAAAVAAALPLNLLAGDAAIADQFAATTISHIPKSSPLLWLHLLLAAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLAEEWGKVRNKISWLEARMDARNLFDEFSQDETQRDEHWIVRRCKELWAMAAERFRITDEERLRKLQTKKLVIGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFWMERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILTLLFFSSPLAIISGMQSAARIINVEAMDHAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSDDCKQIEQYLSPSFLSRSSLSSLAFLISCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQPIHNGEESNNLRAPLMSEREDSGILNGIEGHDLSMYPINRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVICIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGLLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWSLYQS >LPERR03G02690.1 pep chromosome:Lperr_V1.4:3:1996166:2001593:1 gene:LPERR03G02690 transcript:LPERR03G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPVPFLNIGKRAKDLLYKDYNFDQKFSLTTTSDSGLGLTATGVKIDDLFIGDIQTQHKSGKTTVDLKIDSDSKVSATVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDRVAVNSTIGLTSAPLVELAATVGTNELSVGAEVGFDSTSASVTKYNSGICYNKHDFSAALLMADKGETLKASYVHLFNETNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNSGKVGVLCQHEWRPKSTVSLSAEYDPKVVSSPSRFGVAVALKP >LPERR03G02690.2 pep chromosome:Lperr_V1.4:3:1996103:2001593:1 gene:LPERR03G02690 transcript:LPERR03G02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGETLKASYVHLFNETNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNSGKVGVLCQHEWRPKSTVSLSAEYDPKVVSSPSRFGVAVALKP >LPERR03G02690.3 pep chromosome:Lperr_V1.4:3:1996103:2001593:1 gene:LPERR03G02690 transcript:LPERR03G02690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGETLKASYVHLFNETNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNSGKVGVLCQHEWRPKSTVSLSAEYDPKVAVMGTVTMASYAAVLRPRASSSSSLPHGPRRRAPTTRRAALPSTTTRSPPALTKTRKQQQQPTATRLYSVTPCPLLLAALLPGAEPVRAVFEPFVELVKTFDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >LPERR03G02690.4 pep chromosome:Lperr_V1.4:3:2000016:2001593:1 gene:LPERR03G02690 transcript:LPERR03G02690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTMASYAAVLRPRASSSSSLPHGPRRRAPTTRRAALPSTTTRSPPALTKTRKQQQQPTATRLYSVTPCPLLLAALLPGAEPVRAVFEPFVELVKTFDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >LPERR03G02700.1 pep chromosome:Lperr_V1.4:3:2002052:2003977:-1 gene:LPERR03G02700 transcript:LPERR03G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAITSPSSSLATRRCRSRPPFAPESCVMGNSKRKAAAASTRLWMRLDRWGGCEMAMCDKAFVARHSGLPARDLRILGPLHSRSPSILAREKAMIINLEFVRAIVTADEVLVLEPLAQEVLPFVEKLRKHFPLNSLNADDVSSQVHMNNQDGKLAQHVPCFNEEESEDHELPFEFQVLDFALEAVCLSYDLAVSDLNMHSVSVLDDLIKSVSTRNLERVRSLKSNLTCLLASVQKVRGEVEHILDDNENMAHLCITRKTKGQNDETNSIFCQKTRSSRTNSIVEKKTGICTSITLDSDTHVLDMLLEAYFKQFDGIRNSIFLVQQYIVDTEDYINTQLDNKRNELMRLRLMLIVASFGIAINTFIIAAFAMNIPHGGHHFNNGGPFGPFVGATSTLCMSVVIMMFSYAWRNRLLCT >LPERR03G02710.1 pep chromosome:Lperr_V1.4:3:2014420:2017553:1 gene:LPERR03G02710 transcript:LPERR03G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVAGALLGVRTRSRTLALQRSPQKPPEKGEGDPSAAEYLELRSRRLEKPPPHTPPAKEKEVAHARGRAVSAVRRASPVVAEEFEAAEVEVSFGDNVLDFDAMDRSTRETTPCSLIRSSEMISTPGSTTKTNNSMSSRRRMETVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVTDCPLPGRYEWARLDC >LPERR03G02720.1 pep chromosome:Lperr_V1.4:3:2023358:2030028:1 gene:LPERR03G02720 transcript:LPERR03G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCPGQQMNKIKKEVRSSGISRHSSVNGEIKQQISNVDTEEAEFPLQDGGSLNSKEARALLGKVEYQYVHAEEALRVFSGINIPALIPTVKMSIIRKVDLQKAHLQTSSPLPFHAAILLLEIVYFKATALCDLGKVEEATKECNTILDIVESALPEGLPDIFDNDCKLKATLCRAVELLPELYRLGGFHFEAVSSYRRALLSNWKLDEKAIGRIQKEFAIFLLYSGSETCSPNLQSQLDGSFVPRNNLEEAILLLVLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLANQFEELLPGEHNRDWLYNVALCYLAEEDDLIALNLLKRILMSGEDSNSLKELLLASKICCENSLHGEEGILYARRALANIHGGCDQIEVTADLLLGISLSNQVRCATSNTKRASQQRKALEVLAIAEKKTHGVDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFDDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGNFKKAIETYTQLLAVIQLRTKSFNAGMSVLKGSTDDRSLEIETWYDLVLLYISMSQWRDAEICISKIKAIRPYSALACHATGKLHESKGFLKEALRAYSRALDLEPKHVPSLISTAIVLRRLGERPMHAVRCFLTDALQLDRTNHVAWFNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >LPERR03G02720.2 pep chromosome:Lperr_V1.4:3:2023358:2030028:1 gene:LPERR03G02720 transcript:LPERR03G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCPGQQMNKIKKEVRSSGISRHSSVNGEIKQQISNVDTEEAEFPLQDGGSLNSKEARALLGKVEYQYVHAEEALRVFSGINIPALIPTVKMSIIRKVDLQKAHLQTSSPLPFHAAILLLEIVYFKATALCDLGKVEEATKECNTILDIVESALPEGLPDIFDNDCKLKATLCRAVELLPELYRLGGFHFEAVSSYRRALLSNWKLDEKAIGRIQKEFAIFLLYSGSETCSPNLQSQLDGSFVPRNNLEEAILLLVLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLANQFEELLPGEHNRDWLYNVALCYLAEEDDLIALNLLKRILMSGEDSNSLKELLLASKICCENSLHGEEGILYARRALANIHGGCDQIEVTADLLLGISLSNQVRCATSNTKRASQQRKALEVLAIAEKKTHGVDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFDDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGNFKKAIETYTQLLAVIQLRTKSFNAGMSVLKGSTDDRSLEIETWYDLVLLYISMSQWRDAEICISKIKAIRPYSALACHATGKLHESKGFLKEALRAYSRALDLEPKHVPSLISTAIVLRRLGERPMHAVRCFLTDALQLDRTNHVAWFNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >LPERR03G02730.1 pep chromosome:Lperr_V1.4:3:2028474:2035421:-1 gene:LPERR03G02730 transcript:LPERR03G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVHHEKDSGRVTSYGTAARTRPLSIQDIMSRREKKNASEAKKTKEGLEETSNCKSNHLEPGRGSKSRKDPKNMPVEGSKKDNRDRPGEGSKKDDMRHMPREEHKKDNSRHTPREVSKKDYLKDSPKDSSKIDNLKVRVKVPSKDDQRDTRKKGSKKEQSSTKDESHLVEKDKGNPMSVRVGKSRGGDHAEITARSSDATKHESQKGTGKRWIDEPVGNDGIKERSERRTDGKRKGRDFDDEKSSQVDRPTLKKQDAARLQDHKHFDRKDGRKEHAKQYHEEPRSKRRRSTSRDHDLRRHDRSVSPSSREQRHSYRGHDHDYYPPYHSVDKSRRKHAETERHRTSWNAGYSGGSYRRYESHLGGYSPRKRKTAPKDEKTTIKTASPVIRSPEKKSVTWDQPPVAADQSNFVTTLQPAVSQMDSAVSVNLTTSKQDKNTTIGTLFAGSSLAVDSVQLTQATRPLRRLHIENLPSSATEDMLIGCLNEFLLSSSVSHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATATLSFDGRSFGGSSLKIRRPKEYVEMADREAKDLLSRLPGVMPILCDSYFFQNYWLLITLLAESLWCSVDGIIRKTSCTTWFNFEHVAPKKPSEEIKVISDVVADSPHKIFIAGISGVISSEMLMEIASSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCILTAVHVFPNPPEQAGKEASPFYGIPDSAKSLLEDPTKVLQLKNVIDQEEHFLLSKSELEEILEDVRVECARFGAVKSINVVEYPSSSNKTADIISEPEDGPPAKVETNECAGHVNCTETGDIPDHSIIEVQDSAKLDTDSIPKGQDHKELGILDKGDACAGQSAEADHTDSMDAQDDVHAVDDDTLEKGDADPGSSEICCSTAPGDGADKSGRENEQQGGTVVSENNTEKAPAVDAKDNALASNTSALEAGCILVEFLRKEAACMAAHSLHGRSFGSRFVSAGYAPHDLYLQKYPR >LPERR03G02730.2 pep chromosome:Lperr_V1.4:3:2028474:2035421:-1 gene:LPERR03G02730 transcript:LPERR03G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVHHEKDSGRVTSYGTAARTRPLSIQDIMSRREKKNASEAKKTKEGLEETSNCKSNHLEPGRGSKSRKDPKNMPVEGSKKDNRDRPGEGSKKDDMRHMPREEHKKDNSRHTPREVSKKDYLKDSPKDSSKIDNLKVRVKVPSKDDQRDTRKKGSKKEQSSTKDESHLVEKDKGNPMSVRVGKSRGGDHAEITARSSDATKHESQKGTGKRWIDEPVGNDGIKERSERRTDGKRKGRDFDDEKSSQVDRPTLKKQDAARLQDHKHFDRKDGRKEHAKQYHEEPRSKRRRSTSRDHDLRRHDRSVSPSSREQRHSYRGHDHDYYPPYHSVDKSRRKHAETERHRTSWNAGYSGGSYRRYESHLGGYSPRKRKTAPKDEKTTIKTASPVIRSPEKKSVTWDQPPVAADQSNFVTTLQPAVSQMDSAVSVNLTTSKQDKNTTIGTLFAGSSLAVDSVQLTQATRPLRRLHIENLPSSATEDMLIGCLNEFLLSSSVSHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATATLSFDGRSFGGSSLKIRRPKEYVEMADREAKDLLSRLPGVMPILCDSYFFQNYWLLITLLAESLWCSHVAPKKPSEEIKVISDVVADSPHKIFIAGISGVISSEMLMEIASSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCILTAVHVFPNPPEQAGKEASPFYGIPDSAKSLLEDPTKVLQLKNVIDQEEHFLLSKSELEEILEDVRVECARFGAVKSINVVEYPSSSNKTADIISEPEDGPPAKVETNECAGHVNCTETGDIPDHSIIEVQDSAKLDTDSIPKGQDHKELGILDKGDACAGQSAEADHTDSMDAQDDVHAVDDDTLEKGDADPGSSEICCSTAPGDGADKSGRENEQQGGTVVSENNTEKAPAVDAKDNALASNTSALEAGCILVEFLRKEAACMAAHSLHGRSFGSRFVSAGYAPHDLYLQKYPR >LPERR03G02730.3 pep chromosome:Lperr_V1.4:3:2028474:2035421:-1 gene:LPERR03G02730 transcript:LPERR03G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVHHEKDSGRVTSYGTAARTRPLSIQDIMSRREKKNASEAKKTKEGLEETSNCKSNHLEPGRGSKSRKDPKNMPVEGSKKDNRDRPGEGSKKDDMRHMPREEHKKDNSRHTPREVSKKDYLKDSPKDSSKIDNLKVRVKVPSKDDQRDTRKKGSKKEQSSTKDESHLVEKDKGNPMSVRVGKSRGGDHAEITARSSDATKHESQKGTGKRWIDEPVGNDGIKERSERRTDGKRKGRDFDDEKSSQVDRPTLKKQDAARLQDHKHFDRKDGRKEHAKQYHEEPRSKRRRSTSRDHDLRRHDRSVSPSSREQRHSYRGHDHDYYPPYHSVDKSRRKHAETERHRTSWNAGYSGGSYRRYESHLGGYSPRKRKTAPKDEKTTIKTASPVIRSPEKKSVTWDQPPVAADQSNFVTTLQPAVSQMDSAVSVNLTTSKQDKNTTIGTLFAGSSLAVDSVQLTQATRPLRRLHIENLPSSATEDMLIGCLNEFLLSSSVSHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATATLSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKVISDVVADSPHKIFIAGISGVISSEMLMEIASSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCILTAVHVFPNPPEQAGKEASPFYGIPDSAKSLLEDPTKVLQLKNVIDQEEHFLLSKSELEEILEDVRVECARFGAVKSINVVEYPSSSNKTADIISEPEDGPPAKVETNECAGHVNCTETGDIPDHSIIEVQDSAKLDTDSIPKGQDHKELGILDKGDACAGQSAEADHTDSMDAQDDVHAVDDDTLEKGDADPGSSEICCSTAPGDGADKSGRENEQQGGTVVSENNTEKAPAVDAKDNALASNTSALEAGCILVEFLRKEAACMAAHSLHGRSFGSRFVSAGYAPHDLYLQKYPR >LPERR03G02740.1 pep chromosome:Lperr_V1.4:3:2035485:2038430:-1 gene:LPERR03G02740 transcript:LPERR03G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPRHDLGVLHGDWGRWWGSSGVVEGQTTSRLGWMNRVGELRRNYRYMVWEAVLVMIF >LPERR03G02750.1 pep chromosome:Lperr_V1.4:3:2039359:2043567:1 gene:LPERR03G02750 transcript:LPERR03G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSLSCNGSGKNLASSSPAAGSRATAVALAGSKGDAAVSVERKALLSPHPAGGTARKGPRGSKRRVQWKDKHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >LPERR03G02750.2 pep chromosome:Lperr_V1.4:3:2039816:2043567:1 gene:LPERR03G02750 transcript:LPERR03G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSLSCNGSGKNLASSSPAAGSRATAVALAGSKGDAAVSVERKALLSPHPAGGTARKGPRGSKRRVQWKDKHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >LPERR03G02760.1 pep chromosome:Lperr_V1.4:3:2044548:2048266:1 gene:LPERR03G02760 transcript:LPERR03G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQTPAALPLKKMEQFQLPCSILTFQASASTPKEQSKIDCFPLVGFSEVICADQLGRAFYIGAEAKTCDVATMPNLHKPKSLPVSFFVPNVAADHCPDHHYSGSSLFVMEKFPKPEHNQNQYQYQSDQFECFVYRKPSTYYFESWESRLLPQPPFVLEPKFWEDSRRRPEIISYTALGPDEICISVNSVGTYCLHIENLEKSYRWEEIGEWTLPFRGRVEYVPELKLWFGFSDESNCLAAADLSSLGFVDSQPQLLGGPWKEEIDFPEEWKECKDPQLVHFGSCMFCIARFFHTSRIPKSKELEDDELSDQNSVTVLTVVEMVPLLVQDANVNGNSSNETTKLQMITHKSIYHTSGCNTIDVVLSRRFLNLIVNELPGAKSLCRIDLTQQQFFRPATVVGTGSEPPPPQDSAAAALTMPQSRLPDPSWHFGSQAVPYKWQWNMNSFPLVDGKVICADKSGRTLLFDADTRRLSTMPNLHTPKSDPISLFVPNGDGGSLFVMERTISPMSQTSEQFEAFSMIFSSSFAAKCQILPPPPYLLDHNYRFNNITAYGVVDGGSKICVSINDVGTYCLDTLSHTWRLVGKWSLPFHGKFEYVPELKLWFGLSDGDQHLAAADLSSMDSSSSTQPKLVGDWKELELPLERLKWEKAPHDSQLVNLGSGRFCIARFFETIYDVWRNDDFNQDEQRFMILTSVEVTPCVHDGNCNSGGGGSSSGNGQVKLEMNTHKSLCYEYGSDIDDVF >LPERR03G02770.1 pep chromosome:Lperr_V1.4:3:2050185:2051810:1 gene:LPERR03G02770 transcript:LPERR03G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAQSPSGRARATPRLSPKKAAALDGDVVSAAAPLLGTLLELRDSTDDLCLTIVKKKVTFDPNDEGLLGIGRGEDEACESLFLLPIGKTKESSGHETGITSPEPPPLAPQPTRDANPVLSSVENFPQWKDAKTRAANAVPKASEKENIMADLLSEPAIQAKKKEWHDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPNPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQDEMVNCY >LPERR03G02780.1 pep chromosome:Lperr_V1.4:3:2053020:2056766:-1 gene:LPERR03G02780 transcript:LPERR03G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFQHHHHRSRSASSFARSSSSIAATDIDARSLSASAAVDSPFGHVDGLTNAELRESAYEVFFMSCRAAAGNGRLNYFPAAGGESGNGGDGSPTIGAGPRGGTGMNVVNSRVKRALGLKARRSSQPTTTARLAMNASSSAAPGSPGRAMRATMNQASLTPLSPGKGRRPMTSAEIMRQQMRVTEQSDARLRKTLMRTLVGQVGRKAETIVLPLELLRQLKLTDFGDSGEHHQWQRRQLKLLEAGLVLHPSIPHDRLNGVVQRLRDVSQAAESRAIDTGKTSDAMNALCNAVHALAWRSTSAAAQGSRHAAAGETCHWADGYPLNVLLYVSLLNAIFDLKDETVVLDEVDELLELMKRTWTTLGINRMLHNVCFSWVLFQQYVVTGQIEPDLAGAALAMITEVAADAKQDNHDQVYARVLTSMIGTIHDWSEKRLIDYHEWYGNGNCAIAAAVAMDSALSLALTTGKIIADNGISTGINMGNTEHDDEFSVGSFAGDRVDYYVRCSMKSAFTKILENGLGQEDSLIINRVVDENDDPGEILARVAGDTEQIALCERDTFGPALRRWHPYPGAVAAVTLHGCYGVVLKQYVCKANGLTNELVHVLHAAGRLEKALVQMVVEDVAESDDGGKSVVREVAPYDVESVVVGFLRTWIEERLRVCKECLLRAKDTESWMPRSKNEPYAQSAVELMKLAKATVDEFFAIPVSARDDMVQDLADGMETIIQEYISFLAQSYLPSLPPLTRCNQDSRIIRLWKRAATPCRVPVSSPRGGHTHHSSHGGMASSGGQNPRPSTSRGTQRLYVRLNTLHFLLSHIHALDKSLSFFSRGGGRCSPPRPLSSSSSSSSHLDRARAAAQTAVGHVAEVAAYRLVFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFHAFLLVILAGGGDRSFTCEDHGMVEEDFKSLKRAFCTRGEGLVTEEVVDGEAEAAESVVALMAQTAEQLVEELGIACELNGASSGSAAGQRLPVPATTWRWSRTDPNTILRVLCHRDDEVASHYLKRTFQLPKRR >LPERR03G02790.1 pep chromosome:Lperr_V1.4:3:2060238:2066821:-1 gene:LPERR03G02790 transcript:LPERR03G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESLPAMENGESKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIAANLVIYLTDKLHQGTVEASNNVTNWSGTVFIMPLLGAYVADSYLGRYWTFVVGSAIYFLGMCLLTLAVTIPSLKPPPCNGGVCPRASTLQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDDFDPREKMHKLSFFNWWMFTIFVGILFSSTVLVYLQDNLSWSVGYGIPTLGLLISITIFLIGTPMYRHKLPQGSPFSRMGKVIAAAVWKWRVPVPADAKELHELELEEYTRKRKFRIDSTNAMRFLNKAAVKEDGSSSKWRLCTVTQVEETKQIIKLVPLLATMFIPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVIYDRLFVKAIRKYTKNPRGITLLKRMGVGLTLQVVAMATASAMESRRLGYVRRHGLELLAVEVQVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAVNTLVFVVLSSRYTYKVESTETIDIAMDVKGETEKPKVQN >LPERR03G02800.1 pep chromosome:Lperr_V1.4:3:2068181:2069195:1 gene:LPERR03G02800 transcript:LPERR03G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTYSSRGPADLQRINLPRDLYFCSALHIIGRRSEPRVATGRRSGDRRSLASASAIGRFVSIAQSEGADRRLLLLLPRGVVGGRRRGTGSLDDFGDRRGFRLAVSYI >LPERR03G02810.1 pep chromosome:Lperr_V1.4:3:2069469:2070386:1 gene:LPERR03G02810 transcript:LPERR03G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGTIPLARLCLLLRVSPSAAALPKPIRLLSSPRAAASPGPGASSSSSRPARDRAIDFGKHRGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYIDRVEWEHAHRFLRGDTDYDGGDGEEDGPLQEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRKQTTSSGSSSLGGAKNARNLFDIGSDRDGLRGKRDERRERMRMRRETQVRNVKLDMLGVNAGVKDDGARGTRRMAPRNRMTGKNDILGLGRGGRAGELLGEKLLPGSGGQGGNPFPGRQAFLDKVRKLKGDS >LPERR03G02820.1 pep chromosome:Lperr_V1.4:3:2072033:2077925:1 gene:LPERR03G02820 transcript:LPERR03G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAVLFFNGDEPGEVISHVSGDISFNISDCVMMWDFDRAFLILAPEWKNEGLFGLVFASTSECKESNIIFSEGPRTTLPVLAPVLIMSSRSLTLWVLLGCLRLLMRNSSIIIFAGSVGYKSEWNTSLLQEHGDLGHDWQLLLFFSSDGNGCL >LPERR03G02830.1 pep chromosome:Lperr_V1.4:3:2075151:2077023:-1 gene:LPERR03G02830 transcript:LPERR03G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLERRNGLVEDSLSPEFSGRSEIDHQQLPIATPEVQSGLGQAIYKQPLPSDEKKSRSCQSCPKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISTGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGAKMRKARSKSHIITQSEILKLISPETWEITSPGSSPLKKSTAELSMHEKMVSSCSEAPSMSSNQPALSSCPSSLSAGLLQCIWKDGLPHFELSLDNPIAVYTANPIKAQDNDKSLDYVYLFHTGEQGRKDWLGNSSNVLRLVGKMKVSSSLVMNSDQSTSMETEFVLYGSPDDYMRQMQSSSAVAKSKGIAKRVANIMKPANLNSSPKHVWKFGKTSSQHIDDMILIPESEQCNAKESVLKNLLADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGANHSDGSEHGDDQNRKNNAGSISAIVPRGYHGGAASKDGGPAGLIERWRSGGRCDCGGWDLGCPIRVLQNDGCGTSPQAESQSQDRKSVELSIKGAKKNEPTLRLVNITDGLHIIYFESSLSPLQCFSAGIAIIHSQAPQLYPKL >LPERR03G02840.1 pep chromosome:Lperr_V1.4:3:2084689:2085471:1 gene:LPERR03G02840 transcript:LPERR03G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQLKLAAAATSALGVAVGVRLLFLLSRSRALKPLAAATSAAAAALKTPRVLAAASSPLAAVLAASKAASKSYKAARTLGPAARLPSLPSDKRLKAAFAAASLLRLAAAAPAFSVASSPTAVAALAILKSGYKLSKNSAKVIEGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGERGRSVSFLGLTRPTGLLLVGYTKSEAQVVLLDPGIGGGVFGMDGDDSELEVREGSDLLCLAVPVAVATHY >LPERR03G02850.1 pep chromosome:Lperr_V1.4:3:2087241:2090621:-1 gene:LPERR03G02850 transcript:LPERR03G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEADMTIREMMMFEADTTSGPMLANELCIEGLSSLIAAQILDFCDDGLGDDLFAAVATTSEPFAASSEDGSSSSATTPPLCSNRDDITAVADTGFSPLISFDSTLSAFLEQEQNPDQDTKILPSIDETFTASAYYPAATDTNIEKFNQIMVPEYTDEPMPPMQISRTATAQMPLASGFDDECFTAALAGGYMGLDGTLYHQTGGIIQNYNVEAPQVGFFNHNSTSNNAMLLMDMTNFSEYQRMMEGDGLTRTYSDTDSMQGAFNNPAEMQMGENSQHMITGCNESAPTLPSTEGSSLEDAPYKGVRLTAEQRKEKIDRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDLCEAARSSSQNSEEYEHIVSMKGEDLFDSSDILAHLSGVISYGYKYNCAVESWI >LPERR03G02850.2 pep chromosome:Lperr_V1.4:3:2087239:2090621:-1 gene:LPERR03G02850 transcript:LPERR03G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEADMTIREMMMFEADTTSGPMLANELCIEGLSSLIAAQILDFCDDGLGDDLFAAVATTSEPFAASSEDGSSSSATTPPLCSNRDDITAVADTGFSPLISFDSTLSAFLEQEQNPDQDTKILPSIDETFTASAYYPAATDTNIEKFNQIMVPEYTDEPMPPMQISRTATAQMPLASGFDDECFTAALAGGYMGLDGTLYHQTGGIIQNYNVEAPQVGFFNHNSTSNNAMLLMDMTNFSEYQRMMEGDGLTRTYSDTDSMQGAFNNPAEMQMGENSQHMITGCNESAPTLPSTEGSSLEDAPYKGVRLTAEQRKEKIDRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDLCEAARSSSQNSEEYEHIVSMKGEDLFDSSDILAHLSGVISYGYKYNCAVESWI >LPERR03G02860.1 pep chromosome:Lperr_V1.4:3:2094828:2106848:1 gene:LPERR03G02860 transcript:LPERR03G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASTLQLALSFFCLTVFYYYRTKSKRKNTASVIPICWPLVGMLPELLANHHRIHDWITSLLTISHLNFRFTGPTSSNMRLFITCDPANVRHVFTSNFYNYPKGPDFNEIFSDIFGGGIFNVDGDPWRIQRAKAQLLMYRPQFRAFVSLCTREKVEKSLLPLLAHFAGTREACNLQDVFMRLTFDTTTMMVSGADTQCLSIGLPDVPFARAVDDVTRVVLVRHIVPVSWWKLARWLGIGHERTMADSLRVCDQFVNETISKRRAEKADGEKNHESADLLSCYINDEEDGNTDSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPRVVSNILEELDSINSAAISDGMVTFDPDELRPLVYLHAALSETLRLYPSVPLEHKGVLAADVLPSGHDVRPGDKIVVSLFAMARMEAVWGCDCREFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEFEVLPGHVVEPALSIVLHMKNGFMVRHATTQAQGQAMATSSFSFLELLLSLLCFGVFYYFHVKSKQKNPVIPLQWPLVGMLPALLANCYRLHDWITSILTASPLNFMFIGPPSSGMRIFVTSDPANVRHVFNTNFANYPKGHEFQEIFDILGGGIFAADGDSWRRQRTKAQLLMSSPRFRAFVSRYSRDKVEKSLLPLLAHVAGTGESCNLQDVFLRLTFDTTTTLVFGVDPGCVAIGFPEVPFARAMDDAMTVLLFRHIIPLSWWKLARRVGIGFERRMPVAWRTIDRFVADTIAKRRAEKAKVGIDDSADLLSSYINDEEEEASTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNQNVAHRLLQELDSVKSTTTTDGMVTFDPDEVGRLVYLHAALCESLRLYPPVPTEHKSPVATDVLPSGQEVRPGDKIVVSLYAMGRMESIWGSDCREFRPERWILEDGTLRYVPSYKFMSFNTGPRTCLGKDMAFVQLKAVAAAVLKNFEIEAVPGHVVEPKISVILHMKNGLMVKVKRRLAAC >LPERR03G02870.1 pep chromosome:Lperr_V1.4:3:2110455:2111972:1 gene:LPERR03G02870 transcript:LPERR03G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLCFVEIFSILGCFIIYYYHLQSKKTSASEPTEWPVLGHLFEMIANIHRFHDWATGILAGTWYNFEARAGLTGIRFFVTCDPSNVRHIFTSNFANYPKGDEFAEIFDVLGNGIFNADGESWRSQRAKAQMLMAGPRFRAFATRSSRDKVEKSLLPLLARAADTGASCDMHDLFLRLTFDVTCTLVFGVDTGCLSPGLPEVPFARAMDDVLETLFLRHVIPMTCWKFMYKYEVGPEKKMAFARRTIDRFVAETISKRRSDMLRDGVSNSEDDLLSSFIGHDDDDDEFLRDTTVNLLLAGRDTTGAALSWFFYVLSKNPRVEHKILEELAPIASRKKNNGDDMVIFDAGELKDLVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGHEMKAGDKILVFSYSMGRMEGVWGKDCTEFLPERWITNDGKLRYEPSYKFIAFNAGPRTCLGKELAFVQLKTVATAVLWNFAVEVVPGHAVEPKLSIILHMKNGLAVTVKRRTATLA >LPERR03G02880.1 pep chromosome:Lperr_V1.4:3:2113682:2115532:-1 gene:LPERR03G02880 transcript:LPERR03G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGHALLSSVLLVLLALSTITLVPRVLSPQSFFRSRLPPRLINSGIIPHPSDAGYCDFSDGKWVRDVAAATTPYQEDCPFLDPGFRCISNGRSNSSFRYWRWQPHGCKLPKFNATDMLERSRNRRIVFAGDSIGRNQWESMVCMLAAAVPSGKSRIYEQSGKPISRHKGYLSMVFADYNLSVEYYRAPMLVMIDRLPTRKGAVRGAIRLDMLPRHANRWAGADVLVFNTGHWWNEHKTIKSGNYFMVGNRLNMTIDIKEAFQLSLDTVKTWGTRGSRLPSSYFFFRSYSPSHYSNGTWNTGGSCADQRDPATSSNEFNEEYSWINAMISNAIHDVQRQGRKARFLNITYMTELRRDGHPSRNREPGTPPDAPEDCSHWCLPGVPDAWNEILYAHLISMGYGTGIK >LPERR03G02890.1 pep chromosome:Lperr_V1.4:3:2120666:2122291:1 gene:LPERR03G02890 transcript:LPERR03G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNMNLFDLFFVLQLLLSAVCVLVICYRYHRLKSMKKCSLGLVQWPIVGVLPAIIANMHRLLDGVTFLLATSQLNFQCRFWLASFRFFVTCDPANVRHIFTSNFDNYPKGDVFADMFDVLGGGIFNSDGERWRRQRNKAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADGKDGENTTTCDLQDVFTRWSLDATCQLVFGVDPGCLDVGLPEVPFARAMDDVLRTIFLRHTMPVSCWKAMRWLGIGHEKRNAAARRTVDSFVASAIAKHRSAAAANAADYDDGKSDLMSSFLCDDEVAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIAARKPQPQHGRLFPGNGNGGMVTFDASEVRNLLYLHAALCESMRLYPPVPLEHKAAVAGDVLPSGHEVKAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRRDQTTVMVNS >LPERR03G02900.1 pep chromosome:Lperr_V1.4:3:2122908:2125701:-1 gene:LPERR03G02900 transcript:LPERR03G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRALLLLLLHVAVVVVLSPMPVSSADLYALVYKGCSNQSFPGGMVPPTVAALSSTLSAQSTSAKFYKTSSSSSSSGGASVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQLEASVGGSNGLFYATSYQQVYAMAQCEGELSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAVGLGFVVICLLFARSLVKKKEGNSALVEC >LPERR03G02910.1 pep chromosome:Lperr_V1.4:3:2133143:2135112:1 gene:LPERR03G02910 transcript:LPERR03G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLQSGSQSPAVTSPAIHFLADSSPTVSYHPPSISCRLTPGGSIGGLRRACSDGNLAALGATGDDDRHHQLPPRSKPTALETIQSFTQRGGASTDEEEDDDEQELSFGNFQFSNSNSGSTFAQEHPLFLARGLGIDRLGSGLLSADGDGGGFGGSDCGGGGGAGGSYLVTSGNGGNNRSDIEMHYKKMIEEDPCNGLFLRNYAQFLYQMKGDSRRAEEYYSRAILADPNDGELLSEYAKLIWDVHRDEERASSYFERAAKASPQNSHVLAAHAAFLWDTDDGDEPEGSSSYAGFAAAAAVHSSLASATT >LPERR03G02920.1 pep chromosome:Lperr_V1.4:3:2140386:2143127:1 gene:LPERR03G02920 transcript:LPERR03G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGAVVEISSDDEAIPVDGNLSNNVPLDLSSHPVPQDCNGDGEGFDDPTAINELLASMLGDKKSACDVAAADDDGDDDDCVVLDGDPNKAVVLVNKERPGGGGPEEELQIVAEKGELACRDFPHPRHLCVSMPFRTSSHANHCAMCHCYVCDSPAPCAFWGEGTKPTDHCHATDKDAKWKKLRQSVKRKNLPACNRKNIKNFFQPSSLQQYIGDHLSVPQPLPSNIVRPSPVGFHVSRNASQNQQLMYPLIGRIEVARNVRQPVNLQEASSPMANISRKTFRSDGAAPPVYSSTNANYLHHPVNNSVLVQPVSYAALQTAQSQPASSAVSQNSPTVARPFRGYSQNSFSAPVMISQGLRVQPTSYHQVAPGISQGLQVQPTSYLQVDPGNAVSSELQLSQCSSLPTQGTQCQQNPSGHIDEKRLNEIIANLASDLGVDYNVGPLGTPQPNQLHAQMRPDPDAN >LPERR03G02930.1 pep chromosome:Lperr_V1.4:3:2143939:2145991:1 gene:LPERR03G02930 transcript:LPERR03G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARAFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >LPERR03G02940.1 pep chromosome:Lperr_V1.4:3:2147784:2151332:1 gene:LPERR03G02940 transcript:LPERR03G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLAHQTGVAAAGVVQAAPRTAVVAAAAATIAAPAVSPAPAPSLQLQTQTMDPAAPAQAPDLAMACQALIESLPETEHPDLAGGEERRQAGVPVYVMMPLDTVRKDGNGLNRRKAVEASLAALKSAGAEGIMVDVWWGIAESEAPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWALEEMDKDQDLAYTDQCGRRNYEYISLGADALPVLKGRTPVQCYADFMRAFRDHFAPFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDKYMLTSLKAAAEGVGKPEWGNAGPGDSGGYNDWPEDTPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASAVFTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYLPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAGAAREAGVGLAGENALPRYDDTAHDQIVATAAEKAQEEQMVAFTYLRMGPDLFQPDNWRRFAAFVKRMKESGASRDVCREQVEREAQGVAHATQPLVQEAAVALSN >LPERR03G02950.1 pep chromosome:Lperr_V1.4:3:2153869:2157171:1 gene:LPERR03G02950 transcript:LPERR03G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPALKYRQCNNNSNVNGLVSVEALRIIVETKACFVALGLALAYFLTASRHRLWSSSHLIKGFLFIVTQPMTRFLFSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAIHEFTRCSNIVLLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVRFKIANKRYGASNTSIVAEYMKHEHDNSNNTDIEAGNGEFSMNDCKYLIVGETKLERTLIDGKAYEAKWTPVTNTVTVAKVWECEGKLLAPEDEGSRKLKDVCLSFALCKLLRRKFAGVDPSASERKKSQKLVFDGLITSKIDSERTFRIVQAELGFARDMSFTKYPILFSCGFPVVSVVLFAATLGVSVWIMVSAILHYRIPLGSTSNLVNGKNVDLIITFVIVGMVAAMDICEFFMHLFSDWTKVMVISEYVRKRYVQCCLLNYILWFVCHFKIAEPIGNTLGQFNLVDGAKGGCVTQHIVKMYHAMRSFVLLNDDDKYRIMKVKSLRPVPGEVKEAICKALMRNRTELTDGQPLERIPCMLEKYSKTIKTAMEVIVVWHVATCHLEKCPPKKLGESPRQVEEWEQLQKSYKVATALSKYCAYLLFYKPKLMSSVGNNSVSYTCKTLVEEATRPPKTGGGGGGGNGDDDNMISKGKVVAQKLLKEHGSVAWKELAEFWSEMLILLAPSGSSGAHEKALGDGGEFITHLWALLYHAAIDDKLSWSSATAGSSTATGESVSTADNSQFQNGNGTGVESLDSHAAHDSLIKD >LPERR03G02960.1 pep chromosome:Lperr_V1.4:3:2157800:2163032:-1 gene:LPERR03G02960 transcript:LPERR03G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEGRSSIRRDDPIVVQQGSIIELTGPKRGIALDYDVLFEFDMRIKNVDDEENDLQLIDGITELDEDSLPEATGTVRISGDCGAVDIGMIA >LPERR03G02970.1 pep chromosome:Lperr_V1.4:3:2163736:2164352:-1 gene:LPERR03G02970 transcript:LPERR03G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHELCRRFPLAAAADELHRRRGLVELRTRGCLPTERERAGRGGERRWLELCGHRRHPPQRRRAGRGMPAELRLKPPPPHRRQIQTRQRRPTRGRGWRRCSTRRGRRIGGVDDGGAAARLDFSTTTTMPHRSEGEAMRTTAGEGVTTTPAEWRGKRSDNGRRSGAPPAERRENRRSEASAVLL >LPERR03G02980.1 pep chromosome:Lperr_V1.4:3:2166584:2166865:-1 gene:LPERR03G02980 transcript:LPERR03G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPNSNPMEEIGIAGIEDTQINLIHRPIKDVTEGNSKATNVEAIGINHTVDEDIPPPSQNINTYVVFLEIKVEKIECYDWLREEGRWEEWL >LPERR03G02990.1 pep chromosome:Lperr_V1.4:3:2173041:2178123:1 gene:LPERR03G02990 transcript:LPERR03G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWDRAKRALISKLCIRIPARQRALEDAPPPPPSAAAAPPAHAVPGERLKSPSVSARRLPSSGSRGTKKVCAICLGGMKTGGQALFTAECSHEFHFHCISSNVNHGNYICPVCRAEWKEIPFQGTQPADNSYGRARVSPANWLQDDGQMSVVRRLSHGYSGNLQEQLAAFRTPEASIFNDDESIDLQPETADEHNAVTGSVEIKTFSEFPAIEQSVRRQVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGTKLGLLKRAMSFVIQTLGPNDRLSVIAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIAEGLKKGSKVVEDRRLKNPVCSIILLSDGQDTHTFLTSGGAELNYSMLVPPSILPGAGHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDGFAQCMGGLLSVVVKDLRLCIECIDEGVSLTSIKSGSYASQVGGNERSGSVDIGDLYADEERGFLITLHVPAAHGQTVLIKPRCTYLDAITMENIQLHGEEVTIQRTAFRVDCKMSPEVEREWHRIQTTEDMSAARSAAEDGSFAQAVSILEGRRRILELHAAHSSDSQFSALIKELREMQDRVESQQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLMHTYQTPSMVDMLQRSQTLLPSVVEMMHRSPTVAPPKSFSGHLPTSRHFA >LPERR03G03000.1 pep chromosome:Lperr_V1.4:3:2180734:2183818:-1 gene:LPERR03G03000 transcript:LPERR03G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDLCLSSNGANEVLGGYRMQTQSGKLSMPLYMWNLAQATIKSGPIQLYGYIAARDSVDSMLNYVFNRTRDDPIVVQQGSIIELTGPKRGIALDCDVLFEFDMRIKNMDKEENDLELIDGIIELHEYLMTGTPRTVRISGDCGAVDMLVGNAVEATVEVAISEIDCAFDLSMSCVLSVLEESREFHLFGGAIGESCGLRRFVIAVDLSTMMHLKFGIDNKKGYNVVEHCCSFESKLHGCSSHQIKLEEATILAKVTWSPLY >LPERR03G03010.1 pep chromosome:Lperr_V1.4:3:2193657:2196457:-1 gene:LPERR03G03010 transcript:LPERR03G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGMSITVVPIIKTFLNQVICVTKVDKGQEMAAASPPRQTDLQQFCCFENAIKITSSMKGYLRTARQDIPEGDKYYIFAMNETNINSGKMYIQKEYTNT >LPERR03G03010.2 pep chromosome:Lperr_V1.4:3:2193438:2196457:-1 gene:LPERR03G03010 transcript:LPERR03G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGMSITVVPIIKTFLNQVICVTKVDKGQEMAAASPPRQTDLQQFCCFENAIKITSSMKGYLRTARQDIPEGDKYYIFAMNETNINSGKMIPRIQGKQATIMVTAPGEDPATMAFSISPDGRSNITLGWRGFVANNDLRVGSVCSFYFYKEQGQLHAHVFII >LPERR03G03030.1 pep chromosome:Lperr_V1.4:3:2234626:2234913:-1 gene:LPERR03G03030 transcript:LPERR03G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNLGLQLMSSVPADQDTKPLLQNGTFLQHHTHHNAPNHPRDCGGGGASGGLTSEPPSVYIDIAHNDAWMHSYQHQQCILLNISICRSRRFMHS >LPERR03G03040.1 pep chromosome:Lperr_V1.4:3:2235557:2237553:-1 gene:LPERR03G03040 transcript:LPERR03G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLHRQWTQRRCRTRLRARVREAVTAAGANEHNNGCNGDSDDAVIETSKL >LPERR03G03050.1 pep chromosome:Lperr_V1.4:3:2239186:2239708:-1 gene:LPERR03G03050 transcript:LPERR03G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREERRWRMTGEGEGRGKGGARNTAFRVLEEYLVNRAPDRSAGYQQVAAQPHKAAADLQNT >LPERR03G03070.1 pep chromosome:Lperr_V1.4:3:2258336:2266011:1 gene:LPERR03G03070 transcript:LPERR03G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQFHNLPLPEAAAAAAHAALLALALLLLLLRAARALASRCASCLKPPRRRAVADGEGIVAASSSATGVWYRAALACCAYALLAQVAALSYEVAVAGSRVAVEALLLPAVQAVAWIALLALALQVRAVGWVRFPVLVRVWWVVSFLLCVGIAYDDSRHLMGDEDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSGVHEPLLQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSNHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTVVSYVGPYLISYFVDYLSGKIVFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTAECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLRQEELPDDATITVPQCSTDKAIDINDATFSWNPSSSTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVKVSGSAAYVSQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFRGYILTALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPNKRLTPSVSNIDNLKNKVSENEKPSSTRGIKEKKKKPEDRKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDTPKTDSVILLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMTKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPLSSWPENGNIELVDLKVRYKDDLPLVLHGISCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDGIDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >LPERR03G03080.1 pep chromosome:Lperr_V1.4:3:2266662:2269090:-1 gene:LPERR03G03080 transcript:LPERR03G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSCFCCGPSIAAGGDEVRLPEPFQLPAPLPVWPQGGDFSKGTICIGELEVASITKFQSIWSCSGATFYEPQEIPDGFHCLGHYAQQNSRPLQGFLLVAREAASFQLTNGKPALEKPLDYTLVWSSADLNGDDNSECGCLWSPSPPDGYEALGYVVTRGPKKPSIEAVRCVRGDLTDECENFKSIAKMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDSEESDIPCLKNFDKSLRSMPNLDQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMAEVILASGSNLPVGGTNDGEYWIDIPDDDDKNKFVKAGNLKSAELYDHVKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSQHSGGEWVDACNLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSNFSVDSSTRYKIISAGHLGDAVVEPCWLQYMREWGPTVEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >LPERR03G03090.1 pep chromosome:Lperr_V1.4:3:2270132:2274676:-1 gene:LPERR03G03090 transcript:LPERR03G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQQKSGISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQGGRKMVILEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDQVNAFVCDITSEQLTENVQPSSADVVTMTNILIAPAKMPSVIQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSSCGFIVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQVPNGQHDNHTCEDKEDKITANAQKKRICEEIDLSEDFNNMFGTSYNLDEAQIIRIKAKGHDFKIKMLTKEYQHTCKLTGLMLWESAQFMCSLLAENPSVVSGKRVLELGCGSAGICSMVAASVAQFVVATDGDAESLDLLRDNIASNLEPNLLKRILIRKLFWGDKDDLKAVRELSSKGTGFDCIIGTDVTYNPDAILPLFKTARELISSEDSEAALILCYIQRRVDEDSILSTATAQGFRLVDKWINGIHQSNGIIGSWFWGNDVCSAFKNATLSILYFQVRH >LPERR03G03090.2 pep chromosome:Lperr_V1.4:3:2270132:2274676:-1 gene:LPERR03G03090 transcript:LPERR03G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQQKSGISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQGGRKMVILEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDQVNAFVCDITSEQLTENVQPSSADVVTMIFMLSAVAPAKMPSVIQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSSCGFIVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQVPNGQHDNHTCEDKEDKITANAQKKRICEEIDLSEDFNNMFGTSYNLDEAQIIRIKAKGHDFKIKMLTKEYQHTCKLTGLMLWESAQFMCSLLAENPSVVSGKRVLELGCGSAGICSMVAASVAQFVVATDGDAESLDLLRDNIASNLEPNLLKRILIRKLFWGDKDDLKAVRELSSKGTGFDCIIGTDVTYNPDAILPLFKTARELISSEDSEAALILCYIQRRVDEDSILSTATAQGFRLVDKWINGIHQSNGIIGSWFWGNDVCSAFKNATLSILYFQVRH >LPERR03G03090.3 pep chromosome:Lperr_V1.4:3:2270132:2274676:-1 gene:LPERR03G03090 transcript:LPERR03G03090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQQKSGISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDQVNAFVCDITSEQLTENVQPSSADVVTMTNILIAPAKMPSVIQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSSCGFIVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQVPNGQHDNHTCEDKEDKITANAQKKRICEEIDLSEDFNNMFGTSYNLDEAQIIRIKAKGHDFKIKMLTKEYQHTCKLTGLMLWESAQFMCSLLAENPSVVSGKRVLELGCGSAGICSMVAASVAQFVVATDGDAESLDLLRDNIASNLEPNLLKRILIRKLFWGDKDDLKAVRELSSKGTGFDCIIGTDVTYNPDAILPLFKTARELISSEDSEAALILCYIQRRVDEDSILSTATAQGFRLVDKWINGIHQSNGIIGSWFWGNDVCSAFKNATLSILYFQVRH >LPERR03G03100.1 pep chromosome:Lperr_V1.4:3:2276178:2278529:1 gene:LPERR03G03100 transcript:LPERR03G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLFFVLFFPVLGAAAAAAEAEALQSYIVQLHPHGAVSNGEQAISASKQHWHLSFVEKSVKREGEKLEPSSRLLYSYHTVFDGFAARLTDEEAAALRELPGVASVRADRRVELHTTYSYKFLGLNSCPTGAWARSGYGAGVIVGVLDTGVWPENPSFDDHGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLAEYVSPRDAHGHGTHTASTAAGAAVPGAGVLGVGAGEARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNNGPSPSSVANEAPWVITVGAATLDRRFPAYVRLGNGKILYGESMYPGKVDLKNGGKQLELVYATSGTREQMYCIKGSLSPAIIAGKMVVCDRGITGRADKGEAVKEAGGAGMILANSEINQQEDSIDVHVLPSTLIGYKEAIELKNYIASTRLPMARIVFGGTRIGSARAPAVALFSARGPSMTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLDGDDRRSNFTVLSGTSMACPHVSGIVALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGGGDGGEADAFAMGAGHVNPARAVDPGLVYDIDPADYVTHLCTLGYTHMEIFKITHAAVNCTAVTERNAGFSLNYPSMSVAFKKNATSAVIHRTVTNVGTPARSTYAVHVAPPHGVKVRVSPTTMVFSEFGEKKSFTVTVNAASPSPAPRDNADGYLVWKQSGGQGKRTVRSPIAVTWVVE >LPERR03G03110.1 pep chromosome:Lperr_V1.4:3:2279865:2282248:-1 gene:LPERR03G03110 transcript:LPERR03G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEGGEDAPPPARFATAYVCRYRLRRRRTKASASYVVVPLVAAPSVLDPVISCMAVGETSSDESNTH >LPERR03G03120.1 pep chromosome:Lperr_V1.4:3:2289714:2293186:-1 gene:LPERR03G03120 transcript:LPERR03G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPPPKLELFNSMTKKKELFEPRVEGKVSMYVCGVTPYDFSHIGHARAYVAFDVLYRYLQFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSGRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELINKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWESPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEDVLSQYRGENISVQVPVEEQDMVNKHHSEFLESMADDLRTTDKLQQKLEQQNKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKKKLSILGLMPPSSLAEALKQLKDKALKRAGLTEELLQGQIEQRTAARKNKQFDVSDQIRKELGSKGIALMDEPGGV >LPERR03G03120.2 pep chromosome:Lperr_V1.4:3:2289842:2293186:-1 gene:LPERR03G03120 transcript:LPERR03G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPPPKLELFNSMTKKKELFEPRVEGKVSMYVCGVTPYDFSHIGHARAYVAFDVLYRYLQFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSGRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELINKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWESPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEDVLSQYRGENISVQVPVEEQDMVNKHHSEFLESMADDLRTTDKLQQKLEQQNKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKKKLSILGLMPPSSLAEALKQLKDKALKRAGLTEELLQGQIEQRTAARKNKQFDVSDQIRKELGSKGIALMDEPGGTIWRPCEPESE >LPERR03G03130.1 pep chromosome:Lperr_V1.4:3:2294655:2299941:-1 gene:LPERR03G03130 transcript:LPERR03G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQVGSRLALSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSNKVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGVNAMDLRRGISMALDAVVANLKDMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKSNLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQRIGIQIIQNALKAPVHTIASNAGVEGSVIIGKLLEQDNTDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAPAMGGMDF >LPERR03G03140.1 pep chromosome:Lperr_V1.4:3:2299929:2303938:1 gene:LPERR03G03140 transcript:LPERR03G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVEALPAMLHRNYSLMRELDKSLQGVQTENEQRCQQEIEDIKHGLESGRITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEDLRQEKEAATTAAATAATSTPVAATVVPSAGTSTADITPKSGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNSDCKIEWFHFGCVGLREQPKGKWYCPSCIGFQKKRKGK >LPERR03G03140.2 pep chromosome:Lperr_V1.4:3:2299929:2303884:1 gene:LPERR03G03140 transcript:LPERR03G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVEALPAMLHRNYSLMRELDKSLQGVQTENEQRCQQEIEDIKHGLESGRITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEDLRQEKEAATTAAATAATSTPVAATVVPSAGTSTADITPKSGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNSDCKIEWFHFGCVGLREQPKGKWYCPSCIGFQKKRKGKMGS >LPERR03G03140.3 pep chromosome:Lperr_V1.4:3:2299929:2304906:1 gene:LPERR03G03140 transcript:LPERR03G03140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVEALPAMLHRNYSLMRELDKSLQGVQTENEQRCQQEIEDIKHGLESGRITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEDLRQEKEAATTAAATAATSTPVAATVVPSAGTSTADITPKSGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNSDCKIEWFHFGCVGLREQPKGKWYCPSCIGFQKKRKGK >LPERR03G03150.1 pep chromosome:Lperr_V1.4:3:2307329:2311757:1 gene:LPERR03G03150 transcript:LPERR03G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPMRETQSERKEYADLKTVTGSVRRTSSDRSCGSGTPMKLLIAQEMAKEGDTNQKTTSVVAKLMGLDDDVALPKSVHPSNRRRFPDGHLSAMLARVNNQMSFDKHTGFVEDMECKDVYEVGYQPPRSEHLSDEFLQRRRPHEDHDKKRMDLVRQKFVEAKQLASHENLLQSKEFHEALEVLNSNKDLFLRFLEEPNSLFAKQSGDFHSAPTSPQRKRITVLKPTKSVDMKGEKEIKRQQDQAVNGSKVEISNIHRRSNSCGGHAKAERLPQHTRIVVLKPSSAITSAKHFQKNGHDNLDDSEAPAISRHLSDEINWSAQDMCHQHDESFRGCMQPNMFIRDRAYYEYAEEGSSFSDSEIGSPTSRHSWEYVYRFSNPYFGSSLSHASCSPDSLVTREAKKYTSDRWPIKSSNEISQEKVPVRRSLSTLGEMLAMSDMKKKGTSEQAVTDTSDQFCRNEPRLAVPSKCSADENGENSLKKISRSKSVPVSSAAFDNLRLDDGCSNSEHEESTSSKEEIKSKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGSRIPLASNASIPNSDVPQPEWTILQDNVASENLEKFDIAPTVVPVNESEATTSSKSPITLEKALSFEIRNSHFEQPSPTSVLDASFEDASERSPNSSENAITAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQEQYEFIEKILSTAGFSKENTNDIFVGWHSHDFPLGTDVLDQFLERKVEDAKCRERRSNQKLLIDSVNAALLDIGQSKLWGAYPCTDPHVNNAQRISTGDVLVVDEIWRLVKGWLSDDDGEKHMVNAMDNASLVADWVVGKEIQGRSWPETLRLEVDEISKEICGEVLDELVGEAFSELAGCH >LPERR03G03150.2 pep chromosome:Lperr_V1.4:3:2307732:2311757:1 gene:LPERR03G03150 transcript:LPERR03G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPMRETQSERKEYADLKTVTGSVRRTSSDRSCGSGTPMKLLIAQEMAKEGDTNQKTTSVVAKLMGLDDDVALPKSVHPSNRRRFPDGHLSAMLARVNNQMSFDKHTGFVEDMECKDVYEVGYQPPRSEHLSDEFLQRRRPHEDHDKKRMDLVRQKFVEAKQLASHENLLQSKEFHEALEVLNSNKDLFLRFLEEPNSLFAKQSGDFHSAPTSPQRKRITVLKPTKSVDMKGEKEIKRQQDQAVNGSKVEISNIHRRSNSCGGHAKAERLPQHTRIVVLKPSSAITSAKHFQKNGHDNLDDSEAPAISRHLSDEINWSAQDMCHQHDESFRGCMQPNMFIRDRAYYEYAEEGSSFSDSEIGSPTSRHSWEYVYRFSNPYFGSSLSHASCSPDSLVTREAKKYTSDRWPIKSSNEISQEKVPVRRSLSTLGEMLAMSDMKKKGTSEQAVTDTSDQFCRNEPRLAVPSKCSADENGENSLKKISRSKSVPVSSAAFDNLRLDDGCSNSEHEESTSSKEEIKSKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGSRIPLASNASIPNSDVPQPEWTILQDNVASENLEKFDIAPTVVPVNESEATTSSKSPITLEKALSFEIRNSHFEQPSPTSVLDASFEDASERSPNSSENAITAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQEQYEFIEKILSTAGFSKENTNDIFVGWHSHDFPLGTDVLDQFLERKVEDAKCRERRSNQKLLIDSVNAALLDIGQSKLWGAYPCTDPHVNNAQRISTGDVLVVDEIWRLVKGWLSDDDGEKHMVNAMDNASLVADWVVGKEIQGRSWPETLRLEVDEISKEICGEVLDELVGEAFSELAGCH >LPERR03G03160.1 pep chromosome:Lperr_V1.4:3:2314970:2332237:1 gene:LPERR03G03160 transcript:LPERR03G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRIKMNRLLNIFKRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADSDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKPNFIDYGHLTTCMQVVRWNYTAEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNSGSGIPVEDLKLLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEYVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSLKGSHHSSQRSSASTGKAYFYCGSHDLTMACQGIAGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQRIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNSPFTTLLSGATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESVNPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKGPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRAT >LPERR03G03170.1 pep chromosome:Lperr_V1.4:3:2333262:2338014:-1 gene:LPERR03G03170 transcript:LPERR03G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTASVSKWLAQRKGGGGKLENPRRAVRRERGMEVDAGGGGGGGEREAEERRRRELLSLVEAIKSSEVALTCSYLDTTKCLGPFLALGTKAGSWCLKHLLWSVESIDESEDAQEEEHSTLFIEIFVLTFNISSKLLPVASKCIANDMVHSVGDFILELLILTESSILHKKLGTTGHVAKAAPVFLDETIKLCRGYSEAAKSDQCIISMSKEETTMEHKEPDLSSNVARIIACTIQSLCKIGTYAASSGGSQVILLNISWKGIVSLLQLGKGMIEEKVNVREIILIPISIAIESLRVATETWCVPLQQDLGTAEARRAFLPIKYFLMNAVRICSIYPSEAMAIYKSIIRCALVISYSGILFCRNPQLKAASELLFELLEPISFLLLDTLMKSPEVFPELKCQLVRYFLEKGETNNPESTGQVDHRMSFASLGCIFSVDSVDDNINRTLLPAEFTVFLHFLNASPWLREEVVIELCKKLHLLLNILILEDVYSYVLGCQIPALSGDGDSPKVIWQPVYTSLIQALKTFMVAAAASSAVWSEFEVFLLESLFHPHFLCLEILTELWCFFVHYAETETSTYLINQLFILLKNLVSPEEVCAPFSPLRKLARASCTILSYASSATIDQIYTSVLNDNSSKSPILYLALLMEGFPFKSLSFGIKEHAMKTLLTSFTGYLQNQNYKNHGEFDLPTSSSSVIGLPVHALASAFQSCEIQEAITIDEKSVVTMFRIAISLINLYRTSPDSSKNLLAQHISSMLVIVKHVWHLCAFSELEKLTLELHTLFMSGSDNSNAALSQCKPSMASFMAMLGHLNTTEDDTNMFCSATWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKLVPVDAALSYTSTGVDIDEDGFMSELKAFLQKEVALRDNKWSEEQICLLVSEGRMLKKLVESSLEIPPVPEPEKVSIANDVKTKKRKRPDGISEGMMLVQNGLKIMRSALSEKELGELKDRFAVHLSRLEEAVSQMTCFSDKI >LPERR03G03180.1 pep chromosome:Lperr_V1.4:3:2340655:2342073:1 gene:LPERR03G03180 transcript:LPERR03G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAAGLLPPLRFIAVLAVVSWTSFIYYHFSLLSGGLLLGHGGGGDDGADPCRGRYIYVHDLPRRFNAEIIRDCRKTRDHWPDMCGFVSNAGLGRPLVDRTDGVLTGDAGWYGTHQFALDAIFHNRMRQYECLTNHSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTRWLMSRPEWRRMGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPKSDADVFRWQERVRGMQRRWLMAFVGAPRPDDPKNIRAQIIAACNASSACSQLGCAFGSSQCHSPGNIMRLFQKSTFCLQPPGDSYTRRSVFDSMVAGCIPVFFHNATAYLQYAWHLPRDHAKYSVFISHHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPAVIYADPRSKLETVKDAFDVAVEGIIDRIAMTRGGYGRSWLLPKQSRQALDSRRRKLD >LPERR03G03190.1 pep chromosome:Lperr_V1.4:3:2344230:2345714:1 gene:LPERR03G03190 transcript:LPERR03G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERTGANGGKRLFPRLLFLSALSATPWLLIFCLHFSVFDSAPPVSSPHHSLVAVVSDGVEDAQRFLLEQEEQLRRLPSSRDVTSTADDCEGRYVYIHDLPPRFNDDILRNCRKWYQWIDMCVYLRNGGLGEKVDNSDGAFADEGWYATDHFGLDVIFHSRVKQYDCLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRAMGGRDHFVLSGRTAWDHQRQTDTDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRRMKREWLFTFAGGTRPGDPNSIRHHLVRQCGASTFCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDDHDTYSVFIAEEDIRSGNVSIEETLRKIPNDVAEKMTETVISLVPRLLYADPRSKLETVKDAVDLTVEAVIERVKKLRKEMHGAGAVSST >LPERR03G03200.1 pep chromosome:Lperr_V1.4:3:2352360:2354180:1 gene:LPERR03G03200 transcript:LPERR03G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPTHQDDMEKIGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGSDASAAGASVAHADLPFPVPERVSDPVAPLVSKPPPATVSEPPPTTAAANLPKAEEKEEVPAAVVQHQQDAAPAREYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMTNDGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGHNISTRDAASLDLIDWLRKRPEWNVMGGRDHFLVGGRIAWDFRRLTDQESDWGNKLLFLPAAKNMSMLVVESSPWNANDFAVPYPTYFHPAKDADVLQWQDRMRSLERPWLFSFAGAPRPDDPKSIRSQLIDQCRTSSFCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDDVRKGNVSIEDRIKSINPDMVKKMREEVIGLIPRVIYADPRSKLESLKDAFDVSVEAIINKVTQLRRDIIEDREDKDFVEENSWKYDLLEEGQRTIGLHEWDPFFSKPKDKGADSTNKSTKAAKNSWKNEQRGQN >LPERR03G03210.1 pep chromosome:Lperr_V1.4:3:2359100:2362234:-1 gene:LPERR03G03210 transcript:LPERR03G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRPSSTTHLFFSLSFSLALLSCIAVSNVAAAGDEAATLLAIKASLVDPLGELQGWSSSPATPHCTWKGVRCDARGAVTGINLAGMNLSGAIPDDIAGLAGLTSIVLQSNAFDGELPPSLVSIPAIRELDVSDNNFKGRFPAGLGACAMLTHLNASGNNFAGPLPADIGNATALETVDFRGGFFSGGIPKSYGKLQKLKFLGLSGNNLNGALPSELFELSSLEQLIIGYNEFSGAIPAAIGNLANLQYLDMAIGSLEGPIPPELGRLQKLNTIYLYKNNIGGQIPKEIGNLSSLIMLDLSDNAITGTIPPELGQLANLQLLNLMCNKLKGGIPAGIGELPKLEVLELWNNSLTGPLPASLGKSQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSTLVRVRAHNNRLNGTVPVGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNHLRSALPSNILSIPALQTFAAADNELTGGVPDEIADCHSLSALDLSNNRLSGSIPASLASCQRLVSLSLRNNRFTGQIPAAVAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCDGASSLPSSSSESYGLRRSHMKHIAAGWAIGISAVITACGAIFLAKQLYHRWYVHGGCCDDAAGAEEDGTGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGMGVVYRADMPRHHAVVAVKKLWRAAGCPDQDAATVVDEEAGAGGEFASEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGTRKGKTLMDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESTDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >LPERR03G03220.1 pep chromosome:Lperr_V1.4:3:2369009:2370336:1 gene:LPERR03G03220 transcript:LPERR03G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKDAAALAGELAGDAGASLNAFFDHAGLEAAVVEGEEEEELEWLSNKDAFPSVETMAVAGDSGRLFPEYRPANSPTFSPLLHSNSHRRVMEMRLQGEERKEEEEEEEEEDASAASARAIAKARRAERAAARSAGKDNN >LPERR03G03230.1 pep chromosome:Lperr_V1.4:3:2372496:2379034:1 gene:LPERR03G03230 transcript:LPERR03G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSAAPAATAAASPLAAVFAKDSRPLPCLLLASSLLLLLLLHLLSSSSSPSPPPPSPPHHVIPLPVDASSAGPAPPKLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDRAASDGDRARLARLARVGPGRDNVHVVGDPGFANPRGASTLAATLHGAALLLRVGQDWDWFVQLDAGDYPLVTPDDLLHVLSYLPRDLNFIQHSSYIGWKESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFTEYCIISADNLPRTMLMYYTNMPLPHRKYFQTVLCNSHEFNRTVLNHDLHYSKWDTPSKKEPSLLTLDDVENMTQSGVAFGTRFSKDDPVLNHIDEEILHRQPEEPSPGGWCIGVGDSSPCSVSGEEAAVCGNGGEEWLLP >LPERR03G03230.2 pep chromosome:Lperr_V1.4:3:2372496:2377715:1 gene:LPERR03G03230 transcript:LPERR03G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSAAPAATAAASPLAAVFAKDSRPLPCLLLASSLLLLLLLHLLSSSSSPSPPPPSPPHHVIPLPVDASSAGPAPPKLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDRAASDGDRARLARLARVGPGRDNVHVVGDPGFANPRGASTLAATLHGAALLLRVGQDWDWFVQLDAGDYPLVTPDDLLHVLSYLPRDLNFIQHSSYIGWKESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFTEYCIISADNLPRTMLMYYTNMPLPHRKYFQTVLCNSHEFNRTVLNHDLHYSKWDTPSKKEPSLLTLDDVENMTQSGVAFGTRFSKDDPVLNHIDEEILHRQPEEPSPGGWCIGVGDSSPCSVSGNPDILRPGPAAMKLAKFLAQRLTYRNFYSQQCIWD >LPERR03G03240.1 pep chromosome:Lperr_V1.4:3:2376474:2378681:-1 gene:LPERR03G03240 transcript:LPERR03G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASMSAGRNVRIQIPDQLPTLFEPQKAIMTPHIRASVGNEYDDDDAAQPERRLTALALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGNTDFWCITALLLVEGARILGRSHELEWQHEATWRPPVSWAIAIGRVFYWMQLLSASACVALSLVRLFHQRCYGGSEDARTNRSSALDIFYGLALAEALLFLVEKGLWQWRVGHRRLLERVAEECHLAGAFGGEVAVRRFFYDSYSRCLNGSIFDALHMDLVSYADDLLTAGSHDEQRLGASVLVALAESDRFADSTLRRIGTSAPVTERLIEMLSWKNASENGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYLSIAASSPATTTTDTRLMAVKQSLRVVKRLASTTGTTGKLLRRELADVVFTVSNIRDVLQRRDGKARAELHQLAIEILTSLAMDEEAREMIGRTGGVVSELVAMFLPSPATATEAVSDAVRVEAGEALAMLALDSRRNSGEIMAACGGRVDRLVDALSDHVVIIGAARILRNLCTYAGDEWHPSLKGVTAGATKVVRTIMAEKGNPKVLNIFLALAAQMLRFMEPGELAASLATASVTDAAMAKAMVQVLREYGTPSMVVPRIRRYTIELAVAMMRSDERYAALFVEVGMEGQLRRVVDTTSELECFIVFSGSVGLSRRGVSVQADVEVALELMRLGKAEI >LPERR03G03250.1 pep chromosome:Lperr_V1.4:3:2379987:2388103:-1 gene:LPERR03G03250 transcript:LPERR03G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSAAPAQEPPGKPEEERSAREAELFEDAVEEGSSIASASPVAPPDDELTESSSPSAGDEPAAAGEEEAEAYESPSASASGLLGGTGGGDESSPSVSEPREEPGRVDAGSAASPSVLRSEQRARGADEEDENSMAPLGAGGSPAREETRLRFSSAPSSPVLSGASSSSSPLPQIKQQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGAGRSPREQLLRTTVNFEAMANQKRQWYQIHSKSRDHKQYKEPTTLFEHFFVVGLHSYANVGIIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEAQILFKYPPGKKAEIRESDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTTYKPSRFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPVPRSVKEQSQVNGDFESSNGISYNDWTEYAVPVNSISGLVSSSGLPSGDISSYLFRSWEPNSPESISASETSDSSYVRHSFQQYDDCISENSESRCDSFGRASCSYENGHTSPDLLSTHLPISRRIVRAQSMDCLHSSVKGAGSDEDEEVNVKHEIVVDDEKVMGWAKAHNNEPLQIVCGYHSLALPPRGGELVFHPLEHLQPVKYTRPGLSLLGLGDTNLDNGFTSIEKNEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAGVLLEKQIVVICPNLGILSAIVLSVIPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCESALAKRHPIYKCNEVQAESAWQFLNVMRSYLESLCSDLCYHTITNVQSNNDRVSLLLKDSFVDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENEPT >LPERR03G03260.1 pep chromosome:Lperr_V1.4:3:2391473:2394912:1 gene:LPERR03G03260 transcript:LPERR03G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSSIRYFQSGSLRFTTTRGGANAGTLRIPASSKDFPNGSQGIRRSLRLRCASPFAPEEPMWWATALTPDDLIEPTGHDEPLRPVVIALQEIKACNGNVFRCHCFHAGITLGLLVLVVRICQFHKLAPGLWLDIVLGYIWYKLSVLAAELKRNGKANNICSRIQFVIMLLLFWANNPTKDSCFYFTQLIWFFALHVYSCAVFFECIGVKHPARYVEAMFKTILTTKGGLMKVLA >LPERR03G03270.1 pep chromosome:Lperr_V1.4:3:2395336:2397886:-1 gene:LPERR03G03270 transcript:LPERR03G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRQNDVEDDAEIDQEEFSGPSDDEETPLPDDLFRLADAAQSGNRDALVAALDNYAGKIDDPIEDGDTLLHIACLYGHLPCVQWNVELAWNAKMKKEQFLFMMLALEYILKFAKDIDGCVEKMLNTVDSEGDTPLHHAARGEHLDVVNLLLDHGACPKKVNNYGQAPAEMADQGTEVQALLHKKQVEASTHTSN >LPERR03G03280.1 pep chromosome:Lperr_V1.4:3:2401848:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALRCGHTIHLDCLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRDVPAACSRV >LPERR03G03280.2 pep chromosome:Lperr_V1.4:3:2401860:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALRCGHTIHLDCLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRDVPAACSRV >LPERR03G03280.3 pep chromosome:Lperr_V1.4:3:2401848:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLVGVLVSLSWLIFPAYHYHHYFIGYFAAFDANCRVGHDDIGSLRCTIFLEMIDFAASSSILNSESLILYACFVVLCDCTFI >LPERR03G03280.4 pep chromosome:Lperr_V1.4:3:2401860:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.4 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALRCGHTIHLDCLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRDVPAACSRV >LPERR03G03280.5 pep chromosome:Lperr_V1.4:3:2401860:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.5 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLTVLPCGHHRLSALSATKNRMYNRIAQIVGHVWVNISVQNATFMMMMYQRTNFTVMDVAYVGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALRCGHTIHLDCLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRDVPAACSRV >LPERR03G03280.6 pep chromosome:Lperr_V1.4:3:2401860:2405676:1 gene:LPERR03G03280 transcript:LPERR03G03280.6 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAIEQYGCEHYRRKCKIRAPCCGEIFDCRHCHNQVKDSLEVSISDRHEVPRHEIKLTVLPCGHHRLSALSATKNRMYNRIAQIVGHVWVNISVQNATFMMMMYQRTNFTVMDVAYVGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALRCGHTIHLDCLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRDVPAACSRV >LPERR03G03290.1 pep chromosome:Lperr_V1.4:3:2407205:2412687:1 gene:LPERR03G03290 transcript:LPERR03G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNEKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIELHSTNKECIKMLVGNKVDKDEERMVTREEGLAFAQESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKHENNAKNGGGCCQ >LPERR03G03290.2 pep chromosome:Lperr_V1.4:3:2407006:2412687:1 gene:LPERR03G03290 transcript:LPERR03G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNEKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIELHSTNKECIKMLVGNKVDKDEERMVTREEGLAFAQESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKHENNAKNGGGCCQ >LPERR03G03300.1 pep chromosome:Lperr_V1.4:3:2414624:2415871:-1 gene:LPERR03G03300 transcript:LPERR03G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPESSWLSSQPDGRPTTNFDTHDVYPSPSLESIPWKTKENFLSYSFQAKIKGKFSSGGERGGKELDGRRGEAASGDSTDKVDDDRRRGEAADVEEVDGDDSRSMTTSGVDRRLAARRLTMTRGDGDEEDDDEAVQRGRGQREGRRRREATAARRSTTMGGVERRGGGRRGSQQRRRRGRRRRVVWRDGGGQEVDDGGEEAGRRAA >LPERR03G03310.1 pep chromosome:Lperr_V1.4:3:2416907:2418546:-1 gene:LPERR03G03310 transcript:LPERR03G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLSGGGATTPAGLVAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACILLRFSTGGLATGTFGLTGISVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWEAQWVYWVGPLIGGGLAGVIYEVLFINHSGHEQLPTTDY >LPERR03G03320.1 pep chromosome:Lperr_V1.4:3:2422639:2424343:1 gene:LPERR03G03320 transcript:LPERR03G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSTGTSSFRRRSLSSSPRIASSQRTSGVRSACSRAAGGCTTRSTGRSHTSCCSAVRSTTSSSRRRQPRPRRRCCPSDRLAGDGGDQGLEYAKP >LPERR03G03330.1 pep chromosome:Lperr_V1.4:3:2425406:2429396:1 gene:LPERR03G03330 transcript:LPERR03G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMAPTASLLLSPRPLPPASHRHRVPSLPALPSSSRLRLRRARAGTRLRVAAPPSVPGEADQAPKETETEPSTSSPEEKFVWRDHWYPVSLVEDLDPSVPTPFQLLNRDLVIWKDPKSGEWAALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKASATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFEAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKMFMGATVAFCATAGIPADVQFRVLLAAAALISAAVAYAFYALQKNFVFVDYVHAEID >LPERR03G03340.1 pep chromosome:Lperr_V1.4:3:2430819:2433720:1 gene:LPERR03G03340 transcript:LPERR03G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMNDSTFQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLDKDYQAWASGDSSRKPLGTGL >LPERR03G03340.2 pep chromosome:Lperr_V1.4:3:2430840:2433720:1 gene:LPERR03G03340 transcript:LPERR03G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPFPSAAIHRRRAVVRASSSSSSSSSSAVSSAPKARFVARRSESTSVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMNDSTFQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLDKDYQAWASGDSSRKPLGTGL >LPERR03G03350.1 pep chromosome:Lperr_V1.4:3:2434039:2454838:1 gene:LPERR03G03350 transcript:LPERR03G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMSASSSSTMPSSSAAAAAAALEAVQVLVASLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVRALHRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLFTPRLKDVLKRVLPLLGSVRDAQRPVFANAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLQVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANNSKVEHSYVSKGLKTYNEIQHCFLVISSAYPEDLCMFLLNKCKSKDEASIVGALGTIKHLLPRLLESWHTKQALLVEILKSLLEEQSLGIRMALAELIVVMASHCYLSGHPAELAIEFLVRHSAITDDDLNDPNTLKHEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATTNPLYTEFNASNDIPNPEDLFARLLVLLHNPLARGQLATHILMVLCYLGQLFPRNLSLFLQDEVPKMKAYISDPEDLKQDSTYQETWDGMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCTHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILDNAGQSTLQRFLSLLSFRAKTEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQNDDLVDFSTELLHTQSLALSACTTLVSIEPRLPMETRNRVMKATLGGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCIAVNEVLVKFRNLCSGGFGVLGPYPSFTLNKQVDQAAPRSLSTAFVLPNRDSLSLGERIMAYLPRCADTNAEVRKTAIQIIALFFNIALSLPKQKASASDVDLESSYSALSSLEDIVSIIRREASVDQAEVFHRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSSDGSIQAIIMFIVRRGKELREPDVLRATQSLLSSAVSLTDKDSRREVLNASFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSAEDCILQATMFALNAFLRGGGKAGKQAVEQSYPSVLSALILKLGSLHGLAELGRIELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWVDLVQEVACSSSVKRPKEVLPTCNILSKALNNNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCMPKYIQQVLGVVLALLEDIKESVQLTAVQCLLTVLNVSDQDAIDPILINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDELSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAAASSALGLLIKRSNVVKSLAPKFDRADSSQNNSQHGDSHMKISSELQEETVDMPKDTQGEQ >LPERR03G03360.1 pep chromosome:Lperr_V1.4:3:2466442:2468146:-1 gene:LPERR03G03360 transcript:LPERR03G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGFQHKEILVSVGGAVLLDGTDLPANASTGVTFAGYPMADLLNSIETAGDLTQIQAQIDITGTQFGVKPPGTPMPKTIKLSNPRFRCPTPTRKESVMYVCCVKDPKFKGKKPNATRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPISRLDSWNLTWEWKRGEFIYNMRGAYTLNKEGPACVYSPAAGYYKDFDFTPVYSCEKRPIVVDLPSEREKDKDVGNIPFCCKNGTLLPPTMDESMSRAVFQMQVYKLPPDLNRTALYPPQNWRITGKLNPQYACGQPMRVSPMIFPDATGLMSSTPAVASWQVACNISRPKKRAAKCCVSFSAYYNDSVHLRLRLWERHGAMLLPPEALLVPFDNRTAKARAWAKIKHRRVTNPMPCGDNCGLSINWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMGTPFNNTIFMQGLPGLTYLEPITDGRTPAGPRQSVISFKRKDAPNINIAKGEGFPKRLYFDGEECALPETIPKASRAHRRATATASLGQIVVAVVFVMVVAVVDSLCLR >LPERR03G03370.1 pep chromosome:Lperr_V1.4:3:2468163:2468450:-1 gene:LPERR03G03370 transcript:LPERR03G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWTVNFLCIVVVVVLLAVVGGVTAQDYNNGGGGGDDDDEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAYAFKATGAQHHDR >LPERR03G03380.1 pep chromosome:Lperr_V1.4:3:2470382:2479293:-1 gene:LPERR03G03380 transcript:LPERR03G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFIYMPPPRPASLLCFHRQQTTPRACHNIAAGLTSARLKSPEAKRKLSFPHGGDRAPELWFHGGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSGNENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDTPPSPDSINGEKEKEDEVVEKKWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >LPERR03G03380.2 pep chromosome:Lperr_V1.4:3:2470382:2478944:-1 gene:LPERR03G03380 transcript:LPERR03G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSGNENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDTPPSPDSINGEKEKEDEVVEKKWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >LPERR03G03380.3 pep chromosome:Lperr_V1.4:3:2470382:2472300:-1 gene:LPERR03G03380 transcript:LPERR03G03380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSGNENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDTPPSPDSINGEKEKEDEVVEKKWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >LPERR03G03390.1 pep chromosome:Lperr_V1.4:3:2487829:2492511:-1 gene:LPERR03G03390 transcript:LPERR03G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFTWLLAAARPKPCVRPVSTEPTTGGGGGGDPPRARRTASADLPPPRSCRPLRLSRITCLKRTLSSHTDFFYNRTIHIDPSLEESPSKQNRIFTQKRKEKTQLTEYHLRMDSRSPKIPRRSPDDSKNKDSDRNRARDEKYDWDSSRTYGSETDGKEESWDDNKRKGSAMGENIGDSSRSVDRSHETELHVLRDDKEDKAAGIKDALHDAGGKSDYAQHQLDLENERRDGTGDTSRMEVYKDDKLDSGRDRNWTDKTRETEGSKDHLRNRQWQDSKEANDSEWKNAQERLDGGNFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNSLDFGREGSVSGRRYDVGAHRDITPGTNSDKSANHPEADQSGSSSMNSQFSQQGPKGDRHSRGGRGRPNSRDSQRVGVTLPIMPPPFGPLGLPPGPIQHIGPNIPHSPGPPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPPVAGEHRFNPSMGAGPGHNIHLNQMGSGIGAPTNMSGLSFHQLGTQSREMAHEKPPGGGGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNADEIMNLKIEAIADTPSFLFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSSKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKDLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >LPERR03G03400.1 pep chromosome:Lperr_V1.4:3:2492604:2497139:1 gene:LPERR03G03400 transcript:LPERR03G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPTKQQPSESFPPPQSKRRRQCQSPALDMGTRNHLWLGAKRILTCYLVGLTISDRYATIVSVTGDSMHPTFTAASSSLRGDVVLAERSCLEKYQFSHGDIVMFKCPSDHRELFVKRLIALPGEWMFLPGSHDIIKIPEGHCWVEGDNAASSWDSRSFGPIPLGLIKGRVTHFIWPPSKIGRLDTKIPENRISPV >LPERR03G03400.2 pep chromosome:Lperr_V1.4:3:2492604:2497326:1 gene:LPERR03G03400 transcript:LPERR03G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITMILALLMVMKHKKRCSSSSSSCCLKLSSFLLSCLSTSCTSLPSPPPLRWCSTHICSSATMCSPSSRTLATSRLIVSMSRLSMYVPFAAASSCTSARRARAADRPPPPPPENRDADVMIGNAANAARMCTPMQAPTTAATATTAMNDTDIACLILAARLILIRAFFLPASSPPRSSPELSRTSLSLACDAASAVNGCPSAAETSARPETPTSAASLRSVRFSGL >LPERR03G03410.1 pep chromosome:Lperr_V1.4:3:2496622:2497881:-1 gene:LPERR03G03410 transcript:LPERR03G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTFNWFSSCMHHNAQEVHDPKSVVAPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPRPGGGDTASKRLPSYRHFAEHLLEPDQRAVAAALASSASASRRRRDGDVVHGLLAAYFAETANASFLCSHLLRDIEQIRHRYRPLKRTLRKLAADVGVSGLADVSAALGHPFTALAASQARLREVRLSSGELLGGLDAGRKKARMRIRRAARIRQAMSVSFIAVVAVAAVVGACIGVHILAAFAAFPIMTSASRFSGGGGGGRSAARARRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMVALLQMCVEHHRSGGGEGRLVQEVLRQLSKNEESFRQQLDELEEHLFLCFMTINKARIMVMNFISAAR >LPERR03G03420.1 pep chromosome:Lperr_V1.4:3:2499999:2503943:-1 gene:LPERR03G03420 transcript:LPERR03G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVEQPQPEEEEQEGQHGGGGGGGVERCVRHPTQVVTGVCSSCLMERLSSVRTPAEVSSVRNPAEKMEEEIVEVAAADDPGKLRKTLMLLFQLDDSCSAGADAACSTDAKDPQVAEFEVEPGGGGGGNRGGKWKGGAWLRSILPRKGMRWRRKEELPPRPPQGRVADPDSADDARVERKPSFRRSCEWMVCREPIRGSTVVEPPRHSWDGSMVGKAFACSFACLEEPPDGAGRVRRSNADESAGESRAGGVESRNVGNLGDSGGEGRRFGGRNCGDAGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRSRNGETGGDMDCEIQPGRNGHCSGRASQGSARVSQSAANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSARTANRGRRRNSRLFSRGLFVQFQMAGKTACPITIFLVAGVLLLLLLCAAVETAAGAKRVSITDDLRDVVDDEEDDEWRRWGAAAPARDDGPPPDLSRMDPAALQAELLRCHSGPSFGFVKLRLGVRRSQEEVVGIATRWSNVLRTGLVAAKFVAVDFGTLMFTMDRGQDILEVKEFILSQPEAYEFKIGNQVFRRPGDPPLDEVVEMLQKQKKDSDSHKYKSKVEL >LPERR03G03430.1 pep chromosome:Lperr_V1.4:3:2507792:2511658:1 gene:LPERR03G03430 transcript:LPERR03G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRDGGARARARERPRSDPGDSRDLSGRVSVATTTAESYRSERSVGSSASGRGIALLDAFRSCFVPAAEAHARSPETSLSDDFHPSQQLSQSISSQGSSSGSNFERRRSIRGLYGPMHRNSSEKDKDIPGSTKFSLPEIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLVAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNERLLEFSLRLDIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELITGRRPIEPRRTIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKMYELALQCLATTKRNRPSMRRCAEILWSIRKDYRELDIPTSAMN >LPERR03G03430.2 pep chromosome:Lperr_V1.4:3:2507792:2512183:1 gene:LPERR03G03430 transcript:LPERR03G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRDGGARARARERPRSDPGDSRDLSGRVSVATTTAESYRSERSVGSSASGRGIALLDAFRSCFVPAAEAHARSPETSLSDDFHPSQQLSQSISSQGSSSGSNFERRRSIRGLYGPMHRNSSEKDKDIPGSTKFSLPEIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLVAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNERLLEFSLRLDIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELITGRRPIEPRRTIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKMYELALQCLATTKRNRPSMRRCAEILWSIRKDYRELTFGFCANRIYFLRL >LPERR03G03430.3 pep chromosome:Lperr_V1.4:3:2507792:2513455:1 gene:LPERR03G03430 transcript:LPERR03G03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRDGGARARARERPRSDPGDSRDLSGRVSVATTTAESYRSERSVGSSASGRGIALLDAFRSCFVPAAEAHARSPETSLSDDFHPSQQLSQSISSQGSSSGSNFERRRSIRGLYGPMHRNSSEKDKDIPGSTKFSLPEIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLVAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNERLLEFSLRLDIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELITGRRPIEPRRTIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKMYELALQCLATTKRNRPSMRRCAEILWSIRKDYRELDIPTSAMN >LPERR03G03440.1 pep chromosome:Lperr_V1.4:3:2514229:2516363:-1 gene:LPERR03G03440 transcript:LPERR03G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLAASTATSDSPPPQPAAAAAAWCHAAADDCAICRPPSPQVAPDGIKQKGHIVGRAPEPERRSDARIGRDEGTPVPEDQGRDIDGGPPAKKLLVFSDDVVRLEVEEGSGEIAAGDASEPAARVGVPVANGAVSKQEDRVPTCLVDESASGLVAKEVASPANGAIAEQVLKGASLANGAVNEPGVSERVPFLCEAATEPGAGVSVPEVTEEALAGGEGAAKLEVTKGQSLVTDSAAAMEVTKEVSVVNKAAAADSDLSERVSTEPGVKLSVPQVIERGAFTDRDVTGFASLDNVGAVEPEVTGSGSLVNEATEMEVNGGAYVSSRATAESEDTGRASASSGDGDIALDEPQPPDCFKVANAAEDVASRLHPCTANAESSGGSTTNDHVSLKSPTAEEVVQPGGCVDTPSVSCLSDIVARSIGKSSRTDIICYARRRGKRKLEMEVKEENVEMDDSAICDQYDDKLASERAGPCESATSTAISVEIKIADIKRELEDNSAASKGKRKKGRFECDIDYCRMTFKTRAELSVHKKNTCTIKSCGRRFRSHNYLKRHESIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQIHTGKKPYKCTTPGCSKIYKFVSDFTRHKRRCKS >LPERR03G03450.1 pep chromosome:Lperr_V1.4:3:2524947:2527008:-1 gene:LPERR03G03450 transcript:LPERR03G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIPDKLDKINKAEAAANKKGIRIFNKKSGDSRVSDKLRPTRNSDPDQTVTLLYRWKDLYFKAFHVRGTWFRFNDAEEFLPPRDQVQYDMNERVGIQELPINSGYGSYGCIGQFETGRVGSRSFHNCHTSLLSARELHAQHRHGDLHLLLPVVGIAECIRFRKFQSWVASKLSSEDSTEEVIPGEVIPEAHSYDFRHWGDLSYAIFCQTAWGELTYHEICQI >LPERR03G03460.1 pep chromosome:Lperr_V1.4:3:2530977:2532555:1 gene:LPERR03G03460 transcript:LPERR03G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGVGGVAGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQHVDPSDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQLATLQVQVAQAKTQVAQTLAAAGMMTAAGTNPLLQQMHQQQQAWQMEHDQSMTSTQSSGCYSAPRSDGSTSLQDMYCFGEQEEGSYSR >LPERR03G03470.1 pep chromosome:Lperr_V1.4:3:2535048:2535871:-1 gene:LPERR03G03470 transcript:LPERR03G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRAEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQATAQGMHHHHTASAAAAAAEQLGYAGYDQWCGAAAAQTQPCGAYSSNNGGGQDQSLTALLAAGSADYYMQQSLYHAFESEGAGAVDDDDCGQAAAAAESSFGGEDSGWRSSSGYQDCEDLQSVAYAYLNRS >LPERR03G03480.1 pep chromosome:Lperr_V1.4:3:2548856:2552216:-1 gene:LPERR03G03480 transcript:LPERR03G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFNEFGADGGPAAKALRPKYNIFTKNISAHLGVAVPHVELKHVIAATIGLKGLGGLLFILSSSFGAYLLMLYLAFITPVVYDFYNYDMEKSEFVQLFIKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >LPERR03G03490.1 pep chromosome:Lperr_V1.4:3:2567718:2570353:-1 gene:LPERR03G03490 transcript:LPERR03G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVASMGALWTRYAPHNLMILTQLCYTFMYFITEAAFNKGLNPYIYVTYRHLVVVVFIVPFAYYHEKKLRPKMTLMLFLEIFVLSLLGVSLTLNMYFASLKMEIVNVRSLRGVAKIAGTVVSFAGVTTMTLYKGAAMSSPWKAPIRIHGSGVVHESWLKGSFLAVASCVCWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSVIFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKDKDHEYSTDKEQESDLDCEKQAKITDFSAVQNGLEEPRTKK >LPERR03G03500.1 pep chromosome:Lperr_V1.4:3:2574070:2577399:1 gene:LPERR03G03500 transcript:LPERR03G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPKSLLLASLSSNPFPRRNPLPLPLLRPPPNPLPRVLPPFAAAARTLTAPVAPPEPAAVAAAAVKPDGIELLEAAEQREAASDHQEAFGLAIKALEPLQASHGGWSLPVVRTLRLAGAAATRLGRLSDGLESLNAAAEIIDSLESVDKEVAAVGAAVHEQLARTKTAMGRRWDAVPELMRALELKAILLEEGSLELGNAYKDVAEAYTGVLCFEKALPLCLKALEISENQFGGESPEVAKIRQLLATVYAGLGRNEEALEQNEIVRMVYEKLGLDVELSLAENDVANMLILLGRSEEAMDVLKRVIKRASKESEERALAFVAMAKILCFQDKSADSKRCLEIAREILDSKVSVSPVRVAQVYAEVSMLYESLTEFEVALCLMKKTLMFLDGVSEMQQMQGSLSSRMGWLLLVTERVDEAVPYLESAVEKLKNCFGPLHFGLGFSYKHLGEAYLAMNQTESAVKYLTIARDIINSAFGPKHEDSIEAIQSLANAYGVMGSYKRAMECQEQVIDAYRSCGPGALDDLKEAHRLRHQLKMKAQGLPHAVFPANSLPVIRSRE >LPERR03G03510.1 pep chromosome:Lperr_V1.4:3:2583715:2584542:-1 gene:LPERR03G03510 transcript:LPERR03G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAFISSPSSPSSSSSNASVPMVVITVIGILAAFALLASYYAFVTKCQVFRGLWNARGAPWRVAARRRAAAATSVIRAVATEERGLGLPFIRMLPVVKFTSASCDDVAGAAAARISVSECAVCLSEFAERERVRLLPNCSHAFHIDCIDTWLQGNARCPFCRSDVTLPFSTPPPPMPPPLASRPDSVERAPDDQMVRNRIVIEVRGEHERWVRPRREKGESVGDEAIDTRRKKQEGEFAVQPMRRSLSMDSSSCHKQLYVSVHEFLTQQRQV >LPERR03G03520.1 pep chromosome:Lperr_V1.4:3:2589706:2590599:-1 gene:LPERR03G03520 transcript:LPERR03G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASGMAGAPTMSPPAYNNPTAGFPIAIVIAIGFMVTSLILASYYFLVVRCWLRGAGHAAAAGGLLHRSRRDREAERVSAVFFADYVDGELPGGLDPDVVAALPIIKYRRAAGNGKGKPAAAATECAVCLSEFARDERLKLLPGCAHAFHIDCIDTWLHHNVSCPLCRAVVTGAAIGLADQYDASSRDLAAAAAEGETRRIGSSCRFPKISGEQEPIRRSFSMDFFLGRKPPPAAEAKENAVDSEAGPSQPDEMAGGSSSIVAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >LPERR03G03530.1 pep chromosome:Lperr_V1.4:3:2595095:2602228:-1 gene:LPERR03G03530 transcript:LPERR03G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGALGGGLRGRWRSAASCEGGGERAVASSCSIVASAAASEQRQPGSSGCIPWTAGRDGEDGFVRNDVALSCVDLAKGIAKVIRMHTLAVTTWLGSRRQPYEDIVGRHTDGTVPNSMIGNLPKELNPPPSVNMQSFRGSYVTKLRKSITSEDLK >LPERR03G03530.2 pep chromosome:Lperr_V1.4:3:2596230:2602228:-1 gene:LPERR03G03530 transcript:LPERR03G03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGALGGGLRGRWRSAASCEGGGERAVASSCSIVASAAASEQRQPGSSGCIPWTAGRDGEDGFVRNDVALSCVDLAKGIAKVIRMHTLAVTTWLGSRRQPYEDIVGRHTDGTVPNSMIGNLPKELNPPPSVNMQSFRGSYVRLAINS >LPERR03G03540.1 pep chromosome:Lperr_V1.4:3:2605804:2606217:1 gene:LPERR03G03540 transcript:LPERR03G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKNNNSKEEGKKSTSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPGEMSASAGAAATGSASSTSSSRAAAAPWGSRGDKVELECLDDKVLDDLLAEDNYRDKKY >LPERR03G03550.1 pep chromosome:Lperr_V1.4:3:2607387:2607884:1 gene:LPERR03G03550 transcript:LPERR03G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVESVGWARTCGVCGSPGSLAAKAPYHHWTLPSSSCTDSPSSSPTSGPTPRRSSCRPRSSRRVSGQPATESPPQPGRPARFGFLYTAQPQDKAHVDAGYKPGIGVRNALFVLAACNLLGFLMTWMLVPESKGQSLEEMSGEVDNEEDSAHGVGAANLSGDEIV >LPERR03G03560.1 pep chromosome:Lperr_V1.4:3:2615799:2617424:1 gene:LPERR03G03560 transcript:LPERR03G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDQQLQVLNALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTTSPSPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSSAFQAAFPAPAYEINAAASTVPQADYVWRIILMLGAMPAILTYYWRMKMPETARYTALVAKNAKQASSDMAKVLQVEIEVEAEKLDHITRGKDYGLFSSRFAKHHGAHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVIGRFKIQLLGFAGMTAFMLGLAIPYHHWTLPGNQVAFVVLYGFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAACNLLGFLMTWMLVPESKGKSLEEMSGEAEEEEASAQGVGAAANLSGDEIV >LPERR03G03570.1 pep chromosome:Lperr_V1.4:3:2619331:2620914:-1 gene:LPERR03G03570 transcript:LPERR03G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDVTKETPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGRTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGAIVALAVSSGFRHAYPAPSYADNRAESLVPQADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTQIEENVEHAETVAVGGGDEWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFFMMTVFMLGLAIPYHHWTTPGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDQHKPEPGYPKGIGIKNALFVLAGTNFLGTVMTLLVPESKGKSLEVISQEVADDDEEAVYPN >LPERR03G03580.1 pep chromosome:Lperr_V1.4:3:2625042:2626625:-1 gene:LPERR03G03580 transcript:LPERR03G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQLNVLSTLDHAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLIGRIYYTDLTSPNPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGESPKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVALAVSSAFRHAYPAPAYNVDAAASLVPQADYVWRIILMFGTIPAALTYYWRLKMPETARYTALIARDAKRAAADMSKVLKKEIEEEQDDQQRQAVAVGGDTWGLFSRQFMRRHGPHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFFMMTVFMIALGVPYEHWTKPAHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPDAGYSRGIGIRNALFVLAGTNFLGMLMTLFVPESKGKSLEEMSKETVGDEDLGDV >LPERR03G03590.1 pep chromosome:Lperr_V1.4:3:2633319:2634889:-1 gene:LPERR03G03590 transcript:LPERR03G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQLNVLAKLDQAKTQWYHFMAIIIAGMGFFTDAYDLFCIALVSKLLGRLYYTDITKPNPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSIASGLSFGHTPNSVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYAQNPAASLPKQADYAWRLILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLQTEIEENEEVVEKQVVQGDKWGLFSRQFLQRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFFMMTVFMIALGVPYEHWTKPAHHTGFVVLYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYASQDQHKPDEGYSRGIGIRNALFVLAGTNFLGMLMTLLVPESKGKSLEEMSKENVVDEEA >LPERR03G03600.1 pep chromosome:Lperr_V1.4:3:2638044:2645521:-1 gene:LPERR03G03600 transcript:LPERR03G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIPPTSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAIGCIYTEAKSYPREPASHVAIRTVRRFLEKQKGKISAVVFCTTASSDTEIYKRLLPLYFPRDKNEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGAAINKTSAPAPVDSPFPDSELTRRRNSFKLDSYLDPAFMSIIKDPDVRRKEQWEKSVQANKGFNWAKLLGFGDLGSPPLSATEEYSLHSRYLAKANSFNSSEIAEMKIIYRGGVDCEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGFMKRLQQILGRKHRRNLHAIYVLHPTLGLRTAILSLQLFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSGQTGAFKKYVMMSKLGPYELGAPVRSHSSHAPRDGPGEQCLLSSRCR >LPERR03G03610.1 pep chromosome:Lperr_V1.4:3:2648544:2656857:1 gene:LPERR03G03610 transcript:LPERR03G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPPPAAASASSAAATAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPVPTTSDSSNPSSSTPSASAAVFTTRHQELGTPRRGRPTPQVLKQVWQSGERYTLDQFEAKSRAFSKTHLAGVHEPSALTVESLFWKASTDRPIYIEYANDVPGSGFAAPVQLQRQRKKRKRESSPMDEWEKSAGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVEIEEVIRLHGYGGNPDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLSGIRTSRLRDRKKEERELLVKREFLQDMISENGLLCSFMEKKSVNNVVLWEPDLLPSLTSLHSCSSSSKAPEKKGEEGPRIEFTQSSSKDNSLSHGTECMTSTQSKGVSMDRQDSKHAPDGEKVDTDDEDGLPFDLSIDSGSLTCVACGILGFPFMAILQPSRKALEEISVVDKEKYKLSCEKENCSNVLPCSPNDGVSGCPLIADRLSSPVENANLSHQNVNPIRSGISLMGNELDGTVRKHSGISCSCSNENTIHPYGDTETPEKKLLSDSPGSELNKQKGRGDVNIQAVEGSEETISWNTCCTFARPRIFCLQHALEIEELLASKGGVHTLIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSDLQLINISIDDEGYEEEGTDWTSRMGLNLKHCSKIRKEASGSQKQPPLSFWGLFSKPSPISIVPNLKWLCRKARTPYKVVGYASSADVAATPDKVKPAVTKTQTETSANAHENAKSEQTLQQGSVPQESNDVADMCMRPKQNDQDGHGLINIPVAEYPMMHQVSEGAVNVNESDDPTCSFDSQDSPTTASVSVAELTGEQCNVETTELSSLTTPVQQLLDNELIAEGGSMTLGSNHEYLESDNATSACQDEQLQAHQDQETMVLCNNPNTELVRPCLVKGATFAGELQGGTASSTLENEDSCGNTFYCSDTVLKKSKSDTDDQPETCGRSAVLVTPKLSCDQMISSTDGSCSLAMDCTVSIDAAFSSEKLSMTHDLMNNELQAVHNSKALINSVKTTQAEGSLTDLKATNLNYIHTTQLPQESPRSDVIIAEGTQSASDTVISGQNGTSIHAESNSFDILLGVLADESKVASGKDEVGKASLTLMTLTSNDHTTDDATQGEIAEITGSSGNIALLNKDPTGFCASDIVSRSIGSSNRTNIICYARRKHKRKCGPELSINSSQSYVSFARSPCESLRPRTRPAIVEDMTDETKTVEASATKKSKRTKVGTFHCDIEFCDMAFETRAELRAHMRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >LPERR03G03620.1 pep chromosome:Lperr_V1.4:3:2657831:2658112:-1 gene:LPERR03G03620 transcript:LPERR03G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAEVMMQKAPEKEATAAAAMGCYRRTVGADATFTERSKDLLRQFKDAPVGDHWVCLKNKVRAAGEYASIRTLFGDTKDGDSSKKPSSAEN >LPERR03G03630.1 pep chromosome:Lperr_V1.4:3:2659770:2660447:-1 gene:LPERR03G03630 transcript:LPERR03G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTNPTTFSGEVWAELRLADAGDVPHIHALIHQMAEFELLTDLFAATHDLLTSTLFPSPPRPPFTSFTALILDLSPSPLPPTSTTIASLRLDLSASPLADPEAAAFASPHGGGRVTAGFVICFPNCSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNREEEGSKAAE >LPERR03G03640.1 pep chromosome:Lperr_V1.4:3:2661068:2667232:1 gene:LPERR03G03640 transcript:LPERR03G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALSFGVVVSPESNVVYDPSGRHLLAAALDRFAAWDLKRGLPSLTFSPSSPSPFLAVSSLASFPSSAASSASASSSIASGHADGSIRLWDAETGSCEATLHGHRSAVSALLFGPSGAILASGSKDCDIILWDVVAQAGLFRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKLAEEGDDWSKWDMLKLFGEIPRQSKERVATVKFNKNGNLVACQVAGKTVDIYRVLDETEAVRKAKRRLHRKKEKAAKSTVAEGNGTVIDPLSAQETQNPTPVVTDVFKLLHVLRANKKICSVAFSPNNPPKGCLTALSLSLNNNMLETYSIDTENVSKMYSIELHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSIVLIPNEDGTVGARGFVTGSADHDVKFWEYQLMQKSDTESKQLTVTNVRTLKMNDDVLAVTISPTGKHIAVALLDCTVKVFFMDSLKFFLSLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHMDSVGKDRTVRYWDADKFELLLTLEGHHADVWCLAISSRGDFVVTGSHDRSIRRWDRTEEQLFIEEEHEKRLEETFEADFDSAMDNRYGQKDDGPDEGSVGVPGRKTKETVTAADAILDALDTAEEEVKRLDQHKEEQRNGRIATFQPNVIMQGQSPSDYVLNTVSNVRPNDLEQALLSLPFSDALKLMSYLKEWSLVPLKVELVCRVCLVLLQTHHNQLTTTPSARSILTELKDILYSRVKECKDAIGFNLAAMDHIKELLAMKSDAPFRDARARLMEIRQEQSRRSDRSDGAEKWKKKKRKTSGES >LPERR03G03650.1 pep chromosome:Lperr_V1.4:3:2667926:2671717:-1 gene:LPERR03G03650 transcript:LPERR03G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSDPKGKKDYSTAILERKKSPNRLVVDEAANDDNSVIGMHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIARDTHGYVGADLAALCTEGALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRKDNPEAMEEDEADEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFSSAAAAADDDDLYS >LPERR03G03660.1 pep chromosome:Lperr_V1.4:3:2673117:2676462:-1 gene:LPERR03G03660 transcript:LPERR03G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSTGGLNNINNDNSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFTKAQYWVKELQKHGTPDIIMVLVGNKADLHENRHVSSEEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTSS >LPERR03G03670.1 pep chromosome:Lperr_V1.4:3:2677252:2678519:1 gene:LPERR03G03670 transcript:LPERR03G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDIADLFRAGTACSSWYAAYSAARLSRIPIRDSAPCLLYSGEADDDSTPTLYSPSSGTCFSVRLPDPPLRSRALVGSAHGWLVTADERSDLLLVNPLTGAQVALPLVTGLHHVDSFVDEKGKLMYNLDEAMWPDDLQSNLQEEGDSVVQPFRWEELYCPACAQPDRMISFARLGDEKWTHINQTTSNESLRWVTGYTDALYNKNDGLFYLLSFDGSICPLDLSGPTPVARQIMKKKTQWDNPSKYIMLAPWGDLLEVWRLRDSDEPDETAEDSSLEDEDRSVENQSDIWLTEEIMLYKVDIAKQKFVKMRSIGDHTLFLGFNSVVCLPTKDVPMLKPDCAYLSDEFYEEMCDNMHNWREVGIWDLKNCKLQSLGDMESLHPWRNWPSPIWITPSLN >LPERR03G03680.1 pep chromosome:Lperr_V1.4:3:2691317:2692973:-1 gene:LPERR03G03680 transcript:LPERR03G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGIFLSSSNHLKSQIQKPTQRREEKTRARLINSTHTSGEEEKIPSSMGDEKAVVLRMQLHCAGCAQKVKKSIRHMDGVESVMADAATNTVVVSGTPDAAALKARIEAKTKKPKPAADEPKAVVKDGGEKKKGEKDGAAQSKEEEKGKKQSPEEKKPKEETVLLKIRLHCDGCADRIRRRIYKIKGVKDVVMEGNAKDEVKVSGTMDIQYMLNYLTEKLNRAVEAVTPGKKDGGAGAGDEKKKDKGGDGEKKKDEDAAGGAGGGDDDMKGKSRSIEFAGPSTAAAAASMAPAPAAASTYQVSPYGYGYVAYPQQHGPPPSYYQYYGGGNGDGVGYANPYAAGGGGSYYYPQHNDITDYHQPPPPPTAYPPYQYHLDMSPAPQMFSDENPNACSVM >LPERR03G03680.2 pep chromosome:Lperr_V1.4:3:2691317:2692432:-1 gene:LPERR03G03680 transcript:LPERR03G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGGKKPAADEPKAVVKDGGEKKKGEKDGAAQSKEEEKGKKQSPEEKKPKEETVLLKIRLHCDGCADRIRRRIYKIKGVKDVVMEGNAKDEVKVSGTMDIQYMLNYLTEKLNRAVEAVTPGKKDGGAGAGDEKKKDKGGDGEKKKDEDAAGGAGGGDDDMKGKSRSIEFAGPSTAAAAASMAPAPAAASTYQVSPYGYGYVAYPQQHGPPPSYYQYYGGGNGDGVGYANPYAAGGGGSYYYPQHNDITDYHQPPPPPTAYPPYQYHLDMSPAPQMFSDENPNACSVM >LPERR03G03680.3 pep chromosome:Lperr_V1.4:3:2692471:2692973:-1 gene:LPERR03G03680 transcript:LPERR03G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGIFLSSSNHLKSQIQKPTQRREEKTRARLINSTHTSGEEEKIPSSMGDEKAVVLRMQLHCAGCAQKVKKSIRHMDGVESVMADAATNTVVVSGTPDAAALKARIEAKTKKPVEIVSAGGGGGGRRFLGG >LPERR03G03690.1 pep chromosome:Lperr_V1.4:3:2695590:2699571:1 gene:LPERR03G03690 transcript:LPERR03G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASAAAGAGTPASENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNNSILPPDPDNPNAVEKYDFPATNYFVESLQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINEIEDLQKELVGLKSRIEKKNAYLQELQDQFVGMQKLIQRNEQLYGSGNIPSSGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMSSCGEEQNDDIHDLIPNGGESSSMPNIYRQQMQQPARATNGTARLPGSPPIPGILKGRVKHEH >LPERR03G03690.2 pep chromosome:Lperr_V1.4:3:2695590:2699013:1 gene:LPERR03G03690 transcript:LPERR03G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASAAAGAGTPASENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINEIEDLQKELVGLKSRIEKKNAYLQELQDQFVGMQKLIQRNEQLYGSGNIPSSGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMSSCGEEQNDDIHDLIPNGGSPPIPGILKGRVKHEH >LPERR03G03690.3 pep chromosome:Lperr_V1.4:3:2695590:2699571:1 gene:LPERR03G03690 transcript:LPERR03G03690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASAAAGAGTPASENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINEIEDLQKELVGLKSRIEKKNAYLQELQDQFVGMQKLIQRNEQLYGSGNIPSSGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMSSCGEEQNDDIHDLIPNGGESSSMPNIYRQQMQQPARATNGTARLPGSPPIPGILKGRVKHEH >LPERR03G03700.1 pep chromosome:Lperr_V1.4:3:2699980:2703124:1 gene:LPERR03G03700 transcript:LPERR03G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAHARARLPLQLLLLAVAVAAFAATASAQLSPQFYSSSCPNVEKIVFSVIERKFKEDPGTSALLLRLMFHDCFANGCDASILIDPLSNQSAEKEAGPNVSVKGYDIIDEIKTELEKACPGVVSCADIIALGTRDGVRLAGGPSYDVPTGRRDSLVSNREDGDGLPGPDIAVPKLMAEFSQRGFTVEDMIVLLAGGHTIGKCKCFFIEVDAAPIDPAYRSNVTTFCDGKEGEKAAVPLDPITPDVVDPNYFGLVMAKKMPLTVDRLLGMDPRTAPIVKAMAAKPADFMAAFGKAMEKLSAMKVLTGKEGEIRKSCSEFNNPVASDDGPSVIRISSLGNAELMGWPPATGIATAEPAPKKKKARGGEEKKEASGGAMEARKAAGEKASRKPRGAEGEANESAGNAFVGAGDVKIESNEATPAATAAAAGAGGKEAEEAEKLEALKAARKKKKADAAAVLKAKGLGSQSFSMAGAGEKGIGAADAARKAKGIASQSFSMAGAGDKAIGGEKSSKMKAVGSQSFSMAGAGDVAAAKAKAIKSQSFSMAGSGQEPNKGAGAGGEEIKKAKKGKKKKKETEEEAKEGEAAAGGKVESEEEGKKRPQPKLRGGLQQ >LPERR03G03710.1 pep chromosome:Lperr_V1.4:3:2704883:2708990:-1 gene:LPERR03G03710 transcript:LPERR03G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVAVPAAGYGEDGVYRSLRPPAPIASDPGLSLTDPLLARADASPTALALVDAAPGGAALTFAELRSAVLATAVALSSRAGVRPGDAVLLIAPNCVLYPVCFFAVTALGAVATTANPDYTPREIAKQVSDARAKLVVTVSALVPKIAGLRLPIILLDTADANAKADANVTLYGDLVAGVDGREYRRPPIKQSDTAALLYSSGTTGESKGVILTHRNFIAAARMVTSDQDERRDGPNVFLCFLPMFHIFGLSVITFAQLQRGNAIVVMSRFDVSSMMEAVQRHRVTHLFCVPPVMIALAKHGRAGKYDLTSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVTGVEAKIVDIETLKHLPPNELGEICIRGPNIMQGYFNNVQATEFTIKQGWLHTGDLGYFDGAGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHREILDAVVIPFPDAEAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVDSVPKSASGKILRRQLIAQVRSSKL >LPERR03G03720.1 pep chromosome:Lperr_V1.4:3:2713022:2716578:-1 gene:LPERR03G03720 transcript:LPERR03G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAASSSLPRSLASRRPLPSSSPLHGGGRSRSARRCRFGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGLAIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEIVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >LPERR03G03730.1 pep chromosome:Lperr_V1.4:3:2717984:2722523:1 gene:LPERR03G03730 transcript:LPERR03G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAAAAAAVNIPPFLSLPARAFFRYGHRRHLPPLLLRATSSSAPDFNITFAEPAPAPAPAASKPSSTPNPSAEQAVPWIVRGKDGKPTMRTDPPPDVLQAIALAEAEAKRAKKKDPRKSQKGALAGSVDSGKVKERNAAPAAPPKFSKAARRFYNENFRESEPQRLAKVLAMAGVASRRTNEELIFQGKVTVNGSVCTSPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIVTNDGEFAQKLAHPSSNITKEYVVTIDGPVYRNHLIAISEGTKIDGVKCIPDLVEPLDVQANTKRTRIRIVVHEGRNHEVRELVHNAGLEVYALKRVRVGRFRLPPDLGIGKFVELKQADLKSLEGNKVG >LPERR03G03740.1 pep chromosome:Lperr_V1.4:3:2723799:2729169:1 gene:LPERR03G03740 transcript:LPERR03G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPAAAPELPRIPEQDQPKDGLPRPGTTLEGLIADDPYQPSASVDDNGGVVDMGGDSSDVDSKNTVPAGKHTDVLDEEGWITIPNKELPDNWNGISDMLQLQPLDRPFLFPVSELVQLSGEQVHILACLSASKQDAQTISPFRIAAVMSKNGKSSQHSTNKSSDVAENGDSNEMNGENGSQVVEDDMQSVELNGAMSPSKQDILETENLLRLEDHKQQIESMLQRFEKSNFFVRIAESDEPLWSKKRLAAPKVPEEQSYSDSQENNKASRSNAYNTVSDKGAFDGSTSGGVARGTAKCYALQNGDIVVVLQVNVGVNKMEDPVLEVLQFEKSSSGNYITKNLVNGFSSSDEDPCQELLSWLLPLDRTLPPRSLTPPTLNPTSSTQPPNIRPPPISESQDFVPEKPAKTPDIINDGQLSFRGVPLEPERYSNIAPQHVKDIVVFVDAITIVFEEASKGGSPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSKDRRTNSDAPLTLSLPKMNGTATNVALPKVCETSGFLTDQYAVLVSYRCNFTESKLFFKQATSWRPCVPSDLMISVSSELSLRNPIPSARVPQLPVQVLTLEATNMTSENLTVTVLAPEVSGSSSVVSLNSTPTTPNSSYDNLNESVRRSGLGKHRAGFRRLNSVLAGSPKESDNGGNRISTSGGYTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIHASSTISPASS >LPERR03G03740.2 pep chromosome:Lperr_V1.4:3:2723793:2729169:1 gene:LPERR03G03740 transcript:LPERR03G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPAAAPELPRIPEQDQPKDGLPRPGTTLEGLIADDPYQPSASVDDNGGVVDMGGDSSDVDSKNTVPAGKHTDVLDEEGWITIPNKELPDNWNGISDMLQLQPLDRPFLFPVSELVQLSGEQVHILACLSASKQDAQTISPFRIAAVMSKNGKSSQHSTNKSSDVAENGDSNEMNGENGSQVVEDDMQSVELNGAMSPSKQDILETENLLRLEDHKQQIESMLQRFEKSNFFVRIAESDEPLWSKKRLAAPKVPEEQSYSDSQENNKASRSNAYNTVSDKGAFDGSTSGGVARGTAKCYALQNGDIVVVLQVNVGVNKMEDPVLEVLQFEKSSSGNYITKNLVNGFSSSDEDPCQELLSWLLPLDRTLPPRSLTPPTLNPTSSTQPPNIRPPPISESQDFVPEKPAKTPDIINDGQLSFRGVPLEPERYSNIAPQHVKDIVVFVDAITIVFEEASKGGSPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSKDRRTNSDAPLTLSLPKMNGTATNVALPKVCETSGFLTDQYAVLVSYRCNFTESKLFFKQATSWRPCVPSDLMISVSSELSLRNPIPSARVPQLPVQVLTLEATNMTSENLTVTVLAPEVSGSSSVVSLNSTPTTPNSSYDNLNESVRRSGLGKHRAGFRRLNSVLAGSPKESDNGGNRISTSGGYTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIHASSTISPASS >LPERR03G03750.1 pep chromosome:Lperr_V1.4:3:2730369:2738826:1 gene:LPERR03G03750 transcript:LPERR03G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANGRASVRPTPERQHGAPPRAAARSRSVARTPRRPFASLPRSAAAADNDGDSDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYKFDEVFSENASQKRVYEVVAKPVVEASSGKTYTVGRLGKDDPSERGIMVRALEHILSVMSLETDSVAISFLQLYLESVHDLLAPEKTNIPIVEDPKTGEISLPGAAKVEIRDLEHIFQLLQIGEMNRHAANTKMNTESSRSHAILIIHVQRSSRIKDESNTSLSNGTCDLFPDNVPLVLKSKLLIVDLAGSERLDKSGSEGHMIEEAKFINLSLTSLGKCINALAEHSPHIPTRDSKLTRILRDSFGGTARTSLIVTIGPSARHFSETSSTIMFGQRAMKIVNTIKIKEEIDYESLYKKVEHEVDHLTSEIERQQKLKNSERIHLERELKKSEASLNDLKMTSSMQIENMEMEKRQLESTVKRLMLDLEKEKGKNNLLSEQIIHLETLLDENKQKQLENISNTNILADTTKSHEKKIRELLRQLEDERSHSASMNDHLNVLQQQLSDSQNYVQENLACELEKQLSRTTEEFASQIHSLEEKITELMSEKELVYVELKSTQEKLQQEMTQRQGLEDEILRLKQSLADNCSEESKGLCGMVRSGSGLGNVPFISKSGKSREMLSTQRGSIAKIFEEVGLPNVLALLKSEEIEVQIHAVKVVANLAAEDINQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANVASKTNDAQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQEDNARDIIVTGGIKELLRISRESSRDDTRNLAKKALNSNPAFLKEIQ >LPERR03G03760.1 pep chromosome:Lperr_V1.4:3:2739144:2746094:-1 gene:LPERR03G03760 transcript:LPERR03G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGDEDRDDGERRSKRSRSEENRDRDKDRHRDRDRDKDRERHRSSRERRDRDRDKKDRDKEKERDKDKERRSRDREKEKEKEREREREKDRERRSRSRSERRRDDEECERERHRDRDYRDRDVRRRKEEAAEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFACLEDAKAAQSLNGQLDIAGRVSVVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGTTTSLTSGIGGTGLNTSVGLPPVSVLGAAPTAAPVLPPTVPGLGSVPGLSLPVTTQSIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKHTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYSMKFPS >LPERR03G03770.1 pep chromosome:Lperr_V1.4:3:2748742:2756481:1 gene:LPERR03G03770 transcript:LPERR03G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHSHLQTASMQQEQAAEEIVIAGAGLAGLAVALGLHRKGLRSVVLESSPSLRTSGFAFMAWTNAFRALDALGVGDKLRSKHQQIEGLNVLSSATGEIVQELDLRVQGKRGPHEARCVSRNELLQALEEELPSGTIRYSSKLVSIEEDGNTKILHLADGSTLRAKVLIGCDGINSVVARWLGLAKPLDSGRVATRGHAKYPDGHDIGPKFLQIVGQGFRAGMVPCNDTDVYWFFTWSPSPNDKDADQSAAAMKQFVLTKLRSTKVPNRVLEAVERSEMNDVLAAPLRFRSPLSLLPFAGVISKGNVCVAGDALHPTTPDLAQGACAALEDAVVLARCLGDAILGNGGDGEEERRVEAGLRLYAEARRWRGVQLTGVSYVVGFVQQSDHAVVGFVRDKVMSRVLARMVLMMPDYDCGTLSSSVHRTGE >LPERR03G03770.2 pep chromosome:Lperr_V1.4:3:2746788:2749075:1 gene:LPERR03G03770 transcript:LPERR03G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKEAGEDGVVVVVGAGIAGLAVALGLHRKGVNCTVLESSPELRASGFAFAVWRNALQALDALGVGDKIRKLHLHIQELHVISSVTGELAQRTDLTVQRKRGPNEMRCVRRDWLLRALEEKLPKGTIRYSSKIVAIEEDGNAKIVHLADGTILRAKVLIGCDGVNSVVAKWLGLAKPAYAGRVATRGLAHYPDGHGLDPTFKMFFGHGFRAGVIPCNDTDVYWFFTRSTSEHDDNGIDESAAKTKQSVLEKLRSTKIPTKVTEIVERSELSGDALAAPLRFRPPLSLALAGISKGNVCVAGDALHPMTPDLGQGGCAALEDAVALARCLGDAILGGGGGGEGESERIEAGLREYARIRRWRSVELVGTAYVVGFVQQSSNAVIGFLRDKLLAGVLAGKLLKMADYDCGTL >LPERR03G03780.1 pep chromosome:Lperr_V1.4:3:2762141:2763175:1 gene:LPERR03G03780 transcript:LPERR03G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAFLFHSFVAVAVCLAATAAADGTGTATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDQDNSRWCKPGTSVTITATNLCPADYSKPSNDGGWCNPPRPHFDMAQPAWEQIGLVLITNVGGAGSIKTVQIKGSKTGWITMSRNWGANWQSNNYLNGQSISFSVTSTAGRTLVFEDVAPSNWRFGQTFTSDVQFY >LPERR03G03790.1 pep chromosome:Lperr_V1.4:3:2763533:2776396:1 gene:LPERR03G03790 transcript:LPERR03G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGRRKPHACEFIIYKKTPTILLLVVVAAASRFTPTAAGWSKGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSTALFGDGAACGECYQIACDYQAEPRWCLQGRTVTVTGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIFKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLITNVAGSGSIQSVWVKGTNTNRMPMNRNWGANWQSLAGLVGQTVTFGVTSTGGQTVVFQNIVPSWWNHSPPTSSGACGYGNLYSTGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPNNNGGWVKCWRQGGIRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGNTGWITLNRNWGANWQCNSGLVNQQLSFAVTSTGGQTLYIYNVVPSWWLLLAILALCFSPARSDWLSGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTALFNDGASCGQCYLIMCDNSKAPGWCKVGTAITVSATNLCPPNWDLPSNNGGWCNPPRPHFDMSQPAWENIGCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSNTGWIQMTRNWGANWQCLAGLAGQALSFSVTSTGNQTIVFDNAVPEGWTYGQTFSTYHQFDY >LPERR03G03800.1 pep chromosome:Lperr_V1.4:3:2778004:2783500:1 gene:LPERR03G03800 transcript:LPERR03G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEKHAVAAPAATESPPAAGKKAAAAAAAAAWKRPGNGAAVPVVVAPGSPIMDADSWPALPGLASPPPPPPPKASPKAAPPPSAEVVIPPVSLGNSGAPDANPDHEAAPMRNPPARRALVMPVGDGLDKRATGSELSPVYSPNARSSGGVDHHQNGRFGSHPHGRGGGYGGGNRRGNGGGGGRRGHEHHGGFDGQRRGNGRRDGHGPGHLQRGHQPSYIRAPLAVVTAAPPPPPPPFVNPATPQTPPYGAPIGFPALPFVPHPASPPAMLISQFEPQRAELLRQIEYYFSDDNLCKDPYLRQHMDDQGWVPLSLIAGFNQVKKLTNNVQFILDTVLQSTVVEVQGDKMRKRERWEMWLFPKQGYSPRYSSGSLPPVTSNIDPLASQFQSVGLEGAGYHAGMQGMPGDALLTRSLQEVYSGAIPFEIFYTRKITTACRIFSGYGTRTSSTVG >LPERR03G03800.2 pep chromosome:Lperr_V1.4:3:2778004:2783500:1 gene:LPERR03G03800 transcript:LPERR03G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEKHAVAAPAATESPPAAGKKAAAAAAAAAWKRPGNGAAVPVVVAPGSPIMDADSWPALPGLASPPPPPPPKASPKAAPPPSAEVVIPPVSLGNSGAPDANPDHEAAPMRNPPARRALVMPVGDGLDKRATGSELSPVYSPNARSSGGVDHHQNGRFGSHPHGRGGGYGGGNRRGNGGGGGRRGHEHHGGFDGQRRGNGRRDGHGPGHLQRGHQPSYIRAPLAVVTAAPPPPPPPFVNPATPQTPPYGAPIGFPAEIAPHVYYFAPSLDGVQALPFVPHPASPPAMLISQFEPQRAELLRQIEYYFSDDNLCKDPYLRQHMDDQGWVPLSLIAGFNQVKKLTNNVQFILDTVLQSTVVEVQGDKMRKRERWEMWLFPKQGYSPRYSSGSLPPVTSNIDPLASQFQSVGLEGAGYHAGMQGMPGDALLTRSATSVSLGYQAPPMGGLYSNGSEPLFGQKSARSLLRSDTF >LPERR03G03810.1 pep chromosome:Lperr_V1.4:3:2784165:2784530:-1 gene:LPERR03G03810 transcript:LPERR03G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEGCERKVRKALEDMKGVDSVEVDAKQNKVTVTGYVEQEEVLDRLRRRAGKKPEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARASEEEEKLASAFSDENPNSCSLM >LPERR03G03820.1 pep chromosome:Lperr_V1.4:3:2794970:2799490:1 gene:LPERR03G03820 transcript:LPERR03G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTMSPDSLATTTGFVRRNNAVPLRPLHPPVTALFLQESRRPWWRRRRRRLLLRSGDGDEQGDEEELVGRVVAASVEVSSTSVSSSTYRCCSSVEGLNENAEAMDHDDVNAIVPGESRRPWWRRRRRRLLLRSGDGDEQGDEEELVGRVVAASVEVSSTSVSSSTYRCCSSVEGLNENAEAMDHDDVNAIVPGASRSFMKW >LPERR03G03820.2 pep chromosome:Lperr_V1.4:3:2794980:2799490:1 gene:LPERR03G03820 transcript:LPERR03G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTMSPDSLATTTGFVRRNNAVPLRPLHPPVTALFLQESRRPWWRRRRRRLLLRSGDGDEQGDEEELVGRVVAASVEVSSTSVSSSTYRCCSSVEGLNENAEAMDHDDVNAIVPGASRSFMKW >LPERR03G03820.3 pep chromosome:Lperr_V1.4:3:2794970:2799490:1 gene:LPERR03G03820 transcript:LPERR03G03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTMSPDSLATTTGFVRRNNAVPLRPLHPPVTALFLQESRRPWWRRRRRRLLLRSGDGDEQGDEEELVGRVVAASVEVSSTSVSSSTYRCCSSVEGLNENAEAMDHDDVNAIVPGASRSFMKW >LPERR03G03820.4 pep chromosome:Lperr_V1.4:3:2794970:2799490:1 gene:LPERR03G03820 transcript:LPERR03G03820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTMSPDSLATTTGFVRRNNAVPLRPLHPPVTALFLQESRRPWWRRRRRRLLLRSGDGDEQGDEEELVGRVVAASVEVSSTSVSSSTYRCCSSVEGLNENAEAMDHDDVNAIVPGASRSFMKW >LPERR03G03830.1 pep chromosome:Lperr_V1.4:3:2803909:2814526:1 gene:LPERR03G03830 transcript:LPERR03G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGRQKECIHLLDITSHGPAFITFQGSCEWTNLPIPLMHLLLHNHKIVHIHIFPLCFHIWCLLCLIRCLGFH >LPERR03G03830.2 pep chromosome:Lperr_V1.4:3:2809307:2814526:1 gene:LPERR03G03830 transcript:LPERR03G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVVLQKDICYSLTDLHALINLELQALRDLRLCLKTHAYREVTVLGERPVTAEINIARLGAIRM >LPERR03G03840.1 pep chromosome:Lperr_V1.4:3:2815301:2820207:-1 gene:LPERR03G03840 transcript:LPERR03G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMISDTGGNNNSSSIGRTKSEQLPPPLSSQQSLSRTASAESSSTTALSAGDVVTSHSRKSSFGRRSASGGAGTGGGNSSNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDIMSSAELTPFSDEENNMDIEAGMRRKKLMTDPTLPIYLKFAEVKYRVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRIAGAGAVEGCVSYNDEPFSKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKEERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNLQNNTKIDYKPSAQDVHEYLVDAYENRVAYKEKKKLLDPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWQSNPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSVLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKKVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVVMIIGYRVLAYMSLRRTKVSAI >LPERR03G03850.1 pep chromosome:Lperr_V1.4:3:2824241:2828185:1 gene:LPERR03G03850 transcript:LPERR03G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGILAYERITARIADSPAKSDRRRATIHTHENCSSECIGGDTAAAAAAAAELRLPADRSLPSPRLFYSSAGDGVAGALLLLLPGGKQYATTIETLTQREPNSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPAMSESEYQQLLREAEYYQLLGLADCLNEKLGWKKDEIFSEAELTRKDVIKCIQSPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFNSAYLRKAKFRLTEATCSSFQGANLHECELIGANLEGSVLDKANLQSVNLQDACLKQCCFIETDLRSAHLQGANLVGANLSGANLEGANLKGAKLAGTNFECANLQRAYLREVDLRETLNWKWRLSTSNMILPAPTLVA >LPERR03G03850.2 pep chromosome:Lperr_V1.4:3:2824241:2828185:1 gene:LPERR03G03850 transcript:LPERR03G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGILAYERITARIADSPAKSDRRRATIHTHENCSSECIGGDTAAAAAAAAELRLPAVLGSLAQWKVVAGFSSSSRLFPHLNPTQIDPSLLRDSSTRRPVMESPEPSSSSSPVLLNIGGKQYATTIETLTQREPNSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPAMSESEYQQLLREAEYYQLLGLADCLNEKLGWKKDEIFSEAELTRKDVIKCIQSPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFNSAYLRKAKFRLTEATCSSFQGANLHECELIGANLEGSVLDKANLQSVNLQDACLKQCCFIETDLRSAHLQGANLVGANLSGANLEGANLKGAKLAGTNFECANLQRAYLREVDLRETLNWKWRLSTSNMILPAPTLVA >LPERR03G03850.3 pep chromosome:Lperr_V1.4:3:2824241:2829313:1 gene:LPERR03G03850 transcript:LPERR03G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGILAYERITARIADSPAKSDRRRATIHTHENCSSECIGGDTAAAAAAAAELRLPAVLGSLAQWKVVAGFSSSSRLFPHLNPTQIDPSLLRDSSTRRPVMESPEPSSSSSPVLLNIGGKQYATTIETLTQREPNSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPAMSESEYQQLLREAEYYQLLGLADCLNEKLGWKKDEIFSEAELTRKDVIKCIQSPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFNSAYLRKAKFRLTEATCSSFQGANLHECELIGANLEGSVLDKANLQSVNLQDACLKQCCFIETDLRSAHLQGANLVGANLSGANLEGANLKGAKLAGTNFECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >LPERR03G03860.1 pep chromosome:Lperr_V1.4:3:2828637:2829608:-1 gene:LPERR03G03860 transcript:LPERR03G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRTYSDFVPPHKLVEEAEKKVLVLQIDLSTEGKHDTFLSPGFKKEQLRVQIDNHGKLRISGERQVAGDRWSRFHKDFQVPDDCNAGDVRARFDSKDRVLYITMPKLSPANAPPPPPAAAAPEKPNAAAAAAPPAAHVAAAKAEEKEQQEENGSDGDGGRQEAAPAAVVTGRRRKTPWSVILAVVLALVAAAGFYAKYRVGLMDPSAAPATASGGGHFSGLSDH >LPERR03G03870.1 pep chromosome:Lperr_V1.4:3:2830915:2834986:1 gene:LPERR03G03870 transcript:LPERR03G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADGLKSAPEAVGVGGRKHLSSIANHVLRHCSLTLGRSVDELVADFELGLKTAAVDNYSRRLVEFCSLQALQVITSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDRKEPAGANEAVMGDETSLFYSDMMPLLVNEEPTVGEDTYVWFGSIFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSNFLQKVPTPTGNELAEDEFILHIEGTAGTQRVVRHIGTSSWPGRLTLTNKALYFEASGKFSYETAFKVELSGSEIEHQVKTISTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSTRRDMWLTLIREVIFLHRFISMYSIDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLGQLANNLKETSTITPLSASHVFKGLSKSHRVALSAEIAKEHDMDSSSHEQPLASLENTIEQVRDEAREVTVANAPIEGMKAEGITDSLLVLVGLVSPMSKLLPVVQKITSWDRPLVTVGVLAMIVLTIYKEWVGFVLSASLILAAGFMVWARRRKIGTLCSEVIIDTSSDKTTMESLVEAQQSLKKVHEYIKTTNVVILRVSSIMLAKSPKHTETVMWMLAGLAVALAVIPFKYILIGLMSVGFMSNTRIVKAMSNPQGSRRWREWWESIPAVPVRAVESSAL >LPERR03G03880.1 pep chromosome:Lperr_V1.4:3:2835696:2842164:1 gene:LPERR03G03880 transcript:LPERR03G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKSLEVKEEEEEEEVGDGWLQVVRGKKKKRPNGQDGGSGSGSGAVMVAKDKAAAAEPWTTKAKVSFHDPSIPRPQDVYAIRVNNYNVPFDHVWLERSEDGSPIHPLEKLPVEQFIDRNVPESEPVKPADLEDTPFTLVEDKDGLADLAKKLKSVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLRHLCGVTANKEYAREDTHYLLYMYDLMKLRLQKESTSENDLLLEVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYTAREVDESTGYILPNKALIEIAKKMPTDTAELKKVVKSKYPFVDESLDQIVDLIWSATESSYVFESRAEQLKKERLEQLGDRVQTLSSPEMKNSLTFSGPARPLDKEISSNNIHQQAQATFQELKRPMALGAAVGNSTSGAQRDFFGGFSNKQAEKIEKEQHSLLLAIRRGISRTLEDVNLSHLPHELFSIGNAGLIKAFYYHLTQHMGPSC >LPERR03G03880.2 pep chromosome:Lperr_V1.4:3:2835696:2841986:1 gene:LPERR03G03880 transcript:LPERR03G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKSLEVKEEEEEEEVGDGWLQVVRGKKKKRPNGQDGGSGSGSGAVMVAKDKAAAAEPWTTKAKVSFHDPSIPRPQDVYAIRVNNYNVPFDHVWLERSEDGSPIHPLEKLPVEQFIDRNVPESEPVKPADLEDTPFTLVEDKDGLADLAKKLKSVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLRHLCGVTANKEYAREDTHYLLYMYDLMKLRLQKESTSENDLLLEVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYTAREVDESTGYILPNKALIEIAKKMPTDTAELKKVVKSKYPFVDESLDQIVDLIWSATESSYVFESRAEQLKKERLEQLGDRVQTLSSPEMKNSLTFSGPARPLDKEISSNNIHQQAQATFQELKRPMALGAAVGNSTSGAQRDFFGGFSNKQAEKIEKVKSYPTFYYPQLPEYSTKVGYGFQSINRTGAGTAQPPAGNKERDFQNPRRRQSFPPSGNISDTHH >LPERR03G03890.1 pep chromosome:Lperr_V1.4:3:2842698:2843972:1 gene:LPERR03G03890 transcript:LPERR03G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTPNSLLLHRRAANPSPSAAASPLLLPTRAARISCTAVATPTPSSPSSLSAAGDRGVYNFAAGPATLPLSVLQKAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAESDLRALLAVPDTHEVLFLQGGATTQFAAVPLNLCADPSVPADFVVSGSWSDKAFKEAKKFSAAAVAWSGKEGKYTALPRFDDIKQNPEARFLHICSNETIHGVEYKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLVGDAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDTIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEATKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >LPERR03G03900.1 pep chromosome:Lperr_V1.4:3:2845712:2850875:1 gene:LPERR03G03900 transcript:LPERR03G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEKSTPEKKSWADVEEEEEAKAKAAAAEEAKAASSSSASNEPAVEAQAKQIEALSLSVPEDAGGSGDQGPPLLDDSDDSQIQAVTSGGTVYESAATFEDLSLTPELLKGLHDEMGFSRPSKIQAITLPMILTPPHKDLIAQAHNGSGKTTCFVLGMLSRVNPNLKVPQAICICPTRELAQQNKSVLMRMGKFTGITCSCAIPPAQKDYVPISRMPKVTDQVVIGTSGTLMKWITHNKLDTSSIKILVFDEADHMLAEDGFRSDSERIMRDIQRSQNKAGGSRCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPNERAKIDVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGDTDNTVMRKIEDYFQHRVPEVRNWQSEEDFERALKDAGLVE >LPERR03G03900.2 pep chromosome:Lperr_V1.4:3:2845712:2850879:1 gene:LPERR03G03900 transcript:LPERR03G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEKSTPEKKSWADVEEEEEAKAKAAAAEEAKAASSSSASNEPAVEAQAKQIEALSLSVPEDAGGSGDQGPPLLDDSDDSQIQAVTSGGTVYESAATFEDLSLTPELLKGLHDEMGFSRPSKIQAITLPMILTPPHKDLIAQAHNGSGKTTCFVLGMLSRVNPNLKVPQAICICPTRELAQQNKSVLMRMGKFTGITCSCAIPPAQKDYVPISRMPKVTDQVVIGTSGTLMKWITHNKLDTSSIKILVFDEADHMLAEDGFRSDSERIMRDIQRSQNKAGGSRCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPNERAKIDVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGDTDNTVMRKIEDYFQHRVPEVRNWQSEEDFERALKDAGLVE >LPERR03G03910.1 pep chromosome:Lperr_V1.4:3:2850291:2852151:-1 gene:LPERR03G03910 transcript:LPERR03G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLPLHLPSRPSSTTIKASSISTAAVTASTTPQSLEESFGRKGLRFVADAATGAATAELSVRNGSSLRLRLADGLVTSYKPKVYWQDEKGEGGCREVLHTAVAGGGEVKGGVGLVLGEVSSSSSGGAAESLLVGSDWSVVDADSDSYDAVQVELGCTKGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLTSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPPAGFSLLTPAEAMKREDSGGWFGGGGDEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQYSGLGFRVVRMGYEDMYLCSPGEMYKKFGKDYFVCTGTASMLVPVVVNAGEEWRAAQVIEHDNFRAKKAGIV >LPERR03G03920.1 pep chromosome:Lperr_V1.4:3:2852573:2856691:-1 gene:LPERR03G03920 transcript:LPERR03G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFPPRRACSLASLPLAAHATITSTTPQYQQHLYNHDRRRRRRYRRTAARTHTHERALFTLYVHRRESPFSTIRASHHNTLRPRNHPLSHSGEPPLVGALFFRRRPTTGCRWVL >LPERR03G03920.2 pep chromosome:Lperr_V1.4:3:2852461:2856691:-1 gene:LPERR03G03920 transcript:LPERR03G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFPPRRACSLASLPLAAHATITSTTPQYQQHRLHQLPCALARTPVIGRGSKGGHAGAEPHAAAADREDQSCRSRTHAAAGIDAEDEPYADINTNNDARTSLLGVPRPAAARGFFKSFINHHHPQGYFSMHQGFSLDEFHEFQIISNSFLKK >LPERR03G03930.1 pep chromosome:Lperr_V1.4:3:2857030:2857524:-1 gene:LPERR03G03930 transcript:LPERR03G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAAAAHLVAARAVPCTVSCHPLQLRSTLANQPRRILAAFDQKLRQAGPSDDVDIRARTGPGSASHLPHRPTRTMTSPWPCPLPRESRRGHETRATRPRFRPTCRARAKPLPRAAVCRCPALVVRAARSNPAPDGYARALPITAAVRRCLFPRCTAAMLDTHA >LPERR03G03940.1 pep chromosome:Lperr_V1.4:3:2861336:2864897:-1 gene:LPERR03G03940 transcript:LPERR03G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQAPAPAPAPASAPAPAAAPAAAPAPPAPAADRLGDSVWFLGWPARFRDCSAAVCRGSVVVGGRKLSLDSQPKAADATTEPAGGAKKGPAASQPLSVSIPPERSVTPVLQDFMDPNMFYLPAYYYGGYDGSVGEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSVNPEKPAAKADPAKTTTNGAPNGTVHSNGGTAPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTNTTNHMSSSAFNNGSSARTQNKSSAPQQMGMNNRRSATTTGSAAPTYPNRMYPSTRSYSQYGNSFKTGLSYGAIGYGSNGYGSNGYDSRLYGRWGLGLDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPSSDSKNATDVPDRTQFNRDDFAVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDGAYQEAQAKSSKCTIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLEQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDGRAPNFVTGEKQQDAANAKPKLSVPNGVYGEVKVPAENGTAPAPVVTYAAKVAQTAATEKPVLANGTVKAG >LPERR03G03940.2 pep chromosome:Lperr_V1.4:3:2861336:2864897:-1 gene:LPERR03G03940 transcript:LPERR03G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQAPAPAPAPASAPAPAAAPAAAPAPPAPAADQATDLLQKLSLDSQPKAADATTEPAGGAKKGPAASQPLSVSIPPERSVTPVLQDFMDPNMFYLPAYYYGGYDGSVGEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSVNPEKPAAKADPAKTTTNGAPNGTVHSNGGTAPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTNTTNHMSSSAFNNGSSARTQNKSSAPQQMGMNNRRSATTTGSAAPTYPNRMYPSTRSYSQYGNSFKTGLSYGAIGYGSNGYGSNGYDSRLYGRWGLGLDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPSSDSKNATDVPDRTQFNRDDFAVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDGAYQEAQAKSSKCTIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLEQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDGRAPNFVTGEKQQDAANAKPKLSVPNGVYGEVKVPAENGTAPAPVVTYAAKVAQTAATEKPVLANGTVKAG >LPERR03G03950.1 pep chromosome:Lperr_V1.4:3:2872337:2877681:-1 gene:LPERR03G03950 transcript:LPERR03G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVHTTRSWDFMGLSLHIQMNQSDERLLKFGDDIIVGILDTGVWPESESFRDDPNLGEIPPSWRGTCVAGEQFDPATACNRKLIGARYYIAGFEAEVGPLNTSGGAEYRSPRDRVGHGTHTASTAAGGVSIDAAYYGGELGRGVARGGATRARVAAYKVCWFRELTGRCSDADILAAYDDALRDGVHVVSASLGTTPPLAPLFAASTEIGAFHATQLGVAAVFSAGNDGPDAAMVQNVSPWGITVAASTIDRRFPTVINLGNNVSLVGESFNVNDTRRRLVESGSVFADGSCSFDQLVNGSRAAASGKIVLCFSTMGPVSSGGAALAVFAAGGAGVIFADTISRKSTQDNFLLTVHVDIRQGTRILDYIRGSRSPPTVHISKAVTVVGKTPAPAVAYFSSRGPSSISPAILKPDITAPGVNILAAWPPKSSPTVIPLDKRSVNWNFDSGTSMSCPHVSGIVAIIRSVHPTWSPAAIKSALMTTAYMYDDTSDLMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDVTPRDHVLFLCILGYTKFQIRQMVLPSPSLDTSCSGAPPDYDLNYPAIVLPWLNETVTVRRTVKNVGARREAVYRAVAVVSPQGARAAVWPPALAFSPARGVASYYVTVTPAKPSRGRYDFGEIVWSDGYHRVRTPLVVRVTTLPDAGDAMDDDTPAAAAAATGTELQDS >LPERR03G03960.1 pep chromosome:Lperr_V1.4:3:2882570:2887036:1 gene:LPERR03G03960 transcript:LPERR03G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKLQSPSERKEKEDSLLPSNPKEVEELRRDSGRNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITTDIREGLPIEEPLRVAVKAEVIFLGQLSHPNLVRLIGYCCEGDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAERPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWALPMLTHKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQLEEENPSFSLAGGT >LPERR03G03960.2 pep chromosome:Lperr_V1.4:3:2883271:2887036:1 gene:LPERR03G03960 transcript:LPERR03G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKLQSPSERKEKEDSLLPSNPKEVEELRRDSGRNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITTDIREGLPIEEPLRVAVKAEVIFLGQLSHPNLVRLIGYCCEGDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAERPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWALPMLTHKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQLEEENPSFSLAGGT >LPERR03G03970.1 pep chromosome:Lperr_V1.4:3:2887826:2894717:1 gene:LPERR03G03970 transcript:LPERR03G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNNPPHPKPSSSSKPPPAAAMANRKSRWGPPPPGGGGEKGAAASTSARTPTPTPSADSRRHPAPPGPGGRNPASSPAAAAVFRPPQPQAETPPPPPSYGFHNLDRRTMLLADGSVRTYFALPPDYPFEPTPLPHLPPRGPDAWPQHDAKRKHLAEPDDGGFHSSRHPKQPRFDAAPHQHQHHQQQQQHRPHQQQMVSHAGVDRHAMRRAFLKYAKALNESAAERRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNADSFVDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPELVQASREDLIIWPPTVVIHNTATGRKKDGRCEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLVKFANSPAGLKEAERLAEFLERQDRGRIGWSRAQASQSLDSDNSPLLVKTDNRTGEKKRILYGYLAISSDMDELDSDSRKRASLKSRREFDPSD >LPERR03G03980.1 pep chromosome:Lperr_V1.4:3:2896581:2897131:-1 gene:LPERR03G03980 transcript:LPERR03G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPTGSPPPPMAHSDEAPSTSTDDTSPCSSSSSPLRSGKLESSSAGVAVDDSGPLSSAIASRRFFLSSPGRSNSIVDDSSAAAREMVAALRLDARRRCDRARLHELLLCYLALNDRRAHRFVVSAFTDLLLRLTSAAADEDDDDSHKLN >LPERR03G03990.1 pep chromosome:Lperr_V1.4:3:2904069:2905357:-1 gene:LPERR03G03990 transcript:LPERR03G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAESVALGTRGETPKGGPAAAMQSAAQRNEQMGVVGDDQAAIDTTVEHGVTVSETPIPCGRVVTEFVAGQANQPTNIVFSCPTNILRDTCYLEVVGQFVEELPTKITIGEALEAAALAEGGRPVERGDAAVIKAAEAAATGLDAAKFPVGLAAQARSAAAANLSAARDEDKTNIGDILSNATAKMVADKEVESEDTARVAGAETRNNKTGATAMPGGVSASMAAAARLNRGNAP >LPERR03G04000.1 pep chromosome:Lperr_V1.4:3:2905767:2908245:1 gene:LPERR03G04000 transcript:LPERR03G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAVRRRLWRGMRTAAAATVGVEGDTSALLARLVAEPECRVKATMEEASGSSAPAAAFWEPLATGLLRASSPAKAHLVLEWKLEKLVKEGIRDCEPYSVILRFCRQTKNVEFAVKVFECVEELGIQLNTGIFNALIYAFLSVGDLLAATTLYETMGDMEDCKPNSSTYDAFICAFSRLGSGHAMMSWYLAAKDAGFTPSIKTFESLITGFVKLNRLDDADAVFEEMFCFEIRPNSAILEAKLELLSRRKDPNRVKVFMELVSDGHHVLTEGTVERLTRLCLYEDKIDELDQLLSLVQGMHLGSLTKLHCGIIRFYGNADRLSDMEHAIIRMLDNGMIFACSEDVEVVICSYFRHKDFNRLDLFLNRIRSLYKLTRSTYDMLIAGYQRFNLHAKLDATVKNMREAGFV >LPERR03G04010.1 pep chromosome:Lperr_V1.4:3:2909713:2910195:-1 gene:LPERR03G04010 transcript:LPERR03G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSQATTNAGGCGGKKDLRRLPHVYSKVLELPFPADTDVAVFEGPDAFHFVVATAGNMVAGEVQVRTVRINPGVVKVVVQAGAGDVEDDDDMELDKWRSRLPEASCPAMAVAGFVNGQLVVTVPKGRGSVEGDTEGGDEVWRCCNGGKISSRLVVVQ >LPERR03G04020.1 pep chromosome:Lperr_V1.4:3:2913121:2919565:1 gene:LPERR03G04020 transcript:LPERR03G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPSSAPPQSSQGQGSQQTPPSPSPASSPSGGTAPALSVSTVSSSPPSAAATPTGAAAAGGGGGEDYMLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLMSLGRGDRYAAGREEDHTADGLSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDPTLQEMEQVAQCILLDFPVANIAVLVQRIAELVTDHMGGPVKDAHDMLTRWLEKSTYLRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGVPCKLVKGSNYTGDDDDAINIIKMDDEREFLVDLMAAPGTLIPSDVLSWKGNSLNSNGRLSQNQLTGSSSTIDSNLSASVLSAELKGGQLPLFSSGEWIPVSQSGYEKDAATTSSQASSSGTSSATAGNAFDSSWTLVSHGQSDDPSTSAGISSQQKVVLPSGEHPRNENINARNENMKLASDLQGNPESNLFADLNPFGGKESKRTPVPLNGPDNRNNELQKRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSSARRNIGDNAASSSQLPRPSAKNNLNVGVRIDTPYMASHNYDNSMASSSAMKMASTAGIGKVPDKVLYGDLDKGHANSRLVDQPPIGRQKWGNSVEGRVPTSTVQNQAKEHKEIYDGKQDNKKLHPDPKKSPLDRFMDTSMPSMNQESVSPSFPRSHRLDDMFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFSQLTSALKTVQRLVTPSHQEAQSPPVPQEIWVNSSTP >LPERR03G04030.1 pep chromosome:Lperr_V1.4:3:2924406:2924687:-1 gene:LPERR03G04030 transcript:LPERR03G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREDCTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLHGGGGGMEAALRDHMSFCGNCRKKNPAFRVADGMRQMLLRRRSK >LPERR03G04040.1 pep chromosome:Lperr_V1.4:3:2926610:2931045:-1 gene:LPERR03G04040 transcript:LPERR03G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTLILPVQISSVPCDSVSLPLPIEAINSPPPNLLLPPEEAVVLRALIVFLPLRSGFKMGTYIRNYSDDEFSVAGEKPDVEFMDYQNDGSLQDYSFEDGPVVVTVPFPFINGKPKSVLVGETSADTISIENTSSEPVNVWSVRIFSSNPEDSYMLSMMRPPQSDSDEEAKRNFLGLTSVEDRTLQPGQTLMIWLSCTPNDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRRHSQKKKLECTSFVPGCRPTWQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELDMANYAKFFSTLLVMEEINLEEEMRSYDMDHVLMRRRGNEYLSLVVPGLAEKRPSLVHGDSIFARHTGSDARPYQGFIHKVEADEIFLKFDNQFHLAHCDRNQYDVSFTYNRLNMRRLYKSIHDAEFLGPDILFPCRSSTGSVKKWSFKPLNPHINTEQASAVATILGYRGVAPYVIYGPPGTGKTMTLVEAILQLYTAKRRANILICAASNSAADHVLAKLLQASYLIRPSDIFRLNATSRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEQDGLGKSYLQRLLREYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYEGELIACKEDQVSSIYDCVDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVSIIRKLTRDGDVGEADIGVITPYRQQVVKIKKALETFEMADLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNQKRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETRDKPAGWGYNQEEESSAHYNYKQEQYDSGSGHADGLPSTENEVEWSEKTLNEEHQPFSSAAEVDSPEVMLNQNPEEHAEQDGEPDQCPANDNLVQDAYAAKYSFPPEWCDVSNIPATGWD >LPERR03G04040.2 pep chromosome:Lperr_V1.4:3:2926610:2929777:-1 gene:LPERR03G04040 transcript:LPERR03G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYIRNYSDDEFSVAGEKPDVEFMDYQNDGSLQDYSFEDGPVVVTVPFPFINGKPKSVLVGETSADTISIENTSSEPVNVWSVRIFSSNPEDSYMLSMMRPPQSDSDEEAKRNFLGLTSVEDRTLQPGQTLMIWLSCTPNDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRRHSQKKKLECTSFVPGCRPTWQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELDMANYAKFFSTLLVMEEINLEEEMRSYDMDHVLMRRRGNEYLSLVVPGLAEKRPSLVHGDSIFARHTGSDARPYQGFIHKVEADEIFLKFDNQFHLAHCDRNQYDVSFTYNRLNMRRLYKSIHDAEFLGPDILFPCRSSTGSVKKWSFKPLNPHINTEQASAVATILGYRGVAPYVIYGPPGTGKTMTLVEAILQLYTAKRRANILICAASNSAADHVLAKLLQASYLIRPSDIFRLNATSRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEQDGLGKSYLQRLLREYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYEGELIACKEDQVSSIYDCVDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVSIIRKLTRDGDVGEADIGVITPYRQQVVKIKKALETFEMADLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNQKRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETRDKPAGWGYNQEEESSAHYNYKQEQYDSGSGHADGLPSTENEVEWSEKTLNEEHQPFSSAAEVDSPEVMLNQNPEEHAEQDGEPDQCPANDNLVQDAYAAKYSFPPEWCDVSNIPATGWD >LPERR03G04040.3 pep chromosome:Lperr_V1.4:3:2926610:2929777:-1 gene:LPERR03G04040 transcript:LPERR03G04040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYIRNYSDDEFSVAGEKPDVEFMDYQNDGSLQDYSFEDGPVVVTVPFPFINGKPKSVLVGETSADTISIENTSSEPVNVWSVRIFSSNPEDSYMLSMMRPPQSDSDEEAKRNFLGLTSVEDRTLQPGQTLMIWLSCTPNDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRRHSQKKKLECTSFVPGCRPTWQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELDMANYAKFFSTLLVMEEINLEEEMRSYDMDHVLMRRRGNEYLSLVVPGLAEKRPSLVHGDSIFARHTGSDARPYQVCVLSLTFKYFLGILRDDSEASKLFYGFIHKVEADEIFLKFDNQFHLAHCDRNQYDVSFTYNRLNMRRLYKSIHDAEFLGPDILFPCRSSTGSVKKWSFKPLNPHINTEQASAVATILGYRGVAPYVIYGPPGTGKTMTLVEAILQLYTAKRRANILICAASNSAADHVLAKLLQASYLIRPSDIFRLNATSRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEQDGLGKSYLQRLLREYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYEGELIACKEDQVSSIYDCVDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVSIIRKLTRDGDVGEADIGVITPYRQQVVKIKKALETFEMADLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNQKRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETRDKPAGWGYNQEEESSAHYNYKQEQYDSGSGHADGLPSTENEVEWSEKTLNEEHQPFSSAAEVDSPEVMLNQNPEEHAEQDGEPDQCPANDNLVQDAYAAKYSFPPEWCDVSNIPATGWD >LPERR03G04050.1 pep chromosome:Lperr_V1.4:3:2938684:2941665:-1 gene:LPERR03G04050 transcript:LPERR03G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGGDDEWRGSSFTRREPSTVKKSKTERSSRRSTERSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYETTCRWGGSSDDENNAGESPSTVYSPMSYGYNNASSLEDSHRPAGQTRYCMVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWYFDYTLLVPEFLLDFVQCDRVLWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >LPERR03G04060.1 pep chromosome:Lperr_V1.4:3:2947253:2950620:-1 gene:LPERR03G04060 transcript:LPERR03G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPGPKAIDPPDFGKLPAVDDGERVLSFYGGGGEVAAVTGGGGRTAAVEARIGGGRRLVREQQSWTMAKADDIRIEILLRLLGSGKYSWFYSATTTINNNPFIAVALATHLVIKSCLAFHFKSSYCQLHFRPMIFGLVFAFKLERGSCGIQKLHGPL >LPERR03G04060.2 pep chromosome:Lperr_V1.4:3:2947253:2950620:-1 gene:LPERR03G04060 transcript:LPERR03G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPGPKAIDPPDFGKLPAVDDGERVLSFYGGGGEVAAVTGGGGRTAAVEARIGGGRRLVREQQRFSLTLLGLVFAFKLERGSCGIQKLHGPL >LPERR03G04070.1 pep chromosome:Lperr_V1.4:3:2951942:2964372:1 gene:LPERR03G04070 transcript:LPERR03G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNPTQYSWWWVSHISPKNSKWLQENITDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEESPSSGQDVEPRTPEMPMPTRAPFDLDDLHKDAAGVSPHLFTVKRNGTQPDDICFSSSRKGLKQFSDLFASSDSSHRANFSDGKVRKGLNFESPDVKGKKGESNDIINLQDEVSKLLTESQSLKQQISSESQRANKAESEIHRLKDTISCLISEKDTTLLQYNASTRRLSVLESEFSKADMELKKLSDRMAIEVDKLKYAESHNSAMRSELETLDQRVRVKEQELEESRKKIESFHFNLQDEMTKRKEAEDALCSLEKQYAQSQEEVNRLALDMEMANDRLNDFNLVKLNLENTVCELKKEVASLELKIQMLVQELEQKREEADDIHGQLQDECSNRIQKEAALHALENLLSQSQEEAKKMARDLEHSNKKLSDLENNNLKLHDLSQGLKKTVSELNSKKDSALLQQQKSSEKVSYLEAQILVVRSEMEKIVQKAQMLDQELEHKNKEIDDLQSSLQEQVQNCILAETSLLRLDDLHMKSQEEAKTLAHDLERLSKQLSEVENDRLNISRELKENISEINSEKDLLLLQQKESLERVSYLEAQLLAAQSELEKNKQRIQLLEQNLSDKSEELNILQNNLEEEGHKRMRAEATLSMVENLHSKSQEEVGKLIMNLDKLENELSEMQGRNSSMEELSCELRNTISILNSEKDAALLQQQLSSERACDLMSQLSKMQLELEKTEQKMQMIEQELADKSGMVDFLRLSLQDEGKKREQAETTLISSGNLYSQSQEDVNKLILEIERLNGKLNEMENMSSEYKKTILLLNSDKDTSLVQYKQSSLRVSDLESKLSGVQAELDNAEQKLQVLDKELKQKRELVDIMEASLQDEAQKRIKGEAALLKMTNLHSQSQDEVNRFTLEIESLNKKLNEVENVSRELKNTILLLNSEKDTSLLQHKQALVRVSNLESELSDVQAELVNAEENLQILDKELNRKREEVDSLQVSLKDEAQKRIEGEVALLAMENLHSRSQEEVSRLVLNIETLYGKLNEMENNKVDLEDRICKHSEEIHVLGEQNLSAESTIRGLYDQLEMFKEMNIGLQSEVEIHIGDKEVLQQDLVCQKEDKDILENQLCSLEHEMKSVNIRAATQQHLIEELQNKNIELEEICRAHDIEKTLLLEKLRGMEELSEEHSILKKSFSNAIVEREDLKEIVKELEASKISLKHDVSLCTTEKEALALELDTLGKRYADILEEKSILETSFSNVNYELQELIVKYKDSEESSQSYLVDNNALLVEKHKLLSKLESIGLSLKSLEDKHADLEDKQASLLSEKDILCNQIKDMQNQWGIKNEQHEALLKLHQTQILEQEQQKCTYASISALILENSLADVRDKNLALFNECQKFIQATDSAEVLIVRLKEEAKKVEEDKKALLKRHEKLRDGISEQIKVLDICKDLGPPDMVHDEIMLQTMYRETFDHVKHKEETEEKNAFMDAELSVLEEILAQTVIGFRALHLHNCELVEEVETGATELLFLQKKNHKLIELNGQLEQRLQQGGTREEMLNIEILALCKELSGLRESYQTSQNEICNLTGKYESLLQEYNVLVEKHNALDDENAVVLAECIKLDLLSLLFCDRTDESVSALVSVHNDMATLGSVRHELDREVTMLNRRAKILEMDFKHLKCTLENLSEAMGSCLVLSEFDTNTSKFICQELDIEHKSSMTQLMQKDDKLHKIDEKVQFLQETNQELCRVLRDLEVAVEDAEGVKEDLEKKIRTLTERGAIQDNEIRRLCEANNKLQVEIGIHKQTEESLISTLERMRKEAEQHEREINLLVCDMITCSMNNMVYDEQVLELMMEREALETRLFTEKDMLMREISSRDAYVDDLQKRVTSMRGENTALKADLTTYPPLVASLSDQIRVLEELEDGTLLLSELKEGKLEFVQKDRYGPESQDELSGPLKLQSLIARVEALQAVILDAKGRRDKEFTESAAQLEAANIEIQELKIRKGSNTKEQYIEDDRQKYDADNSKGKHVQIMKDIELDQVSTCSLYGTGAAIYPIGGDANVELDDEMLQLWETAESDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELVRGRDLGIDRLEMSAVSLEPQQLWSKNVLEKLASDAQRLSIVQASIEEIKQKMVGASKGKSTISSEYSSIRAQLQEIDGFLLEQIDFNSNLTKKAENYPAFEVNAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYIRFKVPEKRSRVLLRDYMIARKDKNDAGQKKKKKRIPFCGCVRIKSRTEP >LPERR03G04070.2 pep chromosome:Lperr_V1.4:3:2953837:2964372:1 gene:LPERR03G04070 transcript:LPERR03G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNPTQYSWWWVSHISPKNSKWLQENITDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEESPSSGQDVEPRTPEMPMPTRAPFDLDDLHKDAAGVSPHLFTVKRNGTQPDDICFSSSRKGLKQFSDLFASSDSSHRANFSDGKVRKGLNFESPDVKGKKGESNDIINLQDEVSKLLTESQSLKQQISSESQRANKAESEIHRLKDTISCLISEKDTTLLQYNASTRRLSVLESEFSKADMELKKLSDRMAIEVDKLKYAESHNSAMRSELETLDQRVRVKEQELEESRKKIESFHFNLQDEMTKRKEAEDALCSLEKQYAQSQEEVNRLALDMEMANDRLNDFNLLNLENIVCELKEEVTNLELKIQLQVQELEQKREGADAMHAQLQDEHCNHMQKEAALHALENLLSQSQEEAKKMARDLEHSNKKLSDLENNNLKLHDLSQGLKKTVSELNSKKDSALLQQQKSSEKVSYLEAQILVVRSEMEKIVQKAQMLDQELEHKNKEIDDLQSSLQEQVQNCILAETSLLRLDDLHMKSQEEAKTLAHDLERLSKQLSEVENDRLNISRELKENISEINSEKDLLLLQQKESLERVSYLEAQLLAAQSELEKNKQRIQLLEQNLSDKSEELNILQNNLEEEGHKRMRAEATLSMVENLHSKSQEEVGKLIMNLDKLENELSEMQGRNSSMEELSCELRNTISILNSEKDAALLQQQLSSERACDLMSQLSKMQLELEKTEQKMQMIEQELADKSGMVDFLRLSLQDEGKKREQAETTLISSGNLYSQSQEDVNKLILEIERLNGKLNEMENMSSEYKKTILLLNSDKDTSLVQYKQSSLRVSDLESKLSGVQAELDNAEQKLQVLDKELKQKRELVDIMEASLQDEAQKRIKGEAALLKMTNLHSQSQDEVNRFTLEIESLNKKLNEVENVSRELKNTILLLNSEKDTSLLQHKQALVRVSNLESELSDVQAELVNAEENLQILDKELNRKREEVDSLQVSLKDEAQKRIEGEVALLAMENLHSRSQEEVSRLVLNIETLYGKLNEMENNKVDLEDRICKHSEEIHVLGEQNLSAESTIRGLYDQLEMFKEMNIGLQSEVEIHIGDKEVLQQDLVCQKEDKDILENQLCSLEHEMKSVNIRAATQQHLIEELQNKNIELEEICRAHDIEKTLLLEKLRGMEELSEEHSILKKSFSNAIVEREDLKEIVKELEASKISLKHDVSLCTTEKEALALELDTLGKRYADILEEKSILETSFSNVNYELQELIVKYKDSEESSQSYLVDNNALLVEKHKLLSKLESIGLSLKSLEDKHADLEDKQASLLSEKDILCNQIKDMQNQWGIKNEQHEALLKLHQTQILEQEQQKCTYASISALILENSLADVRDKNLALFNECQKFIQATDSAEVLIVRLKEEAKKVEEDKKALLKRHEKLRDGISEQIKVLDICKDLGPPDMVHDEIMLQTMYRETFDHVKHKEETEEKNAFMDAELSVLEEILAQTVIGFRALHLHNCELVEEVETGATELLFLQKKNHKLIELNGQLEQRLQQGGTREEMLNIEILALCKELSGLRESYQTSQNEICNLTGKYESLLQEYNVLVEKHNALDDENAVVLAECIKLDLLSLLFCDRTDESVSALVSVHNDMATLGSVRHELDREVTMLNRRAKILEMDFKHLKCTLENLSEAMGSCLVLSEFDTNTSKFICQELDIEHKSSMTQLMQKDDKLHKIDEKVQFLQETNQELCRVLRDLEVAVEDAEGVKEDLEKKIRTLTERGAIQDNEIRRLCEANNKLQVEIGIHKQTEESLISTLERMRKEAEQHEREINLLVCDMITCSMNNMVYDEQVLELMMEREALETRLFTEKDMLMREISSRDAYVDDLQKRVTSMRGENTALKADLTTYPPLVASLSDQIRVLEELEDGTLLLSELKEGKLEFVQKDRYGPESQDELSGPLKLQSLIARVEALQAVILDAKGRRDKEFTESAAQLEAANIEIQELKIRKGSNTKEQYIEDDRQKYDADNSKGKHVQIMKDIELDQVSTCSLYGTGAAIYPIGGDANVELDDEMLQLWETAESDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELVRGRDLGIDRLEMSAVSLEPQQLWSKNVLEKLASDAQRLSIVQASIEEIKQKMVGASKGKSTISSEYSSIRAQLQEIDGFLLEQIDFNSNLTKKAENYPAFEVNAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYIRFKVPEKRSRVLLRDYMIARKDKNDAGQKKKKKRIPFCGCVRIKSRTEP >LPERR03G04070.3 pep chromosome:Lperr_V1.4:3:2951942:2964372:1 gene:LPERR03G04070 transcript:LPERR03G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNPTQYSWWWVSHISPKNSKWLQENITDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEESPSSGQDVEPRTPEMPMPTRAPFDLDDLHKDAAGVSPHLFTVKRNGTQPDDICFSSSRKGLKQFSDLFASSDSSHRANFSDGKVRKGLNFESPDVKGKKGESNDIINLQDEVSKLLTESQSLKQQISSESQRANKAESEIHRLKDTISCLISEKDTTLLQYNASTRRLSVLESEFSKADMELKKLSDRMAIEVDKLKYAESHNSAMRSELETLDQRVRVKEQELEESRKKIESFHFNLQDEMTKRKEAEDALCSLEKQYAQSQEEVNRLALDMEMANDRLNDFNLVKLNLENTVCELKKEVASLELKIQMLVQELEQKREEADDIHGQLQDECSNRIQKEAALHALENLHSQSQEDFNLVKLNLENIVCELKEEVTNLELKIQLQVQELEQKREGADAMHAQLQDEHCNHMQKEAALHALENLLSQSQEEAKKMARDLEHSNKKLSDLENNNLKLHDLSQGLKKTVSELNSKKDSALLQQQKSSEKVSYLEAQILVVRSEMEKIVQKAQMLDQELEHKNKEIDDLQSSLQEQVQNCILAETSLLRLDDLHMKSQEEAKTLAHDLERLSKQLSEVENDRLNISRELKENISEINSEKDLLLLQQKESLERVSYLEAQLLAAQSELEKNKQRIQLLEQNLSDKSEELNILQNNLEEEGHKRMRAEATLSMVENLHSKSQEEVGKLIMNLDKLENELSEMQGRNSSMEELSCELRNTISILNSEKDAALLQQQLSSERACDLMSQLSKMQLELEKTEQKMQMIEQELADKSGMVDFLRLSLQDEGKKREQAETTLISSGNLYSQSQEDVNKLILEIERLNGKLNEMENMSSEYKKTILLLNSDKDTSLVQYKQSSLRVSDLESKLSGVQAELDNAEQKLQVLDKELKQKRELVDIMEASLQDEAQKRIKGEAALLKMTNLHSQSQDEVNRFTLEIESLNKKLNEVENVSRELKNTILLLNSEKDTSLLQHKQALVRVSNLESELSDVQAELVNAEENLQILDKELNRKREEVDSLQVSLKDEAQKRIEGEVALLAMENLHSRSQEEVSRLVLNIETLYGKLNEMENNKVDLEDRICKHSEEIHVLGEQNLSAESTIRGLYDQLEMFKEMNIGLQSEVEIHIGDKEVLQQDLVCQKEDKDILENQLCSLEHEMKSVNIRAATQQHLIEELQNKNIELEEICRAHDIEKTLLLEKLRGMEELSEEHSILKKSFSNAIVEREDLKEIVKELEASKISLKHDVSLCTTEKEALALELDTLGKRYADILEEKSILETSFSNVNYELQELIVKYKDSEESSQSYLVDNNALLVEKHKLLSKLESIGLSLKSLEDKHADLEDKQASLLSEKDILCNQIKDMQNQWGIKNEQHEALLKLHQTQILEQEQQKCTYASISALILENSLADVRDKNLALFNECQKFIQATDSAEVLIVRLKEEAKKVEEDKKALLKRHEKLRDGISEQIKVLDICKDLGPPDMVHDEIMLQTMYRETFDHVKHKEETEEKNAFMDAELSVLEEILAQTVIGFRALHLHNCELVEEVETGATELLFLQKKNHKLIELNGQLEQRLQQGGTREEMLNIEILALCKELSGLRESYQTSQNEICNLTGKYESLLQEYNVLVEKHNALDDENAVVLAECIKLDLLSLLFCDRTDESVSALVSVHNDMATLGSVRHELDREVTMLNRRAKILEMDFKHLKCTLENLSEAMGSCLVLSEFDTNTSKFICQELDIEHKSSMTQLMQKDDKLHKIDEKVQFLQETNQELCRVLRDLEVAVEDAEGVKEDLEKKIRTLTERGAIQDNEIRRLCEANNKLQVEIGIHKQTEESLISTLERMRKEAEQHEREINLLVCDMITCSMNNMVYDEQVLELMMEREALETRLFTEKDMLMREISSRDAYVDDLQKRVTSMRGENTALKADLTTYPPLVASLSDQIRVLEELEDGTLLLSELKEGKLEFVQKDRYGPESQDELSGPLKLQSLIARVEALQAVILDAKGRRDKEFTESAAQLEAANIEIQELKIRKGSNTKEQYIEDDRQKYDADNSKGKHVQIMKDIELDQVSTCSLYGTGAAIYPIGGDANVELDDEMLQLWETAESDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELVRGRDLGIDRLEMSAVSLEPQQLWSKNVLEKLASDAQRLSIVQASIEEIKQKMVGASKGKSTISSEYSSIRAQLQEIDGFLLEQIDFNSNLTKKAENYPAFEVNAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYIRFKVPEKRSRVLLRDYMIARKDKNDAGQKKKKKRIPFCGCVRIKSRTEP >LPERR03G04070.4 pep chromosome:Lperr_V1.4:3:2951942:2964372:1 gene:LPERR03G04070 transcript:LPERR03G04070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNPTQYSWWWVSHISPKNSKWLQENITDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEESPSSGQDVEPRTPEMPMPTRAPFDLDDLHKDAAGVSPHLFTVKRNGTQPDDICFSSSRKGLKQFSDLFASSDSSHRANFSDGKVRKGLNFESPDVKGKKGESNDIINLQDEVSKLLTESQSLKQQISSESQRANKAESEIHRLKDTISCLISEKDTTLLQYNASTRRLSVLESEFSKADMELKKLSDRMAIEVDKLKYAESHNSAMRSELETLDQRVRVKEQELEESRKKIESFHFNLQDEMTKRKEAEDALCSLEKQYAQSQEEVNRLALDMEMANDRLNDFNLVKLNLENTVCELKKEVASLELKIQMLVQELEQKREEADDIHGQLQDECSNRIQKEAALHALENLHSQSQEDFNLVKLNLENIVCELKEEVTNLELKIQLQVQELEQKREGADAMHAQLQDEHCNHMQKEAALHALENLLSQSQEEAKKMARDLEHSNKKLSDLENNNLKLHDLSQGLKKTVSELNSKKDSALLQQQKSSEKVSYLEAQILVVRSEMEKIVQKAQMLDQELEHKNKEIDDLQSSLQEQVQNCILAETSLLRLDDLHMKSQEEAKTLAHDLERLSKQLSEVENDRLNISRELKENISEINSEKDLLLLQQKESLERVSYLEAQLLAAQSELEKNKQRIQLLEQNLSDKSEELNILQNNLEEEGHKRMRAEATLSMVENLHSKSQEEVGKLIMNLDKLENELSEMQGRNSSMEELSCELRNTISILNSEKDAALLQQQLSSERACDLMSQLSKMQLELEKTEQKMQMIEQELADKSGMVDFLRLSLQDEGKKREQAETTLISSGNLYSQSQEDVNKLILEIERLNGKLNEMENMSSEYKKTILLLNSDKDTSLVQYKQSSLRVSDLESKLSGVQAELDNAEQKLQVLDKELKQKRELVDIMEASLQDEAQKRIKGEAALLKMTNLHSQSQDEVNRFTLEIESLNKKLNEVENVSRELKNTILLLNSEKDTSLLQHKQALVRVSNLESELSDVQAELVNAEENLQILDKELNRKREEVDSLQVSLKDEAQKRIEGEVALLAMENLHSRSQEEVSRLVLNIETLYGKLNEMENNKVDLEDRICKHSEEIHVLGEQNLSAESTIRGLYDQLEMFKEMNIGLQSEVEIHIGDKEVLQQDLVCQKEDKDILENQLCSLEHEMKSVNIRAATQQHLIEELQNKNIELEEICRAHDIEKTLLLEKLRGMEELSEEHSILKKSFSNAIVEREDLKEIVKELEASKISLKHDVSLCTTEKEALALELDTLGKRYADILEEKSILETSFSNVNYELQELIVKYKDSEESSQSYLVDNNALLVEKHKLLSKLESIGLSLKSLEDKHADLEDKQASLLSEKDILCNQIKDMQNQWGIKNEQHEALLKLHQTQVTDYEEMVSSLLEKICNMDQILEQEQQKCTYASISALILENSLADVRDKNLALFNECQKFIQATDSAEVLIVRLKEEAKKVEEDKKALLKRHEKLRDGISEQIKVLDICKDLGPPDMVHDEIMLQTMYRETFDHVKHKEETEEKNAFMDAELSVLEEILAQTVIGFRALHLHNCELVEEVETGATELLFLQKKNHKLIELNGQLEQRLQQGGTREEMLNIEILALCKELSGLRESYQTSQNEICNLTGKYESLLQEYNVLVEKHNALDDENAVVLAECIKLDLLSLLFCDRTDESVSALVSVHNDMATLGSVRHELDREVTMLNRRAKILEMDFKHLKCTLENLSEAMGSCLVLSEFDTNTSKFICQELDIEHKSSMTQLMQKDDKLHKIDEKVQFLQETNQELCRVLRDLEVAVEDAEGVKEDLEKKIRTLTERGAIQDNEIRRLCEANNKLQVEIGIHKQTEESLISTLERMRKEAEQHEREINLLVCDMITCSMNNMVYDEQVLELMMEREALETRLFTEKDMLMREISSRDAYVDDLQKRVTSMRGENTALKADLTTYPPLVASLSDQIRVLEELEDGTLLLSELKEGKLEFVQKDRYGPESQDELSGPLKLQSLIARVEALQAVILDAKGRRDKEFTESAAQLEAANIEIQELKIRKGSNTKEQYIEDDRQKYDADNSKGKHVQIMKDIELDQVSTCSLYGTGAAIYPIGGDANVELDDEMLQLWETAESDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELVRGRDLGIDRLEMSAVSLEPQQLWSKNVLEKLASDAQRLSIVQASIEEIKQKMVGASKGKSTISSEYSSIRAQLQEIDGFLLEQIDFNSNLTKKAENYPAFEVNAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYIRFKVPEKRSRVLLRDYMIARKDKNDAGQKKKKKRIPFCGCVRIKSRTEP >LPERR03G04070.5 pep chromosome:Lperr_V1.4:3:2951942:2957522:1 gene:LPERR03G04070 transcript:LPERR03G04070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNPTQYSWWWVSHISPKNSKWLQENITDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEESPSSGQDVEPRTPEMPMPTRAPFDLDDLHKDAAGVSPHLFTVKRNGTQPDDICFSSSRKGLKQFSDLFASSDSSHRANFSDGKVRKGLNFESPDVKGKKGESNDIINLQDEVSKLLTESQSLKQQISSESQRANKAESEIHRLKDTISCLISEKDTTLLQYNASTRRLSVLESEFSKADMELKKLSDRMAIEVDKLKYAESHNSAMRSELETLDQRVRVKEQELEESRKKIESFHFNLQDEMTKRKEAEDALCSLEKQYAQSQEEVNRLALDMEMANDRLNDFNLVKLNLENTVCELKKEVASLELKIQMLVQELEQKREEADDIHVSRRG >LPERR03G04080.1 pep chromosome:Lperr_V1.4:3:2961335:2970175:-1 gene:LPERR03G04080 transcript:LPERR03G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKSSPTSPAPRVPVPAPSPFFSTLGKNMKETFLPDDPFRVIRRENSSGRRILAAIRYVFPFADWAPSYSLPTLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEQISPVDDPGLYLHVGFYRGFSLACDHRWVHGWRGDGCLLATDEGHWRWESVVMGCGFLFFLLATRFFSKKRPSFFWVSAAAPLTSVIIGSVLVYLTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAIHLWQVDKVDFCVCLAAYLGVVFGSVEIGLVVAVGISILRVLLFMARPRTTMLGNIPNSMIYRRMDQYTTAQRVPGVLVLRIDSPIYFTNASYLRERIARWIDEEEDQCKEKGEMGVQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDYVLHSQKPGMVMDSAPHESMVFFYSFCIFHSNLKIPENSAQFLKKQFRCSCLHFFHKFTVVQVQSSEANNCLCQNFFKNR >LPERR03G04080.2 pep chromosome:Lperr_V1.4:3:2961335:2970175:-1 gene:LPERR03G04080 transcript:LPERR03G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKSSPTSPAPRVPVPAPSPFFSTLGKNMKETFLPDDPFRVIRRENSSGRRILAAIRYVFPFADWAPSYSLPTLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEQISPVDDPGLYLHVGFYRGFSLACDHRWVHGWRGDGCLLATDEGHWRWESVVMGCGFLFFLLATRFFSKKRPSFFWVSAAAPLTSVIIGSVLKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAIHLWQVDKVDFCVCLAAYLGVVFGSVEIGLVVAVGISILRVLLFMARPRTTMLGNIPNSMIYRRMDQYTTAQRVPGVLVLRIDSPIYFTNASYLRERIARWIDEEEDQCKEKGEMGVQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDYVLHSQKPGMVMDSAPHESMVFFYSFCIFHSNLKIPENSAQFLKKQFRCSCLHFFHKFTVVQVQSSEANNCLCQNFFKNR >LPERR03G04090.1 pep chromosome:Lperr_V1.4:3:2981634:2983842:-1 gene:LPERR03G04090 transcript:LPERR03G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVRYQHSVMGKAGRWLRNFLSGGGGKKKEKMQAEAMAALPGKEKRWSFRRPVLDEKAVAAAAELGESGFDLSASQSSEFDQKKQAVALAVATAAAADAAVAAAHAAAAVVRMSSRKTLPPAIAVEEVAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLTAQSQLRAQAQRMRVVEHHHRRTPPRPRPPSPSPHHPRHRRSYEMDRSCEENAKIVEVDIGGGAARQFECQYNGWWSPAPSSTAYSGGHFDGDMAPYAEATANSSPQNAAASASSEMLHMCPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKSGGIGGGGARMQRSSSSSRGGGAAFAWPVVKLDTSSVSLKDSECGSTSSVLTSATVYSRTRSLVGFEVRRGMY >LPERR03G04090.2 pep chromosome:Lperr_V1.4:3:2981634:2983481:-1 gene:LPERR03G04090 transcript:LPERR03G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRNFLSGGGGKKKEKMQAEAMAALPGKEKRWSFRRPVLDEKAVAAAAELGESGFDLSASQSSEFDQKKQAVALAVATAAAADAAVAAAHAAAAVVRMSSRKTLPPAIAVEEVAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLTAQSQLRAQAQRMRVVEHHHRRTPPRPRPPSPSPHHPRHRRSYEMDRSCEENAKIVEVDIGGGAARQFECQYNGWWSPAPSSTAYSGGHFDGDMAPYAEATANSSPQNAAASASSEMLHMCPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKSGGIGGGGARMQRSSSSSRGGGAAFAWPVVKLDTSSVSLKDSECGSTSSVLTSATVYSRTRSLVGFEVRRGMY >LPERR03G04100.1 pep chromosome:Lperr_V1.4:3:2986210:2988948:1 gene:LPERR03G04100 transcript:LPERR03G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRRRLAGGGSHAAAAVLLVVVMSTTTARVAVADDFFSPLSPLLAPVIGSICKTVACGKGNCTATAAGFPGYKCECEPGWTQMHVGDELRFH >LPERR03G04110.1 pep chromosome:Lperr_V1.4:3:2992897:2993360:-1 gene:LPERR03G04110 transcript:LPERR03G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSASTGRHRRRRRTISTAAISPLLVLLIFFLGARSCSATGLAGVGEGAKPEERRPYYYYYYSRWESAAARRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGVAFPLEYYPEAWRCKCGDKLFMP >LPERR03G04120.1 pep chromosome:Lperr_V1.4:3:3002390:3009331:1 gene:LPERR03G04120 transcript:LPERR03G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFQDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEVKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARLLLTHWGFCMISERSTLTKLSTEESKMEMIVPGIVKEEWSPEEGEEEAPRPMEGLHEVGTPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPASQALGTCLEVGQFGMDEEIDRLKRDKNILLAEVVKLRHEQQSTKADMRAMEERLQNAEQKQVQMMGFLARAMQNPDFFHQLIQHQDKMKGLEDTFSKKRTRSIDIVPFLRPEEVSQSDQLESTFPFDPRPFAELNVEPPKSELENLALNIQGLGKGKQDVKLTRNQASVETELTDDFWEELLNEGARDETGTPELERRRPRYVDALAQRLGYLSNNSQK >LPERR03G04130.1 pep chromosome:Lperr_V1.4:3:3017932:3024288:1 gene:LPERR03G04130 transcript:LPERR03G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHHHQQHHQQEAAASADHQNPTTQPPACIVLDGPIIVGAGPSGLAVAATLRQHGVPFTILERSGGVADLWANCTYDRLRLHLPKLFCELPHVAFPPDFPTYPTKHQFLRYLHSYAARFNINPLLGRTVTSARYDSSSSLWRVTTTTTTTTMKTVTEYASRWLVVASGENAEVVVPRVKGRERFAGETLHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGVAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNVTGKSPVLDVGAWSLIKSGNIKPSRPTGRGLGLSVVRILIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEEGELFTEDGKARRARCPSSDEWRWRGPNGVYCVGFSGRGLLGAGADALRAAADIAGSWQAAAAANTTVSVSSV >LPERR03G04140.1 pep chromosome:Lperr_V1.4:3:3034413:3035821:1 gene:LPERR03G04140 transcript:LPERR03G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >LPERR03G04150.1 pep chromosome:Lperr_V1.4:3:3053949:3056071:1 gene:LPERR03G04150 transcript:LPERR03G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAQIDPSGGGGGGAPPAKSRLKRLFERQLLRVSPAERLPSVADKDESEPSSVCLDGMVRSFLEDGGGERTAAAPPRCCNCFHGGEASDDDDDLLSPTDSTLAATSDAAETIKGLVHCATLRERNLLADVSTMVAHHRAAGARKRDLLRLVAESLRAAGHDAAVCVSRWEKSSSHPKGEHAYIDVILAAGASERAELERVLVDVDFRSEFEVARPTKAYRAVVQRLPAVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSAYERDVPLPPPPPATTTPTAVASAGELAVDGEGGGLNN >LPERR03G04160.1 pep chromosome:Lperr_V1.4:3:3071819:3075743:1 gene:LPERR03G04160 transcript:LPERR03G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAAHLLAFATLLLVVAVSPSSLADAVAREACEHARALVAAAACFAAAAYAYAMSRPRPVYLVDLAGYKPAASHEATRAKSVRHFAAAGNFTGESMSFQRRMMERSGLGEATHFPASLLSLPVDMCLRTAREESEAVVFGVVDELLAKTGVAVADIGVVIVNSSLFSPTPSFTSLVVNRYGLRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHADTYALVVSTENITLNAYLGNYRPMLVTNTLFRMGGAAVLLSNRHAERSRAKYQLMHTVRTHRGGACDRSYGCVTQEEDEHGNVGVSLSKELMSVAGDALRTNITTLGPLVLPLSEQLRFLSTVLRRRVLGRRAKSGTKPYVPDFRLALDHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRRGDRVWQIAFGSGFKCNSAVWKALRSVDGGEADAGPWAQDLDRLPVHVPKVVPIDDDDDDDVVEAGHGRSE >LPERR03G04170.1 pep chromosome:Lperr_V1.4:3:3076288:3094219:1 gene:LPERR03G04170 transcript:LPERR03G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPCDCFLHAPAPAPPSSLAAAAAGARWRDINLSFQTSAVSAPARNVETCPDLVVPCTVPRRDAERKKSGRWCQYGGSIPAMLEALDRTEDIGEALRPWRDTMSNRERTIVLKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMNSSGVVPDNSTYGTLIDVHCKGGRERIALVWLGDMFKRGLRPDEITMSIVLQIHKKAGEYEKAELFFKRWSLDSDAKMEGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKTENLLPDVVSCRTLLYGYSIKGMVTEAEALLKEMHERNLVIDEYTQSAVTRMYANAGMLEQAWRWFEKFNYQMNSECFSANIDAFGERGHVLLAEKAFMCCIKRKMLSAIVCNVMIKAYGLAEKLDEACEIADGMERYGILPDNLTYSSLIQLLSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISSFAKNGNLHMVGCLFSEMITSGIEADTYVYSIIIDAYAEVGDVQKAEAYFGLFKKADLCESATIYNSLIKLYTKAVYLAEAQKTYKILKSLDTDTNLYASNCMIDLYSDHCMVKEAREVFENLKVTGKENEFSYAMMVCLYKKIARFDEAHRICKEMQASGFLTQALSYNSVIQMYVSGGRIEEAVKIFQKMLASKTPPDDATFKALKIILVKGAVSKNDIRRLEFLRKNSTHDCLRQWYRVLCMMVEDTRICTYVVIIYRFARYWNFMTNQWGNGSKAADVGQRKLKIMEESLAAMILTPNLLYILLSSPMFHAFPGQLRAYGGCGIGVRSAGHLPSKRGLVRVFDSAKGLNEKVTNGNVEQPSTSTSDNSPSFPAQGNFNMVTIVGIAFCLLHKIVIGQMQSITKFLPWMSHSITSLPWACISDPMKKPVPLKLDVSFPPLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFTLVVVGGFLFHKFRKNQQTLEECFWEAWACLISSSTHLRQKTRIERVLGFILAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIENDHIIICGVNSHLPSILNQLNKFHESSIRLGTATASCSLSLTKSFERAAANKAKSIIILPGKNERYEVDTDAFLSLLALQSLPQIASIPTIVEAVVCGIFRSGMIHFHPSEDEVLKATDKLLLIAPVTWRRRPQSAISNSPNGTQISSHYSESTESQKSPSMALEVKETRLNSIVKRPSKSLSKSNDYMLGPREHVLIVGWRPKIADMIREYDSYLGPGSVLEILSETPVKERSSTVNPLLQKQLKNIKVNHQVGCPMNYDTLKEAIINFRKSRKYDQNVPFSIVVISDTDWLGGDTAQVDKQLAYTLLLAENICQKHGIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGLYMKEGEKISFSELAERAVLRREVAIGYVKDQKQHINPRNKLELLSFEMTDQLIVISEFEEQKTRTRKMMENANGDTDETSGLTTPRSHEVPLCTSYENGITRIPEEIRLREPEAYQPKVVCIGPYFHSARNSPRFRRMEKHKRWCVNRLLERSSHSLEPLVQAFLLRLSKTIKTKSFQQLYAEAIDMTEEEIGMMLLFDGCFIVHFLLRHDPNKGSEHEYWTKLDAGQLDHEYETLQWERPWEWSLVAIDMLRLENQIPFVAVRILFDILKTEHDKSVDLTACARNMFNKYLPAGMRTSTHPIHCQDVRCLLQLLYRSLLPNPKSRSNLMEPPPKPPRTGIDPAKKLDTDGVGITRRRQWWWWPWSHHFQENFTFLDIIFSHGKVQIPQLQVSDASIQLLQNLIAFEKCYHGTTSHVANYAAFMDALNGDHHDTEMLRKRSILDVQFTPAQTQAELSLGRRCKQDVDPSSENYLSRVMVDVVLYKEARARRKKTQTPMSDAVFFIVLSVSAYVLLTFCWYIVS >LPERR03G04180.1 pep chromosome:Lperr_V1.4:3:3094932:3104059:1 gene:LPERR03G04180 transcript:LPERR03G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPKKRAIIESGDGGLGLGLAAFISNGEDLGPIIRHAFETGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKSMLTGENIHLQEVSSASLLKLDELFELYSVKKNIGEAITTLKICVKVISLCMACNGYIAEAKFHPALKTVDMIEKGYLKNIPLKLLKKVVAKHIPLIKMHIEKKVTSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRVQQREAEAHSDARPDEHMYTLDVENTDEESTLNFDITPVYRAHHMHIRLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVETTWEAAIAKITSILEDQFARMSTASHLLLVKDYVTLLGTTVSKYGYQITQLIQVIEKNRDKYHQLLLIECRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVTPEFPYVASFSSGVPDICRLVRSFIEDSISYLAYNGRMKFYEVVKGYLDKLLIEVVNNSLLNMIYARSLAMSQMMQLAGNIAVLEQACDMFLLFSAHMCGIPKRIAQRSHSGLTARAVLKASQNAAYNALINLANFKIDEFMVLLDDVNWIAEEAPDNSNDYMNEVLIYLETLVSTAQEILPLEALYKVVSGAMSHISDSIMTTLLNDGVKRFTVNAVMGLDIDLKLLEAFADEKFHNIGLSDLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKLAIVCEKYKDFADGLFGSLSNRNKQNARIRSMDVLKRRLKDFS >LPERR03G04190.1 pep chromosome:Lperr_V1.4:3:3109059:3109301:-1 gene:LPERR03G04190 transcript:LPERR03G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVVVAVLLMQFCNAIMAARLLDGELAGSWLQGGVGGGELVLQMLKNDNGQSPGAPSGCHQPGGSSNGGGCP >LPERR03G04200.1 pep chromosome:Lperr_V1.4:3:3111203:3111448:-1 gene:LPERR03G04200 transcript:LPERR03G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAMVRVVLVAVLLMQFCNTIMAARLLDGELTGSWLQEGVAGKIIVQVIKAKNGPPPGTPNCPRQAGGGVCPQKAGG >LPERR03G04210.1 pep chromosome:Lperr_V1.4:3:3113933:3114121:-1 gene:LPERR03G04210 transcript:LPERR03G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVVIAVLLMQCCNAIMAARLLQGGGGESILQVLNGGTPGTSNPCHQAGGGGGSGVCIP >LPERR03G04220.1 pep chromosome:Lperr_V1.4:3:3126066:3132518:1 gene:LPERR03G04220 transcript:LPERR03G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPHPPLLPRGRSHAAQHAVARLPPAPRRGLRPRAVAVAVSGAVNEARRRWPPAEGGDEEEEGKETDLATLGNLCVDVVLSVPELPPAPREEREAYMERLAASPPNQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSSACRHAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPAETKTAIHRSKILFCNGYAFDELFPDVISSAVDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSSVSCAPSFKIDVVDTVGCGDSFTAAIAFGFLQNLPAVSALTLANAVGAATATGCGAGRNVAHLDKVLQLLRESSINEDDTPWNELIEASSFCSEVSVLSKMAVNGFSDRLVRIPICNVVSNLLSMFEAVSERSTVQA >LPERR03G04230.1 pep chromosome:Lperr_V1.4:3:3129125:3132200:-1 gene:LPERR03G04230 transcript:LPERR03G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKARARSPNFAQQFWPVLCHAFSECCLLIMLVVTAIVSFTATRFARIWSLWPPCILCSRLDRLLHGNTWFSEDLICAAHRLEISNLAYCHIHKKLAHSDDICERCLLSCAGLDKPFKKTGHAHRLSELANDIVLDDDVSTVKERSIDMISIGHSSEEGSDDFSYGGYRKLNVPNDPESEIRILDDDHEYDDSTGMIHKVTQRSKDLSSHDLQRQPMIGSTNSLSMHPSENVVLAEPMNTTPVPVNTATKTDHVATVTNLVSAEKSSEHASLNGLGEINWSKVNVTGNSRDVQSTIVPEQVCAELPKEKTFLVGIEEVGDSVGVSGSHDEEAAKGFVASANAGMSPAFRAHVNRNNSMKSASGGRSNLQSPRWSEIISSKDNNSKTQEEVKTFLSQMSSARGFDGPWSEVATSPRITQIDDATGSKKILESNYSNLEPFDVNATSDDEGESSLECLKQRVEIGKKKMSILYKELEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDMLTEREKEMLDLEAELENYRRLHEPFDCKFDSTNGVMASGVLDSSDFMRDTMFGFEDEKVNILESLSRLEETLGILEHNFGGTHDNLQLPSEHLNGEHVSSVDSGSCSRLDDDKTSSAASVKHEISLLNARFMALEADQKFLKQILGSLKCSDDGVQCVQEITTYLRELRRIMTEQRETAQRKFGFKSQAREHKLIR >LPERR03G04230.2 pep chromosome:Lperr_V1.4:3:3129125:3132200:-1 gene:LPERR03G04230 transcript:LPERR03G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKARARSPNFAQQFWPVLCHAFSECCLLIMLVVTAIVSFTATRFARIWSLWPPCILCSRLDRLLHGNTWFSEDLICAAHRLEISNLAYCHIHKKLAHSDDICERCLLSCAGLDSKPGKVKIMRNKDKLSCRHTHLCSCCSEPFKKTGHAHRLSELANDIVLDDDVSTVKERSIDMISIGHSSEEGSDDFSYGGYRKLNVPNDPESEIRILDDDHEYDDSTGMIHKVTQRSKDLSSHDLQRQPMIGSTNSLSMHPSENVVLAEPMNTTPVPVNTATKTDHVATVTNLVSAEKSSEHASLNGLGEINWSKVNVTGNSRDVQSTIVPEQVCAELPKEKTFLVGIEEVGDSVGVSGSHDEEAAKGFVASANAGMSPAFRAHVNRNNSMKSASGGRSNLQSPRWSEIISSKDNNSKTQEEVKTFLSQMSSARGFDGPWSEVATSPRITQIDDATGSKKILESNYSNLEPFDVNATSDDEGESSLECLKQRVEIGKKKMSILYKELEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDMLTEREKEMLDLEAELENYRRLHEPFDCKFDSTNGVMASGVLDSSDFMRDTMFGFEDEKVNILESLSRLEETLGILEHNFGGTHDNLQLPSEHLNGEHVSSVDSGSCSRLDDDKTSSAASVKHEISLLNARFMALEADQKFLKQILGSLKCSDDGVQCVQEITTYLRELRRIMTEQRETAQRKFGFKSQAREHKLIR >LPERR03G04240.1 pep chromosome:Lperr_V1.4:3:3136863:3145686:-1 gene:LPERR03G04240 transcript:LPERR03G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGGAIRVLNVAEKPSVAKSVAEILSRQSGGMRSREGRSRYNRVFEFGYSIAGQACHMLVTSVTGHLMELEFDDRYRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARTCHWLVLWLDCDREGENIAYEVVDICTGANSRLNIWRARFSALIDREINEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFVWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMASEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVRDQVAHPNWGTYAQRLLDPEARLWKNPSNGGHDDKAHPPIHPTKFSAGETNWSDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDIYRFDSWGGTLLPTYTIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRSYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSVGAKSKSEVLENCLQQMKACFLDARANKAKLLDAMGTFFARSNRPINEAQNSIEAVRPCAACNEAEMVLKRRQTGEFMVSCRGFPQCRNVVWLPGSVSEAAVTGQVCPTCGPGPVYKIQFKFRRRDIPPTFDVDHLGCIGGCDDILKELTEISRFGSHNQTATPARNQSQTPSGVRQGTSMQDLHSGFRPAGQFTNGPTPVAQVKYSAHHVENPAYHERLIQRLTGGGSSINAKILHAAFLWEDDVQNSAPRGRGARGRGGGRTSSRQASASASAGRRGGSQGRGRRGRGRNADGMMFVAATGEPVNNSCFICGDPTHFANVCPNRGR >LPERR03G04240.2 pep chromosome:Lperr_V1.4:3:3136961:3145686:-1 gene:LPERR03G04240 transcript:LPERR03G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGGAIRVLNVAEKPSVAKSVAEILSRQSGGMRSREGRSRYNRVFEFGYSIAGQACHMLVTSVTGHLMELEFDDRYRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARTCHWLVLWLDCDREGENIAYEVVDICTGANSRLNIWRARFSALIDREINEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFVWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMASEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVRDQVAHPNWGTYAQRLLDPEARLWKNPSNGGHDDKAHPPIHPTKFSAGETNWSDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDIYRFDSWGGTLLPTYTIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRSYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSVGAKSKSEVLENCLQQMKACFLDARANKAKLLDAMGTFFARSNRPINEAQNSIEAVRPCAACNEAEMVLKRRQTGEFMVSCRGFPQCRNVVWLPGSVSEAAVTGQVCPTCGPGPVYKIQFKFRRRDIPPTFDVDHLGCIGGCDDILKELTEISRFGSHNQTATPARNQSQTPSGVRQGTSMQDLHSGFRPAGQFTNGPTPVVNSQGFRSTHTQSSGNASDGKTMSRILRRGAVARVGVAAAALAADKHLHLHLQDGEAAAKGEAGGAGDEMLTA >LPERR03G04250.1 pep chromosome:Lperr_V1.4:3:3146396:3150449:-1 gene:LPERR03G04250 transcript:LPERR03G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSRLARLPRSTVGLAVALGGRPSTADLAAAATAAAAAGRASECQSHLLRMSRRRGACRREIVSSLLASSPTPQPQVFDLLIRTYTQSRKPREAFESFRLLLDHRVPIPAAASNALLAALSRAGWPYLAAEAYRLVLSSNSEVNAYTLNIMVHNYCKTLEFDKVDAVISELEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVNKGLKPGIVTYNSVLKGLCRHGMWDKAREVFREMDQFGVARDVRSFNILIGGFCRVGEIEEAMKFYKEMRKCGITPDVVSFSSLIGLFARTGRMEQVAAYLREMRCFGLVPDGVIYTMVIGGFCRAGLMSDALRVRDEMVGCGCLPDAVTYNTLLNGLCKERRLLDAEELLNEMRERGVPPDLCTFTTLIHGYCMEGKVEKALQLFDTMLHERLRPDIVTYNTLIDGMCRQGDLGKANDLWDDMHSREIFPNHVTYSILIDSYCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVIKGQQFLQQMMHDKVSPDLITYNTLIHGYIKEDKIHDAFKLLNMMDKQKVQPDAVTYNMLINGFSVHGNVQEASWAFEKMCARGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMVQRGHAMIQTDGHCFPRIHNQQNMITMTGGNT >LPERR03G04260.1 pep chromosome:Lperr_V1.4:3:3150810:3159791:1 gene:LPERR03G04260 transcript:LPERR03G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDPMAGKHLTPFQQTNNAPQMNGAPRPATNYGAPVATARAPSPRPPMQNATTTSTGAPRPANLAAPGAAAITPKPHPSMQNGTPTTSAPRPANLGASGATAMTPKPHPSMQNAPRMAGAPRPANNGAPGAAARTASPHPAQMQNAPQMSGAPRPAANNGASAATAPSPSRQNAPPTTGVPRPAANYASSGAHPPTPTMMPPAAGQMSSHTVVPGTGIQTTTPRKMRGSIPAMTPAMVPTTVTTVPATRPPHAPLQPTQATPPTTAMAPTFVPAPQAPQAQGAPSMAMQLQPRPQIPYIGVRRDVTTGVFTALVMDPDTNTPRAVGAFGDSNAAALAHDRLEIAFHGVAAARVNFGAGFHGVEHRFLSYLRTMKDTSTETVCKVVANEGRYDSMYSIYLKQTYNMMMDESRPTPAWLMDLKLEFYIERACEIGVDALNGTRDQLVERFVEMHKNKATNPNWREWYFKKKMAQRQQQQGMVNKRKAEDGEDDQQHKRQQQVSPGNSAPGIIACIVCINDDSGHDDASNSWMDPDSRKRQKHGSGHCILSPTQFHSSILRHNRSVRLQFLEKYNDLKCGSDTEDYKAISMKRLELICTLERLQEVPIQLPYSSPLKSSDIKHSLEQNGRNSSCPHITDLDSGSAGDHTCANVDNTSADTTVIVVDSDDADSITSFIDESSSSSKQSVNYIQGNVLPEQLIQHQEISMLNCENISSEAQVIVQKGKDSMDINNVFYNKVGHEEIGKEEGQPENVQIKGTLKKDVISFADSDEPSYEVMQIQSSTNGNFDQYDNNNLVDDLEGLWMDMSLAMSYLKTIGSDHNIVPSESSCEQVEDECHHDFLMKDDLGIVCRICGLIQQRIENIFEFQWKKRKQLYRAHSSSEHRNSSHADANDNNLGTILEVVPDALSIHPQHSQQMKPHQVEGFNFLIKNLVDENNPGGCILAHAPELNFSVGELMIFLCMTSTPKLINGLNNSKSLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIQTPRKVVLSGTLFQNHVREVFNILNLVRPKFLKMDKSRAIVKSILSKVDLLGKSTRSKNISDKDFYDLVQEHLQKDGNDKMRVMIIQKLRDLTSDVLHYYQGKLLEELPGIVDFTVFLNMSSKQEEIIKGLDGINKFAKRSKCNAVSLHPCLKSVNKADAEDGNVSCRKIGSIISGIDINDGVKAKFIHNLLSLSEAAGEKVLVFSQYVRSLLFLEKLVTRMKGWKPDKHTFKVTGGSTPDQREQAVQRFNNSPDAKVFFGSIKACGEGISLVGSSRIVIVDVHENPAVMRQAIGRAYRPGQSKMVYCYRLVAADSSEEEDHRTAFKKERVSKLWFEWNELCSSGDFELATVDVSDSEDRLLESPALQKDIKALFKR >LPERR03G04270.1 pep chromosome:Lperr_V1.4:3:3159825:3161846:-1 gene:LPERR03G04270 transcript:LPERR03G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNQRDLTGSGAGAGEMSFHHHYPPPSNPYTSDSSAAGGGLIPLPATIVSQSHIAHAVAGAGDDPTMDGGLQTQLLMATNGRHHQGGLSLSLGTQVPVSLYHQYRSPASLLNPTGQSPPSIAHPTTNNIYVQNSRFLKAARDLLDEVVNVRDAIKPKKDKDQSDAGDKAGDEIKHDPDADNDKNTNPELTASERQDLQNKVTALTAMLEQVDRRYRHYHHQMLMVSSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSTRRSLGEHDTSSGAGAGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENKGKAEEAPAISSEDRDEPSFQSAPAATSKHHHQQQQINPFKSEAMAGAGMDAAAVAGIGMYATSLNLNHHHNSGYHGGAGAGDARFMSYGDMADLGGGYDGVSLTLGLQHCNNNGAGAGGEQHGLLYGGGGGDFDYLNGAGADVDRQRFSSSSQLLHDFVA >LPERR03G04280.1 pep chromosome:Lperr_V1.4:3:3168338:3174470:-1 gene:LPERR03G04280 transcript:LPERR03G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGFLAALLGCAVAVAVLVAVVHAAVTYDRKSVLIDGQRRILFSGSIHYPRSTPEMWEGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYVCGEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFAEKIVDLMKSEKLYASQGGPIILSQASIRILLPRIENEYGPEGKEFGAAGKSYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKVYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLVREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAATPLLTSTGLLEQLNVTRDNSDYLWYITSVEVNPSEKFLQGGDPLSLTVQSAGHALHIFINGQLQGSAYGTREDRRISYKGNANLRAGTNKVALLSVACGLPNVGVHYETWSTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKTCSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQSISAIKFASFGTPLGTCGTFQQGECHSANSHSVLEKRCIGLERCVVAISPNNFGGDPCPDMMKRVAVEASGQLLIEVGPGMECRESKGKRLMHSFARSFLTEANVYGDSIGYFVTN >LPERR03G04290.1 pep chromosome:Lperr_V1.4:3:3182147:3192152:-1 gene:LPERR03G04290 transcript:LPERR03G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTLANPTPPSPPAAQSDAAAAAAAAAAAGGVDAAAVRALYDKAHASLQKGNHAKALRLMKEAVGRHGEGSPLLLRAHGTVLHRAAQALDEPSARARHERAALEAARRATELAPDSVELALFHASLLHDIASDNAGYEAANAECGRGIGIESPTDPAPHSLRLPAPDVEEVRADLSGLMQKSNMASISLWVKNMGYNNGEDKVRVFPIRRGVEESSELRLLQQAPAPRRQNELKKANKSPEERRKEIEVRLTAMRLMEQHNANAASSSNSQSQQLGDEAPSSSSQSSVSGHRAERRKGGSRKATASSVSGRIDQVREFWGTVPMDRRLAFLSTSISELKSHYATAMHKEKDAATMVSDVLNQAIRFASRSGKWEFWVCGRCEKRFADVESHAQHAMEEHVGVLSSRLRDMEPEEIDDAWEEKLTGSSWRPVDATLALKILEEELADNVGSDRDKDSMSSDIWSVKDKSDTSDSSTSPHNEECDNYVVVTREGDRKWPLSDDEERAQILERIHSSFEILVKNKNLSLGHLNRVLDFAMEELRGMPSGSLLLNHLLDESPLCICFLDASSLRKVLKFLQELTQACGLNNRGLNKDREVGDRDSFSKSCCNLEKITLDSDSSLLILDGQAFEVRSDHENVVADLFLSWLYTGPSVEEQLLDWNRVLEVRSNQCIGILHELEKEFSGLQNWYEQKHEKLRDEVGILAVGSLLCEEQRRRDEMDLYPFQGYEELLKKRQELLKHDAEELFNDCRSELDAISTILGELKTSRFGYDEAFSTSRLYDFDGTEEDEWRFHDFEHSNDSLVQSLVSRLKEQVATELNKIDARIMRISAGIEQLKLKLGPASFLDYRMILLPLLKSFLRARLEELADKDAKERSDAAREAFLAELALDAKRNANKGSDTKQSHDKSKDKKKVKDSRKSKVSKDLSWSDQYIVRPDSVDEETSEQSLPTSDLHFNDQEESKDRLREEADERKLDENLQMQIRVEEEFADKCRISQGSGVGMACMPTDVKLNMEILDKYRCAQNNSSHTYLQGINFGDFQFSEVLLREEHSSLRLCDSDLLQKMENNHSQVQNGLSSPAARSLTSSNVYLTKPTPKVNGVGKNAETKLPTIPSTQKSRRSTGQVHKKYIQGVTGTFNDDDDTRPSIRQSGSPTPRWNSSGKAADIANHSYQDTKQNQLQVLSSDYSQCVHGARSAGENSNSEKDLYIEDDKRFKEDLERAVLQSLGTSNEKEIYGAGLRNAAGEYNCFLNVIIQSLWHLERFRDGFLKTLPRHKHIEDSCAVCALYGIFTALSKASEEQGEAVAPTSLRVALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSHSGCQVKSHEVNCVGSWDCASSSCIAHCLFGMDIYERMNCRNCGLESRRLKYTSFFHNINASSLRTAKAMFPDHSFDYLLEIVMNDHLACDLDDGGCGELNHIHHILSSPPHNNKESVDDISATLAGISTEIDISTFYRGLDRGSKYSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKAVGSWDDVLIMCKKGHLQPQVLFFEAAK >LPERR03G04290.2 pep chromosome:Lperr_V1.4:3:3182147:3192152:-1 gene:LPERR03G04290 transcript:LPERR03G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTLANPTPPSPPAAQSDAAAAAAAAAAAGGVDAAAVRALYDKAHASLQKGNHAKALRLMKEAVGRHGEGSPLLLRAHGTVLHRAAQALDEPSARARHERAALEAARRATELAPDSVELALFHASLLHDIASDNAGYEAANAECGRGIGIESPTDPAPHSLRLPAPDVEEVRADLSGLMQKSNMASISLWVKNMGYNNGEDKVRVFPIRRGVEESSELRLLQQAPAPRRQNELKKANKSPEERRKEIEVRLTAMRLMEQHNANAASSSNSQSQQLGDEAPSSSSQSSVSGHRAERRKGGSRKATASSVSGRIDQVREFWGTVPMDRRLAFLSTSISELKSHYATAMHKEKDAATMVSDVLNQAIRFASRSGKWEFWVCGRCEKRFADVESHAQHAMEEHVGVLSSRLRDMEPEEIDDAWEEKLTGSSWRPVDATLALKILEEELADNVGSDRDKDSMSSDIWSVKDKSDTSDSSTSPHNEECDNYVVVTREGDRKWPLSDDEERAQILERIHSSFEILVKNKNLSLGHLNRVLDFAMEELRGMPSGSLLLNHLLDESPLCICFLDASSLRKVLKFLQELTQACGLNNRGLNKDREVGDRDSFSKSCCNLEKITLDSDSSLLILDGQAFEVRSDHENVVADLFLSWLYTGPSVEEQLLDWNRVLEVRSNQCIGILHELEKEFSGLQNWYEQKHEKLRDEVGILAVGSLLCEEQRRRDEMDLYPFQGYEELLKKRQELLKHDAEELFNDCRSELDAISTILGELKTSRFGYDEAFSTSRLYDFDGTEEDEWRFHDFEHSNDSLVQSLVSRLKEQVATELNKIDARIMRISAGIEQLKLKLGPASFLDYRMILLPLLKSFLRARLEELADKDAKERSDAAREAFLAELALDAKRNANKGSDTKQSHDKSKDKKKVKDSRKSKVSKDLSWSDQYIVRPDSVDEETSEQSLPTSDLHFNDQEESKDRLREEADERKLDENLQMQIRVEEEFADKCRISQGSGVGMACMPTDVKLNMEILDKYRCAQNNSSHTYLQGINFGDFQFSEVLLREEHSSLRLCDSDLLQKMENNHSQVQNGLSSPAARSLTSSNVYLTKPTPKVNGVGKNAETKLPTIPSTQKSRRSTGQVHKKYIQGTFNDDDDTRPSIRQSGSPTPRWNSSGKAADIANHSYQDTKQNQLQVLSSDYSQCVHGARSAGENSNSEKVDSCAISSTDLYIEDDKRFKEDLERAVLQSLGTSNEKEIYGAGLRNAAGEYNCFLNVIIQSLWHLERFRDGFLKTLPRHKHIEDSCAVCALYGIFTALSKASEEQGEAVAPTSLRVALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSHSGCQVKSHEVNCVGSWDCASSSCIAHCLFGMDIYERMNCRNCGLESRRLKYTSFFHNINASSLRTAKAMFPDHSFDYLLEIVMNDHLACDLDDGGCGELNHIHHILSSPPHNNKESVDDISATLAGISTEIDISTFYRGLDRGSKYSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKAVGSWDDVLIMCKKGHLQPQVLFFEAAK >LPERR03G04300.1 pep chromosome:Lperr_V1.4:3:3193322:3196667:1 gene:LPERR03G04300 transcript:LPERR03G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRKLVYSFVARGTVVLADHAEVSGNFATVAAQCLQKLPSNENRHLYNCDGHTFNYNIHDGFTYCVVATEAAGRQLPIGFIERVKEDFAKKYSGGKARSATANGLKREYGPKLKEHMKYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLLVLTVCRDFNCW >LPERR03G04310.1 pep chromosome:Lperr_V1.4:3:3198261:3200835:-1 gene:LPERR03G04310 transcript:LPERR03G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVAWPSSPTAAHVAYKIPAGDGPYARAKHYQLVEKDLDVSIVWFWKAINSGDKVDSALKDMAVVMKQRGYHSEAIDAIKSLRHLCPKQSQESLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEAVYRKAQMVDPDANKACNLVLCLIEQRRLADAESVLAGVLAAGDLGAGRGKIVAKVEELMARIAGEVEGSDSGGGEVEEEDCEMVELLDVVVREWAAPYRRSNRRLPVFEEITPVVCREQMAAC >LPERR03G04320.1 pep chromosome:Lperr_V1.4:3:3202689:3205933:-1 gene:LPERR03G04320 transcript:LPERR03G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKSSAGYQAPLHAEPLKPQRYQQTQQYQQQQYQSRPNQEIHTAAAVVEAEEEEGVGVVGEAMVVGMVDMITTKEVMVDMDTKGGMATREDMATTKVAMGDMVTTKVDMEDMIMAAGTTTGTEVAVAAAAAAEEATGDMVVQGMNVQVQHMNVVAELEVARAAEAMLGAVDEWVAAVGGATKTIRPFGWMCSPVIGVLLLYETKLWMRSP >LPERR03G04330.1 pep chromosome:Lperr_V1.4:3:3208088:3210482:1 gene:LPERR03G04330 transcript:LPERR03G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLLLLAVLLLSSAGAGGSEGGVRFDASRAADISWHPRAFLYEGFLSEVECDHLVALAKRAGMEKSTVVDGKSGLSVPSEARTSSGMFLAKKQDEIVTRIEERIAAWTMFPIENGEPMQILRYGQGEKYEPHFDYIHGRPYSAPGGHRVATVLMYLSNVKMGGETVFPDSEAKLSQPKDETWSDCAEQGFAVKPVKGNALLFFSLHPNATFDRNSLHGSCPVIEGEKWSATKWIHVKSFDNRRRIAAKCEDEHALCPKWAAQGECAKNPGYMVGSSVTPGYCRKSCNVCTTDKPINGM >LPERR03G04340.1 pep chromosome:Lperr_V1.4:3:3211010:3212693:-1 gene:LPERR03G04340 transcript:LPERR03G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGEPFPTVDNCDATSRAGEHYDTVVSDLDGTLLRSRDAFPHYALVSFDVGGAPRLLFLLLLTPLAAALRHVAGSESAAVRVLVFAATVGARVADVESAARAVLPAFYAADVHPAAWRVFSRGGAVRGKGRRMVVFAEPFLRGYLGVDAVAGTELVSWRGRATGLVDSRRGVLVGERKAEAVGEMVGEYGGEMPDVGLGDGRSDYAFMSLCKKFSGFEPMSHARVLKSEVTAVQLQEAYLVPREPVDAVPANKLPRPVIFHDGRLVHRPTPLAALLAVSWLPFGFLLACLRVAAGSLLPIPFRALGVRLVVRGAPPPPASARRGRHRTVLDAFFLSVAAGRPIPAVTFSVSRLTELLSPIPTLRLTRRYRAADAAAMRRVLVASGELAVCPEGTTCREAYLLRFSPLFAELADEIVPVATACRAGMFHGTTARGWIRSTS >LPERR03G04350.1 pep chromosome:Lperr_V1.4:3:3218298:3233022:1 gene:LPERR03G04350 transcript:LPERR03G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCGAPMAASPLKMCLRPSLSMDTLCGISVAESTVIWPDNPNMLAGMKYRIQSDRRVAVCSVHPTEQAAIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERALSALNEIGNDEEELFGRFGSGNTGAINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGHSRTYTPTADDIGHVLRLECIAVDSETRVTVGAPTSIMTSRVIPAPMPTPRRLIPVNSADVMGHYDLDNRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFDEFFAPELDKHGYQGLFKKRTTEVYMGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGTDNPSKRQLLCVVSAYSSFARMVGAGYDLDHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVDSLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >LPERR03G04350.2 pep chromosome:Lperr_V1.4:3:3218298:3224673:1 gene:LPERR03G04350 transcript:LPERR03G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCGAPMAASPLKMCLRPSLSMDTLCGISVAESTVIWPDNPNMLAGMKYRIQSDRRVAVCSVHPTEQAAIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERALSALNEIGNDEEELFGRFGSGNTGAINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGHSRTYTPTADDIGHVLRLECIAVDSETRVTVGAPTSIMTSRVIPAPMPTPRRLIPVNSADVMGHYDLDNRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFDEFFAPELDKHGYQGLFKKRTTEVYMGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGTDNPSKRQLLCVVSAYSSFARMVGAGYDLDHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVDSLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >LPERR03G04360.1 pep chromosome:Lperr_V1.4:3:3236559:3238745:1 gene:LPERR03G04360 transcript:LPERR03G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRVVAMAIVMAMVATTRGDMSADRTECAEQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPSGGGDKGSSSDAPAKDNSTATTDSRAVQAGRGFSLSSTATTGAALTAALLAGYFLLLLPEFSAPSF >LPERR03G04370.1 pep chromosome:Lperr_V1.4:3:3239715:3254428:1 gene:LPERR03G04370 transcript:LPERR03G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFSAAVARCALRIPSSPARQPPPPLRRGRGRHRLASTTTAIAVFCRSILVPHAAAAARSSSSPDLVGRFSLDSNSELQVTLNPAPQGSVAEINLEVTNTGGSLILHWGALRPDTREWLLPSRKPDSTTVYKNRALRTPFIKIDDPAVHAIEFLIFDEALNNWSVLLSGPAYHVLPLSCFLECRCYQVTALYFEQEEYEAARTELIEELNRGISLDKLRAKLTKRPEASVSDTPASEGTTATKLPEELVQVQAYIRWEKAGKPNYPPEKQLGITANALGKTKVHLATNNMEPLILHWALAEENGEWKAPPSSILPSSSSLLDKACETSFTEYELDGLHCQVVEIELDDGGYKRMPFVLRSGETWMKNNGSDFYLDFGTKVVKNTKDTGDAGKGTAKALIERIADLEEDAQRSLMHRFNIAADLIDQVRDAGLLGIFGIFVWIRFIATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCEGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLGYDRPIHSEPNFRSEQKEGLLRDLGNYMRSLKELLEFVLGHVEDKSAEPLLEDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQENNQWALYAKAFLDRTRLALASKGEQYFNLMQPSAEYLGSLLDIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEISGYIVVVDELLAVQNKFYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVLFATCFDPNTLSEVQGHEGKVFSFKPTSADITYREIAETELLQSDSLDVQAGQAVPTVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDDINKEVAQNIQMLKGKLDQDDFSVLGEIRRTVLNLIAPTQLIKELKEKMLGSGMPWPGDESDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEAVRGLGETLVGAYPGRAMSFVCKKGDLDSPKVLGFPSKPIGLFMKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYIVQTRPQMGWYFLGHDLFIVLGWFRVLAKLSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >LPERR03G04370.2 pep chromosome:Lperr_V1.4:3:3240005:3254428:1 gene:LPERR03G04370 transcript:LPERR03G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLILHWALAEENGEWKAPPSSILPSSSSLLDKACETSFTEYELDGLHCQVVEIELDDGGYKRMPFVLRSGETWMKNNGSDFYLDFGTKVVKNTKDTGDAGKGTAKALIERIADLEEDAQRSLMHRFNIAADLIDQVRDAGLLGIFGIFVWIRFIATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCEGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLGYDRPIHSEPNFRSEQKEGLLRDLGNYMRSLKELLEFVLGHVEDKSAEPLLEDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQENNQWALYAKAFLDRTRLALASKGEQYFNLMQPSAEYLGSLLDIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEISGYIVVVDELLAVQNKFYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVLFATCFDPNTLSEVQGHEGKVFSFKPTSADITYREIAETELLQSDSLDVQAGQAVPTVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDDINKEVAQNIQMLKGKLDQDDFSVLGEIRRTVLNLIAPTQLIKELKEKMLGSGMPWPGDESDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEAVRGLGETLVGAYPGRAMSFVCKKGDLDSPKVLGFPSKPIGLFMKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYIVQTRPQMGWYFLGHDLFIVLGWFRVLAKLSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >LPERR03G04380.1 pep chromosome:Lperr_V1.4:3:3253650:3257861:-1 gene:LPERR03G04380 transcript:LPERR03G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEVVEPGAGVFGNQEDYQQRRVVSCYPACFHLAFFLTDLSSGGYAGWMVQYPLSQEMGLSISYPPDDYLPMDEDTDRLFVRSLSFDNLSSVETLESPPAFLDSLSSQRLIIKESFNFKKSQDDPFHVETTVSMMTPKFGKGSCTHKRTILPRYGPMENLPPDSPVVGMISPKHQAAALRVQKIYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVEKPESALSRWSRARTKAAKLSPSEGEEGEWLRLRSSHIQLELTEPGKPEKEDSAAMADDNVTATTAVPATSPATSEFQMA >LPERR03G04390.1 pep chromosome:Lperr_V1.4:3:3262973:3263530:1 gene:LPERR03G04390 transcript:LPERR03G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLDPVTIASIFATAEHAGEKLVDGEDCFVLRIDVGPSVLSSWSDGTAEVIRHGLTGFFSHRSGLLARLEDSQLTRIQSPGAPAMYWETTISSSLSDYRPVPVSSDDNGGVAVVAHAGRSTAHLARFGVGVRAPRVVTRMEEEWTIDDVVFDVPGLGPDAFIPPEEVRRTRFYDAMAAGGGGGK >LPERR03G04400.1 pep chromosome:Lperr_V1.4:3:3267900:3272620:1 gene:LPERR03G04400 transcript:LPERR03G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRAMLLLIYLCTTFCIMAQLGAANVVLMGTNLTMSIDDVEATFAPGVKGSGIEGIVYTAEPLDACSRLTNKVEKGSPSPFALMIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSSGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEVREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYSVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGISNPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYSASSTPHSPNPIRSSYTNSSAMSISRSNLDLSNMSSSRPRTAHLASAHSLVGSHLSPPINIRYASPHVSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSHHRSYLRRCGESGPSLSTMVPQSPQQSQLRHGGESDINLPGPSSSQSFRQSYLRHCADSETNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >LPERR03G04410.1 pep chromosome:Lperr_V1.4:3:3274224:3278647:1 gene:LPERR03G04410 transcript:LPERR03G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSCVNLSRAAAAAKGTTFAAAAGNRGHGRSVLPVAMPLKKGSSYSGVACSISSSSSSSVHGKNSSSPAAADHADGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSDAALKRLLNEVVDTELFRRLQEIHGKDYHSFVARKLVPVVGDVREANVGIAPELASVIAEEVDIIVNSAANTTFDERIMSYAQRFPRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSESDSSEHKNTMLDIEAEMKLAFDHRRHSDDSASFTEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLAAMAKHGSSASPAPAMQVYHVASSTVNPLVFGDLSRFLFKHFTAAPYSDAAGRPIHVPPMRLFDSMDQFAAYVETDVLLRSSRLAGAGAGDERLSQRVRELCAKSVEQTIHLGSIYQPYTFYGGRS >LPERR03G04420.1 pep chromosome:Lperr_V1.4:3:3277708:3283632:-1 gene:LPERR03G04420 transcript:LPERR03G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVDGGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQV >LPERR03G04430.1 pep chromosome:Lperr_V1.4:3:3278656:3278847:1 gene:LPERR03G04430 transcript:LPERR03G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKARFHFDVRSIDWTDYITNVHIPGLRKHVMKGRGVAGAGAAAAASSSSNASLLAGASV >LPERR03G04440.1 pep chromosome:Lperr_V1.4:3:3285925:3292352:1 gene:LPERR03G04440 transcript:LPERR03G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVGGAPPRPASGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPILPGLPDDLAINCLMRVPRLEHPNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLEKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSKISEMSTGMVPFIGVVYDGKWFLKGHDSHRQVVSEVYMPTSNVWSMTADEVVTGLRNPSISFNGRLFSADCRDGCKLRVYDRDTRSWTRFIDSRRHLGSSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDIFARKGQHRSFIANLWLTISGRHFKTHIIHCQVLQV >LPERR03G04450.1 pep chromosome:Lperr_V1.4:3:3294832:3299132:1 gene:LPERR03G04450 transcript:LPERR03G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKGDEVVVVAALEEAQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTILGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCLTMNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSTKACSDIVRQYRWIAYVSIFVGCSFLVMFYCGTKEPTLQSGSDCKKSVRISWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVISGAAVFVLPSQMHSLMYPLAMVIGAANALVMVTTIGLESSLVGDDLNGSAFVYGSLSFLDKMSCGIALFVLESYEDSISCGEIRGLNTVSRYGTGLIPSCFAVLALLVTSTLKLQDTTPRASAASAAALEAPLLV >LPERR03G04450.2 pep chromosome:Lperr_V1.4:3:3294853:3299132:1 gene:LPERR03G04450 transcript:LPERR03G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKGDEVVVVAALEEAQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTILGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCLTMNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSTKACSDIVRQYRWIAYVSIFVGCSFLVMFYCGTKEPTLQSGSDCKKSVRISWGYWFKKTLYYQVALLYMLARLITNSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVISGAAVFVLPSQMHSLMYPLAMVIGAANALVMVTTIGLESSLVGDDLNGSAFVYGSLSFLDKMSCGIALFVLESYEDSISCGEIRGLNTVSRYGTGLIPSCFAVLALLVTSTLKLQDTTPRASAASAAALEAPLLV >LPERR03G04460.1 pep chromosome:Lperr_V1.4:3:3298698:3300222:-1 gene:LPERR03G04460 transcript:LPERR03G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSGRFASPVAAMLLLMLLFVAAAAKTDDGAGTDAAASNSKEDESWTDWAKDKITEGLGLKHHVHDVDEEDAARKAGHAAKSARESAQHTASEAGRQTSKKAGDAKEAAEATASGAASKAEQAKEKVKGAAGEAKHKTKETTDAAGERGTELHEQSKQGKAKLEETAKDKAGKGYDTIRQSTDSAADKADAAWEKAASAKDAAATKAGSAKDTAAEKAAAAKEAAAEKAKQSKEAAAEKAKQSKDAAAEKAKQSKDAAAEKAASAKDTAAEKAAAAKEAAAEKAKQSKDAAWETAEAAKGKASEGYEKVKEKARETADAAKEKMEAVKDSVTGDHHADDGSKHKHKPRTDHHADDGSKHKHKPRTVDEL >LPERR03G04470.1 pep chromosome:Lperr_V1.4:3:3301706:3306069:1 gene:LPERR03G04470 transcript:LPERR03G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLPSSSLSPPPPPHQAPVPAATTLAGNLTSPLLSLPPPRARLAVSHRRAVVAAASSRPPPPPSPEGDGDGDDEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDENDAAKYCDLLQGGGQGCEGIAEIEASSVFSICHKMKALAVLFRRGRTPPLPQSLERDLRARKRSLED >LPERR03G04480.1 pep chromosome:Lperr_V1.4:3:3304480:3305993:-1 gene:LPERR03G04480 transcript:LPERR03G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSVSSSRHAIHPGNRSIQCICTRVATLRSRGEKSRARARTRKDFVMNASSSRTLTTCALKTPPYGNKSKEKINPRDLFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVRLLPIQFLFLSASPVIVMRFVSKSNGKEYPPHVPVKASSLLLMEVTDYKLDGLDSNAMPSHMALTVRGTLYPRPEGRKSLRGHVEMTVGFNLPPVLALVPEGVIRGVGETVLRQLAEQMKQDFDNGLEADFKRYRREKLTEKKTTP >LPERR03G04490.1 pep chromosome:Lperr_V1.4:3:3313978:3317790:1 gene:LPERR03G04490 transcript:LPERR03G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIGTCTLSILFLYAFQFSVIVRREPKIRCQGYANSVSELNSDPRSLLKGEICYTGEKKKSKSLSSSNITVSSQGIGFSLDKKTGEKSLANSHLDVKVCTGIVKLVRDKCSYIFQSKEDTFEGNWRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKARFLWNLYQWASWQNKAFQHLPRSNEIMVLILANAHMLSQAESLLLLLDDNRVLADANGSELFSQVIQAYVEAGHLGKSMSLYDRARYKYLIPSASCYQVLLRLLMERRKNDVVLKVYLDMLGVGLGSYTEGDILDIVVRSLIKKDKFLQVISMIRHLKGLDIQISKGSLSAVTEQFCKKRDIGDMVNFLEEWRYLPELPFCNRIIASLCANIGSDEAWLVFQRLEALGFVPDATTFGIFIYHSCRELKLKAAFLYLSECFSRHINPKACSYNAIIGGIFREGLYRHAKYVFEDMAERKVVPEPSTYKILLAGYCRYRQFDDIEQILRTMEANGVNDIPSGNCAFSKALSFLGLDHLGVKVKRDNSAGYPKAEFFDSVGNGLYLDIDSKKFEVSLVQIIDNALCQDINLDVVSACQQGDVAGAFILKDEIFQWGHDISPASYSELLKVLCTNPTRAMDAINLIEEMGDTLDKFDAQNLNLVVQTLSRNGRSAHAKLVLDRLFRGGFPVSQDTYTYLMIGFCIERNIAGFWECWDLATKTGWSPGSRDVILLISHLGKWGVIEEALEFISVLLDCYPSLFFSAYSQLLEEVCLTGCTSIGCAMLEALIEKGVDVDLSLICNVMDGFLKEQKIAESIGMYDILLNRNKAFNASTYQFALSSVARIDAERTMDLIESMMNMGSTNFSMGSSIMKKLVQSGKKGQVMSVFEESIFGKVNATLLNSFLQTYCFTYDWRKAGAVLCMMLRMHGSLSISSYRFLVRRLCEQSWISRAFRLKELVQDRDKSTELILYNILIFYLFRERHILQVHNLLKDMESNGFSPDTTTYDFLVYGFHKSGDADQSINMLDACIAQRLMPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNVWKHGLLIETTLISSLLSSGRFSEATSFLNSMNKRALIGFDIHFDVLIKKFCTSGDLEISVGLLNTMLKKGKHPSEVSYNSILYRLCDLKEFDQALDFLAEMQFTNLKPSDMSCDVLIQGLCACGRTCDAMKVLEMLTTIGSAPSYHMYKVVFDNYQRNNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTVGYEKPILSNLIS >LPERR03G04500.1 pep chromosome:Lperr_V1.4:3:3318643:3320081:-1 gene:LPERR03G04500 transcript:LPERR03G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALTNRWVISGSSQRGSKNNSSDGSFMVPARNHNRKHLLLQEKEASAGRQITKAAPDNSINAIHTSMKTKWWENMEFGNMKNIESEEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNSNEQKEMCNRLNIHVLPMFRFYRGAEGRICSFSCTISTIYKIKDALKRHGVQLENFGPEGPAETRIQSSDVDAS >LPERR03G04510.1 pep chromosome:Lperr_V1.4:3:3323511:3325097:-1 gene:LPERR03G04510 transcript:LPERR03G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSGTQRRQPPAWQRCRSLRHIKQMHALMVLRGFLSDPSDLRELLFASAVAVRGAIAHAYHVFDQIPRPDRFMYNTLIRGAAHTATPRDAVSLYARMVRRGGDGGGGVRPDKLTYPFVLRACTAMGAGDTGAQVHAHVVKAGCESDLFVKNALIGMHSSCGDLGVAAALFDGGAREDAVAWSAMISGCARRGDIGAARDLFDECPVKDLVSWNVMITAYVKRGDMALARELFEQVPERDVVSWNAMISGYVRCGSHLLALELFEQMQHVGEKPDTVTMLSLLSACVDSGDLDVGQRLHSSLSDMFSRNGFPVVLGNALIDMYAKCGSMESALNVFWSMRDKDVSTWNSIVGGLALHGHVLESIDMFEKMLKEKVRPDEITFVAALIACSHGGMVDKGREYFNLMQQRYKMEPNIKHYGVMVDMLGRAGLLKEAFEFIDTMKCEPNSVIWRTLLAACRVHGEIELAEYANKQLLKTRSEESGDYVLLSNIYASVGEWFGSEKMRKLMDDTGVNKEAGQTVVDGNVRI >LPERR03G04520.1 pep chromosome:Lperr_V1.4:3:3325903:3330320:-1 gene:LPERR03G04520 transcript:LPERR03G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDDSLQQLRSRATQLLLKENWHDYIAVCSLIVNAAAAAAGDRRAARSGAVDFTDWVLAGFAGKCPDLAEHVGAVEIRRRANHGGRRRGLFAARNIESGATLMISKAVAVGRGVIPHDAADDGGEKMVVWKELIDKVLDAAEKSPTTASLIYTLSTGDEDEDEHDLPVPDIARFKQQQDELDDGAAMAAAPLDVDKILKVLDVNCLTEEASPSANLLGSNGVVNCGVGLWILPAFINHSCHPNARRTHAGDHAIVHASRDIKAGEEITFAYFDVLTPLIKRREAARAWGFECHCDRCVFEAGGGDAVLGQELTNLENELVNGRGDMGELVVRLEEKMRKSMVKERRKGFLRASFWNAYSALFDSDKLMRKWGRRVPGEAAVAESVAGAIGGNESVLKAMLRGADNGNGCGNRLEVEDKVVRIGRATYGKIVKRQAMRALFRLTLDGDIVIKDRISTFIKTSVTEITTLIYTEPFMNSLMEEAHAMPVTSFFPLAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKYRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILNQACKESRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEIVQARASGKQEKIKHDILSRFIELGESGDGDGDGGEGGISSFGDEKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPSVADKLRRELTAFESDRAAEEGITLLPTTPTPHRIAQFASLLSYDSLGKLNYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTRVRAGGMVTYVPYSMGRMEYNWGPDAASFVPERWLTGDGGGFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYRFELVENHPVKYRMMTILSMAHGLKVRVSASL >LPERR03G04530.1 pep chromosome:Lperr_V1.4:3:3331233:3338085:1 gene:LPERR03G04530 transcript:LPERR03G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSLCSTFASPRAASLARRLTFSSSSSPRKAFQVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAPDYAEAIKGIKTSKKPVAVAA >LPERR03G04530.2 pep chromosome:Lperr_V1.4:3:3331233:3338085:1 gene:LPERR03G04530 transcript:LPERR03G04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSLCSTFASPRAASLARRLTFSSSSSPRKAFQVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFAIKGIKTSKKPVAVAA >LPERR03G04540.1 pep chromosome:Lperr_V1.4:3:3339000:3341371:-1 gene:LPERR03G04540 transcript:LPERR03G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGATASRIPCPLLSLARARARCLPVSAASGTAAFSSAAGDGGARKPWLFVGLGNPGKVYHGTRHNVGFEMIDVIAEAEGISISSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPASFVLRPFNRKEQEELDFALHRGLEAVRIMTLEGFNKSATYVNTVQSSEMLNR >LPERR03G04550.1 pep chromosome:Lperr_V1.4:3:3347444:3350551:-1 gene:LPERR03G04550 transcript:LPERR03G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCAAVLLWAFVAQLIAVGRLLVMFGLAGDAEPSPPPVALPPRRIYRSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVKHFIDTLRDEVHIVKQLPKSFDQEDSNIILNMPPVSWSDEKYYLHQILPLFSKYNVIHFNKTDARLANNGISTQVQLLRCRVNFHALKFTPQIEALGNKLVKKLQAKGSFVALHLRYEMDMLAFSGCNHGLFPEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSIILKALGFERDTLIYIAAGEIYGGEKRLEPLQAAFPKLVKKEMLLELEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRKSVLLDRQKLVGLLDLYNNKTITWDNFASSVQETHKNRVVQPSCRRKLENKPKEEDYFYANPHECLANSGLCSGTKDAISVR >LPERR03G04560.1 pep chromosome:Lperr_V1.4:3:3352381:3353904:1 gene:LPERR03G04560 transcript:LPERR03G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSPGDLVSLAGECSTKRDLRLLHGVLLRRRHLLPAAAAVATLAKLLRFAAVSPTGDLRHATAMLPLHLPFLSSASSHLAFFYNTLMRGLAASSSPRAGVELFAAMRRAGASPDAFTFTFVLKSCARCGGVEERRRLPSDLHAQAIKHGCLGDGGSHVHVHNALLHAYSSQAAVGDARRVFDEMPARDVVSFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYAKARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERMCQYVDSNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTARSLASWNTLISAYASHGDVESTVALFHQMLDDDRAVKPDGVTLLAVLTAFAHKGFVEEGRAMFSAMLNGNYGKVDLTVEHYGCMVDLLGRAGQLAEAYAMIEQMPIPSSAVIWGALLAACRTHGDTEMAERAVQKLRILNPEEGGYYILLSDMYAASGRIAEAMEIRRIMNEAGAQKTIGQSSWSTPCL >LPERR03G04570.1 pep chromosome:Lperr_V1.4:3:3354597:3361124:1 gene:LPERR03G04570 transcript:LPERR03G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGRWLAAAAAAAAASSGDQIAEAWAVVRARAVAPALQLAVWACMAMSVMLVMEVAYMSLVSLIAIKLLRRVPERRYKWEPMSNGSGPSGSGGDDDEEAAVGGREAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPSDRIIIQVLDDSTDPVIKDLVELECKDWARKKINIKYEIRDNRKGYKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSVHAFFGFNGTAGVWRLSTINEAGGWKDRTTVEDMDLAVRASLKGWQFLYVDDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMANDIVKCKGVSVWKKLHLLYSFFFVRRVIAPILTFLFYCIVIPLSIMVPEVSIPVWGMVYIPTAITIMNAIRNPRSLHLMPLWILFENVMAMHRMRAALTGLLETMHANEWVVTEKVGDHLKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLLDRSCLLVTIATCSCDTNFFAVGLIFPQMPVITRKWEKKADQLCLGRDLPLSWPVKYSATDK >LPERR03G04580.1 pep chromosome:Lperr_V1.4:3:3354999:3355702:-1 gene:LPERR03G04580 transcript:LPERR03G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRPAYCPPSSPCSGRGFAFPPGGVPFFPPDSAGVAWRRAYPRIEATARHGARKESPKVRNRRLQRKFNGTATKPRLSVFCSNKQLYAMLVDDHNKKILFYGSTLQKDICGDPPCSAVEAAGRVGEELIKACNELNITEISFYDRNGFARGEKMMAFEVPVSQFGFLPR >LPERR03G04590.1 pep chromosome:Lperr_V1.4:3:3363068:3367786:1 gene:LPERR03G04590 transcript:LPERR03G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKVASGGGGGGDGLIKLFGKTIPVPESGCGGAGAGDVEKDCRTEPKTQENILQDSTSPPPQPEVVDTEDSSGAKNSSENQQQQGGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPGDPPLYAPVKTNGTVLSFGSDLSTLDLTEQMKHIKDKFIPITGIKNTDEMSVGSCAEGLTKIEDSNQVNLKEKVSADKSANVPHHPCMNGGTMWPFGVTPPPAYYTSSIAIPFYPAAAAYWGCMVPGAWNAPWPSQSQSQSVSSSSAASPVSTMTNCFKLGKHPRDVDDELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVAADHGRGCKLAKVFEAKDDGKATHTVISSLPFMQGNPAALTRSVTFQEGS >LPERR03G04600.1 pep chromosome:Lperr_V1.4:3:3370401:3375242:-1 gene:LPERR03G04600 transcript:LPERR03G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKGGAAAGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDEDLIAQQKQQQQQKQKPAQASLGRPANDHHRQIHGRDGSPTETDAPAPASSFGATPRPASPRSASASASAVAEELDGLLLTAPPPSSSEPRSFSYVVKQQCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTEISKSELIQKSAYCRVSGRDMDLLELSAYGNVRRGPDSGGCRIQ >LPERR03G04610.1 pep chromosome:Lperr_V1.4:3:3377785:3378138:-1 gene:LPERR03G04610 transcript:LPERR03G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTSPAAPRLGYRSLDDDDDNSSPAVTVVVGKERRVFAVDQIVLDTYPFRVLLETVVRKEEKSGLFVDVDAILFEHILWLACHHDRSSVAAIHRDLKEIIDFYSQDA >LPERR03G04620.1 pep chromosome:Lperr_V1.4:3:3379109:3379357:-1 gene:LPERR03G04620 transcript:LPERR03G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRAEVEELPVAWIDGGRADRGAAAAESSRWHSSSRATTIWPDGDAGQRRSGRTRLRGGGGTQRRMGWRRRLPPYLPYGS >LPERR03G04630.1 pep chromosome:Lperr_V1.4:3:3389206:3394557:1 gene:LPERR03G04630 transcript:LPERR03G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSGFAKVVAGSPSPSLLVPLPPRTTVVASACSHSIKMCTSPAPLSSELKKTVWVWTTNWQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFINGLEIFDEKKQKIAVISEVSSPSELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGSVMAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLSKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVSVPGGRTSYLSELQSGREVIVVDQNGLWRTTIVGRVKIESRPLILVEAKENCGDDTYSIFLQNAETVALITPEKGSNGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >LPERR03G04630.2 pep chromosome:Lperr_V1.4:3:3389206:3395646:1 gene:LPERR03G04630 transcript:LPERR03G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSGFAKVVAGSPSPSLLVPLPPRTTVVASACSHSIKMCTSPAPLSSELKKTVWVWTTNWQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFINGLEIFDEKKQKIAVISEVSSPSELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGSVMAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLSKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVSVPGGRTSYLSELQSGREVIVVDQNGLWRTTIVGRVKIESRPLILVEAKENCGDDTYSIFLQNAETVALITPEKGSNGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >LPERR03G04640.1 pep chromosome:Lperr_V1.4:3:3393221:3396079:-1 gene:LPERR03G04640 transcript:LPERR03G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDSTARVDHSINNGAYPSKVTSKSSLSSVPSMLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFTFNDLKNATKNFRPDSLLGEGGFGHVFKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRIMDTKLGGQYPKKGAHAIANIALQCICNDAKLRPRMSEVLEELEQLQDTKYNMASPQVDIRRTSNTVPKSPMRIQPSPRRSLGAASPLPGYRTAKVH >LPERR03G04650.1 pep chromosome:Lperr_V1.4:3:3401410:3401994:-1 gene:LPERR03G04650 transcript:LPERR03G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSAAAAAATAAFLRPSPIPPPSTIPTPFRRLSLSPPPPRHLRLAVTPARAAAADGGGGGAETVYFDGGAHYGDLAANLVLGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVISGLAGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVAQYCKSMAAAEGSLVTASAAAAGEP >LPERR03G04660.1 pep chromosome:Lperr_V1.4:3:3409118:3411056:-1 gene:LPERR03G04660 transcript:LPERR03G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAAAPTPTHHDLEEHHHHEQHHHHHHHLGLPPPAPPPHPNHNPFLPSSQCQSLQEFRGMAPMLSVGTKRPMYPESAGEEMNGGGEDELSDDGSQAGGGGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKADNDALLSHNKKLQAEIVALKGSREAASELINLNKETEASCSNRSENSSEINLDISRTPPADGGGGGGMIPFYPSARPGAGAGAGVDIDHLLHTSSAAAKMEHHGGGGGGGGGGNNVQASVDTASFGNLLCGAVDEPPPFWPWPDHQHFH >LPERR03G04670.1 pep chromosome:Lperr_V1.4:3:3415716:3416543:1 gene:LPERR03G04670 transcript:LPERR03G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPPSPLPLPLPLPRCRSFPARCATVGDAVHAGGVHVVRLTSSTLGSLDADKAAAAEPPASCRKVTPLPDLDEEKKPAASRRRKEAVLYFTSLRGVRATHEACCAARAILRGYGVRVDERDVSMHRGFRDELSALLLGAGGKRLGPVLPSLFVDGEMVGNAEEVKRLHEAGELAARLDGCEVDDAGGEDGAGACEACADVRFVLCEVCSGSCKVYVDDDDGGDEEEEEEENPLDGGGGGGGFRRCTECNENGIVRCPVCCC >LPERR03G04680.1 pep chromosome:Lperr_V1.4:3:3419341:3424979:-1 gene:LPERR03G04680 transcript:LPERR03G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGAGGGGGGLELSVGVGGGSSRGGGGGDAAAAAAAEAVETTAPISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICFAVIVIGFSADIGYAMGDTKEHCSVYHGSRWHAAIVYVMGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVVTLIFAKEVPFKGNAALPTKSNEPAETEGSGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRVGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCFAMAATALISFWSLKDFHGSVQRAITADKNIKAVCLVLFAFLGVPLAILYSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSVSMGGVQTGQESCSELVE >LPERR03G04690.1 pep chromosome:Lperr_V1.4:3:3435046:3441495:-1 gene:LPERR03G04690 transcript:LPERR03G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQYGPERRPLSSAEATSEFRLSPSLFRFGSRGIPSGITVDFWSAPATARRRRGLSDEGFPSLGGAFDSASVETDAVNLSNRAGMTEAPQDMGCGCQMIGEKIRLHHEISECDMHFEVPSSRVLQETCNYIRSLHREVDDLSERLSELLASSDMSSAQAAIIRSLLM >LPERR03G04690.2 pep chromosome:Lperr_V1.4:3:3436206:3441495:-1 gene:LPERR03G04690 transcript:LPERR03G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQYGPERRPLSSAEATSEFRLSPSLFRFGSRGIPSGITVDFWSAPATARRRRGLSDEGFPSLGGAFDSASVETDAVNLSNRAGMTEAPQDMGCGCQMIGEKIRLHHEISECDMHFEAK >LPERR03G04690.3 pep chromosome:Lperr_V1.4:3:3435046:3441495:-1 gene:LPERR03G04690 transcript:LPERR03G04690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQYGPERRPLSSAEATSEFRLSPSLFRFGSRGIPSGITVDFWSAPATARRRRGLSDEGFPSLGGAFDSASVETDAVNLSNRAGMTEVPSSRVLQETCNYIRSLHREVDDLSERLSELLASSDMSSAQAAIIRSLLM >LPERR03G04690.4 pep chromosome:Lperr_V1.4:3:3435046:3435674:-1 gene:LPERR03G04690 transcript:LPERR03G04690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHREVDDLSERLSELLASSDMSSAQAAIIRSLLM >LPERR03G04690.5 pep chromosome:Lperr_V1.4:3:3435046:3441495:-1 gene:LPERR03G04690 transcript:LPERR03G04690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQYGPERRPLSSAEATSEFRLSPSLFRFGSRGIPSGITVDFWSAPATARRRRGLSDEGFPSLGGAFDSASVETDAVPSSRVLQETCNYIRSLHREVDDLSERLSELLASSDMSSAQAAIIRSLLM >LPERR03G04700.1 pep chromosome:Lperr_V1.4:3:3453374:3455604:1 gene:LPERR03G04700 transcript:LPERR03G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAKDIRRVSVQYSQPAIQRRLHRIHLSFRRIPIPQVEHEERRGEERERGKQQQRRALIHRNPPPPPPGRKPRRPSRAAGESRRKPSKKRSRSVGGGMMLEGNSCLISRSLPSSCEPEAEWAYLAHEVLNGKRPAPEDAEDEVDADGGGGKRSKPPSPQPHTPEICEGDGSSRHGSGSNLIGEIGRDLTINCLLRLSRSDYGLVASLNKDFRSLVRSGEIYRLRRQNGLAEHWVYFSCNVLEWDAYDPYRRRWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYIIGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLLAVVNNELYTADYSEKDVKKYDKRNNKWITLGKLPERSVSMNGWGLAFRACGDQLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >LPERR03G04700.2 pep chromosome:Lperr_V1.4:3:3453374:3456065:1 gene:LPERR03G04700 transcript:LPERR03G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGNSCLISRSLPSSCEPEAEWAYLAHEVLNGKRPAPEDAEDEVDADGGGGKRSKPPSPQPHTPEICEGDGSSRHGSGSNLIGEIGRDLTINCLLRLSRSDYGLVASLNKDFRSLVRSGEIYRLRRQNGLAEHWVYFSCNVLEWDAYDPYRRRWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYIIGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLLAVVNNELYTADYSEKDVKKYDKRNNKWITLGKLPERSVSMNGWGLAFRACGDQLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >LPERR03G04700.3 pep chromosome:Lperr_V1.4:3:3453952:3455604:1 gene:LPERR03G04700 transcript:LPERR03G04700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGAHGLAPDGFVSVVGRAESRRKPSKKRSRSVGGGMMLEGNSCLISRSLPSSCEPEAEWAYLAHEVLNGKRPAPEDAEDEVDADGGGGKRSKPPSPQPHTPEICEGDGSSRHGSGSNLIGEIGRDLTINCLLRLSRSDYGLVASLNKDFRSLVRSGEIYRLRRQNGLAEHWVYFSCNVLEWDAYDPYRRRWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYIIGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLLAVVNNELYTADYSEKDVKKYDKRNNKWITLGKLPERSVSMNGWGLAFRACGDQLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >LPERR03G04710.1 pep chromosome:Lperr_V1.4:3:3466205:3467479:1 gene:LPERR03G04710 transcript:LPERR03G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGRITDEEINELISKLQALLPESSRSYGASRSSASKLLKETCSYIKSLHREVDNLSDRLSELMSTMDNNSPQAEIIRSLLR >LPERR03G04720.1 pep chromosome:Lperr_V1.4:3:3481145:3486420:1 gene:LPERR03G04720 transcript:LPERR03G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAAGLAGAMNSDAVFPVLRHGDYFTRPSIDELVEREAADPGYCGRVPNFVVGRVGYGQLCFPGNTDVRGMDLNDIVKFGRHSVEVYKDEVGKPPLGQGLNKAAEVMLMLDLSVLPEPSTLVEVLKCQTRKQGARFVSFERSSGRWRFEVDHFSRFGLVDEEEEDVVMDEVVARQPIAEVRDPPANGHDLELSRSLPAHLGLDPAKMQEMRMAMFSNEEGDEDMEDGFPSDQRYFSSERMNVDSPNSSAKGSRMRSLSPLHGSSLKVSRRSGALGRKEPQALLEYIVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPADQTTPVTGKVYTNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAADKVVRDEKNKVKEDLADLCFSDLMDLHRRLDREYLETESDSFKLKLQKVVASCFVLPDICRSYIDIIERQLEVSDLSLSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKESSVAIDPEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLAQQLDLWKTNGLDFDYIEEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDTSLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSNDLQVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSNDETQRVYIAELGIPAEWMHEALALFSEYYGDQQSALENYIQCGNWKKAHTIFMTSVAHSLFLSSNFQEIWDITRALEDHKSEIADWELGAGIYIDFFILKNSMQEESTMDDPDALEKKNESCSTFFGRLNDSLLIWGSKLPVEARACFSKMAEELCELLINSPGEGNLYMDCFQTMLNAPVPDDHRSTYLQEAVSVFTDILCRD >LPERR03G04720.2 pep chromosome:Lperr_V1.4:3:3481218:3486420:1 gene:LPERR03G04720 transcript:LPERR03G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAAGLAGAMNSDAVFPVLRHGDYFTRPSIDELVEREAADPGYCGRVPNFVVGRVGYGQLCFPGNTDVRGMDLNDIVKFGRHSVEVYKDEVGKPPLGQGLNKAAEVMLMLDLSVLPEPSTLVEVLKCQTRKQGARFVSFERSSGRWRFEVDHFSRFGLVDEEEEDVVMDEVVARQPIAEVRDPPANGHDLELSRSLPAHLGLDPAKMQEMRMAMFSNEEGDEDMEDGFPSDQRYFSSERMNVDSPNSSAKGSRMRSLSPLHGSSLKVSRRSGALGRKEPQALLEYIVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPADQTTPVTGKVYTNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAADKVVRDEKNKVKEDLADLCFSDLMDLHRRLDREYLETESDSFKLKLQKVVASCFVLPDICRSYIDIIERQLEVSDLSLSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKESSVAIDPEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLAQQLDLWKTNGLDFDYIEEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDTSLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSNDLQVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSNDETQRVYIAELGIPAEWMHEALALFSEYYGDQQSALENYIQCGNWKKAHTIFMTSVAHSLFLSSNFQEIWDITRALEDHKSEIADWELGAGIYIDFFILKNSMQEESTMDDPDALEKKNESCSTFFGRLNDSLLIWGSKLPVEARACFSKMAEELCELLINSPGEGNLYMDCFQTMLNAPVPDDHRSTYLQEAVSVFTDILCRD >LPERR03G04720.3 pep chromosome:Lperr_V1.4:3:3481145:3484897:1 gene:LPERR03G04720 transcript:LPERR03G04720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAAGLAGAMNSDAVFPVLRHGDYFTRPSIDELVEREAADPGYCGRVPNFVVGRVGYGQLCFPGNTDVRGMDLNDIVKFGRHSVEVYKDEVGKPPLGQGLNKAAEVMLMLDLSVLPEPSTLVEVLKCQTRKQGARFVSFERSSGRWRFEVDHFSRFGLVDEEEEDVVMDEVVARQPIAEVRDPPANGHDLELSRSLPAHLGLDPAKMQEMRMAMFSNEEGDEDMEDGFPSDQRYFSSERMNVDSPNSSAKGSRMRSLSPLHGSSLKVSRRSGALGRKEPQALLEYIVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPADQTTPVTGKVYTNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAADKVVRDEKNKVKEDLADLCFSDLMDLHRRLDREYLETESDSFKLKLQKVVASCFVLPDICRSYIDIIERQLEVSDLSLSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKESSVAIDPEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLAQQLDLWKTNGLDFDYIEEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDTSLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSNDLQVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSNDETQRVYIAELGIPAEWMHEALALFSEYYGDQQSALENYIQCGNWKKAHTIFMTSVAHSLFLSSNFQEIWDITRALEDHKSEIADWELGAGIYIDFFILKNSMQEESTMDDPFQHFPEEL >LPERR03G04730.1 pep chromosome:Lperr_V1.4:3:3488273:3488518:1 gene:LPERR03G04730 transcript:LPERR03G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFEKKLKRGAPGEEAEAASRRNFGAVMKVCSSWMESASAAAAGVKVNVARINVVEPKTAISSAAMDAVFSA >LPERR03G04740.1 pep chromosome:Lperr_V1.4:3:3489217:3492387:-1 gene:LPERR03G04740 transcript:LPERR03G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCVTGGGGGAATAEGGGGGSLFRPKARGPVEVVRHARELLGFVAATNHDAGGAAGGGGKRDVKREHKMADLTKSIREMKSILYGNGDADPVDEACSQLTKEFFKENTNSLHLLIVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDLLDVLMSGYDNLDLAIHYSAILRDCIRHQVAARYVLESQHMKKFFHYIQYPDFNLASDAFKTFKELLTRHRSSAAEFFSNNYDWFFPEFNSKLLSSSNYIIRRQAIQLLGDILLDKSNTTTMMQYVSSKDNLIILMNLLREQSRAIQVEAFRVFKLFTCNPKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAEVVTEISAM >LPERR03G04750.1 pep chromosome:Lperr_V1.4:3:3493212:3495898:-1 gene:LPERR03G04750 transcript:LPERR03G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCIAIIILSSLAALLAIANADDGGNTTAPASQPPLPPASPPPAPAPAAWTDVNDKSIQQVGQFAVRIYGLSTGRIYLQFVNVGSGQTQPYNGGYNYRLVLTVAGPGTTTARYDAAVWGILGTTSWKLYSFTLANITPLILMVAATFLAATNADGNTTAPASSPPPSPAAAAWTAVANVNDKSIQQVGQSAVRIYGLSTGKIYLKFVNVVRGQTQPNNGGYNYRLVITVAGPGTTAALYDAFMWGILGTTNWKLMYFTLAK >LPERR03G04760.1 pep chromosome:Lperr_V1.4:3:3501027:3501413:-1 gene:LPERR03G04760 transcript:LPERR03G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSAPLLRRLMGAAALAVLVAAAAASNVHAGWAPVADVRGDVVIQQVGRFAVLVYSLAHHTDLSYVAVERGETEEAVGGGTSYRLAVSVTKPDGSPAQYECLVWGVLGSRLDTWKLRRFRRIQLP >LPERR03G04770.1 pep chromosome:Lperr_V1.4:3:3503550:3503909:-1 gene:LPERR03G04770 transcript:LPERR03G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSSLLITAAVVIAVVATLPAAAEATYGPIGNTNALVIQQVGRFSILVYDLSHRKSLVFVAVVSGETEPAVGGGTNYRLVVLAEKTPGGSKGKFQCVVWGVPGSRSNTWKLLSFNAI >LPERR03G04780.1 pep chromosome:Lperr_V1.4:3:3505901:3507181:-1 gene:LPERR03G04780 transcript:LPERR03G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAAVVVLLLAVAIAAASASSEFKQPVPASDMPVDPRGPLLGRFAVLVYSLNRNRRMTYAGVSGVDQHADKGGVRYQMVVAVSDAGGATATFRAVSTSPSVFAPVLVQWLQWECATGDKAEGGFTRELSAPPTMNISTCQLFLPLPSSFPPLGELELAPSVIVTTTELKIQHPA >LPERR03G04790.1 pep chromosome:Lperr_V1.4:3:3511445:3512718:-1 gene:LPERR03G04790 transcript:LPERR03G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEAEEEEWDKVVLRDSYLLSYIHMDNVPPEKDICCWQRWRAVIWSRTISSTSWCKECILDVDNIILPDERSLLRFRDLFSVFPTLIFDDGRDLLYLKITVRFKDIDGQVATVDLGKNELELGSYETAVS >LPERR03G04800.1 pep chromosome:Lperr_V1.4:3:3512800:3513114:-1 gene:LPERR03G04800 transcript:LPERR03G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVVMMGHIVYLLVSVSVRLIMMSMSIPSTTKKWSMRRFDPPPVIKAIRPHKVINLGGGRMSNLKSVYPIAESMPLNKDCFHPSNHS >LPERR03G04810.1 pep chromosome:Lperr_V1.4:3:3513243:3513692:-1 gene:LPERR03G04810 transcript:LPERR03G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFLLDILIERSNWMDLFVRNPQVTTHFVPERCTFLQQWPGTLSTASLSIPLVLPKPDATDASCWPASVILANRAYIADYNNMNTATTQSRDGHKVQATFCLAEAPTISYFYAYCSNPSLSKLDFEALLERRVRLSFFEFTSTCLSP >LPERR03G04820.1 pep chromosome:Lperr_V1.4:3:3519203:3524462:1 gene:LPERR03G04820 transcript:LPERR03G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRQMELHYTNTGFPYIFTESFMDFFEGVTYAHADFAMADSFHDQANPYWAMMHTNSYKYGYSGAGNYYNYGPVYDMNDYMHRADGGRRIWESTTPVNNTESPNVVLQGGETTHASANSTTEECIQQQIPQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQESIALLPVTKYKCGFFLRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTKWLSINKVCPVCFAEVPGDEPKRQ >LPERR03G04820.2 pep chromosome:Lperr_V1.4:3:3519930:3524462:1 gene:LPERR03G04820 transcript:LPERR03G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRQMELHYTNTGFPYIFTESFMDFFEGVTYAHADFAMADSFHDQANPYWAMMHTNSYKYGYSGAGNYYNYGPVYDMNDYMHRADGGRRIWESTTPVNNTESPNVVLQGGETTHASANSTTEECIQQQIPQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQESIALLPVTKYKCGFFLRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTKWLSINKVCPVCFAEVPGDEPKRQ >LPERR03G04820.3 pep chromosome:Lperr_V1.4:3:3520644:3524462:1 gene:LPERR03G04820 transcript:LPERR03G04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRQMELHYTNTGFPYIFTESFMDFFEGVTYAHADFAMADSFHDQANPYWAMMHTNSYKYGYSGAGNYYNYGPVYDMNDYMHRADGGRRIWESTTPVNNTESPNVVLQGGETTHASANSTTEECIQQQIPQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQESIALLPVTKYKCGFFLRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTKWLSINKVCPVCFAEVPGDEPKRQ >LPERR03G04830.1 pep chromosome:Lperr_V1.4:3:3525522:3530413:1 gene:LPERR03G04830 transcript:LPERR03G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMGRKKKERSYHIWAQKAQNHSKSNRKRRDRPEIPLDHDRPPPPSTSPPPRLRLRPKQPPAVARSGRSMAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYSCFAHTGEVQSVKIIRNKLTSLPEGYGFIEFISHEAAEKVLQSYNGTQMPGTEHTFRLNWASFSSGERRPDAGTDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISAAIPKKTTGSQLQYGAAKAMYPAAGYAAPQVQPVLPDSDLTNTTIFIGNLDQNVTEDELRQICVQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTMIGQQVVRLSWGRTPASKQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYKGGTDMASTAGSHAPGMEKEEVYDPMNLPDVDKLNASYMAVHGRAMLGRSLWLRTSSLPQSA >LPERR03G04840.1 pep chromosome:Lperr_V1.4:3:3530773:3533101:1 gene:LPERR03G04840 transcript:LPERR03G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPRWADLTDPDSAAAAAVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGKLLRSVSAMMPFGERDTNLRDGNNNLKRRGAIAATFTPPKSKAAAKKRFQDDSENQDPALATPPPAPAPASRPPFGAARWAKNAKDAIKSSAEKRPDIAEKETLLSKNAAAPRQLKSTLSARNLFSGKDILGQISDFYNEIKRMAAGNGSRPASEAMEELSSFPMNDGDVVEKKGDDQVASEETIKEVVARKEIVAEKSPSPLKGKKIGLKVEAGKHSVLKEVKATPPTPQRFSPSTNRVKNLKAGGMSMAGSPLKKPLKEKGTPSKDLENSKDAKRQPFGVKDMNSTKACDAEGSSSSMFWFLKPCTFLVE >LPERR03G04850.1 pep chromosome:Lperr_V1.4:3:3533718:3539017:1 gene:LPERR03G04850 transcript:LPERR03G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRSTKCPFAFLGVVGALVMLVTAVHVFMVPILPSSLDYFGAGRGIGRPRNVLPGAGVVDSRLRGQFPSDSYGAVTYRDAPWKAKIGRWLAGCDAGLSAVNITEFIGAKRCEKDCSGQGVCNYELGECRCFHGYAGKRCEEVQKLECNFPSSQEWPVGHWIVSICPAQCDTTRAMCFCGPGTKYPDRPVAEACGFKTISPAIPDGPKIPDWKTPDPDVFTTNKTKAGWCNVDPEDAYSSKVKFKEECDCKYDGLWGRFCETRVECSCINQCSGHGHCRGGFCQCNNGYFGIDCSIPSIYSLAYGLPSWLQPPTNLSDLKNISSSSINVKVVAKKKRPLIYVYDLPAEFDNHLFEGRHFKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDALHLQMPRDLRLRSYHTLEYYRMAYDHITQQYPYWNRSSGRDHIWVGIYMSMFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGDHPCFDPRKDLVLPSWKEPNPAAIWLKLWARPRNKRTTLFYFNGNLGPAYKNGRPEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTEDVTVTYLRTEKYYEELASSIFCGVFPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEDDIPNLIRILRGINETQIEFMLRNVHQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKEIGLPDICSKAS >LPERR03G04860.1 pep chromosome:Lperr_V1.4:3:3540002:3540955:1 gene:LPERR03G04860 transcript:LPERR03G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGRKRGWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRLWLGSFATAEEAALAYDDAARRLYGPDAFLNLPHLRAAASAAAHQRLRWLPASAVSASRAGGGGVAVPAYGLLNLNAQHNVHVIHQRLQELKNSSSSPTKPPPPPRTNTTPPRTPAHLTPAMTNLPASSPCSTVTNSVGSCFQALEQAMAMENAPPCCDGGAFGGDKPQLDLKEFLQQIGVLKADDSDGAAGKNGVHGDDGELADAFGFGGSGEFDWDALAADMSDIAGGHHHGGALGVNGGYQMDDLHEVEQFGGGCMPIPIWDI >LPERR03G04870.1 pep chromosome:Lperr_V1.4:3:3542109:3544996:-1 gene:LPERR03G04870 transcript:LPERR03G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLSPAAAAAATGAGSGKSFSAAVPAAPSVRLARRWPPAPAAVSAAAVEADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFKEIDGLESNGVSCDGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLEVLFKDAASRFEGFEYSKSMLKEEVEKYKRFAERLEPFIADTVHMLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDVLRKSGMEFGTTTGRPRRCGWLDIVALKYCCEINGFSSLNLTKLDVLSGLPEIKLGVSYNKPDGQKLQSFPGDLDTLEQVQVKYESLPGWQSDISSVRSYSELPLAAQRYVERIEDLVGVPVHYIGVGPGRDALIYK >LPERR03G04880.1 pep chromosome:Lperr_V1.4:3:3546982:3553261:1 gene:LPERR03G04880 transcript:LPERR03G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVSTLPALRPLLARSTLLLNPRPLLRSRPPPRRPFRTISSSAPPTAFPPSSSSGAKDFGDVDLGEERFLRCAEAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNSRIKFGAFLEAIENMGFDYIASGHYAHVVHPRVDNVEGASKLQLSEDKDEVRKLAAQMSLPNQDRKDSQGICFLGKVKFSEFVERHIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVIFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEHLKCKVRHSPEFHDCTVTQEQTSENGAILEVHLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCAKALEIARMEDKSRLGKPVKIMNLEHIVKPQQEPVEVA >LPERR03G04890.1 pep chromosome:Lperr_V1.4:3:3552514:3552714:-1 gene:LPERR03G04890 transcript:LPERR03G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAVCRDCAPYSQGAIFRFRLGLSRILSGLARALR >LPERR03G04900.1 pep chromosome:Lperr_V1.4:3:3555389:3562510:-1 gene:LPERR03G04900 transcript:LPERR03G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTVDEALRFGDAFLGGENGTVMIQFGHQMPDYESSATQSTSGSPREVSGMSEGSRNEQNDQSGNLNGYSKSDEGKMMSALSLGKSETVYAHSEPDRSQPFAISYPYADSFYGGAVATYGSHAIMHPQIVGMMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQSESSDGGTPRDHAANGVVFSKHEHGLSSSDLHHRRAREGA >LPERR03G04900.2 pep chromosome:Lperr_V1.4:3:3555389:3562510:-1 gene:LPERR03G04900 transcript:LPERR03G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTVDEALRFGDAFLGGGELAATIYDSYCIILAENGTVMIQFGHQMPDYESSATQSTSGSPREVSGMSEGSRNEQNDQSGNLNGYSKSDEGKMMSALSLGKSETVYAHSEPDRSQPFAISYPYADSFYGGAVATYGSHAIMHPQIVGMMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQSESSDGGTPRDHAANGVVFSKHEHGLSSSDLHHRRAREGA >LPERR03G04900.3 pep chromosome:Lperr_V1.4:3:3555389:3562510:-1 gene:LPERR03G04900 transcript:LPERR03G04900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTENGTVMIQFGHQMPDYESSATQSTSGSPREVSGMSEGSRNEQNDQSGNLNGYSKSDEGKMMSALSLGKSETVYAHSEPDRSQPFAISYPYADSFYGGAVATYGSHAIMHPQIVGMMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQSESSDGGTPRDHAANGVVFSKHEHGLSSSDLHHRRAREGA >LPERR03G04900.4 pep chromosome:Lperr_V1.4:3:3555387:3562490:-1 gene:LPERR03G04900 transcript:LPERR03G04900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFGHQMPDYESSATQSTSGSPREVSGMSEGSRNEQNDQSGNLNGYSKSDEGKMMSALSLGKSETVYAHSEPDRSQPFAISYPYADSFYGGAVATYGSHAIMHPQIVGMMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQSESSDGGTPRDHAANGVVFSKHEHGLSSSDLHHRRAREGA >LPERR03G04910.1 pep chromosome:Lperr_V1.4:3:3568307:3569287:1 gene:LPERR03G04910 transcript:LPERR03G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGGAAAAAVAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSTTCRYRGGADAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRVVGAERSENYPSLMAVQGASAALAGGTAALVTMPLDTIKTRIQVMESDAAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLD >LPERR03G04920.1 pep chromosome:Lperr_V1.4:3:3572769:3577386:1 gene:LPERR03G04920 transcript:LPERR03G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAASAFSLLTTTCSRLRSSSPTRFPSSSLRLPLRRGPLATMATAASSFRPEAARSPPAVQPPAPPLSKFKVALCQLSVTADKARNIARAREAIQAAAADDAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIASAEHEETTIIAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQRSGSE >LPERR03G04930.1 pep chromosome:Lperr_V1.4:3:3585559:3586529:-1 gene:LPERR03G04930 transcript:LPERR03G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAAAPKARRYGADQPSFSSTLLDAIYKSMDEPGHGGAEATGAAKKQQQEAAMQYGYYYRPSLAGSYRAAAHATTSSSSECSSYGGFSSSEAESSHHHHRRLRPIRTAVRGGAPPPTPPSARAAESACSTASSYSRSCLSKTPSTRGQPKRTVRFLDSDDTESLASSSAALAADHRRSGRVPVEAVKQMLLQRMEMESDEDDDDDDDESSDASSDLFELENFAAIAPAGAAFRDELPVYETTRVALNRAIGHGFGGHGRSARVV >LPERR03G04940.1 pep chromosome:Lperr_V1.4:3:3593639:3605277:1 gene:LPERR03G04940 transcript:LPERR03G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNERLDKFKLQQERCQATLSSIAASQALTPRSNIAPRVQPINGPSAQAKPQQRIKFSDDTERLQRINLVRKSAVGAQIKLVIELLYKRRQALTAEQINEATYVHIHGNKEVFDRLKNNQKVQFDGNRFSYKSKYDLKGKDQLLSLIRDFPEGLPVVDIKDAYLSVLEDLEALKASKDIRWLSTSKGEDGVVFPDVDPKTKIKIDNDIKEFVSSIELPRDMMDIEKELQKSGQPTKTNSAARRRAAAEVHVLPPKPKSRKKRGITSRTKLTNAHLPELVSCPCHTTSSGINRKPLLPGMALNERLNKYKLQQGRCQTTLSGIAASQTSIPRSNISPRTRQALTAEQINVATYVHVHGNKVVFDCLRNNQKVHFDGIRFSYKSKYDLKGRDQLLSLIGEFPGVLPVVDVKDAYLAVLGDLKVGNVLLLLYLKSDMFKAVSTCSNAHLPELFMDLKL >LPERR03G04940.2 pep chromosome:Lperr_V1.4:3:3596796:3604978:1 gene:LPERR03G04940 transcript:LPERR03G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSIPARQIGQNRPRNGKAGSPKRKKHYPIGLLALQRVMSMPHDQQRHQPQTVAARRSCDWRRRRQAPPTAMKSRRDGRRLVMEDDEDERRDDGGFFLTGFSSAKSLVELTCYILKNALSLDCFTLDTMYGSRCSDEISGWRSPMGSSILREAHRALVAIRRYIDDKMALNERLNKYKLQQGRCQTTLSGIAASQTSIPRSNISPRVQPINDDTEKLRRIGLVRMSSVGAQLNLVFELLYMTRQALTAEQINVATYVHVHGNKVVFDCLRNNQKVHFDGIRFSYKSKYDLKGRDQLLSLIGEFPGVLPVVDVKDAYLAVLGDLKSLLALTPICPSCSWT >LPERR03G04940.3 pep chromosome:Lperr_V1.4:3:3596724:3604978:1 gene:LPERR03G04940 transcript:LPERR03G04940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPHDQQRHQPQTVAARRSCDWRRRRQAPPTAMKSRRDGRRLVMEDDEDERRDDGGFFLTGFSSAKSLVELTCYILKNALSLDCFTLDTMYGSRCSDEISGWRSPMGSSILREAHRALVAIRRYIDDKMALNERLNKYKLQQGRCQTTLSGIAASQTSIPRSNISPRVQPINDDTEKLRRIGLVRMSSVGAQLNLVFELLYMTRQALTAEQINVATYVHVHGNKVVFDCLRNNQKVHFDGIRFSYKSKYDLKGRDQLLSLIGEFPGVLPVVDVKDAYLAVLGDLKSLLALTPICPSCSWT >LPERR03G04940.4 pep chromosome:Lperr_V1.4:3:3596796:3604978:1 gene:LPERR03G04940 transcript:LPERR03G04940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSIPARQIGQNRPRNGKAGSPKRKKHYPIGLLALQRVMSMPHDQQRHQPQTVAARRSCDWRRRRQAPPTAMKSRRDGRRLVMEDDEDERRDDGGFFLTAGEVPDNFIRHSCKPNFNSKVKYLSKDDTEKLRRIGLVRMSSVGAQLNLVFELLYMTRQALTAEQINVATYVHVHGNKVVFDCLRNNQKVHFDGIRFSYKSKYDLKGRDQLLSLIGEFPGVLPVVDVKDAYLAVLGDLKSLLALTPICPSCSWT >LPERR03G04940.5 pep chromosome:Lperr_V1.4:3:3596724:3605277:1 gene:LPERR03G04940 transcript:LPERR03G04940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPHDQQRHQPQTVAARNGDADGDLQVGDTTRCSIPDLPEMALNERLNKYKLQQGRCQTTLSGIAASQTSIPRSNISPRVQPINDDTEKLRRIGLVRMSSVGAQLNLVFELLYMTRQALTAEQINVATYVHVHGNKVVFDCLRNNQKVHFDGIRFSYKSKYDLKGRDQLLSLIGEFPGVLPVVDVKDAYLAVLGDLKVGNVLLLLYLKSDMFKAVSTCSNAHLPELFMDLKL >LPERR03G04940.6 pep chromosome:Lperr_V1.4:3:3593639:3596500:1 gene:LPERR03G04940 transcript:LPERR03G04940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALNERLDKFKLQQERCQATLSSIAASQALTPRSNIAPRVQPINGPSAQAKPQQRIKFSDDTERLQRINLVRKSAVGAQIKLVIELLYKRRQALTAEQINEATYVHIHGNKEVFDRLKNNQKVQFDGNRFSYKSKYDLKGKDQLLSLIRDFPEGLPVVDIKDAYLSVLEDLEALKASKDIRWLSTSKGEDGVVFPDVDPKTKIKIDNDIKEFVSSIELPRDMMDIEKELQKSGQPTKTNSAARRRAAAEVHVLPPKPKSRKKRGITSRTKLTNAHLPELFMDLKT >LPERR03G04950.1 pep chromosome:Lperr_V1.4:3:3608091:3611951:-1 gene:LPERR03G04950 transcript:LPERR03G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSSARGYGDDGGEGNGAGDGDGSCSSPAAASPLMAMPLHSDGSVQYDAPDWRHAEAKVDPKLEDFMSVVSYSNKSSSDLYNNSSSSHADQIKYHHHVHDFSSPYFHSSNNNVGGGGGIDINMNAPPPPAHDHHHPFQLPPNHGQYFLGTTNPMPAPPMYNSGVSVGAAVDGSMSISGIKSWLRQAMYVPERSSASTAALSLSVPPISQPPGETQLPVPVARKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSSPTRVSPPPPDGANSDANSVDTSDVSSQRGAHLLHDLQYAHAMKFEAGESSGGNNNNNWMATAAAAARPVAGIPTTVHQLPVFALWND >LPERR03G04960.1 pep chromosome:Lperr_V1.4:3:3616616:3617539:-1 gene:LPERR03G04960 transcript:LPERR03G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWDDDWHRDYILSVPDILVDEETLHLDLLPELQKDHATGRQTLQGLHTTRLALSLNNDDMIYLMAKVRTCDKKAWVLAVDMRNRKLKDVGVFRAERTLGGIALSYTFSTVSKYFSTSQVRCGGWQRKRQPVACVGVIGFVSGGGSMVYLVPALWMDLPSECPDLSADHEDISDGSLPPFQT >LPERR03G04970.1 pep chromosome:Lperr_V1.4:3:3617631:3618057:-1 gene:LPERR03G04970 transcript:LPERR03G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPLVPYFRTLFNIGLLRHTKGNEEQHELEAGAEGRFHLVRSITSQFFVGPAKAIMLGKGGLMAFVDLWRGIVVCDVVDRDKSLHFIPFPQSLKSRRNFDIRAKIVRDVVIVWPY >LPERR03G04980.1 pep chromosome:Lperr_V1.4:3:3618058:3618243:-1 gene:LPERR03G04980 transcript:LPERR03G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRVFALEGRGDEFMSNHFQDSSLVLPTHGHQPPEEADAPRSSALIDLKAISDHTNATT >LPERR03G04990.1 pep chromosome:Lperr_V1.4:3:3618647:3620556:-1 gene:LPERR03G04990 transcript:LPERR03G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFLPTTSGGAHLCPSLAPPRRRRRVAAAAAASAGIGRRAVSIAGVASWLATAAGRADASPFDKYVKKKKLEPLEAYVPAVLLTQDQFRDLEKSLEFEKPKYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPADDYGMDSSPELDPKLKQLQDIL >LPERR03G05010.1 pep chromosome:Lperr_V1.4:3:3631446:3631760:-1 gene:LPERR03G05010 transcript:LPERR03G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTEKLVCVFLAVLAVLSPLYIDRRPEVDSDDEEDGVGVSALWLPALLIVLILAINVTCFMDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASF >LPERR03G05020.1 pep chromosome:Lperr_V1.4:3:3632962:3636849:1 gene:LPERR03G05020 transcript:LPERR03G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGPDQPRKKADDGPQRLEMAVKWAHPPSPDPPVRLTETSETDSMERERKKERGVPDVEKKRKGKGKGKENTRGRGRRRRGEEEGGDPLLAAAAPSPPPSRRRLGSRVASRGVVDWWWWLGPGVAGGGGHDDRSCRSAAAVAGRSAGRDTWEGSPPPASRGIAYYFFPGEMNLWLRQMVAKMRRSVIDSVLLEEPLTLGFHQAYPIQCPWSPHLSIQLTHLTFVLIVFDPQLRKVIANTKDFLAAMLLGRL >LPERR03G05030.1 pep chromosome:Lperr_V1.4:3:3637434:3641327:1 gene:LPERR03G05030 transcript:LPERR03G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAVALARLAAALDGAVLGLGTGALAVATWMKYLVTSGQLRRIAAAPAAAIPDLRYLLAEHGGDQPVLAAVRGQVRPVPLGTYIRPPGSRELCVVAQHTQMCLFNEWRGIFGWTFDLHALFFKSLKEQIITSFRWVPFVLVDPENMTGMARVKLDGATQPLPLTNVYHKLIPVESTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYCQPHKASVEISSCPDLPFFLSELTKDEMEAELSSRARTLFWATVVLGTMSIGLLGFATYRRWQKIKERREARQAQEVFRQSTDDVTDQASDDEEEGGEIGDGQLCVICLRKRRRAAFIPCGHLVCCCKCAMIVERQFEPLCPISWYDLQVVVAVY >LPERR03G05030.2 pep chromosome:Lperr_V1.4:3:3637434:3641380:1 gene:LPERR03G05030 transcript:LPERR03G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAVALARLAAALDGAVLGLGTGALAVATWMKYLVTSGQLRRIAAAPAAAIPDLRYLLAEHGGDQPVLAAVRGQVRPVPLGTYIRPPGSRELCVVAQHTQMCLFNEWRGIFGWTFDLHALFFKSLKEQIITSFRWVPFVLVDPENMTGMARVKLDGATQPLPLTNVYHKLIPVESTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYCQPHKASVEISSCPDLPFFLSELTKDEMEAELSSRARTLFWATVVLGTMSIGLLGFATYRRWQKIKERREARQAQEVFRQSTDDVTDQASDDEEEGGEIGDGQLCVICLRKRRRAAFIPCGHLVCCCKCAMIVERQFEPLCPMCRQDIRYMIRIYDN >LPERR03G05040.1 pep chromosome:Lperr_V1.4:3:3651642:3653026:1 gene:LPERR03G05040 transcript:LPERR03G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPYSTMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETT >LPERR03G05050.1 pep chromosome:Lperr_V1.4:3:3653936:3659988:-1 gene:LPERR03G05050 transcript:LPERR03G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRSPLHVTAPVRGANPLLLRRLRLGLGGCGKASSSARRLRLVLPRHGGPAVSTARATAEPTASSAAAAAGEGAAGDATVLIDVSGMMCGGCAARVRGILAADERVETAAVNLLAESAAVRLRSPEPGAGEALAARLTECGFPSVARRGGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHGTFLDMLHNSYVKCGIAIAALVGPGRDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSNMNELISLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDLVLVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFAFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEVEILRLAAAVEKTALHPIANAIMDKAELLKLDIPSTSGQLTEPGFGCLAEVDGCLVAVGTLEWVHNRFETKASSTELRDLRNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAVSISDVLRDDAKTTVDRLQQEEIETFLLSGDRKEAVESIGRAVGLRSENIKSSLTPHEKAGVITALQGEGRQVAMVGDGINDAPSLAAADVGIAMRTHSKDSAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMAMSSIFVVSNSLLLQLHGSFQNTEKQRVNLDSRLN >LPERR03G05060.1 pep chromosome:Lperr_V1.4:3:3660765:3662909:1 gene:LPERR03G05060 transcript:LPERR03G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNGEPSTPPLLLAMRHLPFPVVIHRPRALPVPDLAPLSHRLEELAAAASAHPLLKPLFDFHSHLSAFSQSRRRAVARMRRAGDWPLSGEHCFAAVLGGSVAGVVVSSGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDAAAQASRHGASSSGAVLTANRRKWMRRIRPGKSQQEEEEM >LPERR03G05070.1 pep chromosome:Lperr_V1.4:3:3662765:3671321:-1 gene:LPERR03G05070 transcript:LPERR03G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWVQSIHQPAEPIIPTLLVALAHGRAMKQPQHQHQWQPAAAAAASWALFTLLPLSLLLLRLLALLVRLRLAAFRDAALSLHLLARLGIRPVHLRLPDSTTTLRVWCPSAPSTKPPLLLLHGFGGDSKWTWARNVPDLSRHFHVYAPDLLFFGAHSRSASPRRDVAFQARCAADAMRALGVERYDVAGISYGGFVAYRMAAVEARERVGRVVVMTSGVAATPAEMREMAAREGRAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLEDFIKLMCVDQRKERAELLHELLESGSGIHPLPVLTQKTLILWGDKDQVFPLDLGHRLQRHLGDVARLEIIKDAGHALQLEGAVQADARYAPAAKISGSAQLSAGKITITNSPITMGASLSLVPLLDYVARREFAAAGLRPGEVTLPYPVAGGESTCTVHYWASAGEPRLPPLLLIHGFGPRATWQWRCQVGPLSRHFHLIVPDLLGFGDSSYGGGGGESPPPPPSEATQAAAMAALLDALPGTNGRRVAVAGTSYGGFVAYWLARTAGAERVGPVVVASSDLLKTAEDDKGFLKRAGDGWRGVDEVLLPKEPAAMRKLLEMASYRPPPAVLMPDFLLRDFIQKLFTENREQHIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQRSLDGKARVEIISKTGHAPQLEDPTRFNKILMDFLLDTHNFGVLPLMEYIARRAFLAAGLRPSTVTLPSGDGDGEARTTTIHYWAPPGEPRLPPLLLIHGFGPMSTWQWRAQVGPFSRRFHVIVPDLLCFGSSSCPSSPPPSESAQAAALLTALPAILAGTTAAKARVAVVGTSYGGFVAYAMARAAAGGERVGPVVIASSDLMKTAEDDQALLERAGAGGGWARPADLLMPLDARGARRLMEMTFYRKQVAAMLPDFVIRDTMQKLFSDRREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGENVRLEIIKKTGHVPQMEEPDQFNKIVMDFLVASPGSPSA >LPERR03G05070.2 pep chromosome:Lperr_V1.4:3:3662765:3667356:-1 gene:LPERR03G05070 transcript:LPERR03G05070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADARYAPAAKISGSAQLSAGKITITNSPITMGASLSLVPLLDYVARREFAAAGLRPGEVTLPYPVAGGESTCTVHYWASAGEPRLPPLLLIHGFGPRATWQWRCQVGPLSRHFHLIVPDLLGFGDSSYGGGGGESPPPPPSEATQAAAMAALLDALPGTNGRRVAVAGTSYGGFVAYWLARTAGAERVGPVVVASSDLLKTAEDDKGFLKRAGDGWRGVDEVLLPKEPAAMRKLLEMASYRPPPAVLMPDFLLRDFIQKLFTENREQHIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQRSLDGKARVEIISKTGHAPQLEDPTRFNKILMDFLLDTHNFGVLPLMEYIARRAFLAAGLRPSTVTLPSGDGDGEARTTTIHYWAPPGEPRLPPLLLIHGFGPMSTWQWRAQVGPFSRRFHVIVPDLLCFGSSSCPSSPPPSESAQAAALLTALPAILAGTTAAKARVAVVGTSYGGFVAYAMARAAAGGERVGPVVIASSDLMKTAEDDQALLERAGAGGGWARPADLLMPLDARGARRLMEMTFYRKQVAAMLPDFVIRDTMQKLFSDRREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGENVRLEIIKKTGHVPQMEEPDQFNKIVMDFLVASPGSPSA >LPERR03G05070.3 pep chromosome:Lperr_V1.4:3:3662765:3667356:-1 gene:LPERR03G05070 transcript:LPERR03G05070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQADARYAPAAKISGSAQLSAGKITITNSPITMGASLSLVPLLDYVARREFAAAGLRPGEVTLPYPVAGGESTCTVHYWASAGEPRLPPLLLIHGFGPRATWQWRCQVGPLSRHFHLIVPDLLGFGDSSYGGGGGESPPPPPSEATQAAAMAALLDALPGTNGRRVAVAGTSYGGFVAYWLARTAGAERVGPVVVASSDLLKTAEDDKGFLKRAGDGWRGVDEVLLPKEPAAMRKLLEMASYRPPPAVLMPDFLLRDFIQKLFTENREQHIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQRSLDGKARVEIISKTGHAPQLEDPTRFNKILMDFLLDTHNFGVLPLMEYIARRAFLAAGLRPSTVTLPSGDGDGEARTTTIHYWAPPGEPRLPPLLLIHGFGPMSTWQWRAQVGPFSRRFHVIVPDLLCFGSSSCPSSPPPSESAQAAALLTALPAILAGTTAAKARVAVVGTSYGGFVAYAMARAAAGGERVGPVVIASSDLMKTAEDDQALLERAGAGGGWARPADLLMPLDARGARRLMEMTFYRKQVAAMLPDFVIRDTMQIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGENVRLEIIKKTGHVPQMEEPDQFNKIVMDFLVASPGSPSA >LPERR03G05080.1 pep chromosome:Lperr_V1.4:3:3673256:3679809:1 gene:LPERR03G05080 transcript:LPERR03G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASPEDRIRSYVDFARVHAYLLAASGIPSSLHERLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLGAERALRRESDVFLVDHAWSFRLADALKQLREVPGLAERMAALMCVDLDRRDELDEADEQDNGKGVSLENALEVVEKEKSRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIRDCRRLKALWLNENPAQNEGVDKVILDGLPELEIYNSHFTRTTGEWALGFCGDIVGADNPCSSAESIPLENIVILDLSDRCIHKLPEVFSPSKLSSLSNLNIRGNPLDQMSGDDLLKLFSGFTQLQELEVDIPGPLGNSAISILERLPNISLLNGINVSSIIESGKHIVDSALKPRLPEWSLEESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQSCGLFVMFILEKSAHEFRKYKEQLQSSSICPSRKETPVTKGLRPSDGHALRVFTDIPHVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDHQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQSTYNLETHLSQLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSSIIRLMETGPKICQKYIESPALFQGRKFDLRYIVLVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFSPKILEVTYCPDCTRACKYDTQALVGSQNIIRGSDFFNTVFGCLFLDELKDVSPL >LPERR03G05080.2 pep chromosome:Lperr_V1.4:3:3673256:3679809:1 gene:LPERR03G05080 transcript:LPERR03G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASPEDRIRSYVDFARVHAYLLAASGIPSSLHERLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLGAERALRRESDVFLVDHAWSFRLADALKQLREVPGLAERMAALMCVDLDRRDELDEADEQDNGKGVSLENALEVVEKEKSRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIRDCRRLKALWLNENPAQNEGVDKVILDGLPELEIYNSHFTRTTGEWALGFCGDIVGADNPCSSAESIPLENIVILDLSDRCIHKLPEVFSPSKLSSLSNLNIRGNPLDQMSGDDLLKLFSGFTQLQELEVDIPGPLGNSAISILERLPNISLLNGINVSSIIESGKHIVDSALKPRLPEWSLEESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDGKLASAVRKYKEQLQSSSICPSRKETPVTKGLRPSDGHALRVFTDIPHVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDHQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQSTYNLETHLSQLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSSIIRLMETGPKICQKYIESPALFQGRKFDLRYIVLVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFSPKILEVTYCPDCTRACKYDTQALVGSQNIIRGSDFFNTVFGCLFLDELKDVSPL >LPERR03G05090.1 pep chromosome:Lperr_V1.4:3:3679934:3680916:1 gene:LPERR03G05090 transcript:LPERR03G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAAAITHRILFPLVLFAALSQCDPDLLFDYCVADTSTSSPSSAFHLNGLPCIDPSLARADHFATSALSHATNPSPSATLFGFNATTTSPTSSLPGANAQGLAMARVDLAPGGMAPPHTHPRASEAAIVLSGSVLVGFADTSYRLYTQRLRAGEAFVFPRGMVHFVYNADTAAPAVVLSGFDSQSPGAQLVPFSAFMTEPRLPDEEYIGLNK >LPERR03G05110.1 pep chromosome:Lperr_V1.4:3:3695810:3700399:1 gene:LPERR03G05110 transcript:LPERR03G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWNSLFGCFTSHHHYDDVGGGNKRKKGNGGKKKRKVSSSAAAKQKQQRRLQSRLSFSDLSMGGMVSPEDLSLSLAGSNLHVFTIAELRAITRDFSNTNFIGEGGFGPVYKGFVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAAALPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPAREQNLVEWARPYLTDARRLDRVMDRNLIGQYSAKAAQKAAALAHRCVSLSPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPEVDGDSGSSSGSSRRRAGRSRSEGPVDQSAAAKQQE >LPERR03G05110.2 pep chromosome:Lperr_V1.4:3:3695810:3700705:1 gene:LPERR03G05110 transcript:LPERR03G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWNSLFGCFTSHHHYDDVGGGNKRKKGNGGKKKRKVSSSAAAKQKQQRRLQSRLSFSDLSMGGMVSPEDLSLSLAGSNLHVFTIAELRAITRDFSNTNFIGEGGFGPVYKGFVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAAALPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPAREQNLVEWARPYLTDARRLDRVMDRNLIGQYSAKAAQKAAALAHRCVSLSPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPEVDGDSGSSSGSSRRRAGRSRSEGPVDQSAAAKQQE >LPERR03G05120.1 pep chromosome:Lperr_V1.4:3:3700833:3701375:1 gene:LPERR03G05120 transcript:LPERR03G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDDEDDIEAGLTAESFQPRETLSWFDQLAIGQDRHKKEPSKDQIGKAKCMATIMAFISAVMGFCAAAALACVPETEEAPENVYFCAGFWVLFFLMYFSGYTHLLRQSDPDEGGHCDKLVCILLLPLVNKAATAFTQTLGRDQVVGARCLGRVAGGRGSRGGYRPVFPEKVQAGAMNPG >LPERR03G05130.1 pep chromosome:Lperr_V1.4:3:3701936:3702448:1 gene:LPERR03G05130 transcript:LPERR03G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPLAVHLWELIGIGVGAVFVLLLILLSLLCLLTSHRRRRGVPVATSVLHLTTTADEGGDPILFAELLMSSGVVLNADWITFHGGYDFRDLLRLLTGRNLPDTMPAFFDLIRIYFPALYDIKHLMKFCSNLHGGLSKLGELLGVKRVGISHQAGSLGGWGEQTIIF >LPERR03G05140.1 pep chromosome:Lperr_V1.4:3:3707696:3709652:-1 gene:LPERR03G05140 transcript:LPERR03G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTATLAKKPSLIRSITTNMGAYTALTPVVSKIFCSNSHAVLMVRRRPPTVNGGGLVVTDRDQRIVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQALSVNNWWKGYLMDYGEPTKLVFSLQDPKPVLCMNGDVKVTVEPNGRRKHWDYEVTGSFVQRACAVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >LPERR03G05140.2 pep chromosome:Lperr_V1.4:3:3707696:3709652:-1 gene:LPERR03G05140 transcript:LPERR03G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTALTPVVSKIFCSNSHAVLMVRRRPPTVNGGGLVVTDRDQRIVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQALSVNNWWKGYLMDYGEPTKLVFSLQDPKPVLCMNGDVKVTVEPNGRRKHWDYEVTGSFVQRACAVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >LPERR03G05140.3 pep chromosome:Lperr_V1.4:3:3707696:3708638:-1 gene:LPERR03G05140 transcript:LPERR03G05140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTALTPVVSKIFCSNSHAVLMVRRRPPTVNGGGLVVTDRDQRIVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQALSVNNWWKGYLMDYGEPTKLVFSLQDPKPVLCMNGDVKVTVEPNGRRKHWDYEVTGSFVQRACAVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >LPERR03G05140.4 pep chromosome:Lperr_V1.4:3:3707696:3708184:-1 gene:LPERR03G05140 transcript:LPERR03G05140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGEPTKLVFSLQDPKPVLCMNGDVKVTVEPNGRRKHWDYEVTGSFVQRACAVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >LPERR03G05150.1 pep chromosome:Lperr_V1.4:3:3710289:3720144:1 gene:LPERR03G05150 transcript:LPERR03G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTRPATTAAVPAAARRRGTSGKLPFFAPPAMPDRELRRQGRRRMTVVAAISEEVPRLAAAAAPASRKAAPEKVAVRAVLTVRRKQKEDLKEAVAGHLDALWDMVGRNVVLELISTKIHPRTKKPMQSGRVSIKDWCQKRGAKGDHVVYTAEFMVDADFGEPGAITVANRHNREFFLESIVVEGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSDTPPGLRELREKELKDLRGDGTGVRKLSDRIYDYAMYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLHEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETQIAGHLNGLTVQQAMDEAKLFIMDYHDAYLPFLDRINAIEGRKAYATRTIFFLTESGTLKPIAIELSLPGTKPGEPGPSRVLTPPCDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRDHWRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVELYYPDTQTVQCDTELQGWYHESVHVGHGDLRHAPWWPRLTTPADLASILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPSPSPERGGDTAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGREEGWTGDEAAVAAHGMFAAGVRRAEEVIEGRNADHGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >LPERR03G05160.1 pep chromosome:Lperr_V1.4:3:3717910:3719838:-1 gene:LPERR03G05160 transcript:LPERR03G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAGPHPRRIRPLAAASLVLFLFLLYSRSDVISPRSPRVLGFDSRPVHRRFLSDADPISPASGGGNLSEIARESGEAPVDPSVACAGILRHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRLLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGEVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVHIDRRCFVRDVGFFLMTLVALSIILIVGKVTVWGAIMFVSIYAVYAFVVAANEVLRKHARMLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVAQINTSLPQWMWASHVAIYSNHGVRGGSPDSSRPLWGWSDEGEVDTSTVSFSKLFVFLELPLTIPRRLTIPIVEEDRWSKEYAIASAGLAPVLLAFLWSSQDGVSTKAHIAAFVIACIFGMALASLAFMFTSHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDRSLIYTMTFLIGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >LPERR03G05170.1 pep chromosome:Lperr_V1.4:3:3722233:3723869:-1 gene:LPERR03G05170 transcript:LPERR03G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAGQEVNPAMAAAAAEVEEWAECACCGLREECTPTYAAGVRARYGGRWLCGLCGEAGKPAMVLTISLARIQKGGRSLAGTDGKSSKVRWGRWSDNQPCRDADLHMLYNEPQNHP >LPERR03G05180.1 pep chromosome:Lperr_V1.4:3:3726097:3734801:1 gene:LPERR03G05180 transcript:LPERR03G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERTLSLINKTALNPNAQEFVPSALRSVNDVSTRSDTSRISLSGSSKDTIADQQEPSNPDEEAHRYWQEQLPDDITPDFNVLGQDESPGPDNLSLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLGTRAKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGGQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNSYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSHLMANSFNGNHDIVANNTLQNIAGVQTGPAWLETDTAANMFLESKDEVHDFASLRHALLEQDRQAFLSGANPLGKELNIKDLYNLQSRLAQEKVRETMYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRWKIARSTGRRLQVIIISSTRTPARLTVAVEQYLLEHGLQYTQAQPGLFRVLLQ >LPERR03G05190.1 pep chromosome:Lperr_V1.4:3:3733232:3735360:-1 gene:LPERR03G05190 transcript:LPERR03G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADSRSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKEDRIFRALTTEEIDQHLTAISERD >LPERR03G05200.1 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQLTMLIWYLCFKIPCPDVFIVQNPPSVPTLAAVKLASWIRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMRHELDQNWGINATVLYDQSPEFFHPASLTEKHELFSRLGDSICSAMGNDDCISVEKEMKNMNTTVFTSSIDGEVFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKTNSNGLLFSASSELADELMMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.2 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQLTMLIWYLCFKIPCPDVFIVQNPPSVPTLAAVKLASWIRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMRHELDQNWGIKLGDSICSAMGNDDCISVEKEMKNMNTTVFTSSIDGEVFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKTNSNGLLFSASSELADELMMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.3 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQFEKHFGRMADGAFCVTKAMRHELDQNWGINATVLYDQSPEFFHPASLTEKHELFSRLGDSICSAMGNDDCISVEKEMKNMNTTVFTSSIDGEVFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKTNSNGLLFSASSELADELMMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.4 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQLTMLIWYLCFKIPCPDVFIVQNPPSVPTLAAVKLASWIRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMRHELDQNWGINATVLYDQSPEFFHPASLTEKHELFSRLGDSICSAMGNDDCISVEKEMKNMNTTVFTSSIDGEVFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.5 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQLTMLIWYLCFKIPCPDVFIVQNPPSVPTLAAVKLASWIRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMRHELDQNWGIKTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKTNSNGLLFSASSELADELMMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.6 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQFEKHFGRMADGAFCVTKAMRHELDQNWGINATVLYDQSPEFFHPASLTEKHELFSRLGDSICSAMGNDDCISVEKEMKNMNTTVFTSSIDGEVFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05200.7 pep chromosome:Lperr_V1.4:3:3735770:3742634:1 gene:LPERR03G05200 transcript:LPERR03G05200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALALLLKASIQLTMLIWYLCFKIPCPDVFIVQNPPSVPTLAAVKLASWIRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMRHELDQNWGIKTPDEDFSILLEAALMYDRRVAATLGEEDSMDERQLWIDMKNGKQFVYPRLLFIITGKGPDKKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECNDLKSLKAGALNTGSSSKWSTEWERCALPLVNQVIGRNAC >LPERR03G05210.1 pep chromosome:Lperr_V1.4:3:3740996:3741651:-1 gene:LPERR03G05210 transcript:LPERR03G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRLHGTRKPETTAMLSIGMFGDAGHRGCDVLWSPVAGADEIDRLQEELRLMVRAKAVTGAEDNDGRRHRRSLSSMNAREVAKLKQRSFRKIMAGALSGLLQRPSFRETVSEASVSEIVWSLLHKNTHPGKPVLPAPMINGDPTIKRPQKVIQRSRDTAEREEQEGSRWIKTDSECKFMSISPSDRK >LPERR03G05220.1 pep chromosome:Lperr_V1.4:3:3743485:3743754:1 gene:LPERR03G05220 transcript:LPERR03G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVVLPATQNKPRRRGDCLGVVGDRGEAKQPAGRWQEIRRGASRARAHQLGLSLSLSQVHGNHGVWLESRVQTRSSDRVDATSTELS >LPERR03G05230.1 pep chromosome:Lperr_V1.4:3:3746733:3747239:-1 gene:LPERR03G05230 transcript:LPERR03G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPVTRRFAVACGVLSQYVKATSPQLPSSSSSSSPAAAAAEGEEQQFTIFYGGKVVVIDRCSPAMAAELIRYASSSAAAAAAPETTTAPALVDMPIARKASLQRFLAKRKDRATARPSPYGRPAATAAAAEKEMQPPPAKKMKGKAVAAEQDWLALGSLGDMHSR >LPERR03G05240.1 pep chromosome:Lperr_V1.4:3:3750836:3753519:1 gene:LPERR03G05240 transcript:LPERR03G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVEQQQQVANKAAVMAGNGGGGSRFAVTCGLLRQYMKEHGGGNGGRLTTMNLMTGGGADAAVPVERTTMELFPQQAGTLKESEERKGITENKAQLTIFYGGSVVVFDDFPAEKAGELMSLAGSGDQTVAVSDTAAAAAAACQPCLPDMPIARKVSLQRFLEKRKNRLTTMEPVTSSSPESEKKVEDQESSKRAKKDAGDGAVWLEVNPTLSL >LPERR03G05250.1 pep chromosome:Lperr_V1.4:3:3759058:3759586:1 gene:LPERR03G05250 transcript:LPERR03G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEGKSRRFAVACGVLSQYVRAEQKMAAAAAVVAAPSPARAATTTTLSLMPGAEVVENREVEEAAGPATAPLTIFYGGRVVVFEDFPADKAAEGGAVLADMPIMRKASLQRFFAKRKDRLAATAPYARSSSPADAASDEKKPTSWLGLAAAARGDDLTIAL >LPERR03G05260.1 pep chromosome:Lperr_V1.4:3:3767889:3768725:1 gene:LPERR03G05260 transcript:LPERR03G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVSFFIIDKLYNIVTGIHGSREFLLNIIVDAYSRPRGPIRRPSDDWQVHHIPHLYYECFMPKQDGLGMPARSLETMVKLSEARVTFIRRSAVEDRYVVKFDCLRGVIELPSIRSLVTNLIAFKQTHGVLTEPPLLTGYVALMSQLVATTRDIELLRRHSILQSLVANEEETTEFFSRLDEGGIMELTGPNGHPTFAGLYEDINQYNNSHRHRYRAALRRGYFASPWIAISIAATTFVLLLTITQTYFTIFPRKKNSR >LPERR03G05270.1 pep chromosome:Lperr_V1.4:3:3769526:3772088:1 gene:LPERR03G05270 transcript:LPERR03G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCWLLVKSIRMDHTIQEVALLALFGVFIWTLVEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVLFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFRTLPPSKTTGKNN >LPERR03G05270.2 pep chromosome:Lperr_V1.4:3:3769775:3772088:1 gene:LPERR03G05270 transcript:LPERR03G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVCVCDSVMQFRLGKMVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCWLLVKSIRMDHTIQEVALLALFGVFIWTLVEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVLFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFRTLPPSKTTGKNN >LPERR03G05280.1 pep chromosome:Lperr_V1.4:3:3772671:3774381:-1 gene:LPERR03G05280 transcript:LPERR03G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFILPQVRLAAGATDWLLRSVDLALDKALTEVTNGRASIGIKRMGELDPRAFANAWKKTLLEDDDAQIDSALLCSKWEAEIKNSRWHPFRVVTVNGEDKEILSEDDNMLRELKEHGEAVYSLVTTALCEINEYNPSGCYPEPELWNYKENRKATLEEAIQLVVKQWRTHKKRKRFP >LPERR03G05290.1 pep chromosome:Lperr_V1.4:3:3775754:3777124:1 gene:LPERR03G05290 transcript:LPERR03G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATCLRDCLTELLRRGGQREVRLCSAAQLNPSRGMTRSRSVRQRSKKKRVHALEVATERWKVVSKVLAVVDALKKEEEHVTPLKRLEILRPQLGLTKPHKVAHFVRRSPGLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEEHSRTAAEYVTRMLMMSVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEQKAAADASDANANSIFSPPGELSLAFPMKFPPNFTSYYKFRGKVHHYVKAGNTQQFQKTTYLSPYAEAKGLTPGSPEFDKRAVAVMHEMLNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAEVSDSEDYLSGNDDRNGDSDSILDVESEDTDDIMDDGALADDTEMDLGDLSDCCIE >LPERR03G05300.1 pep chromosome:Lperr_V1.4:3:3780867:3786111:1 gene:LPERR03G05300 transcript:LPERR03G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQLMFFAGVLFRHLFPTKTSAAVNEGDASAAYIPDFKRAFEHFCMHAASRDVLEHLQGNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDSVDQYPARIDA >LPERR03G05310.1 pep chromosome:Lperr_V1.4:3:3786536:3791995:1 gene:LPERR03G05310 transcript:LPERR03G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVGVGVGAGEDGDRVRVDELTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKDASVSNFHQQALHGGAQGNQGYDPSAGMQQQQFIGSIRLNHETGF >LPERR03G05320.1 pep chromosome:Lperr_V1.4:3:3792558:3798122:1 gene:LPERR03G05320 transcript:LPERR03G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSFSRSGPQDRRRRGAYTFADSSTSFAAAGGCGGGVVSPRRGRRRDAAVDDMSWQSSVSWQPDTSWAQPHGLGAAIGPWAPAAAGLGNAGRRGPALFRRSARDYYASRRYKNRRDISSSAIRHVAAGRGGGGGGGGRRLELQSVVTDASRAIVVVPNTSFATNDDDSSSVGGGGAMVRYSDGETKAAAVAAASREVSFSRDNHDQLYVSAAAARPPSFGYDISIASFSGGQSRRYNGDGEYDDEFDDEEEIEVRIGKPVSVTGLFKYSTAMDVVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNDDKSQMMKDVKQISVYMAFLAAIVVVGAYLAMKSSVLGSNSNTEKAEITCWRIVGERSALRMRCEYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFIFGYAVGFAKSWRIALAVFAVTPVMMACGIAYKGIYGGLTAKEEASYQRAGDVAQQAISSIRTVLSFVMEDRLADRYAELLDTAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSKLVADGEIKGGDAIACFFGVMFAQGKVAAGRVFEVIDRTPEIDAYGGGGRAPPAATAAKGRIEFKDVDFAYPSRPDAMVLYNLNLVVPAGKTVALVGASGGGKSTVFALVERFYDPTRGSITLDGHDLSSLNIRWLRSQIGLVGQEPVLFSASIIENKQRIALARAIIRDPRVLLLDEPTSALDAESEAAVQQSIDRLAAGRTVVVIAHRLATVRNADTIAVLDRGSVVESGRHADLMSRAGAYSSLVNLAADNTKHHDLAAAAPYNTSSFTDESGYHDVSSSVSKSRHGGVFHTIREDIITEKNGKDVKVKVSEIWRLQRRESPILIVGFLMGIHAGAVFSVFPLLLGQAVEVYFDADTAKMRRQLGYLATAVVGLGVACILTMTGQQGLCGWAGARLTSRVRDRLFRAITRQEPAWFDDEGSNSMGVLVTRLARDAVAFRSMLGDRYAVLLMAVGSAGVGLGICFGLDWRLTLVAAACTPLTLGASYLNLLVNVGAVSDDDGAYARASSVAAGAVSNARTVAALCAQGSIVDAFSRALDGPSAKARRKSILMGLILGLSQGAMYGAYTTTLWAGAYFIKRGYSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILSILNRRPAITNDDDGTKRRKTIKDGKPIDVELRNVTFSYPSRPEVTVLSEFSLRVKAGTTVAVVGASGGGKSTVVWLVQRFYDPVNGKVLVGGVDVRELDLKWLRGECAMVGQEPALFGGSIRDNIGFGNPKASWAEIEDAAKEANIHKFISALPQGYDTEVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDVESERHVQEALRRVSRRATTITVAHRLSTVRDADRIAVVSGGRVVEFGSHDALLAGHGDGGLYAAMVKAEAEAQAFK >LPERR03G05330.1 pep chromosome:Lperr_V1.4:3:3800139:3805160:1 gene:LPERR03G05330 transcript:LPERR03G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVSEALDHQRKANKSSELPESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSFGGSSTCSANQKSAPTKFKQEPKPQERPNTPSRIHAKIVSAKQEHNKDIHRNSTSSPSQNGSAVVKKQIPKESKKESAPENSTFSPSHSGSAVVKKQMPKDSKKESASEKSSPPNLYRTSSPTLPPPAATSPPKLNLPAKPNGISGAFTMPSVKRRVTETVSWDSLPTNLIKSGKAVARRKTIALVVAAEAQREATAAASLVKGLGIFAEIRKSAEEDPHATITKFFQLNRLIIQQSIVWKDNSSESAKECRSEKEKPSRKASVSQNKVVASSTVKNSDDAYTNEKIDWAREDGFKEICRSWIILKKESQSWFLSFLKDALEAGFKFEVQNKNTRERARGHSKCGDGQIAVRLSQLKETSNWLDQLHGEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEGSWKAPMGREAFRL >LPERR03G05330.2 pep chromosome:Lperr_V1.4:3:3799984:3804808:1 gene:LPERR03G05330 transcript:LPERR03G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVSEALDHQRKANKSSELPESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSFGGSSTCSANQKSAPTKFKQEPKPQERPNTPSRIHAKIVSAKQEHNKDIHRNSTSSPSQNGSAVVKKQIPKESKKESAPENSTFSPSHSGSAVVKKQMPKDSKKESASEKSSPPNLYRTSSPTLPPPAATSPPKLNLPAKPNGISGAFTMPSVKRRVTETVSWDSLPTNLIKSGKAVARRKTIALVVAAEAQREATAAASLVKGLGIFAEIRKSAEEDPHATITKFFQLNRLIIQQSIVWKDNSSESAKECRSEKEKPSRKASVSQNKVVASSTVKNSDDAYTNEKIDWAREDGFKEICRSWIILKKESQSWFLSFLKDALEAGFKFEVQNKNTRERARGHSKCGDGQIAVRLSQLKETSNWLDQLHGEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEGR >LPERR03G05330.3 pep chromosome:Lperr_V1.4:3:3800139:3804808:1 gene:LPERR03G05330 transcript:LPERR03G05330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVSEALDHQRKANKSSELPESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSFGGSSTCSANQKSAPTKFKQEPKPQERPNTPSRIHAKIVSAKQEHNKDIHRNSTSSPSQNGSAVVKKQIPKESKKESAPENSTFSPSHSGSAVVKKQMPKDSKKESASEKSSPPNLYRTSSPTLPPPAATSPPKLNLPAKPNGISGAFTMPSVKRRVTETVSWDSLPTNLIKSGKAVARRKTIALVVAAEAQREATAAASLVKGLGIFAEIRKSAEEDPHATITKFFQLNRLIIQQSIVWKDNSSESAKECRSEKEKPSRKASVSQNKVVASSTVKNSDDAYTNEKIDWAREDGFKEICRSWIILKKESQSWFLSFLKDALEAGFKFEVQNKNTRERARGHSKCGDGQIAVRLSQLKETSNWLDQLHGEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEGR >LPERR03G05340.1 pep chromosome:Lperr_V1.4:3:3805491:3807434:1 gene:LPERR03G05340 transcript:LPERR03G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSLAATLRPPTTPPTLAAAAADVFPNWILLESQVYFTQCNNNSTTATATTSRGDIVEVSFCINTPPAISYVCVHCPSLTPPPPSSTRMTTSSCCSCPWSRLQIFHGCSSSTRPDLATDLTRHRFTGFHPITHITFTLHLYSSASDKWTVKSVLLDASCNLDKIASEHHPTLPSKTIRGGSLIGWVDIWKGILTCDVLADQPVVRFIRLPNLMPGNEPSASPWHNRNVSCTDGVIKLVEMEHYWVPLHSTDQDSSNPPSQEEEDPDTIYLSDLEPPQEKDDELMILIILNIAMLVGGQSYGICRFLGIVGRRCAMRLLMKSWFLSPDPSHYEMLHGLRDGGAKNLVLRNLITFFPTLSIAGDNVVHLKSCARLDNNIAVLMSLNLQSKILETLSPQYLYSERKIHHPFTPCVLSKHFKISSPGNH >LPERR03G05350.1 pep chromosome:Lperr_V1.4:3:3818242:3821608:1 gene:LPERR03G05350 transcript:LPERR03G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQQQQQQQQKDERRRTREAESMPATGKVAIIGGGISGLAAAKQLAAYDPVVFEATDSVGGVWRHCSYRSTRLQTPRPDYEFSDMAWADRDDPTFPTHAEIVDYLERYADTFSLWRYILLGAKVVSVKFLGGGGGGAAAGGLFTQLWSGSGEPLNGKPMWEVGVSTSGDPDDVQWFKFEFVVMCTGKYGDVPRMPVFPMGNGPEVFKGKVMHSLDYCKLNEEETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYQRPNQSLLRSLLCRLMTPLKAGVSKFIESYLSWKLPLSKYGLRPDHPFVEDYASCQMAILPDGFFEMADRDLIRFKRSTGGWCFSENGVVLDDGTEIEADLVFLATGFEGKDKLRSVLPEPFRGLIINKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGKFVLPEVEKMVRHIDDETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKSNWLAELFAPYNNQDYKEE >LPERR03G05360.1 pep chromosome:Lperr_V1.4:3:3831378:3841480:1 gene:LPERR03G05360 transcript:LPERR03G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMESTELEIEEDPTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSIGRSEMIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLTRSIRNHLKNTTWTVALIHGFFKQSKLSVSGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLVWFQETSRLNIRPDIILKPDVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKALPDDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTDFLHCEITTPKSEDASSVKGAVANSDDIESIEDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPKIELHAPLADGLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCENTIPVSDCNVGENNIANTELLPMQQLDDIREPSDSAPEIMCDPCSSANYSTLPGRHSISEERQNYLKRLGYPELHSSNFLDLDLFSSSGNSCEEEIFERSSLINSPMDVVSIESTTSYSEQGHTDEGRDDTDLSRSSSQMSDIRDYSDRFAHWVDNGGMLCY >LPERR03G05360.2 pep chromosome:Lperr_V1.4:3:3831262:3841480:1 gene:LPERR03G05360 transcript:LPERR03G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMESTELEIEEDPTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSIGRSEMIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLTRSIRNHLKNTTWTVALIHGFFKQSKLSVSGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLVWFQETSRLNIRPDIILKPDVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKALPDDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTDFLHCEITTPKSEDASSVKGAVANSDDIESIEDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPKIELHAPLADGLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCENTIPVSDCNVGENNIANTELLPMQQLDDIREPSDSAPEIMCDPCSSANYSTLPGRHSISEERQNYLKRLGYPELHSSNFLDLDLFSSSGNSCEEEIFERSSLINSPMDVVSIESTTSYSEQGHTDEGRDDTDLSRSSSQMSDIRDYSDRFAHWVDNGGMLCY >LPERR03G05370.1 pep chromosome:Lperr_V1.4:3:3839700:3841396:-1 gene:LPERR03G05370 transcript:LPERR03G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEHEEEAPAQDFAAITDYTAPEQWPADQWTSDVAAPPAGGGAEWGSAPAPVAAADGWDQAGAPVAAAEGAVVPPVAPTGWDNAPQPTAQGWD >LPERR03G05380.1 pep chromosome:Lperr_V1.4:3:3842129:3845025:-1 gene:LPERR03G05380 transcript:LPERR03G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQEAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVARILVKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHVANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >LPERR03G05390.1 pep chromosome:Lperr_V1.4:3:3846580:3847496:-1 gene:LPERR03G05390 transcript:LPERR03G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPARVRRRLTAADLLPEASSSGSGRRTSWKRKANASSTSYDEFEAEFELFNDDDDTEFELSDSEQEEALDVSSKSKAPSFAFSLISKPQRRVPAAGGGKTRKKNKYRGVRRRPSGRWAAEIRDPAKGRRIWLGTHGSAEEAAMAYDREARRIRGKAARLNFPHRRSSWAIGIGIGIDLNLPPKSDEVVTTMEIMEDSMMVMQMQCCDTAARISECDREMEEIAAVQRELERRMRQVYERRCRLVIDQRG >LPERR03G05400.1 pep chromosome:Lperr_V1.4:3:3851012:3852114:-1 gene:LPERR03G05400 transcript:LPERR03G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPPPSRAAAASKRVTAGHLWPAGCKNAGAGKSSKKQRHQRSFADIDDFEAAFEQFDDDFDLDDVDDDEVDFVFTSKSPVAAGYDEGKTARTAARKKKKGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARAYDVEARRLRGSKAKVNFPTTAAPAAARPRRAAAKKQQQPPAMAGMKRELSPPETAAVPFFAGSFVDLTTTAAVAPPPPASSFTDSFATSESGESLAKKMRTSDDSSEGSVGGGETMGFADDLEFDPFMLFQLPYSDGYESIDSLFAGGDAGSANNNDMSSVNLWSFDEFPIDGSAF >LPERR03G05410.1 pep chromosome:Lperr_V1.4:3:3858239:3859075:-1 gene:LPERR03G05410 transcript:LPERR03G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANIIPTTPAASAAARRVTVGNRRKVRDDDNDFDLEAAFQRFDRRRDDSHDEAKKKKKSARPASKYWGVRRRPWGKWAAEIRDPVEGVRVWLGTFATAESAALAYDAAARHLRGDNSKLNFPSSSSTTRRRKRRNTVCAIAGEDGHVATAQSPSSSVITSESSGISSLPDFSWQGISADQPFAAAVELAGGDEEVITASSIDDNNNNSNNHSGAGDLLMFDDPFLFGDMFDDGGALFGASLMDGGDAGNNVNVAGESMMGLWSFGDDGTYYN >LPERR03G05420.1 pep chromosome:Lperr_V1.4:3:3863381:3864340:-1 gene:LPERR03G05420 transcript:LPERR03G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPAARRVTAGHWAAEIRDPVKGVRVWLGTFPTAESAALAYDDAARAIRGSRAKLNFPSENTRKRGRAVTAVAIAAAPAATPVIDLDEHEDDDVTAMASIKYEPETSESSGSGSSALPDFSWQGISAASDEAAPVLDVDDLADGGDKKRARTESEEDSASGDDLFDALLFADPLNYFGGGGGYESLDSLFSADAVQGAGAGEMGLWSFDDGCLGSLSF >LPERR03G05430.1 pep chromosome:Lperr_V1.4:3:3876220:3876660:1 gene:LPERR03G05430 transcript:LPERR03G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLVVIRNEAVLLGGVRDDVQFIKEEMESMNSFLAHLARSAPHGGDHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKSRLRRHLWWVYWSLRKLVAQRRAAVQLRQLKDRARDVGERRLRYVAINLVVC >LPERR03G05440.1 pep chromosome:Lperr_V1.4:3:3885666:3889749:1 gene:LPERR03G05440 transcript:LPERR03G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGVEVPAKSAAGKSSTTKDVAPDAASGYTVGDDDDDGEGQLPVVFEPLTVDDYVYRKLSAWAEEITTNAIQTLSIAIVSPYADNKEVLALALEALVAPNIYYRLGIMVNVPAVHHGYLLLQPKDVLYYILRELKRAKAAGSQKQASDQGEWEEKDPDPWQDYSKKCRISRSKKRMIRKIKSNAAKMNIYKKLEKIKSDIKCGQQKNAEAAGASATKEGCGSDQAKNKAVDMYKLPEWNDNIIMKIALRFKKYMEADEKTKDLDEQIVLEEEATKQGGERSDEKEKDEGEKGDGEEEEKDEERNDKEKGGGDDRKEDEHEKQKLKNMKEKDSKEEHNAERNKREGRKEEQVGEKEDEEIERDDNGSGDDEPNKEIDDDEEEEEEEEEEEYISHLHEDQYAQIIREVFPNTANSKAQQQDKSAGKQAIKTTANALDEERIKQMINEAILRELLGGKPDKNQGTCELDVPPDKNQATSEHASILGQNLEAYFEEIEHKIEEIRLSLREQLKIKGIVDKIKHILQGQCPLIILKVDEMMEGSRWEEIRKALSLLECSADALIFTTESTEEAKGYCYPQREPIDCSLVGLYHYMVLELTRGKQNKDNYNPQIFRDILDECLGHEFSMKIFTHALYANPKRSNEELSKLHNALQNSVRSWDAIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKVRRSTLIGRWVTEGLTFKEDWTSSVRQANRCFDALIRRWLVCPADIGATGKVKSCVVDDIVHGFITTIARKQHIVETRLSHHLARHFSIFNDLCLRSSDRIDTFFQSLSQSSRVSMLRVLHLEGCHCFGGKNQRYLKDICIKMLLLKYLSLRGTDITQLPTEMNYLRELEVLDIRETKVPKNATINVLLLKLKRLLAGHMDPSPRDFGTSVWIPKRIDKMVNIEVLSNVKAQHRDDLEDIGKLWQLRKLGVVIDDKKSHLGNLLKAISDLHECLHSVSITIVATTTDSTHLSQGLPDDIASRLKHPPEILESLSINGAMHLFPLLTKGGNNKLSKVTLSSSPLNQDGLNILARLPNLQCVRLQHISCAESVLIFKEYDFECLRYLLIEGTNLTNITFEDGAACQLEKLVLSSTSIESISGVDVPPNFKELELNNNNCRNLLSSFHDAKQIIKLTFCGTFLKQDDLQTLAKKLHICCLELLENSFEISQNQITFEKEEFLWLNLLIVDCSTITKIDFTSGSAPWLQKIVWSSSTSLFGIENLPGLKELEYKGHPVQKEVKEAIEKSNKWINLKYTYNEP >LPERR03G05450.1 pep chromosome:Lperr_V1.4:3:3892511:3893116:-1 gene:LPERR03G05450 transcript:LPERR03G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHIEDGVAAAKPMPDGVSGELVGLRLIIQPSTPRQRPPMAVLRRSAVRPSPSPAMTSASGCLQLRDEGFMGLGFLKCCYCCHKKLDADMDVFVYKGEQAFCSAECRSQEMAREERREIEMLVRRRREAFHSRRAAPPPKIGGGSTDRQARLQIAAW >LPERR03G05460.1 pep chromosome:Lperr_V1.4:3:3895348:3901158:1 gene:LPERR03G05460 transcript:LPERR03G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVSVENLNPKVLRCEYAVRGEIVIHAQILYCNIGNPQSLGQKPITFFREVLSLCDHPNLLEREEIKSLFSTDAIIRAKKILALIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVPYYLDESSGWGLEISELKSQLEDARSKGIVVRALVVINPGNPTGQVLDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKIISFKKIARSMGYNGEDLPLVSFQSVSKGYYGECGRRGGYMEVAGFSTPVREQLYKIASVNLCSNITGQILAGLIMNPPKGYPMHTGQAGDGCYDLYKEEKDNILKSLCRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDATGIVVTPGSGFGQVEGTWHVRCTILPQEEKIPSMISRFRKFHEEFMAQYRD >LPERR03G05470.1 pep chromosome:Lperr_V1.4:3:3902377:3902592:-1 gene:LPERR03G05470 transcript:LPERR03G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTTIAIAVGKVVEKLRDHTSKMADGHKQELKDSAMVCVSFALAYVHCRYPEIDWEAALKASLPDEEDR >LPERR03G05480.1 pep chromosome:Lperr_V1.4:3:3902653:3904631:-1 gene:LPERR03G05480 transcript:LPERR03G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCIRLV >LPERR03G05480.2 pep chromosome:Lperr_V1.4:3:3903930:3904631:-1 gene:LPERR03G05480 transcript:LPERR03G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPLQLFSRSLSPIPTRLPSPTLPRFNGASARWVAPSPAGGGKGSSEAASARHGRGRRDRGTVRRRRGQGAPPRRGADLAAWRPAAPRHCGSRQVTRGLITSLFAKV >LPERR03G05490.1 pep chromosome:Lperr_V1.4:3:3905458:3905679:-1 gene:LPERR03G05490 transcript:LPERR03G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKPPAACWSSTAAEARGCGKKQQSSLTLLLLDPSLSEGLLLPPLLEPSMQQPTSSTTFKRACMAAPASICD >LPERR03G05500.1 pep chromosome:Lperr_V1.4:3:3905731:3906891:1 gene:LPERR03G05500 transcript:LPERR03G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPAFESPPAAPRAEGLRRWLSGFDVGWVLEIDTADSSSSSFRSLRRREVGTRVRIWAQALSTMDAVFRLRQRNDAAPALGELASETAGAMLRLAPAVAALESSPSALLAALDVYVPVSEMYPGLAWIFSWCASHPVSVAADAALAALVDAARRCVRGLPASIRTRHYYPWRMPQGGEVHPCVGFWMGYFRCMLRNRVSLYLLADEPTTTTPGGILAELISCLEEVLEEKSSALAFPGLRQVFMLNNTHAIVRRAVRSDLTLVLPPRWRREREDRMEGYVNGYLDASWAPVVSRLDLQSSVKLNSVLGRRRDPLGAFYSAMENACSVQRCWKVPSPVLRRVLRRTVWEHVVPVYGRYLDEAGQPAAARTVEEVERQLSELFEG >LPERR03G05510.1 pep chromosome:Lperr_V1.4:3:3914875:3916393:1 gene:LPERR03G05510 transcript:LPERR03G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIPTTTTAILLTLNARRSEFTETSGTQEGCTLRTQVANERTSRKLENLLASQVLLDNFTDEETKYQYVCNSGLQTAHGKSKKKSFMFLTKLQNRITSAWQSEASLFRNPFNNSPLSRDLVVRENAKLVSIIRRTAAICFSPSSVADEDYDYLPHMQLDKMTHVISREAFGPLYLVT >LPERR03G05520.1 pep chromosome:Lperr_V1.4:3:3919104:3926642:1 gene:LPERR03G05520 transcript:LPERR03G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRGGGMADSPWLLLLLLGCCCCSSIWPQKQILVAADTDANDVTVLNTLFTSLNSPGQLRGWQASGGDPCGQSWQGITCSGSSVTAIKLSSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTAKLERLNLAGNQFTGNLPYSIFSMSNLKDLSFNSLTGDLPQGMTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNRFTGWIPSQLKKINSLQTDGNSWSNGPAPPPPPYSAPPPPNRPNPGQSNGGSSSSGGSSGIGGGGIAGIIISLLVVGAVAAFFVIRRRKRKTAMEEHFEQHQPFTSFPSNEVKDVKPIEESTTIDVESLPSPASISLKPPPKIERHKSFDDDDLSNKPVLKKSNMAPIKAIVYSVADLQMATDSFSMDNLIGEGTFGRVYRAQFTDGKVLAVKKLDSTVMPFHSSEDFVELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLATFISDSEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDSSRRADDQDDFI >LPERR03G05520.2 pep chromosome:Lperr_V1.4:3:3919104:3925962:1 gene:LPERR03G05520 transcript:LPERR03G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRGGGMADSPWLLLLLLGCCCCSSIWPQKQILVAADTDANDVTVLNTLFTSLNSPGQLRGWQASGGDPCGQSWQGITCSGSSVTAIKLSSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTAKLERLNLAGNQFTGNLPYSIFSMSNLKDLSFNSLTGDLPQGMTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNRFTGWIPSQLKKINSLQTDGNSWSNGPAPPPPPYSAPPPPNRPNPGQSNGGSSSSGGSSGIGGGGIAGIIISLLVVGAVAAFFVIRRRKRKTAMEEHFEQHQPFTSFPSNEVKDVKPIEESTTIDVESLPSPASISLKPPPKIERHKSFDDDDLSNKPVLKKSNMAPIKAIVYSVADLQMATDSFSMDNLIGEGTFGRVYRAQFTDGKVLAVKKLDSTVMPFHSSEDFVELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLATFISDSEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDSSRRADDQDDFI >LPERR03G05530.1 pep chromosome:Lperr_V1.4:3:3925834:3930635:-1 gene:LPERR03G05530 transcript:LPERR03G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDEPLLGLENFFTEDVDLENLPLEDVLQQLNTSHRGLSSEDAAERLQLFGANRLEEKRENKVIKFLSFMWNPLSWVMEAAAVMALVLANGGRQGTDWEDFLGIVCLLIINSTISFIEENNASNAAAALMARLALKTKVLRDGKWQELDAFTLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGMNSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKSLIEIFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYIDSDNNWFRVSKGAPEQILSLCYNKDDIAEKVQLVIDRFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGVLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGAGDGETAVPVDELVEKADGFAGVFPEHKYEIVRILQGEGGHLCGMTGDGVNDAPALKKADVGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFNVRSLKQNADEISSAMYLQVSIISQALIFVTRSHGIAFLDRPGSLLICAFLLAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYIPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYRGGGKDHRPRETRWRRSHDHQRRAISDHLLSSGWRPSRIAERAKRRAEISRLGEAHVLRAHVQSVMRLKRVDSGVIRSAQTV >LPERR03G05530.2 pep chromosome:Lperr_V1.4:3:3925834:3930635:-1 gene:LPERR03G05530 transcript:LPERR03G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDEPLLGLENFFTEDVDLENLPLEDVLQQLNTSHRGLSSEDAAERLQLFGANRLEEKRENKVIKFLSFMWNPLSWVMEAAAVMALVLANGGRQGTDWEDFLGIVCLLIINSTISFIEENNASNAAAALMARLALKTKVLRDGKWQELDAFTLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGMNSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKSLIEIFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYIDSDNNWFRVSKGAPEQIVRKSGVYHVQILSLCYNKDDIAEKVQLVIDRFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGVLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGAGDGETAVPVDELVEKADGFAGVFPEHKYEIVRILQGEGGHLCGMTGDGVNDAPALKKADVGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFNVRSLKQNADEISSAMYLQVSIISQALIFVTRSHGIAFLDRPGSLLICAFLLAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYIPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYRGGGKDHRPRETRWRRSHDHQRRAISDHLLSSGWRPSRIAERAKRRAEISRLGEAHVLRAHVQSVMRLKRVDSGVIRSAQTV >LPERR03G05530.3 pep chromosome:Lperr_V1.4:3:3925834:3930635:-1 gene:LPERR03G05530 transcript:LPERR03G05530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDEPLLGLENFFTEDVDLENLPLEDVLQQLNTSHRGLSSEDAAERLQLFGANRLEEKRENKVIKFLSFMWNPLSWVMEAAAVMALVLANGGRQGTDWEDFLGIVCLLIINSTISFIEENNASNAAAALMARLALKTKVLRDGKWQELDAFTLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGMNSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKSLIEIFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYIDSDNNWFRVSKGAPEQIVRKSGVYHVQILSLCYNKDDIAEKVQLVIDRFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGVLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGAGDGETAVPVDELVEKADGFAGVFPEHKYEIVRILQGEGGHLCGMTGDGVNDAPALKKADVGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFNVRSLKQNADEISSAMYLQVSIISQALIFVTRSHGIAFLDRPGSLLICAFLLAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYIPLDLIKIAVRYTLSGEAWNLLFDRKVGNKSAPVLRFDSFFIKSSSMDSDLSLLILQAAFASRRDYRGGGKDHRPRETRWRRSHDHQRRAISDHLLSSGWRPSRIAERAKRRAEISRLGEAHVLRAHVQSVMRLKRVDSGVIRSAQTV >LPERR03G05530.4 pep chromosome:Lperr_V1.4:3:3925834:3930635:-1 gene:LPERR03G05530 transcript:LPERR03G05530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDEPLLGLENFFTEDVDLENLPLEDVLQQLNTSHRGLSSEDAAERLQLFGANRLEEKRENKVIKFLSFMWNPLSWVMEAAAVMALVLANGGRQGTDWEDFLGIVCLLIINSTISFIEENNASNAAAALMARLALKTKVLRDGKWQELDAFTLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGMNSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKSLIEIFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYIDSDNNWFRVSKGAPEQILSLCYNKDDIAEKVQLVIDRFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGVLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGAGDGETAVPVDELVEKADGFAGVFPEHKYEIVRILQGEGGHLCGMTGDGVNDAPALKKADVGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFNVRSLKQNADEISSAMYLQVSIISQALIFVTRSHGIAFLDRPGSLLICAFLLAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYIPLDLIKIAVRYTLSGEAWNLLFDRKVGNKSAPVLRFDSFFIKSSSMDSDLSLLILQAAFASRRDYRGGGKDHRPRETRWRRSHDHQRRAISDHLLSSGWRPSRIAERAKRRAEISRLGEAHVLRAHVQSVMRLKRVDSGVIRSAQTV >LPERR03G05540.1 pep chromosome:Lperr_V1.4:3:3932870:3938653:1 gene:LPERR03G05540 transcript:LPERR03G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGTSQARSFVGQLPTHRCFTSSCIQALKSSQHVSCGVKSLVLRNKGKRFRRGLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSKQPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMAGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSLGGDVRLNARIQKIELNPDGTVKHFALSDGTQITGDAYVFAAPVDIFKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTDIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKMLSLRSQKSLQSEVPVAP >LPERR03G05540.2 pep chromosome:Lperr_V1.4:3:3932373:3938653:1 gene:LPERR03G05540 transcript:LPERR03G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGTSQARSFVGQLPTHRCFTSSCIQALKSSQHVSCGVKSLVLRNKGKRFRRGLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSKQPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMAGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSLGGDVRLNARIQKIELNPDGTVKHFALSDGTQITGDAYVFAAPVDIFKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTDIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKMLSLRSQKSLQSEVPVAP >LPERR03G05540.3 pep chromosome:Lperr_V1.4:3:3933426:3938653:1 gene:LPERR03G05540 transcript:LPERR03G05540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGTSQARSFVGQLPTHRCFTSSCIQALKSSQHVSCGVKSLVLRNKGKRFRRGLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSKQPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMAGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSLGGDVRLNARIQKIELNPDGTVKHFALSDGTQITGDAYVFAAPVDIFKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTDIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKMLSLRSQKSLQSEVPVAP >LPERR03G05550.1 pep chromosome:Lperr_V1.4:3:3939003:3944620:1 gene:LPERR03G05550 transcript:LPERR03G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTLNRPIKAEAAASGIGQGNRILDMMSSGWTDERHLNYISSMEASFVDQLYNHEQDGNQNDYGNGFKVLRGGVWEKLKFDRTNACSRIGRKYCLPASPWIKHFRPRDCRGNARDDAAEALVGDHESGIQSIQGRTPLSHGREWEACKEEKPVGESTEVSDQNFADDEADVDAELSKACKRRKLRSALTRNHQVVLSDKLFAATKAVGMKDDPE >LPERR03G05560.1 pep chromosome:Lperr_V1.4:3:3947171:3952767:1 gene:LPERR03G05560 transcript:LPERR03G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGGGGGGETAAAAAAAAALKSSPVAVAAGLRTASVAKLNAAFLAFFFLAYMALLLHPNFSSSSTTTTAQLTRKLGGAAAANKAVATTTASNTGRAPAMFDELRGRLRMALVNINHDEVIPLGVEGDAVAVEFDRVSAAFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSNSGEVFDVVVASLPCNATDAGWNRDVFRLQVHLVAAQVAARRGRRVAVAGGDGERVRMVVRSECEPMMDLLRCEEEVGRDGEWRMYMVDVERLEEKLRLPVGSCNLALPLWGPGGIQEVFNVSALTSPSSSTSPAANGGRRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLLLLHDHTVSRPALRALAAAGWTPRKIRRIRNPRAEKGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRDLDPLFSFPQLTAVGNDGSLFNSGIMVIEPSQCTFQSLIRQRRTIRSYNGGDQVFVWWHRLPRRVNYLKNFWANTTGERSLKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEIMRSPCRLSERRKIELAWDRHVAEKIGYSDQHWKINITDPRKWE >LPERR03G05570.1 pep chromosome:Lperr_V1.4:3:3953210:3956284:1 gene:LPERR03G05570 transcript:LPERR03G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIPFLFLLLTAAAIIIPSSHAAAAVPQPRGFYINCGSDKEEQIGSIKWIQDEGFIAVGNVSAVAKPNILPLLATVRYFPDATARKYCYQLPAVKGSRYLVRTTYFYGGFDGGDEPPVFDQIVGGTRWSAVNTTENFRRGMSTYFEMVAQARGKTMSVCLARSNDTAPSRSPFISALELVSLDDSMYNSTDFGRFVMSSVARSRFGAKGEIVSYPDDVYNRYWAPYMDENPTVESHSPITPEAFWNVPPARALMAGITTSRGKKLAVQWPPVDLPPASYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRDLNASAAGVMVYSTMMPLSGKTEIALTPSETSPVGPLINAGEIYQIVPLGDRTATRDVVAMEDLARSFKNPPPDWVGDPCLPRQNSWTGVICSEGSPVRVTSLDLKNHGLSGSLPDSIGNLTGMKTIYLSGNKLTGSIPDLSGMRILTALHLDGNQLSGAINPSLGKLANLKELYLNNNNLTGPIPESLKNKPGLDMRRYSLR >LPERR03G05580.1 pep chromosome:Lperr_V1.4:3:3956810:3958513:-1 gene:LPERR03G05580 transcript:LPERR03G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEKSGGMSAYEAARERTVEENKRKMEALNLRHLSAAVAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGAERMKRSPRKPTDSIYLATHGSISMEARLEAARKAEELEAQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCDTYLPKRDAIVTLVDEKDEEFSTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLIKPTTFKVHIIRATVGDRNEVAK >LPERR03G05590.1 pep chromosome:Lperr_V1.4:3:3959688:3966442:1 gene:LPERR03G05590 transcript:LPERR03G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVTGATGYLGSRLCCALADAGHAVRAFSLRAAGGGDRDDGVLPASVEMAYGDVADAESLAVALDGCDAVFHVAAAVQAWLPDPSVFITINVGGLENVLTVARRTPTIKKIIYTSSYFAIGPTDGYVADERQENQRKTFCTEYEKSKFLADQIALQAAAEGMPITIVYPGFMYGPGRLTGGNLVSRILIERFNGRLPGYIGHGHDRESFCHVDGVVTGHVAAMEKGRTGERYLLTGENKSLVQIFDMAARITKTKAPKFHVPLWLLEIYGWISVLVSRITGKLPFISYPGVRVLKHQWAYSCEKAKRELGYNPRNLTEGLSETLLNTAQSGSRSPEPWCFVVKSRVRTHEDNSIAWFIEWLLSGGGGGMRVVVTGATGYLGGRLCAALAGAGHAVRAFARRSSDASGLPSSVELAYGDVTDEASLAAAFDGCDAVFHVAAAVEPWLPDPSVFTTVNVGGLKNVLEAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQKHQEKTFCTEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNLVSRIVREFLTHGYLIERFNGRLPGYIGDGYDRESFCHVNDVVNGHIAALEKGRVGERYLLTGENLSFKHIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSHITGKLPFISYPTVHVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >LPERR03G05590.2 pep chromosome:Lperr_V1.4:3:3959688:3966442:1 gene:LPERR03G05590 transcript:LPERR03G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVTGATGYLGSRLCCALADAGHAVRAFSLRAAGGGDRDDGVLPASVEMAYGDVADAESLAVALDGCDAVFHVAAAVQAWLPDPSVFITINVGGLENVLTVARRTPTIKKIIYTSSYFAIGPTDGYVADERQENQRKTFCTEYEKSKFLADQIALQAAAEGMPITIVYPGFMYGPGRLTGGNLVSRILIERFNGRLPGYIGHGHDRESFCHVDGVVTGHVAAMEKGRTGERYLLTGENKSLVQIFDMAARITKTKAPKFHVPLWLLEIYGWISVLVSRITGKLPFISYPGVRVLKHQWAYSCEKAKRELGYNPRNLTEGLSETLLNTAQSGSRSPEPWCFVVKSRVRTHEDNSIAWFIEWLLSGGGGGMRVVVTGATGYLGGRLCAALAGAGHAVRAFARRSSDASGLPSSVELAYGDVTDEASLAAAFDGCDAVFHVAAAVEPWLPDPSVFTTVNVGGLKNVLEAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQKHQEKTFCTEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVNDVVNGHIAALEKGRVGERYLLTGENLSFKHIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSHITGKLPFISYPTVHVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >LPERR03G05600.1 pep chromosome:Lperr_V1.4:3:3965566:3970152:-1 gene:LPERR03G05600 transcript:LPERR03G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHARCSTSAAAAGDRRALPFDPHSLPRLLISAAAATSSSAVSSLHAAALKLGILPSSSSLPASNALVSAYSLSGHLPAALRAFSEIPSPSTGSYTTILSALSRHGRPHDALSLFSSAAAAAAAAETPDAELLSCVVSCCRRASALLPARAAHAYGVRALPALAFYASAGPALVALYVACGKVDAARRVFDRMDGEDVVSWNAMIGGFSGAGMDGEAWDCFREMRARGVRGNARTAVAVLGACDLGSGRQVHGYMVRSHGGGSDSANTILWNALMNMYSCVGYVGDAERVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTVFTACCHCGLVDEGLVLFKRFVENSALVPTMEQCACIVDLLARAGRFREAVEFIGQIPIRPNAIVWGALLSACRMHHDVEYAQIAFDQLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAMLAGLYTISFGSRLRLLPLKIANTLEVVELELELYQIGVTLNSHLTEFEDHDLVKIRKHSDEQDCLHIPLASDALEKLLMNWPDQLDMDNINNSNLQLYMDYSPFRFLE >LPERR03G05600.2 pep chromosome:Lperr_V1.4:3:3966184:3970152:-1 gene:LPERR03G05600 transcript:LPERR03G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHARCSTSAAAAGDRRALPFDPHSLPRLLISAAAATSSSAVSSLHAAALKLGILPSSSSLPASNALVSAYSLSGHLPAALRAFSEIPSPSTGSYTTILSALSRHGRPHDALSLFSSAAAAAAAAETPDAELLSCVVSCCRRASALLPARAAHAYGVRALPALAFYASAGPALVALYVACGKVDAARRVFDRMDGEDVVSWNAMIGGFSGAGMDGEAWDCFREMRARGVRGNARTAVAVLGACDLGSGRQVHGYMVRSHGGGSDSANTILWNALMNMYSCVGYVGDAERVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTVFTACCHCGLVDEGLVLFKRFVENSALVPTMEQCACIVDLLARAGRFREAVEFIGQIPIRPNAIVWGALLSACRMHHDVEYAQIAFDQLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAMLAGLYTISFGSRLRLLPLKIANSQ >LPERR03G05610.1 pep chromosome:Lperr_V1.4:3:3971931:3980556:1 gene:LPERR03G05610 transcript:LPERR03G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGLLVVNRLRNVHLHRLLRRRPLCSSGSTPSASPASSSASSTSSSASSLPVAAPPPPSHLAPHRRGGHRLTPLIALSTLSLVTAAGTIYVTAAEGDGIEAALERSRASAARVVERMQHTYSAGRVLCRSLMSVLSSANHEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSIVRRSTQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPRRGKKNSGYSSFNVSDHSKGKSMLVAALMDIITSNCDNADYSILMQETATDSPLAERLSPSAVPGLWDDVQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHAVTTALKAPERTVKWHGAMVARALLEDQNLTLAPSVPEWCSSLLLTASQAAENGDMSLAQMSLSTFLLSMVRCNESKFVIRQKSLHLLRSIAKKIENENSQSRMKESLAVALSLLYADYGPASVPISQGWLALVLSEILGDNKTQNLKGTTHPQPERVKNQVDYHNASTATQILNQLATAVVKLATVQSGYDPASGDKVPLSDFLSLEPFVTALKNLNKKSPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGALSLSRRILLGDDYEKLAAIEAYDASRIREVQDKNVSTSNDSSNDATTDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKAIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSENPNTGGTPDEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISREKCKDDCYIEEDGDSETGSSVNAPDNASKCARPLIDVVFIHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARLLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTSGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHTKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELIVLPSTDHINSCKPVNKNDPSYTDTLAFLEKILKSRIKSEES >LPERR03G05610.2 pep chromosome:Lperr_V1.4:3:3971931:3980556:1 gene:LPERR03G05610 transcript:LPERR03G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGLLVVNRLRNVHLHRLLRRRPLCSSGSTPSASPASSSASSTSSSASSLPVAAPPPPSHLAPHRRGGHRLTPLIALSTLSLVTAAGTIYVTAAEGDGIEAALERSRASAARVVERMQHTYSAGRVLCRSLMSVLSSANHEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSIVRRSTQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPRRGKKNSGYSSFNVSDHSKGKSMLVAALMDIITSNCDNADYSILMQETATDSPLAERLSPSAVPGLWDDVQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHAVTTALKAPERTVKWHGAMVARALLEDQNLTLAPSVPEWCSSLLLTASQAAENGDMSLAQMSLSTFLLSMVRCNESKFVIRQKSLHLLRSIAKKIENENSQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSEKTNLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTHPQPERVKNQVDYHNASTATQILNQLATAVVKLATVQSGYDPASGDKVPLSDFLSLEPFVTALKNLNKKSPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGALSLSRRILLGDDYEKLAAIEAYDASRIREVQDKNVSTSNDSSNDATTDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKAIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSENPNTGGTPDEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISREKCKDDCYIEEDGDSETGSSVNAPDNASKCARPLIDVVFIHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARLLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTSGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHTKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELIVLPSTDHINSCKPVNKNDPSYTDTLAFLEKILKSRIKSEES >LPERR03G05610.3 pep chromosome:Lperr_V1.4:3:3972215:3980556:1 gene:LPERR03G05610 transcript:LPERR03G05610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFHCHSKKLKDDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTHPQPERVKNQVDYHNASTATQILNQLATAVVKLATVQSGYDPASGDKVPLSDFLSLEPFVTALKNLNKKSPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGALSLSRRILLGDDYEKLAAIEAYDASRIREVQDKNVSTSNDSSNDATTDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKAIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSENPNTGGTPDEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISREKCKDDCYIEEDGDSETGSSVNAPDNASKCARPLIDVVFIHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARLLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTSGLVCICLVILSNICET >LPERR03G05620.1 pep chromosome:Lperr_V1.4:3:3981958:3983525:-1 gene:LPERR03G05620 transcript:LPERR03G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAYGGGGATPHRLAGAEVELTVFDRAAFDMYVPSVVAYAAPAPSNEAIKRGLLRAVAAYPHLAGRLAVDDRGRRFLHLNDHGVLVIEAVVPAADLATDIIAATDLYPPLPEENVGAALLQVKLVRYRCGGVVVGSICHHHVADGHSMSAFFTAWARAVREGDGFVAPAPLLDRSATAVPRSPPAPAFDHRNIEFEGLLESGNGCGRSHAVVPMEKIKDVTLHFTKDFVADLKSRVAGVGGGGGRCSTFQCLLAHVWKKITAARDLPSEELTQVRIAVNCRGRADPPVAMDFFGNMVLWAFPRMQVRELLHASYGAVVGAIRDAVARVDAKYIQSFVDFGAIAAGDGGGELVATAAAAGAMLCPDLEVDSWLGFRFHDMDLGTGPPAAFLPPDLPVEGLMVFVPSRGGGVDLFMAVAEHHVEAFRKICYSLEEGHGHHVGPSHL >LPERR03G05630.1 pep chromosome:Lperr_V1.4:3:3984507:3988507:1 gene:LPERR03G05630 transcript:LPERR03G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLSLLPFSPPSATFPRACPAGGGGRGRSAAAGGRFVACCSSPPPPDVVVTRERGKNAKLIAALEKHNIKTLELPLIKHVEGPDTDRLSAVLHDEKFDWILITSPEAAAVFLEGWKAAGSPKVRIAVVGGGTARVFDEVMQSGDGALEVAFSPSKAMGKVLASELPRTTETTCKVLYPASAKAGHEIQNGLSDRGFEVTRLNTYTTVPVQDVDLLVLKPALSAPVVAVASPSALRAWLNLVSKVDNWGNAVACIGETTASAAKKFGLKSIYYPTTPGIDGPQGVEVEDGYVLLPDAGGW >LPERR03G05640.1 pep chromosome:Lperr_V1.4:3:3992144:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVWMSSTNVLHRFSSAGAHAEQRKTTKSVFGNLREEQRKMTKSVSEEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLSLSGLTSPVDSVSFDSSEVMIGAGASSGTIKIWDVEEAKVIRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFKMHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.2 pep chromosome:Lperr_V1.4:3:3993191:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLSLSGLTSPVDSVSFDSSEVMIGAGASSGTIKIWDVEEAKVIRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFKMHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVIKSMAIYRICYFEMHRVTVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.3 pep chromosome:Lperr_V1.4:3:3993191:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLSLSGLTSPVDSVSFDSSEVMIGAGASSGTIKIWDVEEAKVIRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFKMHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.4 pep chromosome:Lperr_V1.4:3:3993191:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLSLSGLTSPVDSVSFDSSEVMIGAGASSGTIKIWDVEEAKVIRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFKMHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVIKSMAIYRICYFEMHRVTVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.5 pep chromosome:Lperr_V1.4:3:3992144:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVWMSSTNVLHRFSSAGAHAEQRKTTKSVFGNLREEQRKMTKSVSEEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLSLSGLTSPVDSVSFDSSEVMIGAGASSGTIKIWDVEEAKVIRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFKMHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVIKSMAIYRICYFEMHRVTVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.6 pep chromosome:Lperr_V1.4:3:3992144:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVWMSSTNVLHRFSSAGAHAEQRKTTKSVFGNLREEQRKMTKSVSEEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLNSREYFEPVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.7 pep chromosome:Lperr_V1.4:3:3993191:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLNSREYFEPVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.8 pep chromosome:Lperr_V1.4:3:3992144:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVWMSSTNVLHRFSSAGAHAEQRKTTKSVFGNLREEQRKMTKSVSEEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05640.9 pep chromosome:Lperr_V1.4:3:3993191:3999861:1 gene:LPERR03G05640 transcript:LPERR03G05640.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDKKVNVWAIGKPSAVLVLSWEPIICHDMVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVKRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSKMSASKEVPVPASSAMTQKLPKAPVTSNHQLTRSDSVPVLSPRVRLNPKFFDDQKRQIDYVVPVTAPRAHSKVDPRRSSLPSVAPTNRPRSKTSAFSSEGSSFIPVAATRHSPKRLRSSVAGEQRASAGDEDNIADLMENHQEFIHAVKSRLTKLEVSADIINVLMENTNSITLDICTSVLRLASSVLESSYDSHLKVALEMIIKLVKSFGAIISSTLSFTPVGVDIEAEQRFQRCNLCFQELVKVHSVLFTLTRRQGEVGRSAQELSLFLQDIFQQSSR >LPERR03G05650.1 pep chromosome:Lperr_V1.4:3:4012701:4018309:1 gene:LPERR03G05650 transcript:LPERR03G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRRRSSSPAATSVFFRRLGFGLSAPRDLLLVLCGLLVVALSVSSSSSAAGVIDDDFRCLGFRDACADRSALCFSSSAVAVESLLESDYGIKEPDLVVSRDWGPPSSQPLGFRMPGRGGGGGVVTCSSAADALITSSPNGVGSRESKDGVGERYNNVASCQAPLVPDNWMRTMSGAPPELDVAAAATAVDANPNGIYGASSMDVEISPPVLDWGKSSLYAASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFVFLPKMLGSSSAHLVLQTNFGGFIIQAKGMAVGSPYQLQPLTGMDVIIGGRLERNLSIFNPFDDSLYVEEVAIWMSSFQGTKQSSHVVCQLGPFDESLEFTSSSSNWYTASGAEFGLPIHIRPSEQWEVLPTKSSTVVELKLQPLSEGMLFGAVCMKLRNCTSDRFDTVVIPIELEVRSRTYYEPTNLVAVTFDHISSCAGIGSIFSLSSRNEGTELLRIVRVTEDNRDGSMFQVKYLNGLILFPDTVTDIALIRYSASVSTDSSFDNCNIVVETNSSVGSSILIPCQDVISSALSYTASAVTESDGPFSEDELSANSRTGSLDSIVEVEGLHYMKPTISRAYKADDTVLKRWRSHGTRTGVSVLTDQELLFPIVQVGSQFSKWITVHNPSLEHASMQLVLNSEEIIGQCKTVNDACELTFSSRSPEVDSTETRFGFSLSDAAVTEANVGPLESALLGPIVFRPSNRCMWSSMVLIRSDISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLVSNVQNKSTVSKPVITSPLCSQHLSKEIHVKNIGDLPLQVKKVKISGADCGVDGFMVDNCKGFSLAPSESKRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDHCRKSYLRFIHWKTLILLFGTVSVFVLVFVRSVPSFLPGSSQDCYIKIHDGKSTVDEPIKPSFLQRSSKTSRSAREHKRDKETQKYPVDIHDSPKRTENENNADEQLNTTSNISLPTSNTVEDKVSVEAPENSGKLTIRVARDKGKRRKRKVGSAGLASKFEVSSSQSGNSTPSSPLSPSSTPKQGWSFSGTPSDLKHSSKHESGSDIEARPPSTKNNNREKTSWLQTAKGQPSAPAPPSVTSRNPSTSQSPSPSPSAAALTNAWRSPLLSSPSHIAPHCRAPGSNLMKDKAVNVKRSEGAATKKDFTYDIWGDHFSGHLLGKPREVAPCSYKMFAASEGASNSFFAREPQALVTKPSSSSSSPPASRGRGSLPSDVASGYGIN >LPERR03G05660.1 pep chromosome:Lperr_V1.4:3:4018965:4021550:-1 gene:LPERR03G05660 transcript:LPERR03G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAPASSGGPSPYLLDLVMVGRQMRGEELHRLNVEQLQELEKSLESGLGSVLKIKSKKILDEIDGLERKVSRMTRTEEMQPRPDSEIVYEEGGQSSESVTNASYPRPPLDNDDSSDTSLRLG >LPERR03G05670.1 pep chromosome:Lperr_V1.4:3:4028327:4028602:-1 gene:LPERR03G05670 transcript:LPERR03G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGDGGGQPPQEGAAPAAAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDADVGLVVFSATGKLFNFANTRYTTTTCYS >LPERR03G05680.1 pep chromosome:Lperr_V1.4:3:4035356:4039344:1 gene:LPERR03G05680 transcript:LPERR03G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSSSRQQQPDPNFQDNPTQPWYPPSVVGSSSHPSTPSSSSVSPLQRASDNPQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETMQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDDIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGKTSLR >LPERR03G05690.1 pep chromosome:Lperr_V1.4:3:4042691:4044004:1 gene:LPERR03G05690 transcript:LPERR03G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTTILLLLAAVATLAAGADISVYHNIHPPSPSPLESIISLARADDARLQFLSSKAATTGVSSAPVASGQTPPSYVVRAGLGSPSQPLLLALDTSADATWAHCSPCDTCPAASTLFVPSTSTSFASLPCSSSWCPLFQGQSCPSPDTDPSAAAAPLPTCAFSKPFADASFQAALSSDTLRLGKDSIPNYTFGCVNTVTGPTTNVPKQGLLGMGRGPMALMAQSGGVYGGVFSYCLPSYKSYYFSGSLRLGPTGQPRGARYTPMLRNPHRPSLYYVNVTGVSVGRTWVRVPTGSFGFDPVTGAGTVVDSGTVITRWTAAVYAAVREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGVAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVLANLQQQNIRVVFDVANSRVGFARESCN >LPERR03G05700.1 pep chromosome:Lperr_V1.4:3:4044727:4047582:-1 gene:LPERR03G05700 transcript:LPERR03G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPLEGEGPAQEETTCWGRIGFARGGGGRKEAKLTRSSSLTGAILFLSIGAVSAAVACRTGCAFSHRRFPFLGVRGVSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQSDSEELLIIKTRESLLNALTEHVKANHEYDVPEVIALPITGGSTKYLEWIKNTTKEN >LPERR03G05700.2 pep chromosome:Lperr_V1.4:3:4044727:4047452:-1 gene:LPERR03G05700 transcript:LPERR03G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVPAPLRALAPATAAGEREGQALLRGRRRSSSLTGAILFLSIGAVSAAVACRTGCAFSHRRFPFLGVRGVSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQSDSEELLIIKTRESLLNALTEHVKANHEYDVPEVIALPITGGSTKYLEWIKNTTKEN >LPERR03G05710.1 pep chromosome:Lperr_V1.4:3:4047709:4050351:1 gene:LPERR03G05710 transcript:LPERR03G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEAAAAGAVAMEAEAPEVAAENPSLKREREEEGDGSAPAADAAGEAAEGAAAKKQKVEEAGDGEEKGEGDEAQQGEGEGEEEGAKEEEGKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGPGIEAFEIRNHPVWQSRCFFVKRVDGTSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGFRGRGRRGN >LPERR03G05720.1 pep chromosome:Lperr_V1.4:3:4054619:4063399:1 gene:LPERR03G05720 transcript:LPERR03G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPGGRGGGRGGSGPSKRPPAPHGRGRGAGSSIGGGKSAPPRGRAAAAAAAAAAAQAAARDESFSLESHGPPAFAAIVRLTPDLIDEIRRAEESGSGARIKFNPNMINPAENVSIIDVGGKEFQFSWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERRSKSRKSIVLDPANPSVKSQAKSMTAAAVEGNMRRMHWKQKKEFFKKNAAAVIAPTKSVSKVKVSNSAPKGNFSTSPALSPQQPGPSIPSLPVGSDANNEVITPFDLNKDENSKVEKSTPNRTSQGINRRQSAVSASIDDNTNELRRLLISVLSENPKGMNLKGLEKAVADAFPNASKRIESIIKNIANFQAPGRYLLKPGLESESSKRHAPGSGISIDDNTEEAAPSLRMGDPDIFETIDIGGSPVSAAQDGKVNNDDDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAGSGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAGRKAADELKLSSSPRDLTAFDGDDELIDIGTNLDYKSASPHIDLNNFNNNNDDSAYTAVPTDSHLEKPPEIPGDKDMVNTSKDPSRTNNKYAANEMFYEDIFGDPLAPSSENLPKEEISQSTKHHGSRRKSVSKDGSNHGQDRIVEKGSKPKLKRCSGNENASTMPGSAKRAKADYAGTTSSLSEHRQSLPADKHVNERLSKETGNVSWDEHSDLHAHDSSPAMKGRPLASGVVQKINQSPNVLNQAMHSERTQDKVERSISKKKADKMQKPWNSLDGNLERISHGEDQFVNFDDSDDSVTRKRARYGGPQVEDKMLKRSKDAYNDVNSMISAKSAKGNIGYDGVMPFPDSNESNGQPSKLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRTKKQFERNSSSKSLDAKFANVDNSIPSMANRKGSLSSSQDQRKLSPRELGIGGNIYQEGIPKKTPGYDFENNRSQKRGNVQQNQQLPKADNPVSEIVSYLDQSGEKPGKREARKGDHAGPKKISTTPKLPQNGSTNAIGSRTRKSISPVENEERSRNNSLIENEAGRKRRDSSSDEDNLFFSKYDKDEPELKGPIKDFSQYKNYVQEYNEKYEVYSYLNNQIEKTRSEFLKIQEDLNAAKERDKDLYYNILERIRDMYHESGTRHKLMKKVFLLLHEELQGIC >LPERR03G05730.1 pep chromosome:Lperr_V1.4:3:4064641:4072893:1 gene:LPERR03G05730 transcript:LPERR03G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARWGFSDGSVTDLLEARGLHGATDINKRVQFHSSLVQRLALEKELEGHLGCVNAIAWNSNGSLLLSGSDDTRICIWSYGNGELLHDIETGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGRRPIELSMEPTAVYQCHSRRIKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRARSANQECRNVLLDLRWGAKKSLADIPKQPLALKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCVKMFCPIHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSLRYTADDVREQLCLPPFNKELAKLVPKQQKFHVDTFKKLMQVATKSLEMGTDLMHGVEACSTVLPAVDPYIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYIAEALLQLGRLKEASEYVDALNFLVPPYSGSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDILFRSDASGSSSQEGREDSDYDDEMELDFETSASAESTHNDDSAYQPEVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLVGDGAVVNCIQSHPYDCVVATSGIDNSIKLWTPDANATSMVAGPEIDVSSVIENNQRKLSHNREIMLRAGREVVARDENKAGWV >LPERR03G05730.2 pep chromosome:Lperr_V1.4:3:4064641:4072893:1 gene:LPERR03G05730 transcript:LPERR03G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARWGFSDGSVTDLLEARGLHGATDINKRVQFHSSLVQRLALEKELEGHLGCVNAIAWNSNGSLLLSGSDDTRICIWSYGNGELLHDIETGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGRRPIELSMEPTAVYQCHSRRIKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRARSANQECRNVLLDLRWGAKKSLADIPKQPLALKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCVKMFCPIHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSLRYTADDVREQLCLPPFNKELAKLVPKQQKFHVDTFKKLMQVATKSLEMGTDLMHGVEACSTVLPAVDPYIDDNMKHDCLCTRAGLYLKYGSLNIDLQRRWKNDVYMAIRDCNSARCIDSRSFQAHLYIAEALLQLGRLKEASEYVDALNFLVPPYSGSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDILFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRCSLSLRFHRRDSQTDEHSGENGSAESTHNDDSAYQPEVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLVGDGAVVNCIQSHPYDCVVATSGIDNSIKLWTPDANATSMVAGPEIDVSSVIENNQRKLSHNREIMLRAGREVVARDENKAGWV >LPERR03G05730.3 pep chromosome:Lperr_V1.4:3:4064641:4072893:1 gene:LPERR03G05730 transcript:LPERR03G05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARWGFSDGSVTDLLEARGLHGATDINKRVQFHSSLVQRLALEKELEGHLGCVNAIAWNSNGSLLLSGSDDTRICIWSYGNGELLHDIETGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGRRPIELSMEPTAVYQCHSRRIKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRARSANQECRNVLLDLRWGAKKSLADIPKQPLALKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCVKMFCPIHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSLRYTADDVREQLCLPPFNKELAKLVPKQQKFHVDTFKKLMQVATKSLEMGTDLMHGVEACSTVLPAVDPYIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYIAEALLQLGRLKEASEYVDALNFLVPPYSGSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDILFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRCSLSLRFHRRDSQTDEHSGENGSAESTHNDDSAYQPEVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLVGDGAVVNCIQSHPYDCVVATSGIDNSIKLWTPDANATSMVAGPEIDVSSVIENNQRKLSHNREIMLRAGREVVARDENKAGWV >LPERR03G05740.1 pep chromosome:Lperr_V1.4:3:4076200:4079310:1 gene:LPERR03G05740 transcript:LPERR03G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKSSAGSAAGSSSQPPAAAATSAVCCMCGDRGLLPELFRCAACAVRSQHTYCTDRYPKAESYGTCNWCLRSDGSVTTTSSSARLSTVRSVAAAGGAADPARSAAAAAKVVAARGHFAADLSKPIKKPPPAPAPSPSPQQRRILLRRSASDLGGRVRGGEHDAPPPPSPGVARGRPRVRRYKLLEEVITTS >LPERR03G05750.1 pep chromosome:Lperr_V1.4:3:4090124:4090588:-1 gene:LPERR03G05750 transcript:LPERR03G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGFGAIVRNCSKLTRLSTSGSLTDRAFEYIGKYAKSLRTLSVAFAGHSDLALQHILQGCSKLEKLEIRDCPFGNAGLLSGLHHFYNMRFLWMSGCNLTLQGCKEVARRLPRMVVELINSQAENAKTDGVDILYMYRSLEGPREDVPPFVKIL >LPERR03G05760.1 pep chromosome:Lperr_V1.4:3:4100207:4103822:1 gene:LPERR03G05760 transcript:LPERR03G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDDQPPAAKRPRASPPPDQVLDNVLETVLQFLDSARDRCAASLVCRSWHRAESATRVSVSVRNLLAASPARVARRFPNARHILLKGRPRFADFNLLPPGWAGADFRPWAAAVASSAFPALASFSLKRITVTDHDLHLLSRSLPSSFRDLHLLLCDGFSSAGLASIASHCRGLRVLDVVDCEINDDDDDAVVDWVAAFPPGPTDLESLSFECYARPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMAHTPRLTHLGTGAFRLGDGPEDVGLDVEQMASAFASAARNSTLVSLSGFREFEPEYLPAIAAVSVNLTTLDFSYCPVTPDQFIPFVGQCHNLETLYVLDSVRDEGLQATARTCKKLQVLHVLPLNAHEDADELVTEVGLTAIAEGCRELRSTLFFCQSMTNAAVIAVSQNCIDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEYIGKYAKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGLHHFYNMRFLWMSGCNLTLQGCKEVALILPRLVVELINSHPENEKTDAVDILYMYRSLEGPREDVPPFVKIL >LPERR03G05770.1 pep chromosome:Lperr_V1.4:3:4105844:4108714:1 gene:LPERR03G05770 transcript:LPERR03G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPAASAGGGDHAAAPAPAPAPATVSAAAASDYAHYPRLSPEDVAPPPPPPYHAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLCGDTVITLIFTFLVTVKTGPSITDAAMGRISQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRV >LPERR03G05770.2 pep chromosome:Lperr_V1.4:3:4105844:4108714:1 gene:LPERR03G05770 transcript:LPERR03G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPAASAGGGDHAAAPAPAPAPATVSAAAASDYAHYPRLSPEDVAPPPPPPYHAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRISQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRV >LPERR03G05780.1 pep chromosome:Lperr_V1.4:3:4109296:4111825:1 gene:LPERR03G05780 transcript:LPERR03G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPGASSSSSEISLQIDPITSDLDDQIIGLRGQVRKLRGVAQEIQTEAKYQNDFISQLKMTLTKAQAGVKNNMRRINKKIIQNGSNHLVHVILFALACFFLVYLVSKFSRR >LPERR03G05790.1 pep chromosome:Lperr_V1.4:3:4113150:4114358:-1 gene:LPERR03G05790 transcript:LPERR03G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAITAASPRPAAPAAMQETNKATAPNDSPSSSADSSPAPAKSRPSFLVIFSACLVLLGAGGPLLLRVYFVHGGSRLWLSATLQISGWPLLLPPLCVSLYRSRRHGIRNLLLPRRLAGAAAVLGGLYAVSCFVYGLGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVVLLTIGPAVLGVGPNSGKLPGQSSKAYWTGFCEAIAAAALAGLVIPLVEVATAKYGRRTGPAARDPPPYTTVMQMQAVMGIAGTAVCVLGMAIKGDFQAVPNEAAAFGLGSTKYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAAKKKAEAQKMMMMREQEMALAQKTADVESAVAP >LPERR03G05800.1 pep chromosome:Lperr_V1.4:3:4122734:4130499:1 gene:LPERR03G05800 transcript:LPERR03G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGGDEEECREPLLNNGGAGASTKEEDWQVVSPTKKLLGRRVWEESKKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLLACALLLLPMYIYAEDVLLLTGQPPDLSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFANAAASGVALVVHVAVSWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWHGFSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSIWVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVSLHDKFALIFTSSAVVLDAVDNLSILLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKLSQVR >LPERR03G05800.2 pep chromosome:Lperr_V1.4:3:4122734:4123639:1 gene:LPERR03G05800 transcript:LPERR03G05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGGDEEECREPLLNNGGAGASTKEEDWQVVSPTKKLLGRRVWEESKKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMIALSSYYHARILLPASTELAFDYCTSCHGP >LPERR03G05810.1 pep chromosome:Lperr_V1.4:3:4132141:4135815:1 gene:LPERR03G05810 transcript:LPERR03G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVSFAASDCSICFRFERGMPIINRNSFKFRGRGESPWILILEHYKRQNFAAGSAFLASGVYGREAEAAGRNTKCRTMQLRHESGASAKIIDAPRQHDDIYAGMMPELPLDKLPEMTNTPMVPSKFLHLKFLEIYLQELVALLPSYDIFSLVSFLDASPLQTFNLHVEQQRERLDSILDGEHTELRRITHHGHTNLQSVTITGFNSAESMIELTTHILENAPSLKHFTLDTASFSDKNCLAMGECSPIVRGGILEARRAFEAARRHAAGKVPLGVEYKFLEPCRKCHFGY >LPERR03G05820.1 pep chromosome:Lperr_V1.4:3:4150786:4152866:1 gene:LPERR03G05820 transcript:LPERR03G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGKDYAAMPWCGGFGEFCGVDEWDVQVGSVDEWDVASKDHSDDSTEVKAPAPVVGGGGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYAQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNGTVAGDSSSSPFAGFFSFPQYSTSSRSGGCSSAGSSGNNASSVVMDDTAAGSVVDSGRPAAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTIDAMVLYSFSLKVEDDSKMGSVEDIATAVHEILGRIQQQEEEAVLAIS >LPERR03G05830.1 pep chromosome:Lperr_V1.4:3:4170556:4172602:1 gene:LPERR03G05830 transcript:LPERR03G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNFAGEKQVFAEENSLLAATMEQQDEAGVEVDDDGDDEDEDMARPSSLCGLGEKKRRLAVEQVRALERSFETDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFASLRARHDALRSDCDALRRDKDALAAEIRELREKLLAKPPSAAVSVKAEAGSDANVAVGDSGATTVCKDGGSSDDSDTSVVVNDEQQQEEPGFVGFGASSFLVDPKWHGAYSYESYKVGGYGFTEEWLAASGEIGNDEVAASFFSDEHASSNLINFGWCASGNEGWDLGVRL >LPERR03G05840.1 pep chromosome:Lperr_V1.4:3:4178215:4178748:1 gene:LPERR03G05840 transcript:LPERR03G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRCKRHPEHRMSKGVCPYCLRDRLAHLSASSSATTTTRASSSATSSASYSSGCRSPPYLRDFSSVHVVSGGAGGESFVNVAAFSQPLMPTSVNRKPAAAGIRQEEEPGKGKQQEEVKRKKSGKKKKKIGRFLSRLVGADKRRQTGDGGDGGELFHSKTMKEKTSHKWVFF >LPERR03G05850.1 pep chromosome:Lperr_V1.4:3:4181253:4188379:-1 gene:LPERR03G05850 transcript:LPERR03G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSQPIRCKAAVCRAAGEPLVIEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQVGLSRPFSLCVPPSIDRSDGPSRPKWDFPAVFPRIFGHEAFGVVESVGEHVEDLATGDAVVPTFLGQCTECVDCGSDRSNVCSKYRFAVRAGLPRDVDGTRFHDRHGAPLSHFLGVSSFSEYTVVDATQVVRVDHAVPPASACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASTIIGYPCCETVLNVDYNSDHGGRDIQYNTVDTVGKKFGVTHFINPQELGEKSVSEAIIEITDGGADYCFECIGLASLMNDAFRSSREGWGKTIILGVEMHGAPLSIPSHEILHGKCVMGSLFGGVKPKQDIPILAQKYLNRELELDKFITHEVTLKDINTAFDLLLQGKSLRCTIWMDNLAHLRSQHRHGPRILAQIAEQHLKKCAIRTNKMQQSRQDAVDQQVAELRGELRKARQERDRANRGLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLGMQTKQLEMTKIELEEARIEIASLHDAVHRLEAIAAAAVPAAATATATTPRGGRYERDYQRVRGELRMALVAEEKNKKAMEELVLALKEVNGELRATRQQLARSQHEAETARLESDRLHVSLKRKDDKLRALSDEVARLRADAEDSFAAWRGKESGFTSCMRSTEAELADTRRENARLLESQRSGRDEIAKLRDILKQAVKDTKVVKEALEEARGENAMLRETLGDKDTAVKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAAAGMKLATSSSSPIAAGIKLEMEDSPSPNVSTELPGLMKSYSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKGDLRVFSTIPRSLPARRRLTMRKVGSLFRWKSFSIK >LPERR03G05850.2 pep chromosome:Lperr_V1.4:3:4181253:4188379:-1 gene:LPERR03G05850 transcript:LPERR03G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSQPIRCKAAVCRAAGEPLVIEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPAVFPRIFGHEAFGVVESVGEHVEDLATGDAVVPTFLGQCTECVDCGSDRSNVCSKYRFAVRAGLPRDVDGTRFHDRHGAPLSHFLGVSSFSEYTVVDATQVVRVDHAVPPASACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASTIIGYPCCETVLNVDYNSDHGGRDIQYNTVDTVGKKFGVTHFINPQELGEKSVSEAIIEITDGGADYCFECIGLASLMNDAFRSSREGWGKTIILGVEMHGAPLSIPSHEILHGKCVMGSLFGGVKPKQDIPILAQKYLNRELELDKFITHEVTLKDINTAFDLLLQGKSLRCTIWMDNLAHLRSQHRHGPRILAQIAEQHLKKCAIRTNKMQQSRQDAVDQQVAELRGELRKARQERDRANRGLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLGMQTKQLEMTKIELEEARIEIASLHDAVHRLEAIAAAAVPAAATATATTPRGGRYERDYQRVRGELRMALVAEEKNKKAMEELVLALKEVNGELRATRQQLARSQHEAETARLESDRLHVSLKRKDDKLRALSDEVARLRADAEDSFAAWRGKESGFTSCMRSTEAELADTRRENARLLESQRSGRDEIAKLRDILKQAVKDTKVVKEALEEARGENAMLRETLGDKDTAVKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAAAGMKLATSSSSPIAAGIKLEMEDSPSPNVSTELPGLMKSYSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKGDLRVFSTIPRSLPARRRLTMRKVGSLFRWKSFSIK >LPERR03G05850.3 pep chromosome:Lperr_V1.4:3:4181253:4188379:-1 gene:LPERR03G05850 transcript:LPERR03G05850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSQPIRCKAAVCRAAGEPLVIEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPAVFPRIFGHEAFGVVESVGEHVEDLATGDAVVPTFLGQCTECVDCGSDRSNVCSKYRFAVRAGLPRDVDGTRFHDRHGAPLSHFLGVSSFSEYTVVDATQVVRVDHAVPPASACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLALKGPGFAGRKKFGVTHFINPQELGEKSVSEAIIEITDGGADYCFECIGLASLMNDAFRSSREGWGKTIILGVEMHGAPLSIPSHEILHGKCVMGSLFGGVKPKQDIPILAQKYLNRELELDKFITHEVTLKDINTAFDLLLQGKSLRCTIWMDNLAHLRSQHRHGPRILAQIAEQHLKKCAIRTNKMQQSRQDAVDQQVAELRGELRKARQERDRANRGLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLGMQTKQLEMTKIELEEARIEIASLHDAVHRLEAIAAAAVPAAATATATTPRGGRYERDYQRVRGELRMALVAEEKNKKAMEELVLALKEVNGELRATRQQLARSQHEAETARLESDRLHVSLKRKDDKLRALSDEVARLRADAEDSFAAWRGKESGFTSCMRSTEAELADTRRENARLLESQRSGRDEIAKLRDILKQAVKDTKVVKEALEEARGENAMLRETLGDKDTAVKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAAAGMKLATSSSSPIAAGIKLEMEDSPSPNVSTELPGLMKSYSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKGDLRVFSTIPRSLPARRRLTMRKVGSLFRWKSFSIK >LPERR03G05850.4 pep chromosome:Lperr_V1.4:3:4181253:4188379:-1 gene:LPERR03G05850 transcript:LPERR03G05850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSQPIRCKAAVCRAAGEPLVIEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQVGLSRPFSLCVPPSIDRSDGPSRPKWDFPAVFPRIFGHEAFGVVESVGEHVEDLATGDAVVPTFLGQCTECVDCGSDRSNVCSKYRFAVRAGLPRDVDGTRFHDRHGAPLSHFLGVSSFSEYTVVDATQVVRVDHAVPPASACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLALKGPGFAGRKKFGVTHFINPQELGEKSVSEAIIEITDGGADYCFECIGLASLMNDAFRSSREGWGKTIILGVEMHGAPLSIPSHEILHGKCVMGSLFGGVKPKQDIPILAQKYLNRELELDKFITHEVTLKDINTAFDLLLQGKSLRCTIWMDNLAHLRSQHRHGPRILAQIAEQHLKKCAIRTNKMQQSRQDAVDQQVAELRGELRKARQERDRANRGLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLGMQTKQLEMTKIELEEARIEIASLHDAVHRLEAIAAAAVPAAATATATTPRGGRYERDYQRVRGELRMALVAEEKNKKAMEELVLALKEVNGELRATRQQLARSQHEAETARLESDRLHVSLKRKDDKLRALSDEVARLRADAEDSFAAWRGKESGFTSCMRSTEAELADTRRENARLLESQRSGRDEIAKLRDILKQAVKDTKVVKEALEEARGENAMLRETLGDKDTAVKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAAAGMKLATSSSSPIAAGIKLEMEDSPSPNVSTELPGLMKSYSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKGDLRVFSTIPRSLPARRRLTMRKVGSLFRWKSFSIK >LPERR03G05860.1 pep chromosome:Lperr_V1.4:3:4188465:4196770:-1 gene:LPERR03G05860 transcript:LPERR03G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRTPGEPLTIEEIVVDPPKAYEIRIKIICTSLCHTDVTVWRAKDNPSLPRILGHEAYGVVESVGEKVEGYAAGDTVVPNFMGECDSCESCLSEGTNQCTSVPFTMGPGMRRDGTTRFRDSQGAPIHDLVAVSSFSQYTVVDVNQVVKVHPSLPPKLACLLGCCGGTGVGAAWRLAKVQPGSSVAVFGLGSVGLAVVQGAKMCGASKIIGKEFGVTDFVNPSQLGDRPVSEVINEMTGGGADYSFECIGVSSVMTEAVRSTKPGRGKTIILGLEMDGQPLCLPSFEFLFGKCVMGSLFGGMKPKTDLPILAEKCMNKELELEKLVTHEVDLEEINTSFDLLLQGKSLRCIIWMDKLHFR >LPERR03G05860.2 pep chromosome:Lperr_V1.4:3:4188465:4196770:-1 gene:LPERR03G05860 transcript:LPERR03G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRTPGEPLTIEEIVVDPPKAYEIRIKIICTSLCHTDVTVWRAKDNPSLPRILGHEAYGVVESVGEKVEGYAAGDTVVPNFMGECDSCESCLSEGTNQCTSVPFTMGPGMRRDGTTRFRDSQGAPIHDLVAVSSFSQYTVVDVNQVVKVHPSLPPKLACLLGCCGGTGVGAAWRLAKVQPGSSVAVFGLGSVGLAVVQGAKMCGASKIIGKEFGVTDFVNPSQLGDRPVSEVINEMTGGGADYSFECIGVSSVMTEAVRSTKPVDLTTRIHAISGRGKTIILGLEMDGQPLCLPSFEFLFGKCVMGSLFGGMKPKTDLPILAEKCMNKELELEKLVTHEVDLEEINTSFDLLLQGKSLRCIIWMDKLHFR >LPERR03G05860.3 pep chromosome:Lperr_V1.4:3:4188465:4196770:-1 gene:LPERR03G05860 transcript:LPERR03G05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRTPGEPLTIEEIVVDPPKAYEIRIKIICTSLCHTDVTVWRAKSVGEKVEGYAAGDTVVPNFMGECDSCESCLSEGTNQCTSVPFTMGPGMRRDGTTRFRDSQGAPIHDLVAVSSFSQYTVVDVNQVVKVHPSLPPKLACLLGCCGGTGVGAAWRLAKVQPGSSVAVFGLGSVGLAVVQGAKMCGASKIIGKEFGVTDFVNPSQLGDRPVSEVINEMTGGGADYSFECIGVSSVMTEAVRSTKPGRGKTIILGLEMDGQPLCLPSFEFLFGKCVMGSLFGGMKPKTDLPILAEKCMNKELELEKLVTHEVDLEEINTSFDLLLQGKSLRCIIWMDKLHFR >LPERR03G05860.4 pep chromosome:Lperr_V1.4:3:4188465:4196770:-1 gene:LPERR03G05860 transcript:LPERR03G05860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRTPGEPLTIEEIVVDPPKAYEIRIKIICTSLCHTDVTVWRAKSVGEKVEGYAAGDTVVPNFMGECDSCESCLSEGTNQCTSVPFTMGPGMRRDGTTRFRDSQGAPIHDLVAVSSFSQYTVVDVNQVVKVHPSLPPKLACLLGCCGGTGVGAAWRLAKVQPGSSVAVFGLGSVGLAVVQGAKMCGASKIIGKEFGVTDFVNPSQLGDRPVSEVINEMTGGGADYSFECIGVSSVMTEAVRSTKPVDLTTRIHAISGRGKTIILGLEMDGQPLCLPSFEFLFGKCVMGSLFGGMKPKTDLPILAEKCMNKELELEKLVTHEVDLEEINTSFDLLLQGKSLRCIIWMDKLHFR >LPERR03G05870.1 pep chromosome:Lperr_V1.4:3:4208930:4209502:1 gene:LPERR03G05870 transcript:LPERR03G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKSNLPPPPPPPTSALKSLNKSSYKISKQSSSSSLSATSMPPPRPSPPPPPPPATAATPSSTPAAVDHPPPQPPVYNIDKSDFRDVVQKPSAIPSRLHRIRPPPLAPPRPPPILPPAPPALSPLPPLPAVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVAMPATSPRVRDQ >LPERR03G05880.1 pep chromosome:Lperr_V1.4:3:4211834:4214458:-1 gene:LPERR03G05880 transcript:LPERR03G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGGIALAPLLVSQLARPHHRCSSVATAARRRPRALCRARCSATAAAAPGDGEVSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIIGSRTVTQNAANISLLLGFVGLFWAFAEAGDARFILLVICAILCGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSKNISSGTALLPLCKTVIASSILVGLTTTLILFCSHFHQIEGDRAVGKMSPLVRIGTKTGSRLVTVGVVTLYVLLAAFAVSKSLPSACTVLCALTLPVGKLVVDYVLKNHEDNSKIFMAKYYCVRLHALFGMALASGLVLARNGTLV >LPERR03G05890.1 pep chromosome:Lperr_V1.4:3:4218829:4221910:-1 gene:LPERR03G05890 transcript:LPERR03G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSCFSALLAGKRKILKVTSKIVYGKKASGKEFQKVKPVEFVVEETDTVDIVKGGDDDIGSACDTKLVAFNATELASESRDKDDDEVSVKKDPSDVVDLVAGDGGSGSADDSSGDSSDGVDKEAAGVGLMMPPAMAPRLERSCSNIETTARCCLEAFVDLPAKSLSYGDLNALPAAAAAAGEMVDASPAASVKTCRSADHVMLKRCSSSSQMLLPSHSRNLWWRLLLQTHRNPHQPVEQKNHGYASDTLDVATMADAKKKGIAVEQEPMSPVDRVSAWVNSLGDTSFINACDEDVVENDDDDGDDGGVARLHCTEIGEPSSFGGGKGAAAHARRRVIGGEAIKASSPVAQVSGMGLTVIPVISPFSGLRAVNLSGNLIVRISSGSLPKGLHSLDLSRNKISTIEGLRELTRLRVLNLSYNKISRIGNGLSNCGAIRELYLAGNKISEVEGLHRLLKLAMLDLSFNKITTTKALNLLVANYNTLRALNLVGNPVQANTGDDALRKAVSGLLSRLAYLNKQPVVRSSSLQRAQREAAKDHRVEKAAALGVNSGGWRSHCRRTASAKNRGRDGSGSQRGSRSRSKSRPHHH >LPERR03G05900.1 pep chromosome:Lperr_V1.4:3:4225624:4229048:-1 gene:LPERR03G05900 transcript:LPERR03G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVTEPCENSSVKKNSNGPINGQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGCGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSTQEFSGNTDNGYILFYESLAEKS >LPERR03G05910.1 pep chromosome:Lperr_V1.4:3:4230273:4233932:-1 gene:LPERR03G05910 transcript:LPERR03G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPEELKCAAANGVSPGDPPPAGYYYRPAVPPAAAAEGELRWLRRCVEAAGKGFAIGAGLKGGIALFSVLVRLRSRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAAIWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILRQESLPSTYKAFLNKHGGKDLAILQGVKDLVNHTAFSNLVGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYGRAVPVYVPVYLAPALVVHRQDLMKRPYTILGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCTTPLVVLGTFPTGLALLIEKKSRRIEIALYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESDKHFPSNDGA >LPERR03G05920.1 pep chromosome:Lperr_V1.4:3:4235811:4243039:-1 gene:LPERR03G05920 transcript:LPERR03G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQQRWLRPTEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKAGKLSSRGRGNDDILQASCVDSPLSQLPSQTTEGESSVSGQASEYDETESGSYQGLQATTPNTGFYSHGQEKLYVPLNETDFGTAFNGPNSQFDLSLWIETMKPYKGTNQIPLYQALVPSEQSPFTEDQGIESFTFDEVYNNGLSIKDVGGDGTGGETPWQIPNASGSFATVDSFQQNEKTLEEAINPLLKTHSSSLSDILKDSFKKNDSFTRWMSKELAEVDDSQITSSSGGYWNSEETDDIIEASSSDQFTLGPVLAQDQLFSIVDFSPTWTYAGSKTRVFVKGKILNSDEVKRFKWSCMFGEDEVPAEILADETLMCYSPSHKPGRVPFYVTCSNRLACSEVREFEFRTQYMDAPSPHGSTNKTYLQMRLDKLLSLGQNEIQATLSNSTKEIIDLSKKINSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLYIWLLHKVGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTITAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPSPSFPTGNTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAAEISGLPGIVNVADTSTSPLAGESLQTGSMGDSLGAVRNAAQAAARIFQVFRMQSFQRKQAVQYEDDNGAISDERAMSLLSAKPSKPAQLDPVHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTESAVTESTSSSSGDMTQNRPAENDYDFLQKGRKQTEERLQKALARVKSMVQYPDARDQYQRILTAVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >LPERR03G05930.1 pep chromosome:Lperr_V1.4:3:4246021:4249542:-1 gene:LPERR03G05930 transcript:LPERR03G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEMWLPPGGGGEATAAGLFIDGEAAHGALLAAMPGWSASLGVRRRPGFVSLTMSVKGGRGFVSGGPVGLLASGEEKGARAEEVEGLVAVRRATEEGVMEAAEGKVVEEVKEARAGAGAMNTTKHLWSGAVAAMVSRTVVAPLERLKLEYMVRGEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNDETTNFERFIAGAAAGITASILCIPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKRRVSTMKQQGQEANALDQLELGTVRTLLNGAIAGCCAEAATYPFEVVRRQLQLQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >LPERR03G05940.1 pep chromosome:Lperr_V1.4:3:4254395:4255233:-1 gene:LPERR03G05940 transcript:LPERR03G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLLPESRRPRPPCLPLVDSVAAVASTATSKKRKRDDDDGDGGEGRRGEVGIELSFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSMDPRAESSSPAPESHHRRASPPAAAEEEETENYCAAPPGLDLELNLTLFEPRPSQVAPVVTTKKQRPAAAEMTTTTTTPKPAAAAADESSREMVAAVCARCHMLVMMCREWPSCPNCKFVHPTTTTTHHQSSPPLLPPEPAPLKLGLQLLCCKD >LPERR03G05950.1 pep chromosome:Lperr_V1.4:3:4262965:4265352:1 gene:LPERR03G05950 transcript:LPERR03G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTGKQSFLNTSKWIEEVNTQRGADVLIVLVGNKTDLVDKRQVSTDEGEAKAQEHGAMFLETSAKAGFNIKPLFRKIAASLPGMDAHSSTKQEDMVDINLRPATSVSTPPGAGAQTEQKASGCSC >LPERR03G05950.2 pep chromosome:Lperr_V1.4:3:4262965:4265352:1 gene:LPERR03G05950 transcript:LPERR03G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDSFLNTSKWIEEVNTQRGADVLIVLVGNKTDLVDKRQVSTDEGEAKAQEHGAMFLETSAKAGFNIKPLFRKIAASLPGMDAHSSTKQEDMVDINLRPATSVSTPPGAGAQTEQKASGCSC >LPERR03G05960.1 pep chromosome:Lperr_V1.4:3:4274117:4278476:-1 gene:LPERR03G05960 transcript:LPERR03G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRAAVTAAAEEREREMELLLDEIPHVTAPNVHGGAAAQAYAAQCNGFHGGHGHGPARHNHAYAGLDPYSPVVQRRRDDGGGRAIHVAPRHSGGFVASPASSTSAGSAPSPGSSNPSPPLVRAVADTERLANQLDGLRVGDAPAPAALMPLGSPAPADVGSFVPLSDVSAAAHGAYNGYNFVGAQGFPVHHDPVLADQAMAAGYVATSQRFPLGVGGLDIYGGFPTNLDTTSAGSFMYTRTRNGSGIGWGQGLVHPDHARPVLFSGQSGAEYNWGYASTGQSPLDARGRNFVPARDIGYMEGVFSQVEPFHRDDSMIFDRKKSVPFLNRAKERGFQQYASNRPVELESPRMLRYENMLGVKNYIYFMAIEQNGCRSLQQRFEEGKDQVDEIFEGIIGHIHELMENSFANYLVQKLLDVCDEDQRLRIIAVLTEDPMKLLRVSRNSYGTRAVQKLIETVKTRKQIVLIISALRPTFIHLVNDQNGNHVIQKCLKNFGAEENKFIFEAAAIHCCQMAINRHGCCVLQSCISKAYGEYLVKLIVQICANGLSLAQDQFGNYVIQCVLDLKFPFANTRLASQFARNYVCLSKQKVGSNVVERCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVETKGHLRNALVDAILPHEEAIRTNPCCKRISKALSRRQGVQSVYQHG >LPERR03G05970.1 pep chromosome:Lperr_V1.4:3:4281777:4282592:1 gene:LPERR03G05970 transcript:LPERR03G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPASPDPTAAFGSPLVPVSSSAVVPASSATRLHQQPPDTYVVHVQKDQIYRVPPPENAYLVERYRTNGGKGGGGGSSSATCSPCVLRTLAAVLAATLLLAAAATLTTVVLRPDAPVFVVDKLSVHNATTSRDENRTVYEFFLTAINPNKVTALWYGSGGGTARLMAHGGEAVAKGDVGEPEDGGEDATDFTVLLHGLQRGGEKALRRPGSSRDAAVELELDVDVAVQVHVGALGFARRRLAVTCRITAAGLRRDVHISSQTCKSRFGN >LPERR03G05980.1 pep chromosome:Lperr_V1.4:3:4286104:4286964:-1 gene:LPERR03G05980 transcript:LPERR03G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGGRAGSNLPAALYDMAAGVSLAPPSMARESAMSTISFSYPCITPGSVDAHGANSFLVAGANYLSPAQIMLVQAQLHHRLRRPAAAAAQPMKRVAAAATPARPAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDGAAFRLRGESARLNFPELRRGGAHHGPPLHAAVDAKLHAICHGMDLSQSNATTTTTTTAATEIPFDGVKNEPVFSSSDESSSSADGGDVSSTGSSDVMPEMQLLDFSEAPWDESLLCKYPSLEIDWDAILS >LPERR03G05990.1 pep chromosome:Lperr_V1.4:3:4291409:4294121:-1 gene:LPERR03G05990 transcript:LPERR03G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPSRSKKKPKTSADQALALDYVREWAHPAPPPEPSATDAAGDDFLPHQAAAMSSGGGDSVLFDLHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTVTGIPEAISAAHKFGMRIIPGVEISALYSPREIPGAGEHVHILAYYGMCGPSRLDELERMLSDIRNGRYLRARNMLEKLNRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEVGHVENVRQAFNKYIGDDGPAYATGSEPFAETVVQLISRTGGISALAHPWALKNPDTIIRALKCAGLNAMEVYRSDGKVDGFSELAEKYGLLKIGGSDFHGTGRKDESDIGRVKLAITTLCCFLKMARPIWCSAMKDILLKFAEEPSAANLGKIVKFGQLINFDGFSSMDGMDIVNLCLSSWLSNDGKEEVELEEVRLKLAHSVAKL >LPERR03G06000.1 pep chromosome:Lperr_V1.4:3:4294846:4298887:1 gene:LPERR03G06000 transcript:LPERR03G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNYRALWFSVAIVFSVQPWLVLAAYEGSKEADRITALPGQPPDVKLQQYSGYINVNQTTGKSLFYYFVESSADAAHKPLLLWLNGGKSLHPISSGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNKHAWDAEANVLFLESPVGVGFSYAAVKADEIYSSMSDNMTAHDSYAFLLRWLDRFPEYKPRDLFIFGESYAGHYVPELAVGNGILEFAAERTQLYEYLWQHAFISDAAHSLIGQSCSNTEDPSPVCESARDAAYGHIGDIDVYNIYSSTCHEKKVRPSGSKCTDLADPCAQYFVEAYMNQPQVQNAIHANTALKYPWTRCRIYNLNNFGDSPNKTMLPYIKSLISSGIRIWIFSGDLDAMVPVTATRQSMERLQLKVTAEWRPWSSDGDDVAGYVIAYDGVVFATVRGSGHMVPIDQPARALVLFSSFVRGQPLPPPHRLNTD >LPERR03G06010.1 pep chromosome:Lperr_V1.4:3:4297683:4300551:-1 gene:LPERR03G06010 transcript:LPERR03G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVMEGRKVVGRAEASPERGRPAYAPPARSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFQHLRVTDRSPAKRLALPPSHKQYVDGYRDDATEDPDDDELGYAAASYHRRAAAARLVKPAVSTRTNRSRPVELPVEETSPPSSTSSDKPPAAAVLQPGETESNKAGSMLLQLIACGSAVSGGGSAKCRTEPRRSCGLVSRLASRAGTEDDDEEDAAGRELSRRFGHLAVDEKEYFSGSIVESGGRGAPLPSGSLKRSNSYNEERSSRLGVGEERSSTGEHMEGDEGMIIGRCIPGRKKHQK >LPERR03G06020.1 pep chromosome:Lperr_V1.4:3:4305908:4307526:-1 gene:LPERR03G06020 transcript:LPERR03G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWVRNKPGMASVKEMPVLQDGPPPGGFAPVRYARRIPTKGPSATAIFLTAFGAFAWGMYQVGQGNKVRRALKEEKIAARSAIVPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >LPERR03G06030.1 pep chromosome:Lperr_V1.4:3:4312153:4317060:1 gene:LPERR03G06030 transcript:LPERR03G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAALAAGVVAPAPPRASAASCGAAELCSPIESTEEVPGGPRFEDTGAVSVETPMTDLSGSDGPAVSGEAPEGVGGVDADGAAILCCEDGAELESADQGVLDVRLGAPGVGIREQHLLRHGSTSGSDEAGAINEISLVEMSPSEASSKLDIAGAIRGSPLMERSLPEMSVAGSSNRDASSEVGVEMERRSDGRNGLSGGELVSSVDRGDAEKSSKMTGVLSEGGVDGMETALEPFEASIGSTIQIGEGVDRMQTSLDDSEASDGSTTQDSDTDVETESSGSSIEEQDTRYGMHIPHTEQVISEVARGNKSLEVKSSDRMGSLALPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKVVTESQGAPGMRPEEVLTKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIFTKSKPMTYGFNFPLQIEKGDDPLKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPTEIAEFLVARAKEVGRSATCKSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEI >LPERR03G06030.2 pep chromosome:Lperr_V1.4:3:4312153:4317941:1 gene:LPERR03G06030 transcript:LPERR03G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAALAAGVVAPAPPRASAASCGAAELCSPIESTEEVPGGPRFEDTGAVSVETPMTDLSGSDGPAVSGEAPEGVGGVDADGAAILCCEDGAELESADQGVLDVRLGAPGVGIREQHLLRHGSTSGSDEAGAINEISLVEMSPSEASSKLDIAGAIRGSPLMERSLPEMSVAGSSNRDASSEVGVEMERRSDGRNGLSGGELVSSVDRGDAEKSSKMTGVLSEGGVDGMETALEPFEASIGSTIQIGEGVDRMQTSLDDSEASDGSTTQDSDTDVETESSGSSIEEQDTRYGMHIPHTEQVISEVARGNKSLEVKSSDRMGSLALPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKVVTESQGAPGMRPEEVLTKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIFTKSKPMTYGFNFPLQIEKGDDPLKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPTEIAEFLVARAKEVGRSATCKSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEI >LPERR03G06040.1 pep chromosome:Lperr_V1.4:3:4317589:4320154:-1 gene:LPERR03G06040 transcript:LPERR03G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWSLLLLLLSSTFAAVRVDGGSGSAGASAPAAAAGCTDALLSLAGCLSYVQEGSTVAKPEASCCSGLKEVVKKEVACLCQAFQGSQDYGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVPSGAPAPAPFAEAPFFRGSSPSASPAGTGSDSAAAVRTPAPSPSAAVRSPDSIAFFSAAVIVAGALLSHRAL >LPERR03G06050.1 pep chromosome:Lperr_V1.4:3:4323649:4327386:-1 gene:LPERR03G06050 transcript:LPERR03G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYRYDTTELVHESHDGASRWVVRPKSVQYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKNKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKSEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >LPERR03G06060.1 pep chromosome:Lperr_V1.4:3:4337233:4345273:1 gene:LPERR03G06060 transcript:LPERR03G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADECDSPSSVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNTKDSPNCFCGLVPAANGCLYMNTSFRSGIFSLEPDIFKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLKDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSSFSFPGQLDMGKRLSNPSSSYMYDLAAILIHKGSAANSGHYVAHIKDESTGHWWEFDDEHVSKLGLHPFGEKPGKSSNKADQKPQGSSTADSVTNGDSSSCHDAAMASTMEEMFSSTDAYMLMYKRISKDENGAENNNIVEINNNSLPHHFVDEIDVLNTSYVKECEEYESKKNSQLAFITERRQEVKSVLTEAPASPDDDSYFWISTDWLRQWADNVIPPSSIDNNPIQCEHGKVPASKVTSMKRISAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLKNIAEAALAGSSPDGLSYFVSRPWLMQWLRRKNVDIPSDADSGPTAALTCTHGNLLPEHASGAKRVSVPEDLWLFLYETSRMKTDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLISGKSFALHPGQKYYIVPSSWLSEWKAYITATGKNVSSLPEPQCLEATMNSLICEKHSRLLQRPLDLVCKRGAITQKASNTDGLTMISESDWILFSEEWNVPHGKGICAEIVFKKCSQDSLKSSEAMPILVGDQDQSLNDVSSDLGAREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRATSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGSVEIEGDFATLADKGIFPGDVLWVKDSEIYENRDIADEISEQKVDVQTEEGFRGTLLTSSEHAVD >LPERR03G06060.2 pep chromosome:Lperr_V1.4:3:4337231:4345273:1 gene:LPERR03G06060 transcript:LPERR03G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADECDSPSSVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNTKDSPNCFCGLVPAANGVRKSGLWQRTNEIVRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPDIFKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLKDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSSFSFPGQLDMGKRLSNPSSSYMYDLAAILIHKGSAANSGHYVAHIKDESTGHWWEFDDEHVSKLGLHPFGEKPGKSSNKADQKPQGSSTADSVTNGDSSSCHDAAMASTMEEMFSSTDAYMLMYKRISKDENGAENNNIVEINNNSLPHHFVDEIDVLNTSYVKECEEYESKKNSQLAFITERRQEVKSVLTEAPASPDDDSYFWISTDWLRQWADNVIPPSSIDNNPIQCEHGKVPASKVTSMKRISAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLKNIAEAALAGSSPDGLSYFVSRPWLMQWLRRKNVDIPSDADSGPTAALTCTHGNLLPEHASGAKRVSVPEDLWLFLYETSRMKTDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLISGKSFALHPGQKYYIVPSSWLSEWKAYITATGKNVSSLPEPQCLEATMNSLICEKHSRLLQRPLDLVCKRGAITQKASNTDGLTMISESDWILFSEEWNVPHGKGICAEIVFKKCSQDSLKSSEAMPILVGDQDQSLNDVSSDLGAREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRATSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGSVEIEGDFATLADKGIFPGDVLWVKDSEIYENRDIADEISEQKVDVQTEEGFRGTLLTSSVSAQLSQDITFSE >LPERR03G06060.3 pep chromosome:Lperr_V1.4:3:4337231:4345273:1 gene:LPERR03G06060 transcript:LPERR03G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADECDSPSSVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNTKDSPNCFCGLVPAANGVRKSGLWQRTNEIVRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPDIFKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLKDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSSFSFPGQLDMGKRLSNPSSSYMYDLAAILIHKGSAANSGHYVAHIKDESTGHWWEFDDEHVSKLGLHPFGEKPGKSSNKADQKPQGSSTADSVTNGDSSSCHDAAMASTMEEMFSSTDAYMLMYKRISKDENGAENNNIVEINNNSLPHHFVDEIDVLNTSYVKECEEYESKKNSQLAFITERRQEVKSVLTEAPASPDDDSYFWISTDWLRQWADNVIPPSSIDNNPIQCEHGKVPASKVTSMKRISAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLKNIAEAALAGSSPDGLSYFVSRPWLMQWLRRKNVDIPSDADSGPTAALTCTHGNLLPEHASGAKRVSVPEDLWLFLYETSRMKTDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLISGKSFALHPGQKYYIVPSSWLSEWKAYITATGKNVSSLPEPQCLEATMNSLICEKHSRLLQRPLDLVCKRGAITQKASNTDGLTMISESDWILFSEEWNVPHGKGICAEIVFKKCSQDSLKSSEAMPILVGDQDQSLNDVSSDLGAREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRATSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGSVEIEGDFATLADKGIFPGDVLWVKDSEIYENRDIADEISEQKVDVQTEEGFRGTLLTSSEHAVD >LPERR03G06070.1 pep chromosome:Lperr_V1.4:3:4349735:4356425:1 gene:LPERR03G06070 transcript:LPERR03G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQASNRSYRYPNNSQIPYYSRNSMHVGQNGAYCVQQNHEDLYVSSDDGSQNSNSKALVFQAPYCTLDSSSGNFVYPAHSSTSSQYTSGSPISQQDSHSEHTSGSPLSASCVTEVPGLRFTTIEDIENAMFGPEPDTVSSDCSLLTALNQDNWREHLGINTADLKQVIVACGKAVDENGWYRDLLISELRNMVSISGEPIQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSLELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHVIDFQIAQGSQWVTMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLYNIAQSYGLPFEFNAVPAASHEVMLEHLDIRSREVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEVFGKWRARLAMAGFRPYPLSALVNSTIKKLLDSYHSYYKLEERDGALYLGWKDRKLVVSSAWR >LPERR03G06080.1 pep chromosome:Lperr_V1.4:3:4357160:4360326:1 gene:LPERR03G06080 transcript:LPERR03G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSPPSPAEPNRFYKYGVPPTCPRSAHAPRTSHGTGSIYAAAFTSPFFFFSAPFLSPPVSSHLVSPTELAADFFKGRERAPPRFLSFLGRGVCSPLIDPSVIRPSIGVGFGCGWGLAVSAAVAEDGAAVRAAAGRRRGVQVPAVPRRCRIQGRHHLQGLLRQLRPRLPLRSRSEHMPRP >LPERR03G06090.1 pep chromosome:Lperr_V1.4:3:4360644:4363568:1 gene:LPERR03G06090 transcript:LPERR03G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQRATSPPPPPPASARNAYSSDLDAYLQYALSVGGGASSSSSSAAAAAARQEPQMQLQQRYATPTSPLMLSSPPSSQQGSGGLGGGLHPLMQQHQMAQMRGTQQQPPPSTYCVEGSSSGGGNGGGAGYFWPMGGSDGGFSPAAASNNYTMPYPAAADRIMQLAAAANGGSSNGDYFSRFSNGGAAAAAPRPPVVPRQQGTLRASASQYQPINASSVASSSASRHHHHHKGHNHHPSSGNNYHRRPEVTEQDYQECLQVMNALRADQADPSWRATPRITEGRSLAEVRDEMLRGPMPLGIVFFQNSAAHVIRLLEEGVAKGSDQYRVSALAGIKPRVHEVMEDKEGCQVFVALMRACADRKDEIHAIIAAASAPPPSDANGNGRPRTTQLLRAARQDYGETSLKALITAAAPFPDLCKLITDCLVCERVMDQLKGDRLLSHCFATMNYDDSKILIKFACYNANRLLSSSSGSRCLAECYLHANNEELEDLEQMVLQNACLIAKGSFSNYFLQTVLEFASDRTKRAVAAALMPDLASLSRQQFGSYVIEACFIHTKLPDLLRLVLDNFLRLTDEQIADIMQCNYGNYVVQKLIDNGKNAFPKETMTLVRRIGRMPVQMVERGSGGQVMKAIRRMFPRQPRLY >LPERR03G06100.1 pep chromosome:Lperr_V1.4:3:4364608:4368995:-1 gene:LPERR03G06100 transcript:LPERR03G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNTPSSAAAAAPVLAAVASLQTYSTALSAFTSAWRALYSDATALDSALASRLEGFSELDLLCSAMDGPGLRAYLDDHREDLQDPSRGHDAALLVAPNPGRLVLAAAAGFCRAPPGTEGETKVACRLLVELLDRLRALGVKPSPEAREEARAVAADWKRSKRIGPQAVLKKETFAFLLLVGAFGLVDDVGGAGEVLDLVVSVSGRERAVDAFVGLGLDLEKQMPVFIHMMISKGKQLEAVKFIQALNLADKYPLSPVLRSYISDAAKAGNLIRIRGDDSAYQTEADAKERMLLGVLQKFIKDQKLEELPILEAVINRLAQLEKKSVDRKRAASAAAAATLEKQEKLQKQVQPALQSQVPGKAKQNSLSQNIHSVNSISQPLMSRHSMGMSGAPNLYQVASSQNVLPAISPSTGPVTGSQSPVGISNEALNASSVQVRYGSLADYYGLSSGRPSPNSLAPRPSVPSAQTSSRSNLYSADPLAAVPRASNKKGSSYNYSLSSMSRYNP >LPERR03G06110.1 pep chromosome:Lperr_V1.4:3:4372948:4378126:1 gene:LPERR03G06110 transcript:LPERR03G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGPDNRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGINILFHFFHDMEGSLGWNYSCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRSGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKARQALQHPFFTRCHRRCGY >LPERR03G06110.2 pep chromosome:Lperr_V1.4:3:4372948:4378820:1 gene:LPERR03G06110 transcript:LPERR03G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGPDNRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGINILFHFFHDMEGSLGWNYSCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRSGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKARQALQHPFFTRCHRRCGY >LPERR03G06110.3 pep chromosome:Lperr_V1.4:3:4372948:4378126:1 gene:LPERR03G06110 transcript:LPERR03G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGPDNRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGINILFHFFHDMEGSLGWNYSCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRSGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKARQALQHPFFTRCHRRCGY >LPERR03G06110.4 pep chromosome:Lperr_V1.4:3:4372948:4378126:1 gene:LPERR03G06110 transcript:LPERR03G06110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGPDNRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGINILFHFFHDMEGSLGWNYSCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRSGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKARQALQHPFFTRCHRRCGY >LPERR03G06110.5 pep chromosome:Lperr_V1.4:3:4372948:4377199:1 gene:LPERR03G06110 transcript:LPERR03G06110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGPDNRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGINILFHFFHDMEGSLGWNYSCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRSGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKARQALQHPFFTRCHRRCGY >LPERR03G06120.1 pep chromosome:Lperr_V1.4:3:4380414:4381373:1 gene:LPERR03G06120 transcript:LPERR03G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNNQSYCKDCRLAVTVAVDHATGDTICIDCGLVLEERYVDETSEWRTFSDSTGGEDRDPNRVGGRSDPFLTHAQLGTIVAYTANKRQSNATSLPRVHLDIGRESSSQENSLVVAFRAISDMAEQLNLVATIRDHAKEIFKKLEEAKLCPRGRNRDATYAACLHTACRKEGKPRTYAELATVVRHARADATKKKKEIGRVANIISEQLEEKAGHTMGIGVVRAADYMGRFGSLLGMGKAEVRTAQRAAQRLDEQLDVRRNPESIAAAIIYMMAQRSSAKTSARDVSAVTNVAEVTIREACKELTKHTELLFSQELAV >LPERR03G06130.1 pep chromosome:Lperr_V1.4:3:4384001:4384569:1 gene:LPERR03G06130 transcript:LPERR03G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNDQSYCKDCRLVVTVVVDHAATGDTICTDYGLILEERYLDTVIASAANKRQSNATSLPRVHLDIGRESSSQENSLVVAFRAISDMAPSSRVIK >LPERR03G06140.1 pep chromosome:Lperr_V1.4:3:4385756:4386390:-1 gene:LPERR03G06140 transcript:LPERR03G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNDQSYCKDCRLVVTVVEQLNLVATIRDHAKEIFKKLEEAKLCPRGRNRDATYVACLHMACRKEGKPRTYAELAMVVRDARADATKKKKEIGRVVTLIKKHFGEEDGHVMCVGVVRAADYMVRFGSLLGLGKAERLDERLDVRRNPESIAAAIIYMLVQRAGAKTSTRDVLAVTNVAEVTIREACKERTQHAELLFSQE >LPERR03G06150.1 pep chromosome:Lperr_V1.4:3:4387434:4388636:-1 gene:LPERR03G06150 transcript:LPERR03G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGPVSEPPMLNTEIITQKVTSFTTGTATKDKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTNDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVEASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKIVQEFSMTSTDLLHTPAGFVQLSLSYVGSSPDVIPISAPNKSVVNSSGNDSSVPCELENIEFPDLNVVKENQIMVSKYFEMETLSYESSVKVDNKKRLQSDEAVPVTEIFNKNLGEYREESPQSCVSTTDYSTGTSVTPQSVSEPSDTTVAASPTGSQRETNQDATDGEADSSEVPLKAEVVKPVISINLQPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSPSTENNTDSSTTEKPSPSKGAKVFYGSRAFF >LPERR03G06160.1 pep chromosome:Lperr_V1.4:3:4394013:4395269:-1 gene:LPERR03G06160 transcript:LPERR03G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRRHVTGGTLLGSLLQFVVTVAFVPAAAAAGGAAAGRCTTSTALKTYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPTTGALLALPFLLSPDVKLQASPLVSRPLDITLLASSASLVGPTARTVRDGATVTIAATIRLNPNRTKLYFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWTHGSLNAISWGLLLPVGAAVARYLRPCASTGPAWFYAHAAIQAMGYTLGAAGFALGIVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >LPERR03G06170.1 pep chromosome:Lperr_V1.4:3:4398386:4404286:1 gene:LPERR03G06170 transcript:LPERR03G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEVDGEDEGGSKRSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLDQEFEVHRSWILDPLKHTFIVLDKELIDGEFVVGNPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSRGKGLGQEAILTMMAFAVEKYGIHTFRAKISESNTASLKLFRKLGFKDASYSAVFKEVTLEAPTVELPLISPLTIGSW >LPERR03G06170.2 pep chromosome:Lperr_V1.4:3:4398533:4400262:1 gene:LPERR03G06170 transcript:LPERR03G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEVDGEDEGGSKRSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLDQEFEVHRSWILDPLSTAPHPAVRFPSSIFPRIGEHTFIVLDKELIDGEFVVGNPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSRGKGLGQEAILTMMAFAVEKYGIHTFRAKISESNTASLKLFRKLGFKDASYSAVFKEVWFYYGSLILENIFGHTMYCVYSEILLQLLHDSFGKRCSAS >LPERR03G06180.1 pep chromosome:Lperr_V1.4:3:4405074:4405664:1 gene:LPERR03G06180 transcript:LPERR03G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSDSACLLAVAVLLSAEAAATAQPAAADCTNATFQAGRSYQRCSNLPVLGATLHWTYHAENGTADIAFRAPQTSSGWVAWGINTQNGTGMVGSSVFIASQLNGTAATSVITTVLESFRPSLRNGTLGFGVPAPPAAEYTAGAYTIYATVALPGNATTQNTVWQAGPVRGGAIAMHPTTGPNLKSTKRHDFLSG >LPERR03G06190.1 pep chromosome:Lperr_V1.4:3:4406263:4406604:1 gene:LPERR03G06190 transcript:LPERR03G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSDSACLLLAAAVLLSASVVATARPAASDCANATFQARRSYQRCSNLPVLSATLHWMYHGENGMDNVSGHMDSPDQFLAATSQLKHSFSVADAIRDFTLLIPHCCSARYI >LPERR03G06200.1 pep chromosome:Lperr_V1.4:3:4407208:4407798:1 gene:LPERR03G06200 transcript:LPERR03G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLVAMLVLFTVAAAAPDCRSSKFPPDRAFQRCSTLPALGASLYWTYNPVNATADIAFRAPQRSGGWVAWGINTGRGLGMVGSGVIVASQEDTGAVSVLTTVLESYSPSLTNGSLGFDVPVPPVAEYAGGAYTIYVTVALPGNSTVQNTVWQAGPGSTGAIRPHPTSGQNLQSMQRIDFLAGQSIMPSNSGIP >LPERR03G06210.1 pep chromosome:Lperr_V1.4:3:4412102:4416345:1 gene:LPERR03G06210 transcript:LPERR03G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGHTAWLFIAGLLLASSIASAQTSGDCGSASFQNGARTFQRCNTMAVLGASLHWTYHAENGTADIAFRAPQTSSGWVAWGINTQGSGMPGSSVFIASQDGAGAVSVTQTVLESTSPSLNPSTLRFRVPVAPSAEYANGVYTMFATIELPSNSTTQNTVWQAGSGNTASLGAHPTSGANLQSVQRLDFLSGQSTGASNSRLRRRNLHGILNAVSWGILIPLGAMIARYLRMFEAADPAWFYLHITCQLSGYILGVAGWGLGLKLGSESKGTTYDDHRNIGIAIFCLATLQVFALLLRPDKKNKYRVYWNAYHHSVGYSAIILSAVNIFKGLNILKPASGWKRSYIAILATLGGVALLLEAITWVIVIRRKKSNKSQYGGANGNGRA >LPERR03G06220.1 pep chromosome:Lperr_V1.4:3:4423337:4427895:1 gene:LPERR03G06220 transcript:LPERR03G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNMISKLLEKAVLPGVDIAPPVKIGGSRRTGVLRNPNMEKLQKGYLFPEISIKHEEHLKKYPDAKVISLGIGDTTEPIPSIVASAMAEYALALSTREGYQGYGPEQGHKNLRKAIADKVYPDMGIKETEVFISDGAQCDIARLQMLFGPNVTIAVQDPTFPGYVDNGVIMGQTGKADSTGKYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASRDQLRQLVDFARRNGSIVVFDSAYSSYVSPSSGAPASIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGSPVSRDFDRVVSTCFNGASSIAQAGGLACLATEEGRDAVRRVVGVYRENARVLVDTFASMGKEVYGGADAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSPDRVVEACDRLKSFLA >LPERR03G06230.1 pep chromosome:Lperr_V1.4:3:4428398:4431884:-1 gene:LPERR03G06230 transcript:LPERR03G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRRRGRGSSPSSSRRTKHEASWDDGPGTSLPRQEVDTEEEPKGPKIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDHHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGESDTADLLLGKFKWGHSFLSLNRDLLKAYSKCENGTEIINVQNSWLSSNSSVPKAPVNVADKSRESTEEGSDDDSDDGLPPLEENLNHLNLDQDDESEEESESDE >LPERR03G06240.1 pep chromosome:Lperr_V1.4:3:4433949:4438390:-1 gene:LPERR03G06240 transcript:LPERR03G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSLAKGTAFAELLLQGPEPPSLLDDLTGMFRKAFCLRGADKRLTLSVCAMSVLQGLFPILDWWKSYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGTSREIAIGPVAIVSLLLSSMIQKIVDPAVDPASYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLDHFTNRTDVVSVTKAVWVSVHETGKKYKKLFWVSAIAPVLSVALSTLFVYVTRADEHGVKILQKVNSGINASSVKQIDLKGGYVAECAKIALVCAVIALTEAVAVGRSFSVIKGYCLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTAVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKIDFLTCMGSFLGVLLGSVEIGLSVALGVSFAKIIIQSIRPQVEILGRLQGTDIFCSIKQYPVVCQTPTVLATRIETSFLCFVNASSVKEKIMGWVTDKGKAFRSVVLDMSNVVNMDTSGLAALKELHKELACLGIQVSFTDILRSSEMQICRPILSPCAENNRVQMAIARPGWQVTHKMKLARLIDGVGEGWFFLTVGEAVEACLANKAGNTLEFC >LPERR03G06250.1 pep chromosome:Lperr_V1.4:3:4441211:4441465:-1 gene:LPERR03G06250 transcript:LPERR03G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSPAYIHRTHATGVTGPWRRRVSFVSLPGDGDRSGAAPPPSCGVRTHRRRRGGVDRGKYQAMQRSCEGTQCEREEEGVEEG >LPERR03G06260.1 pep chromosome:Lperr_V1.4:3:4443980:4448871:-1 gene:LPERR03G06260 transcript:LPERR03G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTAAAVETPVTVSTFDVMRQPDTARLVLSSPKPPGVREELVGVVRKAFRPQASGGGKGGGRTPPARWGWALTALQTVFPVLQWGRSYTFKSFKSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILSMRFIGRRYKKVFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDVKEAFNIWKVDKMDFFTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPAVMTIRIDTSFLCFINSTFIKERITEWIKEDVETSDEKAKERVQAVVLDMSNVVNIDTSGISALEEIHKELVSLSIQMAIASPGWQAIQKLKMAGVVDRVGGDWIFLTVGEAVEACLTLHKGTALEC >LPERR03G06280.1 pep chromosome:Lperr_V1.4:3:4474111:4475793:-1 gene:LPERR03G06280 transcript:LPERR03G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRVIHTFLDFGWSLYCRNGSSAKKVSFTASVNSLRRLFFGGTPTLIGTQRSLISPSVNCPENYIIINPSKKEENPLYILGKEI >LPERR03G06290.1 pep chromosome:Lperr_V1.4:3:4475131:4479759:1 gene:LPERR03G06290 transcript:LPERR03G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGETLEADIASRTSSHRHMDNAHHHHHGYRVGVPPKKSLLNEFTDAVKETFFADDPLRQYKDQPKSKKVWITLQNIFPVLDWGRHYTFRKFRGDLISGLTIASLCIPQDIGYSKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEEREQEQQLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPAVIQKLRSAKFTELIGEDKIFLTVGDAVKKFAPKAVESV >LPERR03G06290.2 pep chromosome:Lperr_V1.4:3:4475131:4480104:1 gene:LPERR03G06290 transcript:LPERR03G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGETLEADIASRTSSHRHMDNAHHHHHGYRVGVPPKKSLLNEFTDAVKETFFADDPLRQYKDQPKSKKVWITLQNIFPVLDWGRHYTFRKFRGDLISGLTIASLCIPQDIGYSKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEEREQEQQLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPAVIQKLRSAKFTELIGEDKIFLTVGDAVKKFAPKAVESV >LPERR03G06300.1 pep chromosome:Lperr_V1.4:3:4486838:4487788:-1 gene:LPERR03G06300 transcript:LPERR03G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVNKWQPATYPCCLPSKLLRLLSPDCTTDLPVSSPSPSQPPAIVDLVWETLRAEAQADAVDEPMLRKFYHDLVLSRPSLESALASMLAAKLCIPGELSQDQVRDLLAGALDAHPEAGRAARADLAAARDRDPACDKMVHCFLYYKGFLALQAHRVAHALWSDGRRVPALLLQSRASEVFGVDIHPAARIGSGILLDHATGVVIGETAVVGSDGSILHAVTLGGTGKDSGDRHPKVGGGVLIGAGASVLGNVHIGDGAKIGAGAVVLRDVPDGTTAVRREPGEADRQEAGAATATDRATRDHHGVEMVGFNCSN >LPERR03G06310.1 pep chromosome:Lperr_V1.4:3:4495930:4501544:1 gene:LPERR03G06310 transcript:LPERR03G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDEGETLDAEIDSIHHHHGYKVGVPPKKSVLNEFTEAVKETFFADDPLRQYKDQPKFKKVWITLQNIFPVLDWGRHYTFRKFIRGDLISGLTIASLCIPQDIGYAKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDKEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPSVIQKLRSAKFTELIGEDKIFLTVGDAVMKFAPKAVESV >LPERR03G06310.2 pep chromosome:Lperr_V1.4:3:4496172:4501544:1 gene:LPERR03G06310 transcript:LPERR03G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDEGETLDAEIDSIHHHHGYKVGVPPKKSVLNEFTEAVKETFFADDPLRQYKDQPKFKKVWITLQNIFPVLDWGRHYTFRKFIRGDLISGLTIASLCIPQDIGYAKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDKEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPSVIQKLRSAKFTELIGEDKIFLTVGDAVMKFAPKAVESV >LPERR03G06310.3 pep chromosome:Lperr_V1.4:3:4495930:4500269:1 gene:LPERR03G06310 transcript:LPERR03G06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDEGETLDAEIDSIHHHHGYKVGVPPKKSVLNEFTEAVKETFFADDPLRQYKDQPKFKKVWITLQNIFPVLDWGRHYTFRKFIRGDLISGLTIASLCIPQDIGYAKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDKEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPSVIQKLRSAKFTELIGEDKIFLTVGDAVMKFAPKAVESV >LPERR03G06310.4 pep chromosome:Lperr_V1.4:3:4496172:4500269:1 gene:LPERR03G06310 transcript:LPERR03G06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDEGETLDAEIDSIHHHHGYKVGVPPKKSVLNEFTEAVKETFFADDPLRQYKDQPKFKKVWITLQNIFPVLDWGRHYTFRKFIRGDLISGLTIASLCIPQDIGYAKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLGNEIDPKKHPEEYTRLAFTATFFAGITQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVTKYIAKKNKKLFWVAAIAPLTSVIISTFFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLTKGFKIGVVAGMIGLTEAVAIGRTFAGLKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNVVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYQTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVRNIDQYPEATMVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDKEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLLRSLEKRKIQLILANPGPSVIQKLRSAKFTELIGEDKIFLTVGDAVMKFAPKAVESV >LPERR03G06320.1 pep chromosome:Lperr_V1.4:3:4508230:4512207:1 gene:LPERR03G06320 transcript:LPERR03G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVADSGENLDADNIAQCNMDRGAHQQQHGYKVGSPPNKGILTEFASAVKETLFADEPMRRYKDQPRSKKLWLALQHVFPVFDWGRQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAAISLLLGTLLQEEIDPKKNPLDYKRLAFTATFFAGVTQAALGFCRLGFIIEFFSHAAIVGWMAGAAITIALQQLKGFLGIAKFTKNTDIVSVMKSWNWQTILIGASFLTFLLVAKYIGKKNKKFFWVPAIAPLISVVISTLFVYITRADKHGVAIVKNLKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLSEAIAVARTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGCKTPVSNIVMSTVVLLALQWITPLFKYTPNATISSIIISAVLNLIDYESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVAMSLIKVLLHVTRPRTALLGNLPRTIIYRNIEQYPEATKVSRMLIVRVDSSIYFTNSNYVKERILRWLRDEEEHQKEQKSPKIEFLVVDLSPVNDIDTSGIHAFKELFGTLEKRKIQLIFANPGVVVIQKLRLAKFTEHIGEEKICLTVADAVKKFAPQSTENV >LPERR03G06330.1 pep chromosome:Lperr_V1.4:3:4516554:4517012:-1 gene:LPERR03G06330 transcript:LPERR03G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGGEASPSIIHTSSIALLQERFRNLQRVKEMREAGRELNRVHPVAEAHHDRAATTGVGLGLHGAAASGNEQQPRWFLHPDLVRPSRPLHVHGRPSSSYNGHGGGGQLASPAMTSWGDLPRTTATATAMQNSSGYRGGVDVDVDTSLHL >LPERR03G06340.1 pep chromosome:Lperr_V1.4:3:4528802:4529038:1 gene:LPERR03G06340 transcript:LPERR03G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGKDLMACTTVSAMRRRGGHGGDGGGGAGAARATVLVSSTAAASARAFAFRANMRRHEATMKNTGMNPTRSNLPR >LPERR03G06350.1 pep chromosome:Lperr_V1.4:3:4538192:4543167:1 gene:LPERR03G06350 transcript:LPERR03G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQAGGGDDGVSPGNVPVCYYGPGGRVPASLERRARAAEVLLRCAACGLAVLAAALLGADRQTRVFFSIQKVARYTDMQSLVLLVIANGMAACYSLIQGARCLVMAYIVISAVAAAMEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGIALLSAFNLFRLYGNGNGKAAGGK >LPERR03G06360.1 pep chromosome:Lperr_V1.4:3:4553445:4553984:-1 gene:LPERR03G06360 transcript:LPERR03G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQEVVVVTELELRMQLLGGGAACHYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPPAPAAASSASGHATRAVVAALGGYRRLYRLCLGPALDRLGRGVGVGGGGAIARAHLSLSLSLSLFSIDCYERLGGGGGGAGAGRQPQPSSLLFLCKPVDVS >LPERR03G06370.1 pep chromosome:Lperr_V1.4:3:4567289:4568218:-1 gene:LPERR03G06370 transcript:LPERR03G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWQPAAYPCRLPNKLFRLLPDCTTDHPMPPPSPTPLAASGDIVWHTLRAEAQADADDEPLLLKFYHELVLSRPSLESALASLLATKLCIPGALPQDQLRDLLTDALDAHPEAGRAARADLAAACDRDPACDKMVHCFLYYKGFLALQAHRVAHALWSDGRRAPALLLQSRASEVFGVDIHPGARIGSGILLDHATGVVIGETAVVGSDVSILHAVTLGGTGKDSGDRHPKVGDGVLIGAGASVLGNVHIGDGAKIGAGAVVLRDVADRATAVGNPAKPISKKAAPQWRPEEQPGITMELRWSD >LPERR03G06380.1 pep chromosome:Lperr_V1.4:3:4575639:4578673:-1 gene:LPERR03G06380 transcript:LPERR03G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASSTLPLLSLHRAAGNPSPAALSFTSSLRAPLLRSRVAAAASAAPPAEAIPEMPEETEGTGIPMPSSIGDDGEQLLFGATDGKETFTRPCAQLYSSLSDSFNVSQEKIVIMNSYGEKIVGLLHEAGSKDIVVLCHGFRSSKEGRNILNLTDALTSEKISVFRFDFTGNGESEGVFQYGNYYKEVDDLCDVILHFKKHKRDTRGIAGHSKGGNVVVLYASIHRDVASITNMSGRFDLRRGIADRLGSDYMERIKHYSFIDVRDKTGRSIYRVTKESLMDRLKIDMQRACTSIDPNCRVLTVHGSDDDIVPSEDALEFDKYIMNHELCIIEGADHRYTSHHRELANVLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRIYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >LPERR03G06390.1 pep chromosome:Lperr_V1.4:3:4579230:4581623:-1 gene:LPERR03G06390 transcript:LPERR03G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPSQCPYCRAAAPARCVTTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSIHPLPLVTPDLADAAPSPSPSGDNNNDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGHLAELERALAIESPASSTPDPAGPTVFVDHLRAYLQIVDVASILRLDREIADHAFDLFKECSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDGAKLKSSEAAEPDHMVIVKEEEDKKTGLFSRPSAKTETHDLNQAFWQPNVQFSSSPKLDHDKTETSVRGINLNEASCPMDSDRLDLPVKSPFAEKWLNESKLLSSPSRQPAPWQLKQAAPVTGSSHHSIPYGLDLLSRGKRNAGDGGDKEGR >LPERR03G06400.1 pep chromosome:Lperr_V1.4:3:4582238:4586797:-1 gene:LPERR03G06400 transcript:LPERR03G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAMEAFVANEIPNQSPPHMSRPSVIVKLTLALLWFIVHLAISLFSLWSHLIYNTECYLISFGLIPKYGKFKLDRLKYLAVVVDSREAKNIAKIKQLLCWLSNIGVKYVCLYDIDGVLKKTFSPAMNGIREKNSGNCLDVGANIKALTCCHKDMTVEYISGSDGKEGIAKAASLLCKTYVNHNRGVCGDDEMVFTETDMSGALKAIGCGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIMHMGPLSSMKYGAIVKAFYNFSKKYQNFAIRDHAS >LPERR03G06400.2 pep chromosome:Lperr_V1.4:3:4582238:4586797:-1 gene:LPERR03G06400 transcript:LPERR03G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIVKLTLALLWFIVHLAISLFSLWSHLIYNTECYLISFGLIPKYGKFKLDRLKYLAVVVDSREAKNIAKIKQLLCWLSNIGVKYVCLYDIDGVLKKTFSPAMNGIREKNSGNCLDVGANIKALTCCHKDMTVEYISGSDGKEGIAKAASLLCKTYVNHNRGVCGDDEMVFTETDMSGALKAIGCGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIMHMGPLSSMKYGAIVKAFYNFSKKYQNFAIRDHAS >LPERR03G06400.3 pep chromosome:Lperr_V1.4:3:4582238:4586797:-1 gene:LPERR03G06400 transcript:LPERR03G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIVKLTLALLWFIVHLAISLFSLWSHLIYNTECYLISFGLIPKYGKFKLDRLKYLAVVVDSREAKNIAKIKQLLCWLSNIGVKYVCLYDIDGVLKKTFSPAMNGIREKNSGNCLDVGANIKALTCCHKDMTVEYISGSDGKEGIAKAASLLCKTYVNHNRGVCGDDEMVFTETDMSGALKAIGCGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIMHMGPLSSMKYGAIVKAFYNFSKKYQNFAIRDHAS >LPERR03G06400.4 pep chromosome:Lperr_V1.4:3:4582238:4586798:-1 gene:LPERR03G06400 transcript:LPERR03G06400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIVKLTLALLWFIVHLAISLFSLWSHLIYNTECYLISFGLIPKYGKFKLDRLKYLAVVVDSREAKNIAKIKQLLCWLSNIGVKYVCLYDIDGVLKKTFSPAMNGIREKNSGNCLDVGANIKALTCCHKDMTVEYISGSDGKEGIAKAASLLCKTYVNHNRGVCGDDEMVFTETDMSGALKAIGCGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIMHMGPLSSMKYGAIVKAFYNFSKKYQNFAIRDHAS >LPERR03G06410.1 pep chromosome:Lperr_V1.4:3:4603188:4606670:1 gene:LPERR03G06410 transcript:LPERR03G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDAVPVAVAPAKRRPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGIISIYSLFGSLAAGLTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMVGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIEDARRVLQKTSDSPDEAEERLLDIKKAVGIPDDASDGDDVVAIVRANKASQGQGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPQVFQRAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPVRLRAQAAAIGTGLNRMMSGATTMSFLSLSNTITIAGSFYLYACIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDADDDDDVVDSGRHERKKSSSSTQLSAAAAAAPQN >LPERR03G06420.1 pep chromosome:Lperr_V1.4:3:4613929:4615708:1 gene:LPERR03G06420 transcript:LPERR03G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDASDEIPAAEAPAKRAPLNKYALACAVLASMNSILLGYDISVMSGAQKFMQKDLNISDTKVGILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAVIFFAGALIMGLAPSYSIVMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLSSFPEVFINTGVLLGYVSNFAFYRLPLHIGWRAMFLVGAVPPVFLAVGVLAMPESPRWLVMQGRIADARRVLNKTSDSLAEAEERLADIKSAVGIPEGVTDDDEVVTILRKSSGSHGEGVWRDLLLRPTPAVRRILIACLGLQFFQQASGIDSVVLYSPRVFDKAGLHSDSNSIGASVAVGAVKTLFILVATFLLDRVGRRPLLLTSAGGMVISLVTLASALHVIDSQPEGHAAKAVVGLSIAAVLAFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRTMSGAISMSFISLYKAITFAGAFYLYAGIATAGWVFMFFFLPETRGRSLEDTVKLFGGDERDANGEGKEHGLGQNKSTELTTQQ >LPERR03G06430.1 pep chromosome:Lperr_V1.4:3:4616532:4619234:1 gene:LPERR03G06430 transcript:LPERR03G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAVHLTAPPKPSGHRTRHRHEPHESSEPPPSASTRPRRAHAPCHRATVATAPPRLARAHALPTYQPPSPSPFASRTAAGPTEVDRKWWRMAVSARTAAVRWLVLLLAVSVAAASREKWWQGGAEASGGGGGQLVRKEWRRVVSASDAGLVTAVDVADAAGSVYRLHFITMSPGTLFLPVQLHADMVFYVHSGRGKVTHIEEGNSESSSLQLERGDVYNFEQGTIIYIQSYPCDTREHLQIYAIFTSDSINADNPWRPTSEAYSSVSDLLKGFEVEVLRPGFAVPREVVEAIKSTKSPPAIIPYNPEEDDDKEDELNWKEEFADALLGVRDPHFLNKKKEDKHKDKHKGKGKDKKSKSKAFNFYSGKPDVENCYGWSRTMTNRDLETLHGSNIGMFMVNLTTGAMMGPHWNPRATEFAIVSKGSGIVQIVCPIIPSGDSKKRPWHHDEDHGDRGDDHGHGGGGGVGGGGCKNSVLRVKEGDVFVVPQYHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGKQSVLQLIGKEILALSLGQENSTAVGKLLSSQRESTIMACTSCAEELERKAEKEEGGKGKREREEEERRQEEEWKRKEKERERKEREEEERRQWEKEKKRREEEERARREQEEEERRRREQEEEERRRREEEEQEGGRGDEPEPRREEEEEEEGDWGDRQRRLSRSLKKRYHGIKGGLYRGA >LPERR03G06440.1 pep chromosome:Lperr_V1.4:3:4620163:4625428:-1 gene:LPERR03G06440 transcript:LPERR03G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLASAAAIADQRQKIEQYRNILSSVLSCSPPDIAQAKRFLDHMVSDEVPLVVSRQLLQTFAQELGKLEPDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHHELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMICEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPV >LPERR03G06450.1 pep chromosome:Lperr_V1.4:3:4636291:4638763:-1 gene:LPERR03G06450 transcript:LPERR03G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLLFRLQLRHSSDRDKIIDPRNFHPRLLLPQQTPQSSKKTTQASRRYWFTRRGLKIMGMAILHLGTRKVTGARIFCSTPLTFPFLPFFSPKIFKLKQKTKIEKFQIERKKKKRFKMTEKREKFQIVRKMQSLQLTEKEKKFQIKRMEKFQIGKKEKNSIRRKKVSKKRKGKFRMKQSEIAN >LPERR03G06460.1 pep chromosome:Lperr_V1.4:3:4636638:4639950:1 gene:LPERR03G06460 transcript:LPERR03G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTSSGSGAQQPQQPPQPPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPAAADEDGTPASAAPPPPQPPPPPPAPAPAPAATPARVAQPEERDLAAAPDQFTTPAPLPPPPPPPVAGAAPNECASSSSSGVGATSQSLLSSMFAPPSMAQPSQYSDPIGVGGGSHQDQRAVPPKPPALCLAPNASSSLFSAPVPADRQPQQFGPPPPPSPSPHMSATALLQKAAQMGATTSSSSFLRCLGLDMSSSSPAPASSSGQQQQQQHHHHQETMQVPIQATSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSSLFSAKPATLDFLGLGVSPTGASASRGFPAFMQPIGGAVGLAGSATGAAETFGAGQANPWERNPSSSPIL >LPERR03G06470.1 pep chromosome:Lperr_V1.4:3:4641384:4644539:1 gene:LPERR03G06470 transcript:LPERR03G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGVWSRWSCWARGAPHTRYGGGGSLQICSRAVRRERRATAAVWLRDWVTSGANSGQTTGSARELESRCRATLEMDEVSSLLACRNSLCDVSIPVMLAPLYTANATEPTGQSRAAQPWQESAIVCSDTTRDLASSFGTWTCMRMVQAVHMGHGRRGESAVRFCGYDRESLVLTMAETIMTLAMMLSSTNLVFTTIT >LPERR03G06480.1 pep chromosome:Lperr_V1.4:3:4660475:4661305:-1 gene:LPERR03G06480 transcript:LPERR03G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAVDALVTSTTTFLASDHKHVVTGPRRLACRRPQPPGVCGAAVDGGVPPRASYRWLKSSQWHVIEAAGVTDDDHTPRLKIDARRRLRRPRRRIHHHQHRRADPAVSGSSGGDSGWFTSDEDSYYANSCVGGEEEAESTVVTSTATTESSSGGGEAADIVVDGTFAVVKRSDDPRADFRRSMAEMVVERAIYDADGLERLLRCFLALNHQRHRRDIVDAFGDVWEAVFSNPTTSSSHRGIVAAEPTICKAAAACNRVKSPVETSM >LPERR03G06490.1 pep chromosome:Lperr_V1.4:3:4673040:4677601:1 gene:LPERR03G06490 transcript:LPERR03G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPGRSDVDFADVFGGPPRRSSGHESLRRSSMDSSFGSASRAARSGGAEERPVFGDRTSSDRRRQLGVEFYKDIFAGSESMSPTRRGGAAGDLDVFGAQASPGSTSRMRTSFSMKFNGGMDSSVPTSPSQHTSNKNDDGVSYAYSVPTSPNSSINSFLAEGAPQQDSRKNPFSWHRYSFLSRFRSNCGDKKDTSQYVSSMDSEGEGTPVSLESSIDSDKFHFSFYKWGGKGAILVLPTTAQGNAGDVVGMRSFPQVIVQGMDLIDEEDNMSTATGASKSQTDYKEYKSRKDEAGTKRSTDNAKNNVLGASPGSKSSRSPSGEKSRGSRVKGKVKGFMKIFSPESSPKLKSQDGTPSGKNGSKSGPEDKFSLSNSGVDEDVKIINMNNRNVSAKRKTGQRYRFLQCIMKCKQVRTIYLEEEKIHLPLLKSQLMPKPNIRWVEEVSEDFILRNNYEKEQIKMSESKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGVDMHQKYIAEKVFDILQEAWKEFNTVTFG >LPERR03G06500.1 pep chromosome:Lperr_V1.4:3:4677743:4681843:1 gene:LPERR03G06500 transcript:LPERR03G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSTPDKADAAVKLVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKQDASALIQSTEEIKKRLAAKETEVQEAKTTLDAKLVTIGNIVHESVPVSDDEANNLVVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCDGEEKYLIATSEQPLCAYHLGDRIYPADLPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWELHEEMIKNSEDFYKEIGLPYQIVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKVLQPYMGGIDFLPFKQPLDSKQVARLQIK >LPERR03G06500.2 pep chromosome:Lperr_V1.4:3:4677743:4681566:1 gene:LPERR03G06500 transcript:LPERR03G06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSTPDKADAAVKLVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKQDASALIQSTEEIKKRLAAKETEVQEAKTTLDAKLVTIGNIVHESVPVSDDEANNLVVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCDGEEKYLIATSEQPLCAYHLGDRIYPADLPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWELHEEMIKNSEDFYKEIGLPYQIVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKVLQPYMGGIDFLPFKQPLDSKQSSPPDHLHRRGACAVNQCQRLPEQIHGGYCNVTAFFRWIVFMQ >LPERR03G06500.3 pep chromosome:Lperr_V1.4:3:4677764:4681843:1 gene:LPERR03G06500 transcript:LPERR03G06500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSTPDKADAAVKLVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKQDASALIQSTEEIKKRLAAKETEVQEAKTTLDAKLVTIGNIVHESVPVSDDEANNLVVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCDGEEKYLIATSEQPLCAYHLGDRIYPADLPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWELHEEMIKNSEDFYKEIGLPYQIVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKVLQPYMGGIDFLPFKQPLDSKQVARLQIK >LPERR03G06500.4 pep chromosome:Lperr_V1.4:3:4677764:4681566:1 gene:LPERR03G06500 transcript:LPERR03G06500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSTPDKADAAVKLVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKQDASALIQSTEEIKKRLAAKETEVQEAKTTLDAKLVTIGNIVHESVPVSDDEANNLVVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCDGEEKYLIATSEQPLCAYHLGDRIYPADLPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWELHEEMIKNSEDFYKEIGLPYQIVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKVLQPYMGGIDFLPFKQPLDSKQSSPPDHLHRRGACAVNQCQRLPEQIHGGYCNVTAFFRWIVFMQ >LPERR03G06510.1 pep chromosome:Lperr_V1.4:3:4683986:4688015:1 gene:LPERR03G06510 transcript:LPERR03G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRPGWKSLMPLQLSRKSALRFFLFPKVQATGQSLDDTPVYLNVYDLTPMNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRPEECEKRRLRNPLSCFSSISSQRQLAPSSPFPTSPVKEPLAYSSSRKTSAPSLRNR >LPERR03G06520.1 pep chromosome:Lperr_V1.4:3:4690105:4691034:-1 gene:LPERR03G06520 transcript:LPERR03G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDEKLLFPSFAFPDCFPEAVTSGGEQKKARQRRRRRVKPEAAMAAAAAAAGESGGDDQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAAAAAAATAGGGGGGNSSPSSSSFSTVTYHSALVGQFGVEAAAAEEADLTYMSEYAYTNYMLDLAAAGYCGGVYDQFS >LPERR03G06530.1 pep chromosome:Lperr_V1.4:3:4704231:4710123:-1 gene:LPERR03G06530 transcript:LPERR03G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKSDELRSFEDGGIYRLAESGAAFVDPVRILNESYRRFRLVPSAYYSRSFGPSRLVDEAENERTEESPERKKRKRKRQPKPKPRELNQVERIAEGRHQEARPLLLKAHKSLLKAKNLLEFLPKMINEDVCMLDVESNLEKNFVELGSSWRAPFCEMTLCFQKSSGEDGGEGCFQINQIFMLNNIKMFLHDLDDNYNQGYNFIVVDPPWENGCVRQKVVLKITLSTLWFASLQFEVLYPTLPNRHLLYLPVQELAHPAGALLVLWITNREKLWKFVEEELFPSWGVKDVTVFYWLKVKPDGSLIGDLDLLHHRPYECLLVGYINLNKEDARGSKLKILEERQSSKVLASKMPGLRPESPTSPSPELRRVRTSYTGASTKLATTIAVGKVIQAKVPAEPKVKAKVEEVEKQRRRGKGDCYGTVSSTRRRSPPPSPVCPELGKTRCSWITANSEPLYVAFHDEEWGVPVHEDQKLFELLTLSQALAELTWPTILNKREELRDMFDGFNYASVSEFTDKKINSLSKSNGNMLLSEQKIRAVVTNAKQIHKVIQDFGSFSHYCWSFVNHKPLKSNFRYSRQVPIKTPKSEAISKDLMRRGFQCVGPTTIYSFMQVAGIVNDHLSCCFRYQDCRDSKKNVRIEPGLVEIRLSSPPSSEDSEASRREV >LPERR03G06540.1 pep chromosome:Lperr_V1.4:3:4710298:4714646:1 gene:LPERR03G06540 transcript:LPERR03G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLLLSSPFPSPPPPPPPCRRRGTQWSAASVPSLRRVGLGAVRWADGGGRWCFAGTPRVATRARVAAGAGVSGGGSDGEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMKNYPFFLAQVTTFGYVIVYFSILFIRYRAGIVTKEMLALPKSRFMLIGILEALGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRANQIFGCLLVTAGVILAVTSGANGGLFLSDVNLFWPSVLMASSACHAGASIIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGITLAELPAYVNRGAACFLNIGGNLKDCHGAPLLPVLFIAMNMAFNISILNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTSLSTSFLVGAAILVLGLLLYNLPKKSAGPTKTD >LPERR03G06540.2 pep chromosome:Lperr_V1.4:3:4710298:4714646:1 gene:LPERR03G06540 transcript:LPERR03G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLLLSSPFPSPPPPPPPCRRRGTQWSAASVPSLRRVGLGAVRWADGGGRWCFAGTPRVATRARVAAGAGVSGGGSDGEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMKNYPFFLAQVTTFGYVAWAFYSSVVSVWQLILSVLILGRKYRANQIFGCLLVTAGVILAVTSGANGGLFLSDVNLFWPSVLMASSACHAGASIIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGITLAELPAYVNRGAACFLNIGGNLKDCHGAPLLPVLFIAMNMAFNISILNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTSLSTSFLVGAAILVLGLLLYNLPKKSAGPTKTD >LPERR03G06550.1 pep chromosome:Lperr_V1.4:3:4718099:4719256:1 gene:LPERR03G06550 transcript:LPERR03G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSSSSGSHRPPRPASTEATAPLPPAAAAEEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEDDTAGDADAAARYPRTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRTIKAWRRVSNIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIKVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >LPERR03G06560.1 pep chromosome:Lperr_V1.4:3:4729993:4731096:-1 gene:LPERR03G06560 transcript:LPERR03G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAMAAASSGCSSGCQSGWTTYLDDHSSYSCGTARFHGKVAQQPYYYFDGYSEQDAEEDDLSMISDASSGPRMQYSTGNDEASAAAHAANAADRRGRRMVEPTARRQRQNKMPAGASLLEDTASSPAFFKYTNVSADSNGYGYGAVASPVMEMGNAGDFSTTMGFETPLNGIPLSGYLQMQYSTTTVTTIPTRQTRRGGVEKKRRGTLLLFSVPFCSWKKEADMGGSEDMFANLQIPKR >LPERR03G06570.1 pep chromosome:Lperr_V1.4:3:4741675:4742920:1 gene:LPERR03G06570 transcript:LPERR03G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSDDAVAADAAVDRAPPTMKSSLLEGNKCGSGAPKRCCGERKYELVSYDALPDFLKHNEFILDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLALTVCAATMVPMESSMSASLATSNHTGNPMVLMTMNHGTNGVQSLRNVSVAVESELAAVAALSGGHQVAQWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEAPELRWARAGLFACMGASGLVPIVHKMLVFGARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLSWRDVEAC >LPERR03G06580.1 pep chromosome:Lperr_V1.4:3:4749289:4751355:1 gene:LPERR03G06580 transcript:LPERR03G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVLIQHPGGGRTERAYWALSAGAVMAANPGHYVAAVITSPPAAGAPSGAAPPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIRAKEDVEEETTKPAKPRRRRGSNGGVAPEEEYSSRSLAKVMRQSDEPEPVASASAASKPESDVDEHADGEAAGLDGDLEALLPPQGVVFGRRAGRQWRPALQSIAEG >LPERR03G06590.1 pep chromosome:Lperr_V1.4:3:4752231:4753451:1 gene:LPERR03G06590 transcript:LPERR03G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLSSKKQRKVDEELKKPCVHALFQAPPYVPPFLSFAENSQGSLAIARHARDQISISSLASESDRSRQILPVPILISLITFKLCKQQEVIGSIDELLQSSRPCRRPPTTRIPAADGWVRERPGRRRRAPTPTPASSVSAAAAADGVSGRPPVSSTTIRIRAAAADVPAAVRATAAASASPARAPLVLPGLPRGALLLLPPGRMLLTDGEERDFQMRSILLHPCRARRKTFTGYDSAWVVHLIPL >LPERR03G06600.1 pep chromosome:Lperr_V1.4:3:4753636:4761782:1 gene:LPERR03G06600 transcript:LPERR03G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGNKKKTVDPFSKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDIQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASTCDLKELVSKFIPEVIGKDIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYTKEDIGTKLERPAEEEAEAVPGQEVAAE >LPERR03G06600.2 pep chromosome:Lperr_V1.4:3:4753643:4761782:1 gene:LPERR03G06600 transcript:LPERR03G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGNKKKTVDPFSKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDIQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASTCDLKELVSKFIPEVIGKDIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYTKEDIGTKLERPAEEEAEAVPGQEVAAE >LPERR03G06610.1 pep chromosome:Lperr_V1.4:3:4756972:4761824:-1 gene:LPERR03G06610 transcript:LPERR03G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPESLATRDFSATGSSSRIANRETTPDDNQVNEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIKSLRPRMTSAIADSVKPRGSPRSSRKKTSQVNGMLMNMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWTYGIPEGTSEECKLIDIFHCALEYLPKLWMKSGCFEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAEVKFTQEFDQHKPATPRNNMEEAVLLLLILIKKLALQEIKWDPDLVNHLMFSLSLSGHYEVLASHLEMLLPGTYSRSERWYILALCYSASGMDDSALNIIRNGFHVLERKGKLHVPSLLLGAKLCCKNPKHASEGIKFADRAMRSFRRHDFHFVSVVKHFLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKDSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSSVSAWKLLILVLSAQQNLKESEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKCAVESFRILLAIIQAKKEIWKLTPFDKIKSLQNLEMETWLDLASIYTKLEAWRDSNVCLDKAKAINFFSPKCWHVRGLILEAQSLHQEALTAFSFSLSIDADYVPSMVCMARILTILGGKSLSIARTFLRNALRLEPTSHQAWLSLGLALRSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >LPERR03G06620.1 pep chromosome:Lperr_V1.4:3:4763462:4766731:-1 gene:LPERR03G06620 transcript:LPERR03G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSKLLRLRPRGGGGHRLPPSRPSTSHASQPPPPPPPSAAAPPPPGKEAGAWSKLFLFAPGAITFGLGTWQLFRRQEKVKGKCLTLRVVSSLLSQMEMLEYRTRRLEMEPIAWNQMAPSDLGLDGDPAALEFRKIVCEGDFDEGKSVFVGPRSRSISGVTENGYYVVTPLIPRPTEHGSSCPPILVNRGWVPRGWRDKKAQDHQGTDEVPEYKEADKNTDGKGPWWKFWSNSKEPESSCEIERPVKPTVRVIGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIAHACGLPENTVYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKVKL >LPERR03G06630.1 pep chromosome:Lperr_V1.4:3:4767705:4770040:-1 gene:LPERR03G06630 transcript:LPERR03G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKNELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQTFTEVMGLKTMTDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >LPERR03G06640.1 pep chromosome:Lperr_V1.4:3:4776771:4781131:1 gene:LPERR03G06640 transcript:LPERR03G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGYRSAAAVRRAVLPPSPAPAESRKTACTFAVVDDWIIPDRELFGPVPTHEEAMAATLDLKDAFQFATTESNAVQLEHLSSGDLDAAKKVAQVTALQDLFDPEISQEVHSEKSQDLVHTETLESSQDIIHSKTSEHEDSHESSLVASGAHGRVVQAFAMLHESPEAQDVVASLASDMNVWNAVLRNEKVMQFYKAHETKLREDEAEVSESDVQSSCESATELASAGAFMDCVEKMKALVSEMVTNLSSIMQDLVATSNEGQSKGKLKTMMMDSKRDFANAPSAFVLLAIASIMVVLLKRA >LPERR03G06650.1 pep chromosome:Lperr_V1.4:3:4779536:4783307:-1 gene:LPERR03G06650 transcript:LPERR03G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIVPYIKKFGKHPVTGAPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFSRDDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSESSKEPKPHQTFSIVDAASASVHGRSAAAAKATTAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSIFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAASTSSGGGVGKYLKARTASSADVIGNAIAADDSKKKRKADVSNVEFKDFSEWC >LPERR03G06650.2 pep chromosome:Lperr_V1.4:3:4780173:4783307:-1 gene:LPERR03G06650 transcript:LPERR03G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIVPYIKKFGKHPVTGAPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFSRDDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSESSKEPKPHQTFSIVDAASASVHGRSAAAAKATTAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSIFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAASTSSGGGVGKYLKARTASSADVIGNAIAADDSKKKRKADVSNVEFKDFSEW >LPERR03G06660.1 pep chromosome:Lperr_V1.4:3:4783644:4786575:1 gene:LPERR03G06660 transcript:LPERR03G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRPTASDASSSSSDEDGDAAWKAAIDSIAAVGFGVPLSNGAAKAASGSGGAASNGVEQQAPQEGKAQAPGLKLYQIKVRNMLDDMLEKNLEIIKTPCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGIDVDEKSKKFRHMLKSVTVDGNDILVSAKEASERSLARLEAREAVVKAAAKREEERVQELKKIRGEKWLPSVARQMKEEKAWEKRQ >LPERR03G06660.2 pep chromosome:Lperr_V1.4:3:4783644:4786266:1 gene:LPERR03G06660 transcript:LPERR03G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRPTASDASSSSSDEDGDAAWKAAIDSIAAVGFGVPLSNGAAKAASGSGGAASNGVEQQAPQEGKAQAPGLKLYQIKVRNMLDDMLEKNLEIIKTPCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGIDVDEKSKKFRHMLKSVTVDGNDILVSAKEASERSLARLEAREAVVKAAAKREEERVQELKKIRGEKWLPSVARQMKEEKAWEKRQ >LPERR03G06670.1 pep chromosome:Lperr_V1.4:3:4786893:4789208:1 gene:LPERR03G06670 transcript:LPERR03G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKILCFLLCFILAPPLSTVDSADKPSKPTTSPSLTSHAQSKTHPGEEYRTYIILLWPPTDPEASAMGMDEAAHCAWYESFLPTKLTDAGEPRLLRSYRFVFNGFAATLTEAELKMVAKKRGFLRSFPDRVRHLCTTYTPEFIGLSKGKGVWSDTNYGKGVIIGVIDSGINDQHPSLDDHDIMPPPMKWKGSCQGNIRCNNKLIGAKTFVAGDDQASDQNGHGTHTATTAAGNFVEYTSLHGLAPGTAAGVAPGAHLAVYKACQDLSCNDQAILHAMDAAIGDGVDVISVSISKRLSNIPYDHDPVAIGAFTAMWHGVLVVASAGNRGPNASSIANDTPWILTVGAGSVDRSFPAQVWLQNGDTVPGESLADRTASTSDEWFPLHYSNDPAQRYCNYPEIEGQFTGKIVVCDASSGQKRQRAIIENLLGDNDAEGVVLIDLEEHGYTTILQDYGPNVIQVPNATAGNLRNYSMSEGTKGMMVFRNETVLQAIPAPVVAHFSSRGPSLRSPGLIKPDILAPGLNILAGTLRADFSEAPAFQFRSGTSMATPHVSGVAALIRSRHPDWSAAAIKSAIMTTTTLERNDGGGYILDHHLKEEASGYARGAGLVNAKMAMDPGLIYDISASDYVSYLCTVFGEAPQQAISRIPSWRCSDLPQTSEAMLNYPSITVPLQPTPFTVVRTLTNVGPPELYAVTVFMPEPVTVTVFPRWLFFNNTGDRAAITLTVSGHTSNGQPFIEGNLMLSSLTHSVRNRIIAVVGLGGTHHEHGA >LPERR03G06680.1 pep chromosome:Lperr_V1.4:3:4791651:4791866:-1 gene:LPERR03G06680 transcript:LPERR03G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDAHRHWHESFLPSILTDSGEPRLVASYRYMFNGFAARLTDAELEVVAKKPGFLRAFTDRTRELDVIDD >LPERR03G06690.1 pep chromosome:Lperr_V1.4:3:4793418:4795817:1 gene:LPERR03G06690 transcript:LPERR03G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPSSAATANAAATTASDSDSDTALVADVAEALVSASRQPEPPPIPTLLAPYLPRLAASHHPRVLSLAAAHPGLASPELLLAYRRHLSPPSCLRSLVPLLPVLPYRHLLPLLLSFVPLDPLRRLHRHLLSTHPTIPLADAALSAYARLRLPHLAAQLLHSLRRRRGVRPSLQAANAVLSALARSPSTSPQASLDVFRSLVALRLHPNHYTFNLLVYTHCSKGILADALATLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEAQTLLARMKREGIAPTRATYNTLVSAYARLGWIKQATKVVESMTAFGFEPDLWTYNVLTVGLCQAGKLEEAFRLKDEMARLGTVSPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKRTLVTHNIVVKGLCKEGKLEEALGELEKIAEEGLAPDVITYNTLIDAYCKSGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYQNAEELLHSPPHRGLVPDEVSYGTIMGAYFNECNAEPALRLWDEMIERKLTPSISTYNTLIKGLCGMGRLKEAMDKVNELMEKGLVPDETTHNTIIHAYCKEGDLEKAFQFHNKMVENSFKPDVVTCNTLMNGLCLHGKLNKALKLFESWVEKGKKVDVITYNTLIQSMCKDGDVDTALRFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNMLHKLVGSGQLSQSFASPLLKPSSMDETDNRKDHEDKPEAESSGKVQDNDLEKYTGRLNELCTGGQLKEAKAVLDEMMHKGMPVDSSTYITLMEGLIKRQKRQTHAAVQSIL >LPERR03G06700.1 pep chromosome:Lperr_V1.4:3:4799750:4803974:1 gene:LPERR03G06700 transcript:LPERR03G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAPMGKLLFLLAWITLLQVLCTIAAEGGTACHCLPEPPEPQYGGGIVRNADFSAGLQGWSSFGYGSLTDGASPAGNRFAVATNRTRPYQSVSQKLLLQNDTHYTLSAWLQVSGGVADVRAVVKIAGDFIHAGGVAAKSGCWSMLKGGLTTTISGSGRAEIYFESNATADIWVDSVSLKPFTKEEWSTHRDTSAATARMKTVRLQATDSTGNPLPGAAVSLETVRNGFPVGAAMSSEILRNTAYQSWFVSRFKVATFENEMKWYSTEPSPGREDYTVPDAMLAFAEQHGIAVRGHNVFWDDPNQQPRWVQSLPYPQLLAAASRRIRSVVSRYAGKLIAWDVVNENLHYSFFERKFGWDASTAFYAAARMIDTGAGTLMFMNDYNTLEQPGDMAALPSRYMQRLKEITGGYPENGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGIPVWLTEVDVAGGASQAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNEFNNLPQGDVVDRLITEWSTAQRPSGGTTDAEGFFQAELAHGEYKVTVTHPSLNASVSQSVKVEMGKGSHYFIQV >LPERR03G06710.1 pep chromosome:Lperr_V1.4:3:4803773:4807036:-1 gene:LPERR03G06710 transcript:LPERR03G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACAKVAGERLPLARAPAARQPLARSFVKVRKLSSQHETKSVVSCSVRASDNVTHRLEATAEHILPATNDHVLKAIESINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRIQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLMTPGIYKILRDGSSRQETTAVLGKFGFVEEVRIMSDDVISREK >LPERR03G06710.2 pep chromosome:Lperr_V1.4:3:4803773:4805161:-1 gene:LPERR03G06710 transcript:LPERR03G06710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNPHDSSAEAVNRIYEIKGRIQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLMTPGIYKILRDGSSRQETTAVLGKFGFVEEVRIMSDDVISREK >LPERR03G06710.3 pep chromosome:Lperr_V1.4:3:4805420:4807036:-1 gene:LPERR03G06710 transcript:LPERR03G06710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKACAKVAGERLPLARAPAARQPLARSFVKVRKLSSQHETKSVVSCSVRASDNVTHRLEATAEHILPATNDHVLKAIESINRGQVIAVPTDTIYGFACDAWLVFLKSTFCIRSFDLQMCFNLLLFHVNSNFAPSAMI >LPERR03G06720.1 pep chromosome:Lperr_V1.4:3:4807312:4812057:1 gene:LPERR03G06720 transcript:LPERR03G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVRCLRDGRLDGEHAPALAVASTLQCGPFAARAMLHLAAAVASQAAAGKAQARGLVIVAFDRSPEVYLNFMRRRGLDEKSLNRCVRILDCYSDPIGWKQKIISEQQEECSVDMCSTNKENVTIFRSVKDVDKVMCSTVDLGRGFEGEGKIYFSVAVDSISSMLRHASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKISRAFECLSTMVASLEPAVVDSVHEEEITGNVSSLEENYSKAKFNFRLKRRNGRVKHLYEELHAEGNDVGFVSAPSISTEVNQSLLPKVQFNLELSDKERNDKANVVLPFEHQGKGEPIRIYDGRRSLQEAQQDTNLTASSLLDEVKLPKSAAPKGEIHYIRDSDDEQPDSDEDPDDDLDI >LPERR03G06730.1 pep chromosome:Lperr_V1.4:3:4810631:4818274:-1 gene:LPERR03G06730 transcript:LPERR03G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREWTGAVLLLVWLVVGVINAVAAAAAASMAQPLAYDYSSSSELVGEEDIVCVAVCLTVSSLCTEKLPGQERKCLPEPMDAHYGGGIIRNGDFTAGLQGWSAFGYGSLAEGTSLTGNKFAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTADGKFIHSGGVEARSGCWSILKGGLTATAAGPAELYFESNATADIWVDNVSLQPFTREEWRAHHDAAIKKTRKKTVRLQAKDGAGNPVPGAQMHIEHVRNGFPLGSAMSREILTNPGYQRWFTSRFTVTTFENEMKWYSTEAVPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRSATARRIKSVMSRYAGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDAGALMSMNEFNTLEQPGDFTVLPGKYLRKLWQIKAFPGNGNAARMAIGLEGHFSTHPNIPYIRAALDTMAQANVPVWLTEIDVAPGPDQASHLEQILREVYSHPAVHGIILWTAWHPQGCYVMCLTDNNFRNNPAGDVVDKLIWEWRTRSHVGIADADGYYEAELFHGDYKVTVTHPAANSTVAQSLSVGRESDNEFTIHYNALYRHSQRTHSHPYEYTHANPTLYEHLQKLGRQILENDEVTTDASLSTVLNEHLSLRQMNTFLFAFSEQLATKNMKIYTGKLVLFLVIVLFEGCLCLKSPEKPLYGGGILKDGGYKAKSKAPVKGSVLNVEFKKDHHYAFSAWLQLSKGAGDVRAVMVTPDGKFNTAGVIVVQCGCWTMLKGGATSYAAGKGDIFFESNVTAEIMADGMALQPFSFDEWKDHRTESIKKERKKKVKITVEGADGKALADAEVKLERVAKGFPLGNAMTKEILDKKDYEKWFTSRFQYATLENEMKWYSTEYHQNQEDYRVADKMVELAAKYNITLRGHNVFWDDQHEQMKWVSDLSVPKLKEAMAKRLKNVVTRYAGKVIHWDVVNENLHFSFFEGKLGEDASAQIFKDVAKLDSNPILFMNEFNTIEQPNDPAPLPTKYLAKLKKTREFPGNSGLKYGIGLESHFGTPNIPYMRGSLDTLAQAKVPIWLTEVDVDGKSTKGKQVEYLEEVMREGFAHPAVKGIVLWAAWHAKGCYVMCLTDNNFKNLPTGDAIDKLLAEWKAGHSGKTDSKGVIEAEMFHGEYSVTIKHHSLKQHCVQTVDLDSKGEAKIKAA >LPERR03G06740.1 pep chromosome:Lperr_V1.4:3:4829130:4832423:-1 gene:LPERR03G06740 transcript:LPERR03G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAIHPSLLRACPPAAAASGGRRRRSDLPPRVRAERAGGFVVAALGGGAPAGRGMEQHQHHGDGSLSPVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKPEDRAENIRRVDAGIICIASLISPYRRDRDACRALLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKAMAKHVVCYLEENGYLQD >LPERR03G06740.2 pep chromosome:Lperr_V1.4:3:4829130:4832423:-1 gene:LPERR03G06740 transcript:LPERR03G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAIHPSLLRACPPAAAASGGRRRRSDLPPRVRAERAGGFVVAALGGGAPAGRGMEQHQHHGDGSLSPVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKPEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRALLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKAMAKHVVCYLEENGYLQD >LPERR03G06750.1 pep chromosome:Lperr_V1.4:3:4833665:4836621:-1 gene:LPERR03G06750 transcript:LPERR03G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTISTVSSSGVGLTSTAVKKGGLYSLDVSSIYKYKNTLVDVKVDTESNISTTLTVLDVLPSTKLVTSVKLPDYNSGKVEVQYFHDNASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAGIGVTKPDYHAAIILADKGDTVKVSGVYHLDEKKKSSAVAELTRRLSTNENTLTVGGLYTIDPETSVKARLNNTGKLAALLQHEVKPKSLLTISGEFDTKALDRPPKFGLSLALKP >LPERR03G06760.1 pep chromosome:Lperr_V1.4:3:4838001:4842099:-1 gene:LPERR03G06760 transcript:LPERR03G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSPSRRSVFDASYIRAEFAAAGISPHFIPLIWKYVFQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTAAAESKDGTTTKLLIRLKNGESVEAVIMRYDTKLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLIHASRYSQIRNVVFMGMGEPLNNYNALVEAIQVLTGLPFQLSPKRITVSTVGIIHSINKFNSDLPKINLAVSLHAPDQDIRCHIMPAARAFPLGKLMNALESYQKESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDHNVKKFQKVLRSTYDIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLCSDIEDIRI >LPERR03G06770.1 pep chromosome:Lperr_V1.4:3:4845692:4848577:-1 gene:LPERR03G06770 transcript:LPERR03G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKESQEAPRREGRERDDHHEAPRREGRERDSHGRRPHSSSRSRRDDPSPRRRREERRRHESDRSHHHRSRAEESGKADDRDQKRDRPLQDAAQQDDPSRVEAKPLDDAKNGSPARHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGGRHYYRQTSDRGRQRDEKEHVVDREKHKDEGKSRQYEQQNDGDFTWKHDGFFQLEEEAHPAKKRPAFKEMGMPLEGKESAPAVTEPDSVSHKPDQAGPTSAVGDERRNYHPRGFDRHGGAFERPGGRGIRRGFSDHRNSGQRNGHDSWGRFAGRGRGRDRFNNQYDGGNSMHQAAGDQAEKWKHDLYDQTNRSPTPKTEEEQIAKIEALLAL >LPERR03G06780.1 pep chromosome:Lperr_V1.4:3:4848867:4860922:1 gene:LPERR03G06780 transcript:LPERR03G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPGAARKRRRSQSPAPAGEGQSEPELSKPRLGGGGGGGTGNGWENLDLVLSLQGKELSLERKIELAFNFLTTQTNRPNHDHRADTVQILRVVSFIGNWVQSILISPENSKKTSESSDPVLDYRCWAILRVCIEKKPSISISPNLLKSLGHVARNGLSRVANGASYDDKESFDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSPNDRSGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLKHKQDGSMLKVVEEILSNGLFHPQHVNGYFGLKNLNKSSASKDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSVNQRASLAPRGTSMQKSSDGSEEPHHHRESLFEVFMQFMEPLILECKSFSEKDFANLGITKLVEVHCMLKSVNKVLATVIEEKIYVPTEDTLEGSYFEFLQDIYRVLVSMAEKMYEFWVSAAHLEDTSIKKMIPLLFAEIVDAVGHFLEIEYKVLGRDLVKLWLMIFALSATNASSKDIKPCFLLDSKISGLSSQVICTFSELRQVSFSIFTLCDAVRTFKAAVGAGVLASSFSVSLLSSDKCLESLATLLSSNPLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMILDVDLEAQGESSLVARDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSSLVAKSVERLVDAIRPNLCHLVRNESNSSNEFVFSVIGKHISNKRGSNGQKIPSLSWLYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELMGNSFIVCCGKEWINSANILTEGYFAWIDPNSISLFDVIEKFTQSISRNCSGFTLLVFILHMAALQRLNDLNRQINAFDFLLEDNTNQFDKENSGDTELLKESSCLEATRLTSFMMSYVRLLSSGQVDSFQCYDINAFWNLSLCSLDEFSFPVATWQLLCENIDIWSPHASKKHLKNFFSNLIKFSFLQKRSWKDVENSGSQSSCREITLHNVSVDLLCDTIIYDRKVLLKNLVLSLCHALKKSVLPFVIDANKDNALLDSPPDLVDILTKLESEKFFGTDSGVTYTNGIDKLWICENLLNFLSTVPGFHANSKSFLQLIAYILHLERHLLFAMLCRRYESCNSMELLRLFVCCRRAMKNLVFSFGKEFPELKKYSAFAKIFGDSCLIWLLRSVQELVSLSHKIFEEHTDQLKNTIFSLVDKTSEIFSTLTNINSVFCLLGPSSVPEHDDQAFNILENSALEHVKIMAELLEKSTAGIPLTVKASQCVIKLENCYNTVCWDRLLCTISCIRGFLWGLISALEGTCKDSISSPEERNMMYQYASRFSRYVATFETFIDICLHVLFMGTKDCELVDLVSVRLPQELDCENSSLSIAPIMDEQTRHQLEDNEFHSDGELNISTEPRGFDLPKVQFVKGFLLENLLSGEVPSIAFTLRELYNASAAIIKLKGTLSSPSEICRQTCSPFQKLSMGAMVGTAYVALQKIADMSKWPEFSLLWVDGILSYLEALGSFLTLPEINMPKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNVSGHAVAEGINKQNRLNSLKSRLRLSLRKYVNVSSNTHLNTALQVIERALVGVNYFSHSIYEVNTGNCDGGAVSSDVAAGIDCLYLILETVPGNKRVFKRTIPGLIGALFNIVLHLESPFIFYTEKIPANYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDACHVSQCLHVPMTLFKGFKHLLSCRNVSHSCNQSVEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESANPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQRETLGKHSMYFLAGYISLYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVHDYKLQFQYQGKI >LPERR03G06780.2 pep chromosome:Lperr_V1.4:3:4848867:4860922:1 gene:LPERR03G06780 transcript:LPERR03G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPGAARKRRRSQSPAPAGEGQSEPELSKPRLGGGGGGGTGNGWENLDLVLSLQGKELSLERKIELAFNFLTTQTNRPNHDHRADTVQILRVVSFIGNWVQSILISPENSKKTSESSDPVLDYRCWAILRVCIEKKPSISISPNLLKSLGHVARNGLSRVANGASYDDKESFDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSPNDRSGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLKHKQDGSMLKVVEEILSNGLFHPQHVNGYFGLKNLNKSSASKDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSVNQRASLAPRGTSMQKSSDGSEEPHHHRESLFEVFMQFMEPLILECKSFSEKDFANLGITKLVEVHCMLKSVNKVLATVIEEKIYVPTEDTLEGSYFEFLQDIYRVLVSMAEKMYEFWVSAAHLEDTSIKKMIPLLFAEIVDAVGHFLEIEYKVLGRDLVKLWLMIFALSATNASSKDIKPCFLLDSKISGLSSQVICTFSELRQVSFSIFTLCDAVRTFKAAVGAGVLASSFSVSLLSSDKCLESLATLLSSNPLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMILDVDLEAQGESSLVARDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSSLVAKSVERLVDAIRPNLCHLVRNESNSSNEFVFSVIGKHISNKRGSNGQKIPSLSWLYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELMGNSFIVCCGKEWINSANILTEGYFAWIDPNSISLFDVIEKFTQSISRNCSGFTLLVFILHMAALQRLNDLNRQINAFDFLLEDNTNQFDKENSGDTELLKESSCLEATRLTSFMMSYVRLLSSGQVDSFQCYDINAFWNLSLCSLDEFSFPVATWQLLCENIDIWSPHASKKHLKNFFSNLIKFSFLQKRSWKDVENSGSQSSCREITLHNVSVDLLCDTIIYDRKVLLKNLVLSLCHALKKSVLPFVIDANKDNALLDSPPDLVDILTKLESEKFFGTDSGVTYTNGIDKLWICENLLNFLSTVPGFHANSKSFLQLIAYILHLERQVVPEHDDQAFNILENSALEHVKIMAELLEKSTAGIPLTVKASQCVIKLENCYNTVCWDRLLCTISCIRGFLWGLISALEGTCKDSISSPEERNMMYQYASRFSRYVATFETFIDICLHVLFMGTKDCELVDLVSVRLPQELDCENSSLSIAPIMDEQTRHQLEDNEFHSDGELNISTEPRGFDLPKVQFVKGFLLENLLSGEVPSIAFTLRELYNASAAIIKLKGTLSSPSEICRQTCSPFQKLSMGAMVGTAYVALQKIADMSKWPEFSLLWVDGILSYLEALGSFLTLPEINMPKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNVSGHAVAEGINKQNRLNSLKSRLRLSLRKYVNVSSNTHLNTALQVIERALVGVNYFSHSIYEVNTGNCDGGAVSSDVAAGIDCLYLILETVPGNKRVFKRTIPGLIGALFNIVLHLESPFIFYTEKIPANYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDACHVSQCLHVPMTLFKGFKHLLSCRNVSHSCNQSVEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESANPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQRETLGKHSMYFLAGYISLYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVHDYKLQFQYQGKI >LPERR03G06780.3 pep chromosome:Lperr_V1.4:3:4848867:4858559:1 gene:LPERR03G06780 transcript:LPERR03G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPGAARKRRRSQSPAPAGEGQSEPELSKPRLGGGGGGGTGNGWENLDLVLSLQGKELSLERKIELAFNFLTTQTNRPNHDHRADTVQILRVVSFIGNWVQSILISPENSKKTSESSDPVLDYRCWAILRVCIEKKPSISISPNLLKSLGHVARNGLSRVANGASYDDKESFDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSPNDRSGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLKHKQDGSMLKVVEEILSNGLFHPQHVNGYFGLKNLNKSSASKDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSVNQRASLAPRGTSMQKSSDGSEEPHHHRESLFEVFMQFMEPLILECKSFSEKDFANLGITKLVEVHCMLKSVNKVLATVIEEKIYVPTEDTLEGSYFEFLQDIYRVLVSMAEKMYEFWVSAAHLEDTSIKKMIPLLFAEIVDAVGHFLEIEYKVLGRDLVKLWLMIFALSATNASSKDIKPCFLLDSKISGLSSQVICTFSELRQVSFSIFTLCDAVRTFKAAVGAGVLASSFSVSLLSSDKCLESLATLLSSNPLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMILDVDLEAQGESSLVARDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSSLVAKSVERLVDAIRPNLCHLVRNESNSSNEFVFSVIGKHISNKRGSNGQKIPSLSWLYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELMGNSFIVCCGKEWINSANILTEGYFAWIDPNSISLFDVIEKFTQSISRNCSGFTLLVFILHMAALQRLNDLNRQINAFDFLLEDNTNQFDKENSGDTELLKESSCLEATRLTSFMMSYVRLLSSGQVDSFQCYDINAFWNLSLCSLDEFSFPVATWQLLCENIDIWSPHASKKHLKNFFSNLIKFSFLQKRSWKDVENSGSQSSCREITLHNVSVDLLCDTIIYDRKVLLKNLVLSLCHALKKSVLPFVIDANKDNALLDSPPDLVDILTKLESEKFFGTDSGVTYTNGIDKLWICENLLNFLSTVPGFHANSKSFLQLIAYILHLERQTPAICNAMPSL >LPERR03G06780.4 pep chromosome:Lperr_V1.4:3:4848867:4858559:1 gene:LPERR03G06780 transcript:LPERR03G06780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPGAARKRRRSQSPAPAGEGQSEPELSKPRLGGGGGGGTGNGWENLDLVLSLQGKELSLERKIELAFNFLTTQTNRPNHDHRADTVQILRVVSFIGNWVQSILISPENSKKTSESSDPVLDYRCWAILRVCIEKKPSISISPNLLKSLGHVARNGLSRVANGASYDDKESFDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSPNDRSGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLKHKQDGSMLKVVEEILSNGLFHPQHVNGYFGLKNLNKSSASKDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSVNQRASLAPRGTSMQKSSDGSEEPHHHRESLFEVFMQFMEPLILECKSFSEKDFANLGITKLVEVHCMLKSVNKVLATVIEEKIYVPTEDTLEGSYFEFLQDIYRVLVSMAEKMYEFWVSAAHLEDTSIKKMIPLLFAEIVDAVGHFLEIEYKVLGRDLVKLWLMIFALSATNASSKDIKPCFLLDSKISGLSSQVICTFSELRQVSFSIFTLCDAVRTFKAAVGAGVLASSFSVSLLSSDKCLESLATLLSSNPLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMILDVDLEAQGESSLVARDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSSLVAKSVERLVDAIRPNLCHLVRNESNSSNEFVFSVIGKHISNKRGSNGQKIPSLSWLYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELMGNSFIVCCGKEWINSANILTEGYFAWIDPNSISLFDVIEKFTQSISRNCSGFTLLVFILHMAALQRLNDLNRQINAFDFLLEDNTNQFDKENSGDTELLKESSCLEATRLTSFMMSYVRLLSSGQVDSFQCYDINAFWNLSLCSLDEFSFPVATWQLLCENIDIWSPHASKKHLKNFFSNLIKFSFLQKRSWKDVENSGSQSSCREITLHNVSVDLLCDTIIYDRKVLLKNLVLSLCHALKKSVLPFVIDANKDNALLDSPPDLVDILTKLESEKFFGTDSGVTYTNGIDKLWICENLLNFLSTVPGFHANSKSFLQLIAYILHLERHLLFAMLCRRYESCNSMELLRLFVCCRRAMKNLVFSFGKEFPELKKYSAFAKIFGDSCLIWLLRSVQELVSLSHKIFEEHTDQLKNTIFSLVDKTSEIFSTLTNINSVFCLLGPSSVPEHDDQAFNILENSALEHVKIMAELLEKSTAGIPLTVKASQCVIKLENCYNTVCWDRLLCTISCIRGFLWGLISALEGTCKDSISSPEERNMMYQYASRFSRYVATFETFIDICLHVLFMGTKDCELVDLVSVRLPQELDCENSSLSIAPIMDEQTRHQLEDNEFHSDGELNISTEPRGFDLPKVQFVKGFLLENLLSGEVPSIAFTLRELYNASAAIIKLKGTLSSPSEICRQTCSPFQKLSMGAMVGTAYVALQKIADMSKWPEFSLLWVDGILSYLEALGSFLTLPEINMPKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNVSGHAVAEGINKQNRLNSLKSRLRLSLRKYVNVSSNTHLNTALQVIERALVGVNYFSHSIYEVNTGNCDGGAVSSDVAAGIDCLYLILETVPGNKRVFKRTIPGLIGALFNIVLHLESPFIFYTEKIPANYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDACHVSQCLHVPMTLFKGFKHLLSCRNVSHSCNQSVEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESANPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQRETLGKHSMYFLAGYISLYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVHDYKLQFQYQGKI >LPERR03G06780.5 pep chromosome:Lperr_V1.4:3:4848867:4858559:1 gene:LPERR03G06780 transcript:LPERR03G06780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPGAARKRRRSQSPAPAGEGQSEPELSKPRLGGGGGGGTGNGWENLDLVLSLQGKELSLERKIELAFNFLTTQTNRPNHDHRADTVQILRVVSFIGNWVQSILISPENSKKTSESSDPVLDYRCWAILRVCIEKKPSISISPNLLKSLGHVARNGLSRVANGASYDDKESFDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSPNDRSGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLKHKQDGSMLKVVEEILSNGLFHPQHVNGYFGLKNLNKSSASKDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSVNQRASLAPRGTSMQKSSDGSEEPHHHRESLFEVFMQFMEPLILECKSFSEKDFANLGITKLVEVHCMLKSVNKVLATVIEEKIYVPTEDTLEGSYFEFLQDIYRVLVSMAEKMYEFWVSAAHLEDTSIKKMIPLLFAEIVDAVGHFLEIEYKVLGRDLVKLWLMIFALSATNASSKDIKPCFLLDSKISGLSSQVICTFSELRQVSFSIFTLCDAVRTFKAAVGAGVLASSFSVSLLSSDKCLESLATLLSSNPLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMILDVDLEAQGESSLVARDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSSLVAKSVERLVDAIRPNLCHLVRNESNSSNEFVFSVIGKHISNKRGSNGQKIPSLSWLYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELMGNSFIVCCGKEWINSANILTEGYFAWIDPNSISLFDVIEKFTQSISRNCSGFTLLVFILHMAALQRLNDLNRQINAFDFLLEDNTNQFDKENSGDTELLKESSCLEATRLTSFMMSYVRLLSSGQVDSFQCYDINAFWNLSLCSLDEFSFPVATWQLLCENIDIWSPHASKKHLKNFFSNLIKFSFLQKRSWKDVENSGSQSSCREITLHNVSVDLLCDTIIYDRKTPAICNAMPSL >LPERR03G06790.1 pep chromosome:Lperr_V1.4:3:4872940:4875989:1 gene:LPERR03G06790 transcript:LPERR03G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHQTPTSPSSGGSSSPSSSSEKKMVGGVGSSAAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLSILDSLLIPRCAFVGHSVSAMIGILASIRRPDLFAKLILIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKANLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRSLARY >LPERR03G06800.1 pep chromosome:Lperr_V1.4:3:4879780:4885840:1 gene:LPERR03G06800 transcript:LPERR03G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIHGLAPASEYTVPDEFKAAGYGICAEELSSIVESHDIKKLKSHGGVESLASKLCVSPADGLPRSKRRQALRTELFGINRFAETESRSFWVFVWEALQDTTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDGSYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVENSSDAKSLFTELPESVMTLISQSIFNNTGGDVVFNKDGRREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPEGAMRAHSKGASEIILASCNRYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYIEVDEGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFLVIWYLQTEGKWLFGLKGENSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFDGILDNNVFIAVLSSTVIFQFIIVQFLGDFANTTPLTFTQWFNCIFIGFIGMPIAAAVKLIPVDF >LPERR03G06810.1 pep chromosome:Lperr_V1.4:3:4887390:4888837:-1 gene:LPERR03G06810 transcript:LPERR03G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASASASTSAPATPTSTLICREDGSDLFSAGAAVDDIGDGGGGGGGGELFGAGEEQHLVLMERDDEYLALMLSKEGCSGDDDGGDAGERGEVEAEEWMKNARAGCVGWIVKANAGFRFSLKTAYVAVTYLDRFLARRRVDRGKEWALQLLSVACLSLAAKVEELRAPRLPEFRLEKYDFDCASILRMELFVLSTLKWRMITGTPFSYLSCFTAEFRHDERKAIVLRAIECIFASIKVMSSVEYQPSTIALAAILIARNKEASPNLDEVKAILGSSWQQLETGHVYSCYKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSSMAGANNATTLAATPDNKRKRLHSPQRQ >LPERR03G06820.1 pep chromosome:Lperr_V1.4:3:4903173:4908581:1 gene:LPERR03G06820 transcript:LPERR03G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTIARFAAIAAVLLFGHFAVAVSVDVGGGARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSTPPAPDAPPSGDFFPPAPPTPTASSSPTTVAADVSKTPSGAAGAHHGGGGPTKATIVAAGAAAAAAIALLGFACAFLITGRARRRGDSQKLLGPDRGGGATAARHAASSAADFLYVGTVEPTTPASRHHGPTAADLVGSPYRKLRSERARRRGDDHPSPELRPLPPLRRAATVGSSDEDAYYTPPRHHSGGGGAEAWSEASASSPPTTTTASRRSLPSMTSDFFPPVAVAAIAPPPPPPPRSRRTPPPTRFSTGSTPDIKQVISPVKPSNAPPPPPPPPPPAAKLSTAPKPPPPPAPSEKPAVPTSRRRLLKPLPPEGPRIAMPMPITAATTAAVDNNGSTSMREGDDGGGGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLDEDMIEALFMNNSTPAAPPREVGRKAGGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNADCLGSELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKALLDIPFAFKRVDAMLYRANFETEINYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMINSSKDEQFRKNGLKVVSGLSSELGNVKKAASMDFDVLHGYVNKLETGLEKIKSVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRNEEKRALGRVKDITEYFHGDAAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISAASSLPVLSLYGQRRENNSDDDSSSS >LPERR03G06830.1 pep chromosome:Lperr_V1.4:3:4910131:4914086:1 gene:LPERR03G06830 transcript:LPERR03G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVHPPAQDHQDRFNQLPDDILMSILERVDMRTVLRTSVLSTRWKQLPLLLSHFNLDIDEFIPPDSSMSADEAMKSLIKLMSSLFGSPQSETTINRLSLNFFLFNDLETSLTHLLNISELVCSAVDSGKVKSVELEIRTEKRSELTAGDMRLRAKNLEIHLVCATLRDQSGFKLTDLLRGTSNVKDLVLDFQGEEARKVLQTALNKITTLFLHGIYVKFDLLWTLVLLGSAPSIKIFGVKVWNHACDEGTEKREFFSERNVLWDAAQLDGSVHYKHLEKLEFGGFNPIIKEHLDFVRAVVERAPKLKSVVLEDREPCGECEAMDNPFYPSTSMFPRSKDEKSAVMPRKTDFRTI >LPERR03G06830.2 pep chromosome:Lperr_V1.4:3:4910131:4914086:1 gene:LPERR03G06830 transcript:LPERR03G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVHPPAQDHQDRFNQLPDDILMSILERVDMRTVLRTSVLSTRWKQLPLLLSHFNLDIDEFIPPDSSMSADEAMKSLIKLMSSLFGSPQSETTINRLSLNFFLFNDLETSLTHLLNISELVCSAVDSGKVKSVELEIRTEKRSELTAGDMRLRAKNLVKFFDVSRSLSCCLTKLFLSMASFSQPDLHQLIISCDQLQNLSLYSCKILHTSRLKLDMPNSKLCTVELYSCNIKTVEFLCLPKLELLCCDSWSFSGAPLSFGVVPCLQEIHLVCATLRDQSGFKLTDLLRGTSNVKDLVLDFQGEEARKVLQTALNKITTLFLHGIYVKFDLLWTLVLLGSAPSIKIFGVKVWNHACDEGTEKREFFSERNVLWDAAQLDGSVHYKHLEKLEFGGFNPIIKEHLDFVRAVVERAPKLKSVVLEDREPCGECEAMDNPFYPSTSMFPRSKDEKSAVMPRKTDFRTI >LPERR03G06830.3 pep chromosome:Lperr_V1.4:3:4910131:4914086:1 gene:LPERR03G06830 transcript:LPERR03G06830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVHPPAQDHQDRFNQLPDDILMSILERVDMRTVLRTSVLSTRWKQLPLLLSHFNLDIDEFIPPDSSMSADEAMKSLIKLMSSLFGSPQSETTINRLSLNFFLFNDLETSLTHLLNISELVCSAVDSGKVKSVELEIRTEKRSELTAGDMRLRAKNLIWIAPEGKVLQTALNKITTLFLHGIYVKFDLLWTLVLLGSAPSIKIFGVKVWNHACDEGTEKREFFSERNVLWDAAQLDGSVHYKHLEKLEFGGFNPIIKEHLDFVRAVVERAPKLKSVVLEDREPCGECEAMDNPFYPSTSMFPRSKDEKSAVMPRKTDFRTI >LPERR03G06840.1 pep chromosome:Lperr_V1.4:3:4918333:4926864:1 gene:LPERR03G06840 transcript:LPERR03G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMKETRVCLAAPGTDKYVTTLSLRFCPSAKYLSHIGGFVCKAIENGKVKAVELALPTEKKSLDCDAADKLQHAHNIVSFSHAYPSLFGCFTRLFLHNARFSELEMHYLLGCCKEPQHLMLTNCDTGERSVLKLDVPNSKLSSLKFKLCRFEMIELLCLPKLSQLYCECWISVNAPFSFGTVPCLEDLCFASSAGWYQCGLKLSELLHGTTSIQSLTLDFQGEKIWILPEGKKFYTFFNKLNKLFIHGISIEFNLLWTIIFLEAAPSLETFGVKVWDHLCDVDNETTRKAFSKRKNPWQRKDKMDGSRHLKLKSLEFGGFMTTNKRIEFIKTIVAHAPNLESIVLENKDSCKSCDDVKDRTCSIKNMFPKNKGEEDTVRKRLRDQDRFNQLPDDILMSILERVDMRTVLQTSVLSTRWKQLPVLLSHFNLDVDEFITPNSSMSSNEAMAILIKLMSSLFGSAQRESTIRRLSLNFCLLTDLVTSLKYLFNISELVCNAVDSGKVKSVELAITTEKRVVDYTTGDMVLHAKSLLEFFGVSRSLSCCLTKLFLSTARFSEPDLHQLMISCDQLQHLVCAKSRVQSGFKLTDLLCGTANVHDLVLDFQGEEIWITPEGNELHTALKRITKLFLCGIYVKFDLLWTLLLLQAAPSVKIFGVKVWNHACDEGTQRSELFSERRNDLWDAAQLDDSIHYLQLEKLEFGGFNPIIKEHLDLIRAVIEHAPKLRSVILEDIEPCEDCEAVDCPIYPSTTSMFPQNKDEKSRVVKQLKAGISRPVEIIFQ >LPERR03G06840.2 pep chromosome:Lperr_V1.4:3:4918192:4926864:1 gene:LPERR03G06840 transcript:LPERR03G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRTSFLSTRWRHLPSLLSQMSLNISDFMCSNSTQINHTVIDKAMVALMKETRVCLAAPGTDKYVTTLSLRFCPSAKYLSHIGGFVCKAIENGKVKAVELALPTEKKSLDCDAADKLQHAHNIVSFSHAYPSLFGCFTRLFLHNARFSELEMHYLLGCCKEPQHLMLTNCDTGERSVLKLDVPNSKLSSLKFKLCRFEMIELLCLPKLSQLYCECWISVNAPFSFGTVPCLEDLCFASSAGWYQCGLKLSELLHGTTSIQSLTLDFQGEKIWILPEGKKFYTFFNKLNKLFIHGISIEFNLLWTIIFLEAAPSLETFGVKVWDHLCDVDNETTRKAFSKRKNPWQRKDKMDGSRHLKLKSLEFGGFMTTNKRIEFIKTIVAHAPNLESIVLENKDSCKSCDDVKDRTCSIKNMFPKNKGEEDTVRKRLRDQDRFNQLPDDILMSILERVDMRTVLQTSVLSTRWKQLPVLLSHFNLDVDEFITPNSSMSSNEAMAILIKLMSSLFGSAQRESTIRRLSLNFCLLTDLVTSLKYLFNISELVCNAVDSGKVKSVELAITTEKRVVDYTTGDMVLHAKSLLEFFGVSRSLSCCLTKLFLSTARFSEPDLHQLMISCDQLQHVTLYHCELSDSSILKFDMPKSKLRFVELYSCYIKTVEFLCLPKLEQLNCDSWRLSGPPLSFGVVPCLEQLQLVCAKSRVQSGFKLTDLLCGTANVHDLVLDFQGEEIWITPEGNELHTALKRITKLFLCGIYVKFDLLWTLLLLQAAPSVKIFGVKVWNHACDEGTQRSELFSERRNDLWDAAQLDDSIHYLQLEKLEFGGFNPIIKEHLDLIRAVIEHAPKLRSVILEDIEPCEDCEAVDCPIYPSTTSMFPQNKDEKSRVVKQLKAGISRPVEIIFQ >LPERR03G06840.3 pep chromosome:Lperr_V1.4:3:4918333:4926864:1 gene:LPERR03G06840 transcript:LPERR03G06840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMKETRVCLAAPGTDKYVTTLSLRFCPSAKYLSHIGGFVCKAIENGKVKAVELALPTEKKSLDCDAADKLQHAHNIVSFSHAYPSLFGCFTRLFLHNARFSELEMHYLLGCCKEPQHLMLTNCDTGERSVLKLDVPNSKLSSLKFKLCRFEMIELLCLPKLSQLYCECWISVNAPFSFGTVPCLEDLCFASSAGWYQCGLKLSELLHGTTSIQSLTLDFQGEKIWILPEGKKFYTFFNKLNKLFIHGISIEFNLLWTIIFLEAAPSLETFGVKVWDHLCDVDNETTRKAFSKRKNPWQRKDKMDGSRHLKLKSLEFGGFMTTNKRIEFIKTIVAHAPNLESIVLENKDSCKSCDDVKDRTCSIKNMFPKNKGEEDTVRKRLRDQDRFNQLPDDILMSILERVDMRTVLQTSVLSTRWKQLPVLLSHFNLDVDEFITPNSSMSSNEAMAILIKLMSSLFGSAQRESTIRRLSLNFCLLTDLVTSLKYLFNISELVCNAVDSGKVKSVELAITTEKRVVDYTTGDMVLHAKSLLEFFGVSRSLSCCLTKLFLSTARFSEPDLHQLMISCDQLQHVTLYHCELSDSSILKFDMPKSKLRFVELYSCYIKTVEFLCLPKLEQLNCDSWRLSGPPLSFGVVPCLEQLQLVCAKSRVQSGFKLTDLLCGTANVHDLVLDFQGEEIWITPEGNELHTALKRITKLFLCGIYVKFDLLWTLLLLQAAPSVKIFGVKVWNHACDEGTQRSELFSERRNDLWDAAQLDDSIHYLQLEKLEFGGFNPIIKEHLDLIRAVIEHAPKLRSVILEDIEPCEDCEAVDCPIYPSTTSMFPQNKDEKSRVVKQLKAGISRPVEIIFQ >LPERR03G06850.1 pep chromosome:Lperr_V1.4:3:4931116:4936322:-1 gene:LPERR03G06850 transcript:LPERR03G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPSQQSKPSYNNRRHHNAAARHHHGQQQQQQRYVPKPAAPKPSPPPTLTAALRSSASPSASGSGSGAGRFGGEANGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSMTEHAALLQEKRLLDLPKLLDICAIYGHDNGILTSSLVRNAINIQPNILDGINIVLPQFLSIFHTMQERCMKSLQVLDFVNDAIVTLDAFVDAYQPAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLFHGFQVMSMSQSNGEASDSILSDIVLGIRMLSKRTISFGWRLLEFCYLNDQLVEHDVQASNKMFPAKVEDPMIRGDIIIQTLKDINREATYSQDHPGNTFLQALEKDFKLMNRIGDVRKKGWIHMDDEQFQFIARLCGSTLTSWNSVPDLPVSSYGVELQQKDENTAITESKISQIKDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQKKPAATVGKDKGKGILVETEPQIINKPHKVNTEIHHFVESSSSTSSASQGRSSSVSSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSSVLESQYEYEDEYDDSFDDLGFSVVESSYEETDGANDETSSHGSRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAQVLRNVQKDTIHGLGRGGNVPLGVPNRQQHIDMEEEEGNDANNFNRGGSNLGRGGSYPRGDHGRRGGRDHGGRPQEGEHSNGPPGFGRGGRRGDRNHGNQTEANENPNSNGPPGFGRGGRRGGRDHGNQPEAKENPNGQRGFGRGAPRGGRNHDHPAEDNEDPDGAQGFARGRAAPRGGGGGGRRGGGRDNHFRRDRAMKKHMQGLTGI >LPERR03G06860.1 pep chromosome:Lperr_V1.4:3:4939538:4943473:-1 gene:LPERR03G06860 transcript:LPERR03G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPAHPGSFPASRTGKKSSGEELFLTSRDFAASTVRRARVAALGDVCGRQRRRRRRDAGPSGGCPLLSGSGVRLLVRMEPKHSAEVSKHLDKQNEALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKRKKESQLQEAGQTTQENKELEL >LPERR03G06870.1 pep chromosome:Lperr_V1.4:3:4945521:4946885:-1 gene:LPERR03G06870 transcript:LPERR03G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSDASWHSFDPSVAMEDSEAMAQLLGVQYFGNEHEQQHLKQPAAPPPPAMYWPGDEAADHDQFYGSAPYGYLQQQQQQHYGCYDGGDFFVPDEQLVADPSFMVDLNLKFDDPSIDAGKITPACKRKAEDHHHKDESATTDNVVARKKGRNTASAVQKKGKNAQSSKKAQQKGASCSRSSINQQQESGNVQSSSNYLSDDDSLEMTSCSNVSSASKKSSSTATGNGAKARAGRGAATDPQSLYARVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >LPERR03G06880.1 pep chromosome:Lperr_V1.4:3:4956248:4969835:1 gene:LPERR03G06880 transcript:LPERR03G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDSGDMIRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKKVESGRMEEVEKRNGEQNKIDDGEKSERTEAPIDQEKLDELLAASLAAEEEADLTDKGKQYTASVPLQEKADINEDDDDDDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIADSIKSKREFNPAVFKSNPISSSSSTNPNNNEPLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGRNTVVEGLANNGEPPDFLEHLFDSNGLQSSLHLSEDFDETANDNLHTSSVVGPDKISEGAYHGSEETIEISFIDDQTEVKNNDDQIFLQLASGTSSNLFNIDDRLPKSTEQTGGSYCISKEGIPEGETLPMQIDEKDHQASLLDNCCTDDEIEWEEGGCDVPAGPSSNENNQSKVPKGDLEEDALVQEAIRRSLEDFERQEHENVTPKDLRASTEDHILQSYDDVPKPAEAAGNTTDEIGKEINSDESDMVHGSLVVDRQENKNQTQPDNNDGQADMNITNLLDPLPPCNMTTSTSAAKSSVSSEVQHHNSMIHTPERPKNDDDKIMTQNSLNSDNSKCKVDDSYIGETSRSIQNDLLMDEMVAETDLRKQNVVQSATDFSTSEMNYSELNDNVGINNVSATNIENELSLLRQEQIYLGNERRKLESHAESVNSEMFAECQELLQMFGLPYIIAPMEAEAQCAFMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGIESGSSSKKRKSGRNHSDGKGSSLEPECIEGSDDNQSSNKTQHIKEIFMSKHRNVSKNWHIPSTFPSESVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKTDELLVPVLKEYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKGFLETDELDHDNPSTSNTIKKKERASSGRGRAKGQRTKDAGPGNMGNKDHKVDDSLVDPDEHTTEKRTASKKKTANSAGGSRGKGRRSMNAAHVAIGNQEDSEVSSSASDEDSHVRHSNHYESEGLTLRRSNRKRKQVTYTEDGQEADDNDVSMHQIDENQSEGSLKDMGHMDGHDTQSNLLQQDKSELNSDQMHTDPSDMNEDPSGFELPENCQMDSTPKDYLFTGGGFCMEEGDEQDTAADQSCAEMEHGTNDACEDIDGVSDSGKNVTYSTAGERTENADTGRGASSSQGRNASRNESRGSGAKPTLTKR >LPERR03G06880.2 pep chromosome:Lperr_V1.4:3:4956248:4969835:1 gene:LPERR03G06880 transcript:LPERR03G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDSGDMIRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKKVESGRMEEVEKRNGEQNKIDDGEKSERTEAPIDQEKLDELLAASLAAEEEADLTDKGKQYTASVPLQEKADINEDDDDDDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIADSIKSKREFNPAVFKSNPISSSSSTNPNNNEPLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGRNTVVEGLANNGEPPDFLEHLFDSNGLQSSLHLSEDFDETANDNLHTSSVVGPDKISEGAYHGSEETIEISFIDDQTEVKNNDDQIFLQLASGTSSNLFNIDDRLPKSTEQTGGSYCISKEGIPEGETLPMQIDEKDHQASLLDNCCTDDEIEWEEGGCDVPAGPSSNENNQSKVPKGDLEEDALVQEAIRRSLEDFERQEHENVTPKDLRASTEDHILQSYDDVPKPAEAAGNTTDEIGKEINSDESDMVHGSLVVDRQENKNQTQPDNNDGQADMNITNLLDPLPPCNMTTSTSAAKSSVSSEVQHHNSMIHTPERPKNDDDKIMTQNSLNSDNSKCKVDDSYIGETSRSIQNDLLMDEMVAETDLRKQNVVQSATDFSTSEMNYSELNDNVGINNVSATNIENELSLLRQEQIYLGNERRKLESHAESVNSEMFAECQELLQMFGLPYIIAPMEAEAQCAFMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGIESGSSSKKRKSGRNHSDGKGSSLEPECIEGSDDNQSSNKTQHIKEIFMSKHRNVSKNWHIPSTFPSESVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKTDELLVPVLKEYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKGFLETDELDHDNPSTSNTIKKKERASSGRGRAKGQRTKDAGPGNMGNKDHKVDDSLVDPDEHTTEKRTASKKKTANSAGGSRGKGRRSMNAAHVAIGNQEDSEVSSSASDEDSHVRHSNHYESEGLTLRRSNRKRKQVTYTEDGQEADDNDVSMHQIDENQSEGSLKDMGHMDGHDTQSNLLQQDKSELNSDQMHTDPSDMNEDPSGFELPENCQMDSTPKDYLFTGGGFCMEEGDEQDTAADQSCAEMEHGTNDACEDIDGVSDSGKNVTYSTAGERTENADTGRGASSSQGRNASRNESRGSGAKPTLTKR >LPERR03G06880.3 pep chromosome:Lperr_V1.4:3:4956248:4969835:1 gene:LPERR03G06880 transcript:LPERR03G06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDSGDMIRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKKVESGRMEEVEKRNGEQNKIDDGEKSERTEAPIDQEKLDELLAASLAAEEEADLTDKGKQYTASVPLQEKADINEDDDDDDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIADSIKSKREFNPAVFKSNPISSSSSTNPNNNEPLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGRNTVVEGLANNGEPPDFLEHLFDSNGLQSSLHLSEDFDETANDNLHTSSVVGPDKISEGAYHGSEETIEISFIDDQTEVKNNDDQIFLQLASGTSSNLFNIDDRLPKSTEQTGGSYCISKEGIPEGETLPMQIDEKDHQASLLDNCCTDDEIEWEEGGCDVPAGPSSNENNQSKVPKGDLEEDALVQEAIRRSLEDFERQEHENVTPKDLRASTEDHILQSYDDVPKPAEAAGNTTDEIGKEINSDESDMVHGSLVVDRQENKNQTQPDNNDGQADMNITNLLDPLPPCNMTTSTSAAKSSVSSEVQHHNSMIHTPERPKNDDDKIMTQNSLNSDNSKCKVDDSYIGETSRSIQNDLLMDEMVAETDLRKQNVVQSATDFSTSEMNYSELNDNVGINNVSATNIENELSLLRQEQIYLGNERRKLESHAESVNSEMFAECQELLQMFGLPYIIAPMEAEAQCAFMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGIESGSSSKKRKSGRNHSDGKGSSLEPECIEGSDDNQSSNKTQHIKEIFMSKHRNVSKNWHIPSTFPSESVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKTDELLVPVLKEYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKGFLETDELDHDNPSTSNTIKKKERASSGRGRAKGQRTKDAGPGNMGNKDHKVDDSLVDPDEHTTEKRTASKKKTANSAGGSRGKGRRSMNAAHVAIGNQEDSEVSSSASDEDSHVRHSNHYESEGLTLRRSNRKRKQVTYTEDGQEADDNDVSMHQIDENQSEGSLKDMGHMDGHDTQSNLLQQDKSELNSDQMHTDPSDMNEDPSGFELPENCQMDSTPKDYLFTGGGFCMEEGDEQDTAADQSCAEMEHGTNDACEDIDGVSDSGKNVTYSTAGERTENADTGRGASSSQGRNASRNESRGSGAKPTLTKR >LPERR03G06890.1 pep chromosome:Lperr_V1.4:3:4975271:4980758:1 gene:LPERR03G06890 transcript:LPERR03G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEISIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEILIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLGSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKRQQSVPHDWWAEDLTDLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYRKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDTKNAQKHNSGEVDVHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETISVNTRPVHDGLYRAIDMYLKEHPSLGKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSNSKTNKLDKCETDGNAKIRSNGKVKGGATPKKAAAAHGKTTVPSKGGQCGERSSSDSSDSAILQKLELPKRTPSRSTKPAVV >LPERR03G06890.2 pep chromosome:Lperr_V1.4:3:4975271:4980925:1 gene:LPERR03G06890 transcript:LPERR03G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEISIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEILIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLGSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKRQQSVPHDWWAEDLTDLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYRKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDTKNAQKHNSGEVDVHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETISVNTRPVHDGLYRAIDMYLKEHPSLGKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSNSKTNKLDKCETDGNAKIRSNGKVKGGATPKKAAAAHGKTTVPSKGGQCGERSSSDSSDSAILQKLELPKRTPSRSTKPAVLGDGGGAARVRRKETLGTVRCTNLETIAGP >LPERR03G06890.3 pep chromosome:Lperr_V1.4:3:4975856:4980925:1 gene:LPERR03G06890 transcript:LPERR03G06890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEISIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEILIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLGSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKRQQSVPHDWWAEDLTDLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYRKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDTKNAQKHNSGEVDVHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETISVNTRPVHDGLYRAIDMYLKEHPSLGKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSNSKTNKLDKCETDGNAKIRSNGKVKGGATPKKAAAAHGKTTVPSKGGQCGERSSSDSSDSAILQKLELPKRTPSRSTKPAVLGDGGGAARVRRKETLGTVRCTNLETIAGP >LPERR03G06890.4 pep chromosome:Lperr_V1.4:3:4975271:4980758:1 gene:LPERR03G06890 transcript:LPERR03G06890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEISIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEILIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLGSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKRQQSVPHDWWAEDLTDLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYRKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDTKNAQKHNSGEVDVHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETISVNTRPVHDGLYRAIDMYLKEHPSLGKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSNSKTNKLDKCETDGNAKIRSNGKVKGGATPKKAAAAHGKTTVPSKGGQCGERSSSDSSDSAILQKLELPKRTPSRSTKPAVV >LPERR03G06890.5 pep chromosome:Lperr_V1.4:3:4975258:4976086:1 gene:LPERR03G06890 transcript:LPERR03G06890.5 gene_biotype:protein_coding transcript_biotype:protein_coding LKRTTTSARTHGGCSWKDEQVDPYRAINITPRAYLKKQQEGREKTEKAASRGTHVRPSVLLLRAATAAASRSRTAPRELSIPLSKAAAKGRRRRVRPRPRTNAVLLLAQGLAAVHRPTTTTPPKAKQLGSFKGDERVREEAGKPSVQASLPPCPFSWTLARGELLCGHSRRHAGPAPDSLGAAIGGARTPASPLSFIAHTHRLFPVPNTTQHKHAHTKVLCV >LPERR03G06900.1 pep chromosome:Lperr_V1.4:3:4985209:4986614:-1 gene:LPERR03G06900 transcript:LPERR03G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEGIVVVIREYDPSTDRDGTDAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETSGPGGGRIVGIIRGTVKSVSTGKSRPGAPAIASVGYILGLRVAPSHRRMGLALRLVRRLERWFELMGAEYAYMATEGSNEASLRLFTARCGYSKFRTPSLLVHPVHAHRLRVPRRAASSVLRLDPRDAERLYETHFAHVEFFPSDIGAVLANQLSVGTFLAVVTDGDHGEWRGAEHFLASPPASWAIASVWDCGGVFRLELRGASRLRRAAAAATRALDRAARWMRVPSVPDFFSPFSGWFVYGLGGDGPDADIAAEALFATFVNMARGRAAAVAVEVAACDPLRRRIPHWRRLSCTEDLWCMKRLGGKDESDGWDWSKSPPGLSIFVDPREV >LPERR03G06910.1 pep chromosome:Lperr_V1.4:3:4994231:4997773:-1 gene:LPERR03G06910 transcript:LPERR03G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATAPDPAHQARPPLTPALDKPNSAPPRRPARSSKPISSRYLSANAAASPTSSTTSSSSSSSSSSSSRRSLSAQRVRSSTPPPPQHTTSPTPAAAPVVAPGTLTATTMRSLSVSFQGESFFYQTSRAPRASSPSSPAGRRGPTPERRKSVSSVPEAENTRPQHRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAGAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSPMSPRLPGRSPSPSRGNRGMASPARGRSAEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFTAEKTLHSAWKEISKLRDNISSKRSKLQLLRQKLKLFAILRRQISYLDEWSHIEKHNSSALSAATEALKASTLRLPVVGGAKADAQSVKEAVNSAVDVMHTMASSICTLLSKVQSFPL >LPERR03G06920.1 pep chromosome:Lperr_V1.4:3:5012461:5013588:-1 gene:LPERR03G06920 transcript:LPERR03G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLSLTVSQRKPRRGAEQKHLKSLEMKMKRLPLMVLLLFAYLGGNMSQAISLDAGSTATSSDQSYGGIELNGRRLQERRFSFPNRKTRALENVRIDDYRPVDPSPNSKATIGAGPIEHGTPLLPYVPRPTPPPDHPAQSPVT >LPERR03G06930.1 pep chromosome:Lperr_V1.4:3:5014806:5017030:-1 gene:LPERR03G06930 transcript:LPERR03G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPWGSNPLEPVLQTVAAFSRRLLIAPDAAPDDDARLLRPILSLSLSPPPTPPPPPPPPEVLKKDSNAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMAIISRLYPCKECADHFKEVLKENPVQAGSQAEFSQWLCYVHNVVNRSLGKLIFPCQRVNARWGKLDCPERSCDLEGSNDIIPNR >LPERR03G06940.1 pep chromosome:Lperr_V1.4:3:5025617:5025976:-1 gene:LPERR03G06940 transcript:LPERR03G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVAARFGVRQTGLWRLRQEVSTCEYEDVRVMWEMLSRTGTTAAGGVRPPPPARRHSRFRQPRPWTGGLCLRAGF >LPERR03G06950.1 pep chromosome:Lperr_V1.4:3:5027844:5035063:1 gene:LPERR03G06950 transcript:LPERR03G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPPATTDPAEQQPRGVLMKDLPGMPGTAGGLGLRLAQFVFAAVALAVMASTNDFPSVTSFWRGRKTKAVTASHSEGGATSTFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGCAQKD >LPERR03G06950.2 pep chromosome:Lperr_V1.4:3:5027844:5032388:1 gene:LPERR03G06950 transcript:LPERR03G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPPATTDPAEQQPRGVLMKDLPGMPGTAGGLGLRLAQFVFAAVALAVMASTNDFPSVTSFCFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGARSGHVLDVSYHLSGSRVEMHS >LPERR03G06960.1 pep chromosome:Lperr_V1.4:3:5032845:5035462:-1 gene:LPERR03G06960 transcript:LPERR03G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAETLFVTPVMKRTSDWFVLFVLLRLMMIYVSASMSSCAILVMLWRLYAGMIVLVLATSAESQLPNHSITTELCSKKILSQELPSDITIKVDDAKFNLHKLPLASKCGYIKKQVSGAGGNKATTHLEIADLPGGAKAFELVVKFCYGVNFEITVDNVAMLRCAADHLEMTEDCRPGNLVARTESYLDEVALASLEGAVAVLRRAEDLLPASDKARLVGRCADAVASIVAGGEVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMSSSNGRRRMGARQEHEKRVVLETIAHPNLVEGERKKVCRVMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLRAPSRTPSYAGGGGGESPALSYRATPSFHGGHRPSVPDEVSRLQRENDELRMELLRMKVRLRDAAVGGAGAGGGIPASGRPPLPKKPGGGGNFMNSMSKKLGRLNPFLRSDMLGGGRVRTKPPKDRRHSIS >LPERR03G06970.1 pep chromosome:Lperr_V1.4:3:5036888:5038374:1 gene:LPERR03G06970 transcript:LPERR03G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVSADRVLSYIDNSNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISIGSSVSRPSITLDEFSATDVFRIIDFGNREAPYDANRPSSSLAHPSSESDEESSFIATNTFKKSRGLSKAAFLRLQIEIFEASKDDDRESSPECSICLDGFYDGDELIKLRCGHSVVCMPVTRSWYDITLLNFSVGTGVVPQEAQELCAMTDST >LPERR03G06980.1 pep chromosome:Lperr_V1.4:3:5038965:5041914:-1 gene:LPERR03G06980 transcript:LPERR03G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPADQQAIDGTPTCCTIHLCNELDDMLDVARNVRRLEETVARLAAQRSSVHGAIVAADDGCGEDADRLRRLGCTEEAATWLARARVAEKKANAVARRLVEERDAIGAARHGAGSFAVTANQAAPAATVGTVVAADGYLEEALGYIADDAVGVIGVCGMGGVGKTTLLRAINNSFLPSSSKKFDHVIWAVASKECSIHRLQDSVAEKLGLAKLGSLRDDHSDADLEQRALPIAEHLKNTSFLILLDDLWEYLDLKLIGVPYPDTTTGDEQRRRRKVVLTTRSEIICGKMNADRFVNVECLKPHDAWTLFERNATAATISSHPAIAGLAREVAGECRGLPLALITIGKALSTKTEPELWRHAIDKLRDANLHEITGMEEENAGMLRVLKVSYDYLPNTTMQECFLSCCLWPEDYSIEREKLIQCWLGLGLMSGSGSIDDDVDTGTRIIAALKDVRLLESGEGDGDTRGVRMHDMIRDMSIWIASECGAIRNKWLVRAGVGIRTASKLNEQWRASPEFTAERVSLMKNLIEEIPIQLPARPGVKALMLQNNTSLHAVPESFFRCVPALTYLDLSDTIVRSLPGEIGSLVNLRYLNVSGTFIGALPAELLHLTQLEHLLMSDTNVLHNIPRNVIMGLQKLKILDVFASSYTRWRLNADDGDDDGGEEASLDELEWRSTTIKFLGINVGTVAALRKLSGFANVSTRRLCLKDMAGPASLTLSPSTLSDLLGGLDMLETLQHFAVRSCAGVKDIVVDAGSDSDSDEMRRAYRLPKLEKLRLLRVNHLETIKFRQTTAAHFCPALRRIDILNCYHLKNASWVLHLPLLEYLEIHYCPDMDTIVDGDGDTTAEDDRRPPPPTFPCLKTLVLHGMKSLECLCRGVPAVGFPALEILEVGQCYALRQLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALSPYFKNHS >LPERR03G06990.1 pep chromosome:Lperr_V1.4:3:5054242:5056720:1 gene:LPERR03G06990 transcript:LPERR03G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPSFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >LPERR03G07000.1 pep chromosome:Lperr_V1.4:3:5057139:5059910:-1 gene:LPERR03G07000 transcript:LPERR03G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGSVSRSIADRLLADIGLAGSVGTNVEDVTNAITRLTSIRTDLESSMTKLPHRRRPAEVRDWLSRVDGAEKRVAKLRRDYRRRRCSCFCGGGGEFSLNLFASYAISRRACSERHRLAALMAECDTVRSLVAAAPRPSSGEKAVPSTVVGMDVYLDEALSCIDDRDAGVVAVCGMAGVGKSTLLRIINNVFVQDDPDRMHEFDYVIWLDAPSGDDCALDKLQDAMAHRLGLCADGGGGGVQEHRARTIFHVLRDASFLLLIDGVTRNVNLVDIGVPPLTHDDRRKQKVVMTTRKKGICGRMSSSRRIDMRCLDSENSWSLFRTIARDETINADTRIPEMAKEVAKRCGGLPLALTAIGAAMRCRKNPEEWVSTVNALANCELEKITGVEDMLPSLKESCFDDDLRRPVLRECFLATSLWPEGHAIDKDELVDCWIGLGLVGGDGESSSLPMSESVRTGLAVVNELEEANLLQNGDAAAADDDAGEVKLHAVVRAMALWIARDMGKTPNRWVVHTGGEPLRSRRKLAEFFDRARDAERVSATRGAVEHLPPPMPSSPGRNLSVLMLQHNASLRDIPGGFLLALPSLTYLDASSTGIHTIPPEIAALSASLRYLNLSSTPLESVPPELGELRRLRHLLLRHTARLSTFPAGVLRGMRSLDVLDVCPSRFTDWCGSGGPSLDELRSSSAFVRSLGVSVSTLAGLRVLCGLDNARTRRLAVTRVAATAASSSVALRQSSVLVSLLETLQEVTFAECSGVREVVVAGGEEDVTSSTSSSTWWRLPELRKLEIDEVRELTAVKWTRTDVGAFLPVLRWVRISRCDKLRSVSWVAQLPCLEQLEISHCSEMVHVVDVDDDEEQPERHVFRCLRRMQLVALPLMSSIISGGEAISFPRLESLEIAGCGNLGELPLELQDKLKEI >LPERR03G07010.1 pep chromosome:Lperr_V1.4:3:5063512:5063955:-1 gene:LPERR03G07010 transcript:LPERR03G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFYCPSVNVERLWSMVPAEQAAEAAAGAGKAPVVDLSQFGYFKLLGKGMLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >LPERR03G07020.1 pep chromosome:Lperr_V1.4:3:5065639:5069962:-1 gene:LPERR03G07020 transcript:LPERR03G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGARCTPFSAGSRQSCRPRIRSPAVSPSPFPFRSDPPNPTKRKIRSASPRAPATTTATTTTILPTLLATVGSLATGAVVALAAAAAGAHAGPLPRSRSVATAATAAATAAMSTARVYADVNVNRPREYWEYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLNIVRDQQSKTPSLIFEYVNNTDFKVLYPTLTDYDIRFYIYELLKRENTMFGYFKGPELLVDFQGYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDHLNAYLNKYRIALDPQLEALIGRHSRKPWSKFINPENRHLVSPEAIDFLDRLLRYDHQDRLTAREAMAHPYFQQVRAAEDSRMRM >LPERR03G07020.2 pep chromosome:Lperr_V1.4:3:5065639:5069962:-1 gene:LPERR03G07020 transcript:LPERR03G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGARCTPFSAGSRQSCRPRIRSPAVSPSPFPFRSDPPNPTKRKIRSASPRAPATTTATTTTILPTLLATVGSLATGAVVALAAAAAGAHAGPLPRSRSVATAATAAATAAMSTARVYADVNVNRPREYWEYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLNIVRDQQSKTPSLIFEYVNNTDFKVLYPTLTDYDIRFYIYELLKIVDMIQSLSIARYFKGPELLVDFQGYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDHLNAYLNKYRIALDPQLEALIGRHSRKPWSKFINPENRHLVSPEAIDFLDRLLRYDHQDRLTAREAMAHPYFQQVRAAEDSRMRM >LPERR03G07020.3 pep chromosome:Lperr_V1.4:3:5065639:5069962:-1 gene:LPERR03G07020 transcript:LPERR03G07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGARCTPFSAGSRQSCRPRIRSPAVSPSPFPFRSDPPNPTKRKIRSASPRAPATTTATTTTILPTLLATVGSLATGAVVALAAAAAGAHAGPLPRSRSVATAATAAATAAMSTARVYADVNVNRPREYWEYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLNIVRDQQSKTPSLIFEYVNNTDFKVLYPTLTDYDIRFYIYELLKRENTMFGYFKGPELLVDFQGYDYSLDMWSLGCMFAGMVLGTDHLNAYLNKYRIALDPQLEALIGRHSRKPWSKFINPENRHLVSPEAIDFLDRLLRYDHQDRLTAREAMAHPYFQQVRAAEDSRMRM >LPERR03G07030.1 pep chromosome:Lperr_V1.4:3:5072669:5075436:1 gene:LPERR03G07030 transcript:LPERR03G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIRRWLPCCCGGGGGEEGGGKKGSVGDGLVWDVALKAHASGDYSVAVAQANESLEDQAQVFVSPAATLVGVYDGHGGPEAARFVNKRLFSLIQEFAVENGGMSAGVFEKAFGATEEEFVATVHKSWPSQPRILSVGSCCLVGAIDNGTLFVANLGDSRAVLGRRAGGKNKRVVAERLSRDHNVADETIRNELHEAHPDDPHIVLNTHGVWRIKGIIQVSRSIGDVYLKKPEICRSSPMLQQSICPFPLRRPVMSAVPSIKTRKLKPGDQFVIFASDGLWEQLTDEAAVAIVASSPRKGVAMRLVRAAQLEAARKKDVKYERIRTIEKGYRRHFHDDITVVVLFLDKCGRAGKGGGHGDDEIDGTDGPVDVFSLSSDEQEDPTRPVLR >LPERR03G07040.1 pep chromosome:Lperr_V1.4:3:5080294:5082907:1 gene:LPERR03G07040 transcript:LPERR03G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTRSLQQSGSSKSKGKIMAKIDEEEEEEEEESLSSSCGCFSCAINEPDARLRRASLAAFFRDLPYCDYDDAAAGEVVGAVWRAAMAAPDDPELPSLGAIRCLSLLLARALADDDGGRWRRRGGENACVPYYAAHAIGYDATFPSVSRHAAEAIPLAARAASSCVADVYATFISLSPSKRPKYQRNLLLAAAAGVGHGGGEERKAEEWASQLQCWSLYLLSCLASRDVSSHATICQDAKFLGELCQMWGGLANGDSPAGVGLLRILCRSKTGRAAIAGCRDALSGLCDLARSSDDWQYMAIDCLLLLLNDRDTWHAVADATAAKLVDLADLRHLGPRRRVGNAITSALLAHDDDDEILNTEAKEAIARLREEKVERKGEEDAMDRDELLERRRVAKEKKRQGNDMFWHGEVEKAIELYTEALRLCPMRRRRERLVLHSNRAQCHLARRDAGAAASDATRALSLASRGSAHARSLWRRAQAYDMMGMARESLLDCLAFAGAWLNRPKDAAARGGNTAPKLPYCVARMIGKQMSLTGLFAAVAKKNGGNKVVDGDDCMPHYSDGDDDGGNGNIDDGEEDDVDRDESEEEEFAENELKLCRSGKGLPIITGNAWRRLVRRKKKTSEMLCHDHPSLIH >LPERR03G07050.1 pep chromosome:Lperr_V1.4:3:5086425:5090257:-1 gene:LPERR03G07050 transcript:LPERR03G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGTLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPPTPSICLACPLSSAPVLVDLNTGSTTVLPAFVSDNGNHPAPNSRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKILAVIPIPGGTVVKDIVFSKDGRYLLTNSNDRVIRVYDNLLAVKGSGEEIERISSSNISYENNYEKLKANGASCLAISCELSDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDSNAYVEKAEEQLINEDEHVDIETYDKDSTFSDLDDSTMRELVYLAAIPIPDTRDEQPDKCLGSSSKLEDSNHSGSPSSLDAVQNGQAIPPASSPMEVDNSTAEEPAEAANSKRRRRLSVKGLELQQAEKGKKPITKNKSNGKSPGSNGKQAEPANGNSFAVDDEATEDDEI >LPERR03G07060.1 pep chromosome:Lperr_V1.4:3:5091544:5092921:-1 gene:LPERR03G07060 transcript:LPERR03G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQIILEESCSPISAWQYGTRQAEAALPRAASLRSARRSLGLEEGAKAPAPGLTMYLASWTSGFAWFEGRNYMREIVLVYRSKLKYLLYDQERSKRISVSLWMPRNDHVSVRTREIFTIFDPVSLHYNIVIL >LPERR03G07070.1 pep chromosome:Lperr_V1.4:3:5092997:5096397:-1 gene:LPERR03G07070 transcript:LPERR03G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGGGRATASSHSHEDEYEERAYDSDDKVSIAVSDSDSEDPAGAGGDSGGRPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGRHLAELCREEYPPWAARTLWAMTELALVGADIQEVIGSAIAIKILSGGAVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAISFAIMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPLTKVISWIVTVFLMLINGYLILSFYATEVRGALVRSSICVVLAVYLAFIVYLIMRNTSLYSCLRSSMTKSS >LPERR03G07080.1 pep chromosome:Lperr_V1.4:3:5101111:5102691:1 gene:LPERR03G07080 transcript:LPERR03G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPERRIFQYVGNPRRPTRAPSPSPLPAAIGEEAPATDADADAVYRVVTAAPTPSAMESALSASGVTISSPLLDLVLKRFRFAHGDPLRALSLLTLAADRHGVAPSPFALDTALYVLGRARRFTHMWDLLRSTRRLVPDAVTPRTAMVVLGRVAKVCSVRETVDSFRRLTRMFAGREGDEAGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSVEDAEAFVAEMRELGVDPDLVTYNSLIDCHCKNRGVEKAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCHPDIPAYNAAIRNFVIAKRLGDAFALMEEMASKGLMPNPTTYNLFFRCYYWAYDIGSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHARVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLEEVEKCFYQMIGLGQKPSNVAFRRIKILMQLANREESITRLTATMAQFGRLAPDDCRGVDHTLESTHQSRDETDADRREKHSNIL >LPERR03G07090.1 pep chromosome:Lperr_V1.4:3:5104656:5112945:1 gene:LPERR03G07090 transcript:LPERR03G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTTRSVARMAADARNPDGAEGEAAAAPVAPDHAEGSNGPDNAGEASRDPAAAGGSRSPEAAEGSRSPGAAEASRDPCPDEVAAQLAIVPYAEDIDRHLGALEADPARRPTINYYQEIQGGMMNMNTRGILVDWMAQVAYEFNLLDETLHHAVSYVDRYLSKNAFSRDRLRLLEIYPPQPRNFSAITVNTYGTQQVVNMEAHILRNLNFDVGTPTESVNERLELMCRYLAELGLLDDYYIRFLPSVVAAACLFVAKFTINPKTRPWNLAVQRNTGYKVSDMKDCICAIYDLQAGKKLSNLTAVRNNSGPCRELLYQKRSQRLSSGISSTRTVEVPLLHLCNLQPTTRSVARMEAAAAAAAAAEEQNPDAAEGAADAAAVAPDAAAEGEASREPDEGEASREPNEGEASREPDEGEVSREPEAAEGSRAPGAAVAWRNPIVDAAQWAIVPYAEDIDQYLRAREADPRRRPIINYDQAIQRGNIRMNMRGTLVNWLVGVAFSNGIRNGAVHHAVSYVDRFLSRNAINRDNLQLLGAAALFVASKYEDIRHPKARWFSSITNDTYTTRQVVEMEADILRTLNWDVGSPTAITFLRRFLTTCCGGNRSRDRKLELMCNYLAELSLLDAYYIRFLPSIVAAACLFVAKFTINPKTRPWNLTVQRNTGYKVSDIKDCVHAIHNLQQADRNSNLKAVRDKYGILESVSIISSPQKITASFLKDLKYASG >LPERR03G07090.2 pep chromosome:Lperr_V1.4:3:5104656:5108216:1 gene:LPERR03G07090 transcript:LPERR03G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTTRSVARMAADARNPDGAEGEAAAAPVAPDHAEGSNGPDNAGEASRDPAAAGGSRSPEAAEGSRSPGAAEASRDPCPDEVAAQLAIVPYAEDIDRHLGALEADPARRPTINYYQEIQGGMMNMNTRGILVDWMAQVAYEFNLLDETLHHAVSYVDRYLSKNAFSRDRLRLLEIYPPQPRNFSAITVNTYGTQQVVNMEAHILRNLNFDVGTPTESVNERLELMCRYLAELGLLDDYYIRFLPSVVAAACLFVAKFTINPKTRPWNLAVQRNTGYKVSDMKDCICAIYDLQAGKKLSNLTAFGSVSRVTLPKKITASFLRDLKYANS >LPERR03G07090.3 pep chromosome:Lperr_V1.4:3:5108087:5112945:1 gene:LPERR03G07090 transcript:LPERR03G07090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTRSVARMEAAAAAAAAAEEQNPDAAEGAADAAAVAPDAAAEGEASREPDEGEASREPNEGEASREPDEGEVSREPEAAEGSRAPGAAVAWRNPIVDAAQWAIVPYAEDIDQYLRAREADPRRRPIINYDQAIQRGNIRMNMRGTLVNWLVGVAFSNGIRNGAVHHAVSYVDRFLSRNAINRDNLQLLGAAALFVASKYEDIRHPKARWFSSITNDTYTTRQVVEMEADILRTLNWDVGSPTAITFLRRFLTTCCGGNRSRDRKLELMCNYLAELSLLDAYYIRFLPSIVAAACLFVAKFTINPKTRPWNLTVQRNTGYKVSDIKDCVHAIHNLQQADRNSNLKAVRDKYGILESVSIISSPQKITASFLKDLKYASG >LPERR03G07090.4 pep chromosome:Lperr_V1.4:3:5104656:5112945:1 gene:LPERR03G07090 transcript:LPERR03G07090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTTRSVARMAADARNPDGAEGEAAAAPVAPDHAEGSNGPDNAGEASRDPAAAGGSRSPEAAEGSRSPGAAEASRDPCPDEVAAQLAIVPYAEDIDRHLGALEADPARRPTINYYQEIQGGMMNMNTRGILVDWMAQVAYEFNLLDETLHHAVSYVDRYLSKNAFSRDRLRLLEIYPPQPRNFSAITVNTYGTQQVVNMEAHILRNLNFDVGTPTESVNERLELMCRYLAELGLLDDYYIRFLPSVVAAACLFVAKFTINPKTRPWNLTVQRNTGYKVSDIKDCVHAIHNLQQADRNSNLKAVRDKYGILESVSIISSPQKITASFLKDLKYASG >LPERR03G07100.1 pep chromosome:Lperr_V1.4:3:5113370:5117805:-1 gene:LPERR03G07100 transcript:LPERR03G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYVSSISNELRVPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPATNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKRELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >LPERR03G07110.1 pep chromosome:Lperr_V1.4:3:5118706:5119170:1 gene:LPERR03G07110 transcript:LPERR03G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELPSAAAAAAAVAPAAVGEESGGFSFSIWPPTQRTRDAVVRRLVDTLGGDTILCKRYGAVPAADAEPAARGIEAEAFDAAAVSGAAAASVEEGIKALQFYSKEVSRRLLDFVKSRSAAAKADAAAAAASFAEEALAATSEGEAVEQQPAE >LPERR03G07120.1 pep chromosome:Lperr_V1.4:3:5121666:5124165:1 gene:LPERR03G07120 transcript:LPERR03G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRGTRGERRILQISKGPGVTCQCYNLPLSHPSPARPISPQTAASRANDRTAAHPLPPLRNHARALPPPPRRRHSRRLAVPSREVCSFVNYTPGSPPIHLVKDVQILQ >LPERR03G07130.1 pep chromosome:Lperr_V1.4:3:5124926:5129376:-1 gene:LPERR03G07130 transcript:LPERR03G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMGLYTPLITSVVLLYIWCAATNPGDPGIFKSAEHPKLNKDGKQSQKNSEHGLSHGGKMSNDGFNAVDNNEKLSSMLEQKDSYSWPTFSEILCFPFSCLCKRCFHADTQSSEQHMSEEGMFFCSLCEAEWLNNCIGKRNYKGFFLLMTSAVLLWLSGVLVLILCIVERGEFSRQIVSKLGSSFSTVVFIIVVATCTVLAMIATIPLAQLFTYDYIIALREQEEDQQEEIVGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEVGVPQNSGSRTTKEDEGTRRRTGTVKISPWTLARLNAEEVSKAAAQARKKSKILKPIARHNIPISHDINTGGKLVPKADNNRRPDRRFPAELSLDPLTRLSASGTESNFSDTAIETSGNLAPLQFEARSAFQPSTAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGVIPHPAAQNGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGTAADQHMPSSEG >LPERR03G07140.1 pep chromosome:Lperr_V1.4:3:5133127:5140952:1 gene:LPERR03G07140 transcript:LPERR03G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGFLRAPSSLAAGARRFAFACPTALPLAGAAAAAPHRRGHGRCYCSGSVPDSPASAATPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQASLRRGIRGRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWADLDIAYDKFIRTTDPKHEAVVNEFYSRVLDSGDIYRADYEGLYCVSCEEYKVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPAYLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAYDSIAAADGISLKDNVENLVGKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIAISPITPSLSLRIYTQLGFTEDQFRALRWEDAKWGGLKAGQVMMEPKPIFARIETETEEKDQSSSKATKGGKKKAKSQGLVEA >LPERR03G07150.1 pep chromosome:Lperr_V1.4:3:5140999:5141298:-1 gene:LPERR03G07150 transcript:LPERR03G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTLLLLTAAVAAATTAADDWTPIGSSISSRHFQKFGQWVAEELGPPIRFYRVVSGKRQNANGINYKFIVAMGNHEGDMDNYEVEVHATVARPAGLQ >LPERR03G07160.1 pep chromosome:Lperr_V1.4:3:5142922:5143260:-1 gene:LPERR03G07160 transcript:LPERR03G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFTAILLIVAVAFAAAATKPAAADDGSWTPIGSVASPHFQQFGQWVADELGAPIRFYRVVSGKRQNANGINYKFVVAMGNRVGVEDNYEVEVHVTVDRSPRALTPVAGEQ >LPERR03G07170.1 pep chromosome:Lperr_V1.4:3:5147351:5147710:-1 gene:LPERR03G07170 transcript:LPERR03G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRLLASAAILIVAVFAAAAAAVIAVGSWDPIKDINDPHIQELGGWAVAEHVRQAPSDAGLTFRKVTSGEMQEPDGTSYRLVLVASRATGRRRDGIYAAQVLEHSDSRKLVSFVPAN >LPERR03G07180.1 pep chromosome:Lperr_V1.4:3:5147818:5162458:-1 gene:LPERR03G07180 transcript:LPERR03G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITILFAAAVAIATVFTVVAAADGPWVPVNDAHVQELGKWAVDEEQAQKGEAGLTFNRVTNGEKQEVDGGGENYRLTLEASRSGARFGRYKAMKLATMTTSSLLLAAAVAMVAVCIATAPGATAALVGGWSPIKNISDPHIQELGQWAVSEVNKKVTTRGGLKFGKVTGGEQQVVNGINYRLDIEASSNIDANGSYKAVVYEKDSTTPMSTSRLILAAVAIVAVCAAASTPGTMAAADAGDGDWSPIKNISDPHIQELSNKVTDGEQQVANGINYRLDIDASSSIDADGSYQAVVYEKDSTTRKLVTILFAAAVAIAAAFTVVAAADGPWEPVNDAHVQELGKWAVDEVQKEGEASDLTFNSVTVGEKQAADGGGVNYRLTLEASSSGAKFGRYKAVLY >LPERR03G07190.1 pep chromosome:Lperr_V1.4:3:5162525:5162845:-1 gene:LPERR03G07190 transcript:LPERR03G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTILFAAVAVAIAVFATVASAGLEPADDAHAQELGKWAVEEFARERHLIGFTFNRVTAGQKAEADGGVYYYLELEATSIHARYKAVVFEKGSSRTLLSFDNIH >LPERR03G07200.1 pep chromosome:Lperr_V1.4:3:5170173:5170532:-1 gene:LPERR03G07200 transcript:LPERR03G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSLLLAAVAVVAVCAAAAAPHATVALAGGWSPITNIRDPHIQELGQWAITEVNKNPSTSGPLTFSKVTGGEQQVVNGINYRLDIDASSSIDVDGSYKAVVYEQDSTTRKLISFEKN >LPERR03G07210.1 pep chromosome:Lperr_V1.4:3:5173539:5173748:-1 gene:LPERR03G07210 transcript:LPERR03G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKVSPSSLLTFSQVTSGLQPEFALIKKKAYLLHINASRYEVINSYAAMLIEHANTRRLDFFEEDRS >LPERR03G07220.1 pep chromosome:Lperr_V1.4:3:5181226:5181609:-1 gene:LPERR03G07220 transcript:LPERR03G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNHLHIAHTPAAITRTISLLLAAVAATATAAPGTIGGWSPIKNTDDPHIQELGQWAVTEENKRVTSNTITFRMVINGEQQLVSGMNYRLTINASSLHDDDGGYKAVVYEQEWTKMRKLISFDKID >LPERR03G07230.1 pep chromosome:Lperr_V1.4:3:5183492:5183863:-1 gene:LPERR03G07230 transcript:LPERR03G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLLHTTVAIVVVCVAATVPGATAYGLIGRWRHIQNISDPHIQELGQWAVMEINKVSPSSLLMFSKVTSGLEPAFHFRKMKYRLLHIDASRCGVMHSYKALLIIEQANNMRKLLSFEGDRS >LPERR03G07240.1 pep chromosome:Lperr_V1.4:3:5186041:5187293:-1 gene:LPERR03G07240 transcript:LPERR03G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTSPLESVQAALTHFQPRTDRSRFSPDRSVQEIDVLTKELATCKIQLEVKENEKMQSNLKLEALQNSMQQLSNIHDDRIAHLEDDNRIITKQQSEAAEECKSLRKELAVVRDELDAVKGSNAFLLREIELMETRMIMEKGKIKDALSHILQLTESVLTSAVAAIRAEEERSVFFQEITLGFFNSDKNREAIKVQTEMIESLESELLAKTVEIVYLQSQLNQAKEHHISSEIVAGNQIPQPEAVEDDDGEEFYTKEIDHHEKDGYVLVAKNGDDAGEDDGELKGKLEAARAEIGDLRFSLEEAERRAELAEEAKAALERALREEIQRKSSSSSSSSQVRKTPPATTPLMKSGGDGRPSPGGCLTLGKVLNMKYK >LPERR03G07250.1 pep chromosome:Lperr_V1.4:3:5188694:5194825:-1 gene:LPERR03G07250 transcript:LPERR03G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDQGVASENPGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNQADGAQGADASSVYPAEHAPLNGTAGQTVDYQSTGATENGAALSEMGEPVAEQSYQDAVLSAEEARLWNAVTANCLDFNAWTALIDETEKIAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNTLWDEYIKYEESLQAWSHLAIIYTRILEHPIQQLDRYFHCLKELAATRSLSEILTAEEAAMYAVTTENTAQAPDGETHTDDVDMPAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHSYLDFIEKEEDINKLFEFLISYMQVIKLYERCVIACASYSEFWIRYVLCMEGRGSLELANNALARATHVFVKKQAEIHLFSARFKELTGDVSGARVEYQHLYSELYPGLLEAIVKHSNMEYRLGDKESACLVYEKAIAAEKEKDRSQFLPTLLIQYSRFLSLAVGDIEKAKEMLIVFLEQYTLTKSILEAIMQLESIFPSEKHIEFLDSLVEKFLTAEPTEGEVPSLADKEDLSSIFLEFLDIFGDAQAIKKATNRHIAHFSRKRSILLSKKRRADDVIMSDRDKLARTGDGTQPVVGTDPNAPNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYPQQSYAQPAAMPVAAPGPAVAPAPAPAAAYPQQPVAAQQPYYGTGTYY >LPERR03G07260.1 pep chromosome:Lperr_V1.4:3:5197369:5203972:1 gene:LPERR03G07260 transcript:LPERR03G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKIGSFNISRKAKQELSAIGDDISRFSSTVEEKAKWVFDKLKGKPNKPLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYATRVKATLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPKEAIAVEEF >LPERR03G07260.2 pep chromosome:Lperr_V1.4:3:5197369:5200310:1 gene:LPERR03G07260 transcript:LPERR03G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKIGSFNISRKAKQELSAIGDDISRFSSTVEEKAKWVFDKLKGKPNKPLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYATRVKATLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPKEAIAVEEF >LPERR03G07270.1 pep chromosome:Lperr_V1.4:3:5202503:5203561:-1 gene:LPERR03G07270 transcript:LPERR03G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDDESGHRGRRPPPQQQHRPSSGGGSGDLASSAKLVAEAAKSMFQDHNLEKVDKGRVAGAAADLLHAASQYGKLDGKPAGNYLEKAEEYLHQYGRKEGSGASAAGAGGVKYHDKPGGHGGGRYEEEDEENYKKKPPSGGRYEEDDDYRKKPSSGGGYGGGRYEEEDDYRKKPTSGGGGYGGGRYEEEDDYRKKPSGGGYGGGGRYEDEYNKKPPSGGHGGGGRYEEEDDYKKKPSGGYGYGASSGGGRYEEDDYKKKPSGHSGGRYEEDDEYKKPSGGHGGGKYGKEEDEDKKKKKHGSDESEGGMGDYLKLAQGLMKKQGGDGESGSGSMGNYLKLAEGFLKKR >LPERR03G07280.1 pep chromosome:Lperr_V1.4:3:5204781:5208715:1 gene:LPERR03G07280 transcript:LPERR03G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAAPPATAAPPPRARCSAATTTTTLAPEKKTAFHGRFPLAIVPAAAASLSLVLWSSPVHAGILSGFTGLESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSKVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMTDQEKEDFITELKKRTGAE >LPERR03G07290.1 pep chromosome:Lperr_V1.4:3:5206926:5216691:-1 gene:LPERR03G07290 transcript:LPERR03G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNASAGVTRLLDGERWAAAEKRTSELIARIQPNEDSEGRRRAVYDYVRRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWADLVRDALEHEEKSENAEFRVKEVQYIHAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALIGQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDAADLLLNKSFLDKCSYAYAVTPRIQESQVQQPFISKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLARLLECPKEDLSAEVNQFFTNTWIRHGSGNRPDAPTLSPVHQHPMKAVPAEALNNQRSAMALKKNTENPNLRADQDNLRENAHGYSEGTSQPLQRSVLQSRNSLRTVNPPGSHTHHQKVHVTHANTKVSEQLERNRSDGSMQNERDKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTRVTEMERSLKVDYNNRRNNLAPEVSSSHITKSSQDESMSAVNSSSHHSGKAASDSNSVSSSYREDNGFIMNEELHSVSEASEKQQEEQVLVNLMASSKLHDFNGQFQLPMEIPPHFSVAPSPLLAPTGFPQKHFGGIPPTSLIGPPWPNMLVHGFVPPPMSHYVQNHTFAPNIEEGSESEKPITPDANRDDGNNWHEYGVGLPRYFNHQGRDPQMHHFDGKEHSSPNISGAPLERQGEIAVEDNGAVEANYNMFQNQTSKQFSMNTPMGSGNVRPSQPSLSRNKDVPENSWDELAGNTSRPFRDKWGKRPAFVAPDTTHSKSNTGWQTGNASDHIPPEVDGGVRNGVIMANFRHDTSEIITGSGSTTSRTSQVANDFEASQIGMPNPMFAPFLIGPSQQRQAGNGGLTFIQTGPAVPFLMYPYVPGNSDESAPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDISSAPSASSSTVGDPSDEQKPDILNSDFKCHWNNLQYGRSCQNPRPMNHVVHPYGAPPVYMQPSHIPWDGPGRPASAHVNWTPMVPPGQRVFPVMPLQHSSERITGHQQHHGEDAPAPTPASRFRGGTGTYLPNPTVPFRDRHSSSRNHRGSYNTDKGDHSDKEGNWTNSKQRNPGRNYGRNHSERSGMRSDRQTAGESQYDRQRRSYRNDSYRHEAGAQSSGSTNYIRRPGNMMHGDPSLSASNVPTADGGDMPRPTRQSMANEFYGQRHVAFRGGSSHSSPDQPSSPQTRSAYDDLVMKYPESTCALAADIKYF >LPERR03G07290.2 pep chromosome:Lperr_V1.4:3:5206926:5216691:-1 gene:LPERR03G07290 transcript:LPERR03G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNASAGVTRLLDGERWAAAEKRTSELIARIQPNEDSEGRRRAVYDYVRRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWADLVRDALEHEEKSENAEFRVKEVQYIHAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALIGQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDAADLLLNKSFLDKCSYAYAVTPRIQESQVQQPFISKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLARLLECPKEDLSAEVNQFFTNTWIRHGSGNRPDAPTLSPVHQHPMKAVPAEALNNQRSAMALKKNTENPNLRADQDNLRENAHGYSEGTSQPLQRSVLQSRNSLRTVNPPGSHTHHQKVHVTHANTKVSEQLERNRSDGSMQNERDKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTRVTEMERSLKVDYNNRRNNLAPEVSSSHITKSSQDESMSAVNSSSHHSGKAASDSNSVSSSYREDNGFIMNEELHSVSEASEKQQEEQVLVNLMASSKLHDFNGQFQLPMEIPPHFSVAPSPLLAPTGFPQKHFGGIPPTSLIGPPWPNMLVHGFVPPPMSHYVQNHTFAPNIEEGSESEKPITPDANRDDGNNWHEYGVGLPRYFNHQGRDPQMHHFDGKEHSSPNISGAPLERQGEIAVEDNGAVEANYNMFQNQTSKQFSMNTPMGSGNVRPSQPSLSRNKDVPENSWDELAGNTSRPFRDKWGKRPAFVAPDTTHSKSNTGWQTGNASDHIPPEVDGGVRNGVIMANFRHDTSEIITGSGSTTSRTSQVANDFEASQIGMPNPMFAPFLIGPSQQRQAGNGGLTFIQTGPAVPFLMYPYVPGNSDESAPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDISSAPSASSSTVGDPSDEQKPDILNSDFKCHWNNLQYGRSCQNPRPMNHVVHPYGAPPVYMQPSHIPWDGPGRPASAHVNWTPMVPPGQRVFPVMPLQHSSERITGHQQHHGEDAPAPTPASRFRGGTGTYLPNPTVPFRDRHSSSRNHRGSYNTDKGDHSDKEGNWTNSKQRNPGRNYGRNHSERSGMRSDRQTAGESQYDRQRRSYRNDSYRHEAGAQSSGSTNYIRRPGNMMHGDPSLSASNGIDALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQSMANEFYGQRHVAFRGGSSHSSPDQPSSPQTRSAYDDLVMKYPESTCALAADIKYF >LPERR03G07300.1 pep chromosome:Lperr_V1.4:3:5220720:5225166:1 gene:LPERR03G07300 transcript:LPERR03G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCSQSNPDCSDDDVSSCASREEGLECPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSPSHEERHSLCPSSGGPSSSQHHRRPAPRTESATARERNAAVNVFNPDSISASLQKLVLSFVQMTAKFPLVIIFLLIVLYAVPASAAVLVLYALVTVLFALPSFLILYFAYPSLDWLVREIFN >LPERR03G07310.1 pep chromosome:Lperr_V1.4:3:5235390:5236220:-1 gene:LPERR03G07310 transcript:LPERR03G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRHRSDDDSDRESKRSHARRRHRRHRRRRRHLYVVLDDWSKGYSIYKVDVDGFDGDPDADLDDEAVRLPDPPLFRLETADRGRFAHFAAVGSRIFAMHYSEETNARAPLLVFDTATSSPSAPPYSFDAVANEWTRHGGWMLPFEGQAYYDDELDAWVGLSSGHSSIHRRGRVCSCDVVDPKPAWKLAAWNPAGFAHMGTWAAWRWRNEVRQRLPEEKCLLYATTFRLRYNRNGALEATDRRAPSFTRARNRATLNGAPSGSDRPN >LPERR03G07320.1 pep chromosome:Lperr_V1.4:3:5241225:5246234:-1 gene:LPERR03G07320 transcript:LPERR03G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGVLKGNKGGSQTPTIPPEENAALRRARMNSSNGYDAAFAASIAAAAFAIAAQEQKLAAQKKHVPIEVPSTAPPVLSPIKRGESMKKPTGGSKISRWFSGKETAEDDDDGPADVSVRRPLKPAQRKHEDTSSDQKVPAKIDSSLSAKTGSGSSSKLQDKKGSKKFEQEQAIQKAPSTVRPATSYHSRRNGDGTVGVTAIGTADTKTDEWEKAKLAGITEDYKKMMDTIAEWENEKKVKAKRQKEQKEKELDRKRAKVLEEYNQEITRINKIAGGARSMAEERKYNDERKIKEKADKRRPPLEDFVSAEVFRKTVGCFFFKSKFTFLGSADEEAPGTKWSEDDFKKLR >LPERR03G07320.2 pep chromosome:Lperr_V1.4:3:5241228:5246283:-1 gene:LPERR03G07320 transcript:LPERR03G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGVLKGNKGGSQTPTIPPEENAALRRARMNSSNGYDAAFAASIAAAAFAIAAQEQKLAAQKKHVPIEVPSTAPPVLSPIKRGESMKKPTGGSKISRWFSGKETAEDDDDGPADVSVRRPLKPAQRKHEDTSSDQKVPAKIDSSLSAKTGSGSSSKLQDKKGSKKFEQEQAIQKAPSTVRPATSYHSRRNGDGTVGVTAIGTADTKTDEWEKAKLAGITEDYKKMMDTIAEWENEKKVKAKRQKEQKEKELDRKRAKVLEEYNQEITRINKIAGGARSMAEERKYNDERKIKEKADKRRTSRN >LPERR03G07330.1 pep chromosome:Lperr_V1.4:3:5241250:5243553:1 gene:LPERR03G07330 transcript:LPERR03G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASDSRKDEKKTPHYLRASTGSCHDLCKYGHKSPFEEEKKFSTSGGRRKKLPSHLTNLILHRSAILDRSRDARHRNISLDRSSISLGESDRIAPKKTADNSTRGVTSSDHLVPGASSSADPKNVNFDLKKKQPTVLRKTSADTKSSSGGLKFDKKSAVNKLKLTEMPQLEKSKTMERVTTVKHSSVKRPTSLPTKLNLIKQVPVPSHASSHLLSSKAKRNVKGEITSLPATVTGVHGSNSGKTGRSPMSSSSSINGKEVLNMSRSSFSVESKMGVSVVKQEDDVQDSNMKDHVEPTLRGMSSDTTECFDESRPGPEEAIMPVSGDNEMKGNQKHEASGSEGPLQSSIVVDLLQPSDDQKLKSALSKYLEAEDSQTDDVSSCQLFKKSIAVETAAVGDSLSTENVLEIEANEVKVNTSMGSQFSEGNEEGAHEGLQESIEHLALREKHAEEHVPFLGSTSGYTVEDVKADEIFEGWTNNIPSHCQPISETSSDGELLEDPKSVQIEPRDSTLQSDGLVISASGTSNAFEQDKVKPGIFVQQSPEELSEDEFYEEYDFELSESDDTGTEDEAATINKNSDDRLNADGQRQKRISTLEHDDASSTPYKLKFKRGKIVELQPDSNNGPRRLKFRRRSVSEVSSSEGQSARRIYRRNSTKDTGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNMIEETASKLVESRKSKVKALVGAFETVILLQDGKPTSSTPQADNSPHSFQDDEGTVPEQVA >LPERR03G07340.1 pep chromosome:Lperr_V1.4:3:5247369:5250695:1 gene:LPERR03G07340 transcript:LPERR03G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWSGCSTSFSQERPHPRGRRSGDGTRIHPWREGRSRCGSRHVEAVRTVVALPRVCVCRAAPCVIEPEFAGKEEVGVGVWAIDDEPPSSDGSDGHRRHGLRRRPARPAAAVEKGPAGARNSAAASAAENKSEIGESRLHFLEERDEETLSKRLIRLGQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKIFEFMKGKGMATGHTYTLILKAVASSEGYFAALKMFGEIEESDKKNVDVIVYNTTISVCGRAKDWRQVERLWRRLGENSLSETLMTYDLLISVFVQCGQSDLAIDAYQEMLQRGIDPSEDILKAIIASCTKEGKWEFALTTFRRMLSAGVKPSIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKTKHSALLNSHLYNIALMSCERLGQWEHALQLLWMMEKGGIQISAVSYNHVIGACEVAREPKVALKLYQRMTRQGCSPDTFTHLSVIRACIWGSLWNEVEDILKEVAPDSSVYNTVIHGLCLRGKIKLARKVYMQMRSIGLKPDGKTRSFMLQHIAADY >LPERR03G07350.1 pep chromosome:Lperr_V1.4:3:5252428:5256341:1 gene:LPERR03G07350 transcript:LPERR03G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLLLVFICLCAPHWAWAQQPGEATVIVKGSSKIAETDKNYVCATIDWWPPEKCNYNQCPWGQSSILNLVLLSSFSWLLILDLDHPFLAQAIEAFDNLRIRLGGSLQDRVVYDVGSNSPCTPFTNMSNGLFGFSVGCLNMDRWDKLNDLFQKTGAIVTFGLNALYGRYNVRRSFWAGKWNSTNAYDFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFRQLYKAPLSQPSLLAPGGFFDQQWYSQLLQTSGHGVVSALTHHIYNLGGGNDVHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGSGVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTTNLRRHTSFSHSLRRAVSWLGRKPSSGVTRREYHLTAKDGDLQSKTMLLNGAPLELADDGSTPPMNPVHAAVNSPVYLAPTSIAFVVLPMFEAKACS >LPERR03G07360.1 pep chromosome:Lperr_V1.4:3:5257097:5263096:-1 gene:LPERR03G07360 transcript:LPERR03G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNRYDARTFAVRLKATMENMDKEVKKSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSVSDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWNLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHMLGLGYQENTDIESVRRSAVIHYNGWR >LPERR03G07360.2 pep chromosome:Lperr_V1.4:3:5257593:5263096:-1 gene:LPERR03G07360 transcript:LPERR03G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNRYDARTFAVRLKATMENMDKEVKKSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSVSDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHMLGLGYQENTDIESVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKYVNYSNEFIRNCHILEPQYGKE >LPERR03G07370.1 pep chromosome:Lperr_V1.4:3:5269235:5274266:-1 gene:LPERR03G07370 transcript:LPERR03G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFFLPVRLLVVVLLLAGAARGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEVPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDFIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGTRSKGTHKVLELPDADILV >LPERR03G07380.1 pep chromosome:Lperr_V1.4:3:5275992:5277491:1 gene:LPERR03G07380 transcript:LPERR03G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPSPSDEDEANAAPRMYFIPFPTPGHALPMSDLARLFASRGADATLVLTRANAARLGAPIARAAAAGHRIRVHALSLPAEAAGLTGGHESADDLPNRDLAGPFGLAVDLLAPLFADLLRRDPPDAVVFDGVLPWAATSAPELASSVPRYAFTGTGCFALSVQRSLLLHAPHESVPSDATPFVVPGLPDAVRLTRPRLAEATLPGAHSREFLNRVFAVERATAGWVVNSFDGLERDYVEHYERETGKPVFAVGPVCLVNGDGDDVLQRGRGADDTDAARRVLAWLDTKPARSVAYVCFGSLTRFPIEQVEELGLGLAASGENFVWVVGDKNEHFISPEIDGAVSSGRGLVVTTWAPQVAVLRHVAVGAFVTHCGWGAVTEAAAAGVPVVTWPVFAEQFYNEALVVGIAGTGVAVGAKRGYVWGREESGGVVVGREKVAERVRAAMADEALRRRAEDVGDRARRAVEAGGSAYVAAGKLLDDVRRRRRERESVVKV >LPERR03G07390.1 pep chromosome:Lperr_V1.4:3:5280675:5282821:1 gene:LPERR03G07390 transcript:LPERR03G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPFVLLKRLVEFLGGEEFTDDAGILVGWSTARHLSRGEAMEAMKAKLYLAELPQVSHMDMISPTPAHAQQMGSVPAAEISSTHKGVVVMYADFYRPGSDYSLYGRHLLYNASTNSLIAIPRLPQDSRYSPDIVSLGRSAVLVPAAGDDDDGYVLAEIVTSYEGVNPGLPDATIFTIKNPENVAVDGREWVQSSTPQLPLPADLCGPDYYFQIDMAFSFQGRICWVDLLKGILFCDDVLSPQGPNLSFVSLPLGYSINCHCDNRHQMVPLLQRSMSCVNGAIKFVALVGFGESSPDQVMLRTWVLSPNFKDWKEDTAALSVEDIWDSDSYKQTGLPQLMPVSPVLSLTENGVMYAILNEFERLPQVAPFRGPDGDDEVVAKANYMIRFNILQNKVLTCTKLSADGDLEWMMPDLIATDFTAYLEDHKIASSELITKPAEGFLNKENSRCKALLCDEKVSMKNLYAL >LPERR03G07400.1 pep chromosome:Lperr_V1.4:3:5284663:5291308:1 gene:LPERR03G07400 transcript:LPERR03G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLANYEFFKVILPGSSKTKLKLPDKFVRELEHEHGQILRDAKLHVAGRRRQLWDVRVVVDDVTGGAYLTRGWLQFTRAHDIGDGLFLVFRFDGAATFAITVFDPTMCRRDYYRHDAGESGSSSSGGGDGDCDSDSDASEGDGDGGGGDVATSQFAVTLRLCNLGEKQAQYLNVPVEFQEAHGYAKRAEVALRMRGRTWTVRLKHSRKQKGQRAALRYGWHQFCVDNGLAVGDTCFFRLLPVPNHAGAGDDDDGDHVLKVSVRKADGTFIE >LPERR03G07400.2 pep chromosome:Lperr_V1.4:3:5285750:5291308:1 gene:LPERR03G07400 transcript:LPERR03G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLANYEFFKVILPGSSKTKLKLPDKFVRELEHEHGQILRDAKLHVAGRRRQLWDVRVVVDDVTGGAYLTRGWLQFTRAHDIGDGLFLVFRFDGAATFAITVFDPTMCRRDYYRHDAGESGSSSSGGGDGDCDSDSDASEGDGDGGGGDVATSQFAVTLRLCNLGEKQAQYLNVPVEFQEAHGYAKRAEVALRMRGRTWTVRLKHSRKQKGQRAALRYGWHQFCVDNGLAVGDTCFFRLLPVPNHAGAGDDDDGDHVLKVSVRKADGTFIE >LPERR03G07400.3 pep chromosome:Lperr_V1.4:3:5289150:5291308:1 gene:LPERR03G07400 transcript:LPERR03G07400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLANYEFFKVILPGSSKTKLKLPDKFVRELEHEHGQILRDAKLHVAGRRRQLWDVRVVVDDVTGGAYLTRGWLQFTRAHDIGDGLFLVFRFDGAATFAITVFDPTMCRRDYYRHDAGESGSSSSGGGDGDCDSDSDASEGDGDGGGGDVATSQFAVTLRLCNLGEKQAQYLNVPVEFQEAHGYAKRAEVALRMRGRTWTVRLKHSRKQKGQRAALRYGWHQFCVDNGLAVGDTCFFRLLPVPNHAGAGDDDDGDHVLKVSVRKADGTFIE >LPERR03G07410.1 pep chromosome:Lperr_V1.4:3:5291948:5296180:1 gene:LPERR03G07410 transcript:LPERR03G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMDKKQTSLGTPVYRTNPFDSDSDSEVPSRPPRAQSVPVRRTDQSIQELEDYAVHKAEETTSKVNDCVRAAEAIREDATKTMLILHRQGEQITQTHRVAADIEQDLSMSEKLLGSLGGLFSKRWKPKRNQHIKGPISQNNSFTSSANHMEQRQRLGIASTHQQSPNQVHRSPATPIEKVQVEIAKQDDALSGLSDMLGELKGMALDMGTEIERQNKSLDAFCDDVDELNFRVKGANQRGRRLLGK >LPERR03G07420.1 pep chromosome:Lperr_V1.4:3:5297478:5301200:1 gene:LPERR03G07420 transcript:LPERR03G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAKTPTKTSATAAAAAKTPSKSASRARFSHASENADPNIAPGSPAAASKASTAAAVTAMAAGGTPSKSTASRARISHASENAHPNILGSPPPPPSKPAKSPATMSKSVRKKISTPAPAAPPLPQQRERRFLVAKKGAARRRRNGGGGGGGGEFDFEKCREAAREALRVSHEEFFRKEKEQLQKEEEKEKDEEEKDSAEESKNGALGEEEDVAELEGSSKVRALRTRVMAKAMSSVPDSGAGRVKHLVQAFESMLSISGVTSDADRAGEGSWALPGLQTLKEDGEGIAGMPPVSVSSSAEFLNLGPSSLTFSLDGKSDRLSWDSRTSAGGRRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRAKEQQFIQKVQTMLMEEEQQRIHIAPGLPYTTDEPECLIKPPVKETTEPVDLVLHSDVRAVERAEFDQYVSERHKFAENLRLERERQQKLEEEEMIRQLRKDLVPKAQPMPYFDRPFIPKSLCRQRCMDTGKLNSSCLHEQPTVLTEKSRELSHMF >LPERR03G07420.2 pep chromosome:Lperr_V1.4:3:5297478:5301058:1 gene:LPERR03G07420 transcript:LPERR03G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAKTPTKTSATAAAAAKTPSKSASRARFSHASENADPNIAPGSPAAASKASTAAAVTAMAAGGTPSKSTASRARISHASENAHPNILGSPPPPPSKPAKSPATMSKSVRKKISTPAPAAPPLPQQRERRFLVAKKGAARRRRNGGGGGGGGEFDFEKCREAAREALRVSHEEFFRKEKEQLQKEEEKEKDEEEKDSAEESKNGALGEEEDVAELEGSSKVRALRTRVMAKAMSSVPDSGAGRVKHLVQAFESMLSISGVTSDADRAGEGSWALPGLQTLKEDGEGIAGMPPVSVSSSAEFLNLGPSSLTFSLDGKSDRLSWDSRTSAGGRRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRAKEQQFIQKVQTMLMEEEQQRIHIAPGLPYTTDEPECLIKPPVKETTEPVDLVLHSDVRAVERAEFDQYVSERHKFAENLRLERERQQKLEEEEMIRQLRKDLVPKAQPMPYFDRPFIPKSLCRQRCMDTGKLNSSCLHEQPTVLTEKSRELSHMF >LPERR03G07430.1 pep chromosome:Lperr_V1.4:3:5302104:5306772:1 gene:LPERR03G07430 transcript:LPERR03G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRIAEAVNARLRRLEHPDPRFLRYASPVPAHADHTAILAAPETRVTTLPNGLRVATESSLASRTATVGVWIDAGSRYETEEAAGVAHFVEHMLFKGTDSRSAGQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALDILADILQGSKLEESRIERERAVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVKSITKKDLEKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNRLPTEPTTTSMLVANQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >LPERR03G07440.1 pep chromosome:Lperr_V1.4:3:5307810:5314950:-1 gene:LPERR03G07440 transcript:LPERR03G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSSSRQYCAAKQAFVFVVVGVVLPSLRGCIAQQSGGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADIAVDQYHRFEEDIQLMADMRMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWIALNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYIVAHHLILAAAAASQIYRTKYKATQNGQIGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVAERLPKFTGDEAAMVKGALDFVGINHYTTYYTRHNNTNIIGRLLNDTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYSSPPVYITENGKIIMYKDCPALIPGMDDSNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGFSSRFGLYFVDYKDNLKRYPKNSVQWFKALLSSS >LPERR03G07450.1 pep chromosome:Lperr_V1.4:3:5320316:5323859:1 gene:LPERR03G07450 transcript:LPERR03G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILITIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >LPERR03G07450.2 pep chromosome:Lperr_V1.4:3:5321414:5323859:1 gene:LPERR03G07450 transcript:LPERR03G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILITIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >LPERR03G07460.1 pep chromosome:Lperr_V1.4:3:5324131:5326673:1 gene:LPERR03G07460 transcript:LPERR03G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTPTRPSHAAPASPSPASLRQWRPAAQRNLRNQWSRLLAAKARYIPGMDLGVLKDMPGIRDKASDKLARREEQCQSMLLSAYKEMVLATAELVKASQSMRCFSKIATNSPLIRFTERQDDMNDSGDGGGAPVFKWSSILEFENIAQELVEMFISELQLKVYLTSWLANVNIKTSRIDEIFELVGDEMQIKLS >LPERR03G07470.1 pep chromosome:Lperr_V1.4:3:5332116:5341990:1 gene:LPERR03G07470 transcript:LPERR03G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHHTQHGGDNDFQSWQQQMMYKQLQEFQRQQQAQQPDHGARMQPSFGQFQAPVKPVPTDQLSALSNEMPNNESTTYAWSHQIHANSDPGLASNSQMLNPGSNTNWEQYGGAPGVANFVNGSMFSNTQIQSMRPMGLAAHQMNPSIYPINTTSRDGYGNQHSQFLGIPTDPRNAMTRATPHQSEKASMQFNSLMSEQGPSNSMQNFLGKVGDNIKVGTPVSVNHLQHGMQLQDFHGRPNQVDCQVGMHEKSTMQVGSGSGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNENFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKPEQFIANNATLQGHGQNKFAGLSGTNQENQRPSSASSYGDGSMHNPNFTGFQHTARTPYEQRDRVQHDSSNMTGTNHQSTAEVNNGYFQQSIKQKQSDDYGRQEQMNMSNGIWAHQKSETPRNNSHSTGAHATPPSAHGFWMSQQNSVDHNINRESGSSQNDWKSKSPLVQDINSTPNVFNNDGNFWKSSGGNANLVHRPQQMKPDISTMQVPNNNLDGKSNSMMGSNMPSLNQDQYQSIIGRTGEHVGLNHNIGRKGPEITESLGRSADQKSNDHNQDYLNVLPTERQGHSSNHGHHVSSDLAARRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMENSGMNIRHSPGHPVSNQFPPQSHQTNNNLKPQFITGSQASSNMASVNEKKLMREEQFKSRHIPNSSSAPPFGGSDAGLPQNRAAQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNIATVQQQLHQSSLQGFGLRLAPPSQRQSAPGHVWSTRPDVDGKQLEHLTKGEDQTQPSAASQSLPPAHPSTQPAPFNSSEIDSTGQQTGQFPQFGSGQYPASEARSGSVAMPQQGSSATVFKNVWTNISNQRMAGMQSNKITPNILQSMMFPNSTADSNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGASVSRGSESLQKHLLDGNYSIPVSSLARLHQQGIMNPKQGQNPAVNFQAMKTSQNTGIGLHGSSTPSNIQQQNYSLLHQMQAMRQDVDPANIAGRKLKSPETGSDASQADWKSGQRFAHGANNSVRSSADNIGNANAPGPFPSDMKMLSFAPRNNEDRNSSIPSQLPSREPPSQGMVVPSQTEQQTQVQPANAPSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPMPPYNVPKASWNMDNNNSSEQRIDSGQSVRPGHYVSSTQMEVSVPSNIMKRKPNKRKSTECDLISWHKIIEHPQALRNMSTIEMDWAWATNRLMEKAEDDAESLEDVPVNYLWRKRLRLTTRLIQQILPAIPARVLRAQAASAYESVTYNIAMLTLGDACNMALHNSRALTDHENNSSEQTNAKKMEDRLLKVVEVFAGRIKKMENDFVSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHGAGVETSSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >LPERR03G07470.2 pep chromosome:Lperr_V1.4:3:5334094:5341990:1 gene:LPERR03G07470 transcript:LPERR03G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHHTQHGGDNDFQSWQQQMMYKQLQEFQRQQQAQQPDHGARMQPSFGQFQAPVKPVPTDQLSALSNEMPNNESTTYAWSHQIHANSDPGLASNSQMLNPGSNTNWEQYGGAPGVANFVNGSMFSNTQIQSMRPMGLAAHQMNPSIYPINTTSRDGYGNQHSQFLGIPTDPRNAMTRATPHQSEKASMQFNSLMSEQGPSNSMQNFLGKVGDNIKVGTPVSVNHLQHGMQLQDFHGRPNQVDCQVGMHEKSTMQVGSGSGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNENFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKPEQFIANNATLQGHGQNKFAGLSGTNQENQRPSSASSYGDGSMHNPNFTGFQHTARTPYEQRDRVQHDSSNMTGTNHQSTAEVNNGYFQQSIKQKQSDDYGRQEQMNMSNGIWAHQKSETPRNNSHSTGAHATPPSAHGFWMSQQNSVDHNINRESGSSQNDWKSKSPLVQDINSTPNVFNNDGNFWKSSGGNANLVHRPQQMKPDISTMQVPNNNLDGKSNSMMGSNMPSLNQDQYQSIIGRTGEHVGLNHNIGRKGPEITESLGRSADQKSNDHNQDYLNVLPTERQGHSSNHGHHVSSDLAARRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMENSGMNIRHSPGHPVSNQFPPQSHQTNNNLKPQFITGSQASSNMASVNEKKLMREEQFKSRHIPNSSSAPPFGGSDAGLPQNRAAQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNIATVQQQLHQSSLQGFGLRLAPPSQRQSAPGHVWSTRPDVDGKQLEHLTKGEDQTQPSAASQSLPPAHPSTQPAPFNSSEIDSTGQQTGQFPQFGSGQYPASEARSGSVAMPQQGSSATVFKNVWTNISNQRMAGMQSNKITPNILQSMMFPNSTADSNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGASVSRGSESLQKHLLDGNYSIPVSSLARLHQQGIMNPKQGQNPAVNFQAMKTSQNTGIGLHGSSTPSNIQQQNYSLLHQMQAMRQDVDPANIAGRKLKSPETGSDASQADWKSGQRFAHGANNSVRSSADNIGNANAPGPFPSDMKMLSFAPRNNEDRNSSIPSQLPSREPPSQGMVVPSQTEQQTQVQPANAPSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPMPPYNVPKASWNMDNNNSSEQRIDSGQSVRPGHYVSSTQMEVSVPSNIMKRKPNKRKSTECDLISWHKIIEHPQALRNMSTIEMDWAWATNRLMEKAEDDAESLEDVPVNYLWRKRLRLTTRLIQQILPAIPARVLRAQAASAYESVTYNIAMLTLGDACNMALHNSRALTDHENNSSEQTNAKKMEDRLLKVVEVFAGRIKKMENDFVSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHGAGVETSSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >LPERR03G07480.1 pep chromosome:Lperr_V1.4:3:5344167:5365224:-1 gene:LPERR03G07480 transcript:LPERR03G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPRLTSALRGHYDADQAYLLRKSALQSLALPRPRDEWELARRIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTEPSVVDSALRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHQHVQRNAEDADEDIISEFGASFDFKAPSRFVVDVPLDDDLPFGSGGLSSKPFKTDQYDVHSTSASLNSVSPGGHVNLRWLKDQCDLITRSRGSVLSGDELAKALCRVLLSNIAGDEIAGELLDLVGDAAFDTVQDLLSHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGAETGMNDIFADDFSSLLLASERKQPFDDVIGTGEGASSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKPNEKLIEIRELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVKELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKSELFNSLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELEGLFKRVCPHDIKGGPSDKHGKISILIQVYISRALIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKGVDRKIWPHQHPLRQFDRDLSDEICRRLEEKNIDLDRLYEMEENDIGALIRFAHQGRVVKQYVGYFPYVNLSASVSPITRTVLKVDLLITPEFVWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLTKKARGTPTKISFNVPIFEPHPPQYYIRAISDSWIKAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKAIYIAPLKAIVRERMNDWRHRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVTDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNSYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSIFGTNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLSGKVPYSVDQQHLDDPHVKANLLFQGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLIQPFSASELYQDLQHFPIVDVKLKLQNEDKEQSRPPILNIRLQMKNARRSTSRAFSPRFPKAKQEAWWLVLGNVTSSELYGLKRVNFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKALGP >LPERR03G07490.1 pep chromosome:Lperr_V1.4:3:5366730:5368714:1 gene:LPERR03G07490 transcript:LPERR03G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGDISASQEELLACSSFLNGGDDDEVFSTPPTTQEDAITMCTLPFTQSQSQSPAPVPATATTPAPSPAAAPHTTLGCSSSEDGQDDEMSDIVKRRHRPRVCTRKVRGGARIRTPTPSPDRTTTEMENGNIDPLYKAVLMIPTRDSTPSIPVDLIALARQRGLF >LPERR03G07500.1 pep chromosome:Lperr_V1.4:3:5373133:5377807:1 gene:LPERR03G07500 transcript:LPERR03G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKGFSRPDSSNKYKMHKSSGGTYSKPEARVKIIPVEEITYARSGKLYGKTVGSAGSQKRHCRRSVTPPPSSRKVSLVRSTAVNQKPKPPVSPAASCISPNWRERAQNVHSPATSSSCISPNWHEAVENGHSPVGGYTTNFFTTQIASFSQRPSPVCRAVLSQPSTAPKNLSRSDSTEAFVKSCSSRALHCRGQSSTMPSSPSSGLQKVAEENPTSSSSAHVLAAHSAAVPPGTSAEPSANSFRALGNEKLVAMSYNLHQGTKTAVASAVQKKLNMEPALASTKSALSEKSIEAYPDTTSRPSSSANLFDAKCKVATLQCEKIIPPSPQSISTAQCVESSDNFEAVPSAKRHISTEKQTNQESPINCNVSSGTPVILHTKLHKKHYQPEACWKGKFEVAGELTHICDGLEAHFPFEISVQVYEASKLMPETLKLKARPLSRLWPEAFKMKPPDGQDIGLCFISSSQRPNGNSDHLLEKISSRVGLRTKIGATKLLIFSSKLLTQEYQRKCGKFYFWGVFRKLRRSNQTCKSAGSDLVSDTPPGFTEAHCLPHTGETAEPHIDSSHNFSLDTPPESPLGIPPGFTEADRLPTLSTAEDVVSEKKPIKFALNVPRVAKTEVPPVFTKLLAVKQEPGLPAVYKATEKITSIGKVDEIKSKQDEVTSEQDESSEERMFPKTRLLSDILGSSASSNTNADRNINRNCTAQFLGKFEGMVAPENQTQGTKRDHRESPEPSAADTYKRLKVNGRIALNKVMDRPTLNSPLRSREGSVDVLVSGPSPTVLTREAAAATDII >LPERR03G07510.1 pep chromosome:Lperr_V1.4:3:5378131:5383543:-1 gene:LPERR03G07510 transcript:LPERR03G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEGNAAAWVTVEEWAGSSASALSLTAVLTASPSSLTSRRFGNRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSILLHYFMENGGVLTPQQVSKQEHILPFWSSWRRLLGIKLPHEHVAKTRPYYRNDNYFLLDKGGSVHIFIHKQATATDVLKSFIHGLVLAHLMQKNKSGHSDARQWIDEKYNTFISKLQIEGYSTERLLSHSIVWRAHWSHGPSEEKLE >LPERR03G07510.2 pep chromosome:Lperr_V1.4:3:5378131:5383543:-1 gene:LPERR03G07510 transcript:LPERR03G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEGNAAAWVTVEEWAGSSASALSLTAVLTASPSSLTSRSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSILLHYFMENGGVLTPQQVSKQEHILPFWSSWRRLLGIKLPHEHVAKTRPYYRNDNYFLLDKGGSVHIFIHKQATATDVLKSFIHGLVLAHLMQKNKSGHSDARQWIDEKYNTFISKLQIEGYSTERLLSHSIVWRAHWSHGPSEEKLE >LPERR03G07520.1 pep chromosome:Lperr_V1.4:3:5384398:5387774:-1 gene:LPERR03G07520 transcript:LPERR03G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSPPPGAGAGAGAWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLENSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTRKSWASTATKGNISQSPGAPMYKYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKEMTDYGKRKYLPESNLHASNSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWYIPASAFTFMAVEFLRDHFNEDTDARELTGLSMDTRSKVKEAA >LPERR03G07530.1 pep chromosome:Lperr_V1.4:3:5389268:5396091:1 gene:LPERR03G07530 transcript:LPERR03G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRAAKVSDEPTVAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALAGVSLPGTVAPLANVVPVPYTLPAHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDAEPSVRYKLTKRQTQEEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERVKSVDRAASMIEEILKQGQNPEATIQSNGQAVHPFSASIFLGFDTDPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGNSVNCHAEASQQPLHLYISSMHLKNIEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVHTSGTIPDVHSALGTNVPTGALHSFASTGANASLVAHSVIPQSGPPSYSGVPPPSNLIYPSQPANGGTYYGSYGGIYPQATPLQQVALTLKHASSSSTHVVSATSTSTSTVAMVNPCSYTEADKRSQRRKFQELPVSKGATTELQLTGGNRMWIFHRICYKLEFAPMEFNNKSPLLLLNWMIACINSQQGSKFVKTGLEDLGNMTNSLVAPPMKVQSGSNGLLLQDRQHIPAHPSASKNMPPPPPPRNMPPPPPKSMPPPPPKFPSSEMSRNEEGRSDLKKPMEPPRSMDVSSVSPPKEPRVEKPIGASVSDTLLKLMDYGDDDDEDNINEMDSALRGNPTSSSGQKPFWAA >LPERR03G07530.2 pep chromosome:Lperr_V1.4:3:5389268:5396091:1 gene:LPERR03G07530 transcript:LPERR03G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRAAKVSDEPTVAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALAGVSLPGTVAPLANVVPVPYTLPAHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDAEPSVRYKLTKRQTQEEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERVKSVDRAASMIEEILKQGQNPEATIQSNGQAVHPFSASIFLGFDTDPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGNSVNCHAEASQQPLHLYISSMHLKNIEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVHTSGTIPDVHSALGTNVPTGALHSFASTGANASLVAHSVIPQSGPPSYSGVPPPSNLIYPSQPANGGTYYGSYGGIYPQATPLQQVALTLKHASSSSTHVVSATSTSTSTVAMVNPCSYTEADKRSQRRKFQELPVSKGATTELQNSQQGSKFVKTGLEDLGNMTNSLVAPPMKVQSGSNGLLLQDRQHIPAHPSASKNMPPPPPPRNMPPPPPKSMPPPPPKFPSSEMSRNEEGRSDLKKPMEPPRSMDVSSVSPPKEPRVEKPIGASVSDTLLKLMDYGDDDDEDNINEMDSALRGNPTSSSGQKPFWAA >LPERR03G07540.1 pep chromosome:Lperr_V1.4:3:5396239:5401128:1 gene:LPERR03G07540 transcript:LPERR03G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRAMAAAAVHALVALSVVTAAAAAGRGGEQPLSRIGIHRTTFAIQPGASIDASPPLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFQFANKKNLDYEKTGKGSMRLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFVNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYRIGHRLFDGSIIWGHEYNFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPRSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYADEGTTEEPMGRESLQLLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKGGSQYSGAFTATTHVVVGGGGASLAEYAGVRARWSHAQDLDYGYAKLTALNHTALLFEYVRSRDGAVHDTFTVSRDYRDILACGVDNCPTTTLAS >LPERR03G07550.1 pep chromosome:Lperr_V1.4:3:5401686:5405344:1 gene:LPERR03G07550 transcript:LPERR03G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAMSVTVTPGAVAHIMENPSPNTDTEAVPEIVVQVVDLKPVGARLSFTASDGKDKIKAILPSQYVQVVRAGNLQNLGLVRILDYTCNNVADRPDKVLIIIKCEVVCKSMDSEIKSEADKQEEEKKPAIVLTPKEEEESVVVFKPTNALPPAPVALKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLIALNPYQGNWVIKVRVTSKGNLRTYRNARGEGCVFDVELTDEDDVIAVYGEQLRVLVYRLGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRIANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQIQYNFIKIDQLGPYVGGRELVDVVGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIVAIKCLKVSDFQGLSLSTVGKSTVVVNPDLPEAEKLRAWYCSSLKHFYFLVLSVILSTLFLDIILLNRYDSEGKGTSMASVGSDMGGSRAGGARLMYTDRVFLSQITSDPTLGQDKPVFFSLYSFISLIKPDQTMWYRACKTCNKKVTESVGSGYWCEGCQKNDAECSLRYIMVIKVSDPTAEAWLSLFNDQAERIVGCSADELDRIRKEEGDDTYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDYAAEAKYLLEEIAKITAC >LPERR03G07560.1 pep chromosome:Lperr_V1.4:3:5406406:5412287:-1 gene:LPERR03G07560 transcript:LPERR03G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLGGIGRGEGGDGERGKQKLPPRSDDVEGEEEVELNLGLSLGGRFGLDRKGEKLARSSSVAAIFAAPEEAAPPAPPSALFRTSSLPTAAAAAEAAKNPGLDDLICYRQEAEPSARLPVTASGSPSSGSSDGGEGRMLEVNMTDNLMRTNSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNVSKEAVGQILEEMNTKVDKVESCDDDPTGNKKTGGKVNHSSDKIRCTGLPPVHRAASTQQRGSLLIKQNPAVQGTASVEEHNAPSAVAPPTEHPDGAVTATPVSALAVRAVALASRVEQLHGTGRVAERAKSMGDVEQVMMQEMPCVCTKGLPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPISPSLF >LPERR03G07570.1 pep chromosome:Lperr_V1.4:3:5413924:5418018:-1 gene:LPERR03G07570 transcript:LPERR03G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPVPLPHKSQAPPVSRASPIASFSASALAWRHFAIFTTASLPWMTGTAINPLFRSAYLAKDGDRDVTLVIPWLSLKDQELVYPNKIVFESPLEHEAYVRHWIEERISFRPSFSIKFYPAKFSTELRSILPVGDITEFIPDELADIAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQVIACFMKYMNTWVTRIYCHKIIRLSGATQDMPRSVVCNIHGVNPKFLEVGKLKLNQLQNGETAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGTGEDSDEVRKSAELLNLVVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICADHPSNEFFKRFPNCRIYNSDEEFVQFTLNALTEQPAPLTDMQRIHETSKISLPTFLKTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGD >LPERR03G07570.2 pep chromosome:Lperr_V1.4:3:5413924:5418018:-1 gene:LPERR03G07570 transcript:LPERR03G07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPVPLPHKSQAPPVSRASPIASFSASALAWRHFAIFTTASLPWMTGTAINPLFRSAYLAKDGDRDVTLVIPWLSLKDQELVYPNKIVFESPLEHEAYVRHWIEERISFRPSFSIKFYPAKFSTELRSILPVGDITEFIPDELADIAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQVIACFMKYMNTWVTRIYCHKIIRLSGATQDMPRSVVCNIHGVNPKFLEVGKLKLNQLQNGETAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGTGEDSDEVRKSAELLNLVVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICADHPSNEFFKRFPNCRIYNSDEEFVQFTLNALTEQPAPLTDMQRYDLSWEAATARFMEAAELNLPISESRIHETSKISLPTFLKTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGD >LPERR03G07570.3 pep chromosome:Lperr_V1.4:3:5414534:5418018:-1 gene:LPERR03G07570 transcript:LPERR03G07570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPVPLPHKSQAPPVSRASPIASFSASALAWRHFAIFTTASLPWMTGTAINPLFRSAYLAKDGDRDVTLVIPWLSLKDQELVYPNKIVFESPLEHEAYVRHWIEERISFRPSFSIKFYPAKFSTELRSILPVGDITEFIPDELADIAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQVIACFMKYMNTWVTRIYCHKIIRLSGATQDMPRSVVCNIHGVNPKFLEVGKLKLNQLQNGETAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGTGEDSDEVRKSAELLNLVVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICADHPSNEFFKRFPNCRIYNSDEEFVQFTLNALTEQPAPLTDMQRYDLSWEAATARFMEAAELNLPISESRIHETSKISLPTFLKTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGLALPTKKKRLKLKLMTG >LPERR03G07570.4 pep chromosome:Lperr_V1.4:3:5413924:5417450:-1 gene:LPERR03G07570 transcript:LPERR03G07570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAIFTTASLPWMTGTAINPLFRSAYLAKDGDRDVTLVIPWLSLKDQELVYPNKIVFESPLEHEAYVRHWIEERISFRPSFSIKFYPAKFSTELRSILPVGDITEFIPDELADIAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQVIACFMKYMNTWVTRIYCHKIIRLSGATQDMPRSVVCNIHGVNPKFLEVGKLKLNQLQNGETAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGTGEDSDEVRKSAELLNLVVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICADHPSNEFFKRFPNCRIYNSDEEFVQFTLNALTEQPAPLTDMQRYDLSWEAATARFMEAAELNLPISESRIHETSKISLPTFLKTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGD >LPERR03G07570.5 pep chromosome:Lperr_V1.4:3:5414534:5417450:-1 gene:LPERR03G07570 transcript:LPERR03G07570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAIFTTASLPWMTGTAINPLFRSAYLAKDGDRDVTLVIPWLSLKDQELVYPNKIVFESPLEHEAYVRHWIEERISFRPSFSIKFYPAKFSTELRSILPVGDITEFIPDELADIAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQVIACFMKYMNTWVTRIYCHKIIRLSGATQDMPRSVVCNIHGVNPKFLEVGKLKLNQLQNGETAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYGTGEDSDEVRKSAELLNLVVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICADHPSNEFFKRFPNCRIYNSDEEFVQFTLNALTEQPAPLTDMQRYDLSWEAATARFMEAAELNLPISESRIHETSKISLPTFLKTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGLALPTKKKRLKLKLMTG >LPERR03G07580.1 pep chromosome:Lperr_V1.4:3:5420075:5420777:1 gene:LPERR03G07580 transcript:LPERR03G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLLLFSLSPELLPLPKSRTLLRTPALPPLFVGSPGRLGQIVTVVAEAVRQSLRKKGLHVPPWRKPEYMRAKWLSPQILRYCSGEEHKPPPTPVSLPSFSSELELLFDGSKTTPMNNAGAGAGEDVEAKKDHGGGFPVTMAPGGDGGGEQDEAAGAGAGGDGDRARRRALNRGEVTR >LPERR03G07590.1 pep chromosome:Lperr_V1.4:3:5420096:5420305:-1 gene:LPERR03G07590 transcript:LPERR03G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVDLAVLERHPPRSEHRGGRRRRGEGGSLDLGGPTAAAYGGRPRTHGSPEESSGFRERQQFGRERK >LPERR03G07600.1 pep chromosome:Lperr_V1.4:3:5423415:5427366:-1 gene:LPERR03G07600 transcript:LPERR03G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKPPRIPTSRRRSPSPLDPSSVARVAEGESSTKPLASLPWGFRSGLGRNPEAASRPKVVFELAGGDFAKGFNSELGMYGQGGNFNPQYRHAAPPPPPQQAGQPLPPPPPRMAQYPQPPAMAQPPPGAYQPGRPPVQNQPYPFAPMHQMQMLPQQRGYAQMPMPGPPSQPPPPQAMYQGHPQYPMPGPLPPPPPRPPSFAPENVLPPSSPPPPPPPPPPSSPPPAPPLPSAVPTTKQSWESEPERKGATDGCHDVKTEKAANQLIVSDDSDMDMDADEDSPSREHLSPINSSLATAECTGNVNAPKSVSDVSSLGNGSDGKAKTTNVTEEGRSPFQLIQGYASGDSEDEDDAGAGAASNLVTENNEPGHSINANPCIGHQLLTEAAPCTGRGLEAQEHQLMDKSNPVKHVSDELGHLNKEDLGGNDSDRVQQSRRHGRSQWKRSRGQSPQGRKSCSPLSQSVSPGRQSSSLLAKRANLLQSKLPDGVGHTFRAEHGVKLGISKDGFYNDKHDAPAKVSIPFESHPAGGGNISGDIISEQEGLMRTKKLQSSSDDLNGDEQANDVSVGSLGPHGHGAVLTVVPSQSMASSENASDPHKMQSSDHASIPQSDIDKSSLAAHQSLVSQSPVIPFATVHATEKSMACDVLQSHPQNLCPGQMPSGLRPAHIPSVNMTPLPGKQLLSSSEFPQMHFQPNVMVPASEFLQSQMQTYPPPDLSHPRPLDFHPHTLQPAVPSHQQPAAIVHSSFQRYPSNLPGSSDYGLSDTDLPKSSIKPHYNPFASTFEETDPSLNIGCDAIPNTVGSASTKAAEHMNGLSPFGLSVPGTGTRAREKSVEVVSSRHHRELTSSAPYDPLLDSIEPSSSSINKMDLGRETNLSASNRNASKIVNIEVESKNMHGLGLVAESVVEEFGEVAADTETGVVENLSPEPLGAKDWSSEMPGDIDNDESVDKNKTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVRKTVDKVSNSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMVCL >LPERR03G07600.2 pep chromosome:Lperr_V1.4:3:5423415:5427366:-1 gene:LPERR03G07600 transcript:LPERR03G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKPPRIPTSRRRSPSPLDPSSVARVAEGESSTKPLASLPWGFRSGLGRNPEAASRPKVVFELAGAGVTGGFPQQPLPPPPPRMAQYPQPPAMAQPPPGAYQPGRPPVQNQPYPFAPMHQMQMLPQQRGYAQMPMPGPPSQPPPPQAMYQGHPQYPMPGPLPPPPPRPPSFAPENVLPPSSPPPPPPPPPPSSPPPAPPLPSAVPTTKQSWESEPERKGATDGCHDVKTEKAANQLIVSDDSDMDMDADEDSPSREHLSPINSSLATAECTGNVNAPKSVSDVSSLGNGSDGKAKTTNVTEEGRSPFQLIQGYASGDSEDEDDAGAGAASNLVTENNEPGHSINANPCIGHQLLTEAAPCTGRGLEAQEHQLMDKSNPVKHVSDELGHLNKEDLGGNDSDRVQQSRRHGRSQWKRSRGQSPQGRKSCSPLSQSVSPGRQSSSLLAKRANLLQSKLPDGVGHTFRAEHGVKLGISKDGFYNDKHDAPAKVSIPFESHPAGGGNISGDIISEQEGLMRTKKLQSSSDDLNGDEQANDVSVGSLGPHGHGAVLTVVPSQSMASSENASDPHKMQSSDHASIPQSDIDKSSLAAHQSLVSQSPVIPFATVHATEKSMACDVLQSHPQNLCPGQMPSGLRPAHIPSVNMTPLPGKQLLSSSEFPQMHFQPNVMVPASEFLQSQMQTYPPPDLSHPRPLDFHPHTLQPAVPSHQQPAAIVHSSFQRYPSNLPGSSDYGLSDTDLPKSSIKPHYNPFASTFEETDPSLNIGCDAIPNTVGSASTKAAEHMNGLSPFGLSVPGTGTRAREKSVEVVSSRHHRELTSSAPYDPLLDSIEPSSSSINKMDLGRETNLSASNRNASKIVNIEVESKNMHGLGLVAESVVEEFGEVAADTETGVVENLSPEPLGAKDWSSEMPGDIDNDESVDKNKTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVRKTVDKVSNSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMVCL >LPERR03G07600.3 pep chromosome:Lperr_V1.4:3:5423415:5427366:-1 gene:LPERR03G07600 transcript:LPERR03G07600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKPPRIPTSRRRSPSPLDPSSVARVAEGESSTKPLASLPWGFRSGLGRNPEAASRPKVVFELAGGDFAKGFNSELGMYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAQPPPGAYQPGRPPVQNQPYPFAPMHQMQMLPQQRGYAQMPMPGPPSQPPPPQAMYQGHPQYPMPGPLPPPPPRPPSFAPENVLPPSSPPPPPPPPPPSSPPPAPPLPSAVPTTKQSWESEPERKGATDGCHDVKTEKAANQLIVSDDSDMDMDADEDSPSREHLSPINSSLATAECTGNVNAPKSVSDVSSLGNGSDGKAKTTNVTEEGRSPFQLIQGYASGDSEDEDDAGAGAASNLVTENNEPGHSINANPCIGHQLLTEAAPCTGRGLEAQEHQLMDKSNPVKHVSDELGHLNKEDLGGNDSDRVQQSRRHGRSQWKRSRGQSPQGRKSCSPLSQSVSPGRQSSSLLAKRANLLQSKLPDGVGHTFRAEHGVKLGISKDGFYNDKHDAPAKVSIPFESHPAGGGNISGDIISEQEGLMRTKKLQSSSDDLNGDEQANDVSVGSLGPHGHGAVLTVVPSQSMASSENASDPHKMQSSDHASIPQSDIDKSSLAAHQSLVSQSPVIPFATVHATEKSMACDVLQSHPQNLCPGQMPSGLRPAHIPSVNMTPLPGKQLLSSSEFPQMHFQPNVMVPASEFLQSQMQTYPPPDLSHPRPLDFHPHTLQPAVPSHQQPAAIVHSSFQRYPSNLPGSSDYGLSDTDLPKSSIKPHYNPFASTFEETDPSLNIGCDAIPNTVGSASTKAAEHMNGLSPFGLSVPGTGTRAREKSVEVVSSRHHRELTSSAPYDPLLDSIEPSSSSINKMDLGRETNLSASNRNASKIVNIEVESKNMHGLGLVAESVVEEFGEVAADTETGVVENLSPEPLGAKDWSSEMPGDIDNDESVDKNKTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVRKTVDKVSNSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMVCL >LPERR03G07610.1 pep chromosome:Lperr_V1.4:3:5428556:5431837:-1 gene:LPERR03G07610 transcript:LPERR03G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRTRPRLPPPRRSRRERAPALIHPPPLPQIARLLPQKPTNKIDPSRVDLILPPAVLVTAVGAGGCSSEVDPAVSGGTRQQQQQARSCALRMSNLSEPSKEAGSADDSSAVQKTGAWSSTLSILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLKTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLYPLEMLLMGELNQMKIDSSNTTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEESDEEQQKLMEMQQGHESSSTQKQASS >LPERR03G07610.2 pep chromosome:Lperr_V1.4:3:5428556:5431268:-1 gene:LPERR03G07610 transcript:LPERR03G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDPAVSGGTRQQQQQARSCALRMSNLSEPSKEAGSADDSSAVQKTGAWSSTLSILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLKTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLYPLEMLLMGELNQMKIDSSNTTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEESDEEQQKLMEMQQGHESSSTQKQASS >LPERR03G07610.3 pep chromosome:Lperr_V1.4:3:5428556:5430607:-1 gene:LPERR03G07610 transcript:LPERR03G07610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAGRFKMSNLSEPSKEAGSADDSSAVQKTGAWSSTLSILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLKTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLYPLEMLLMGELNQMKIDSSNTTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEESDEEQQKLMEMQQGHESSSTQKQASS >LPERR03G07610.4 pep chromosome:Lperr_V1.4:3:5431095:5431837:-1 gene:LPERR03G07610 transcript:LPERR03G07610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRTRPRLPPPRRSRRERAPALIHPPPLPQIARLLPQKPTNKIDPSRLTPLSREGLGSSSNRLVLARFV >LPERR03G07620.1 pep chromosome:Lperr_V1.4:3:5472146:5477994:1 gene:LPERR03G07620 transcript:LPERR03G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFHQGPCTDCRRNQPLPLISPTSNEASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVSAPVPHQERTTEQVVESFDIFKQMERSG >LPERR03G07620.2 pep chromosome:Lperr_V1.4:3:5472058:5477994:1 gene:LPERR03G07620 transcript:LPERR03G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFHQGPCTDCRRNQPLPLISPTSNEASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVSAPVPHQERTTEQVVESFDIFKQMERSG >LPERR03G07630.1 pep chromosome:Lperr_V1.4:3:5482270:5492578:-1 gene:LPERR03G07630 transcript:LPERR03G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRTRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGAGHSGSATVLAADQPHHHQGLLHPHPEGDHSLQIGYHHAHHHHQAYMDHLNNEADMVAHHPNDHIPSGWI >LPERR03G07640.1 pep chromosome:Lperr_V1.4:3:5499290:5507793:1 gene:LPERR03G07640 transcript:LPERR03G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVDHGASKEMLPFHGNPDPVVDELNRLENLLREKERELGHAYNEMKGLKVTEALKDKAIAELTKELKKQDEKLSSMEKQLEQKNLDVKRLSNEKKDALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEIALLQDDKKALERQLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENRLLEKSNRQQVLDIERLTHTIAELEESILATGDVANAVRFYQNQAAKLNEEKRTLERELARARVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKVEAQLNDKLRRKLKSLEDDMRSASSNTSSNNKDNAIKQVTPKRSSSQSRRPIISADGADKRRPVSQPRTSVSGKVLDKQPGSETETAEKSRYGAKRFDSPRSAKGVSGRGERPMRNHLWAQRTKVVADSGKENKEHNPNYKAHLSDSHSDCGVQSSEHEEAMDLRKLDEGKAGDSSAVKSTKGCCEN >LPERR03G07650.1 pep chromosome:Lperr_V1.4:3:5510173:5516884:1 gene:LPERR03G07650 transcript:LPERR03G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGAAAAAAAVSLVVCYLLLHRTGAAKLPWVGSRSSHRRTRRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLLCGGTVMEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRAFEANKSAAAPRESSYKEINGSAYIDTRMPHNNNITATQEESNKGLTNGSTHTNTEVQYNGKCDHDSDSKGGFFADQFENMANYRAHYEWTGPEILQQTKGTLHAFVAAAGTGGTIAGVSRYLKEKNANIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLGQ >LPERR03G07660.1 pep chromosome:Lperr_V1.4:3:5515177:5517219:-1 gene:LPERR03G07660 transcript:LPERR03G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSWPHRPISLTFQPKNPSPATARVSVHDPPPQDPPPPPPDSNPKSRYLWVNPNSARAAGLAKARAASSRRARLAAAAAALAACEPEESPIAAALEAAFPTDNQQHQPPSEQDAVIVLNTAAPRAAEAIVLALRWFLRNAEVRNEVILYNVVLKALRKRRRWADAEALWEEMMREGVRPDNATFSTVISCARACGMPAKAVEWFEKMPEFGCSPDMLTYSAVIDAYGRAGDAESALRLYDRARAEKCPLDPVICATVIRIHATSGNFDGALNVFEEMKAAGVKPNLVVYNTVLDAMGRAMRPWVVKTIHRELITQGVKPSKATYSCLLQAYTRARYGEDAMAVYRTIKDESIHIDVILYNMLLSMCADIGYVDEAEEIFRDMKASSTMDDASSKPDSWSYSSMVTLYSCTGDVVGAEGILNEMVEARFKPNIFILTSLIRCYGKAGRTDDVVRSFAMFEELGITPDDRFCGCLLTVAAGTPEKDLHKVIGCIERSNSQLGALVNLLIDPAAPSDRLGDAAAELLGAARGVVKMPYCNCLMDLAVNLGRMESACALLGVALRLGIYANVQTRTQTQWSLHLRGLSVGAALTTLHVWMADLDAAMRRGEELPPLLGIHTGQGKNMYTDRGLAAVFEAHLKELDAPFHEAPDKAGWFLTTSVAARHWLETKKSEELVAA >LPERR03G07670.1 pep chromosome:Lperr_V1.4:3:5527740:5528606:-1 gene:LPERR03G07670 transcript:LPERR03G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGGGGAASVRDQPHDFANVASFSELPFLRPAPPRESPNSAAIRLFGIDVPHASSTSSPDGKTSKESSAATAQSGGSAAAAIAAAAAADSSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAASGAHHHQLLGYPQHHHRFGLYQPSWPTVSSGPPHFYSGGIGSVAQPINGNPLPAGLWRSPTMGAGAHGNTAGMVPPLLAGERRPFAVSSSSAMFRGGDEPRASASVVASQTASSTLLLSPQGQFACEQPAATTTVAEGVSLDLHL >LPERR03G07680.1 pep chromosome:Lperr_V1.4:3:5547728:5551150:1 gene:LPERR03G07680 transcript:LPERR03G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLPRRCSSSHESKLSSFLSALSSLPSSSFPSSPPPPPPASPTPAAYNALMSTHSRAGRHGEVLRLFRSLPFPPTAPLYTTLISSLADSGQPLAARAAFAALLRSGVLPTASAFTALLKSSPRDVDRVFGAMCAAGVSPDAAFYNCVISMHCDLRLVQGALGFLDLMLENGLRPTARSFTAILRAYCEQEMFQEAEMLVDLMIENGFPPDVVSYSVLIEGLCRVGEFGKVEMILGERKGS >LPERR03G07690.1 pep chromosome:Lperr_V1.4:3:5552540:5553739:-1 gene:LPERR03G07690 transcript:LPERR03G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVRSSGDVVRWTKRISALARSGREAEAVAAFARMDAAPNALTLASVLPACARLRDLAMGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALVSARRLFDEMPHRDVFAWTTMVWGLARSGSPHDAVAMFRSMLSVVSDGDATPKPNDATVVSALHAVATLGSSVSCKLLHSYATKQGLGGETVVGNALIDAYAKCGDAPQAFKVFVDLPEKDMVSWGTVTRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLTAFSHAGRVDQALLFLGAMARVYGVSPLTEHYTCVLDACGRAGQLDRAGEIFRQMPVECDAEKALGVYCSYAVSNGVADVAGERLPDLFLDGEVDAGGGTYALISKSLADAGRWEDACAVRERMAARRIEKEAACTWIEV >LPERR03G07700.1 pep chromosome:Lperr_V1.4:3:5554032:5555215:-1 gene:LPERR03G07700 transcript:LPERR03G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTMNGADEQELPLFHPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFAAPRHSHLDAATVNDHDHDQEASRLTLSRYSSSRGSNNSFLAADNKKLPSSHCGRQALRVLSGRSGGEGDDDDDGEGGRRRSGAWRYVKLDPDAPCCCIVFHVAWRVAVSVAFALLVFFVATKPRDPTVTFKIGKIQQFSLGEGLDVSGVITSFLSCNLSVVMAVDNHSKVFALHVRPPLLDMSFGRFTFATSSSQGGEKASYVDVAARGKRSVRLFVAAEGKPMYAAGRGMQDLLESGDGLPLAVTVRAGSRYRMVGSLVRLSYRHDTRCVVRLRRTPRQRNAIDASRYTCSPAR >LPERR03G07710.1 pep chromosome:Lperr_V1.4:3:5556282:5560534:1 gene:LPERR03G07710 transcript:LPERR03G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPRQRLLSPLLPLSLLLLLLASSPSTLAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLTAASLAVSPDGSITATLSHPSRLRPLLLRLSALPPHALRLQIDEDYSAPNSPPHRRFHVPDVLLPDVEARTLHLPKPKDVGGGGGVSTFALSSDVDVVIRHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKPEGENWEEQFRSHTDTRPRGPQSITFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHDSPFGLYGSIPFMIAHGDGASSGFFWLNAAEMQIDVLAPGWDGATSTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQEFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPHPEEMQKKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAIHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLTRAFFAGSQRYAAVWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALIGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEDGQKSVSVYLPGDELWYDLRNGSPYKGSVSHKLEVSEDSIPSFQRAGTIVPRKDRFRRSSTQMMNDPYTLVIALNSSGAAEGELYVDDGKSYDYQQGAFIHRRLVFADNKLTSMGIAPKNLGNKKFSSECVIERIIVLGLSSRSKKAIVEPGNREVEIELGPISLRSGSSPVAPTIRKPNVRVVDDWTIRIA >LPERR03G07720.1 pep chromosome:Lperr_V1.4:3:5561028:5565227:-1 gene:LPERR03G07720 transcript:LPERR03G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGAVSNMAEKRVSAVVVVPDAALPTTNGEAGKEEKSAAADHDPPAPAALSDWPRRMGLYLFVNNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNDPVSGPDKAECVSACIPTECTELSDQGCKRKYIPSVTSALVVGSFLGILQAVFLVFSAKFVLNIMGVKHDSPMLSPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLVRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVAATSRVLQLSIVLGMGLTVVLGVGMNFGAGIFTRDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRNTILVKRNGVTCAERENTM >LPERR03G07720.2 pep chromosome:Lperr_V1.4:3:5561368:5565227:-1 gene:LPERR03G07720 transcript:LPERR03G07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGAVSNMAEKRVSAVVVVPDAALPTTNGEAGKEEKSAAADHDPPAPAALSDWPRRMGLYLFVNNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNDPVSGPDKAECVSACIPTECTELSDQGCKRKYIPSVTSALVVGSFLGILQAVFLVFSAKFVLNIMGVKHDSPMLSPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLVRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVAATSRVLQLSIVLGMGLTVVLGVGMNFGAGIFTRDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >LPERR03G07730.1 pep chromosome:Lperr_V1.4:3:5581060:5583929:1 gene:LPERR03G07730 transcript:LPERR03G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKLLPTSISTMTIFLCMFTHINAEEAFPFVDGTYPSEAEGPTAESSDMDELELNPGPPPRVVDVDDYRERADDDDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDRDLQEWITFDNIDHLRVVGGGTINGNGHEWWINSCKTNQSMRCVTGPTALYFRRCNHLVVEGLQIIDSMQMHVVIAYSWRVLVSRLLIAAPGWSPNTDGIHVSNSREVLISGCIISTGDDCISIVTGSMFVRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGYAERITFQDISMHNVTNPVIIDQNYCDSKTPCHEQGSAVAINNIRYRNIRGTSSSKIAINFVCSNSVHCDGIVMQDVSLVGEGTYVSCSYMNARVVELGYNFPYCRAEM >LPERR03G07730.2 pep chromosome:Lperr_V1.4:3:5581060:5583929:1 gene:LPERR03G07730 transcript:LPERR03G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKLLPTSISTMTIFLCMFTHINAEEAFPFVDGTYPSEAEGPTAESSDMDELELNPGPPPRVVDVDDYRERADDDDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDRDLQEWITFDNIDHLRVVGGGTINGNGHEWWINSCKTNQSMQCVSAGNLQALYFRRCNHLVVEGLQIIDSMQMHVVIAYSWRVLVSRLLIAAPGWSPNTDGIHVSNSREVLISGCIISTGDDCISIVTGSMFVRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGYAERITFQDISMHNVTNPVIIDQNYCDSKTPCHEQGSAVAINNIRYRNIRGTSSSKIAINFVCSNSVHCDGIVMQDVSLVGEGTYVSCSYMNARVVELGYNFPYCRAEM >LPERR03G07740.1 pep chromosome:Lperr_V1.4:3:5586271:5592962:1 gene:LPERR03G07740 transcript:LPERR03G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAASPAPRILNLPRRPAAGRSAGRAQQQTRMAAAVNLGALFEMERRVRGLESAPASPPYTSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGMAAALEEGIEDLEEMMGELRVEKEETGRKATSGPRQLQRSHGKNFDRQASSLRRHLERMPPADAEPCVKDIREIALPVAPPSPPSPPPADEHSDDDDGHSNSANLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDGDDCQSRKCGSRHSRKASAGSQRSWSGGCSNAGASRDTAAASQGRSRHAMAPEKHHHHHQQNKIMFEECKLVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSTRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQHVEELHKKISELEGKLHTFKAHFPSKGQPNQEWSEACKMEKPRITKAQHPRPSHESGGKEKEKHVLICRVKHSPSVVTKRQPFQEIGNISLPRHAPVRR >LPERR03G07750.1 pep chromosome:Lperr_V1.4:3:5591030:5591416:-1 gene:LPERR03G07750 transcript:LPERR03G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVRPLAIGIATLPPARSSCRRRGGRTSSRQRSSSFRCQSRPPSEPPAGGDGGGGGKKSAWWAAMGERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >LPERR03G07760.1 pep chromosome:Lperr_V1.4:3:5592295:5597426:-1 gene:LPERR03G07760 transcript:LPERR03G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGEEVDGFDDTLSSFDDDWWWTGGSSQSGGKGHRPTVPGKFLNLIYLRITFEGLRSSRSYDYFSLCSFLDASLLETFILCILLKGKHDLSFISKDKCLNASMAASKE >LPERR03G07760.2 pep chromosome:Lperr_V1.4:3:5592295:5597426:-1 gene:LPERR03G07760 transcript:LPERR03G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGEEVDGFDDTLSSFDDDWWWTGGSSQSGGILLKGKHDLSFISKDKCLNASMAASKE >LPERR03G07770.1 pep chromosome:Lperr_V1.4:3:5597449:5607464:1 gene:LPERR03G07770 transcript:LPERR03G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDMDDDRRRFYDRGPPPPPPPPRRRPADYDGEGFDRRKGFGGGGSGGFYDHRYRESPSPRGYGGERAMHRSESFSGFRREFPKGFRSERDRSRRDGGGGSGSGSGSSAWRRQGGGWRDSEGLDEYRAAPPRRSGASPPTPPLRSPSESSSRRFEGARMEKSRKQSFGNSEMEEGEVAPDPESKARPAAAVEHRKQIESGRAKEKGSEHGEVKRVDSGVRGSLGTHGKGLGGASAAHNVGREEGKSKDGSMIAEAETVTHTTHAKSTPDDVVSIGEGHEVQGQDEFANAVNQVGQCISSGRSHNMLQEETMIQEDANVVDVIGQNTSFNIQQEAVGEKVAIQDETANAADEAGKSISISVQEAAIQEKTTIGYESDNVVDEAGESTSSSNQKEAIQEKAIVRDEIANAVDEGGKCTSSSNLEAIQENVTIRDETSNAVDESGQGTSSSIHQEGLQEEVMALDEAAGVTDTVRKASMGGMHQEVLLEKLGDRTANDVDRVECGTSPGPLQVSLQEGMASLDGIANAVEPEKIDSAALKETIEGELVLDRIANVVVEGSSPSTLEEAMHGKVTMQHGCSSALDIAEKCKQPTITEELVQEKATTSPCQGAPEMKINEKGTMSSKKISEQIEPVLSQHTEEAPQRDQSENRAALVDTEVLKKEAAAEHETIQKEVKGFCLEADSVDANLFLQPSKERNGDSEEERTALNLIIGKPSAEDKGKGIAFDVLNKEENIGVGSSVGRSFDLALPPDSDQKEVLKSSENTSVKQEEETLKIGRLDLSLSLSGGLQNPEFKCSVLRSESLGLATCSQTLPSSSFHTNSEGFTASVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKVSDSTRWQPQLSNESTRKREPTTILQNTLKYGNLSDKTFVGVNMQNNGISKDIQRRAGISGVSSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDAQQLVLNGAGVIERIVSKIVSEPLHHTGRMLEEMTSNSVTYLREAISDIIVDADKRGQVIALQEALKKRSDLTSEMLQRCPRVLLEILVAIRTGLPDFIKKSNSISACDLVEIFLYLKCRNLSCKSILPVDDCDCKVCKQKTGFCSSCMCTVCSKFDMASNTCSWVGCDVCLHWCHTDCGILHSLIRKGGSGSRAYSSSEMQFHCAACGHPSEMFGFVKEVFRTCAMQWRVETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVVKYIMAFFSDDDSNIGSDTSAPLKGIPCNITERIDGIASSSRKSPWVPSVTLEGVPFLEKQAVISTTGSPSTLRKFGGTDLQPVDNKPTVDELDGLIRLKQAEANMYQQRANDARKEAETLKHITKAKYAQIEEHYATQITEINMNELQEQRKRKIEELQVIQRKHYQYLSMKTRMEGSIRELLLKMEATKQNFNT >LPERR03G07780.1 pep chromosome:Lperr_V1.4:3:5603985:5608130:-1 gene:LPERR03G07780 transcript:LPERR03G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSGSGTEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPSVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIIGVIFNGAAQNLAMLIVGRILLGCGAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFASLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGKLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHAWAILVVVMVCTFVASFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSFFVLFFLPETKNVPIEEMTERVWKQHWYWKRFMDDDNHHVVPNGGKSNGNGTYNNGATV >LPERR03G07790.1 pep chromosome:Lperr_V1.4:3:5611681:5614706:-1 gene:LPERR03G07790 transcript:LPERR03G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERLRTVGVRAACSLASSGILPARLFFTVVLLFLKLLTHTALRLPQHRLRLRSQQSPQSGLGESRFLGHLLRTVEQACRMTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGATQEHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKNLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTSIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVTATAGGVSQIRALSGSTVGGEDILQNVMHHLLPDFDSLYAGQTMDRIKSIGLLRMATQDVIHKLATQEHVEINVDLGGGHKVSKILGRGEFEKVNQAIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSKIPKIRSVVLGLCKKDDSYGGIDPLEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGGNFAAIIPRNTAVPARRDMMFTTTHDNQTEALIAVYEGEGKQAEENHLLGYFKITDITAAAKGTVEINVCMDIDATNVLRVFAGVVKPQGQAIPPFIEVRMPTLDDGHGWCGQALAKMFGSTLDLAAVPKKLHP >LPERR03G07800.1 pep chromosome:Lperr_V1.4:3:5630104:5633004:1 gene:LPERR03G07800 transcript:LPERR03G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRAVLSWRETIGADHIMADDFSPELADGVAYVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAAATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAAVLTSGKGIGGGGGVGSVSSRFSVTASHNALKPWYLSTTPSPVVGPRSAVVPTSSPSLIGASPLSARSFSFASPAARSTPRGVAAPLTPFSTKGQKTTPAAAAQQQQFPRTPRPSFLQSPSMLFAFRKDGGGHQASRGERERESFMPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKPKQHKQHAQPPLQRRHAGVLHHHHQQQQQLFQLHHHQRI >LPERR03G07810.1 pep chromosome:Lperr_V1.4:3:5636985:5640246:-1 gene:LPERR03G07810 transcript:LPERR03G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAGGLKGVALISGGGGNSTVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPHNKTHGAPSDDERHVGDLGNILANKDGVADISIKDLQISLSGPHSILGRAVVVHADSDDLGRVKQQEMQEQELDAVSLDFDRQFNNL >LPERR03G07820.1 pep chromosome:Lperr_V1.4:3:5640906:5644086:-1 gene:LPERR03G07820 transcript:LPERR03G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGVARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVDKAFHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQAPTVVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDDEQGEY >LPERR03G07830.1 pep chromosome:Lperr_V1.4:3:5645453:5647329:-1 gene:LPERR03G07830 transcript:LPERR03G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPYLLLLLLVLHWPAAAPGTASSPPPSEPPHSPPPQKVQVWPKPTSISWQSSVYAPLSPSFSIRAVPSHPTLRDAIAFYTHLIRTERHAPLVPPGNYTVSRVPIRLLALSVSDPEVPLGPGVDESYTLSVLPDSGSADISAATSWGAIRGLETFSQLAWAGGGPDSGGQSIVPSGIEISDRPHFTHRGILLDTARNFYPVRDILHTIRAMAFNKLNVFHWHITDAQSFPIVLPTVPNLANFGSYSPSMRYTENDVRRIVRFAASFGIRVIPEIDMPGHTGSWAGAYPDIVTCANKFWAPRAKPALAAEPGTGQLNPLNPKTYRVAQDVMRDLVALFPDPYIHGGADEVNTACWEDDPVVRRFLAEGGTHDHLLEVFINATRPFVTQELNRTVVYWEDVLLGPKVMVGPTILPKETTILQTWNNGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLNDDEAQLVLGGEVALWSEQSDETVLDGRLWPRAAAAAETLWSGNKGSTGKKRYANATERLNDWRHRMVGRGIRAEPIQPLWCPLHPGMCDLSQ >LPERR03G07840.1 pep chromosome:Lperr_V1.4:3:5650707:5655227:1 gene:LPERR03G07840 transcript:LPERR03G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTTRPNGQVHPPRFHFHIPSPDRSLPPIARTPENPKPSSATPPIAPISNYSPPTPPPAMGGSDPEVGGGEPDISNLFCHYNALYFRNSLGTCAVSWATEDDPLPHRELGGCEYYPGGGGCIILLSKSLYERYTDLDLKNVLLHEMIHAYMCIKDSNSNHSDHGPKFQKLMNTINLNSVADPHRPLGGYSITMLHEIRKRYYLHTCESCGDLIKSTKIRGPSPSDCIEAMGANDSCDSSSCHWHWHKKRCSGSYHRVQGSAQGCVEGSKALSEEALDCKAEESAPGSWHSAHTSIKGGKGNKHELQETSAEFLSPDDSAGISGVESSSRNTANKKIKLSKDIGLDHQITTTVQEAPKRPRRTTLKKNQECSRQKKRRLGKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLMIIAQARESNSDSEGASSLSFVENGRNPSSSCSYPLSQGQNEKSEIVPASQVDGSSFSGHRMSSHVVAEDQAGHETATSPLNSPTRGIIVDISDD >LPERR03G07850.1 pep chromosome:Lperr_V1.4:3:5655278:5658958:1 gene:LPERR03G07850 transcript:LPERR03G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPAATLLHLPASTSLSSSFVATPGPAAGRRAVVLRARPRRCVTVVCSAAAAEATEAEPSEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMKAGLPKYVPFNLRDLVRGEFKDGDEISLESLKSKGLINPSGRERKLPLKILGDGDISVKLNIKAGAFSVSAKEKLEAAGCTLTVLPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDGTSA >LPERR03G07860.1 pep chromosome:Lperr_V1.4:3:5663017:5664613:-1 gene:LPERR03G07860 transcript:LPERR03G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAMGGGGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMTATALELVRMGPGELLSLWRSLQLDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLQHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKAPTGGPWDDCIHRYPVDIPEVVKL >LPERR03G07870.1 pep chromosome:Lperr_V1.4:3:5679357:5683558:1 gene:LPERR03G07870 transcript:LPERR03G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRMSVELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEYSGSYGEDITTEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFINATDKVAIVGVNCTPPQFIEGIIRDLKKQTKKAIAVYPNSGEVWDGRAKRWLPAECFGHKSFDMLAKKWQEAGASFIGGCCRTTPSTIRAVSKVLKGRTAYSAATQN >LPERR03G07880.1 pep chromosome:Lperr_V1.4:3:5680792:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCVSGLLSMSSPAVPPQCQVVCSHDLLVNGNTLIYSGEPSSSLTPTFGDESIQLKGTEDRTFLVDVKLEPVLEGNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTEIRATGSSYQPSLRSTVPNLAAVRGMKISRLMIPGRMRK >LPERR03G07880.2 pep chromosome:Lperr_V1.4:3:5680792:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESSILQGNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCVSGLLSMSSPAVPPQCQVVCSHDLLVNGNTLIYSGEPSSSLTPTFGDESIQLKGTEDRTFLVDVKLEPVLEGNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTEIRATGSSYQPSLRSTVPNLAAVRGMKISRLMIPGRMRK >LPERR03G07880.3 pep chromosome:Lperr_V1.4:3:5680503:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESSILQGNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCVSGLLSMSSPAVPPQCQVVCSHDLLVNGNTLIYSGEPSSSLTPTFGDESIQLKGTEDRTFLVDVKLEPVLEGNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTENLLKEKKA >LPERR03G07880.4 pep chromosome:Lperr_V1.4:3:5681717:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESSILQGNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCVSGLLSMSSPAVPPQCQVVCSHDLLVNGNTLIYSGEPSSSLTPTFGDESIQLKGTEDRTFLVDVKLEPVLEGNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTEKMMLAVFRMESLLLPLRGNCKPS >LPERR03G07880.5 pep chromosome:Lperr_V1.4:3:5682379:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESSILQGNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCVSGLLSMSSPAVPPQCQVVCSHDLLVNGNTLIYSGEPSSSLTPTFGDESIQLKGTEDRTFLVDVKLEPVLEGNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTEMVSW >LPERR03G07880.6 pep chromosome:Lperr_V1.4:3:5682379:5691703:-1 gene:LPERR03G07880 transcript:LPERR03G07880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVAPPPSPSPCLDSDAEDVPLSVRRRRLLRPQAQRVDSKPPATERRDASAEAVEGAGGAAQQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGQACRHPFIDEFIKSVDETDGRVSYFFHKNFKVCNSENGKCTSWQKSENPISIILDGNIQGCKEEFVLYAYETDGNSPQVTDWRWHQYHIKSTEKGEGELVVSKIFYKSENNNFKWAEKAHAQSALDASATDDDSKEELRLENHSSKMVIESSILQGNENKQKQIQKETCPDQSKLIPFNMADNMSIGNQINDHDEIKELDHMSLQERYRILLAEKHSCSAVVSFEQCAIDGTENSCRPETSGLIPKRIHEGTPFRDGMHSMLQEISSGPPSIDSIGNDNNRMLLAEGLANNQQSQESGCNSSHVEGLLPSLRIKNEVTGNELPLIICGLTSMNTAELTAKKKNTVNHDGALAYCSQKRKGRKTVRNPSEKTLEECSDRNGEDTTYFSRRKRRRKTATDSIETALEEDAPGLLQILIDRGILVNEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKLAARQVKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENKPLVVGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRTKIGKLLMSGYSEGHLVLSHIPTKVGKLEEDTEMVSW >LPERR03G07890.1 pep chromosome:Lperr_V1.4:3:5695229:5699240:1 gene:LPERR03G07890 transcript:LPERR03G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVSFFLLLLLAVSVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSTEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVARDGADEKMLQAALDWACGPGKVDCSALTQGQPCYDPDNVQAHATYAFNSYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTADSGAHRAIGDVASFVRAIVASLLLSVILL >LPERR03G07900.1 pep chromosome:Lperr_V1.4:3:5703047:5705587:1 gene:LPERR03G07900 transcript:LPERR03G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGVLLLLFFNLHLPTSAIDTLTLGQSLLWNQTLVSIGGNFELGLFSPGKSNKHYLGIWYKMISKKTVVWVANREHPILEPSNCHLELSVHGDLRLFATAPSSNALLWSSSNASSSSSSLPPPPRTTVATLQDDGNLVVRSNATRPSSSAAAAVHVAWQSFDHPTDTWLPGARLGYDRGSGVHSFLTSWTDSENPAPGAYSMVIDARGLAKFDLLAGGGERMYWTTGVWDGEIFENVPEMRSGYFTGVPYAPNASVNFFSYRDRLPGAVGNFMLDVNGQMRRRQWSETAGKWILFCSEPHDACDVYGSCGPFGVCSNGTSPACRCPAGFVPRSEEEWRLENSASGCGRLHPLECHGDGFVALPYAVRLPNGSVEAPAGARNDKACAHTCLVDCSCTAYVHDGAKCFVWNGELVDMKTSAASDQADGGVAVAGAVIHLRVAHSEVPASSPEHSWKKSMVILGSVVAAVVLLLASLVTVVAVTAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLEGLRQGEKQFRAEVVTLGTIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDTHLFSSGSGSGSGSDSKRVTLSWSQRYNVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQEMAARLADFGMAKLVGRDFSSVMTTMRGTVGYLAPEWLAAAPITAKVDVYSFGLLLFELVSGRRNTTTSPSSEEGGSGIYFPVHAAVKLNEGDVSGLVDERLAKDADVKEVERVCKVACWCIQDEEGDRPTMGLVVQQLEGIADVMLPPIPSMLHILATENGCVRDVTEHELCSKSCSKSATEAIEEV >LPERR03G07910.1 pep chromosome:Lperr_V1.4:3:5706111:5714766:-1 gene:LPERR03G07910 transcript:LPERR03G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAGGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLLYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLVCYNSTDALRHIFTSRIMDIKDSPVWGRLSHVSCASNGLVLMDESLQLLPAVEILDLSRNQFAKVDNLRKCTKLRDLDLGFNHLRSISSLREACSRIVKLVLRNNALGTLHGIENLKSLMCLDLSYNIISNFSELEILGSLSLLQNLWLEGNPICCARWYRAHLKLDDKGMNTQEYWEKQVMFSSRQKQPAGYGFYFPAKEDHEEEDISNSKMKKISRLALIVEEERSLCDEDVDQQTTPHESDSSKKDEVAAHDNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEVENLYIDFNGSNGRNEKQNKRQKAQKNSKNISDLVQTSEGTSHADSFCAMEEGTGNLHTNGVSSNAMSKLIEPSLSLTNSSPRSPPQYKEDILHRRLCLEEEFLQTSGDFNCAAPLGSDSSCSDDSSGDYEEKDEMNCFPGKKSLPDYSAEDENFSDSIEFVIKDSHDRHGSNGHFGEGSDHLVRQQGNKKFKLRAPPLFKSHNGTKLVFPKVNGDKMDDGVDIGNGHLGCSLNKDSLCTSANTVSCNTEKYKLVEDFFNLEIASDASEICEKTIFCGYIFQDGIGSDLTQREVALLRSSQNKRHVLLVDMDQDGQDIVPRLLGSYRLEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAHDILWLLTASNFPQLTSGISLQSWEMVQLKLLEKCIHASLEMGIFLYSLLMFTKNDSEEGSLVFRSIVVTGRSLFVCMENLHQFGFLPGDSDTPYFSLDACCFINDIQEVVVDHCDKSCLTLVLDNHAHKGRFCSNGSNTNSQSKQSDEIYTVHTWKLKWFSEETVVKFISLLKALYSASASSSLPVKCIS >LPERR03G07910.2 pep chromosome:Lperr_V1.4:3:5706111:5714766:-1 gene:LPERR03G07910 transcript:LPERR03G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAGGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLLYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLVCYNSTDALRHIFTSRIMDIKDSPVWGRLSHVSCASNGLVLMDESLQLLPAVEILDLSRNQFAKVDNLRKCTKLRDLDLGFNHLRSISSLREACSRIVKLVLRNNALGTLHGIENLKSLMCLDLSYNIISNFSELEILGSLSLLQNLWLEGNPICCARWYRAHLKLDDKGMNTQEYWEKQVMFSSRQKQPAGYGFYFPAKEDHEEEDISNSKMKKISRLALIVEEERSLCDEDVDQQTTPHESDSSKKDEVAAHDNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEVENLYIDFNGSNGRNEKQNKRQKAQKNSKNISDLVQTSEGGSSSNLLESDLSFTDDAFYSATGVTTESSHEGNIYHAPLRLHLNSSQQLPPLTFVGTSHADSFCAMEEGTGNLHTNGVSSNAMSKLIEPSLSLTNSSPRSPPQYKEDILHRRLCLEEEFLQTSGDFNCAAPLGSDSSCSDDSSGDLCSCNSEDECDAIRTKMELSLNGQIAPFSSVGDYEEKDEMNCFPGKKSLPDYSAEDENFSDSIEFVIKDSHDRHGSNGHFGEGSDHLVRQQGNKKFKLRAPPLFKSHNGTKLVFPKVNGDKMDDGVDIGNGHLGCSLNKDSLCTSANTVSCNTEKYKLVEDFFNLEIASDASEICEKTIFCGYIFQDGIGSDLTQREVALLRSSQNKRHVLLVDMDQDGQDIVPRLLGSYRLEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAHDILWLLTASNFPQLTSGISLQSWEMVQLKLLEKCIHASLEMGIFLYSLLMFTKNDSEEGSLVFRSIVVTGRSLFVCMENLHQFGFLPGDSDTPYFSLDACCFINDIQEVVVDHCDKSCLTLVLDNHAHKGRFCSNGSNTNSQSKQSDEIYTVHTWKLKWFSEETVVKFISLLKALYSASASSSLPVKCIS >LPERR03G07920.1 pep chromosome:Lperr_V1.4:3:5718346:5720308:-1 gene:LPERR03G07920 transcript:LPERR03G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPDNLVPPAEDASQQLLSPTVSSEEFIQFKRKATTIVEEYFSTDDVAATATELREMRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFAKLTESCDDLSVDTPGAVDILAVFVARAVVDDILPPAFLAKQLPCLPEGSKGAEVLHRAEKSYLSVPHHGEIILQKWGGSKSITVEEAKAKIADILEEYLAAGDIAEACRCIRGLKIPFFHHDIVKRALTLAMERGDAAAEGHILDLLKSASDEGVINESQITKGFNRLIDSVDDLSLDVPNARRLLKTMILKASSEGWLCASSLKPLGPEPKKAAVVEDDAAVREFKAKALSIIKEYFLTGDIIEVVSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLCMPPDDVVSGFHLLIEAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEEEAARGNTGKRGILALRNARALLGAKLSAERILRCWGGGGTGKAGWELDDVKDKIGKLLQEYDCGGDIREACRCIKELGMPFFHHEVVKKALVAIMEKRGKDERMWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFCCYVERAKKGGWLDASFPNGVCS >LPERR03G07930.1 pep chromosome:Lperr_V1.4:3:5721566:5722002:1 gene:LPERR03G07930 transcript:LPERR03G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLSDVTMVTRTVALSRLGGHCDPTTLVAALHLVSSGRWGKPDETFSGHI >LPERR03G07940.1 pep chromosome:Lperr_V1.4:3:5733456:5743742:1 gene:LPERR03G07940 transcript:LPERR03G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDAAGDLDRISDLPDDLLLLILSYVSEANVVSSTAMLSRRWRRVWTHAQDLVFDDEFGGSLREPKRGRRIADPPAGHFGRFVDWAFAQRGDAQIQSVKILMSRRASATPEQVNEWIRYAVQRAVKYFQLNACDSPPLRSYGFRTGRHGKLLPIVEFSSHGRTASISLRPPLDAKREEPWENNPYRDFPVHQHIPSPAPLRGKHPMDFPLLPLGLGARERVDRGVAVIPAGSRAAKGGLNLSSIPFRLKLPASPAAMHESLTHLRLDSAWFGEDVAVAGRRTLGDFVSSCCPRLRKLVLVDPMRLPRLVLRAEALEELVVVTTRGLQTLDVTAPNLRFFELCYFYCWKSATKYGVTIDIVVRIAAPKLDEIAINSSRIAEKDNLDLCIHDLTSYCRNSDYGLWLIKNCPNVERINLRLQGGALPTDRIDDLSDMGAPRLHKARSMIVEIRRFEDQRFVISVWSLLLMCPGLTSLCIKNLIFSEESFEDQGTSTEIICSNTWTNNRNISLESLTEIRLTNFTGTDGEMDIVSVLFRSSSSIKTMTVNTPGSCLDIVENADDDDLYHQLLKIAPQTHGCWHYKSYVYTWTRYATDECGAFPSNGDPATYPHSALPSLFAPKILQTLLASNHGVVFVFVASV >LPERR03G07940.2 pep chromosome:Lperr_V1.4:3:5725235:5733756:1 gene:LPERR03G07940 transcript:LPERR03G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDPADDLDRISALPDDLLHVILSFLRDEIMATRTAVLSRRWRHVWTKSQELYFVDWYPNKQRKKRGRWFPGFVNWALARRGDADLQSLSIIMSRPKNATSDQVNDWICYAMQRAVKTFTISSPVVHSSYLPIVELPSHGRAESIKLSMSSFRLRLPASQDARYEALIELDISSTWFDEEDGVGVRGRTLGDFVSTCCPRLRKLKLWCPKKLTRLVLRADELEELRVSLADDLLTLDVRAPNLRVFGLNLFRLGQLPRPYWKLIESGVVRIVAPRLEEIDMDNILGWRSRDLRIGDLTSVRRLSNLGLEMHGQYCWETDYGFWLLTNCPNIEHVGLHLRHNMLSMHELVDLMDIDAPQLHKVRSMIVKASDSRPEHFFATSVHSLLLMCPGLRSLCIDITDGDQISLFEDRDTLASHPDITLEFLQEASIIGFTGTDQEMYIVSFLFGISPSIMTMTIHRAKEVTLNNITTDSDDDDDGGGDLNPSNLLKIPSTCHETYIPGSDTAIRKRGRTTDPGCIYELSPRTRMASSSDPSMDTVAPHAAVTSERKLNLDLQEQLPKPYLARALVAVDPSHPQGTQGRDARGLSVLQQHAAFFDRNNDGIIYPWETFQGLRAIGCGFPVSFTLAIVINLALSYPTQPGWMPSLLLSVHVKNIHKAKHGSDSETYDTEGRFDPSKFDAIFSKYGRTQPNALTKDELNTMLKANRNMYDFIGWGASSLEWKLLYSVAKDKDGLLQRDAVRGVFDGSLFERLQDSKKSS >LPERR03G07940.3 pep chromosome:Lperr_V1.4:3:5741435:5743742:1 gene:LPERR03G07940 transcript:LPERR03G07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLIPTRPFLLSLRQRSYKLSSRVTMASSSSSSPPSDPSMETVAPHAAVTAERKLNPDLQEHLPKPYLARALAAVDPSHPKGTQGRDARGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGCGYPVSLVGAFLINLVLSYPTQPSWIPSPLLSIHINNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGKTHPNALTKDELDLMLEANRNMYDFLGWVASAGEWMLLYSVAKDKEGLLQREIVRGAFDGSLFERLEESKESA >LPERR03G07940.4 pep chromosome:Lperr_V1.4:3:5741564:5743742:1 gene:LPERR03G07940 transcript:LPERR03G07940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPSDPSMETVAPHAAVTAERKLNPDLQEHLPKPYLARALAAVDPSHPKGTQGRDARGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGCGYPVSLVGAFLINLVLSYPTQPSWIPSPLLSIHINNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGKTHPNALTKDELDLMLEANRNMYDFLGWVASAGEWMLLYSVAKDKEGLLQREIVRGAFDGSLFERLEESKESA >LPERR03G07950.1 pep chromosome:Lperr_V1.4:3:5747369:5759531:1 gene:LPERR03G07950 transcript:LPERR03G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLVPANPNPTSSLRPQPLAVSSVLRFPSRARGRTHLTAAFGRGSAAAAERAGKAKDYYATLNLRRDATLQEVKTAYRSLARKYHPDMNKDPGAEEKFKEISAAYEVLSDEDKRSLYDRFGEAGLSSDYGGADIGSNGIDPYELFNAFFGGPDKLFGDSMGAGRFQYGTKVTDNRGLDIRYDLVLSFEESIIGGKREVNIFRYETCGTCHGTGAKSSNDITECTQCRGQGRVMKTQRTPFGVVSQISSCLNCDGKGKVITENCTTCSGSGKVQVERSIRVDIPGGIHDGSAIRITGGEGIYREGLNLFSYVTIDYTDAILGTIVKVETIEGFKDLYVPPGTQPGERLKFAQLGAPDIKKPSIRGDHNFVINVKIPKNISNQERTLVREIAALKETGGISVAGEENTNRGNLGERDFQSSKGIKRSIWGSIRNLFRGDDGDKGFASISAQSVIPLWTPQRESHPAVPFLEGFLIITVLLFVMGRTHIISLIAKHRSTKAKEAEGETRIL >LPERR03G07950.2 pep chromosome:Lperr_V1.4:3:5747369:5759531:1 gene:LPERR03G07950 transcript:LPERR03G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLVPANPNPTSSLRPQPLAVSSVLRFPSRARGRTHLTAAFGRGSAAAAERAGKAKDYYATLNLRRDATLQEVKTAYRSLARKYHPDMNKDPGAEEKFKEISAAYEVLSDEDKRSLYDRFGEAGLSSDYGGADIGSNGIDPYELFNAFFGGPDKLFGDSMGAGRFQYGTKVTDNRGLDIRYDLVLSFEESIIGGKREVNIFRYETCGTCHGTGAKSSNDITECTQCRGQGRVMKTQRTPFGVVSQISSCLNCDGKGKVITENCTTCSGSGKVQVERSIRVDIPGGIHDGSAIRITGGEGIYREGLNLFSYVTIDYTDAILGTIVKVETIEGFKDLYVPPGTQPGERLKFAQLGAPDIKKPSIRGDHNFVINVKIPKNISNQERTLVREIAALKETGGISVAGEENTNRGNLGERDFQSSKGIKRSIWGSIRNLFRGDDGDKGFASISAQSVIPLWTPQRESHPAVPFLEGFLIITVLLFVMGRTHIISLIAKHRSTKAKEAEGETRIL >LPERR03G07950.3 pep chromosome:Lperr_V1.4:3:5747369:5759531:1 gene:LPERR03G07950 transcript:LPERR03G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLVPANPNPTSSLRPQPLAVSSVLRFPSRARGRTHLTAAFGRGSAAAAERAGKAKDYYATLNLRRDATLQEVKTAYRSLARKYHPDMNKDPGAEEKFKEISAAYEVLSDEDKRSLYDRFGEAGLSSDYGGADIGSNGIDPYELFNAFFGGPDKLFGDSMGAGRFQYGTKVTDNRGLDIRYDLVLSFEESIIGGKREVNIFRYETCGTCHGTGAKSSNDITECTQCRGQGRVMKTQRTPFGVVSQVETIEGFKDLYVPPGTQPGERLKFAQLGAPDIKKPSIRGDHNFVINVKIPKNISNQERTLVREIAALKETGGISVAGEENTNRGNLGERDFQSSKGIKRSIWGSIRNLFRGDDGDKGFASISAQSVIPLWTPQRESHPAVPFLEGFLIITVLLFVMGRTHIISLIAKHRSTKAKEAEGETRIL >LPERR03G07950.4 pep chromosome:Lperr_V1.4:3:5747369:5759531:1 gene:LPERR03G07950 transcript:LPERR03G07950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLVPANPNPTSSLRPQPLAVSSVLRFPSRARGRTHLTAAFGRGSAAAAERAGKAKDYYATLNLRRDATLQEVKTAYRSLARKYHPDMNKDPGAEEKFKEISAAYEVLSDEDKRSLYDRFGEAGLSSDYGGADIGSNGIDPYELFNAFFGGPDKLFGDSMGAGRFQYGTKVTDNRGLDIRYDLVLSFEESIIGGKREVNIFRYETCGTCHGTGAKSSNDITECTQCRGQGRVMKTQRTPFGVVSQVETIEGFKDLYVPPGTQPGERLKFAQLGAPDIKKPSIRGDHNFVINVKIPKNISNQERTLVREIAALKETGGISVAGEENTNRGNLGERDFQSSKGIKRSIWGSIRNLFRGDDGDKGFASISAQSVIPLWTPQRESHPAVPFLEGFLIITVLLFVMGRTHIISLIAKHRSTKAKEAEGETRIL >LPERR03G07970.1 pep chromosome:Lperr_V1.4:3:5760449:5761269:1 gene:LPERR03G07970 transcript:LPERR03G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSEISPDGSVAPAIDRIQSPAMAPLPPPHPSHRSRRRVPLACCLLLLLALILLPSAAAKSSRRPITDNEIREKKSACYTDVENGLWGWVCKSSATEKENCVLRCLSPECYELIYGGDPLEEGELDYIRGHEYKYCMHK >LPERR03G07980.1 pep chromosome:Lperr_V1.4:3:5765505:5769140:1 gene:LPERR03G07980 transcript:LPERR03G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLAPAVALAALLLFSAVPGYFSDDLNTDAQALQALRAAVGKSALPSWNSTTPTCNWEGVTCNNGRVTELRLPGAGLMGTLPANVLGNLSALRTLSLRYNALTGPIPDDLSRLSELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFTGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLEQFNVSYNNNLNGSIPKSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPDGQPATGGAADVGGGGAGGKKKKLSGGAIAGIAIGCVFGVLLLLALIFFLCKKRSSSSAPATAMEKGRDLQMAPMDIEPKGQNGSAAGNGAHAGGVAAAAAVAPAAAAATAVAAAKAGGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRSSGRTPLDWETRSAIALAAARGVAHIHSTSPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGDGDEPSL >LPERR03G07990.1 pep chromosome:Lperr_V1.4:3:5774328:5775876:-1 gene:LPERR03G07990 transcript:LPERR03G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLVFLASVALVVRTWRSGRRSHEKQHYAAGLQPYPLIGHLPQFLANRERILDWMAEVLSRQPTCTFVLRRPGGVRGVITANPANVEHFLRTGFDNYPKGERFSSMLHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAPDAATIDLQDALERFAFDNICRVAFDHDPGQLAHGDGDGDAFAASARFADAFRDAANLSAGRFRYAVPGFWRVKKALNVGSERRLRESIAIVHDFADRIIRSRRQEITAGHEKHDLLSRFMVSQEDTYSEVALRDIVISFLLAGRETTSAALTWFFWLLSSHPDVSRRIRHEVAAVRARREHGDIDRVGFDLDELRDMEYVHAAITESMRLYPPVPVDTMSADADDVLPDGTAVGAGWFVAYNSYAMGRMVSVWGKDAAEFRPERWMDGGATATFRPESPFRYVSFHGGPRVCLGKEMAYIQMKSIIACVLQELELVVDGAYRPRQVTSLTLRMADGLPTRVKVRDI >LPERR03G08000.1 pep chromosome:Lperr_V1.4:3:5778314:5781001:1 gene:LPERR03G08000 transcript:LPERR03G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTMVDGWAARDANGLLSPFSFPLRAKGEEDVVVKILFCGICHSDLCTIKNEWGNAKYPVVPGHEIIGVVTDVGSSVARFAAGDKVGVGYIASTCRDCPNCRDGFENYCAGLVPSFNATLPGADGATVHGGFAELTVVHERYVVRIAAPTTNLERVAPLLCAGVTVYCPMRRLGLDRPGLHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPCKEAEAVERLGADAFLLRTNAQQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGKLVPVGSPGKPVQLALYPLQSGGKSVAGSMIGGMREMQEMIDFAVEHGVAAEVEVIGMEDINDAMKRLEKGDVRYRFVIDVANTIARAR >LPERR03G08010.1 pep chromosome:Lperr_V1.4:3:5781389:5784591:-1 gene:LPERR03G08010 transcript:LPERR03G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSECSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRYNAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVSWPLGWPGPYYCAAGADKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREAGGYEVIKKAIEKLGQRHTEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKKN >LPERR03G08020.1 pep chromosome:Lperr_V1.4:3:5789682:5796755:-1 gene:LPERR03G08020 transcript:LPERR03G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLAMAGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRSEALHWFSTLLRRYRAEFLVYLNEIFDPLLNALSDPSDAVVLLVLEVHACIAEESRHFYHLVSYLIQTFHNNHVLLERRGALIVRRLCVLLGAEKVYREFSTILEREGDLEFASTMVQALNLILLTSTELAELRSLLKKSLVDSCGKDLFISLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSVAFKILRTRLKTVPFSENLKRVSSANPYSQILQVTEDGNRNQDTQNYNAINFPSRLHQFESMQQQHRVHLKNQLQSRKSASAVALSQLH >LPERR03G08030.1 pep chromosome:Lperr_V1.4:3:5800712:5817262:1 gene:LPERR03G08030 transcript:LPERR03G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGQHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPILVERYNAVAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEYNANEQVICAVGLARAKPGIFVEAIQYLLVLATPIELMLVGVCCSASGDGTNPYAELSLQPLPEYMISTDGVTVTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTAGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGASGDGPLKKITEERNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLSTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPPPDAAFIMQSLYADVECFAAFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLLDGNTLRSQLEEFFNRFGTGEAAAMCLMLATKLLYTEDSLISNAVSEKAAEAFEDPALVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFFRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSHIRDVNPTDQSASNKKQRLPCTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGSDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGTGTIEEISTKLREGCPSYFNESDYKYYLAVECLERASVINNYEQKDILARDAYNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSHADVINGQIDGRHHDIITAQREQCYKIVMNALCTLKGVGQSGTQGADKSSGSVTAIDPVSRGKYIRQIIQLSVQWPDTMFHEHLYKTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEERLSSGEELVGDEDVARALLGACKDLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVVAHKLGTTTAGASFFLDGTFSLNQTGSLNKGIRDKIISLANRYMTEVRRLNLPQSQTETVYRGFRELEEKLLSQTTPNTCWVGKSEVQFPLTAAAAAAVVVMCGAAARALNSVSRGAFSWKPTGFPQQTLAAAVSRSGVGLHSGARTTARLIPARAGEGRYFVVEGEGEGETRVAAEVANAEARSPLCTTLRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVEAIRGASLCAAKDSSGQKMEKLAPEINKPVYLQKSDCFVAALPSSRIRITYGIDFPKVSAIGCQRFATVLDANVYSNKIAPARTFCVFEEVEKLRAAGLIKGGSLENAMVCSTSGGWLNPPLRFEDEPCRHKSLDLIGDFSLLAQNGNQGFPIAHVVAYKAGHALHTDFLWHLLGRSIVGQEKLAVQC >LPERR03G08030.2 pep chromosome:Lperr_V1.4:3:5800712:5817332:1 gene:LPERR03G08030 transcript:LPERR03G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGQHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPILVERYNAVAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEYNANEQVICAVGLARAKPGIFVEAIQYLLVLATPIELMLVGVCCSASGDGTNPYAELSLQPLPEYMISTDGVTVTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTAGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGASGDGPLKKITEERNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLSTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPPPDAAFIMQSLYADVECFAAFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLLDGNTLRSQLEEFFNRFGTGEAAAMCLMLATKLLYTEDSLISNAVSEKAAEAFEDPALVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFFRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSHIRDVNPTDQSASNKKQRLPCTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGSDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGTGTIEEISTKLREGCPSYFNESDYKYYLAVECLERASVINNYEQKDILARDAYNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSHADVINGQIDGRHHDIITAQREQCYKIVMNALCTLKGVGQSGTQGADKSSGSVTAIDPVSRGKYIRQIIQLSVQWPDTMFHEHLYKTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEERLSSGEELVGDEDVARALLGACKDLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVVAHKLGTTTAGASFFLDGTFSLNQTGSLNKGIRDKIISLANRYMTEVRRLNLPQSQTETVYRGFRELEEKLLSQTTPNTCWVGKSEVQFPLTAAAAAAVVVMCGAAARALNSVSRGAFSWKPTGFPQQTLAAAVSRSGVGLHSGARTTARLIPARAGEGRYFVVEGEGEGETRVAAEVANAEARSPLCTTLRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVEAIRGASLCAAKDSSGQKMEKLAPEINKPVYLQKSDCFVAALPSSRIRITYGIDFPKVSAIGCQRFATVLDANVYSNKIAPARTFCVFEEVEKLRAAGLIKGGSLENAMVCSTSGGWLNPPLRFEDEPCRHKSLDLIGDFSLLAQNGNQGFPIAHVVAYKKAAIQPAIAEASPRRGGWPHTLSHSIPVCVDNYEGI >LPERR03G08030.3 pep chromosome:Lperr_V1.4:3:5800712:5817332:1 gene:LPERR03G08030 transcript:LPERR03G08030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGQHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPILVERYNAVAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEYNANEQVICAVGLARAKPGIFVEAIQYLLVLATPIELMLVGVCCSASGDGTNPYAELSLQPLPEYMISTDGVTVTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTAGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGASGDGPLKKITEERNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLSTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPPPDAAFIMQSLYADVECFAAFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLLDGNTLRSQLEEFFNRFGTGEAAAMCLMLATKLLYTEDSLISNAVSEKAAEAFEDPALVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFFRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSHIRDVNPTDQSASNKKQRLPCTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGSDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGTGTIEEISTKLREGCPSYFNESDYKYYLAVECLERASVINNYEQKDILARDAYNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSHADVINGQIDGRHHDIITAQREQCYKIVMNALCTLKGVGQSGTQGADKSSGSVTAIDPVSRGKYIRQIIQLSVQWPDTMFHEHLYKTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEERLSSGEELVGDEDVARALLGACKDLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVVAHKLGTTTAGASFFLDGTFSLNQTGSLNKGIRDKIISLANRYMTEVRRLNLPQSQTETVYRGFRELEEKLLSQTTPNTCWVGKSEVQFPLTAAAAAAVVVMCGAAARALNSVSRGAFSWKPTGFPQQTLAAAVSRSGVGLHSGARTTARLIPARAGEGRYFVVEGEGEGETRVAAEVANAEARSPLCTTLRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVEAIRGASLCAAKDSSGQKMEKLAPEINKPVYLQKSDCFVAALPSSRIRITYGIDFPKVEKLRAAGLIKGGSLENAMVCSTSGGWLNPPLRFEDEPCRHKSLDLIGDFSLLAQNGNQGFPIAHVVAYKKAAIQPAIAEASPRRGGWPHTLSHSIPVCVDNYEGI >LPERR03G08040.1 pep chromosome:Lperr_V1.4:3:5826113:5834817:1 gene:LPERR03G08040 transcript:LPERR03G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDDGGGGEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGYDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNESKEHEHSGSLDDTDRTRPANNDNEYASSANDGADGSWKSQKKKRDKDEDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASNAKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPTVGGMPSFSAWPNNKLGMADSTGTLGSMSNSQNSNIVLHELQQQPDAMLSGTIHSLDVKPSGIVPSQSLNTFSTSEGLSPNQNTLMIPTQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQSLMSTHGGLVNRNPNVIPSQGISTFQTTNNPYLVNPNSMGVGSKQQTGVLKTENSDTLSHSYGYLGGNTPPMDSCLLSSQSKNTQFGLLGQDDITGSWSPLPNIDSFGNTVGLSHPGSGSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPPNNLSHSIGSSGDIMSPDIFGFSGQM >LPERR03G08040.2 pep chromosome:Lperr_V1.4:3:5826113:5834817:1 gene:LPERR03G08040 transcript:LPERR03G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDDGGGGEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGYDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNESKEHEHSGSLDDTDRTRPANNDNEYASSANDGADGSWKSQKKKRDKDEDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASNAKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPTVGGMPSFSAWPNNKLGMADSTGTLGSMSNSQNSNIVLHELQQQPDAMLSGTIHSLDVKPSGIVPSQSLNTFSTSEGLSPNQNTLMIPTQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQSLMSTHGGLVNRNPNVIPSQGISTFQTTNNPYLVNPNSMGVGSKQQTGVLKTENSDTLSHSYGYLGGNTPPMDSCLLSSQSKNTQFGLLGQDDITGSWSPLPNIDSFGNTVGLSHPGSGSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPPNNLSHSIGSSGDIMSPDIFGFSGQM >LPERR03G08050.1 pep chromosome:Lperr_V1.4:3:5831983:5834919:-1 gene:LPERR03G08050 transcript:LPERR03G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPPPAALGALRRRLPRVVTTAGLIDDSPLSPSTPAPQPRTIVVANHLPIRAHRPASPSEPWTFTWDEESLLRHLTHSSSSPTSMEFIYIGCLRDDIPAADQDAVAQALLDSHSCVPAFLPTDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMETYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGRDVDEVKGETYAMVRRINDAYGSPGYDPVVLIDEPLQFYDRVAYYAVAEVCLVTAVRDGMNLIPYEYIVSRHGSKNLAEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVETHDVGYWATSFLQDLERTCKDHDQRRCWGIGFGLRFRVVSLDLSFRKLSMEHIVMAYKRAKTRAILLDYDGTLMPQAINKSPSASSVDTLTSLCRDKNNKVFLCSGFDRGTLHDWFPCENIGLAAEHGYFLRPSRDAEWETSIPATDFSWKHIAKPVMQLYSETTDGSIIEDRETLLVWNYEDADPDFGSCQAKELVDHLESVLSNEPVSVKSTIHSVEVKPQGVSKGAVARRLLSIMQERGMCTDFVLCIGDDRSDEDMFQLITSPTCGESLAASAEVFACTVGRKPSKAKYYLDDAAEVVRLMQGLANVSDQLAQAANSSEEDDLEA >LPERR03G08060.1 pep chromosome:Lperr_V1.4:3:5846794:5850756:1 gene:LPERR03G08060 transcript:LPERR03G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKQSSQHPATASPAPAVGGGGGEVSGDVGASTANGTVVPKPSEVAPFLTKVYDMVSDPATDEVISWGEGGGSFVVRDSHAFENDLHRHFKHRNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEMQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMDQNQQQMMALLAIVVQNPSFLNQLVQQQQQQQRRTSWWSPDGSKKRRFHALEQAPGTDQENSGRGAQIVEYLPSVPETSDQVNQVDGAICLANTQPVPSPAVATPMDIQTTANVGDTLGPSEDPLADNSTLHEWDDDDMQLLFDDNLDPILPPFENDDQVNPPLSIQDYDFPQLDQDCLMEAQYNSNNPQYGND >LPERR03G08060.2 pep chromosome:Lperr_V1.4:3:5846794:5850756:1 gene:LPERR03G08060 transcript:LPERR03G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKQSSQHPATASPAPAVGGGGGEVSGDVGASTANGTVVPKPSEVAPFLTKVYDMVSDPATDEVISWGEGGGSFVVRDSHAFENDLHRHFKHRNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEMQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMDQNQQQMMALLAIVVQNPSFLNQLVQQQQQQQRRTSWWSPDGSKKRRFHALEQAPGTDQENSGRGAQIVEYLPSVPETSDQVNQVDGAICLANTQPVPSPAVATPMDIQTTANVGDTLGPSEDPLADNSTLHEWDDDDMQLLFDDNLDPILPPFENDDQVNPPLSIQDYDFPQLDQDCLMEAQYNSNNPQYGND >LPERR03G08070.1 pep chromosome:Lperr_V1.4:3:5853890:5854924:-1 gene:LPERR03G08070 transcript:LPERR03G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRHLPHLTLPLDHFALRPPPAPQPQQSAVALSTSSDARLSDFERISVLGHGNGGTVYKARHRRPGCPTAQTQPLVALKLFAVGDLSAAREAEILRIASDAPHVVRLHAVVPSAVGAEEPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLLGGDGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPVGERPDWAALMCAICFGEAPEPPAAASEDFRDFVARCLEKKAGRRASVAELLEHPFVAERDAADARRSLAALVAEAEQSGGAGDL >LPERR03G08080.1 pep chromosome:Lperr_V1.4:3:5861498:5865706:-1 gene:LPERR03G08080 transcript:LPERR03G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMLASVPTRPRSHPFRRRRGAAAGDPPLFPDQIAAAASKRPAESSTSASSCFHSEVISATSTTTSLAAAQRPEKRPRFQGADEAQPAASECSEIIGGARPLVAEVEVSESSCFASVLESDLACSEQLAEATEYSSAREDLTVSETEEEEVGSGPCICAEYSFTPLHESSSSDDDDDAEPSPTFSLFLAFAEQFVPYTHPKTPADTDVALLAGKRFEDLDNEESYERFRRRERREAVARDYTEVYSSMPGSYGRAVVEQRVVMVNWIIEHSQVMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFITTTHHFLWFYLKAANADDRIEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALSCLVTDNEPSCHLVMEGKPS >LPERR03G08090.1 pep chromosome:Lperr_V1.4:3:5865852:5866931:-1 gene:LPERR03G08090 transcript:LPERR03G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWIGGVRNRVICMSKMWATPSPTFPTGSKTPFRFARDTGGKGTKAIVPADRSCTSCNWDPQGYAGESTRSGVGPPSNRPAHVHRRNAAPAACGLLTPTYPSFESLKALH >LPERR03G08100.1 pep chromosome:Lperr_V1.4:3:5867051:5868466:-1 gene:LPERR03G08100 transcript:LPERR03G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPPLPSLTRRLSSCGDGGELATLLSVLRSPPASSTSLPRALSSAFPSPSDSFPIRKLPHLLLLLPSPLLSLRFLLWRLPPTSPLPSSHVLSSLAASLPDLPSAVPLLLSSSPQPLPLRHYALLLSISAHAGVFPASIALLQHMRSFGIAPDAACFVSALRSAGSPGDVCAVLGIMSASGVSPSVPTVVTAVHKLATAGDFVGARRLIEKMPEFGCVTNVTVYSALLDGMCSFEDVDAALGLVEEMEGGGLGVGCAPNVVSYTCLVKCLCGSRRMVEALGVLDRMVGRGVMPNRVFVRTLVSGFCSEARVADAYAVVERVISDGSVSSEQCYNVLLVCLWRVGMDGEAEGLVQMMTKKGVRLSPLAGSVMIRELCKRNRLLDACYWIGIMEENGVLCDSDVYTGLLLRLCMEGHVDEALVLTRKVAERGILIEASYADRLTELLKRYGDEELASQISGLRRSSEVFSH >LPERR03G08110.1 pep chromosome:Lperr_V1.4:3:5871013:5875742:1 gene:LPERR03G08110 transcript:LPERR03G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRSTFIPPSNKLKDKEITTEQKVSSVSKGSGAGNNEHSKLPPPRALPGVEQETPEWLRVLLQTGFWGQCKQHWDATSRAEAKCLFCLSCYQVLCQRCKHDEPGHRVLKVRRYMYRSVVLAKELQDLNVDVSRIQTYIVNGQKGVHLRPMRRSPQFKPHAETPRCSSCLCWLRSAPNIFCSLSCKFGIDVSQDDFSGSEAERRHKQVLGNVLESPPHQSTPRPIDASLVGDEDVIMPEAECVQVQTNVTESASSAVGDANDSIMPEATKINVGIPSLRRRARKQAAPHRAPFF >LPERR03G08120.1 pep chromosome:Lperr_V1.4:3:5876473:5881127:-1 gene:LPERR03G08120 transcript:LPERR03G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKAGGAAATDTSTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKRELLLKDVARANSIEASILKDRLYKLDSEKPRPYGTVQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLQSKKSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMDCARY >LPERR03G08130.1 pep chromosome:Lperr_V1.4:3:5881569:5888775:1 gene:LPERR03G08130 transcript:LPERR03G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAVRKPHLLHRRDKEEASPSPPPAPAAHTPSPRGFAVHDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVAEFPPKVRNAQANLLKKSFSGRRLLAGRIDKETSLAWMICGNELFIWSYLASVAEDCLVLEVPSSLMGNKDAKSLCGSQWTVCVMRWGSIGASTRNSGGMLHRRSSTGFILCNKRTQAIAYWPDIYAKSNKSPVLGSFGYDDTSSGDGTFGNCMINSLIAVAVPGGVNECIAIASEPTGTLWLFQCSPAAVHRREIQKGTLGVYDADHSHKNSGGRSLAWLPSKVSSEASERMFFLLTSHELQCWSISFLHDINCKKLGSQEIVGNDGDLGIKKDIAGQKNIWLLDMQIDEYGKEISILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVTKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSDDRDEGSWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALAGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLIEKQQKHEKFLQFLVLSKCHEELSSKQRAAMLTVMEHGEKLSGMIQLRELQNALSHQRSSTKLSPQSKDHSAGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLLHCLSHQLQYIITGEEHPSVQMQRALELSNACLILVQAALCYREEHKDWYPSPEGLTTWNSQPVVRSGIWRVASFVMELLTGPVAADMSMKSNLWSQLEGLTDILLDAYIGLLTAKFERGEEHGVLVQEYCHRRDELLGSLYDLAKQIVDAKYQESAEVTDNLDLKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLLNRRQYAKLSRLGEEFQEELATFLKGRDDLLWLHEIYLNQFSSASETLHNCALLVSPEEDANLTSNRKQLSFVERKRFLYLSKIAAAAGKDAGYEGKITRIDADIRILNLQEEIVQHDPEYAQDKYTTKPVRPSELIEMCLKRDRELSLKAFEVFAWTSTSFRSSNRGLLEACWMNAADQDDWVSLSQESTSEGWGDKVIQESLQGTVLFNASRLCYSPDAVVYEGTFEEVLPVKKEDVYLRGLESRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTITAAEPIEMDS >LPERR03G08140.1 pep chromosome:Lperr_V1.4:3:5888831:5902419:1 gene:LPERR03G08140 transcript:LPERR03G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKTSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDDKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRHSVREGDSTKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSYKLSNTLEFYGYTISDLLGGDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGVSLLLELINTYNSMMVPASGKRPNFDSVISAILDPIIQICEQAAEAQKSKGTLARRGRTSSDPSGNNRESISVDAILSKNSSASFLSGESSSKIYLINCLSAIQEPLMGQEVAISYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMSYIKDYSSTGTKDDAQPLADIVETSPQMLSECFKAFYGVVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHTPEQIRTILEI >LPERR03G08140.2 pep chromosome:Lperr_V1.4:3:5897460:5902419:1 gene:LPERR03G08140 transcript:LPERR03G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLPLLVVLLHAARGSSAGGGNGSCTRSCGRTSVPYPFGFSSGCTVRLGCDDATGTAWLGGTTSLGLLVSNVTPRALVLTLHPDCSRPLNASVEALFADNYAPSAQNALVVSLCNDTHAAAADAHLSNCTIPPEAYIDSSCKSIRCLLPVTTKAAATIGVGSSSSSFLNRSEMRRVGSVCSGLVSASIYSNTAGPALQLTALELDWWVQGRCGGCSSHANCEEFTAPTTQQKAFRCECPEGFDGDGYIAGAGCRRRRVPKCNPSKYISGSCGKLVQIGLLVAGIFFGAMVMGITCLVYHLLRRRSAAIRTQQSTKRLLSEASCTVPFYTYREIERATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIENGQQILVYEFMPNGTLAQHLQRERGPAAVPWTVRLRIAAETARAVAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGRGCLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDAAFMSTTSSLCSSAPSRCTDKSWETAKSRRQQAAANAVAKQDAAKGAVADSPVSVQERWFSDRSSPSSNSLLRNSSMN >LPERR03G08150.1 pep chromosome:Lperr_V1.4:3:5906392:5907807:1 gene:LPERR03G08150 transcript:LPERR03G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRGGSGNVLPRPVPGSYGVPFVSAVRDRLDFYYLQGQDKYFESRAEKHGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTYMPSTSLTGGYRVCSYLDPSEPTHAKVKQLLFSLLASRKDAFVPAFRANFGALLDTVESQIAGSGKSNFTGLNDATSFEFIGEAYFGVRPSASSALATGGPTKAAKWLLWQLAPLTTLGLPMILEEPLLHTVPLPFFLIRSDYKALYAYFAAAASDALDDAERLGLSREEACHNLLFATVFNSYGGFKLLLPRILSRVAEAGKGLHERLAAEIRGAVAGGEVTMAALEKMELTRSVVWEALRLDPPVRFQYGRAKADLEIESHDAKYAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLKYVYWSNGRETESPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEVGTNVVITGVTKAA >LPERR03G08160.1 pep chromosome:Lperr_V1.4:3:5912623:5913422:-1 gene:LPERR03G08160 transcript:LPERR03G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGGAVNFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFPFLRNSDVPHEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHYKYGVGDAHFEVTRFALLETIKESVPAEMWSPAMKSAWSEAYNHLVAAIKQEMKPAE >LPERR03G08170.1 pep chromosome:Lperr_V1.4:3:5914229:5917552:1 gene:LPERR03G08170 transcript:LPERR03G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMICCGGEEEEVYGLRAATRSRSRHPAPATQYNAGPGKQRGPNAPRNGGPPPKVLPIDVPAISMGELNNITGHFGEKALIGEGSYGKIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSVVSRLKNEFFIQLMGYYLDASHRILVYQFATHGSLHDTLHGKKGVKDATPGPVLNWSQRVKVAYGAARGLEYLHEKVQPSIVHRDVRSSNMLLFGGYESKLADFNLTSQSTDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSEVYSFVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGDEYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >LPERR03G08170.2 pep chromosome:Lperr_V1.4:3:5914120:5914679:1 gene:LPERR03G08170 transcript:LPERR03G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGGGRAVAVNWPRVPVEPSVQCSVQSMRFHTVFAPILPPPSLTATTVHWKNSHLVGVGEEEAEEQTLRLACTNLNPFVKAPRPIRWRPE >LPERR03G08180.1 pep chromosome:Lperr_V1.4:3:5922146:5927118:1 gene:LPERR03G08180 transcript:LPERR03G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGNDEARAPLLAGRRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSLEESNVMSEEDDTEEQKQSEFAMKISNYANMILLALKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAIMATLGFQVFVQAVEKLIVNETPDKLTPVQLMWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLSMRHHPQIKRVDTVRAYTFGVLFFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >LPERR03G08190.1 pep chromosome:Lperr_V1.4:3:5929545:5938695:1 gene:LPERR03G08190 transcript:LPERR03G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETVVASASGSGIWSRRRDEITLDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFTQIVMYGKTLQCDGSDINRLNTIGEQRIRQGEQDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRSSLLQMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCELFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNAQVHRDGINLDQFEDYFITLRAWRLDGSHTEMCVKAHALKGQSCVHHRLVVGSGFVTITKGESIRSFFEHAEEAEEEDEDDTMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALELLEERVHVACKEIITLEKQTKLLEEEEKEKQDEQERRMRRRTKEREKKNRRKDRLKEKERDKGKQILELKSSDDNSSTLRNSTSTNDESTNTPDSRDSASEEEDNPTAVDLCSSDTFVDKTACREVNVQNNMDYRDTLTEFAPTNSSDVFTCGQSKSSRRNMRLRNDFPQDQSSCCYDECGDETGSIGDFQWQSKERTRHSARNCNSVFTTNSRTRDRYNYISFSCDTRDDYVINDNCSSSAGSGRETKIARKAGVERPRVQYRRCYPLDNFIVSKESRPGNTQQKNVPKQVWEPMDSQKKKFLDTKTNVSGAVFNVDSPKSVKRNISEYPNFDAEPESLSRSSETSRDICKTETDQTCGNNEKNRATCCVGNVMVDKQDSYSTKDESSTHDEELMMNSTSSDGSSSCTSEADRESNTSSLTSLSAQHQESSSSDSEESPERVNSTAEAPSTKTASRSLLEACAGKGFREYQPKVMQLPHNDRLGFNIPPFQDQLHHQSMHVPPHSSATVGLHNHSWAAPASGYLPYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHYPVPFSYTPPEPIQKATTSFRVMHPTPIYRNGLHQTGGSPGGDLTVNKNSSKPKPLDLEDAPEDNKNSPEGSASFSLFQFNLPIAPPAPPSSKDDKSGESAARAPLAQVQVQPCSREQTNVKEYNLFCSKNGSMFSFI >LPERR03G08200.1 pep chromosome:Lperr_V1.4:3:5937038:5938550:-1 gene:LPERR03G08200 transcript:LPERR03G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASISLAFSPLLLPTPRPRPQSRPINPGFPKPLRLTLARAPPSRRAAPVAAAVPDGVAVADVVERDWSFLDASAAGATGLARALEAGALSPASRVLAVTPAPSFVDALLSGTPCELLVAAHESLYVLAGIKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFDAFDAVFVCYFPGMGVSAAALVKSLAKRCSKGARVVIFLDQGRQNLEQHRREHPEVVTSDLPNRTSLEKAIAGSKFEIVEFVDETALYLAVLQLQE >LPERR03G08210.1 pep chromosome:Lperr_V1.4:3:5941025:5943790:1 gene:LPERR03G08210 transcript:LPERR03G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPLNNLFGGGNSPPGADSSSSTGSPPSSTSSPPSSQSPPQSSPPPASPPPSTQPGPPTNSSGSASSPPSPPQSTPPANNGGGSPPAPKAAVPSPPAPKRSGDGGSSSDKGGGGSSSSRGRSGSTDDSPPVGAIVFGAVFGFLAFAILLGIIWCLCCSRKKKKRPPHMHMPYYTDENGNVYYANSMPKWQNSVDHGGGGGWHAQYSPGQAPSTSGEMSGSHGTGPLPPPSPGMSLGFSKSSFSYDELASATGGFSSANLLGQGGFGYVYKGVLPGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGKGVPVTEWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDSSNYMEDSLVDWARPLLAHALSENGSFDELVDQRLENKLDRLELERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSSGSEYDSGYASNISKFRKVAFESSIEDSSEYATQSSADSGEPARRQQQH >LPERR03G08220.1 pep chromosome:Lperr_V1.4:3:5945243:5952330:1 gene:LPERR03G08220 transcript:LPERR03G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDEEVEYSPFFGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQENPEIVKRWSNEVQEAVQSRAALVQFHGLALLHQIRQNDRLAVSKMVSGLTKGSVRSPLAQCLLIRYTSQVIRESSMNTQTGDRPFSDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMADIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLGSGLREEGGFEYKKAIVDSIVTLIGEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSHPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGNSEKDVNEFLFGSFDVPLANLAATLKTYEPSEEPFDISLVSREVKTQPLQDKKAPGKKPSAGTPAAAPVPAVDAYQKMLSSIPEFSGFGKLFKSSEPVELTEAETEYAVNVVKHIYESHVVLQYNCTNTIPEQLLENVIVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHAPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPETERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPKNARSHSCLLSGVFIGDVKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >LPERR03G08230.1 pep chromosome:Lperr_V1.4:3:5955226:5964874:1 gene:LPERR03G08230 transcript:LPERR03G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFFLFRAAARRPPLPLLVSRKAFSEQSVLPITEMIEKFQGPSMESAPRIPLYDDSLPSSLLTTSTNPGDSVLNADPSKTQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGNYTAMPSKECHMAKGMTFRHMLYPAYKSNRIPTPDTIVQGMQYLKASIKAMPIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVAALSGDKADNIPGSLENLLKSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRATYLWNKLNS >LPERR03G08230.2 pep chromosome:Lperr_V1.4:3:5955161:5964874:1 gene:LPERR03G08230 transcript:LPERR03G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFFLFRAAARRPPLPLLVSRKAFSEQSVLPITEMIEKFQGPSMESAPRIPLYDDSLPSSLLTTSTNPGDSVLNADPSKTQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGNYTAMPSKECHMAKGMTFRHMLYPAYKSNRIPTPDTIVQGMQYLKASIKAMPIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVAALSGDKADNIPGSLENLLKSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRATYLWNKLNS >LPERR03G08230.3 pep chromosome:Lperr_V1.4:3:5955161:5966131:1 gene:LPERR03G08230 transcript:LPERR03G08230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFFLFRAAARRPPLPLLVSRKAFSEQSVLPITEMIEKFQGPSMESAPRIPLYDDSLPSSLLTTSTNPGDSVLNADPSKTQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGNYTAMPSKECHMAKGMTFRHMLYPAYKSNRIPTPDTIVQGMQYLKASIKAMPIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVAALSGDKADNIPGSLENLLKSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRATYLWNKLNS >LPERR03G08230.4 pep chromosome:Lperr_V1.4:3:5955161:5964874:1 gene:LPERR03G08230 transcript:LPERR03G08230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFFLFRAAARRPPLPLLVSRKAFSEQSVLPITEMIEKFQGPSMESAPRIPLYDDSLPSSLLTTSTNPGDSVLNADPSKTQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGMTFRHMLYPAYKSNRIPTPDTIVQGMQYLKASIKAMPIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVAALSGDKADNIPGSLENLLKSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRATYLWNKLNS >LPERR03G08230.5 pep chromosome:Lperr_V1.4:3:5955161:5966131:1 gene:LPERR03G08230 transcript:LPERR03G08230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFFLFRAAARRPPLPLLVSRKAFSEQSVLPITEMIEKFQGPSMESAPRIPLYDDSLPSSLLTTSTNPGDSVLNADPSKTQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGMTFRHMLYPAYKSNRIPTPDTIVQGMQYLKASIKAMPIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVAALSGDKADNIPGSLENLLKSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRATYLWNKLNS >LPERR03G08230.6 pep chromosome:Lperr_V1.4:3:5963404:5964505:1 gene:LPERR03G08230 transcript:LPERR03G08230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPSVSTYELLKYKSDGPTRPSTCGPTSVGTAKLQAKQAFARRRQRQLAVILHLPHELVCGTCCPCVVPGTPAAVTQNPVGVLLGCGSANRYVSTDLPGVRGVPTCDLRCATRLPLYALALSAVAPASSASPLYGHPVSAITMSTPG >LPERR03G08240.1 pep chromosome:Lperr_V1.4:3:5963854:5967482:-1 gene:LPERR03G08240 transcript:LPERR03G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRWLDAAVIIVVLQAFLCHSAKSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNADVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPSLAPQLLPAMQNLLAALPAGSTTKAMHSMAVLSSSDPPSSGAFHEDLAASLVPVLDFLKQNGAPFMINPYPYFAYRSDTRPETLAFCLFQPNPGRVDAASGLTYTNMFDAQLDAIRAALDAKGYPGVDIVIAETGWPYKGDADEAGATADNAKAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYKTDLTANYDIGLAAAPGTATPATVTPITVVQVPPRDSCLPILSLQLWIDDAHGVDVADQYCPLVLVLQNTPQPSRTPTGFCVTAAGVPGTTQGQQVPQTSSCYIPAGAGSRRVDADAAVRRLVWLGVLLCLLTLVRK >LPERR03G08250.1 pep chromosome:Lperr_V1.4:3:5974083:5976089:-1 gene:LPERR03G08250 transcript:LPERR03G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFAIGVLKYGERSWALRYANLSNIRSSVNNVVTTSLPLHAGEEEDEEKLLLVAHSLLHVCKRAMADTSVEPNSSEYNPKIFSCYGWKAMCRIVEMELSLVYDILYTKAAVMHTWFGFAIRAVSPIAVIAALGLFHKEVQPGSYRQVDVAISYALLVAALVLETTSTCRVMGSTWTAATLHATRWAWLRHEILCTHGRWHRLRLAVASLRRLVRRDGHRYWSGTMGQFNVFHLCTRDGTAERLAVVAGKVGLGSWWNKHVNAGSIVISGHVKELVFGHIQNMLRHVDASDGSDLDAIRKTRGQLALRRHGLQDDLEYSLGDEFQEGIITWHVATDIYLTMSNSE >LPERR03G08260.1 pep chromosome:Lperr_V1.4:3:5981179:5985258:1 gene:LPERR03G08260 transcript:LPERR03G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYPAALAVFSPNPHIASRRDLKVALFYAIFFCILMVSCYVALYLRWFRLSAIFVVFGILIPVSLKISRHRRLKRKRERRLLLPLSM >LPERR03G08270.1 pep chromosome:Lperr_V1.4:3:6001239:6008303:1 gene:LPERR03G08270 transcript:LPERR03G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMMASITRELLFFLPFILLALLTFYTTTVAKCHWCRGSWTRRKRRPNLPPGSSGWPFVGGTFGYLRAHPATTVGHFMEQHIARYGKIYRASLFGERTVVSADEGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEFTFNLMAKNIMSMDPGKEETEMLRREYITFMKGVVSAPLNLPGTPYWKALKSRAAILGVIERKMEERVEKMSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSNGSSGGVAQSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLNFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDEDRSIGVF >LPERR03G08280.1 pep chromosome:Lperr_V1.4:3:6011925:6014705:1 gene:LPERR03G08280 transcript:LPERR03G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNKDVVFVSLGGDRTGVILISPGFSPPYCAPVSPVGWFSLRSHCNNNDSTFCESKRGATAQDPSQLNVVHLDVRYGLSSSSMLLKQLRKEGGSIPPRFF >LPERR03G08280.2 pep chromosome:Lperr_V1.4:3:6012122:6012508:1 gene:LPERR03G08280 transcript:LPERR03G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSSDIRGPRVQIDAGVVSATTDRDAGRLHLVTGADRPRGRRARSVAVAGDAAAITGILRRDLER >LPERR03G08290.1 pep chromosome:Lperr_V1.4:3:6019687:6023406:-1 gene:LPERR03G08290 transcript:LPERR03G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGESPPEVAVASPVDESSAVSGSTTTTIFGLSTSAAAAAGAAALVLVVVLVAAATARICARRRRGAKHLSMSSRVEHAPSSSRSGSLRPTPKEKDHHLPSSYYVDGEIGGTGTTGTGTSSSDVASSSGAAASYLESPATRKIPERISCAAAMDMGWGRWYDLEELEAATGGFRAENVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDTKWNPKVSDFGMAKVLGSGSSYVNTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSAGEVNLVEWFKGMVGSRRVEELVDPRIEDPPPARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRATHRTSPNNTRPLLMSEKVGADDLERSMWR >LPERR03G08300.1 pep chromosome:Lperr_V1.4:3:6032686:6034188:-1 gene:LPERR03G08300 transcript:LPERR03G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAGPEIAAAAKATPPEQSSWLNLKVFFLRVSRCEVNESMADSLTVTHAPLIPDTVLEANGGGGKGGHVSLRMDRAGAGAGGDGCTFVSTADVRMSGSVRFEVHGGGERLLVGILEPCAGGGWVMKCQVAAQRGSGSGLLRRGGKETKPPVVEVYVAGLARGAPIVFTKAMQLRFRRRRQVKAFMEPIPECGDGEHETEASEYRCYKPECGDGGFYTRPAGGEEGEDGEDFSWFTAGVRVGVGISVGICLGIGIGAGLLARSYHSTSRSLKSRLISSLF >LPERR03G08310.1 pep chromosome:Lperr_V1.4:3:6037737:6040895:1 gene:LPERR03G08310 transcript:LPERR03G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDNVSNFVKVDAIFGPGTKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >LPERR03G08310.2 pep chromosome:Lperr_V1.4:3:6037700:6040895:1 gene:LPERR03G08310 transcript:LPERR03G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDNVSNFVKVDAIFGPGTKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKAGETVKHNQPHTEVNFRAFQPLSRDQSFYGQKI >LPERR03G08310.3 pep chromosome:Lperr_V1.4:3:6038120:6040895:1 gene:LPERR03G08310 transcript:LPERR03G08310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >LPERR03G08320.1 pep chromosome:Lperr_V1.4:3:6041261:6048966:1 gene:LPERR03G08320 transcript:LPERR03G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGPGMREEPPPEEVVGRVKDDGDFDALRRGIVRKLKDNEVLRRNIIAGVMQSAVINEDGSEKLKLKDLSDAIFQDIGSKIMGQISDELWRVIQSNETDIRGTAEAVYNRMMNPEQQQDPSNKLKRKAKEQQVSPAKVSTSVAVQLEDDDPEEPPGFGFNGHQRNNIIETQQQPSSTENHNQVKPNKGEPNASCPGDGDDEDPDVPPGFG >LPERR03G08320.2 pep chromosome:Lperr_V1.4:3:6041261:6048459:1 gene:LPERR03G08320 transcript:LPERR03G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGPGMREEPPPEEVVGRVKDDGDFDALRRGIVRKLKDNEVLRRNIIAGVMQSAVINEDGSEKLKLKDLSDAIFQDIGSKIMGQISDELWRVIQSNETDIRGTAEAVYNRMMNPEQQQDPSNKLKRKAKEQQVSPAKVSTSVAVQLEDDDPEEPPGFGFNGHQRNNIIETQQQPSSTENHNQVKPNKGEPNASCPGDGDDEDPDVPPGFG >LPERR03G08330.1 pep chromosome:Lperr_V1.4:3:6060448:6063881:-1 gene:LPERR03G08330 transcript:LPERR03G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTLLLLLLLLLLTIATHGTAADTAASPTSPEAAALLNLSAALGDPSGYLSTHWTPATAFCSWPRLSCDGDGLRVLSLDLSGLNLSGPIPTVAFSSLSHLQSLNLSNNILNSTFPEGIISSLKNLRVLDFYNNNLTGPLPAALPNLTNLVHLHLGGNFFSGSIPKSYGQWSRIKYLALSGNELTGEIPPELGNLTTLKELYLGYFNSFTGGIPPELGRLKELVRLDMANCGISGVIPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFAALKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAKLGVSATRLRIVDVSTNRLTGVLPTKLCAGERLETFIALGNSLFGSIPDGLAGCPSLTRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGEFRLDAGVVSPSIGELSLYNNRLSGPIPVGIGGLVGLQKLLVAGNRLSGEVPREIGKLQQLSKADFSGNLISGKIPPAIAGCRLLTFLDLSGNRLSGGIPPALAGLRILNYLNLSHNALDGEIPTAIAGMQSLTAIDFSDNHLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGGVAATTSTTFGSLSSASKLLLVLGLLALSIVFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAAVVAVKRLPAMGRSGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDAEFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVQWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAVMAMDDVPSSHGSGKEQNMSPDKQQQDGSRESPPQKDLLSI >LPERR03G08340.1 pep chromosome:Lperr_V1.4:3:6070825:6072027:-1 gene:LPERR03G08340 transcript:LPERR03G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQNQWLTEPEPEEFTYMYHQEEHSRMQEKFVGTPILDPQVHFDQFYTAPPLAPNPNPFHPSHSHSSSFPTFVDSALPTLTFGSAATKNEPQPSPPPSSSNVLSFSGLAQGAAATTTLDFSGRGWQQDDGVGIGVFQQPPERRSRLPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIDYVKQLEEKVKALEEQQDTAAFESKCRITADDGGRGEGPNGGSNSGADDGSSGSSPTVEANIHGNTVLLKICCKERRGLLVMVLTELEKQGLSIINTSAVPFTDSCLNITITAKARLIAHLWKCGCKLEIRWFDRFQFYADRGGFFDSG >LPERR03G08350.1 pep chromosome:Lperr_V1.4:3:6076128:6076647:1 gene:LPERR03G08350 transcript:LPERR03G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRQEQERRRGLQARRRPPFGRLPAVAVARPIACGLPYAVRLCVSVVCIEPWTVDVLVRSTVRHPEIFEEILKVIFVNFLINAFRYNLSV >LPERR03G08360.1 pep chromosome:Lperr_V1.4:3:6101191:6103514:-1 gene:LPERR03G08360 transcript:LPERR03G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSGTNSSPSAPAPPPPPLTSFKNPSHLLRLMDDDDGDDGFGHHQDHAPLISKVSDEAKAIGRLSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEAVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWVSLLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGLVVGAAAASFMVSVRGHWGRMFTTDADILRLTSVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVASTDWDVEVARAKELTKASSSSSTTTTTSCTTNHHQQHECNNNNTGNAKAITTTTTTTCTTTKTTTPHAASNINAGYVPISESGSNNDGSDDLEKLEEGLMACTMSGGVDVDTNAARDKQHCCNGGAGTAEGNDSSSERAPLISVGDDDEAGDQEHDGDGGGGGHVRLAIASVVVVD >LPERR03G08370.1 pep chromosome:Lperr_V1.4:3:6113836:6121936:-1 gene:LPERR03G08370 transcript:LPERR03G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPQITFTRNSPSYSVAHSAGPQQLNSPYGSSPLGRSLLSGDTAAACQPPASSPASLARRRPPATSLFPRVLRAPNASAAPPPRLPLAHSTSSRQSSPSLSFPPVGPPATPQFPGGHPESSPLPGEAATSISPLPSLQSVIDVSKKASTVKAGQEDNQVAH >LPERR03G08380.1 pep chromosome:Lperr_V1.4:3:6125947:6129473:-1 gene:LPERR03G08380 transcript:LPERR03G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSASRRQILAEMGYSFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLRNNGMMKEILDSKETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTDVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLQEP >LPERR03G08380.2 pep chromosome:Lperr_V1.4:3:6125947:6129473:-1 gene:LPERR03G08380 transcript:LPERR03G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSASRRQILAEMGYSFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLRNNGMMKEILDSKETTLLITADQVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLQEP >LPERR03G08390.1 pep chromosome:Lperr_V1.4:3:6148134:6151861:1 gene:LPERR03G08390 transcript:LPERR03G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDVKPVIMRRPSAAAVHGGEVLSPLLRGWREFRRSGAPARFLCFEGGAWADVAGEAAAQLRRAFLEGKTVAEAACGGRVFLFDFLRMARIDEDTAEEAALGWIDERGACFFPAPEAEEGGGRKRKRDEAGSEMKGAEDGDEDEASSGVEERSGESPEAAATAADESGRKKARGMSWGKAVRLDETDKFYRVVEKLFLSRMAPVVAAAAITAVHKVAQGHRARAFHLQGQLLAAARGDDGGSNAKFAWYGAPAADVAAAVEHGFGRTNGWLLGGRAHGDGVHLSPPQHPYASAMLTKPDENGEAHIVLCRVLMGRPEAVPAGSPQFHPSSDNYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFKCPNLQMGGSLGSASKMKKPSPAATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSSIGDKVLTTVAKKLRGY >LPERR03G08400.1 pep chromosome:Lperr_V1.4:3:6154070:6160064:1 gene:LPERR03G08400 transcript:LPERR03G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVPFDEDEEEVVMVPGLPAALSSPLNGGAMTRLVVGYALTKKKVKSFLQPKLLLLARKKGISLIAIDDTRPLAEQGPFDIILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAIKHLNNRQSMLAEVSDLNFSSFYGEVCTPRQLVIMKDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRLPRVSCAAASADHADLDPHIAELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGSKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >LPERR03G08410.1 pep chromosome:Lperr_V1.4:3:6183097:6184798:1 gene:LPERR03G08410 transcript:LPERR03G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEDAGLALGLSLGNGGGTARRPSPSSRAAVEQSLTLSMPDDGGGVGPAHSVSSLSVGGAGVKRERAEEADGERVSSTAAAGRDDDDDGSTRKKLRLTKEQSALLEDRFREHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKFAPPPPPPSAAAAHQPAPAPFYMQLPAATLTICPSCERVGAGPASAGDGTKSRPPTTHHFFNPFTHSAAC >LPERR03G08420.1 pep chromosome:Lperr_V1.4:3:6194089:6196572:1 gene:LPERR03G08420 transcript:LPERR03G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAHHALARILGSRGCNLRGTLPTIEMVPAMMRAHGMRRVVVDVQGGVGRWSLVQRRWRASLPQLDSAAADRSDEETGGDVIDWDNLGFGLTPTDYMYVMRCAPDQDGGVFSRGELARYGNIELSPSSGVINYGQGLFEGLKAYRAPTNDCSRKGSYMLFRPEENALRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLSPINLLVEDEIHRAMPGGTGGVKTITNYAPVLKPQMDAKSKGFSDVLYLDAVHRRYIEEASSCNLFIVKGGVVATPATVGTILPGITRKSIIELARDRGYQVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTHQGQRYEFRTGQDTLSQALYTTLTSIQMGLAEDKKGWTVAID >LPERR03G08420.2 pep chromosome:Lperr_V1.4:3:6194092:6196572:1 gene:LPERR03G08420 transcript:LPERR03G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAHHALARILGSRGCNLRGTLPTGGVGRWSLVQRRWRASLPQLDSAAADRSDEETGGDVIDWDNLGFGLTPTDYMYVMRCAPDQDGGVFSRGELARYGNIELSPSSGVINYGQGLFEGLKAYRAPTNDCSRKGSYMLFRPEENALRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLSPINLLVEDEIHRAMPGGTGGVKTITNYAPVLKPQMDAKSKGFSDVLYLDAVHRRYIEEASSCNLFIVKGGVVATPATVGTILPGITRKSIIELARDRGYQVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTHQGQRYEFRTGQDTLSQALYTTLTSIQMGLAEDKKGWTVAID >LPERR03G08430.1 pep chromosome:Lperr_V1.4:3:6210409:6214095:-1 gene:LPERR03G08430 transcript:LPERR03G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRLVAAATLLAAVFLVAVMRRRRHNCQREAAPEGGCGVVDGGEGTDIIIVGAGVAGSALAYTLGKDGRRVHVIERDMTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGGTIKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMANYLKTVVAPQIPLELHDSFIAAINKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLHNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQARNEMREACFDYLSLGGVCSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPPME >LPERR03G08440.1 pep chromosome:Lperr_V1.4:3:6214915:6219488:-1 gene:LPERR03G08440 transcript:LPERR03G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGARSPPSPTLPIKRKNLEASVARLLLLPPLPFPSSLSLVCPSRPQSFLLPCIASQPQRTPRVGLFQALRRPHHCGGMATEIVAGDGRLIGAAVATLLAAIFLAAVLGRRRRQRAAETEKPAPTDGCAVAEGEGYGGDGRTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVREIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQMEQGTVTSLLEEDGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGVRLISGACGIIFPIIKAEGVRQMFFPATVPAYYRAARPME >LPERR03G08450.1 pep chromosome:Lperr_V1.4:3:6236997:6237938:-1 gene:LPERR03G08450 transcript:LPERR03G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEIAFDFQPYLRQYKSGRVVRYGGEPVVPTGTDPVTGVVSRDVHAGDGARARVYLPPGAAADANAKLPVVVYFHGGAFVTGSPARPLTHAYLNDLVSRSGAIGVSVYYRLAPESPLPAAYDDAWAAVRWAATRGAGGDTWLLNHANMSRGVFLAGCSAGANIAHEMAVRCAGAGVALRGLVLVHPYFAGEEAIGGETEDLVERRRRTWRFTCPDTPGLDDRRLNPFVDGEARAAAAAIPCERVLVCVAEKDYKLKDRGLWYYRELKGSGYGGEVELFESMSVGHAFHFGMLDSRQAVQLQERTVAFIKK >LPERR03G08460.1 pep chromosome:Lperr_V1.4:3:6241856:6250594:-1 gene:LPERR03G08460 transcript:LPERR03G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDHRGAAAAAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLAADPSATLPALGVASGDLLYFTLSPLASPAPAPPQPPRQPQPLPRNPSPDVTSIARAVAPAKSAVESGSSSSLPQALCVNPGLPSASVPHPPVDVVMAEAIAETKRTSSFVVRVMKREMENAGVADGTVIYRLAVALHAALIDSGFLSANAVGSRLQLPYDWASGSSIPVSVKYTLPELVAELPAVEEGMVAVLNYSLMGNYMMIYGYVPGVKPEVQRLCLELPKLAPLLYLDSDEVSASEEREIHGLWRMLKDEICLPLMILLCRLNNLSFPPCLMALPGDVKAKVLEFVPGVNLARVQCTCKELRDLAADDNLWKKKCEMEFNAQGEGSRVSRNWKERFVAAWKVSANKRPRMLSPRFLNYGWGNPYSPHGFPTGSHLLGSPIFLGAALGISAGTSHPAAILVDNVTTFLVYLCNYGQKPVAELSRAAVNSQGHCFAVGDNQLASLDLAMDFDEPILFPVHNAGLQEGVQFYNPTSDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMSQREISMEHVGEKVVGDADTSRDDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSELDLQEILCSQDAHSALFLGCSPRLSSASPNLYRAAQQGLSRPGLYGSLSVPTPADAHMARTAHMAEFPQQRGLVWNDELHNIAPASFSFTSDAAGTGSLENSDSMKVE >LPERR03G08460.2 pep chromosome:Lperr_V1.4:3:6241856:6250594:-1 gene:LPERR03G08460 transcript:LPERR03G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDHRGAAAAAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLAADPSATLPALGVASGDLLYFTLSPLASPAPAPPQPPRQPQPLPRNPSPDVTSIARAVAPAKSAVESGSSSSLPQALCVNPGLPSASVPHPPVDVVMAEAIAETKRTSSFVVRVMKREMENAGVADGTVIYRLAVALHAALIDSGFLSANAVGSRLQLPYDWASGSSIPVSVKYTLPELVAELPAVEEGMVAVLNYSLMGNYMMIYGYVPGVKPEVQRLCLELPKLAPLLYLDSDEVSASEEREIHGLWRMLKDEICLPLMILLCRLNNLSFPPCLMALPGDVKAKVLEFVPGVNLARVQCTCKELRDLAADDNLWKKKCEMEFNAQGEGSRVSRNWKERFVAAWKVSANKRPRMLSPRFLNYGWGNPYSPHGFPTGSHLLGSPIFLGAALGISAGTSHPAAILVDNVTTFLVYLCNYGQKPVAELSRAAVNSQGHCFAVGDNQLASLDLAMDFDEPILFPVHNAGLQEGVQFYNPTSDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQVTDNYNSLLLDHLLGACIILSSPKIVLVQEETGEMSQREISMEHVGEKVVGDADTSRDDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSELDLQEILCSQDAHSALFLGCSPRLSSASPNLYRAAQQGLSRPGLYGSLSVPTPADAHMARTAHMAEFPQQRGLVWNDELHNIAPASFSFTSDAAGTGSLENSDSMKVE >LPERR03G08470.1 pep chromosome:Lperr_V1.4:3:6256075:6260929:-1 gene:LPERR03G08470 transcript:LPERR03G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSSNWLGFSLSPHMPMDVPSSSEPAATAHHHHHHHPPAAAAAAPGSNGTTTCNFVFSPPAAQMVAPSPGYYYLGGAYGDGTSTAGVYYSHLPLMPIKSDGSLCIMEGMMPSSSPKLEDFLGCGNNGGAHDPSTYYSQEEDASRAAYQQQHHQLVPYNFQSLTEAEMLQEAGGTAAPMEEAMASAAAKNFHLVTSYGATCYGGGGNIQEMQPLSLSMSPGSQSSSCVSAAPPPQQQQHQMAVVAAAATVAAAGGGQNDGGELRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRNEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAATDHVPVMQTGATEEANAVATGTDWRMVLHGSQQQAAACTNATIDLQKGFLSDADQSALNGIVGFNVESAIAHQIDVPGKISGINFSNSSSLVTSLSNSREGSPERLGLAMLYAKHPGAVSLATMSPWMPMPAPAAAAHVMRPPGSIAHLPANVGLPIHSSPNHSKGPLQDSHGMFPCPNLQLHDGMHGLCKAYQV >LPERR03G08480.1 pep chromosome:Lperr_V1.4:3:6272533:6273123:1 gene:LPERR03G08480 transcript:LPERR03G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLAALFLMAILLCLICTRSQAARPEPGSRDHKSQEEPEAIECHGGEAEEECLMRRTLVAHTDYIYTQGKHN >LPERR03G08490.1 pep chromosome:Lperr_V1.4:3:6287795:6291379:1 gene:LPERR03G08490 transcript:LPERR03G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPRLSLLRAVALPPPRASLPVRCLSAAGTASAGDAPPRAPAPRAGPPKGGGSGRKSAPPRGPSSVNPALFFPPGVERGAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVGSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYIVDLPGYGFAAASQSARMDWSSFTKGYFLNRNTLVGVLLLVDASIPPQKIDLDCANWLGRNNIGLTFVFTKCDKSKKGKGGRPEENIKAFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATVSP >LPERR03G08500.1 pep chromosome:Lperr_V1.4:3:6295145:6296533:-1 gene:LPERR03G08500 transcript:LPERR03G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGGQVLDIDTALKDGILGGAPEVGDATADGGKQPVELRKMMDELDAAAGENGGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFHGRAADLVHALRGTAVPKRQPLKGQARVAALRELRSLAATHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRLLMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAVGLELLSSICAVHKPARCLIVSIGAVPQLVELLPELPTECVEPALDILDALASVPEGRIALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >LPERR03G08510.1 pep chromosome:Lperr_V1.4:3:6303565:6304941:-1 gene:LPERR03G08510 transcript:LPERR03G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRLVAVALLLLTLPTRGRCGGNLHPIVLVPGYGSNRLDARLTPAYQPSAPRCGAREEGKEWFELWPNHAATSDPTCLAEQMSLVYDPVADDYRNAAGVLTRVPSFSSTRSLIGWDLLVRRLEETGHYRDGESLFAAPFDFRYTVAPRGHPSAEGARYFARLARLVERASRLNGGRAAVVVAHSQGCALTYQFLLARPLAWRRRYVKRVVFLAAALGGFAEGMNMLATGEGSGLPNDAPRPARMMLTRSQQSALWRLPTPMVFGDRPVVVTKNSTYSANKIVEFLEAIGFTEGVKPYVTRVLPMWEALPPPMVPVTTMYGVGVRTPEKFIYGEDGFEGTPEVVYGDGDGDMNTVSIVAAEKEWSGVEGQILKVVRLPGVCHTGFFSDDLALKRVVAEIQEAAGSMELHQNRENLLFSE >LPERR03G08520.1 pep chromosome:Lperr_V1.4:3:6305978:6309429:-1 gene:LPERR03G08520 transcript:LPERR03G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWAGAGEEVEAARWAEEEKEERKEGLRRRRRYGLVEYRALPGYLRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATKVPNVVDLQSLQHLPDVLRKADLHKIQTELVACLPSLPHLSDLQKLRDELKSSWNSIEVLPSLSCWHLLELLSSCLPHRFTHSNETSLSVLQSMKDDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLSSSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITVLGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTGYEILMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDLQGC >LPERR03G08530.1 pep chromosome:Lperr_V1.4:3:6323291:6324391:1 gene:LPERR03G08530 transcript:LPERR03G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARSIWAGKNANAAAAASPAAAPKSPARALPAVDDCPTLAYLRPRPANVRYTTASVPLPAHCFPALPVGDHLFHRLRLDGLIPPTPVSRPAPEEEDEEVGVVTVEEARKVARAAQMEVARARLRSNAQTVVSGSEFAALCVDIAGGSAEGGRRLARALDDSGVVIVLGDAVFLRPDMVAKAIESMIPAATSTKSATTTRAAASVVDVDVDDARRKEELREMEEEKAAIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARRFDVGRYEALKQQVGERYGRCAGVAAYGDSAESDAVMFRQHVTHVH >LPERR03G08540.1 pep chromosome:Lperr_V1.4:3:6326067:6326969:-1 gene:LPERR03G08540 transcript:LPERR03G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRTPALLLLVGAIWLQLHLCSDAAGTTVFTLRNNCTYTVWPASLSGNTAVAVGGGGFELSPGANVSFPAPAGWSGRFWARTDCIAAAAASSGAGSVACATGDCGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVTATGAAVNHSTCGYAGCVGDVNALCPAELQVTGTTTAAAANATVACRSACEAFGTAEYCCTREHGGPDSCGPTRYSRMFKAACPAAYSYAYDDPTSTFTCGAGAQYLITFCPVQQQ >LPERR03G08550.1 pep chromosome:Lperr_V1.4:3:6339593:6341482:-1 gene:LPERR03G08550 transcript:LPERR03G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSHLCFLVFVLAVHLAGGQAATDAAARARFACNVSTPCDTFVVYRTQSPGFLDLGNISDLFGVSRALITSANRLDTEDGVLMPGQPLLVPVKCGCTGARSFANVTYPIRPRDTFFGLAVTAFENLTDFGLVEELNPAAEPTRLQPWQEVVVPLFCRCPTREELSAGSRLLVTYVWQPGDDVSTVSMLMNTSAASIAAANGVAGKDSTFATGQPVLIPVSQPPRLPPPRYGAVAAADSGVSKRRRGIIVAASIAGAFVACAALCTAILAYRRYRKKASVPRLGGTPKLSWTKSLKAFDSNSSIARMINGGDKILTSVSQFIDKPIIFREEEIMEATMNLDEQFRLGSSYYRAKLDGQVFAVKPTKGGNVSGELRMMQVVNHANLIKLAGISIGVDGDYAFLVYEFAEKGSLDKWLYQKPPCALPSSSSSVTTLSWEQRLGIALDVANGLLYMHEHTQPSMVHGDVRAQNILLTAGCRAKLSNFSQAKPATDADAAATSIDTFAFGLLLLELLSGRRAVEARVGAEIGLLWTEIRAVLDDAGGDRRAAKLRKWMDPALGGEYNIDAALSLAGMARACTEKDAARRPKMAEIAFSLSVLGQPLSVADALEKLWQPTSEDNIGIGNAVAAR >LPERR03G08560.1 pep chromosome:Lperr_V1.4:3:6346452:6351251:1 gene:LPERR03G08560 transcript:LPERR03G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASLSELEQEDDDNDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNDCWTGYFIVKINEGQSRRINCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLEREFQNFNDDEVMDTMKHVINLSNVVDRLCKQMYQCIENELLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGSQSTKYSQDEHKSQPGSSILGKRQYGSSSNNNGRPHKRERNDAHGGAGLFDLNVPAEVADKI >LPERR03G08570.1 pep chromosome:Lperr_V1.4:3:6354293:6360443:1 gene:LPERR03G08570 transcript:LPERR03G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSRSKSSDSVRMLRMDSTDSSSPRCHAQHQQNAGELKDQNSANKKLSRTTERPCSLIQELALQVQNLEKRLNDQFAMRRALEKALCCKPCAIHSSNESCIPKLLIQSPYVSLLIVSQPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSAASSCDMEINKQPTRSFSGILTGSSELDFSTPRKHQLLQSSGMILARKSTPTSLTSETRSSHYNDKTSIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGICMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFPAVQQPFFPSPCSSFSSASGFSSKYTGDIWSPKCRKEGYIEAWQDDALGISESKYFSQQYDSVIEVSAFCKGAQRSADVKDMLHKYKSLVQLLESIDLSGMKNEEKLAFWINVHNAMLMHAHIEYGIPQSNSKRVLLTKISYIISGQRVNPELIEYQILCCRVHSPAQWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPAVRMYRPERLLRQLEAARDEFVRANVGVRGRRRGRRVLLLLPKLLEPSTEKSTEVGICSGSYGSCGRKQRVFEVAPSASQMFSFLRNSDVPLEKNPKLKSHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVADAHFEVVKFALLDTIKEAVPEDMWSSEMKSAWSEAYDHLVAAIKKEMKPSE >LPERR03G08570.2 pep chromosome:Lperr_V1.4:3:6354403:6360443:1 gene:LPERR03G08570 transcript:LPERR03G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSRSKSSDSVRMLRMDSTDSSSPRCHAQHQQNAGELKDQNSANKKLSRTTERPCSLIQELALQVQNLEKRLNDQFAMRRALEKALCCKPCAIHSSNESCIPKLLIQSPYVSLLIVSQPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSAASSCDMEINKQPTRSFSGILTGSSELDFSTPRKHQLLQSSGMILARKSTPTSLTSETRSSHYNDKTSIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGICMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFPAVQQPFFPSPCSSFSSASGFSSKYTGDIWSPKCRKEGYIEAWQDDALGISESKYFSQQYDSVIEVSAFCKGAQRSADVKDMLHKYKSLVQLLESIDLSGMKNEEKLAFWINVHNAMLMHAHIEYGIPQSNSKRVLLTKISYIISGQRVNPELIEYQILCCRVHSPAQWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPAVRMYRPERLLRQLEAARDEFVRANVGVRGRRRGRRVLLLLPKLLEPSTEKSTEVGICSGSYGSCGRKQRVFEVAPSASQMFSFLRNSDVPLEKNPKLKSHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVADAHFEVVKFALLDTIKEAVPEDMWSSEMKSAWSEAYDHLVAAIKKEMKPSE >LPERR03G08580.1 pep chromosome:Lperr_V1.4:3:6362143:6362851:1 gene:LPERR03G08580 transcript:LPERR03G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAYFSKRKVGDWENYLTQEMAKKLDAVVEEKLEGSGLFFALACTDALLLPICDFPAN >LPERR03G08590.1 pep chromosome:Lperr_V1.4:3:6363405:6364457:1 gene:LPERR03G08590 transcript:LPERR03G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVVRFTEEQEALVLKSWAIMKNDSANIGHRFFLKIFEVAPSARQLFSFLRDSDVPLEKNPKLKTHAMSVFVMTCESAAQLRNTGTVTVKDTTLKRLGNTHFKKGVRAAHFEVAKFALLETIKESVPASMWSPEMKGAWGEAYDQLVAAIKQGMMPAAL >LPERR03G08600.1 pep chromosome:Lperr_V1.4:3:6369742:6370798:1 gene:LPERR03G08600 transcript:LPERR03G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAVRFTEEQEALVLKSWAIMKNDSANIGHRFFLKIFEVAPSARQLFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKTGTVTVKDTTLKRLGNTHFKNGVRDAHFEVAKFALLETIKESVPASMWSPEMKGAWGEAYDQLVAAIKQGMMPAAL >LPERR03G08620.1 pep chromosome:Lperr_V1.4:3:6382142:6386233:-1 gene:LPERR03G08620 transcript:LPERR03G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPRPPATAAPPPATSPAAASSPLPRAFIAAAASSPRRPAASPPPPPPPLFTGRPLNPNPSHASPAHGILYPVATSSAAAAAAVATANHRRAPAVAVGFPRAHAVAVPVVQPQHHPMAAPTQGRVFPAAPRAVVAGVSSRPEYPPRGVPIAPQAPPKVNPIPAVTPSPQEINNSKDSERSKEDSTTVVINDRKVNLMDSESGSLYALCRSWVRNGVPHESQPSFGTGAPILPRPLPASVVDSRISEKDDEAEKEDSEEEKNETGEYTASYLLKLHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGHP >LPERR03G08650.1 pep chromosome:Lperr_V1.4:3:6398031:6402011:1 gene:LPERR03G08650 transcript:LPERR03G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPVSMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRIESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQAFLEEIEKTVTLLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTATLEDPAI >LPERR03G08660.1 pep chromosome:Lperr_V1.4:3:6402366:6404897:1 gene:LPERR03G08660 transcript:LPERR03G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASSFTKAPTFSVTTASQLHDAIDRLLPRLRADASLAPSARALAAAAASLPPSTVLSNRLLHLLSSHPATLPDALALFSSIAAPDLCSHNTLIAALSRSPRHLPTARDLFDGMPQRDHFSWSALVSGYARHGQPEAALSLYRRMMQEEAGNAGVDNEFTASSALAAATAARCARAGRELHCHVVRRGIDAGGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFNLFLSMLRTEGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAETALVRMYSKCGDMGSAVRVFEATAKPDLVSWTTMISGYAQNGQPEEALRYFDMFLRSGIRPDHVTFVGVLSACAHAGLVEKGLEIFHSIKEQYCIEYTADHYACVVDLLSRSGQFERAEETLLLMNVKSDITYTN >LPERR03G08670.1 pep chromosome:Lperr_V1.4:3:6404915:6408432:-1 gene:LPERR03G08670 transcript:LPERR03G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEDRRLQVREEGVDQEPLLLLPQGASLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGAILADSYWGKYWTIAVFSAIYFIGLVALTLSASVPALQPPKCSGSICLEASFLQYGVFFSGLYMMALGTGGIKPCVSSFGADQFDDSDPKERVKKGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVGGETSAIEGSRKLEHTSELEFFDKAAIISSADAKSGSFTNPWRLCTVTQVEELKILIRMFPIWATAIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPLYDRILVPIARRFTGKEKGFSELQRIGIGLVLSILAMVSAALVELRRLEIAKSEGLIHEKVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYDEAPDAMRSLCSALALVTVAAGSYLSSIILTLVSYFTTQGGRPGWIPDNLNEGHLDRFFSLIAGISVVNLLVFTACAMRYKYKKA >LPERR03G08680.1 pep chromosome:Lperr_V1.4:3:6409133:6414402:-1 gene:LPERR03G08680 transcript:LPERR03G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGASSIADAIMILFHVPETLPSAVLAQMEAKLEDSSSLEEDIQTLFNSELCSNDADTMKSPNKQSKFQSFHLSLWSEQFRAAKGAFMGSSLEGERQSLVVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADLYWGKYQTIAVFSTIYFLGMAALTLSALVPSLQPPQCIGSFCPQPTMPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFLIGSQRYRYQIPGGSPLIRVCQVVIAAMHKQNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVIVWNECGGSHDPWRLCTVTQVEELKILMRMFPIWATGILFFTVCAQNSSMFIEQGMALNNQVGSFKIPPATLSSLDVISIVIWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATAAVAAAALVEIKRLEIARSEDLIHRKASVPMSILWQAPQYLLVGIGEVFASIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRSDNPGWIPDNLNEGHLDRFFWLISGLSFLNLLLFIYYAQQYKCKKAAAI >LPERR03G08690.1 pep chromosome:Lperr_V1.4:3:6428977:6430095:1 gene:LPERR03G08690 transcript:LPERR03G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVRAKPTTTSPTATAAAHDAATSSASNIVAGGAMRRYHAASMKINIANYSLAKAHVPNSKRIDTPTIRARGHTCWHVGVFLNGRDAEDADYVSFLYLDGVAPAAEEKTVYAQAVFSLLDIEGNPVPSYTNTTVLVNFSEEWMWGYKQFIKRETLENRQYLKDDCFSVRIHVFVMKADGKVPPSNLHQHFGDLLLSKVGADVKFQVGEKEFDARRLVLAARSPVFKAELFGRMRESTNKSAIKIDDMEEEVFEAMLTFIYTDALPETKQLDEVTMIQHLFVAADRYDLERLKLICERSLYKHIETGSVADILVLAEQHCCHQLKEACLEFLRTSSSLDEVMETDGFGYILNNCPGLVKELLSKRFPCKLG >LPERR03G08700.1 pep chromosome:Lperr_V1.4:3:6430477:6434442:-1 gene:LPERR03G08700 transcript:LPERR03G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKYHQFDKPLLDDENSSSVNTLEYTGDGSICIRGHPALRKHTGNWKACSLAIVYSFCTYLAFSSIAKNLVSYLTKVLHETNVAAARDVATWQGTSYLAPLAGAFLADSYLGKYRTVLIFCMIFIIGMLMLLLSAAAPLISTGPLSWIIWTDPASSQHIIFFIGLYLVALGYGAQCPCIASFGADQFDDTDEGERTKKSSFFNWSYFITNGGSLISGTIIVWVQDHKGWMWGFTISALFVSLGIGIFIFGSTVYRFQKPGGSPLARVCQVVAAAIHKRDKYLPCDSSVLYEFPGQSSAIEGSRKLEHTSGLEFFDRAAIVTPTECEFAGAPLNTWKICTVTQVEELKILIRMFPIWATMIFFATVLEHMFSTFVEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGKANGITPLQRMGIGLVHQKVTVPMSILWQGPQYFLIGAGEVFSNIGLTEFFYEESPDAMRSLCLAFSLANISAGNYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFYWMMAGLCLLNMLAFVFCAKRYKCKKAS >LPERR03G08700.2 pep chromosome:Lperr_V1.4:3:6430477:6434442:-1 gene:LPERR03G08700 transcript:LPERR03G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKYHQFDKPLLDDENSSSVNTLEYTGDGSICIRGHPALRKHTGNWKACSLAIVYSFCTYLAFSSIAKNLVSYLTKVLHETNVAAARDVATWQGTSYLAPLAGAFLADSYLGKYRTVLIFCMIFIIGMLMLLLSAAAPLISTGPLSWIIWTDPASSQHIIFFIGLYLVALGYGAQCPCIASFGADQFDDTDEGERTKKSSFFNWSYFITNGGSLISGTIIVWKPGGSPLARVCQVVAAAIHKRDKYLPCDSSVLYEFPGQSSAIEGSRKLEHTSGLEFFDRAAIVTPTECEFAGAPLNTWKICTVTQVEELKILIRMFPIWATMIFFATVLEHMFSTFVEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGKANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHQKVTVPMSILWQGPQYFLIGAGEVFSNIGLTEFFYEESPDAMRSLCLAFSLANISAGNYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFYWMMAGLCLLNMLAFVFCAKRYKCKKAS >LPERR03G08700.3 pep chromosome:Lperr_V1.4:3:6430477:6434442:-1 gene:LPERR03G08700 transcript:LPERR03G08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKYHQFDKPLLDDENSSSVNTLEYTGDGSICIRGHPALRKHTGNWKACSLAIVYSFCTYLAFSSIAKNLVSYLTKVLHETNVAAARDVATWQGTSYLAPLAGAFLADSYLGKYRTVLIFCMIFIIGMLMLLLSAAAPLISTGPLSWIIWTDPASSQHIIFFIGLYLVALGYGAQCPCIASFGADQFDDTDEGERTKKSSFFNWSYFITNGGSLISGTIIVWVQDHKGWMWGFTISALFVSLGIGIFIFGSTVYRFQKPGGSPLARVCQVVAAAIHKRDKYLPCDSSVLYEFPGQSSAIEGSRKLEHTSGLEFFDRAAIVTPTECEFAGAPLNTWKICTVTQVEELKILIRMFPIWATMIFFATVLEHMFSTFVEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGKANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHQKVTVPMSILWQGPQYFLIGAGEVFSNIGLTEFFYEESPDAMRSLCLAFSLANISAGNYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFYWMMAGLCLLNMLAFVFCAKRYKCKKAS >LPERR03G08710.1 pep chromosome:Lperr_V1.4:3:6438613:6439938:1 gene:LPERR03G08710 transcript:LPERR03G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTSTQINAGVNGRRGGKDVKVSAGGRRGRWPAWRSAESRPDTGGRRGCGRRSAVWRRAWSRVGGNDGGPWAPGVRR >LPERR03G08720.1 pep chromosome:Lperr_V1.4:3:6444070:6446975:1 gene:LPERR03G08720 transcript:LPERR03G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFASRYVRSSLPRYRMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPVQAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVRTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSKLMPPVLLPPAPLLVAAKKSELETQRSVTEAWKKFVLAKRTNGVC >LPERR03G08720.2 pep chromosome:Lperr_V1.4:3:6444070:6448415:1 gene:LPERR03G08720 transcript:LPERR03G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFASRYVRSSLPRYRMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPVQAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVRTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSKLMPPVLLPPAPLLVAAKKSELETQRSVTEAWKKFVLAKRTNGVC >LPERR03G08730.1 pep chromosome:Lperr_V1.4:3:6453033:6465377:1 gene:LPERR03G08730 transcript:LPERR03G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASVFHIYSFAVAVAVVLLTTTATAGPATPAAINVAPGVTTNATALAANTTVTARRGRSSPLSDEEEQQYICYLCRGRNPLMIKWCPLDRDDCHIACLSSSSPPRAQLASTAAADDGGNGDRGHDDCYVMKVYPDGSWVVVDVVSCQESAGCFLVCSNAEALPSSTGAAGEITPAAIGSPLPPGLAEFERCGNGTATATTTTGGNGPTEMYICYLCTGRNPMLIRRCPIYWDFCHLVCYAPATTAGARAVAAVPVAAPPRRVGGVPQDVVNDEECYVMKLYENGSYVIVTTLGCSQVATCLLSCGGGDLTAEEAALGAAAVSPPVTATASHGMLPMSSPRVGDPDFQRCVPPPSTTAQKNPKRRREGETEAEAAPEMSEEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSQLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAENHEINIKAAICFLRGKAYESLDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESSSISSLNSGQTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQNKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNELGAVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQNAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >LPERR03G08730.2 pep chromosome:Lperr_V1.4:3:6453033:6465377:1 gene:LPERR03G08730 transcript:LPERR03G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASVFHIYSFAVAVAVVLLTTTATAGPATPAAINVAPGVTTNATALAANTTVTARRGRSSPLSDEEEQQYICYLCRGRNPLMIKWCPLDRDDCHIACLSSSSPPRAQLASTAAADDGGNGDRGHDDCYVMKVYPDGSWVVVDVVSCQESAGCFLVCSNAEALPSSTGAAGEITPAAIGSPLPPGLAEFERCGNGTATATTTTGGNGPTEMYICYLCTGRNPMLIRRCPIYWDFCHLVCYAPATTAGARAVAAVPVAAPPRRVGGVPQDVVNDEECYVMKLYENGSYVIVTTLGCSQVATCLLSCGGGDLTAEEAALGAAAVSPPVTATASHGMLPMSSPRVGDPDFQRCVPPPSTTAQKNPKRRREGETEAEAAPEMSEEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSQLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAENHEINALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESSSISSLNSGQTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQNKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNELGAVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQNAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >LPERR03G08740.1 pep chromosome:Lperr_V1.4:3:6467961:6472728:1 gene:LPERR03G08740 transcript:LPERR03G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASALLVLLAAFAVTGVVSDGSDHRYKEREVVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLAKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGDKTDPSQWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETSTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >LPERR03G08750.1 pep chromosome:Lperr_V1.4:3:6474224:6479083:1 gene:LPERR03G08750 transcript:LPERR03G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMQAVWEAMEECHRLGLVKSIGVCNFSCKKLQDLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGIQICAYSPLGASGTHWGSDSVMGSDILHDIAKSKGKTVAQVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRIAGIPQRKINRALRFVSEHGPYKSLEELWDGEI >LPERR03G08760.1 pep chromosome:Lperr_V1.4:3:6482496:6486426:-1 gene:LPERR03G08760 transcript:LPERR03G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQPPPSNSSSLTATATATADQPSPVKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPAPAAASAEDGGAAGAGGGGGAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRVRGEVMPVATSTLPVIRPAALRHHPPPPLPPPPPELQLLPASTTAFSSSNSTITTTTTTTSHVEQHTTTTTTKLQLSIGSEEEEERRRGGDQEARRRAALEEKAAADAARERAREEAAAAERAXARAARPRGAAAGAGHLPRRSLAVMSMASAVDGHGVGAAAAAVAREHLRGGGVGAGI >LPERR03G08770.1 pep chromosome:Lperr_V1.4:3:6502587:6503587:-1 gene:LPERR03G08770 transcript:LPERR03G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASLSFRNWGFYETMKGNLGLQLMSSVPADQDTKPLLQNGTFLQHHTHHNAPHHPRDCGGGASGGLPTEPPAVHIDFARNDAWMHPSQHQHPHPSQHQHLREQKVHAPPVRVGPAGHIGHPGLGGHAVHHHPTGYGMLPEAHGLHTLQMMQPQEPPPLKEEHISEPLIEENSVVRSELPTKKRKQRQPKTPRPKKPKKPPAPREDGAPNGHAPRRRGPKKALGMVINGGWQSACCTTSISTYPLPMSTKRRGVRIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTNKFVTIR >LPERR03G08780.1 pep chromosome:Lperr_V1.4:3:6503657:6504091:-1 gene:LPERR03G08780 transcript:LPERR03G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSVVVPELNRIAAAQALSNWCSTISSRVRPPTSPPPISFPSRVRRAGAPSVVLLLPRHPGIGDWTVTVGMS >LPERR03G08790.1 pep chromosome:Lperr_V1.4:3:6505678:6507891:1 gene:LPERR03G08790 transcript:LPERR03G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEERKGIKGYERKNGLAIPRPGTRKSATLRPRCYWPAIPVTAAAIPTADTDAHPLDPARACRIPNVLQRGGARERLGAAAVEVDTDGMRECGRHRRSASGSTWRHTREKGTVVDIAGRQRGLAALPCVHPVIFNGDDDGRIRRRWAWGGRICRLRLRGVVVAVAVVRGLSPALLLTVGTREGRGAVVSRGAERGARDLRDNDDTV >LPERR03G08800.1 pep chromosome:Lperr_V1.4:3:6505985:6506408:-1 gene:LPERR03G08800 transcript:LPERR03G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTSTLSFTILFDEPLAIPFDELYISSREPFGYPSGKGHDAGVGKKTREDTGNRRRQETKVRSRTPWRFFGGSGGRLKTTPV >LPERR03G08810.1 pep chromosome:Lperr_V1.4:3:6508261:6508812:1 gene:LPERR03G08810 transcript:LPERR03G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHSFPLDATAFAQVAPNSWALDLSALNLAAAPRSAVVFLLPAAAAALPPGKAVAVYYQPAANRPFAFLGALGPGRPSASLPLPEAGAGDDPGEPPVGPAKLGVAVEDAAALPPPPDGQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPTYLKTFDF >LPERR03G08820.1 pep chromosome:Lperr_V1.4:3:6511711:6516710:-1 gene:LPERR03G08820 transcript:LPERR03G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKALVASIGESTPKFKVDEKQSLKDQLATVTPLLQDLRSKKEERMKQFSIVQSQIMKIKAQISDSNNQYDNGSVNHSKDDHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTQTILKLKAEKRTRVSKLQQIVEKLHKLWNLMESTEQERRHFAKVAAVLGSTEEEITSPSILSLETIQETEEEVERLTTQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKIIALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARVLVQKIPSMIDNLIAKTFAWEDERNTPFLYDGSRLVAILEEQKLRRVQKEEDKRWYRDQKKLQTLLLKEKELIFGSKPSPKKTSSFRRTSSHHPNGNGPGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >LPERR03G08830.1 pep chromosome:Lperr_V1.4:3:6525195:6527349:-1 gene:LPERR03G08830 transcript:LPERR03G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADEDRAMAAATATATEGSAWPGPSRRRRLLEFLLHASKVHGFPFLPPLPIEPSRLNLTSPHLPPSAIYYQRLDLRPVVKYTALSFFADRLLPSLPRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFIYLEELLVQFREISKLGDLLNMDVCMEIMDILYETEDSSWLFNSPCQLAASALVTAYVISVPKQRWEFPILPWVTFTTPYDEEDILKVALTILMHVLKPGEVKDKRDFNV >LPERR03G08840.1 pep chromosome:Lperr_V1.4:3:6527969:6528613:-1 gene:LPERR03G08840 transcript:LPERR03G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRKRRRLFLHRPRRLHVVAAAGAFAATLLFLALVLLSTSPSSPQDSNITRQQRSSSSSSHPAPAENHCINTTAASLGEFGDMMMSMLPNNLAFTVFVPSPDSFRRVLGLRRPNDDGDDAATYAVVSRVLGFSAVPRHLRAADVPLHRNLESVSGLRISGWIRDDADGALVVNGVPSECVDIVKGEDIIVHVMGGVLMDAEFERSFSSEFEN >LPERR03G08850.1 pep chromosome:Lperr_V1.4:3:6532906:6535668:-1 gene:LPERR03G08850 transcript:LPERR03G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDNEFNTVAPPAVEFVDDGGSPDADACRLVKRPRPRPQQRASKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYALGFQEVVPLSARNVLGADRKRVGMRWNELVRAALNRSSPPHDGQRDQAAAQKVHPVRDGVGGGGGMGCREYRCVVSKQMVGILLTVWVRADLAGRFVRRPSVSCVGCGVMRCLGNKGAVSVRFWVHDTSFCVVCCHLASGGREGDEAHRNSDATEILSRTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRCDRILWRGEGLKQKQYDRCESRLSDHRPVRALFAVEVEAPRNLNSLRSFFLSERFDGERSSAADLLRKDDGVASSARFSDAL >LPERR03G08860.1 pep chromosome:Lperr_V1.4:3:6548531:6553619:1 gene:LPERR03G08860 transcript:LPERR03G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSKLVAMATAAVLAMLAATATAAGLVRVEHPAKNDGSLSLLVVGDWGRKGEYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVIAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQQKYWTHPKDHHYDWREVAPRQNYIANLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNDIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNDDKLQFFYDGQGFMSLQINQEQADFVFYDVSGNILYQWSKSKPNHFQPSIYIAEA >LPERR03G08870.1 pep chromosome:Lperr_V1.4:3:6552571:6555637:-1 gene:LPERR03G08870 transcript:LPERR03G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASPPPTAAAGEHPRFHISGLLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNDHGKDYKGGILQFQDGEPSCITPVAGDAVIYTADNSNIHCVDEVIEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQRPYIPLPASDLMYWFSYDHSGFDIRCARVHILGFSFHTSIDEDSKSFAPAEDDPIEFLGKPVRLGREDGVFDKVFANGLHALQVVQFYYWKAPELAAKRKQTSGGSGTACCPVIQSRGTKLPLPFNHGVEQTIFGSYKNAEIDFEWNDFGLALSMWENYSENLQRKLSTSLPYWLSNEAIFITNSFSPQVCNGGN >LPERR03G08880.1 pep chromosome:Lperr_V1.4:3:6556465:6561603:-1 gene:LPERR03G08880 transcript:LPERR03G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAVIPSSAAPGAAAIPVEGAGWMVDERDGFISWLRGEFAAANAIIDLMLVHLRSVGEPGEFDHVAAAVQQRRHHWTPVIHMQQFFPVGDVAYALQQAGWRRRAAPQQGPGASPSPPPPPARRPSFSASHSQNRHGGGGGHHHHRSDSMRGGGNGNVATAGSDKDGREVHTKEEKGMKEAKNVAQTKNSQSESPVTDEGEKTPRFQTVAEGSSKAVPTPVEYTVNEIIDSKTVNAVEGLKVYVGLVNENEKSKILSLLNETKASCRRGGLEGQTVIIGKRPMKGHGRETIQLGIPIIEGLAEDDYQRETRVEAIPGLLHELFDRLYQKEIIPTKPDYCVIDYYNEGDYSHPHQLPSWYGRPFCTLCLTDCDMVFGRVISGERGDYRGPLKLSLSTGSLLLLQGKSADIAKRTIPAARKQRILLSFGKSLPRKHLPSETASRYTPPLTPPPVPWGPPSRPANMARHSPSPQHFGYAPTSGVLPVSTIGAHHISPSDGMQPLFVAPAPVAAAAIPFPSPVPLPNSTTAWMTEAAPRSAPPRLPVPGTGVFLPPGSGHPLPHQMMTGSQAHAEPPTATDSSAYVPSKSTVVVEMANGNVSPKSSPAKQPNSAEQKPECNGSLNGGPILVDEKLAVSKEQQNGEMKKAGSNKVQPNAA >LPERR03G08890.1 pep chromosome:Lperr_V1.4:3:6564891:6567923:-1 gene:LPERR03G08890 transcript:LPERR03G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLLPILAAVLLPASPLLRPASTYAAKDELKLGLPLSAAALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGSGFASQGAYLHGFFRASIKLPADYAAGVVVAFYMSNGNVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTASGREERYGLWFDPTQDFHRYAILWTRDRIIFYVDEMPIREVVRTASMGAQYPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFTDLLLHGCPSGSTDPPCDAAAAMSPAQRSAMARFRARHMTYGYCHDRVRYPAPLPECSSAGVGAEAEAVAFLPSGEARSIGRRHRRARGGGADYAL >LPERR03G08900.1 pep chromosome:Lperr_V1.4:3:6570671:6574814:1 gene:LPERR03G08900 transcript:LPERR03G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGETDGSKDGGAPGSSADPPFPNRELTLSSYLCEKPTLASAAAAAAAGPSSPPNPAPAAAAAAEDGKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGGPAPPPPSQPPLASLLQPVVPAATDGDLRGAAAAAVPAAAAPRRTYSANTARTRSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPSELPARMAAAAAAAASAGGSFDGGRGGLHSSRPDKILRDIVSDSVAAMAQVLQDFPSERLELMREAVRGMIDSPEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSMLPVDDCDCKICSSKKGFCSACTCSVCNKFDCAANTCTWVGCDVCGHWCHVACALERSLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAASEDFEGKGLHAKAEEVLSLLVKKIISPLDATNSILQFFKYGVTDYSVTGSKSKGILAAQASQSTDMRSLQTPTITPPKSSFNFKTTSSILDTEALKPSPKPLSIEPHFSATPKDDDSSLETIVKCKEAEAKLFQKLADEARKEVDSYRQIVRSRTQKLEEEYAAKLAKLCFQETEEKRRKKLEELKMLENSHYDYHKMKMRMQTDIQGLLERMEATKKMWV >LPERR03G08910.1 pep chromosome:Lperr_V1.4:3:6575530:6577746:-1 gene:LPERR03G08910 transcript:LPERR03G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEVVLFIRDMLMLWYLRSIMLLLLLLRQKPSSESGVPTAIVNSIGMKSSSNAAAAACQEHGEDVSEISSQAASNNEPCDSSSRKLSLDLSLTVVAAAAATESSTTDSGGTRPVATPAATAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAAVRLDAFPYAAAAAAYADVASLPLYGAGLYPIGIQAHASAHHPAAAVGPSPAAGRAARGLLGPMPFFVQADEEASLFGWPGSFKPPPPPPAAAAVNSGGSSNLGGSVVVQGDDDEPDLTLRL >LPERR03G08920.1 pep chromosome:Lperr_V1.4:3:6580842:6588918:-1 gene:LPERR03G08920 transcript:LPERR03G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGYFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSSKSKRKTPLKKSKGSLLNLDVDATKNKKTPTKPSASSSNEARVEVEVLLKEAVQIPKGSRTKDGSEHGQSNDASNKGATVQSSAAEPVQASPGPVVLNPMMPYWPVPPPMAGPTTGVNMGMDYWGTPTSVPMHNKVVAAPTSTPSSNSRDIVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNNLTSENTTLHGKLKELEGEKSNGNWYKE >LPERR03G08920.2 pep chromosome:Lperr_V1.4:3:6580842:6588918:-1 gene:LPERR03G08920 transcript:LPERR03G08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGYFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSSKSKRKTPLKKSKGSLLNLDVDATKNKKTPTKPSASSSNEARVEVEVLLKEAVQIPKGSRTKDGSEHGQSNDASNKGATVQSSAAEPVQASPGPVVLNPMMPYWPVPPPMAGPTTGVNMGMDYWGTPTSVPMHNKVVAAPTSTPSSNSRDIVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNNLTSENTTLHGKLKELEGEKSNGNWYKE >LPERR03G08930.1 pep chromosome:Lperr_V1.4:3:6590332:6594346:1 gene:LPERR03G08930 transcript:LPERR03G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDDWRFLFPVSSVFSPPSLAPSTSTTYGPLLFTPLPPPPATLHAHPAAFHPPPHSPPRGLRHILRHVIRSTSFLPYSDLESLSSSSLLAPPSPPFPPPSNLLAALHSSSSPSRLVLFFPSGENADHVSYLALDDDSVAAAGSNSTPHRSPSVQSDGFMHPGHRIQQLAVASVVSWSSSWPDQEQEGQSGGDGHVVEGFLLAATLYSVNWFRVESRKGHWFKGKSRRSGSPVLVPVAKQAFDVAVVHACWSKHLRSECVVLLESGELCWFDLDTRRGGKMKVGFGSKGDWGFWLSCDYGAQPWTVIVASTKAVLLVDLRYVGHGEHKVIARVGMEGLYEIDPFVKTECYLAFCKAPFDDFVISVVTEHHLMIFDIRQPLTPVLTWQHGLDNPNHVAMFQLSELRPSKEHDWASNSGIAILVGSFWSTEFNLFCCGPKEQGSAENAHLYAWDLPSRISLIGQHCSCGNGLMEEVFTGNVPGYSFVLQQVRNSVIGYHVLPNAMLESSFAGFALIRLTSSGKLEMQRFRASSDLDDDVMCDESHHKSMVSSSIFLDTHGENFSERYMFLKFHYLSKYLEGNLRNALDSNVKKHSRPFVISEDVSVFANDNSTSCYQSVSDFLCNASVPMNVFEIACQSILNRLSSDILHVTFSKYKEMLAHSTEKRIYEYLEVPECFQNNNKIRPFLLAKPSSTGWNLTGEATSVNVLVGPVLPIHVLLAMEDSNKGMDSPSKGETSSVSHQCQEIIEAFVPEISIANTDNHNGLSASQELKDEKPYFVYEPQIDNKPSLDETAIRKDKEAHKPDDPSCLHTSTAPHMDENYMTFVCGRAGIPHSGPEKAASNLFDFSPVRMDFESPAIDIQPAEEKTTQERRETSVGGIGLQARLQMKSTDYRTKFRWLAGARRLEWLLQEIGRDVAENCLMSWRLVFT >LPERR03G08940.1 pep chromosome:Lperr_V1.4:3:6594590:6597877:-1 gene:LPERR03G08940 transcript:LPERR03G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQVIKAITNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTRRSESLLRYIRSGAGIGKREHGDFPLPIALVENSGRCKTNEHGEKVLPDGTPWVPNLMKEITTVVSNGSKPIHVDQKLIDGPNPNNRWKMFIPLILVVEYFLVVKGIRRAIQADISNGKVDDWEQRYRDLVGSKDPVDQKVSSSGNRKA >LPERR03G08950.1 pep chromosome:Lperr_V1.4:3:6600322:6601638:-1 gene:LPERR03G08950 transcript:LPERR03G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARKAVTSKASPPAPPLEIPAHFRCPISLDLMRDPVTAPTGITYDREGIEAWLDTGRAAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDVIADSSSSTRAAAAVARVRALARDSERNRRCFVSVGTGRVLASAFASLAAAGGASAVGVLEDVLAALVCMMPLDDEAARALVASSASVGSLVAIARHGSLAGRLNAVLAIKEAVSRDGAFVELGDNNVDEVVDALVGVIKAPICPQATKAAMVATYHLASSDERVAARVASTALVPVLLESLVDADKSVCEKALAVLDAMLTSEQGRASARGHALAMPILVKKMFRVSDVATELAVSAMWQLGCKSSGEEEANAATGCLVEALRVGAFQKLLLLLQVGCRDTTKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >LPERR03G08960.1 pep chromosome:Lperr_V1.4:3:6604628:6607700:-1 gene:LPERR03G08960 transcript:LPERR03G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEEAEKRIKDEIELGCAQRI >LPERR03G08960.2 pep chromosome:Lperr_V1.4:3:6605609:6607700:-1 gene:LPERR03G08960 transcript:LPERR03G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEEAEKRIKDE >LPERR03G08970.1 pep chromosome:Lperr_V1.4:3:6607723:6607929:-1 gene:LPERR03G08970 transcript:LPERR03G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWIIGSSRDEGKRRRPFTTHLSIHLERVSLHLHTRTTQIGRDRGFFFFVVFPNRIEKVLEGSREGR >LPERR03G08980.1 pep chromosome:Lperr_V1.4:3:6608133:6612195:1 gene:LPERR03G08980 transcript:LPERR03G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIKGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >LPERR03G08980.2 pep chromosome:Lperr_V1.4:3:6608108:6612195:1 gene:LPERR03G08980 transcript:LPERR03G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIKGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >LPERR03G08990.1 pep chromosome:Lperr_V1.4:3:6614879:6621098:1 gene:LPERR03G08990 transcript:LPERR03G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNRTRKKCPRGDVCLSSSSSGLNRTPANESFSVKLLSVDVSNGSKVASSKGSFLKKVGEPNCNNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNVDARMLSPSSSNVCTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGSSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISSTHFENCLIPEDIHAKSPSETCAQKDGTSLGSCAPEQHPCSSKDQSALLLAIPSGTGKSAEMPEQCIDVPPSQFGRQNQEQVRANQVFEADLGMDCAAISEGEWADTLTNISVGYLLTEASRGANTDCPGTSIGKNALLLENPCSYDSFDAAVALHASRYKSSEQSAVASHLTIWGAEETCDAFSFNLAASRKQEGSNNSASSSPDSESDVHPSNSEGFQGFLQDLAAPDIADNSCIDDAKDIEALCAESPPQSDKDSALKDQSLADLYWPDSLGPLDLEIPPAKYHADDLLLGDNSQNSWNRMMANSLDAFRNLSFFTTEKNESIPSII >LPERR03G09000.1 pep chromosome:Lperr_V1.4:3:6623428:6626147:1 gene:LPERR03G09000 transcript:LPERR03G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >LPERR03G09010.1 pep chromosome:Lperr_V1.4:3:6628864:6632705:1 gene:LPERR03G09010 transcript:LPERR03G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAAAEVEATTKLQKEREKAEKKKEKRSDRKVLQPGEISKHSKRTHKKRKHEEINDVDKKFQKGSKEPVEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVLPSPIQAKNGNILRIKIRRDQDSSASLLEKSNVEQPPVQQMASVSSLPSRKNVAQQMLARSASAQQQSMKSNSQAVAKQGIPTPAKVTQRVDVMPSSMRAPVERFGLPSAEMAANVRPSPSKVAQRVDPPPAKVTQKVDTPPAKVSQRVDPLLPSTATSTKVSLIETKPVVVPVGMPTINRQQAQVSQSKEEPSFSGINAEAASVPVEKQSKSARKKSRKAEKKEKKFKDLFVTWNPPSFEMEHMDLGDHDWLVGNTRKPDADIGNCRHIADPVYLQSAEHLSLQPRAILLPDLHLTCDILGLRRADLRRQNDAAVAIRFTSASSCFTSSPVSSRSEMSPLSSIGLACLSRQHAPIWCDRVRDQCHG >LPERR03G09010.2 pep chromosome:Lperr_V1.4:3:6628864:6634003:1 gene:LPERR03G09010 transcript:LPERR03G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAAAEVEATTKLQKEREKAEKKKEKRSDRKVLQPGEISKHSKRTHKKRKHEEINDVDKKFQKGSKEPVEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVLPSPIQAKNGNILRIKIRRDQDSSASLLEKSNVEQPPVQQMASVSSLPSRKNVAQQMLARSASAQQQSMKSNSQAVAKQGIPTPAKVTQRVDVMPSSMRAPVERFGLPSAEMAANVRPSPSKVAQRVDPPPAKVTQKVDTPPAKVSQRVDPLLPSTATSTKVSLIETKPVVVPVGMPTINRQQAQVSQSKEEPSFSGINAEAASVPVEKQSKSARKKSRKAEKKEKKFKDLFVTWNPPSFEMEHMDLGDHDWLVGNTRKPDADIGNCRHIADPVYLQSAEHLSLQPRAILLPDLHVYQLPYVVPF >LPERR03G09020.1 pep chromosome:Lperr_V1.4:3:6632078:6635186:-1 gene:LPERR03G09020 transcript:LPERR03G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHGVGRCILVGLHMDAVGKELLQWSLNQAARSGDRVVAVHIYRKSDNGKSNTLSLIRTLDDYLAEYEALCSKKDIVLVGRVTPGSSIQKVLVKEAKHCGAMVVVIGANKKYSFGGSTCLAKYCAKKLPSTTTVVAIQNSKAIFVRDAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGHGGAPVSSYDDATKVGTGGGGGGARTAEQRLGWPLLRRPPPPPANGAAAAAQPPANDVTPRKQSVVQWVMSLPRRSSSPATSPEQPQTALISDLKRMLDAVPSRCRWFRYEELYDSTNHFSSENLIGKGAHSRVYRGSLASGQPVAIKLSKASAEASKDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYAYLPRGSLDDNLHGKRSKPALSWEKRYKAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQLSDFGLAIWAPSNPASLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDTGLDVKHDEAEVKRMATAASFCLRRSARLRPKISQILSVLRGEIVMSLADLQKAETADCIDDETYPAANVRSHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >LPERR03G09030.1 pep chromosome:Lperr_V1.4:3:6643989:6647658:-1 gene:LPERR03G09030 transcript:LPERR03G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPGSLFPALPPAGHALLRLVDSCRSPSHLRALRAAHARLLFLLLGRLPSHPASAAVRVKLIQAYAACAALPAARAVLDASTDRTTVFFNVLLRGLTAASLHRDALLLFASMRPRGPDCFPDHYTYPLALKSCAATNVIVLGMQIHSSAARLGLDGNAFVAHSAISMYARCGRPGDAYQVFGEMRCRDVVSWNAMISGFAHAGLFGRALDVFRELVALQCPKPDAGTLASVLPAMGKARLEDIALLRGVFDEMQFKGLISWNAMLAIYTNNEMHVEAVELFMRMQRDGIEPDAVTLATVLPSCGEVSALSLGKRIHEIIKRKGMCSSMLLENALMDMYSSCGCLKEARDVFDSMGVRDVVSWTSIISAYGRHGHGRDAIDLFEKMHGQGLEPDSIAFVAILAACSQAGCSGAGHAVGLGRCNAGFECVTVVISVRALGQGSEDTWHFTSATKDGEKGMVMRIDGAISIVGTMEMEMNFTEGPELAYRRMVWLPAITNIIGQERSLTRSGFSPTPVMIALSKFFYTLTAHHYSCFVFRCHGISSIEKTCMSYSPKRFLIAGGNPYKKFACKFLQNLQNNKLHSLVL >LPERR03G09040.1 pep chromosome:Lperr_V1.4:3:6648344:6651657:-1 gene:LPERR03G09040 transcript:LPERR03G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQSLYPQVNQSHPDLNTAFYANPAGAGGSMYPTVDPQQLAENLFPDAADDAAAPPPPTTEETLVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSGQRRGGFFSFWSSGKSTDCAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHDEDDDAEEADADGEAPALSYGLTVASKGQDAVLAELDKVLQEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGEKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPAQLKPSTIRRMKRARRVSKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQATQDYLHATGNALGVAWAVFKIRKALDPKGNMKKSSWASAAAHTVAKESISRQKKK >LPERR03G09050.1 pep chromosome:Lperr_V1.4:3:6651703:6652740:-1 gene:LPERR03G09050 transcript:LPERR03G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALESQQYGQLSKPEGAYEDISHAAKSIAVNWTRRRPHFDGKKKAFPITPLSTPRAYGVCDKGADLPALLQLCRDDRSHVLVPRDVYASSPLRSRFPFLQSLLVSSGIRIHIVLSNRLSASTCAAVVGYWARRPGQSAAALPAIVLHGHGNAAGTENLSVPGHHIESTVAFNGSLPVIKSPFHHSFPQLLPSLD >LPERR03G09060.1 pep chromosome:Lperr_V1.4:3:6653937:6661270:1 gene:LPERR03G09060 transcript:LPERR03G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTPVVVLSSSDDEDEGGGRRAASRGPSARRARTPATAPAPAWATSGPRKKARRVSIAERGRRRATGPAPSASLKAEFDMLSEDFSECLNDIGMAGSICQTKELWVDKYKPHSSAELAVHKKKVEDVKKWLDEKLKAPKGTFGGWTLVLIGQAGVGKSATVKALASELGVEICEWTAPVPTLWTEHLHANSGLRYISKLEEFENFVEKIRKYSLLSPTSIGSKRKLTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEELESLLLSAGAHKIAFNPVTINSVKKILVRICKQEGSDLTEELVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSGLATTAILPGLRSSGTLVPRQDSYDHISLIPTPCGRDETLTLFHALGKFLHNKRETYGDVDVDVDSFPLKEKLRRNPLKMDVPEMVLSQAHGKVRTVADFLYENVLDFIDDDAIDDAWTVASYLSEADCLLAGSPISSARWMVNESYESESMTQLIAASVAARGVLFGNSHVSSSRWHTIRSPRVWQIEQSFRSQKDQILRERYDYSSTSGSRNFADLVTEFKPSERWICHRDDMPRSNSLIHNVETSNSEEDEDVIEDW >LPERR03G09070.1 pep chromosome:Lperr_V1.4:3:6661433:6665746:1 gene:LPERR03G09070 transcript:LPERR03G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSTSGLEQNGVGPFGQVRVLVVGDSGEPPTVNDTKEEHDIHGTKKRQQGSAIARPAQTVGCTVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAMIKFFRMLIRRRFFSNEPAAPSPWSLTPREDNILPVETLKDDIDNFQRKSYSGEDFMYKGVYPLPSQRSLASPPDLSPQQPLFSLDNYRYHRYSSPSLPDMNSSNRTSREDLDV >LPERR03G09070.2 pep chromosome:Lperr_V1.4:3:6661433:6665746:1 gene:LPERR03G09070 transcript:LPERR03G09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSTSGLEQNGVGPFGQVRVLVVGDSGEPPTVNDTKEEHDIHGTKKRQQGSAIARPAQTVGCTVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSLIRRRFFSNEPAAPSPWSLTPREDNILPVETLKDDIDNFQRKSYSGEDFMYKGVYPLPSQRSLASPPDLSPQQPLFSLDNYRYHRYSSPSLPDMNSSNRTSREDLDV >LPERR03G09070.3 pep chromosome:Lperr_V1.4:3:6661433:6665746:1 gene:LPERR03G09070 transcript:LPERR03G09070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSTSGLEQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAMIKFFRMLIRRRFFSNEPAAPSPWSLTPREDNILPVETLKDDIDNFQRKSYSGEDFMYKGVYPLPSQRSLASPPDLSPQQPLFSLDNYRYHRYSSPSLPDMNSSNRTSREDLDV >LPERR03G09070.4 pep chromosome:Lperr_V1.4:3:6661433:6665746:1 gene:LPERR03G09070 transcript:LPERR03G09070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSTSGLEQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSLIRRRFFSNEPAAPSPWSLTPREDNILPVETLKDDIDNFQRKSYSGEDFMYKGVYPLPSQRSLASPPDLSPQQPLFSLDNYRYHRYSSPSLPDMNSSNRTSREDLDV >LPERR03G09080.1 pep chromosome:Lperr_V1.4:3:6668557:6669246:-1 gene:LPERR03G09080 transcript:LPERR03G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVLLDRRSSGSFDFPRRGGGFRRGVAPPATARTSSCQQYKHMDKGGAWSHASSYARPPLYSSPSLPLLPSNQPPLLPLPHTATKYATFPYPPAPAPPRNGRASGPASRQIKDRRRKPSRPPPQRETTTAKAAEKKKPLERATPLPPAPVVTEAIDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFSLVVKSAPPSPAKSNLVSPPPAPSCNAEAAAADGLRRLLRL >LPERR03G09090.1 pep chromosome:Lperr_V1.4:3:6683391:6685748:-1 gene:LPERR03G09090 transcript:LPERR03G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPHPHHLLFVLLVLIPTLSRGFVVVGASGEARKTYIFRVDHSAKPSVFPTHAHWYSSAAFASSAADGAAPLEPLHVYDTVFHGFSASVSASRADALRRHPAVLAAFEDQVRSLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDSGPGFPASSCNRKLVGARFFSQGHAAHYGLAATASNGSVEFMSPRDADGHGTHTATTAAGSVAYEASMEGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAMSRGVFVATSAGNEGPTSMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGKSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGESEGDTLKAYAVNTTNPTATINFRGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNRGEAVGDEAEPGRVATPFDYGAGHITLGKALDPGLVYDIGDDDYVAFMCSIGYEANAIQVITHKPVSCPAATGRKPSGSDLNYPSISVVFYGGNQSRTVIRTATNVGADASATYKSRVEMATSAVSVTIKPEKLVFSPTVKTQSFAVTVGSSSSSPPASGPVYGHLVWSDGGGHEVRSPIVVTWLQTM >LPERR03G09100.1 pep chromosome:Lperr_V1.4:3:6688742:6690615:-1 gene:LPERR03G09100 transcript:LPERR03G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQKWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPNDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >LPERR03G09110.1 pep chromosome:Lperr_V1.4:3:6694792:6695255:1 gene:LPERR03G09110 transcript:LPERR03G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKSCVEKGDMAKLEKKRSLPVMKIIDHEPGRPSPKAVLVLDYYGQLYHPTSNSIARGHLKTEFIPNKTTSSRPKWKEKRCYVKGDKNLPYYVLS >LPERR03G09120.1 pep chromosome:Lperr_V1.4:3:6695749:6705014:-1 gene:LPERR03G09120 transcript:LPERR03G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNRIGFLCRKRTRFGPVKAGNITQDTPCSDPSVNLVSSCSPNQANETHPRRQEHSGGAAMVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDEKPEKLEALISAVNSSDSSHIVHVPPGDNALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDNASSSNTDSVMAEAEAASNAAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAALADAAMAEAAVDDQDLALALQMSVQEAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGETCLDTGGDGGYYTPASHLLEMEGLRILLDCPVDLSALAAFSPVPLGVSSGDAEDLIRAVPYYRSPTAVAAAEAGGVDAVLVSSATGLLGLPFLTRLPGFVNTKVYVTEVAARMGRLMMTELVDMHREFVRCYGPDRYQSPVWMEEEKFKKLLTVLQKTDDKGNNLAALVPLYSLENVEECMQKIQHVKYGEEVCFNGLLMLKATSSGLELGNCVWTIKGPRSSMTYLPSAIFVSAHALDFDYNSLKGNDVILFSDFSSLNGMSDDNMKMSEHVVDETDILLSNDSLPRDDSIDEDESIKYLCNNDDIKEEIERISFICSCITDAINSGGSVLIPTGRLGVILLLLEHMSETLHSSDMQVPIFMISEIAEEMVAFTNALPEWLCKPRQEKQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDSLLRLLKPKLVLFPEGQKSLYPATEKQSWSFLYYSKGKTIEVPNIREEFEVCLATEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSQLDQSERHLLHKETVDASRISSALQEKGFGCSFSADVDTSLTDRERVISITSPGEALVKVTSERTTICSDNEKIAHHVYDALRSICNGI >LPERR03G09130.1 pep chromosome:Lperr_V1.4:3:6708175:6714568:1 gene:LPERR03G09130 transcript:LPERR03G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGAPPSLLPPHPPPSPSGCSALSRLPFPFPPPSSIRGATEHESEESAAAALLPTRADMELFYELLLTAAASLLVAFLLARLLASASGTTAGEPRRAPDHAAVIAEEEERIIEVDEVEVKRAARAGEGAVSEGWVEVGRDSAAEGKLECLPEEAPAKAARELVLDAVLEDREEEKVLVGEERCYLAAAAEGVVGVKPHEVGAEMSTREVFDVALEKGKVEDAGVEQHDLVAEVAPSEAVDTGLEKQGDPIIETVEVKRHDDLCAEVAPIDDPGVGFEQQGVHIIEAVEAKLQNQVALAGSAEVVDAGLEERVQAIEAGPCGLISETVPEEVRDKLSEKNEEQVSEESEHPLAAEVAPIETKELKEELSVEQVVNVHEEVQSKDKAECEHHLDDQQEALDSKVELVERKTDHVEISQGSSSSDRMVAELPEEEMTLQGMSADEAETDMEFGEWEGIERTEVEKRFGVAAAFASSDTGMASLSKLDSDVQVQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWTAWQKLGNMLPETAMERYMDLLSESIPGWMGDKISDTKKHEAGGGAGESALTTTSQTGNQHDSQGNEDNAGIYGSHLSCSPEKGQSSDIPAE >LPERR03G09130.2 pep chromosome:Lperr_V1.4:3:6708175:6714568:1 gene:LPERR03G09130 transcript:LPERR03G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGAPPSLLPPHPPPSPSGCSALSRLPFPFPPPSSIRGATEHESEESAAAALLASRPPLLPTRADMELFYELLLTAAASLLVAFLLARLLASASGTTAGEPRRAPDHAAVIAEEEERIIEVDEVEVKRAARAGEGAVSEGWVEVGRDSAAEGKLECLPEEAPAKAARELVLDAVLEDREEEKVLVGEERCYLAAAAEGVVGVKPHEVGAEMSTREVFDVALEKGKVEDAGVEQHDLVAEVAPSEAVDTGLEKQGDPIIETVEVKRHDDLCAEVAPIDDPGVGFEQQGVHIIEAVEAKLQNQVALAGSAEVVDAGLEERVQAIEAGPCGLISETVPEEVRDKLSEKNEEQVSEESEHPLAAEVAPIETKELKEELSVEQVVNVHEEVQSKDKAECEHHLDDQQEALDSKVELVERKTDHVEISQGSSSSDRMVAELPEEEMTLQGMSADEAETDMEFGEWEGIERTEVEKRFGVAAAFASSDTGMASLSKLDSDVQVQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWTAWQKLGNMLPETAMERYMDLLSESIPGWMGDKISDTKKHEAGGGAGESALTTTSQTGNQHDSQGNEDNAGIYGSHLSCSPEKGQSSDIPAE >LPERR03G09140.1 pep chromosome:Lperr_V1.4:3:6716737:6720509:1 gene:LPERR03G09140 transcript:LPERR03G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRHAVRFLVLWMLLRCGHELVAAVPPGQSRNHGWYDYTAYTDCMGRPEPALYNGGILKFGNSEDPTGYQTTETGVLSHAFVVYNLNKTTMYTFSCWVKLEGASSSPSLITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDSPTQTSVIFFQNADKTPMKITVASGSLQPFTPDQWAMHQKDTIRKRRKRMATIHVADQQGSRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQFRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLPPDDLRAAVNGRIQNLMTRYRGEFVHWDVNNEMLHYNFYEQRLGPNASAEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVARLKELRAGGAVLEGVGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRFDAQTQAVYLEQVLREAYSHPAVSGVMLWTALHPNGCYQMCLTDWNLKNLPVGDVVDRLLQEWQTGQLTGPTDAHGAYSFSGYLGEYIMSVTYDNITSQATFSLSPGDETRHINIQI >LPERR03G09150.1 pep chromosome:Lperr_V1.4:3:6721451:6728411:1 gene:LPERR03G09150 transcript:LPERR03G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPPPSQSPAPPQASALAASGASNASLRWRILRRALLAGSASSSRASGNARTPTGTGRKSAGILSPNSATHRSFEETSIVQQDKNDDTTKISRKTSRGFDLIECHVLPISQLTKPHGDSSSRYDNIVGCHNDVYVCYKLPCEGSPKLNLVYRREDSLELNDIVASNRYNIDTTGLVCCWPSEEVLAYYCINHPDLFRSKKVLELGAGYGLAGLVIAASTDADEVVISDGNPQVVGCILLSFTHIGFSGRHYSSMVFVSH >LPERR03G09160.1 pep chromosome:Lperr_V1.4:3:6730956:6732747:-1 gene:LPERR03G09160 transcript:LPERR03G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRICVVLAMLYILVREGGAVTFTFVNRCTGTVWPGILSNAGSARMDPTGFELPPGAARAVPAPTGWSGRLWARTGCTQDATGKVLRAVGGAACRSACDAFGKPEFCCSGAYANPNTCRPTAYSQVFKSACPRSYSYAYDDPTSTFTCAGGRDYTITFCPVSTPSLKAGGTTPTTTVPGVTTDAPPGMPRPAGGGGGQGVMLGDNSVSWLASLATGDASSSRATTLAFLAAPLALLALQLPRL >LPERR03G09170.1 pep chromosome:Lperr_V1.4:3:6734559:6738022:1 gene:LPERR03G09170 transcript:LPERR03G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLTALHSSFLSYTPSSPSMAMLPRRRRGGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGTGIQPRYLDRSV >LPERR03G09180.1 pep chromosome:Lperr_V1.4:3:6746045:6749794:1 gene:LPERR03G09180 transcript:LPERR03G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATTGKFTCATVQRRRRPATLVEFTLDGSGGMDFFDVSLVDGYNLPMLVVPQGGGAAVASSNGSSAAAGKCMATGCLVDLNGACPADLRVMPTSTGAGATAAGAVACRSACEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCATGINYAITFCPSTTSGKFSGGENPQAAGVPTTNDTMVVLGAEQISATSAAAPIAAPQLTLLPLAAAVAVAALVLI >LPERR03G09190.1 pep chromosome:Lperr_V1.4:3:6758359:6762358:1 gene:LPERR03G09190 transcript:LPERR03G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDSGPNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENAVEPPPRFVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFVRQINTFGLFTKCYQCPPPPLPSTGAAPNATWPPLPETPYNITGLAPAPAPSPAHFFGRHHRHHSHGL >LPERR03G09200.1 pep chromosome:Lperr_V1.4:3:6770028:6771926:-1 gene:LPERR03G09200 transcript:LPERR03G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRTECMDLRSRAEKLAALLRQAARAELYERPAARIMADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLALLDTALEDVAWLIRISSPHANAGAGGDDDEDDDGFRGLPNIAQNEPILFLIWDHIARLHTGSLAARADAATNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVEKLLHTGVCSVFAAALKSPPIRVQAAVAEAIASLAHHSQKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVAASGGGGGARNMTSLHSVVLAKTLSVHQGGSASASPSNENQMQSVVQSAMAAKTTPTNGATVRLTTNGSSGRGSREAEEPTAKAHMKAMAAKALWKLARGNVGVCKSITESRALLCFAKLLEQGHDGGASTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVDQLLRIVCKGDDDDLLRPCITSLGCLSRTFTASETRVVGPLVELLDERELPVIKEAVVALTKFACTENHLHVGHCKAIVDGGGARHLVQLVYLGDHVQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQPHMVQDLRVDALLPDARARLELFQSRASR >LPERR03G09210.1 pep chromosome:Lperr_V1.4:3:6781560:6782045:-1 gene:LPERR03G09210 transcript:LPERR03G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPALSSSSFLAFRPAAASPTHPSPPSSPRLHAPPPLSASFSPSASVVSPDHVAAASSFYDVLGLHAGASAREIKDAYRRLARAVHPDASPHQSSSDDFIRVHAAYSTLCDPDKRADYDRRLLLLAAAGQRHRLTPALGRSPTFPAHRRRTWETDQCW >LPERR03G09220.1 pep chromosome:Lperr_V1.4:3:6785753:6790677:1 gene:LPERR03G09220 transcript:LPERR03G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRPPSTLSPAPWRRRGSLDGAAGATQRVSAAAPANTLETVPPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPVSFSSRDMLDRTVRVGRTDVQIYGPSAKEDVLSSIVDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKVDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTVDAAMFLHRKVHSNDSKRIYDMIDVLREGSMRAQD >LPERR03G09220.2 pep chromosome:Lperr_V1.4:3:6785753:6790677:1 gene:LPERR03G09220 transcript:LPERR03G09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRPPSTLSPAPWRRRGSLDGAAGATQRVSAAAPANTLETVPPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPVSFSSRDMLDRTVRVGRTDVQIYGPSAKEDVLSSIVDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKVDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTVDAAMFLHRKVHSNDSKRIYDMIDVLREGSMRAN >LPERR03G09230.1 pep chromosome:Lperr_V1.4:3:6789982:6791725:-1 gene:LPERR03G09230 transcript:LPERR03G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPRKLLLGVCAAVLVAGLVHAAYAETAPVVVGLAMCSGCTRKNMNAEAAFKGLQVAVKCKNSKGKYESMAVGKLNKSGAFSVPLAADLVGEDGELKQNCFAQLHSASNAPCPGQEPSMIVAAQPGHDGKKTFVAVAGKVHGPSAECASVFLCHHFHKHHHPIIFHPPVIVPPKHDHDDHPLPPVHEPAPVTVPEHKPAPVTVPDYKPPSTTTPVYAPPKPTPIYQPPTQQKSKP >LPERR03G09240.1 pep chromosome:Lperr_V1.4:3:6791613:6791834:1 gene:LPERR03G09240 transcript:LPERR03G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTTTGAVSAYAACTKPATSTAAQTPSSSFRGRISIMELYYLFRVIKEEEPKKATFVDWCGARSGTVLRFM >LPERR03G09250.1 pep chromosome:Lperr_V1.4:3:6792406:6793615:-1 gene:LPERR03G09250 transcript:LPERR03G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLVPPGTAALLGALVALLAVSFGTAAPAPVLVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKALSSLDENGGFSIPLAADLLRDDGELGKDCFAQLHTAPDTPCTGQTPPRIAKAAAPDNGTISADAAADANANAAPTYLAVSDDTVFSPVACKCGKYKKKHFMFAPPPPPPRPPAPEYKPPTPTPTPTPEPSYGPPPAPKPPVEDEPEPFFKKHPKMKFMHKKKPCPPLVDEDIPRPNN >LPERR03G09260.1 pep chromosome:Lperr_V1.4:3:6796507:6797454:-1 gene:LPERR03G09260 transcript:LPERR03G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFASCVAICLALAAVLLLPSHATATSSTGTIQRETKQQILATIPPHWQENPVLFLTSPSGKYTAYFLRSQTAPGAGGLGADFCYVEVLDTSEPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGLPGSPGLASAFPPFAEPIGHGSSDLPFGFGHDGGHVGGNGVGVGAGGAVAQPELPIAPVPQEGDLGGAAGVVGPQGQGAVDGAGQTSFGFGAQPLVDNSPYDSGAWKQLGGCSLAAIGVGLLLNVAIAMGLGH >LPERR03G09270.1 pep chromosome:Lperr_V1.4:3:6801085:6802692:-1 gene:LPERR03G09270 transcript:LPERR03G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVMERERLTAEMAFKGDAPLAATGEGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPWLCVPLLASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPEDEHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMEEARKEAEAVMFGCLDALFKSTGINPSHDVGILIVNCSLFNPTPSLASMIINHYKMRADIKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGSTDECFNCVYQREDEVGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVKRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKTSCNPWVDCVAKYPPKAYV >LPERR03G09280.1 pep chromosome:Lperr_V1.4:3:6808062:6808796:1 gene:LPERR03G09280 transcript:LPERR03G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFALVSRVSPAARLPIRTALRSARPTVGLPSSGRARQLAVASAAQENRDNTAVDVHVSQQNGGNAVQQQQQQRRPRRSVLDVSSPFGLVDPMSPMRTMRQMLDTMDRMFDDVAVGFPSVLPRRSPANAAGEVRLPWDVMEDDKEVKMRFDMPGLTREEVKVMVEDDALVIRGEHKKEECEGAAEGGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >LPERR03G09290.1 pep chromosome:Lperr_V1.4:3:6809174:6810651:-1 gene:LPERR03G09290 transcript:LPERR03G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLAWKTRRDAVQRHFEQFGEIAEAVVIVDKHTGRSKGYGFVSLAVAALSRARRAASPCSAPFNLILSIHVCLAREQVTFRNPDGAARALQDPMPMIDGRRANCNLAAFGAARHVHPVGAPFGMASLGPAMTATTSSYQGSAPAAMAASYFPQALHAYPYYYGYNGGYSPEIMYQAHMGYHGGCGLSGAQQQQAQLYTYYTAARPAGAHQGQQLQAPGDQTRNSVYPGPAKQYSSSQMSESDDVQQAQHKTQLCADAVPEKPSDDTGMTTAESASTVGSGASEGSSDMRPASLPDPRPSQFPHGTFIRAIDHD >LPERR03G09300.1 pep chromosome:Lperr_V1.4:3:6813535:6815502:-1 gene:LPERR03G09300 transcript:LPERR03G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHSCLLRAGWYGQLECLRGVWLALAVALCALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRVRLYDPDPAVLHAFAKTGVELFVGVPDQSLAGLAADPGSADSWLRTNVLQFLPDTKIAALTVGNEVLTGNNSALIRALLPAVQSLHGALVKLGLDKQIAVTTAHNLGVLGTSYPPSAGAFRKDLLPYICPILDYHARTASPFLVNAYPYFAYSDDPKGIHLDYALLEAGYAGVPDPNSGLHYPNLLVAQVDAIYHAIAAANTAAARVVEVRISETGWPSSGEPAETAATPQNAARYNSNAMRLVAEGKGTPLKPAVALRAYVFALFNENMKTGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGGAGGGSGWRFPGDGNATGGYGNDGINNGYYDISAASADSEGKWRWAQAAVAGAIVVLVA >LPERR03G09310.1 pep chromosome:Lperr_V1.4:3:6835393:6843931:1 gene:LPERR03G09310 transcript:LPERR03G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRNVKEEGEQKLHDVVFAKTKQWEKIKAEFEEKLHEFEQELIRAGAENDALSRSLQERGDMLMKIDEEKAQAEAEIEVLKNSIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGDNRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQQMQKENEFLTARLVSMEDETKMLKEVLAKRNSELQTSRNMYNKTAGKLRGLEVQMLTSNQRKSPPNPSMDAHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHFKKEKGAKSSVTEGSNRLELMDDFLEMEKLACMSSEANGHVSPVEKMKIDSTEVSLSGITERDGVKEIVLPETPTNKLQQSDNSPLLKLQSRISLLLDSESPENNVGKVLDSIRNILKDIEDEADSSMDEVADNGSLIKHLSNGSKNAMDQELVNAILKIQDFVKSLHQEVSKFQGQSSDCDGLCEKIQEFSALVEKVLSNENVLNDIVTTLSHILSGTSEIKFTILKENTKEADSNNLDYVDKVTLLENKVQLEPLKDSISGPCLLIPRSSSDPEIEGSTDSGCDVKPAVQICSSEEYEQLKSEKLNFEAELSKCNEVIRDTKLRFKEMEKNLEELTSKLAASEKSNSLADTQLRCMAESYKSLESRKDELENEIKVLQSKIDALTTELNDERQSHQEDITRCKDLEEKIERYENERSSTYMDEDADTKAKQEKEIAAAAEKLAECQETIMILGRQLQAMRPPAEAMGTSPNRRMEDFLQDAVRTTEGEYSQKPTTGQLDADQEMHGSGNESPLNEYKTNTAPSDADGSPFLSPNGSKRPKHRSRSSSSIANQLPEKQNRGFSRFFAKEKV >LPERR03G09310.2 pep chromosome:Lperr_V1.4:3:6836776:6843931:1 gene:LPERR03G09310 transcript:LPERR03G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEREEDKTPKYVQISPETYAHLTESEEQVKTLTEKVKVLNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLAKLAAEERAAHLDGALKECMKQVRNVKEEGEQKLHDVVFAKTKQWEKIKAEFEEKLHEFEQELIRAGAENDALSRSLQERGDMLMKIDEEKAQAEAEIEVLKNSIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGDNRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQQMQKENEFLTARLVSMEDETKMLKEVLAKRNSELQTSRNMYNKTAGKLRGLEVQMLTSNQRKSPPNPSMDAHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHFKKEKGAKSSVTEGSNRLELMDDFLEMEKLACMSSEANGHVSPVEKMKIDSTEVSLSGITERDGVKEIVLPETPTNKLQQSDNSPLLKLQSRISLLLDSESPENNVGKVLDSIRNILKDIEDEADSSMDEVADNGSLIKHLSNGSKNAMDQELVNAILKIQDFVKSLHQEVSKFQGQSSDCDGLCEKIQEFSALVEKVLSNENVLNDIVTTLSHILSGTSEIKFTILKENTKEADSNNLDYVDKVTLLENKVQLEPLKDSISGPCLLIPRSSSDPEIEGSTDSGCDVKPAVQICSSEEYEQLKSEKLNFEAELSKCNEVIRDTKLRFKEMEKNLEELTSKLAASEKSNSLADTQLRCMAESYKSLESRKDELENEIKVLQSKIDALTTELNDERQSHQEDITRCKDLEEKIERYENERSSTYMDEDADTKAKQEKEIAAAAEKLAECQETIMILGRQLQAMRPPAEAMGTSPNRRMEDFLQDAVRTTEGEYSQKPTTGQLDADQEMHGSGNESPLNEYKTNTAPSDADGSPFLSPNGSKRPKHRSRSSSSIANQLPEKQNRGFSRFFAKEKV >LPERR03G09310.3 pep chromosome:Lperr_V1.4:3:6835393:6836500:1 gene:LPERR03G09310 transcript:LPERR03G09310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSGEEYRPATTRLVKGDGRNRTPDLSLQGQGI >LPERR03G09320.1 pep chromosome:Lperr_V1.4:3:6846191:6855063:-1 gene:LPERR03G09320 transcript:LPERR03G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPPPTPPESDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPAAAAAQTPSTPTSPTTPTSSSSQPGPLRSLSLADSEMLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPDAASGASPAADANFVQGFISKIIGDIDGALTPLARTTSSAASGAGGAAAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCTDSQILVDIFINYDCDVHSSNIFERVVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVELEQNDNDGGHELPHSEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEDIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDMIVISQCLEGFRCAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQTKSSILPVLKKKSPNAGPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFIRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLIKDGKQESTVLVDKDDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLSLLTSFIKRPHQSLAGIGIAAFVRLMTSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVSIENGGSSEKRDVSRPSEDGTEEASRSRKLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGPMTQMQDPPLLRLENESYQLCLTILQNICLDRSPDEGNIEVEGHLVGLCKEVLQVYLSTASPSQLSGGAQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQSC >LPERR03G09330.1 pep chromosome:Lperr_V1.4:3:6858029:6859570:-1 gene:LPERR03G09330 transcript:LPERR03G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGATALGGGGGGGGGPSGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPTPPMPPAPPQMPMPMPVPFSISDLPSSTSVPTTVDLSALFDPPPPQPQWAAPLQQHHHHHQQHHHQLRQPPSYGTPSVARAAPSGMPAESSEGGGGGGDLQALARELLDRHRSAVKLEQPPLPPHSRS >LPERR03G09340.1 pep chromosome:Lperr_V1.4:3:6867331:6873612:-1 gene:LPERR03G09340 transcript:LPERR03G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPYHTAPSSTVSFLAARGLPASLRAAPAGSPTSASRCRGRGIAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKFLRANGITLLKVREEAADVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLAIWSDKESAGHKILASLGFDDEKANLLAKTVMIFFFQELDASESVCTISWFLTSPLNLFLGW >LPERR03G09350.1 pep chromosome:Lperr_V1.4:3:6878900:6886693:1 gene:LPERR03G09350 transcript:LPERR03G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHPPTISAMNPLLPSSSSSSFPKSPHPPAPNLTSPNPSPSSYLLHADADDEALIQIPGANPSSVASFSVVLPHIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGLRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFGMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSAAEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDADIYGVSEEGDPNFTIKGDFDVETDDSHLGVLQQIRDLKTEIGLLEGKVGKMHPELLGSLSSELSECLQRIRKFNFDGNVCQPEETLMIGNEEVVGWGTADVSHHLDQHDGAFCREDEMLDDDDTDFGSSLGPIVSWDGMTTECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDDTMVADPGLVGIHVEGFYSGAKWYDSPVHLDSSGDADVSFRHGGLDISWIGGAFEP >LPERR03G09350.2 pep chromosome:Lperr_V1.4:3:6883982:6886693:1 gene:LPERR03G09350 transcript:LPERR03G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITGKSGVTAVAMILVMRAATAASGDNDKLLAAFDILQQPAKELRSQPAKIDDYGCMTQCFHRCYDAGLGDQCIALCHKECGGGVQELRKQPAKVADYGCITQCFRRCYDAGLGDQCPPLCHKECSGGVQELRSLVGDICNIPSCISGCVGGGVDEPYCKIWCQDMCGDDIRKKQTELSP >LPERR03G09350.3 pep chromosome:Lperr_V1.4:3:6878900:6885379:1 gene:LPERR03G09350 transcript:LPERR03G09350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHPPTISAMNPLLPSSSSSSFPKSPHPPAPNLTSPNPSPSSYLLHADADDEALIQIPGANPSSVASFSVVLPHIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGLRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFGMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSAAEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDADIYGVSEEGDPNFTIKGDFDVETDDSHLGVLQQIRDLKTEIGLLEGKVGKMHPELLGSLSSELSECLQRIRKFNFDGNVCQPEETLMIGNEEVVGWGTADVSHHLDQHDGAFCREDEMLDDDDTDFGSSLGPIVSWDGMTTECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDDTMVADPGLVGIHVEGFYSGAKWYDSPVHLDSSGDADVSFRHGGLV >LPERR03G09350.4 pep chromosome:Lperr_V1.4:3:6885378:6886693:1 gene:LPERR03G09350 transcript:LPERR03G09350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATITGKSGVTAVAMILVMRAATAASGDNDKLLAAFDILQQPAKELRSQPAKIDDYGCMTQCFHRCYDAGLGDQCIALCHKECGGGVQELRKQPAKVADYGCITQCFRRCYDAGLGDQCPPLCHKECSGGVQELRSLVGDICNIPSCISGCVGGGVDEPYCKIWCQDMCGDDIRKKQTELSP >LPERR03G09360.1 pep chromosome:Lperr_V1.4:3:6898868:6899294:-1 gene:LPERR03G09360 transcript:LPERR03G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRSRDHRWPCAGSRGETEGADGAPDNGPRRARLGRVAAHVAPSRAESPRPSRLEPESVAGGDHVTNDYGRLYVQLYTAELRSRSSRGIGSEPGYE >LPERR03G09370.1 pep chromosome:Lperr_V1.4:3:6904915:6909225:1 gene:LPERR03G09370 transcript:LPERR03G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVLATATLVQVHSARKHGVLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLGRLESSLSSEALPPRAGPAAVAAEGLTLLELVGADRPGLLSEVFAVLHDLRCSTVEARAWTHGGRVAALVFVRDEETGSPIDDAARARRIESRLRHVLRGGARGARMVMADPSAAGAGSLDRRLHQLLNEDGEARAAAATTTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSKAERQHVIRCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENGLSVTHAEITTRGDMAMNVFHVTDAAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCAAEGDAAGRGGGGGGLFSLGSLVKKNLFSLGLIRSCS >LPERR03G09380.1 pep chromosome:Lperr_V1.4:3:6911137:6917437:-1 gene:LPERR03G09380 transcript:LPERR03G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSKDTNTQTRFNWPWRSESPLSTQLLIDVPPEIELSDYRRLPGSGSESPSGLLHGEGFNEEPIADLDIFFERLYEYFCAKGLRCIVTKWIIDILNVLFMVCCIGFFFLIVDWNALSHLKCGVEALESGEKPCDLMQVIKHDPLVPFTFPKMITIGSMVILTIYGLINFLKFFVQLRSTLNIRDFYHNSLKVTDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPLHHWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDYLTSPDVLKKRLVFVGISMFMLSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPQGAMCNVVQQTHYMPKRWRGKEDSELVRREFETLFQYTIVMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSMFDFRRHGNKNYGSPFDALKTVRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQTLAQYQAMEASGFVANTRGHRDDIFHQLLPCDIHNRAETILPAVYNLGPLGLLDTDQRSHPYILDWYYICHPPHSDRTEVPHLNELFPETGEDTGPSARNASEVEEARGWDSDMVPPLQSNRHDWNSDHERVQSHLDASTSSNLFHHAPLEHHGTKGNIVDWWAQAPEHSTGQQGSFLEPPDFGNRYVAGTHSSYHAGDLSDGSVEELERSYSRSSSSWRRPPDLSTTRYVDDSDIEEGLHLPFADLSQKDEDARCGASDTNDHTPVGLPDYRLGNQDKLIQQLIKHPKL >LPERR03G09390.1 pep chromosome:Lperr_V1.4:3:6920289:6921998:-1 gene:LPERR03G09390 transcript:LPERR03G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYTACVILLVSSLYLLRLFADARHNLPPGPRPLPLIGNILDLGAQPHRSLARLAERHGPLMTLRLGAVTTVVASTVFSVDLADDDDDVPGGGSSASASAGDFRGMISEFASVVGVPNVSDFFPVVAPLDLQRLRSRVARVLKWLHATFDVLIERRLRERESGEPPKSDFLDVLLDYRSPEDGQGFDRQTLLFLFMDLFTAGSDTSAITVEWVMAELLQNPESMAKARDELDRVIGSKSEIEESDISQLNYLEAIVKETLRLHPPAPLLLPRQAETTTEVGGYTVPKGTRVLVNVWAIGRDSKVWSEPDKFMPERFLQSEVDLRGRNFEFTPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWSLPPEVEKNGVDMMEKFGMILELATPLKAIATPI >LPERR03G09400.1 pep chromosome:Lperr_V1.4:3:6922481:6922978:-1 gene:LPERR03G09400 transcript:LPERR03G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTIELIALWASSSSPSLLAFCGSHLIIAVLLIAGSGTAPDISGGNAAGGISLEADAGVQGKETNPEGHQDRIAAIGAGGGWSCAHGVNGRTEECWVRVGDRDGAVETVASEKGSAREEEPAAAGALQEEKHGEDAEDELMLRAEEFIRRMNRVWVAENLRVR >LPERR03G09410.1 pep chromosome:Lperr_V1.4:3:6923896:6928392:-1 gene:LPERR03G09410 transcript:LPERR03G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTDIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSEKDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPVEPY >LPERR03G09420.1 pep chromosome:Lperr_V1.4:3:6936699:6944314:1 gene:LPERR03G09420 transcript:LPERR03G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSSPFPAAVSDARRAGGGRTAASEVDEKYAHVATPLHNHRGGGGAKKTPRRPKSDGGGADPAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGEESEQWRLAAADLSRRLAAATRTRDEAVEETTRLKHSLAELELKLARLEARVLPTPTAAAFPVETFLRAVSTARAAVRSLTRALSSHLRSPANPGPNLESFLNRAFHADFELDTDGDVHTADPAGRCEANLAAYHSVAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAVRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSNNNSSSQSNGGGRTGGHRDGGSSANGSAVVGLGSSCSDVNGSATDAVDGCPFAGTHDMGKPMKPKSISTTSGLSLSCFELRRAAVAAAQRSPHEG >LPERR03G09430.1 pep chromosome:Lperr_V1.4:3:6946254:6952352:1 gene:LPERR03G09430 transcript:LPERR03G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRVDHAASVAFGRRAPEPPGTLFVTTRRVIWLSETEKGQGNAVDFLAITLHAVSRDPEAYPSPCIYTQFCLFFPLIPLGWVYSALEHYTDLEMFRSSSMGIFSWVLVVVGSIISAENILIWYQILVYCFLHTPIFRIPCSLKGHGPLFIDTEDCSEEAGGSDSEANGELQLTKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAGGNEENGWFSGENMAGGGWIHGDEDMTDGNGLEADMFFMNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEHETRENGH >LPERR03G09430.2 pep chromosome:Lperr_V1.4:3:6946254:6952352:1 gene:LPERR03G09430 transcript:LPERR03G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRVDHAASVAFGRRAPEPPGTLFVTTRRVIWLSETEKGQGNAVDFLAITLHAVSRDPEAYPSPCIYTQIDTEDCSEEAGGSDSEANGELQLTKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAGGNEENGWFSGENMAGGGWIHGDEDMTDGNGLEADMFFMNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEHETRENGH >LPERR03G09440.1 pep chromosome:Lperr_V1.4:3:6954017:6955483:1 gene:LPERR03G09440 transcript:LPERR03G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGTAGAAGGGASAAAVKPQFHHFHHHHRLAPRHHHPSSTSLLSKLAFWSVCSLSLLLAFLLLSPSAAPSPRAPPDSPRRSLHSSPSTTAATWGGAAWEKKVRASARVRRANGRGLSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARMADPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGAHQTTISRDFTYIDDIVKGCIGALDTSGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRRIVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGFADKQKQHGSSNSKASRGRSGSTSSAR >LPERR03G09450.1 pep chromosome:Lperr_V1.4:3:6963756:6964148:-1 gene:LPERR03G09450 transcript:LPERR03G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSIVEAFTLSPLPYPVILILLMVTLLLGTSWFFTYEDFMEEAAEQFSWALLLVPVALVLLIRWISSVETFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >LPERR03G09460.1 pep chromosome:Lperr_V1.4:3:6965348:6973481:1 gene:LPERR03G09460 transcript:LPERR03G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKCNFPTRALRSICEIPKTLAPSPHHRDGQRRRHRFRKHQMAPENSKTEEDDDDGDFVPMSHFCGKKRAVVFKYKENKEDEDDEEDMTLCFKAENASASKAKEVEDDGDGNYHVPLSWSKKPTSQQKIRPCCQGDMGKVKKEKGDVEKVKKEKGDMDKVKKEKKVYALPGQKHDPPAERDPLRIFYESLYDQVPTSEMAANWLMEWGLLPLDVATAVFEKKQGQKLKSPMKTTSAKRKPDTPTKKAQLSSAAKTNSAAKDSGKTTAKKKRRASSDTEDDDDDFEARITVRVN >LPERR03G09460.2 pep chromosome:Lperr_V1.4:3:6965348:6973481:1 gene:LPERR03G09460 transcript:LPERR03G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKCNFPTRALRSICEIPKTLAPSPHHRDGQRRRHRFRKHQMAPENSKTEEDDDDGDFVPMSHFCGKKRAVVFKYKENKEDEDDEEDMTLCFKAENASASKAKEVEDDGDGNYHVPLSWSKKPTSQQKIRPCCQGDMGKVKKEKGDVEKVKKEKGDMDKVKKEKKVYALPGQKHDPPAERDPLRIFYESLYDQVPTSEMAANWLMEWGLLPLDVATAVFEKKQGQKLKSPMKTTSAKRKPDTPTKKAQLSSAAKTNSAAKDSGKTTAKKKRRASSDTEDDDDDFVISKIKTKRQKMSS >LPERR03G09470.1 pep chromosome:Lperr_V1.4:3:6969873:6973193:-1 gene:LPERR03G09470 transcript:LPERR03G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWFGFRRRKTKARGGGVGGGEGEVVVDGSEIRELVEDREAFGMFAESKFRELDADGDGRLSVRELQPAVEGIGAALGLPARGSSPNADHIYSEAISELAHGKKEEVSRTEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFVDGPRYEPEAADIFSNIGSEDMSLRQCLLAALQLLNVDNGMPPASDPWVVDNIIEPALQQLPTGQLEQPTSQDVFFEQLKKLLSNIAERFQEQPVIVAHTENTFDGSGVKRLLDNKFELEKLLDSVWKDVPIEHKNKGSKECLIVALDKMANAASLPPYGSVNQVDAVVNEAFKMVNADERKAVDEAGFKKLLTDVLGAVMTQLNGQPIFVSNSTLVHEPLFSSPALLSSPPVKSTPSE >LPERR03G09480.1 pep chromosome:Lperr_V1.4:3:6974805:6978561:-1 gene:LPERR03G09480 transcript:LPERR03G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVLERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLFELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQKSRQQPSQETQQPKQQPAPVQQQQQPQKQAPTVMRRSASIAARQAAMAQSQEVKTFPSSPKIKRQASAKAAPVASTKSRIAAASSTTKPDADAPKKNEEAPSSSQVPAPSANADVPTSEPSAPSLHETDEVDKMAIDEADDAVEGTEELDSVPGETVEERPMEETIRVTRAKLRRRAAAEDPEGN >LPERR03G09490.1 pep chromosome:Lperr_V1.4:3:6981863:6983274:1 gene:LPERR03G09490 transcript:LPERR03G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQHICLGFQGLNIKSYKESFAHSLRIVILSIGQRGGGGCFGGGDGIGIGEGVLFGGGDGIGIGPGDGGFIGGADGIGPGEGGCVGGGVGIGPGDGGCVGGGDGIGPGEGGCVGGGDGIGPGDGGCFGGGVGIGPGDGGCVGGGDGISPGDGGCVGGGDGIGPGDSGRVGGGDGLGTGDIGICPGDGGCVGRGDGIGPGDGGCVGGGDGLGIGPRDGGIVGAGPPGPSLGVGGCGSVGAGPGEIIGATMGATTGGSVIGGSGRCAWTNGKSGRKIAARTSAVDAMEATIAS >LPERR03G09500.1 pep chromosome:Lperr_V1.4:3:6989636:6990049:-1 gene:LPERR03G09500 transcript:LPERR03G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKSSIPFFLATTLLLLASGASGQTTKPAPHPPMTLPPAPAPASSMKCPAGIISTLTKCTRPAYSRPIQLFNPNPENCCPPITMLPNNIAARCVCGSLEYIGITAGARRNDAAGKILQVCNMAPAAPFNVDCSKA >LPERR03G09510.1 pep chromosome:Lperr_V1.4:3:6996173:6996769:-1 gene:LPERR03G09510 transcript:LPERR03G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTASSDRTSSTHSSSEHDPNTIPAAYCGTNTSTHRRPSHATILTARDSTSTRCDTNTSTRCSPGHTTMVATCDSSGTHGGTNTSTCSSPGHTTVITTCDSTGACDGTNISARCSPGQLTIITTTCDSTRCSTSQSATIDTTCDPTCNTSACCSPCLSTAIFTTYCASTAACCVTSGSNRDPAGTDFTSQVPVGVS >LPERR03G09520.1 pep chromosome:Lperr_V1.4:3:7011199:7013076:-1 gene:LPERR03G09520 transcript:LPERR03G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEASDTFAGGAGGGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSAALGFAAGGPGAIGGAAGGGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >LPERR03G09530.1 pep chromosome:Lperr_V1.4:3:7014690:7023600:1 gene:LPERR03G09530 transcript:LPERR03G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNAEKSPFQRTYASQIKRCGEMARKLRFFKEHMSKAGISTSTQLTEISLDFDDLEIKLGELEAELAEVNVNNEKLQRTNNELLEYSTVLQKAGEFFYSTQRSAAAQQREMEADQSGESSLESPLLEQDMLTDASKQVKLGSLSGLVPKDKAMAFERILFRATRGNIFLRQESVDEPVTDPSSAEKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPDNVAKQLHAVKEVSAKISELKATIDMGLAHRDNILKSIASGFENWNRLVNKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLVATLYLIIQEKKLASQKLGDIMEMMFGGRYVIMMMALFSIYTGFIYNEFFSVPFEIFGKSAYACRDPSCGDAATEGLLKVRQTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFKNSVNVWYQFVPQLIFLNSLFGYLSVLIIIKWCTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKREHERRHQGQQYAMLQSADDSVVSELGDHDVSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLTWGYNNIVIRIIGIAIFVAATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEEG >LPERR03G09530.2 pep chromosome:Lperr_V1.4:3:7014662:7023600:1 gene:LPERR03G09530 transcript:LPERR03G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNSPPVSPFRSAAMARGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNAEKSPFQRTYASQIKRCGEMARKLRFFKEHMSKAGISTSTQLTEISLDFDDLEIKLGELEAELAEVNVNNEKLQRTNNELLEYSTVLQKAGEFFYSTQRSAAAQQREMEADQSGESSLESPLLEQDMLTDASKQVKLGSLSGLVPKDKAMAFERILFRATRGNIFLRQESVDEPVTDPSSAEKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPDNVAKQLHAVKEVSAKISELKATIDMGLAHRDNILKSIASGFENWNRLVNKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLVATLYLIIQEKKLASQKLGDIMEMMFGGRYVIMMMALFSIYTGFIYNEFFSVPFEIFGKSAYACRDPSCGDAATEGLLKVRQTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFKNSVNVWYQFVPQLIFLNSLFGYLSVLIIIKWCTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKREHERRHQGQQYAMLQSADDSVVSELGDHDVSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLTWGYNNIVIRIIGIAIFVAATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEEG >LPERR03G09530.3 pep chromosome:Lperr_V1.4:3:7014696:7023600:1 gene:LPERR03G09530 transcript:LPERR03G09530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNAEKSPFQRTYASQIKRCGEMARKLRFFKEHMSKAGISTSTQLTEISLDFDDLEIKLGELEAELAEVNVNNEKLQRTNNELLEYSTVLQKAGEFFYSTQRSAAAQQREMEADQSGESSLESPLLEQDMLTDASKQVKLGSLSGLVPKDKAMAFERILFRATRGNIFLRQESVDEPVTDPSSAEKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPDNVAKQLHAVKEVSAKISELKATIDMGLAHRDNILKSIASGFENWNRLVNKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLVATLYLIIQEKKLASQKLGDIMEMMFGGRYVIMMMALFSIYTGFIYNEFFSVPFEIFGKSAYACRDPSCGDAATEGLLKVRQTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFKNSVNVWYQFVPQLIFLNSLFGYLSVLIIIKWCTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKREHERRHQGQQYAMLQSADDSVVSELGDHDVSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLTWGYNNIVIRIIGIAIFVAATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEEG >LPERR03G09540.1 pep chromosome:Lperr_V1.4:3:7022686:7025416:-1 gene:LPERR03G09540 transcript:LPERR03G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDSVEPILDDYRPPGISSLKFSKLSLGTVPPKIEGIRIQSLKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRVVFQLCEEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGRLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLSPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEIEAVQEINLQLLSSLDTTKVKDKKDRGVLTIKVLYHPFTKAEANEALEVEKKAMEERRKTKEETAAVTGAADAASGMASTMTSAAVTGVAAGATVAGAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >LPERR03G09550.1 pep chromosome:Lperr_V1.4:3:7029199:7030986:1 gene:LPERR03G09550 transcript:LPERR03G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSLQGEREFHNELSLASHLLGCGHGSPSPSPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHCVVQPPVTHGDVKPSNVLLDGELRARLSDFGLAQIRSEEGDELDSAAIGGNGNESANPCGGCDDDMSVADEGATAVLVVNGEDNAAKSPEDDEGFNMASPAEAASTSGCDKTSIGSGFNGRSCNGGGATASGAGSDWWWRQDNGGGSSGVKDYVMEWIRSEIKKERPKNDWIAGASTTIPAASTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEVGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLIDPALRDVNRDQALLCITVALLCIQRSPSRRPSSEEVLEMLAGEGEPPNLPVEFSPSPPGGFCFRSRKKDLGWKYDGFNLKSDKN >LPERR03G09560.1 pep chromosome:Lperr_V1.4:3:7033848:7037234:-1 gene:LPERR03G09560 transcript:LPERR03G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVDVLVLKAACERCGSPSDLYGTGCRHATLCGSCGRAMARSGGCCRVCAAPISRLIREYNVRVDTAGEKEHTIGRFPAGLPPFSKRRNAGSSWSLHSEGNQGRQPTGNIWENCNKRKPCILEDDTGEYQYQGQVQGVQSAASTYYLLTVHGKDLQAVPAGSWYNFNKMSQCKQLTLEEAEEKMNRRRSTATGYGRWMMKAATNGAAAFSSDVMKPDDANEGETDQFHLEKNNKSGDENKSDKGSGEKRAHVPMTKDREEEGGKDRDFDLDDEIERGDDWEHEEIFTDDDEAVDVDPEEGADLADPEIPAPPEIKQDGNEKHGGVGLSKSGKELKKLLRRAARHNESDDDEEDTDEDESPSPVLAPKQQDQLKSEPEENSPSKSTAPGNPYSTPHASKSNQKRRQGGDDVKTSNGAIPKKPKIEPDTNKIAVKEETPCSLEPTSKPLTSAESDTNLSPITEEEIRMDKEDFVATMKNISRVEQINGQKYIFLVEESK >LPERR03G09560.2 pep chromosome:Lperr_V1.4:3:7033848:7036030:-1 gene:LPERR03G09560 transcript:LPERR03G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCKQLTLEEAEEKMNRRRSTATGYGRWMMKAATNGAAAFSSDVMKPDDANEGETDQFHLEKNNKSGDENKSDKGSGEKRAHVPMTKDREEEGGKDRDFDLDDEIERGDDWEHEEIFTDDDEAVDVDPEEGADLADPEIPAPPEIKQDGNEKHGGVGLSKSGKELKKLLRRAARHNESDDDEEDTDEDESPSPVLAPKQQDQLKSEPEENSPSKSTAPGNPYSTPHASKSNQKRRQGGDDVKTSNGAIPKKPKIEPDTNKIAVKEETPCSLEPTSKPLTSAESDTNLSPITEEEIRMDKEDFVATMKNISRVEQINGQKYIFLVEESK >LPERR03G09560.3 pep chromosome:Lperr_V1.4:3:7036041:7037234:-1 gene:LPERR03G09560 transcript:LPERR03G09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVDVLVLKAACERCGSPSDLYGTGCRHATLCGSCGRAMARSGGCCRVCAAPISRLIREYNVRVDTAGEKEHTIGRFPAGLPPFSKRRNAGSSWSLHSEGNQGRQPTGNIWV >LPERR03G09570.1 pep chromosome:Lperr_V1.4:3:7041047:7042030:-1 gene:LPERR03G09570 transcript:LPERR03G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGAARLLPAVPSGERVDGDGPTSTRRIVHSNDAPLNDAHGTTVRLFVPSGPGVGGDGRLPLVLYFHGGGYVLFRAASEPFHNTCTALAASIPAVVASVDYRLAPEHRLPAAFEDAADAVRWVRRSYGAAGGRPVFLMGSHSGASIAFRAALAAVDEGVELRGLILNQPHHSGVKRTAAEESSVDDRVLPLPANDLLWELALPRGADRDHEYCNPETMLAGVEPSRLRRLPPCLVLGRRKDPPRDRQRTLVEALRKTGVTVEAQLDGAGYHAMELFKQDRAAEFIAQVGDFVRRHAGAGNDVHAGRSRL >LPERR03G09580.1 pep chromosome:Lperr_V1.4:3:7048191:7052298:-1 gene:LPERR03G09580 transcript:LPERR03G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVTAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARINTPMLESAAAPHTTIDGKEIINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLAHTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLADLRNNIALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSSNTDLDLLETIAERVLKEDSVFIVTSKRSSLDRCKLSVGIRLFVSAGHTESDIFKVSSALKRVSASVLSDYI >LPERR03G09590.1 pep chromosome:Lperr_V1.4:3:7058451:7058825:-1 gene:LPERR03G09590 transcript:LPERR03G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLAAIAIVAVYVAAMVPGARAFGIIGGWRRIENISDPHIQELGQWAVMETNKGSPSSPLTFSKVTSAFEPWFRFMKFRHLDIDASRCGVMHIYKAMLIIEHANNTRKLLSFEGDGMMHS >LPERR03G09600.1 pep chromosome:Lperr_V1.4:3:7060047:7061504:-1 gene:LPERR03G09600 transcript:LPERR03G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTAENQRFERALAFYDEDTPGRWELVAAAVGGGRTADDVRRHYDVLIVDVDKIESGNYGYPGSQGNGNGRNNDSNTNRGRYM >LPERR03G09610.1 pep chromosome:Lperr_V1.4:3:7066888:7071086:-1 gene:LPERR03G09610 transcript:LPERR03G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWSDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRNQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVNLSCLGQSSSGSAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMSDAVADIVTRSWDHEKDKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKDTWTKLGIANSMLENQLRNLSKLAEDHWEPYESVLRSCSRLMCSKWTEVATSQHQELIVRSLLVARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGDASDAVAKAWTSSGVLPLLVREDPHGVSLEACDPRTREH >LPERR03G09610.2 pep chromosome:Lperr_V1.4:3:7066958:7071086:-1 gene:LPERR03G09610 transcript:LPERR03G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWSDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRNQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVNLSCLGQSSSGSAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMSDAVADIVTRSWDHEKDKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKDTWTKLGIANSMLENQLRNLSKLAEDHWEPYESVLRSCSRLMCSKWTEVATSQHQELIVRSLLVARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGDASDAVAKAWTSSGVLPLLVREDPHGVSLEACDPRTREVSAAVSSIQIN >LPERR03G09620.1 pep chromosome:Lperr_V1.4:3:7078685:7081873:1 gene:LPERR03G09620 transcript:LPERR03G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLECNVSTFKTLDWSLQGNLVLSVPGHRQKRTSMPTVHDGTENVSSARSSLSLPSFSSSTSLSPRPHSSSSSPHWSHLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKGSPPSSPLFALKVVDLRDDDPSRVTHVLAESRVLSELDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKMTTTRHKRRIVPSCFSAGANGEDEEEQFEFVAEPTAASSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGVAGADAAQLRDLVGRLLERDPRRRMGSSRGAAEIKRHPFFAGVDWALIRCVTPPVVPDKDAAAVAGVAGGDKKAAKLGSWNSLGGSKKRSTSFGRKSNYEERQGVFRKLMSWSQESRSKKAKTNCKVKI >LPERR03G09630.1 pep chromosome:Lperr_V1.4:3:7092882:7094162:-1 gene:LPERR03G09630 transcript:LPERR03G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSIPSKARPPRPASRPAAAAADDGEYISASARAPAQQFVTEFDPTQTLATGAAPAVIAPLQNSGHFLNHRSRKPSSLPTPEEEAALAASAAGGPSFVLDTSTAPDNPSSHIGYGLTLRGAAADSDKAVAPPAASEPPSAADAPGGGDLMLRRYKEDMASLPDHRGLDEFNEVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRSGEWIVGGNKEAQNGNAKKRDRDSRGRTEDRDSSASKKSSGERRAEREVQEKDRNSRDTRHVKTGGGDKVRWLHSDIRVRVVSERLSKRLYLKKGRILDVVGPTTCDIIMDDRKELVQGVEQDMLETVLPQTNGLVLLLNGEHKGTCGHLVEKNSEKETGVVELANTKDMIRVKYDQIAEYVGDPESLEY >LPERR03G09640.1 pep chromosome:Lperr_V1.4:3:7096637:7097626:-1 gene:LPERR03G09640 transcript:LPERR03G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQMLGGRSPWTAAAAHDGGAGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGALVAAFAAYCFLSTLTFTVLDLAASRHGNGGVTIRGIRRKYLLPSGPFLLPLLLLALAKGQRVNAVFPLAQLGPALLLLLQASALAFRNEADGDVRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRRSQFSGECASCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAAEGGAVAVAAYSLVAGLVFLSVFGKVYRFLAWLETRQSQWKSSLCHSAV >LPERR03G09650.1 pep chromosome:Lperr_V1.4:3:7101585:7107108:-1 gene:LPERR03G09650 transcript:LPERR03G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRITRLLLQHQHRRLLSTAAEASSRRLPRAPLGGAIPKHDVAKAEVLNELSFGKISSLIFKKLKVVHDQVKPFKSSHNSTSKTQIRPSASYSTQASDKNPKEGRNDLTTVEDPFDAPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQSDSQVTAKIGYPVTGYGNESRNRRARQQIANKVWTDEDGVEHVEVNFYIRGPHGAGRVYSEMFKDNSDRSWKFTYLIVEIVSPHHAQLMLESYVPA >LPERR03G09660.1 pep chromosome:Lperr_V1.4:3:7108679:7112349:-1 gene:LPERR03G09660 transcript:LPERR03G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKWWQPLADADRRVKELADAVEALLQLRSDLLKADPTPEEQATRSWLRRVQEAQDEVASLKARHDGGQLYPLRLVHYFVSTAPVAGVAEQQLKAARALRDQGEAILEAALATPQSPPPLIRQPEELELPPETRLTRPHLNDALRFLGDSDGALGVFGAGGVGKTTVLKHLRDACGLVAAEPFDHVLLVSASRDCTVARLQREVVAVLGIRDAPTEQAQAAAILGFLRDKSFLLLLDGVWERLDLERVGIPQPFGVVAGRVRKAVVASRSEAVCADMGCRKKIRMGCLNEEDAWSLFEANAGEERIRRDPQILALARQIAAECNGLPLALVTVGRAMSSKLTTYQWEDVLDAIKNTKLSSTPAGPDKSAHPLVKFCYDSLDSDMARECFLACALWPEDHNISKDELVQCWIGLGLLPEHDAADVDEAHRLIAHSVISALVASRLVEPGDNHRYNMSPSDTHVRLHDVVRDAALAFAPGKWLVRAGAGLREPPREESAWRDARRVSLMHNVIEDAAGAAAKWGGGALADARPEAMMLQCNRALPRRMIQAIQQFTGLTYLDLEETGIVDAFPVEICCLVNLEYLNLSKNRILSLPMELSNLSKLKYLYLRDNYYIQITIPAGLISRMGNLQVLELFTASIVSVADDYIAPVIDDLESSGARLSALGLWLDSTSDVARLSRLAPGVRARSLHLRKLHGATSLPLLSAQHAVELGGVQESVREMAVYSSDVEEIVADVAAPKLEVVKFGFLTRLRTVSWSRGAASNLREVAVGACHAVANLAWVQHLPHLESLNLSGCNGMTTLLGDGDAGEVVTFPRLRLLALLGLPKLEAIRGDGGECAFPELRRVQTRGCPRLRRITMRPAASGQCKVRVECDKHWWGALQWASDDVKSYFAPVLI >LPERR03G09670.1 pep chromosome:Lperr_V1.4:3:7115264:7119412:1 gene:LPERR03G09670 transcript:LPERR03G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLPATVSVSPRAPHHNCQRFRALPLRHPPTSRFLTIDGHSSYDEYTTEAIPLQSPACSPQTPQEISRMQGVVARARLHCAARFHHAVAVTTRLPPERRILRGGGSIATCERTRLFSVVRAAGDARLQGEGDVAPAAEESQAGVVGGGAKRGSVVGAAALVVGTSIGSGILAVPQSTAPAGFVPSAACMITCWAFLLIEALLLVEINVHLRRKKGKDAAVAGENGGDQLEIISLKSMAQETLGEWGGNLAAAAYLFLSYTSMIAYTSKSGEVLSRVVATGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEISAVAFGSGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLFRIRLSIIVGSIVPLLSLLVWDDIALSLSTDLNGFDILDMLKTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLVSSSAQGQEKEGLRHSGARTAVDNNRLSYIATGLVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRLSDSRAGFVEAEPSVDDKDNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWAG >LPERR03G09680.1 pep chromosome:Lperr_V1.4:3:7135943:7138065:1 gene:LPERR03G09680 transcript:LPERR03G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMQVERMHEETEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAAAPAPAQASAATAVPGKAAAQRGKVRVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAARLFRRTGGAGGAGSTSLFCRPKKRPLASATAALDAAMRKAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGAGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVQALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFARELVAEHRSRGSRIGPTVAFKQAPRKDMKLLPMGNSRWEKYERTSVIY >LPERR03G09680.2 pep chromosome:Lperr_V1.4:3:7135943:7137958:1 gene:LPERR03G09680 transcript:LPERR03G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMQVERMHEETEGGGADTDKLSYEIFSILESKGKVRVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAARLFRRTGGAGGAGSTSLFCRPKKRPLASATAALDAAMRKAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGAGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVQALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFARELVAEHRSRGSRIGPTVAFKQAPRKDM >LPERR03G09690.1 pep chromosome:Lperr_V1.4:3:7149392:7157585:-1 gene:LPERR03G09690 transcript:LPERR03G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHDNGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAPPSAITALNGDSRSLVDVKPRIVDEPLDKSKAWKLMEITESSQCRSLKLADNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSTGKATANVSPQLWQPPSGILMTNDLIDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSGRPTSNILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSPPVTHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISPSVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPNGASNDALHSYNFPSEELVSSVVDERTE >LPERR03G09690.2 pep chromosome:Lperr_V1.4:3:7149392:7157585:-1 gene:LPERR03G09690 transcript:LPERR03G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHDNGIKILANADGVRLLRTLENRSFDASRSASETVTKNGDSRSLVDVKPRIVDEPLDKSKAWKLMEITESSQCRSLKLADNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSTGKATANVSPQLWQPPSGILMTNDLIDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSGRPTSNILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSPPVTHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISPSVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPNGASNDALHSYNFPSEELVSSVVDERTE >LPERR03G09700.1 pep chromosome:Lperr_V1.4:3:7157692:7158194:-1 gene:LPERR03G09700 transcript:LPERR03G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYISDPLLQCSLTAQPICTACAQEKERGENQNHCVWSRRGVYLISPFRGVSSLSSPLPSSAAACADLPRAVAPSSCCLRRALTDCFGVLL >LPERR03G09710.1 pep chromosome:Lperr_V1.4:3:7161914:7166529:-1 gene:LPERR03G09710 transcript:LPERR03G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSSQPAVAARAASRVLPRGVGGGFCAFPESAPASLRFSVSRRRTARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVKGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTKTNRSGGVQGGISNGEIIYFKVAFKPTATIGRKQNTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSFGVWGIIPIGIGLVSSAVMHSTPIVVVDRFVKPVQLKAVDNVVERPIKEKFRGQK >LPERR03G09710.2 pep chromosome:Lperr_V1.4:3:7163165:7166529:-1 gene:LPERR03G09710 transcript:LPERR03G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSSQPAVAARAASRVLPRGVGGGFCAFPESAPASLRFSVSRRRTARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVKGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTKTNRSGGVQGGISNGEIIYFKVAFKPTATIGRKQNTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSVPAFAPDLS >LPERR03G09710.3 pep chromosome:Lperr_V1.4:3:7161914:7162814:-1 gene:LPERR03G09710 transcript:LPERR03G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLEAMHQSFHGSHESMGSLSGQNYLFKLHRESKDYGENLKFVKPVQLKAVDNVVERPIKEKFRGQK >LPERR03G09720.1 pep chromosome:Lperr_V1.4:3:7167527:7170251:-1 gene:LPERR03G09720 transcript:LPERR03G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGGGGGAPGHRRRGSSAHGHGHHSVHHHQPTSPPTPPPPAESPNHYVFAAATPYPPPQYATNPNLPRYYPQYGNYYPPPPPSLQVPLPAPYDHHHRGGGGAAAGEFPPSAHPHYPGWAGASGRQHPCGFQPAMPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATVAGSMTVYFFAKEELNCNLTAAKEDMIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESELLKQGDMDVYPLAVKAETTMSVDQQLEGEDQKPKTPNSQITQAVFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVDGDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQQSPQSPIKDNNKADEQQQSSQSPPILHREEV >LPERR03G09730.1 pep chromosome:Lperr_V1.4:3:7174160:7174559:-1 gene:LPERR03G09730 transcript:LPERR03G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRRGKRYGGAGEEAAWGGGAAGKCGGASIWAGPARLGTLLGKRREIGGEGWGRKTGMERVALGRRVDEGEPNDLLLLTETLAGGCGGWERMGVDAWSCFGGGLV >LPERR03G09750.1 pep chromosome:Lperr_V1.4:3:7177958:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVANAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSSYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNTEATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVSHF >LPERR03G09750.2 pep chromosome:Lperr_V1.4:3:7179246:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVANAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSSYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNTEATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09750.3 pep chromosome:Lperr_V1.4:3:7179246:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDLTLPWRRRWCLQMWPGLIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVANAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSSYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNTEATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09750.4 pep chromosome:Lperr_V1.4:3:7179246:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDLTLPWRRRWCLQMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQARRKDLVRFVKAVANAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSSYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNTEATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09750.5 pep chromosome:Lperr_V1.4:3:7179246:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQARRKDLVRFVKAVANAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSSYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNTEATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09750.6 pep chromosome:Lperr_V1.4:3:7179246:7184344:-1 gene:LPERR03G09750 transcript:LPERR03G09750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATPLLLLLSVLCFVGASRAANVTYDHRAVVIDGVRRVVVSGSIHYPRSTPDLTLPWRRRWCLQMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQALYYNEIFVLSWLQATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09750.7 pep chromosome:Lperr_V1.4:3:7179246:7188173:-1 gene:LPERR03G09750 transcript:LPERR03G09750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQGVERRRRLFVTFSRISWVLSRSATACAPFVLSWLQATVYQAPSVCAAFLANIDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLRSSTQDSDDSSITPELATAGWSYAIEPVGITKENALTRPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLINSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKLDLLSTTVGLANYGAFFDLVGAGVTGPVKLSGPNGALDLSSTDWTYQIGLRGEDLHLYNPSEVSPEWVSDKAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPRLISFTTRQTNSICAHISEMHPAQIDSWITPQQNAQRPGPSLRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMSNCSVSLSSKNFGNPCSGVTKSLVVEAACS >LPERR03G09760.1 pep chromosome:Lperr_V1.4:3:7190883:7194516:-1 gene:LPERR03G09760 transcript:LPERR03G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETTLTVWASHSVPVVPFASERNGSNQTTTTLRTRALFPYPHRRSAPLSTEAASSSPTAAPPLFVRGTSGSPLPAVQLFLEMLAHGLAINPSRAARCPVTSRVSSAPLGLVSSLAFNRERKEKVKLFINVDRYTKYNTPFCYVPRNTRITPLATASFGDTADSSTPIFPRIHVKDPYQRLGISKEASEEEIRAARNFLINKYTGHKQSVDAIESAHDRIIMQSFIDRRKPKVDLKKKFRELTQSRPVKAIQGRFQTPSSRVIWQTAITFVLLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEVSTACVTYALLFVSSTFLK >LPERR03G09780.1 pep chromosome:Lperr_V1.4:3:7209725:7210874:-1 gene:LPERR03G09780 transcript:LPERR03G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLYQIHSWFRITRRIGEVGEKNVPACMPCRYGAGKTTLSTDHNRLLIGDDEHCCSDSGVSNIEGGCYAKCINLSRYLERYQVWDR >LPERR03G09790.1 pep chromosome:Lperr_V1.4:3:7212790:7217292:-1 gene:LPERR03G09790 transcript:LPERR03G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETAHGKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGATSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAQSPQPQPHHHHHPHVTPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDSENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDSGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKGAYKETLLKLGGLFKNNFEVFANYKIGGDSSLTDEILAAGPNF >LPERR03G09800.1 pep chromosome:Lperr_V1.4:3:7237417:7238061:-1 gene:LPERR03G09800 transcript:LPERR03G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIKTIALGIVVLATLVLASEGRISRKDLSINLGGGVGIEIGMGNGQGHSLALCLAQDQVMIQAGDHPLDLELVPKQARMLDLVLAQDLITIKVKEHVKAKAKVMAQAMEKDIWCGGMEKAMVKEMALALDMARGRLKAMVMVKNLVMVKVMAQDMAKDRDRAMTKVMVKV >LPERR03G09810.1 pep chromosome:Lperr_V1.4:3:7238413:7241349:1 gene:LPERR03G09810 transcript:LPERR03G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSHFTFTLHNRRRLPPPSPSSSLAPSPLPPLLPHPSRCLPPTCITAATVAPSIPAATVSAQYIPTAVVIAPWHSRRAYLRPQTLSPPLAPGHVYPGGAGGCPNTLLSPPSSVVGSHTPYLLCAAGGRSAAAGGGGRRRDRSSR >LPERR03G09810.2 pep chromosome:Lperr_V1.4:3:7238413:7241349:1 gene:LPERR03G09810 transcript:LPERR03G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSHFTFTLHNRRRLPPPSPSSSLAPSPLPPLLPHPSRCLPPTCITAATVAPSIPAATVSAQYIPTAVVIAPWHSRRAYLRPQTLSPPLAPGHVYPGGAGGCPNTLLSPPSSVVGSHTPYLLCAAGGRSAAAGGGGRRRDRSSR >LPERR03G09810.3 pep chromosome:Lperr_V1.4:3:7238413:7241349:1 gene:LPERR03G09810 transcript:LPERR03G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSHFTFTLHNRRRLPPPSPSSSLAPSPLPPLLPHPSRCLPPTCITAATVAPSIPAATVSAQYIPTAVVIAPWHSRRAYLRPQTLSPPLAPGHVYPGGAGGCPNTLLSPPSSVVGSHTPYLLCAAGGRSAAAGGGGRRRDRSSR >LPERR03G09810.4 pep chromosome:Lperr_V1.4:3:7238413:7241349:1 gene:LPERR03G09810 transcript:LPERR03G09810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSHFTFTLHNRRRLPPPSPSSSLAPSPLPPLLPHPSRCLPPTCITAATVAPSIPAATVSAQYIPTAVVIAPWHSRRAYLRPQTLSPPLAPGHVYPGGAGGCPNTLLSPPSSVVGSHTPYLLCAAGGRSAAAGGGGRRRDRSSR >LPERR03G09820.1 pep chromosome:Lperr_V1.4:3:7244707:7245540:1 gene:LPERR03G09820 transcript:LPERR03G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADSVTRSGSSCMPTEHRAGVRAAVQAHRPAGRPGTLLLAFDRPRACQPASASEPEVPLLRTWSAACCSARERRRTASSSSEAAAIGYIRGRQLIRKLRILYDLPYMDTSMADADDVDRQEGFGKQPQEAEEVEEETFTLHVAIRRPTTTTQGRSTDV >LPERR03G09830.1 pep chromosome:Lperr_V1.4:3:7245611:7246718:1 gene:LPERR03G09830 transcript:LPERR03G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSWALCHSPAPSSRRSGAACPSTSRWSDTTAARTRRVFRAAEPQTSKHTVVIGRARVRLVDALMRFDNDDDDEDDGAGEDGKEMIRNKKKGSLFGELPLADGTRKFEDWVRLTTLRIPAMAPGTAGGEPTNVVCGGLEVKLSFSTAEQISIELDWLSA >LPERR03G09840.1 pep chromosome:Lperr_V1.4:3:7249639:7250310:1 gene:LPERR03G09840 transcript:LPERR03G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGEELVQKQQQLPLELKLKERLIDWQHCYPHRVLHVILTQPEMSGRDGPAWQRFSFLDAYLGGEKLGSAGFLPITPRDVPWWNATCQRFVGWDWPHLCLQLEAHRTDAYMACDGAAAGKVVVFRGDEPHTSRHSAVIGTAQVRLLDALVHGDGDDDGERGGGQCYFGREEYEERMLKGTRVFDKVVELQWWQPPAPGEAGGQPANVVRGTVRVAMCLTVH >LPERR03G09850.1 pep chromosome:Lperr_V1.4:3:7251393:7256223:-1 gene:LPERR03G09850 transcript:LPERR03G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPGAMATGTAIHAVPCAAGQPKRKTHRRGASSLSVRASTDAASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLQGADGHHVYFVHSYHALPSDANKDWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVSPGRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVKNPEEVPFKTVKVSSKGPLGEEYAWYQCTVSGGRDSCPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >LPERR03G09850.2 pep chromosome:Lperr_V1.4:3:7251393:7256223:-1 gene:LPERR03G09850 transcript:LPERR03G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPGAMATGTAIHAVPCAAGQPKRKTHRRGASSLSVRASTDAASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLQGADGHHVYFVHSYHALPSDANKDWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVSPGRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGKDGKKQLGANLESIRQSGPLGEEYAWYQCTVSGGRDSCPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >LPERR03G09860.1 pep chromosome:Lperr_V1.4:3:7258871:7270092:-1 gene:LPERR03G09860 transcript:LPERR03G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPLILRVDVEANSTDLKLRHEGLIIPREISNHWRNRNTLARSLVSHLEKTMSASEFRIPYQQVSSSQPAENAGQFKVCRCGEGDPNLQSTNTPETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPARGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPAVANTVGQNPIFSTDIAEPAEAPAEQSEDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVWDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVGAYRMDKTRRLDAMNRVIYEVFPEPNQKLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSCSSDAYTESEDGDIDKEYSTDNDVLEDDGSYDSGEDNIEEDMDDNSEHSSGGSECDSNIRVSVSDDKIKNNKSDPASNDNDPREAAPTEHGAPRKDSNQISPVQPVENTGQMESNDPSHEKQELHESNGSTDQIEKLNVRSSSSRAKFMEKSSCSRNKSKKTLLGRTSARKDLSAEEIDYCSDDETLIEKLENNKTDLQSKITKEVKENSVLQASLERRKVELHERRIALEKEVENLRDQLQKERSLRASLESGLMNLRRGQVSLPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNQVQMSSTSLCDSCNKRLLNTDKLAEDEQNTGHNSISDMVSATDMADKPALQKQQMGTNYQQNMSSGGIASNEQSSTMSQRAQRMLSSKGENAKDGQDGSFTSKWNFAQKQYSNNPLLGRLGSNAYSSRTEESGAVPSALAKLTNRLNFLKERRALLASYCVFTTMFH >LPERR03G09860.2 pep chromosome:Lperr_V1.4:3:7259181:7270092:-1 gene:LPERR03G09860 transcript:LPERR03G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPLILRVDVEANSTDLKLRHEGLIIPREISNHWRNRNTLARSLVSHLEKTMSASEFRIPYQQVSSSQPAENAGQFKVCRCGEGDPNLQSTNTPETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPARGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPAVANTVGQNPIFSTDIAEPAEAPAEQSEDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVWDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVGAYRMDKTRRLDAMNRVIYEVFPEPNQKLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSCSSDAYTESEDGDIDKEYSTDNDVLEDDGSYDSGEDNIEEDMDDNSEHSSGGSECDSNIRVSVSDDKIKNNKSDPASNDNDPREAAPTEHGAPRKDSNQISPVQPVENTGQMESNDPSHEKQELHESNGSTDQIEKLNVRSSSSRAKFMEKSSCSRNKSKKTLLGRTSARKDLSAEEIDYCSDDETLIEKLENNKTDLQSKITKEVKENSVLQASLERRKVELHERRIALEKEVENLRDQLQKERSLRASLESGLMNLRRGQVSLPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNQVQMSSTSLCDSCNKRLLNTDKLAEDEQNTGHNSISDMVSATDMADKPALQKQQMGTNYQQNMSSGGIASNEQSSTMSQRAQRMLSSKGENAKDGQDGSFTSKWNFAQKQYSNNPLLGRLGSNAYSSRTEESGAVPSALAKLTNRLNFLKERRALLASEMQNLDLARPPGPTAPTPKRDST >LPERR03G09870.1 pep chromosome:Lperr_V1.4:3:7271472:7274034:-1 gene:LPERR03G09870 transcript:LPERR03G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPKVNGDHQPAAAAAEMEVTGEEVEEVEAGEAMEGVASIALLPSGAISGHFIRLPDSVCYGLQGTPISCERECSRGEDYRLIKLSIIDFKNKRDKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEKHISKYMPNLNGLDAIVNIGKMSISGINFDDEDEPSGDN >LPERR03G09880.1 pep chromosome:Lperr_V1.4:3:7275849:7276400:-1 gene:LPERR03G09880 transcript:LPERR03G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAGMTVDEARPSTQVHPDNESWSRFLVMPCSMLFTCSVIVSLEPAFFVAVPWRLPLLVSWGVYMSLADCVEAYAGLYLPLTPVAVNEAIVNVGFFGIGWSVGFIDSILLTQASSLVMMTFTFVNLLLIVGMLVLGAFLVGRYGQPEHPHEDDLSASASKSANEIIWRDIQCVYIEGQLN >LPERR03G09890.1 pep chromosome:Lperr_V1.4:3:7276755:7277036:1 gene:LPERR03G09890 transcript:LPERR03G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPTRAEVLSLFRSLLRTGRQFSDYNIREYTRRRAADAFRENRALGDAPAAAAFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >LPERR03G09900.1 pep chromosome:Lperr_V1.4:3:7278593:7280004:1 gene:LPERR03G09900 transcript:LPERR03G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHDQAPDDISLLHPLSLLGAQRSPLRCCSPCQSLWSPSSSTPCLLHCQKASTPTASTMGSTMPAPSLATSAKSLPNLSVRMIRTRWMARSRWPRVRSWRTSSVAMDLRARRGRRAMAMLFLCVRGRKEEENASCLCELMGKSA >LPERR03G09910.1 pep chromosome:Lperr_V1.4:3:7278677:7279554:-1 gene:LPERR03G09910 transcript:LPERR03G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRKTSRKTGKLKTLLGLAVSRIAIARRPRLARKSIATDDVRQLLTLGHLDRAIHRAEQVMAEDNMLEAFEMIELYCKRLIEHASKLDKPGECTEEIREAAAAVMFAASWCGELPELPFARTILTDKFGSDFAEVAKDGAGIVDPMICKWKYGGMELTKLTLQLVWKLSGSATSMELKKKVTKEIGMENNIVVDFSELQEEIRDGED >LPERR03G09920.1 pep chromosome:Lperr_V1.4:3:7281831:7282697:-1 gene:LPERR03G09920 transcript:LPERR03G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRKTSRKTGKLKTLLGLAVSRIAVARRPRLARKSIATDDVRQLLTLGHLDRAVHRVHIYDIFLGRHECHEVRDHIALQCKLPQIICLDFASQAEQVIAEDNMLEAFELIELYCKRLIENASKLDKPSECTEDIQEAAAAVMFAASWCGDLPELPFARTILADKFGNDFAEAAKDGSGIVDPMLVWKLSDNATSMELKKKVTKEIAMENNLIVDFSELQEEMKDGED >LPERR03G09930.1 pep chromosome:Lperr_V1.4:3:7283690:7285621:-1 gene:LPERR03G09930 transcript:LPERR03G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSTSKQTAKLKSLIKLAAARLAVARRPRLGRRSIARGDVAQLLSIGHLDRALLRAEQVVDEDNMLEVLDIIELYCKILIEQATQLDKPKECGEEIKEAVAGLVFASARCGDLPELIDARAIIADKFGREFAAAAKDGAHGDVDLTLVRKLSGARASTEQTRRLVKEIAAENDILLDFHDNTGGIDQGKQNEQVKNVRAEQFVKQNEIKRDHHEVQGRQRFVDEEVNPRLARLSVQEQQYTDTRMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGYEKVHPVQKPTPSPLPPSPSWSDRSTVTSVGSEKGKGVVFDESGDEEVEEDDVVAWTPQLRRPQPPTRRTASAVGIGGGDHTRPFQDGVYSNLQHTRPPHRRHASELTGSGVASQYREPPYRREPAVYRDSNGGAAQERNGVRPGAYESSEYVRQPYSRIVSALERGNEHIARHEEVRRIGTDERVLQERVYGGGGGGGAPGRAPLDQETRINSVRTRR >LPERR03G09940.1 pep chromosome:Lperr_V1.4:3:7289350:7294252:1 gene:LPERR03G09940 transcript:LPERR03G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDGEHEGGREGYGGGAAVRWRVEAVRSRVEGMAFGVLVVGELEQATPHYRGCAVEAEVCTGEAFESELVFAGRWRHQLDALEGSEYEEAGDGPD >LPERR03G09940.2 pep chromosome:Lperr_V1.4:3:7289350:7292355:1 gene:LPERR03G09940 transcript:LPERR03G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPMGFSMLSKSVGNISGADTVPSRYCRTCLMLGRALGSGCEQRSPSFSTKFTSSAAYSPLSLGSTTSRICPLLLFSSTQSSSTTWLSWRSCSRGLRPLTTSKRNTP >LPERR03G09940.3 pep chromosome:Lperr_V1.4:3:7293502:7294598:1 gene:LPERR03G09940 transcript:LPERR03G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSGLRTVSSSMAKTWLLALPLSLMFMRPKYCAPVVAERFLLGATRKARPWSLSSACSETMANTKVAEKDTVVAPLFGGEWKRPLRITVAAPSRLRFAPVKPSKANWFSLADGATSLMLWKAVSMRKLEMGLTNAMATVTHEPGHSSENVALQSSGWHHYYDPRIHGIWLSTQNHTVTFLPREY >LPERR03G09940.4 pep chromosome:Lperr_V1.4:3:7289350:7292854:1 gene:LPERR03G09940 transcript:LPERR03G09940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQEGKEKNQ >LPERR03G09950.1 pep chromosome:Lperr_V1.4:3:7302690:7303739:1 gene:LPERR03G09950 transcript:LPERR03G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVVGEPRVTFQQQQQVGNGNGKNGQQHGAVAEEIRGLIKVYRDGHVERIPAIPDVPCTWGSTATGAGVVARDVVVDRATGVWARLYAPAAGRCPVVVYFHGGGFCVGSAAWSCYHEFLAKLSARAGCAVMSVDYRLAPEHRLPAAFDDGVTAVRWLRQQATAVVTDELSWWRSRCRFDRVFLAGDSAGASIAFHVAAHLGALAPLDIKGAVLIQPFFGGEARTASEKTVTQPPGSALTLPTSDAYWRMALPAGATRDHPWCNPVGAGAGAMPPLRDFLVCVSEQDILHDRNMELCRALRKAGKRVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVSNAR >LPERR03G09960.1 pep chromosome:Lperr_V1.4:3:7313181:7314878:1 gene:LPERR03G09960 transcript:LPERR03G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTLLGYCIHDHVLLLLILACAGIAPASGAGGRWELLQSSIGVSAMHMQLLHNDRVIIFDRTDFGQSNLSLPDGRCRRNPRERVLPVDCTAHSAEYDVASNTFRPLYVFTDTWCSSGTVATDGTLVQTGGWNDGYRNVRTMAACENAADDDGGSSCDWNETQDALAANRWYATNQILPDGRAFIVGGRRQFSYEFYPKADPSDTSVTLLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILLDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYNSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMLLLPNGAEVVIINGAMDGTAGWESAKTPAFAPVIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHTYYNFSNVEYPTELSLEAYSPEYLDPSNDMLRPTIADPSPTGAPVSVTYGASLTLRFAVPASKRSRGGIGLVSVTMVAPSFTTHSFAMNQRLLFLDVVKTAALARAGSYQASVVMPATATLAPPGYYMVFVVNGHIPSEGIWVHIQ >LPERR03G09970.1 pep chromosome:Lperr_V1.4:3:7322702:7324227:1 gene:LPERR03G09970 transcript:LPERR03G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLMCLLLVADAATYNVDWSFGADSWSRGKNFRAGDVLVFSYDPSAHNVVAVDAGGYNGCRESGTKYNSGNDRITLGPGTSYFICSISGHCGAGMKMAVTAR >LPERR03G09980.1 pep chromosome:Lperr_V1.4:3:7325452:7333950:1 gene:LPERR03G09980 transcript:LPERR03G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNRRRSRPVPTPMARVRHRHLLLLAAIAAAAAAAFLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADGDDDEWDDFDDESPATDPLLSPSSWVPLLDPSGGSPSGYEPDLPPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAAAGGHPGAQSALAFLSGAGITRPASRSRAFLLHKFAADAGDLQSKMALAYSYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGEESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPGAKLPVMLALTSLWIRKNYAGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNTTIFTLFACLVTVLYLRERQRRQVAAANPQQPDGAPN >LPERR03G09990.1 pep chromosome:Lperr_V1.4:3:7333860:7335871:-1 gene:LPERR03G09990 transcript:LPERR03G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLLQEVRQVQSPRRSGAALIVGATGYIGRFVAEACLDSGRETFILVRPGNACPTRAAAVDALRQKGAVVIEGCVGGKEGRKSVEAMLRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYYDSTHPSELPPPLDRFQIYGDGTVRAFFVAGSDIGKFTIRVAYDPRSINKIVHFRPACNLLSTNEMASLWESKIGRILPRVTLTEDDLIAMAAEDIVPESIVASLTHDIFINGCQTDFYIDGSRDIEISSLYPDIPFRTIDECFDDYIHALNLEDEAKEEETKNTPTVGRLAIPPTCA >LPERR03G10010.1 pep chromosome:Lperr_V1.4:3:7341955:7345429:-1 gene:LPERR03G10010 transcript:LPERR03G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRPIVGEPISETSHVADHPQGPNSQRTNRNGTKLHCTATLHSNKYKRRSNRTARANGRVRETTAIHHPPADASERQQQYTIRLSGAPHRTTRPIRPRDLPQPFSPLSPARPRLTGEGEDAAAAAPDPAALARWVRAFCVIRFDLERGQLVEACYPPDALTAAAAGLDKLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPFPSSVSGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALSMVASHVAAWPAPVPGRPMELPIGSAALRVHLPPAADDPRPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGSGNGVNGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLSLMTEHKEALWSTYVPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFIKGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRIWRQARMNIDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCQKLKGDLRAAFSVLPKDMQQLLLSNPKRAVLLQGSNEKVPGLDGIVSQTSL >LPERR03G10020.1 pep chromosome:Lperr_V1.4:3:7351681:7356000:1 gene:LPERR03G10020 transcript:LPERR03G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIVLLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEGKETEEDGARWLRSVLCGAHAAEISGLGYCQRHGRLAEAGDMCEACLSPSKEGKKGVTACSCCNAIVETSSRELPYTVEGLTEEKIAEEEKDQEYVPLAQEEHGEEGDHREDDDDQGYILLAQEEHNEEDGQEQEEFQEKDRQEEGDNKDEQEEKMAVVEDESLEVMALAEEIELVAQRLVSVAAIDEMTVADESGLHQECCEKDKEVEHVDGEQELRDLDIGVVLEEKRMLDSPAATVAGTIEDDSVVPIPSAETIPSPSDSEENSIPQDDEMVTEDVEIGDTTAQEEEIVVPEVTEAVPEDDNRSSEVDTNCEVSIGSEICEQDDHVVPFHESEAFEESVPPLAYTDKQPLPLETLRETDPTVQGTTIIILCI >LPERR03G10030.1 pep chromosome:Lperr_V1.4:3:7358233:7361881:1 gene:LPERR03G10030 transcript:LPERR03G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSAKDLAADSKSLVAASDDVTGSAIAASYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPTADSPKLQLEYSNGRVVSTSEAKVADAINAATAEIAGRGKGISDAPITLVVRKLGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTMDDVNIGLGYVCVRNRIGDETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMLIQATIIASCLPDIVKQINDRLVRHSSELDQMPPDLNNVADAVRAFFQIGKQVCASLEKVLVRGEFDEFPDDCHFHGTARIAEKMNGYAKTLPVQCPEVRILEETKGVNLPNFFPRSAFLVLLKKKVETVVHVPHDLVNEVWGYVEDVVMNNMSKHSDNFPQVQSSCRRAVQTLMDTARVRSAQHVKELIEMELVADYTANPDYMKTWTDIMDGHEKFMEAVEDNSKPTRITLEGFGEAFDLRARLTAYWRSIVLRLVDGLALHVLLNVKRLVEHDLEAELADELLGNKMAGVERMLAPSPSTGTKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >LPERR03G10040.1 pep chromosome:Lperr_V1.4:3:7362213:7366685:-1 gene:LPERR03G10040 transcript:LPERR03G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRPPLVLMLLLLLVLPPLCAPTWLVADDDAAVARSAFSMDGDVAWVVQVSDLHISAYHPERANDLANLLGTALLAIRPHLLLVTGDITDAKNRRLTTSRQDEKEWITYKNAIDAIVGRGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTINSILFQGHRNYLFLGIDDTMRIGIRYPANLFGHPTDKRIEAVNSELQYWSNNSNIPITKVVFGHYPMSFTTSSEKGQRYENIFGKQSISAYLCGHLHAKVSKQLWRFHEMGTPTQGQKSSFWEWELGDWKESRLMRILAIDGGAVSFIDYTLKQAFQTSILITYPTDSRSMNTLESINWSLRNDINVLVFSNQVIRNVSARVFDSHNDFKIVEEIPLQLVATPSVHKPLFHAKWNAGNYRSPSPTRFWLQVFVQDSQGGKTSSERRPFSVEGKLSIQSRPWLNYLIFEVQWEDMYKVLLWSNLAFIIALLFAPKLLYHFIKKNLSYQRWTVSVMSSPIQQKKPCFWLVWFLMEGAKSRPIWFSLLIYVIWLLEMPWFWGHATSEKGEVARMYLSGWRIPSVGENLTWNKLSNPDVLQKSRRHDWTNKLKRGVRMSTVRCSTFLEANVSLWNQTSSLFSSSNVDAVVIVRCYCLLDNAQHTLELSAIWNTFLQHEITPCSN >LPERR03G10040.2 pep chromosome:Lperr_V1.4:3:7362387:7366685:-1 gene:LPERR03G10040 transcript:LPERR03G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRPPLVLMLLLLLVLPPLCAPTWLVADDDAAVARSAFSMDGDVAWVVQVSDLHISAYHPERANDLANLLGTALLAIRPHLLLVTGDITDAKNRRLTTSRQDEKEWITYKNAIDAIVGRGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTINSILFQGHRNYLFLGIDDTMRIGIRYPANLFGHPTDKRIEAVNSELQYWSNNSNIPITKVVFGHYPMSFTTSSEKGQRYENIFGKQSISAYLCGHLHAKVSKQLWRFHEMGTPTQGQKSSFWEWELGDWKESRLMRILAIDGGAVSFIDYTLKQAFQTSILITYPTDSRSMNTLESINWSLRNDINVLVFSNQVIRNVSARVFDSHNDFKIVEEIPLQLVATPSVHKPLFHAKWNAGNYRSPSPTRFWLQVFVQDSQGGKTSSERRPFSVEGKLSIQSRPWLNYLIFEVQWEDMYKVLLWSNLAFIIALLFAPKLLYHFIKKNLSYQRWTVSVMSSPIQQKKPCFWLVWFLMEGAKSRPIWFSLLIYVIWLLEMPWFWGHATSEKGEVARMYLSGWRIPSVGENLTWNKLSNPDVLVITLPFLYLVIAPVVVIIYSLFAEKAFACLRHSRRAEGTTGPTNSNAESGCLLSGARVSANISDKKILSMVIKFCGGWTRRLSSRLMSAYGTKPVACSPPVTWMPLLLLGVTAYWTMLNTH >LPERR03G10050.1 pep chromosome:Lperr_V1.4:3:7370466:7370723:-1 gene:LPERR03G10050 transcript:LPERR03G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRQRPAPAAAGGLLGPWLLVAVLVYSSFLGSTVFLAVDGARTSAFVAMAPLPMAPSPSPTAADVVGDSKRRVPTGANPLHNR >LPERR03G10060.1 pep chromosome:Lperr_V1.4:3:7382361:7385429:1 gene:LPERR03G10060 transcript:LPERR03G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDHHHHHHNHHQEAGMLVDEEEEEEIEHEHEHEHEQEQACGGPTSGVVEQEMGGDDGGGVCQDATGMVFEATSSVGSVSATMGPPPIMCWPPLPQPVHGAIHHHNLGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDQSGGASSSSDPLGLGGLYMGHHHGGGMMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSACAGTDGGGEADQQEEEAMMQAPMSPQHTVASIQDALRAVMERTASATEESGGSGAGGGGLKRQRTTSLSAILENRSI >LPERR03G10070.1 pep chromosome:Lperr_V1.4:3:7392642:7394796:1 gene:LPERR03G10070 transcript:LPERR03G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLKFSPRCSVVLLLLLVTASRGLNIGDLLGSSPAKDQGCSRTCESQFCTIAPLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDSHNDDYLNTMCNENLLSCIDRVSGPTFPGNKCNVGQTASVIRGVIETALLARGNKTAPRRPPVAVAWRCGNPTRHAHVRWQLDHMYWTSFGAAVVLATGYEE >LPERR03G10070.2 pep chromosome:Lperr_V1.4:3:7392642:7394961:1 gene:LPERR03G10070 transcript:LPERR03G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLKFSPRCSVVLLLLLVTASRGLNIGDLLGSSPAKDQGCSRTCESQFCTIAPLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDSHNDDYLNTMCNENLLSCIDRVSGPTFPGNKCNVGQTASVIRGVIETAVLAGKILHKRNDGQ >LPERR03G10070.3 pep chromosome:Lperr_V1.4:3:7392642:7393739:1 gene:LPERR03G10070 transcript:LPERR03G10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLKFSPRCSVVLLLLLVTASRGLNIGDLLGSSPAKDQGCSRTCESQFCTIAPLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDSHNGIVSLP >LPERR03G10070.4 pep chromosome:Lperr_V1.4:3:7394569:7394796:1 gene:LPERR03G10070 transcript:LPERR03G10070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRESLIVYHTEKLLARGNKTAPRRPPVAVAWRCGNPTRHAHVRWQLDHMYWTSFGAAVVLATGYEE >LPERR03G10080.1 pep chromosome:Lperr_V1.4:3:7397500:7401657:1 gene:LPERR03G10080 transcript:LPERR03G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVDDDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPQAQEAFSALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKSRKGEIKPPKLKTEDPNKSYVQRPVKRA >LPERR03G10090.1 pep chromosome:Lperr_V1.4:3:7402137:7402712:-1 gene:LPERR03G10090 transcript:LPERR03G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRERDGGSSTGMGSTGKVVTDCAHGPGCCLACLWGRGTAMDSWCLSKPDFFSEDETMPLLDRLTMEVQCVSSKSFVFPLQTCSCHA >LPERR03G10100.1 pep chromosome:Lperr_V1.4:3:7412186:7413370:-1 gene:LPERR03G10100 transcript:LPERR03G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFETAVPFHATAAAAENGVDGGIGKEVVVDDGGSGGSGGQAVLLQETDHGGDDDRPERDDVWNMIQSQKPVATPAPAAAAPYVHPLVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGATDRSCPASDSDSDEVAADQEVAAAAAARASESPSPPRAFPPPLPSLARRSTVCGAVQMRKDRRDGRLVVKAVPMPSTTLFRAQRSGGRLLLSFADTAAPASDDDENQDQEETEEKQADELDDEEEVEVVDRGTIVEVKVSTQPQARSNGSGPRVHRSSLVINKFVNAEPAIAASDIIDTVPATAGAATAPNNPPRRPTGSTTTTAAAALVAASALSATSAPSCGDGESKLLMTACRRRRSKEELMNHMRRCGQLSGKLFIFESRIATSS >LPERR03G10110.1 pep chromosome:Lperr_V1.4:3:7428248:7436125:-1 gene:LPERR03G10110 transcript:LPERR03G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITTHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLIIPILQVMCPLLTETANEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILAHYESVLPCILNAIEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTSDEDLCARARATEVVGIVAMAVGRARMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDESFTQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDEENDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHVDVLEKQKDVLDTVMNIYIKTMRDDDDKEVVAQACMGLADIVRDCDITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDSLMKFAVSKPYTNTHKRRKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEICKNGGAAALKYYGDILQGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >LPERR03G10110.2 pep chromosome:Lperr_V1.4:3:7428248:7436125:-1 gene:LPERR03G10110 transcript:LPERR03G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITTHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLIIPILQVMCPLLTETANEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILAHYESVLPCILNAIEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTSDEDLCARARATEVVGIVAMAVGRARMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDESFTQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDEENDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHVDVLEKQKDVLDTVMNIYIKTMRDDDDKEVVAQACMGLADIVRDCDITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEICKNGGAAALKYYGDILQGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >LPERR03G10110.3 pep chromosome:Lperr_V1.4:3:7428248:7436038:-1 gene:LPERR03G10110 transcript:LPERR03G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLIIPILQVMCPLLTETANEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILAHYESVLPCILNAIEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTSDEDLCARARATEVVGIVAMAVGRARMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDESFTQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDEENDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHVDVLEKQKDVLDTVMNIYIKTMRDDDDKEVVAQACMGLADIVRDCDITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEICKNGGAAALKYYGDILQGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >LPERR03G10120.1 pep chromosome:Lperr_V1.4:3:7437448:7441808:1 gene:LPERR03G10120 transcript:LPERR03G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQQMQGECCHHGLIGPGCGWRGGGEIPIGVGGGGGEPFYVPQRKRLSVDGSNKAPTPRICIWECDDEAGDITCDIVAAPLRRSCSAKAMPVLPPPTPPLFRMMTPPPPRPQRVEVVEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQRLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERRIDETTTLKDLDLQYAFRLQSFWYEELMKQIQMDCIFLETQGIMDYSLLLGVHFRNDYSVPKIGLSQHIAFPKSTGKRKSFEGGNSFCELCSVECGCKDRDLIDSRKPFIQLGTNMPAQAERSSKRILDNFLLNERHLIITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDCISAVDPKLYSRRFQDFIRRVFIRE >LPERR03G10120.2 pep chromosome:Lperr_V1.4:3:7437448:7442879:1 gene:LPERR03G10120 transcript:LPERR03G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQQMQGECCHHGLIGPGCGWRGGGEIPIGVGGGGGEPFYVPQRKRLSVDGSNKAPTPRICIWECDDEAGDITCDIVAAPLRRSCSAKAMPVLPPPTPPLFRMMTPPPPRPQRVEVVEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQRLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERRIDETTTLKDLDLQYAFRLQSFWYEELMKQIQMDCIFLETQGIMDYSLLLGVHFRNDYSVPKIGLSQHIAFPKSTGKRKSFEGGNSFCELCSVECGCKDRDLIDSRKPFIQLGTNMPAQAERSSKRILDNFLLNERHLIITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDCISAVDPKLYSRRFQDFIRRVFIRE >LPERR03G10120.3 pep chromosome:Lperr_V1.4:3:7437448:7441808:1 gene:LPERR03G10120 transcript:LPERR03G10120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQQMQGECCHHGLIGPGCGWRGGGEIPIGVGGGGGEPFYVPQRKRLSVDGSNKAPTPRICIWECDDEAGDITCDIVAAPLRRSCSAKAMPVLPPPTPPLFRMMTPPPPRPQRVEVVEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQRLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERRIDETTTLKDLDLQYAFRLQSFWYEELMKQIQMDCIFLETQGIMDYSLLLGVHFRNDYSVPKIGLSQHIAFPKSTGKRKSFEGGNSFCELCSVECGCKDRDLIDSRKPFIQLGTNMPAQAERSSKRILDNFLLNERHLIITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDCISAVDPKLYSRRFQDFIRRVFIRE >LPERR03G10120.4 pep chromosome:Lperr_V1.4:3:7437448:7441810:1 gene:LPERR03G10120 transcript:LPERR03G10120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQQMQGECCHHGLIGPGCGWRGGGEIPIGVGGGGGEPFYVPQRKRLSVDGSNKAPTPRICIWECDDEAGDITCDIVAAPLRRSCSAKAMPVLPPPTPPLFRMMTPPPPRPQRVEVVEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQRLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERRIDETTTLKDLDLQYAFRLQSFWYEELMKQIQMDCIFLETQGIMDYSLLLGVHFRNDYSVPKIGLSQHIAFPKSTGKRKSFEGGNSFCELCSVECGCKDRDLIDSRKPFIQLGTNMPAQAERSSKRILDNFLLNERHLIITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDCISAVDPKLYSRRFQDFIRRVFIRE >LPERR03G10130.1 pep chromosome:Lperr_V1.4:3:7453252:7456984:1 gene:LPERR03G10130 transcript:LPERR03G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDGSGSGVEQKRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDSKPKTKAAKRNERRKEKRQQASSTNDKGKSVHIEDDAGEIDKSKDAVDSVTKQISGIAISESPVVATSSTDATDNSKSESSAPDIDKKIRALKKKIRLAEAQLQGDPEKMKPEQLEKMKKIESWQEELKLLEDKNSPAS >LPERR03G10140.1 pep chromosome:Lperr_V1.4:3:7459354:7462984:-1 gene:LPERR03G10140 transcript:LPERR03G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVAPPSPSSSSSGGSSRRRRKLERRNAAKHIGYDATLFCNYPQSPPASSAPASGSPSLACSPACSLDLTSFRIGGSGDGSRDVELLCSSLGLSGVDDFAVSMDDWEKHKAGRSSFSPSSAPPREEIPARDSPLCREAAKEPPLLIAPVLRAKEAPREAAIEAPAPLLRVDPWEPARPDVKRGGGEGGGIKGVRPPPVVLKPPPSMARPVACLVGSTWDILRSFAPEEESGHAPASRSGGDSARQDAAAAAEEEDEASVLTLEELGLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLYDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGTVYWMAPEVVNPKKTYGPEADIWSLGCTVLEMLTRQLPYPDLEWTQALYRIGKGEPPAIPNCLSRDARDFISQCVKPNPEDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSIRGMNG >LPERR03G10150.1 pep chromosome:Lperr_V1.4:3:7467063:7479886:-1 gene:LPERR03G10150 transcript:LPERR03G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGRIGGGEAGAAGAPPLPAGDGAPEKGVGEEPEKAKKRGRPRKSDISTKLSSNKETTCLEQDSKDEVILVDESPQKKQRKGRNQGAALKVPNRKGCRALKSTDGHQLCSSQTEVVLPQVSPISVDIDLMTVPSEVRLINDNADALDNEDKPQLVVDLRSEAKIAAEENRRLSSGKKMHPFFASRKVHKDAGQDVHNVDDEDMDSLCTFETEPPFWPIHVLYELEATMPIHWSNKWLIADKSFLDTSTTEQSSAEHGKDLPSLSDKQNESNFSLDAIDVDDECLLTSSSPCHSLFEIKQCERVQHELPDVTLKGCQTAYHLWTDKHRPEAAAQVCGNTEHVKFLSEWLKGWDERGHKNKQNIYANGSMNGRSCQDESDTDYSENASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGTYVRQKFEEATKSHGLEKWSQEEVISLPISDSLDPASGTPGMSEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPSLPHLLDQLVLDFTYPSSAELLSHVDMICKSEGVDITVPQLKHIINACLGDIRRIMMLLQFWYQGKQQYTDKSNKCLCCPSLDLDAVHSTVPKILPWDFPCKLSETIYMEIDKTIMLAEEKEKQLELSVFEGLELQITTPLTKGRSSGKAKKPKKSKLKRGCSAECNDVSPCKNDLDDFHGSPVIPLPSNQQRVKNKRGTVLFFESDGDLADAHAAKDATFTVHEGSLLPQPSDLPCLHGQGVSNIVPESAFFQQSSVAPVHREVISNQLCFPCESRGFETTYAFQNPLESNMPGSISQICDTFMSQGMSCVPESSLIVGGAFASVSSDDLLSSLVSNGLSALCNDSTYTASTVPLEDTINVENQMTVEPQKFVEDEVGETCEAYADLSDRNEHASCSTGYQLMDECSRAESVWLLSGKKTNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNISVSGILKCVSRVSDLLSESDLMLTCGHPFSNDISNPSLAYTEPDGFSSYSKQLEMGSIYIQHALCIFPKNCQVRDDGSVDLSQGLLFNGTTNRSLGKLISSEISRGDESGNISYIKYPTSCISKRRELQVRLCEILLPISRLENMQLSECNAAIKQRR >LPERR03G10150.2 pep chromosome:Lperr_V1.4:3:7467063:7479886:-1 gene:LPERR03G10150 transcript:LPERR03G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGRIGGGEAGAAGAPPLPAGDGAPEKGVGEEPEKAKKRGRPRKSDISTKLSSNKETTCLEQDSKDEVILVDESPQKKQRKGRNQGAALKVPNRKGCRALKSTDGHQLCSSQTEVVLPQVSPISVDIDLMTVPSEVRLINDNADALDNEDKPQLVVDLRSEAKIAAEENRRLSSGKKMHPFFASRKVHKDAGQDVHNVDDEDMDSLCTFETEPPFWPIHVLYELEATMPIHWSNKWLIADKSFLDTSTTEQSSAEHGKDLPSLSDKQNESNFSLDAIDVDDECLLTSSSPCHSLFEIKQCERVQHELPDVTLKGCQTAYHLWTDKHRPEAAAQVCGNTEHVKFLSEWLKGWDERGHKNKQNIYANGSMNGRSCQDESDTDYSENASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGTYVRQKFEEATKSHGLEKWYVQFTVQFITVPIGNDNYTDFSAFILVMLHLSQEEVISLPISDSLDPASGTPGMSEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPSLPHLLDQLVLDFTYPSSAELLSHVDMICKSEGVDITVPQLKHIINACLGDIRRIMMLLQFWYQGKQQYTDKSNKCLCCPSLDLDAVHSTVPKILPWDFPCKLSETIYMEIDKTIMLAEEKEKQLELSVFEGLELQITTPLTKGRSSGKAKKPKKSKLKRGCSAECNDVSPCKNDLDDFHGSPVIPLPSNQQRVKNKRGTVLFFESDGDLADAHAAKDATFTVHEGSLLPQPSDLPCLHGQGVSNIVPESAFFQQSSVAPVHREVISNQLCFPCESRGFETTYAFQNPLESNMPGSISQICDTFMSQGMSCVPESSLIVGGAFASVSSDDLLSSLVSNGLSALCNDSTYTASTVPLEDTINVENQMTVEPQKFVEDEVGETCEAYADLSDRNEHASCSTGYQLMDECSRAESVWLLSGKKTNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNISVSGILKCVSRVSDLLSESDLMLTCGHPFSNDISNPSLAYTEPDGFSSYSKQLEMGSIYIQHALCIFPKNCQVRDDGSVDLSQGLLFNGTTNRSLGKLISSEISRGDESGNISYIKYPTSCISKRRELQVRLCEILLPISRLENMQLSECNAAIKQRR >LPERR03G10150.3 pep chromosome:Lperr_V1.4:3:7467063:7479886:-1 gene:LPERR03G10150 transcript:LPERR03G10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGRIGGGEAGAAGAPPLPAGDGAPEKGVGEEPEKAKKRGRPRKSDISTKKKQRKGRNQGAALKVPNRKGCRALKSTDGHQLCSSQTEVVLPQVSPISVDIDLMTVPSEVRLINDNADALDNEDKPQLVVDLRSEAKIAAEENRRLSSGKKMHPFFASRKVHKDAGQDVHNVDDEDMDSLCTFETEPPFWPIHVLYELEATMPIHWSNKWLIADKSFLDTSTTEQSSAEHGKDLPSLSDKQNESNFSLDAIDVDDECLLTSSSPCHSLFEIKQCERVQHELPDVTLKGCQTAYHLWTDKHRPEAAAQVCGNTEHVKFLSEWLKGWDERGHKNKQNIYANGSMNGRSCQDESDTDYSENASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGTYVRQKFEEATKSHGLEKWSQEEVISLPISDSLDPASGTPGMSEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPSLPHLLDQLVLDFTYPSSAELLSHVDMICKSEGVDITVPQLKHIINACLGDIRRIMMLLQFWYQGKQQYTDKSNKCLCCPSLDLDAVHSTVPKILPWDFPCKLSETIYMEIDKTIMLAEEKEKQLELSVFEGLELQITTPLTKGRSSGKAKKPKKSKLKRGCSAECNDVSPCKNDLDDFHGSPVIPLPSNQQRVKNKRGTVLFFESDGDLADAHAAKDATFTVHEGSLLPQPSDLPCLHGQGVSNIVPESAFFQQSSVAPVHREVISNQLCFPCESRGFETTYAFQNPLESNMPGSISQICDTFMSQGMSCVPESSLIVGGAFASVSSDDLLSSLVSNGLSALCNDSTYTASTVPLEDTINVENQMTVEPQKFVEDEVGETCEAYADLSDRNEHASCSTGYQLMDECSRAESVWLLSGKKTNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNISVSGILKCVSRVSDLLSESDLMLTCGHPFSNDISNPSLAYTEPDGFSSYSKQLEMGSIYIQHALCIFPKNCQVRDDGSVDLSQGLLFNGTTNRSLGKLISSEISRGDESGNISYIKYPTSCISKRRELQVRLCEILLPISRLENMQLSECNAAIKQRR >LPERR03G10150.4 pep chromosome:Lperr_V1.4:3:7467063:7479886:-1 gene:LPERR03G10150 transcript:LPERR03G10150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGRIGGGEAGAAGAPPLPAGDGAPEKGVGEEPEKAKKRGRPRKSDISTKLSSNKETTCLEQDSKDEVILVDESPQKKQRKGRNQGAALKVPNRKGCRALKSTDGHQLCSSQTEVVLPQVSPISVDIDLMTVPSEVRLINDNADALDNEDKPQLVVDLRSEAKIAAEENRRLSSGKKMHPFFASRKVHKDAGQDVHNVDDEDMDSLCTFETEPPFWPIHVLYELEATMPIHWSNKWLIADKSFLDTSTTEQSSAEHGKDLPSLSDKQNESNFSLDAIDVDDECLLTSSSPCHSLFEIKQCERVQHELPDVTLKGCQTAYHLWTDKHRPEAAAQVCGNTEHVKFLSEWLKGWDERGHKNKQNIYANGSMNGRSCQDESDTDYSENASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGTYVRQKFEEATKSHGLEKWYVQFTVQFITVPIGNDNYTDFSAFILVMLHLSQEEVISLPISDSLDPASGTPGMSEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPSLPHLLDQLVLDFTYPSSAELLSHVDMICKSEGVDITVPQLKHIINACLGDIRRIMMLLQFWYQGKQQYTDKSNKCLCCPSLDLDAVHSTVPKILPWDFPCKLSETIYMEIDKTIMLAEEKEKQLELSVFEGLELQITTPLTKGRSSGKAKKPKKSKLKRGCSAECNDVSPCKNDLDDFHGSPVIPLPSNQQRVKNKRGTVLFFESDGDLADAHAAKDATFTVHEGSLLPQPSDLPCLHGQGVSNIVPESAFFQQSSVAPVHREVISNQLCFPCESRGFETTYAFQNPLESNMPGSISQICDTFMSQGMSCVPESSLIVGGAFASVSSDDLLSSLVSNGLSALCNDSTYTASTVPLEDTINVENQMTVEPQKFVEDEVGETCEAYADLSDRNEHASCSTGYQLMDECSRAESVWLLSGKKTNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNISVSGILKCVSRVSDLLSESDLMLTCGHPFSNDISNPSLAYTEPDGFSSYSKQLEMGSIYIQHALCIFPKNCQVRDDGSVDLSQGLLFNGTTNRSLGKLISSEISRGDESGNISYIKYPTSCISKRRELQVRLCEILLPVVPPKLSQSLRGPAFVDYLSSMRQISRLENMQLSECNAAIKQRR >LPERR03G10150.5 pep chromosome:Lperr_V1.4:3:7467063:7479886:-1 gene:LPERR03G10150 transcript:LPERR03G10150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGRIGGGEAGAAGAPPLPAGDGAPEKGVGEEPEKAKKRGRPRKSDISTKLSSNKETTCLEQDSKDEVILVDESPQKKQRKGRNQGAALKVPNRKGCRALKSTDGHQLCSSQTEVVLPQVSPISVDIDLMTVPSEVRLINDNADALDNEDKPQLVVDLRSEAKIAAEENRRLSSGKKMHPFFASRKVHKDAGQDVHNVDDEDMDSLCTFETEPPFWPIHVLYELEATMPIHWSNKWLIADKSFLDTSTTEQSSAEHGKDLPSLSDKQNESNFSLDAIDVDDECLLTSSSPCHSLFEIKQCERVQHELPDVTLKGCQTAYHLWTDKHRPEAAAQVCGNTEHVKFLSEWLKGWDERGHKNKQNIYANGSMNGRSCQDESDTDYSENASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGTYVRQKFEEATKSHGLEKWSQEEVISLPISDSLDPASGTPGMSEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPSLPHLLDQLVLDFTYPSSAELLSHVDMICKSEGVDITVPQLKHIINACLGDIRRIMMLLQFWYQGKQQYTDKSNKCLCCPSLDLDAVHSTVPKILPWDFPCKLSETIYMEIDKTIMLAEEKEKQLELSVFEGLELQITTPLTKGRSSGKAKKPKKSKLKRGCSAECNDVSPCKNDLDDFHGSPVIPLPSNQQRVKNKRGTVLFFESDGDLADAHAAKDATFTVHEGSLLPQPSDLPCLHGQGVSNIVPESAFFQQSSVAPVHREVISNQLCFPCESRGFETTYAFQNPLESNMPGSISQICDTFMSQGMSCVPESSLIVGGAFASVSSDDLLSSLVSNGLSALCNDSTYTASTVPLEDTINVENQMTVEPQKFVEDEVGETCEAYADLSDRNEHASCSTGYQLMDECSRAESVWLLSGKKTNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNISVSGILKCVSRVSDLLSESDLMLTCGHPFSNDISNPSLAYTEPDGFSSYSKQLEMGSIYIQHALCIFPKNCQVRDDGSVDLSQGLLFNGTTNRSLGKLISSEISRGDESGNISYIKYPTSCISKRRELQVRLCEILLPVVPPKLSQSLRGPAFVDYLSSMRQISRLENMQLSECNAAIKQRR >LPERR03G10160.1 pep chromosome:Lperr_V1.4:3:7481810:7484574:-1 gene:LPERR03G10160 transcript:LPERR03G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEKREADQEEQQQRPSQGELAVPSTEEDEAEAEENERRNRELKAGFHPLRRKFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPSSLPSPTDLHLFKDGVRPLWEDPANRNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >LPERR03G10170.1 pep chromosome:Lperr_V1.4:3:7486534:7489159:1 gene:LPERR03G10170 transcript:LPERR03G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGEHHHGGGPPSYQQQQRRPHYGGAPYGGGSASFRGCCCCIFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVTPPSSSAASAAMGGTVAAAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRRQSLTYKQCGVDGLSV >LPERR03G10180.1 pep chromosome:Lperr_V1.4:3:7501060:7507092:1 gene:LPERR03G10180 transcript:LPERR03G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDAFGSATAPLAWHDFLERMRQPSAAEFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFVRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDWARERLLGLSATSENQDNQTNLDVREQRPQTLKASRDSDINLSSKDSFQGPGLDTRRDSDASSNPVERVQSISDLEKKGAADLLKEDDLNKKFQEYPFLFARSGDLTVADVDSLLNSYKQLILKYVALSQGMDVNLENPPAQSTQTVSDPMASEEPKNVKNTVNTSESIEGSSKVSDDIKNKASDDIKNDALHSEADNLTTQQTAVDPSYQKAQQNEASDQPEHA >LPERR03G10190.1 pep chromosome:Lperr_V1.4:3:7508411:7509106:-1 gene:LPERR03G10190 transcript:LPERR03G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPSNKCGGGGGDGKRMEAEAMTVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPSSSSRRLDAAGDDSRGGDMSPRSIQRVAAAAAAAFDHAGVGVIVDDASCSSSGAEGMTSTSTMGSGGGGGDALEHAAATPSSSSTAAANACSPTLVEGDHELWTELDAFASPKFMDLMAAGGTPFSSPWDQEPEEDGELMRLWSFC >LPERR03G10200.1 pep chromosome:Lperr_V1.4:3:7512400:7513652:1 gene:LPERR03G10200 transcript:LPERR03G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPPPSQSSSTAASTSTSPVATGANASASALEDWIRAEDDIVGTEAESDEDDDL >LPERR03G10210.1 pep chromosome:Lperr_V1.4:3:7528603:7530345:1 gene:LPERR03G10210 transcript:LPERR03G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMEDVPGDFEISGCGSTTTTTTTSSTSSLDDGTGTMYASWGELSPVADWGNFCSDDGVQEDLHGLIESMLCDDTLVGDAGLDDHPVMFRDDVYCYSNGSNPGSTTTTNPGTPAFDVEPPQNDDDCPEKGLRLLHLLMAASGALSGPHKSRELARVILVRLKEMVSHSHTGAANAAASNMERLAVHFTDALQALLDGSHPVTGRQASAAAAATTAAASQHHHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVAGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAVSRSGGGARAVQEAGRRLSSFAASIGQPFSFGQCRLDSDERFRPATVRMVKGETLVANCVLHQATATTAIRRPTGSVASFLSGMAALGAKLVTVVEEEGESDKDADESSGDFVRRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILGPNIAGAVSRAYRGIDGGEGRCGWGEWMRGSGFAAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPAPASPPEGVCQPVVGMAPAATGGFVRTEFDYIDSFLVEPAYALV >LPERR03G10220.1 pep chromosome:Lperr_V1.4:3:7532654:7535777:1 gene:LPERR03G10220 transcript:LPERR03G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELASNAERLGRRWEAAFHKGRGDGTGARAAGVGLEGKKKADAAIELHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPENAERLKTFIYLAASASAEVIADVALCPMEAVKVRVQTQPGFARCLTDGLPKMVQSEGFIKDYFLFGVAKFLVVALARTNYIILPLLQYTMMKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVLCAAISHPADNLVSFLNNAKGATMADAVRTLGVWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSRAATDLRQADHDKQN >LPERR03G10220.2 pep chromosome:Lperr_V1.4:3:7532654:7535777:1 gene:LPERR03G10220 transcript:LPERR03G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELASNAERLGRRWEAAFHKGRGDGTGARAAGVGLEGKKKADAAIELHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPENAERLKTFIYLAASASAEVIADVALCPMEAVKVRVQTQPGFARCLTDGLPKMVQSEGFIKDYFLFGVAKFLTIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVLCAAISHPADNLVSFLNNAKGATMADAVRTLGVWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSRAATDLRQADHDKQN >LPERR03G10230.1 pep chromosome:Lperr_V1.4:3:7536367:7539035:-1 gene:LPERR03G10230 transcript:LPERR03G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIGYPEIEENIKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKPRQPEAIKPPAAPGTLPNGSGGPGGPPRPPPPQIPPPPPQAPPPPPPNAPMGMPPRIPPPPVGGAQPPPPPPPLANGPPRSIPPPPMTGGPMANFTPGAPPPRPPMQGFPGPQQ >LPERR03G10240.1 pep chromosome:Lperr_V1.4:3:7541117:7542632:-1 gene:LPERR03G10240 transcript:LPERR03G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKETRLQRGRDGIVQYPHLFFAALALALLVTDPFHLGPLAGVDYRPVSHDIAPYREVMARWPRDDGSRLRHGRLEFVGEVFGPESIEFDRLGRGPYAGLADGRVVRWMGDDAGWETFAVMTPDWSEKVCANGVNSTTKKQHGMERRCGRPLGLRFHRETGELYVADSYYGLMSVGQNGGVATSLAREAGGNPINFANDLDIHRNGSVFFTDTSLRYSRKDHLNVLLEGEGTGRLLRYDPGTNSAHVVLNGLVFPNGVQISEDQQFLLFSETTNCRIMRYWLEGPRSGQLEVFADLPGFPDNVRLSSDGGRFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLSMRTLGKMVSMRMYTLVALLDGEGNIVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQST >LPERR03G10250.1 pep chromosome:Lperr_V1.4:3:7544672:7548445:1 gene:LPERR03G10250 transcript:LPERR03G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKIREPRVYRSLHAQGRRFANPLLSTASSSFNSPLPKENLIVVLRRRGWLGRSGRRDHGVRRESYKKGYGSGKKWDGGPGGGGPGGGGGGGGGPRAK >LPERR03G10260.1 pep chromosome:Lperr_V1.4:3:7551357:7555188:1 gene:LPERR03G10260 transcript:LPERR03G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQTHPPAPPPQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAVNLQLMSKENGHSKDLTACRVCKSNEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >LPERR03G10270.1 pep chromosome:Lperr_V1.4:3:7557426:7559956:1 gene:LPERR03G10270 transcript:LPERR03G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAAASASGAAGRGSRAEKVRRIFERFDTNGDGGLDRDEMAALVVAVNPRVKFSDDQISAILDEVFRTYAEFILPGGKGLSLPGLLRTYDDGAGDVDRDFLALSLPPLESGVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASAAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHIVIGRALSEHRLFRDALASFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDERAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRQNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKSKPPKGKDDGQGEETFLVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCNVELLRKEMNETDVPVSYSGTGNPEKSIRKAALEVVLRRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDNRKRVVFDALLWRPASEGSRGQIRRSDALTYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLEEYRFKEYGNESEALLDKCMCFNLHSKKLEADT >LPERR03G10280.1 pep chromosome:Lperr_V1.4:3:7565717:7568818:-1 gene:LPERR03G10280 transcript:LPERR03G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRGPEASSFFPLTLVFSVGFFCARVFLDRFVYKPLAAYCFNNKASKLMNDEARQAKIVKFSESMWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFDGWPNQHMTSSLMLFYMCQCGFYIYSIFALIAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTVILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLDRFPTTLYYIFNTMLLTLERMFDQILRMKSDGGDSLTTVMCAISLLILFCACLRQ >LPERR03G10290.1 pep chromosome:Lperr_V1.4:3:7575271:7579833:1 gene:LPERR03G10290 transcript:LPERR03G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVSCLWGGSESRRDQNGSASAAVTSPRSASGLAFLHEEAQPRIVHRDIKASNILLDKELLPKIGDFGLAKLFPDTITHISTRVAGTIHGSFENKEVFWKLLIQT >LPERR03G10300.1 pep chromosome:Lperr_V1.4:3:7580801:7584533:-1 gene:LPERR03G10300 transcript:LPERR03G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFTPSRLAARPAGTPAVAAGNPAVAAGGRRSARRGGVRCSAGKPPQASSAVINGSAAARAAEEDRRRFFEAAERTSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEIVAKENKVTIMDHEKGKVTEQVVDDPMQVPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHASTEDAYQDGRSRLNLLLSKVHNSNVPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQERQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDSLQSWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >LPERR03G10310.1 pep chromosome:Lperr_V1.4:3:7588205:7589554:-1 gene:LPERR03G10310 transcript:LPERR03G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLLRGFVSLFFLLFLHIGNAGCCFSTGSSAQTVDEDDAAPHGVGGGGNKRRKISPLAFSPSVSSSTVAADARASARRRRQASSLATSFRFYLHRIFSYSSGGAKNGAAAVPSTEEAYDDPVTTTVSSPLAHSSSLPPHQRQAAASVVLSTPSSPSCASSPFLSPLSPRSLSVTPVATVPSSPRSKQVPRQSSSRSFAVFPCKVCGEVMSKPQQLELHQAMKHSLSELSTLDSSMNIIRMIFLAGWNPSTPAGEPPSVRRILRIHHNPRALARFEEYRDLVRARAARGRCASAAEERCVADGNERLRFYCSTMLCALGGAGGVCGSPYCCTCSILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRTMLVCRVVAGRVGRGAADDKDVAYDSMVPLLPAASSAAAAAGRGGDDDVELLVFNPRAVLPCFVIIYSC >LPERR03G10320.1 pep chromosome:Lperr_V1.4:3:7590225:7605815:-1 gene:LPERR03G10320 transcript:LPERR03G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDLEELEWMESHGLPEEEEDEYAYFDDPEDERLTPAGGGVDQPRVPQQETTVAPAKPADEVSEGNLKRPPPPPPLEQQEEERSKRIHVDREDSGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMPVTAPNGERVYAKVATDGLDGGGTGGARQRTRISKPNFDYKGLLSESFHSLTSRAEQEALAKALQESTDTQNFEGCPATPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATSDDVLSSLRRHSSAIQKNSSNRHFFSKSKGGHVMSQDNMLQNAHGSNSEDLTSSFNKRSLTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGSLGDGKGAVEVILKMINAEKNNSSNSSNNTDDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRIKYICKKEGFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSILDVWKQVLQKKRLKRIEKADSNCSRDKDIGSLFSLISNRGDYDVTMDGIHENFLKLSYHDPMLQKTVKCLDILGVSDSLMQYVYRTQQMSLHAYQPPIAITISQLVAQVEKPNIEWPKALQRCRAMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLESQERINGSMLPPDVPSLTLDPSINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIIKDSAGKLLNQVNEGGKRNDVSTSDKTSALVSTKGISKSNPTLKTQLNVASSMSGKDLAPAKKRSNHGMNFFDRFRKERPVDAKTHNDAGQQAATILRDSRPLIFKYNEGFTNAVKRPVKAHFYGLHDRLLAALSPPPLLGFSASLASTLSPSSEPFPTALAPRHDGSLCLAHGAGLLTCYSPALEHLTTFRTHLHPITALRHLPPGLAVAGSSFSPGLHVYDLLEGRHVASVQWLDPTDLRVQKAKVITIAARPLADQNSPIIASFECPHRENCILVFDAVTLKLIQEIGRQTGSAAKSSVPGRLVHLQELGLVYAASVSSGAFGYSGYMRLWDIRSGNIVWETSEPGGSGRSSRFGDPFADADVDVNQQILYKVCSKSGDIGAADLRCLGNDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLGEPANGTGNLEEQPRAKEKLNSEGINENCFRSSYVDTEEDAERGMIHIMEGGGNRLFVTREEMQGVEVWETSHMAGAISLSFSSMLHASRNDDDGMT >LPERR03G10320.2 pep chromosome:Lperr_V1.4:3:7590225:7605815:-1 gene:LPERR03G10320 transcript:LPERR03G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDLEELEWMESHGLPEEEEDEYAYFDDPEDERLTPAGGGVDQPRVPQQETTVAPAKPADEVSEGNLKRPPPPPPLEQQEEERSKRIHVDREDSGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMPVTAPNGERVYAKVATDGLDGGGTGGARQRTRISKPNFDYKGLLSESFHSLTSRAEQEALAKALQESTDTQNFEGCPATPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATSDDVLSSLRRHSSAIQKNSSNRHFFSKSKGGHVMSQDNMLQNAHGSNSEDLTSSFNKRSLTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGSLGDGKGAVEVILKMINAEKNNSSNSSNNTDDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRIKYICKKEGFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSILDVWKQVLQKKRLKRIEKADSNCSRDKDIGSLFSLISNRGDYDVTMDGIHENFLKLSYHDPMLQKTVKCLDILGVSDSLMQYVYRTQQMSLHAYQPPIAITISQLVAQVEKPNIEWPKALQRCRAMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLESQERINGSMLPPDVPSLTLDPSINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIIKDSAGKLLNQVNEGGKRNDVSTSDKTSALVSTKGISKSNPTLKTQLNVASSMSGKDLAPAKKRSNHGMNFFDRFRKERPVDAKTHNDAGQQAATILRDSRPLIFKYNEGFTNAVKRPVKHASRNDDDGMT >LPERR03G10330.1 pep chromosome:Lperr_V1.4:3:7612084:7618638:1 gene:LPERR03G10330 transcript:LPERR03G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEEARPLLLQVQVDEAEADWNSRPHRIVLFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWRYIPRYNLNWLLGPTWSIIRCLHRSADLTLVPSVAIAEDFETAKIVSVTLVSSANRVRLWNKGVDSESFHPKFRRHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYSTAALYWRKKTGRTN >LPERR03G10340.1 pep chromosome:Lperr_V1.4:3:7617473:7618981:-1 gene:LPERR03G10340 transcript:LPERR03G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETKSDANQEQEGSSTMVGGGGGNNNNKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAENLVRTDPNKDQWTPLSSIFKPHHNVFTGNYDVNVLITALESRKKKVVWHDHRKGASSIDLDAEAFFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLVAFLDGILGQGGEVMKVLQDE >LPERR03G10350.1 pep chromosome:Lperr_V1.4:3:7622919:7626033:1 gene:LPERR03G10350 transcript:LPERR03G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGVAGAGGGIIAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRKGVGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGAEGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQAKQVIGDDKRHSYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >LPERR03G10360.1 pep chromosome:Lperr_V1.4:3:7626839:7630443:1 gene:LPERR03G10360 transcript:LPERR03G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSVASSILLSLSSSSSPFLSSASASFLPSSTSSSPRVSVAAAAGRQRAAAVSVLRALRAEAATLPVLNFTGEKVGEVALDLKSAPPSTARAVVHRALITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSNRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAADAFVVEEFDEAFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAIDYLNSRYGATVFDEYEDDTDGEEDGEEEAEEVQEEEASAEEAAQGIFFQCSRAALEFATRNDLPLDFWRDYGSDEAEDTEADSNT >LPERR03G10370.1 pep chromosome:Lperr_V1.4:3:7634491:7640763:1 gene:LPERR03G10370 transcript:LPERR03G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLASLKLDRCSGFSTSSLALVARTCKKLETLFLEDSLIAEKENDEWLRELATNNTVLETLNFFLTDLRASPAYLTLLVRNCRRLKILKISECFMLDLVDLFRTAEMLQDFAGGSFDDQGQAAESRNYDNYYFPPSLHRLSLLYMGTKEMQILFPYGAALKKLDLQFTFLSTEDHCQLVRDVIGDRGLQVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSRSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTVRYMLLGNVGESDQGILQLSTGCPSLQKLELRGCFFSERALAIAALQLKSLRYLWVQGYKASPNGADLMAMVRPFWNIEIIAPNQDELCPDGQAQILAYYSLAGMRSDYPQSVIPLYPSV >LPERR03G10380.1 pep chromosome:Lperr_V1.4:3:7642291:7645269:-1 gene:LPERR03G10380 transcript:LPERR03G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKNQWLQEVPCVERNRRGSCAQPIQPDLRNFRVRLGPEPSSTPPVYLFAAARAPTGRKAAPTEEHERQRQKKRSRYSARAGSSRRRKWILIRSSVYLADVVLLQRFKPCVVIIDRFLIDRYESRSYSLSPYRRDSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEEHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGKYLGTKSSRARRYSPSYSPVQRDSYRSQYSPERERSFSPYGGRRSYSPYDRRRSCSPYERRRSSPYERRQSYSPYGRSPSPYGGRRSYSPYDRRGSRRRSPYHGSRHRSRSPYRYRRERSYSYDRSVSPYYSRRYSPGGRGRSYSCSVSPERSYSRSCSPESQRSGSYSPRKRYSEKKPPRSRSLGKSRSRESYSHSRSSYSRSLSREPSD >LPERR03G10390.1 pep chromosome:Lperr_V1.4:3:7647051:7660185:1 gene:LPERR03G10390 transcript:LPERR03G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSSTTLMDLITSDPSAAPAAGASSQQSSSSAAAAAAGGPLGRPAPAPADRKSKKSSLMQIQNETISAATATAKAINKALPQRNRKKKASLCCPLLCAPPSASTPPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDAEFHARRLAALKALTSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGVYDEAANLQSYSDNVDSLDSDLNENSQPEATRKANPLSNGHGGMDTVAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSMIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIASVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSASGGLTDPAVATGISDLMYESKDTPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEDDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSGYGASQKTIREEPPSYSTSVLQKRESFENPLAGRGGRSIGSHEDEDRSSGNPQFGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKIAGLVPVLYVNS >LPERR03G10400.1 pep chromosome:Lperr_V1.4:3:7658993:7659949:-1 gene:LPERR03G10400 transcript:LPERR03G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREFYDDAAPKRHTKPSRSEPSKVYTDAVVPDDSHPELDRFQELEAHTEKLVCEGGKVEEEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPTSFHLSEDPEANERHASCKGNPATNEWIPSADTVYPESDKPNRSDS >LPERR03G10410.1 pep chromosome:Lperr_V1.4:3:7662896:7677958:-1 gene:LPERR03G10410 transcript:LPERR03G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKAEEETAAAEEWSDEAVIYVNSVRRVLRRPRAPHPAPTSVFGEQSLDVVKVAVEPALHFAINACLAPLYSVEGMHIITVEGIGNRQQDAFRVFSKRDDLLYIDSSPKNADGRPICPSTGKPCSCGDEKDTDASESSLLAHTKNYSPCSYNEIDGSVYSEKELIFPPELQLRKVMSLKLNGFNGIKWYRPIKLKQVLHLKACYPNAKLINGNSEVGIETKFKNAQYSDLISVTHVPELHNLIMKEDGIHIGSSVSLAQLQNFLRKVILERDSHEISSCEAVLRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEILDVNNNIRTTPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKAKGDWIISDVSIVYGGVAAVPLSASKTETFLTRKKWDYGLLDETFDLLKEDILLAENAPGGMVEFRNSLTLSFFFNFFLHVNHEMNIKGFWKDGLPAASLSAIESYTRPVGVGIQCYELVRQGTAVGQPVVHMSSMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILCIDASLAKSSPGFVGLFLSKDVPGANHTGPVVHDEEVFASDVVTCVGQIVGIVVADTHDNAKAAANKVNIEYAELPAILSIEEAVKAGSFHPNTKKCLVKGNVEECFVSGACNRIIEGEVRVGGQEHFYMEPQCALVWPVDSGNEIHMISSTQAPQKHQIVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNDGKILALDLDLYNNGGNSLDLSLPVMERAMFHSDNVYDIPNIRVSGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQREGYVLHYGQLLQNSTIRSVWDELKVSCNFIEARKAVIGFNNNNRWRKRGIAMVPTKFGISFNSKFMNQAGALVQVYTDGTVLVAHGGVEMGQGIHTKVAQVAASSFNIPLSIGCMSTNHGSDLVQACYMERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGSSINPAIDIGQIEGGFVQGLGWVALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIYAARAEEGHFDWFSLDNPATPERIRMACVDSITKKFATIYYRPKLSV >LPERR03G10410.2 pep chromosome:Lperr_V1.4:3:7662896:7677958:-1 gene:LPERR03G10410 transcript:LPERR03G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKAEEETAAAEEWSDEAVIYVNSVRRVLRRPRAPHPAPTSVFGEQSLDVVKVAVEPALHFAINACLAPLYSVEGMHIITVEGIGNRQQDAFRVFSKRDDLLYIDSSPKNADGRPICPSTGKPCSCGDEKDTDASESSLLAHTKNYSPCSYNEIDGSVYSEKELIFPPELQLRKVMSLKLNGFNGIKWYRPIKLKQVLHLKACYPNAKLINGNSEVGIETKFKNAQYSDLISVTHVPELHNLIMKEDGIHIGSSVSLAQLQNFLRKVILERDSHEISSCEAVLRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEILDVNNNIRTTPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKAKGDWIISDVSIVYGGVAAVPLSASKTETFLTRKKWDYGLLDETFDLLKEDILLAENAPGGMVEFRNSLTLSFFFNFFLHVNHEMNIKGFWKDGLPAASLSAIESYTRPVGVGIQCYELVRQGTAVGQPVVHMSSMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILCIDASLAKSSPGFVGLFLSKDVPGANHTGPVVHDEEVFASDVVTCVGQIVGIVVADTHDNAKAAANKVNIEYAELPAILSIEEAVKAGSFHPNTKKCLVKGNVEECFVSGACNRIIEGEVRVGGQEHFYMEPQCALVWPVDSGNEIHMISSTQAPQKHQIVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNDGKILALDLDLYNNGGNSLDLSLPVMERAMFHSDNVYDIPNIRVSGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQREGYVLHYGQLLQNSTIRSVWDELKVSCNFIEARKAVIGFNNNNRWRKRGIAMVPTKFGISFNSKFMNQAGALVQVYTDGTVLVAHGGVEMGQGIHTKVAQVAASSFNIPLRSVFISETSTDKLVQACYMERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGSSINPAIDIGQIEGGFVQGLGWVALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIYAARAEEGHFDWFSLDNPATPERIRMACVDSITKKFATIYYRPKLSV >LPERR03G10410.3 pep chromosome:Lperr_V1.4:3:7662896:7677958:-1 gene:LPERR03G10410 transcript:LPERR03G10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKAEEETAAAEEWSDEAVIYVNSVRRVLRRPRAPHPAPTSVFGEQSLDVVKVAVEPALHFAINACLAPLYSVEGMHIITVEGIGNRQQDAFRVFSKRDDLLYIDSSPKNADGRPICPSTGKPCSCGDEKDTDASESSLLAHTKNYSPCSYNEIDGSVYSEKELIFPPELQLRKVMSLKLNGFNGIKWYRPIKLKQVLHLKACYPNAKLINGNSEVGIETKFKNAQYSDLISVTHVPELHNLIMKEDGIHIGSSVSLAQLQNFLRKVILERDSHEISSCEAVLRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEILDVNNNIRTTPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKAKGDWIISDVSIVYGGVAAVPLSASKTETFLTRKKWDYGLLDETFDLLKEDILLAENAPGGMVEFRNSLTLSFFFNFFLHVNHEMNIKGFWKDGLPAASLSAIESYTRPVGVGIQCYELVRQGTAVGQPVVHMSSMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILCIDASLAKSSPGFVGLFLSKDVPGANHTGPVVHDEEVFASDVVTCVGQIVGIVVADTHDNAKAAANKVNIEYAELPAILSIEEAVKAGSFHPNTKKCLVKGNVEECFVSGACNRIIEGEVRVGGQEHFYMEPQCALVWPVDSGNEIHMISSTQTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNDGKILALDLDLYNNGGNSLDLSLPVMERAMFHSDNVYDIPNIRVSGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQREGYVLHYGQLLQNSTIRSVWDELKVSCNFIEARKAVIGFNNNNRWRKRGIAMVPTKFGISFNSKFMNQAGALVQVYTDGTVLVAHGGVEMGQGIHTKLVQACYMERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGSSINPAIDIGQIEGGFVQGLGWVALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIYAARAEEGHFDWFSLDNPATPERIRMACVDSITKKFATIYYRPKLSV >LPERR03G10420.1 pep chromosome:Lperr_V1.4:3:7678757:7681701:1 gene:LPERR03G10420 transcript:LPERR03G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCARTAEAARPSNATLLARLRDGEARFELLEDSVAAPAPAPVWPALICFARVASSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIALTPREEVQDADDLRMAVKEVICDNETERQKYEEAVIAITVEESLRRYCHRIRRSDFWGGEPELLVLSRLCRQPIIIYIPEREYRGRGNGFIPIAEYGLEFSKDSKQWKKRVPTVYS >LPERR03G10430.1 pep chromosome:Lperr_V1.4:3:7683643:7687250:1 gene:LPERR03G10430 transcript:LPERR03G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPATEKLTPELTKSRSKAARMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPAAADSS >LPERR03G10440.1 pep chromosome:Lperr_V1.4:3:7683819:7684250:-1 gene:LPERR03G10440 transcript:LPERR03G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENMISPRQNSEQKNGKEAKEIEACAAIRRVHTERVDLQCWHLKQERWKTTPSVESWSIGYTVFVHTLHFCCVPLNIVDPLFLSGSCGDGELKI >LPERR03G10450.1 pep chromosome:Lperr_V1.4:3:7686241:7690340:-1 gene:LPERR03G10450 transcript:LPERR03G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLLISTHMRRSVPAVITGVRARQILDGRGEPAVEVSLHTNKAVHRASAAAADAPEGTAADAARDAERRKLLARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKAHHKAEIGVNAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSATTLPIPAITVINGGTHAGNRLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIIFEKCGSDSCNIGLDLVIEAINRAGYNGRIKLAIDVAATDFCVGDDLLMSDPERIKRAVNEYTCNALVLKANQVGTVTEAMEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLSKYNQLLRIEEELGSDGVYAGENWRTAASTS >LPERR03G10460.1 pep chromosome:Lperr_V1.4:3:7692551:7693027:1 gene:LPERR03G10460 transcript:LPERR03G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSSGSSSGSLFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDSNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPEVKSIQISG >LPERR03G10470.1 pep chromosome:Lperr_V1.4:3:7696548:7699605:1 gene:LPERR03G10470 transcript:LPERR03G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALELLSDKIPAFHCAAAFYINVLTTLIPKYLLNAYAAEAVMAEEDHASKKDSENYKLRMGLVDSYICAICDEGGKLIRCDGDCRRYFHPTISSGAYCSCETLKMNEERAKSSKFICKNCKHKQHQCFGCGELGSSDMSSGSAEVYQCSKNKCRRFYHPKCLAVYDPSKKPPVFECPLHECFSCKNKGENNNEETCKNKGQENIKKKQGEDNKKMHLCVLICRDISSVAEGCVPRKWKIENGCVFFYCWKHKMVKHLRSATRDHLKFPEAVEEHREKHVPKKELANQETGVHVRKQFKCASRKQGGAVEKVDDGNKESDPVQKNGDINLRAHEQSESPRRSMVDRNASTDFVLSFAPKSLFPLPYPGTCGWLDD >LPERR03G10480.1 pep chromosome:Lperr_V1.4:3:7701024:7706589:1 gene:LPERR03G10480 transcript:LPERR03G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKLREHVAKQQQAVLKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQKFGFVLARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVHAKHNDEPENHYDETSSEPKTAATQEHSPSTSEDHIFTKTSEPTRTETTEPTSTESSEPTRNGQEVQYVGEVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >LPERR03G10490.1 pep chromosome:Lperr_V1.4:3:7709005:7718038:1 gene:LPERR03G10490 transcript:LPERR03G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPNLLLVLIILYLGAREARTLSPDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVRCDSNTKRVIYLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVDLDTLDLSSNTLSGSIPPSLDKLIKLTSFNVSMNFLTGAIPSDGSLVNFNETSFIGNRGLCGKQINSVCKDALQSPSNGPLAPSADDFLNRKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLSGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNVLLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >LPERR03G10490.2 pep chromosome:Lperr_V1.4:3:7709100:7718038:1 gene:LPERR03G10490 transcript:LPERR03G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPNLLLVLIILYLGAREARTLSPDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVRCDSNTKRLVGPIPPEIGRSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVDLDTLDLSSNTLSGSIPPSLDKLIKLTSFNVSMNFLTGAIPSDGSLINSVCKDALQSPSNGPLAPSADDFLNRKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLSGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNVLLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >LPERR03G10490.3 pep chromosome:Lperr_V1.4:3:7710008:7718038:1 gene:LPERR03G10490 transcript:LPERR03G10490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPNLLLVLIILYLGAREARTLSPDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVRCDSNTKRLVGPIPPEIGRSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVDLDTLDLSSNTLSGSIPPSLDKLIKLTSFNVSMNFLTGAIPSDGSLINSVCKDALQSPSNGPLAPSADDFLNRKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLSGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNVLLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >LPERR03G10490.4 pep chromosome:Lperr_V1.4:3:7708979:7709820:1 gene:LPERR03G10490 transcript:LPERR03G10490.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRCVAVRGRRNATQKQEEKRGGISCSFRVPFLSPTPHADKTTLSHSPSPSPSSPQSSPTTTPQEQHSSGRLIPPHSTPLESTLSLPTAAPPPHRGLPVSSRGGRLADARIIYRRDASQREHTQPQAKVA >LPERR03G10500.1 pep chromosome:Lperr_V1.4:3:7716029:7716502:-1 gene:LPERR03G10500 transcript:LPERR03G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRRSNVFDPFSLDIWDPFDGFPFGSGSSNSGSLFARPNSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEPKKPEVKSVQITG >LPERR03G10510.1 pep chromosome:Lperr_V1.4:3:7727422:7728934:-1 gene:LPERR03G10510 transcript:LPERR03G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTSSHLLLLSRQQAAASLQCGFSFRRQQPGPGRSSAPGVRCMAAVDTASAPAAPAATKKSSYEIITLTTWLLKQEQAGTIDGEMTIVLASISTACKQIAALVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDQNLDSVVQKCVVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGSSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIVPTEIHQRVPLYIGSVEEVEKVEKFLA >LPERR03G10520.1 pep chromosome:Lperr_V1.4:3:7737009:7741146:1 gene:LPERR03G10520 transcript:LPERR03G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANLGPHLPAARTTHQNRYKPTETQGRRVITQHKRNPRGGSTAAKRRRRRSELALAQERDRAVVSMRKLCPNLERDDALDTVLEVPIPEEMFSRGGNGGSRGSRFGCTNVKSWVRPNASDRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHNKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGHRGGKKSSKNSGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMMTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKESKETQDVAAAAAVKPARPPPIRIPAVAVRVGPSQVAAVNMDDSDSLIARS >LPERR03G10530.1 pep chromosome:Lperr_V1.4:3:7740856:7743665:-1 gene:LPERR03G10530 transcript:LPERR03G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGQFSRERNIKVTLGHDGRQRRSSQDQFVQVPKEKIAVSGNIDGKFEDKIKVVKNDKFRRQREPRSADGGGSLKGSKPWPGRKAATVDELVKHMSNVPSYLQRKDTTDHLQDKALNVGVLEWGLLARWSHQQKHESSSSHGASPSNTSRSVIFSSPSQSSASPSSKSIDSNQSPTLQDHQHCSMEFQQSDLVDKHHGKARYSPSPNSAVLSLLPVNGKYFPENTDKYGEFSLRNASPLSDSLLTATGSSMGHEMADDEETTRKVEEAVHHCSRRLFTDDDNIGQRFLTSHNNDSAYGDFQQSSAMTGEIFESLVEMERNASISPFGSSKDIGQSHEFPRIPYSCPLPIMDSAKELGTSKTGTQGGSVGAAVTIGENCNKKQISRVTSDRTPRISAKFRDMDVLPHSHLVSGLNRVSRSSSLKDGAYPQQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSASGPIQPSFVPKCHLPGNIDKQSLNLGESALQNVQRRSVDSVVNSNCRSETATDQPPRVLSNSARYLKQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKSISDNNDLESSYTLFGVEEPKKKGGAWMKAGNKKKDQLVYNIVGEMRVSRRKSRCCQAEKSHVHREFVLVGSEQLPSSEESGDSHVSREFAAFISGLPQREAETSRDSSSQNSSRSMSAPIDCSCPPFGNLHPNTRDASSACSVLAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDAQESKGDKSIQANQTTDGSQRFDLLVQGRSRGARHAFSMVSFREGLYTVEFKSSIALLQAFAMCIVMLHGRYPTRTQAGVQASQEHAPLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >LPERR03G10540.1 pep chromosome:Lperr_V1.4:3:7751746:7754808:1 gene:LPERR03G10540 transcript:LPERR03G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQQPPPPQLHHHQPQLGTLPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLRNTSIWREASRVVYEEGIRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDKNGGFGADVGVRLVGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALCAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESFRGLYRGILPEYCKVVPSVGIVFMTYETLKSIFTEMASDD >LPERR03G10540.2 pep chromosome:Lperr_V1.4:3:7751746:7755820:1 gene:LPERR03G10540 transcript:LPERR03G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQQPPPPQLHHHQPQLGTLPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLRNTSIWREASRVVYEEGIRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDKNGGFGADVGVRLVGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALCAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESFRGLYRGILPEYCKVVPSVGIVFMTYETLKSIFTEMASDD >LPERR03G10550.1 pep chromosome:Lperr_V1.4:3:7755451:7760084:-1 gene:LPERR03G10550 transcript:LPERR03G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAVGGRPHPAVLGYMAYSRACDHCNDERRSSFMKWLCAFLKGPKDGELNRRRPRVTTGEETTLWEEPVRPKKEDPPRHNNEEMDHALALTLAEDAKNTKEKTHDNKGENDEELARAIQDSLNMNPYQPYNPYAPSQSRPRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCCSCRYPIRETEFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPKNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMYMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKAEVEEGICQVMSYLWLESEILPSTSRHGHGQPSTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >LPERR03G10550.2 pep chromosome:Lperr_V1.4:3:7755451:7760084:-1 gene:LPERR03G10550 transcript:LPERR03G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAVGGRPHPAVLGYMAYSRACDHCNDERRSSFMKWLCAFLKGPKDGELNRRRPRVTTGEETTLWEEPVRPKKEDPPRHNNEEMDHALALTLAEDAKNTKEKTHDNKGENDEELARAIQDSLNMNPYQPYNPYAPSQSRPRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCCSCRYPIRETEVTVLQFFPEGPKFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPKNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMYMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKAEVEEGICQVMSYLWLESEILPSTSRHGHGQPSTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >LPERR03G10550.3 pep chromosome:Lperr_V1.4:3:7755451:7759766:-1 gene:LPERR03G10550 transcript:LPERR03G10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRACDHCNDERRSSFMKWLCAFLKGPKDGELNRRRPRVTTGEETTLWEEPVRPKKEDPPRHNNEEMDHALALTLAEDAKNTKEKTHDNKGENDEELARAIQDSLNMNPYQPYNPYAPSQSRPRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCCSCRYPIRETEFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPKNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMYMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKAEVEEGICQVMSYLWLESEILPSTSRHGHGQPSTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >LPERR03G10550.4 pep chromosome:Lperr_V1.4:3:7755451:7759766:-1 gene:LPERR03G10550 transcript:LPERR03G10550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRACDHCNDERRSSFMKWLCAFLKGPKDGELNRRRPRVTTGEETTLWEEPVRPKKEDPPRHNNEEMDHALALTLAEDAKNTKEKTHDNKGENDEELARAIQDSLNMNPYQPYNPYAPSQSRPRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCCSCRYPIRETEVTVLQFFPEGPKFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPKNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMYMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKAEVEEGICQVMSYLWLESEILPSTSRHGHGQPSTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >LPERR03G10560.1 pep chromosome:Lperr_V1.4:3:7767754:7773049:1 gene:LPERR03G10560 transcript:LPERR03G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAGGQGGAGGMDTALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLTRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMASNKMLFCGCIRHQHAGARLEATLG >LPERR03G10560.2 pep chromosome:Lperr_V1.4:3:7767754:7772825:1 gene:LPERR03G10560 transcript:LPERR03G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAGGQGGAGGMDTALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLTRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMASNKILGDEVSQFL >LPERR03G10570.1 pep chromosome:Lperr_V1.4:3:7777501:7786089:-1 gene:LPERR03G10570 transcript:LPERR03G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAAGGGGVRRVGEYVLLRQIGAGTYAQVWFGRHCTRGTEVALKEIPLGRLSRKLRESLLSEVDILRRIRHPNVIALHESIRDGGTIYLVLEYCRGGDLHSYLQKHKRVSETVAKHFIQQLASGLQMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSTLAETLCGSPLYMAPEVMQAQKYDAKLLSNILNTREIRFPSDCNLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLTEHAPERTLSRTPSDIRDGFPFINSSPTRLSSQSSQEDCMPFPLDDEPTGQDENPVTESKSAIKPYGFATSRKLDKISGQSPSKHASPVSKYIRENISSPSSQHLDHPRRIKEKKSDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVSGPHPEGSSSMNDSRQRSMPSKFDNSSFSPPKLTAVSAPRPIHGAAINRQLSGGTGSLDSHCSPVSGTSQGSTDLNDAMDQPPSDCLTRIRLLEQYASTITELVKEKIKDAKHLEAFSIQLVVLATWKQAIYICTSYASSATDAPHLLVNSQLIGDTYIEIERQFLVQMEYAEELASTIGQAVDATEMPDAIEIIFQTALHLGRHGGVDEMMGKSASATVLYSKALEEIRQKRAAERMQQQQQAAAYADPYGWCISLSVPGTVRRDPSLVCFALVLIVGLTTVVFCREPRSRSRGLPPFPLYRIVGINIDAWNVQLGRVRELENGNRELERENQMLLSKVFCPQFSIVVVQLGKDKLVFNTHSFFQIAEKEVEKDSLVNRLNDLERNVVPSLKKAMNDISLEKDAAVVAKEDALAQLRNMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQAMTNSYSAFPVGASNEQILAMEKEIEDLQAHIKQESFLRQQEQQKLSEESLLRQQEQHKLCEEQSRAATLSAEKKELEDKIAALTKKASDEASELAAQKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQDAVAVTMQWENQDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRIYRPVLRDIESNLMKMKQETYATIQ >LPERR03G10580.1 pep chromosome:Lperr_V1.4:3:7787570:7790037:-1 gene:LPERR03G10580 transcript:LPERR03G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQVLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFDIKFYPGKFSKEKRSILPVGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKSKFRKVIGVVHTNYLEYVKREKNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSVVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDADEVKASAEKLTLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTLKALAEEPIPLSDELRHELSWEAATERFVRVADIGPIMSIKQHSPSSQYFMHISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQRKELGWRLQE >LPERR03G10590.1 pep chromosome:Lperr_V1.4:3:7790262:7790706:-1 gene:LPERR03G10590 transcript:LPERR03G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERFMFLSISAQSPKPNRTGIWTRMAEIPAGPDAVPLRTTGSGDGTQRPNAIPTPRHQVALRPSPLRLLPLHTRGCADRTGFCCVRESRLDVGGGSN >LPERR03G10600.1 pep chromosome:Lperr_V1.4:3:7794007:7797177:-1 gene:LPERR03G10600 transcript:LPERR03G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLCDAAADAFFSLLVNSSSFSCSPRLLLTQFPPRCPLLCWAGCLRLRFKIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVMMEEETGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPEKLASGAHVLGNVLVHETAVIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGKWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >LPERR03G10610.1 pep chromosome:Lperr_V1.4:3:7802357:7802647:-1 gene:LPERR03G10610 transcript:LPERR03G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFMYRAIVQKREGHRGAVGNPFLHDEPSAATTSYKRLATCDSGTYSRPAATTVDAQFLGGTVQSPRWRPAAVAAAGNGTLSPRHHRPSARS >LPERR03G10620.1 pep chromosome:Lperr_V1.4:3:7806395:7807988:-1 gene:LPERR03G10620 transcript:LPERR03G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEAVAGSSEGKGPECDTGSRAARRRRMEIRRLKVVAERGEEEETSRKRRRIDGRGGGGEEASTDEEDREVEVERARYGFTSVCGRRRDMEDAVSTHPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAAAGSLDGEEARWRGVMERSFARMDAEAVASSSRGSDRVGPAPTCRCEMQLPKCDHVGSTAVVAVLGPRHVVVANCGDSRAVLCRGGGAIPLSCDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVISDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNVVRACLRSSGRRERSRSSPTSNLSPRQSSSSGDDAPNDVAPPSAAGSESDDESAAAEEDKACTEAAILLTKLALARQTADNVSVVVVNLRRRRKL >LPERR03G10630.1 pep chromosome:Lperr_V1.4:3:7815097:7827123:-1 gene:LPERR03G10630 transcript:LPERR03G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISSGAAGRWSLRGKTALVTGGTRGIGRAVVDELVSLGATVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMIREVTGIYGGKLDILVNNVGTNIKKPTTEYSADDYSFLMATNLESAYHLCQLAHPLLKASGSGSVVLISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVENELASEDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQMISVDGGMTINGLWWPSVSVREITAVPSVHRNSIASSPLPEGTNRSAGDPEMAAAEASAKVGTPGRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELGERLKEWEARGFRVTTSVCDLSVRDQRERLLRHVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMSTNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVCSGSLYAMTKGAINQLTKNLACEWARDGIRTNSVAPWYIKTSLTEGLLANKEFEGAVVSRTPLKRVGEPEEVSSLVAFLCMPGSTYITGQTISVDGALFLALQLHQLSSTDKRKQRPVFTREMAAAAETSGTTGAPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTVSVCDLSVRDQRERLVSDVADRFGGKLDILVNNVGTNIRKPTTEYSAEEYSFLMATNLESPYHLCQLAHPLLKASGSGSIVFISSVAGIVAIFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGLLANKGFEDSVKDRTALRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTVKGLYPS >LPERR03G10630.2 pep chromosome:Lperr_V1.4:3:7815095:7827123:-1 gene:LPERR03G10630 transcript:LPERR03G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISSGAAGRWSLRGKTALVTGGTRGIGRAVVDELVSLGATVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMIREVTGIYGGKLDILVNNVGTNIKKPTTEYSADDYSFLMATNLESAYHLCQLAHPLLKASGSGSVVLISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVENELASEDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQMISVDGGMTINGLWWPSVSVREITAVPSVHRNSIASSPLPEGTNRSAGDPEMAAAEASAKVGTPGRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELGERLKEWEARGFRVTTSVCDLSVRDQRERLLRHVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMSTNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVCSGSLYAMTKGAINQLTKNLACEWARDGIRTNSVAPWYIKTSLTEGLLANKEFEGAVVSRTPLKRVGEPEEVSSLVAFLCMPGSTYITGQTISVDGALFLALQLHQLSSTDKRKQRPVFTREMAAAAETSGTTGAPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTVSVCDLSVRDQRERLVSDVADRFGGKLDILVNNVGTNIRKPTTEYSAEEYSFLMATNLESPYHLCQLAHPLLKASGSGSIVFISSVAGIVAIFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGLLANKGFEDSVKDRTALRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTVKGLYPS >LPERR03G10630.3 pep chromosome:Lperr_V1.4:3:7814767:7827123:-1 gene:LPERR03G10630 transcript:LPERR03G10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISSGAAGRWSLRGKTALVTGGTRGIGRAVVDELVSLGATVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMIREVTGIYGGKLDILNLACEWAKDNIRTNSVAPWYIKTSLVENELASEDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQMISVDGGMTINGLWWPSVSVREITAVPSVHRNSIASSPLPEGTNRSAGDPEMAAAEASAKVGTPGRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELGERLKEWEARGFRVTTSVCDLSVRDQRERLLRHVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMSTNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVCSGSLYAMTKGAINQLTKNLACEWARDGIRTNSVAPWYIKTSLTEGLLANKEFEGAVVSRTPLKRVGEPEEVSSLVAFLCMPGSTYITGQTISVDGALFLALQLHQLSSTDKRKQRPVFTREMAAAAETSGTTGAPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTVSVCDLSVRDQRERLVSDVADRFGGKLDILVNNVGTNIRKPTTEYSAEEYSFLMATNLESPYHLCQLAHPLLKASGSGSIVFISSVAGIVAIFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGLLANKGFEDSVKDRTALRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTIIDRLTEKDM >LPERR03G10630.4 pep chromosome:Lperr_V1.4:3:7814767:7827123:-1 gene:LPERR03G10630 transcript:LPERR03G10630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISSGAAGRWSLRGKTALVTGGTRGIGRAVVDELVSLGATVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMIREVTGIYGGKLDILVNNVGTNIKKPTTEYSADDYSFLMATNLESAYHLCQLAHPLLKASGSGSVVLISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVENELASEDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQMISVDGGMTINGLWWPSVSVREITAVPSVHRNSIASSPLPEGTNRSAGDPEMAAAEASAKVGTPGRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELGERLKEWEARGFRVTTSVCDLSVRDQRERLLRHVADLFGGKLDILVNNVGTNIRKPTTEYSAEEYSFLMATNLESPYHLCQLAHPLLKASGSGSIVFISSVAGIVAIFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGLLANKGFEDSVKDRTALRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTIIDRLTEKDM >LPERR03G10630.5 pep chromosome:Lperr_V1.4:3:7814767:7827123:-1 gene:LPERR03G10630 transcript:LPERR03G10630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISSGAAGRWSLRGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTVSVCDLSVRDQRERLVSDVADRFGGKLDILVNNVGTNIRKPTTEYSAEEYSFLMATNLESPYHLCQLAHPLLKASGSGSIVFISSVAGIVAIFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGLLANKGFEDSVKDRTALRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTIIDRLTEKDM >LPERR03G10640.1 pep chromosome:Lperr_V1.4:3:7830571:7833098:-1 gene:LPERR03G10640 transcript:LPERR03G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAETALPAAPFVPPPPPPPPPPPQYVPPDEPSALAKLYAVAGDVVGRAKSLLITSGGPLNPSDDDGQRVRRALAQFTAPPSDPAPALPQKDSSSGLSSTAVTWIIVAAGVVGAILILCVLTLWIRRCRRRRRRRPVDPLPLPPPVYNPYYKADTPPQPFVQAPSDHYFNQHHYPTPPQTSGTFSEAGSERPPQHSIDILTELPTTGGSLSYDQLAAATDGFSPENVIGQGGFGCVYRGKLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCIFGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLEHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSQSYMDDTLVGWAKPLLSQATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYADDTYSSIMESGESIGPRSRRAPRSQGNTSSDYSSDQALQDKDIDDRSSAKGR >LPERR03G10650.1 pep chromosome:Lperr_V1.4:3:7837069:7839878:1 gene:LPERR03G10650 transcript:LPERR03G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVSHNVPAMANVFSDADGKNERCCWLRHSLCALVLFSASYFAYFSFFSGDNPVVRDFRQCPFYEPPSPPVAVVARSPTTLAHIVFVIGASNATWPKRRAYTGLWWRPGEMRGHVWLDDEPSGPWQPSWPPYRMLRPDETRFGKEHAAAARMARAVAEAYYEAAGWDQGDGGEEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGATSESVGQNVVHSYSMAFGGGGYAVSYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTAEPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLHAVRSLVAASRHDPSRTLQQAICYHHSSNHRRRFTLSVSVSWGYMVHLYPAAVRPHELQTPLRTFRAWSGSAAGPYTVNTRPEATPNATALPCHRMPIMFYLDRVTEMSTSAPAQAQAGRNWTLTEYVPEALSGERCNATGFDAATKVQMIQVIALKMDPAVWKRAPRRQCCKVQNADEEDTLLVKIHQCKPDEAATSA >LPERR03G10650.2 pep chromosome:Lperr_V1.4:3:7837069:7839874:1 gene:LPERR03G10650 transcript:LPERR03G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVSHNVPAMANVFSDADGKNERCCWLRHSLCALVLFSASYFAYFSFFSGDNPVVRDFRQCPFYEPPSPPVAVVARSPTTLAHIVFVIGASNATWPKRRAYTGLWWRPGEMRGHVWLDDEPSGPWQPSWPPYRMLRPDETRFGKEHAAAARMARAVAEAYYEAAGWDQGDGGEEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGATSESVGQNVVHSYSMAFGGGGYAVSYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTAEPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLHAVRSLVAASRHDPSRTLQQAICYHHSSNHRRRFTLSVSVSWGYMVHLYPAAVRPHELQTPLRTFRAWSGSAAGPYTVNTRPEATPNATALPCHRMPIMFYLDRVTEMSTSAPAQAQAGRNWTLTEYVPEALSGERCNATGFDAATKVQMIQVIALKMDPAVWKRAPRRQCCKVQNADEEDTLLVKIHQCKPDEAATSA >LPERR03G10660.1 pep chromosome:Lperr_V1.4:3:7846379:7851972:1 gene:LPERR03G10660 transcript:LPERR03G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVADAGGGGGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGEGGLYRWWRCEGCGDAAGGFPYDDEAAVAEGPTPGSGRLRRSPTTLSHIVFGIGASARTWDNRRGYAELWWRPGEMRGHVWLDEQPVGPWPAATCPPYRVSADASRFGDRASASRMARIVADSFLETANGTTDSPEPRWFVMGDDDTLFFPDNLVAVLNKYNHEEMYYVGAPSESVEQNVMHSYGMAFGGGGFAVSYPAAAELSKAIDGCLDRYRWFYGSDQRVQACLSELGVPLTREPGFHQVDIRGDAYGMLAAHPLAPLVSLHHLDHIEPIIPSGGGSPLDSARRLVGASRLDTARSLQQAFCYQRGPRYTWSVSVAWGYTVQLYPWAVAPHDLEVPLRTFKTWRSWADGPFVFNTRSLGRDDACAQPAVFFLTAARNESSRSRGRGRARSTTVTEYARRAARPGAKECEKPSFLAASTVRNVMVFAPRMSPNEWTRAPRRHCCSTKRTRFGAELEVRIRYCRRGELTTP >LPERR03G10670.1 pep chromosome:Lperr_V1.4:3:7858867:7859655:1 gene:LPERR03G10670 transcript:LPERR03G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGHSLQGGHQGYSHVGGAGGSNEEEEASPPPAGGGSSSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGATAAAAQMEQQGSGGAVVPPPPMYAAVQQTPAHDMFGQWGHAAVTRPPPTSF >LPERR03G10680.1 pep chromosome:Lperr_V1.4:3:7865686:7870645:-1 gene:LPERR03G10680 transcript:LPERR03G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAAELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEVKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEENRIAQEIQKKREKDGRDQQLEKQKLMDGDASNSRLGPDRVDGEEEKELVSKHSSRTKSRESHRSRSISLSPRGVLQEVPLQSPGEGHHILEDHPLYQDTDPRHLVVDIIFGGNLHLLGVADHHLPAIVDLQVVDQDHHLLDTPDLLGVDYLKTNTGLHLLEDVGHGPLHQADVGHGPLPQEGAGHGPLPQEDVGHGLHLPGGTGHGHGLQTVIPLSSPLSQVEVTKTPKEIPGFTQKSFCKPSTSPHNRSPIHSHRSSSRDIEKETNGIPSSNDVDLSQRTKERSRDDSRNGIEVSGHFSSDSERRKSTKFLNSPNKSERNPARDSSLKSTDKHLPSQDSTDTSGEENGSRARENARKANSSRRKNKDFSADLQLKKARDDLSPGEKSPARSQQSGKETRREQDSLEDERDGRRMKRTVDSPDDSLKQHSTSRVGMYNSYSKDGIYNEDATKGPHDDIATKYPASAKAVESESEDGSPFRKDKKKSNAHIDSGSSDSDESGKHRSRSEKRKHKRSRKHKRHHDDSSDESDSESDDKESKRRRKEEKKLRKEERRRRREERHRRRADRQASKLKLKHAETADIASDLEKDHESDSDADVRKKGSYTGREESDQQKLEIELREKALESLRAKKAINH >LPERR03G10680.2 pep chromosome:Lperr_V1.4:3:7865686:7870645:-1 gene:LPERR03G10680 transcript:LPERR03G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAAELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEVKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEENRIAQEIQKKREKDGRDQQLEKQKLMIVLMARKKKNWSLSIAQERRAGNPTGPGASPCHPVVGDDLFLPGGILHLLPGIPFLLTDSNGLQGVRFHLDVLFLHEGVLQEVPLQSPGEGHHILEDHPLYQDTDPRHLVVDIIFGGNLHLLGVADHHLPAIVDLQVVDQDHHLLDTPDLLGVDYLKTNTGLHLLEDVGHGPLHQADVGHGPLPQEGAGHGPLPQEDVGHGLHLPGGTGHGHGLQTVIPLSSPLSQVEVTKTPKEIPGFTQKSFCKPSTSPHNRSPIHSHRSSSRDIEKETNGIPSSNDVDLSQRTKERSRDDSRNGIEVSGHFSSDSERRKSTKFLNSPNKSERNPARDSSLKSTDKHLPSQDSTDTSGEENGSRARENARKANSSRRKNKDFSADLQLKKARDDLSPGEKSPARSQQSGKETRREQDSLEDERDGRRMKRTVDSPDDSLKQHSTSRVGMYNSYSKDGIYNEDATKGPHDDIATKYPASAKAVESESEDGSPFRKDKKKSNAHIDSGSSDSDESGKHRSRSEKRKHKRSRKHKRHHDDSSDESDSESDDKESKRRRKEEKKLRKEERRRRREERHRRRADRQASKLKLKHAETADIASDLEKDHESDSDADVRKKGSYTGREESDQQKLEIELREKALESLRAKKAINH >LPERR03G10690.1 pep chromosome:Lperr_V1.4:3:7876311:7877732:-1 gene:LPERR03G10690 transcript:LPERR03G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSWLADLRARFGGGREEAGLGILAFEAAAAMSRLVSLDRAMSDVEVRRLRADALRAEGVARATSTDQPLLLRLACGELVADLDRAAATAARLGARCCHRAAPSSFLHDFDRVYAEAKRGNGLARLDAAVGFYRGAAKRFRKMERHVAATARLYAEMDTLGELEASERRMVQWMRHSGPIPAQQPAPASAKRQQQAAEPSEKLMRELRSQRQKVRRLMESSLWSAAAHKVSKLLAKSVLAVLARISIAFGANVPGLPVLTVGRAWAIRRTSGPLQPAASPAAAIRHSAPIFRQKDTAFSASDSIKPPASTVGGSGMELRYANVIVSAEKVLRELWPAIHSDEMDAGMDLSKRDELYKMLPVTIREAVKARLRESWKGQAVDEAAAAASMDAVDRTLRWLAPMAHDTVRWHDERSMERAQRFSMRPRVLMVQTLHFADRHKAEDAIVEVLIGLSCVCWYDDERRRPADWDEDD >LPERR03G10700.1 pep chromosome:Lperr_V1.4:3:7886302:7890975:1 gene:LPERR03G10700 transcript:LPERR03G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSSSGGSSTRPANGAAAINAAATAAGSAEARFHSQPPQQDRRNRWAGCFSGLSCFGSQKGGKRIVPAARMPDGSASTSRGNAHQSGGNSNQNGALNLSLLAPPSSPASFSNSAIPSTAQSPANCYLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTTYSGGSGLQASYPLYPESPSSSLISPASVTPRTGLSSPIPEQEVPTTLWKTSRSACDTPYARASPIPEQEVSTAHWKKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQTYVEIPDGLDEGFSISPFGNNAPAAEVDKSLFNVKVITSPKKSADQLSNESPHNVVHLDIFKGTKGGDLSEDEGSVKDCHPFRKATDEISLKPIEVRKKGSPGHSCSDAEIEYRRARSLREANGVLSWRSTLARQLQ >LPERR03G10700.2 pep chromosome:Lperr_V1.4:3:7886122:7886914:1 gene:LPERR03G10700 transcript:LPERR03G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRSSSSSSRDEPDGPDMARSGRDGGSFPPVTSKSEGNNCASIIISASAPISSPPDFGCRLRRSPASSGASPSSCCFRRVLTGQILGSVGETSVGFRRM >LPERR03G10710.1 pep chromosome:Lperr_V1.4:3:7890333:7890791:-1 gene:LPERR03G10710 transcript:LPERR03G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMEMTTSGESGSCKTKRPPSRLQKHAPATLRLEPPPSPTPTGAWADGRTTIPLLSPLVVTPAAAWEAVDLQAGLNPRREGVMQAGGGGGGGSHPEARSGAARAVDDAPCVGGGGGGWRHPALPTPVAEPASLVPFFQSQCVLEVHNAQQ >LPERR03G10720.1 pep chromosome:Lperr_V1.4:3:7892379:7901899:1 gene:LPERR03G10720 transcript:LPERR03G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRGRARGRSSSVSAAASASAGAASSHSSQPHGCPFAIASSTSSKSESKGSKKTLALAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGAGGGGGSGFWSRIFGGGAAHADEKSSADWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVKNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLVRGEYIVDHNAGTGTALNPEAHMLVVSELLMLLDSTGVETSSVPDEIMKHCASMAK >LPERR03G10720.2 pep chromosome:Lperr_V1.4:3:7892379:7901899:1 gene:LPERR03G10720 transcript:LPERR03G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRGRARGRSSSVSAAASASAGAASSHSSQPHGCPFAIASSTSSKSESKGSKKTLALAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGAGGGGGSGFWSRIFGGGAAHADEKSSADWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVKNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLVRGEYIVDHNAGTES >LPERR03G10720.3 pep chromosome:Lperr_V1.4:3:7892379:7901899:1 gene:LPERR03G10720 transcript:LPERR03G10720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRGRARGRSSSVSAAASASAGAASSHSSQPHGCPFAIASSTSSKSESKGSKKTLALAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGAGGGGGSGFWSRIFGGGAAHADEKSSADWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVKNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLALNPEAHMLVVSELLMLLDSTGVETSSVPDEIMKHCASMAK >LPERR03G10720.4 pep chromosome:Lperr_V1.4:3:7892501:7901899:1 gene:LPERR03G10720 transcript:LPERR03G10720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRGRARGRSSSVSAAASASAGAASSHSSQPHGCPFAIASSTSSKSESKGSKKTLALAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGAGGGGGSGFWSRIFGGGAAHADEKSSADWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVKNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLVRGEYIVDHNAGTES >LPERR03G10730.1 pep chromosome:Lperr_V1.4:3:7902082:7903497:1 gene:LPERR03G10730 transcript:LPERR03G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKTSSWMRQLTSSSRQGRHGDVLYLFFSGLRLQAGVRGTVDPSPGAVPTALRACGRLADAASGRLIHALVLTRPALSSDAVAATALLDMYSKCGLVASARKVFDEMPPRAVDLVVWNALLASYARHGLPEHALALVVKMRGLGLCPDLVTWNIAVSGFALAGDDQMAGDLVGAMEEDGLQPDVVTWTSRISGSVLNFQYDRARALFRAMMAAGGRVLPSSASISTILPTFANVADINRGKEVHGYSIVAGVAQELTVSSALLDMYAKCGVVHEARQLFDNMPQRSTVTWNSMIFGLANSGHCQEAIDLFDRMLRDNGANPDHLTFTAVLTACSYGGMVEAGKSLYQAMQEVYCVEPRLEHYACMVHLLGRAGRLDEAYDFVRAMPLEPDRFVWGALLGACRSHGNIELAELAASRLLAVEPHNAASCLLLSSALASAGKQDEVFKIKRLVKRRRLKKLDSCSWVETSL >LPERR03G10740.1 pep chromosome:Lperr_V1.4:3:7904271:7907544:-1 gene:LPERR03G10740 transcript:LPERR03G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIGPAHSVCVRLGGARSSDRPRKFQRDPSLSPTFVAHPDYRTPVVGGGFLRRQRRRIVRVGMAGDRLSWAGLLKWSLSYFDGSRPSRAAISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVLEAQGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLKDALTSESARFQRKALNLTHYLLSESRSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLRSRSLPAADHDRLRQLLWACIERIRTMAPEDLDAAREERQLVDSLWIACYHEPSRLHEEGLLVLPGEECFEQPPDVAGRFFEPLRRSSPRRAPSNERSDPGDETGGGVMLLLGPAPGNRSNSGSN >LPERR03G10740.2 pep chromosome:Lperr_V1.4:3:7904271:7907161:-1 gene:LPERR03G10740 transcript:LPERR03G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWAGLLKWSLSYFDGSRPSRAAISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVLEAQGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLKDALTSESARFQRKALNLTHYLLSESRSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLRSRSLPAADHDRLRQLLWACIERIRTMAPEDLDAAREERQLVDSLWIACYHEPSRLHEEGLLVLPGEECFEQPPDVAGRFFEPLRRSSPRRAPSNERSDPGDETGGGVMLLLGPAPGNRSNSGSN >LPERR03G10750.1 pep chromosome:Lperr_V1.4:3:7907608:7909011:1 gene:LPERR03G10750 transcript:LPERR03G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAAVLPPSPAQAAPLFPRYAALRSGRIGGGGCGGATRPLRLTRSRRGRAAKAAEEEVDAAMEQAEAAMRVAADDDSITATVVSVLLTVAFVGLSILTIGVIYLAIQDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKF >LPERR03G10760.1 pep chromosome:Lperr_V1.4:3:7911732:7912571:1 gene:LPERR03G10760 transcript:LPERR03G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPATVVCPECDGGFLEQFPQPPPRGGGGSGRRGSMNPVIVLRGGSLAGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEAGAAAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGQVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >LPERR03G10770.1 pep chromosome:Lperr_V1.4:3:7917735:7919227:1 gene:LPERR03G10770 transcript:LPERR03G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLVILCVFVFLAREAAAKGGTVLPLRVRVQEVEAPAANRLRFRHNISLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSSYATPPSPPAFNASGSSSYGAIPCPSPACKWRGRDLPVPPSCDTPPSNTCRVSLSYADASSAEGILAADTFLLGGASPVSAYFGCITSYSSTTSSSTTTNDTSSEAATGLLGMNRGALSFVTQTRTRRFAYCIAAGDVPGVLLLGDDGGVAPPLNYTPLITIPQPLPYFDRVAYSVQLDGIRVGAALLPIPKSVLAPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQAQARQLLSPLGEPGFVFQGAFDACFRGAEASVAAASALLPEVGLVLRGAEVAVAGEKLLYMVPGERRGEGEDEEAVWCLTFGNSDMAGVSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAAA >LPERR03G10780.1 pep chromosome:Lperr_V1.4:3:7920545:7924144:1 gene:LPERR03G10780 transcript:LPERR03G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRSDIEAGGFPGFAPERRAMRIHGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLIWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPQTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAQQGSASSRKSDGPSQPSVSSTGSGNEKKQDHFKTDGTDNSPEDELTCSVCLEQVVAGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEVDASNMV >LPERR03G10790.1 pep chromosome:Lperr_V1.4:3:7926161:7930179:1 gene:LPERR03G10790 transcript:LPERR03G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPAPRCLAIPISSPLAPLSPMDHGGGGGGKMMMMKETAAAESASTNERTQSFNGCNSEKFCHVQSPHKKRKSQCELSDRREDEFSRTESLGCDSIFVSKNCDMDMVNRVEEMESCDNTQSLFGGFIEVDSINGIESDRVVKVRAFSSSSSSNNISSDAFTSSRSRGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEGAYDVLGQYDVLIKNELAGGDVDAAHIMDEKLYSNGVEDLLILPRGQNGFHDEKNKLTIDQEFEQYFTRLML >LPERR03G10800.1 pep chromosome:Lperr_V1.4:3:7939265:7939654:-1 gene:LPERR03G10800 transcript:LPERR03G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNNGSRRVPGGVGGGATGGDPFLKRSASSRDHQVIGRGRVVGSGGPAVVLEPVRRAREGAAGALLHHAPLRHHARLLEGLLVAVACGIPSPSRRRHRLPEIRSLVVLPAAPPPD >LPERR03G10810.1 pep chromosome:Lperr_V1.4:3:7950677:7952928:-1 gene:LPERR03G10810 transcript:LPERR03G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHRLVLFLHGTLLLLLLPQLPLAGAVTRYYTFNVKLQNVTRLCNTKAIPTVNGKFPGPKIVTREGDRVVVKVVNRIQHNITIHWHGVRQMRTGWYDGPAYVTQCPIQTGQRYVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKLGVPMPFPKPHKDVPIIFGEWFNADPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSSQDTFRLKVRAGETYLLRLINAALNDELFFSVANHTLTVVDVDAAYVKPFDTDVVLITPGQTTNVLLRAKEPGCPPATHLMLARPYATGRPGTYDNTTVAAILEYDAQPGKIKSLPLFRPSLPVFNDTAFAADFAAKLRSLGTQEYPANVPRRVDNPFFFTVGLGTTPCPGGNQTCQGPTNTTRFAASMNNMSFDMPTTALLTAHYMGDSGGVYTADFPATPLEPFNYTGTPPNNTNVSNGTRVAVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGNGNYDPDKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPSDLPKC >LPERR03G10820.1 pep chromosome:Lperr_V1.4:3:7978630:7981477:1 gene:LPERR03G10820 transcript:LPERR03G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDSVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNSPCPSSPNANTNIFDIAGHFSRPGANTDELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETPVTA >LPERR03G10820.2 pep chromosome:Lperr_V1.4:3:7979038:7981477:1 gene:LPERR03G10820 transcript:LPERR03G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDSVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNSPCPSSPNANTNIFDIAGHFSRPGANTDELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETPVTA >LPERR03G10830.1 pep chromosome:Lperr_V1.4:3:7988368:7995802:1 gene:LPERR03G10830 transcript:LPERR03G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDEGAASSGGGGGGGFFSSIAAGVRSWGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEAFRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPHMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHNGITFIAEQVSHHPPMGAAHCENEHFTYDITSKLKTKFLGNSVEVYPLGRTRVTLKKSGVSLELVPQLTKVNNLIFGRTWVDSPGELVMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYTADDEPKIMMTGKWNKSMSCQPCDQEGEPLPGTELKEIWKVAPTPPNDKYQYTYFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPKWFNLTDEISPTPWGDLEVYEYNGKYTEHRAAIDSSSVADDTDVTSIEFNPWQYSSSSSQ >LPERR03G10840.1 pep chromosome:Lperr_V1.4:3:8016934:8022609:1 gene:LPERR03G10840 transcript:LPERR03G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSQVAEMPRVPKFARGKRSIRKKESPGLMMCAFDLLASVAGKLLDEGECSMGNASSGAPVVDAPPPKDVRVKQEHCDEVKHFKNEVMDQDSCNESALVSHIAFQRPANHHGRKGEDLEVSHAVNEDPKGKSDALDKESSMISCTKAEFAAIADRWSPESVESGAFTGDAAANVIPKNAPDMYNLLDPMDVDVKPPPLVSSDSTGETPIRRSTSFPRVPKGGEGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSTLVSEEYAKLNAKAKFAARDSASLEANKGTNSMAFQKSHESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLLQAGIAHDDVLDNLGFSLEPNCAPHPSQLSPSEDNEFLETVDTTEPLARIAPADSSSKHGEADASQVLALAPLSANYQGNDPDFVHSPGGMSSPDKASTNSRAIVPVTPDSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPQAEALLLT >LPERR03G10850.1 pep chromosome:Lperr_V1.4:3:8030884:8038303:1 gene:LPERR03G10850 transcript:LPERR03G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQGQYGGAGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKTTEEQQYTAPKVRQSQWDRGGPNVPNQIPVYAYNEGQSAQGAQSFYDGQRSDLKVGLDKQPSKESRDQPRNDRFEARHEDYNLPRTFEGLEKNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQANYREEFLRKESQARQQQYRQASMSSYANNVMPGETHRYTPTAATPPPPPTAAATAGGTYGEPHQGYASAQYDTFRERPDYPEFRSRGRGQAHALEQHGQFPGGRAYNSGGRRF >LPERR03G10860.1 pep chromosome:Lperr_V1.4:3:8035874:8038626:-1 gene:LPERR03G10860 transcript:LPERR03G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPAFSAPNSSGSKNSSKNGADSSTFGTKASTSVPPTPRSETEILESANLRKFTFGELKGSTRNFRPDSLLGEGGFGWVFKGWIDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVRLIGYCYEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRIKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTTKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWAKPYITNKRRVIHVLDSRLGSQFTLPAAQKIAALAMQCLSMDARCRPGMDQVVTVLEQLQDGKKPGK >LPERR03G10870.1 pep chromosome:Lperr_V1.4:3:8060117:8060473:-1 gene:LPERR03G10870 transcript:LPERR03G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKGTSRVNWVAASAMHVLVIAFVIVVGFLHAKTSNLTPFMPYGVPGVFRAAAIVYLAYGGFDNIATMAEETKNPSRDIPLGPARLHVGDHAASELTRAVDWSGHTHERTQLSGSE >LPERR03G10880.1 pep chromosome:Lperr_V1.4:3:8067881:8070414:-1 gene:LPERR03G10880 transcript:LPERR03G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSHTWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHVACAALLNPSAAEPLVWPSPLKFISELDPDAKALLEAALMEANREREKRTLKGSKNDSPLPLPLPSHSDDVVNDDATILEEEAGGGGEVCSICFEQACTIEVRECGHQMCAACTLALCCHAKPNSAVATPCQQTMPTCPFCRGGISRLVVATRTRATGDEDDDDEAAGGRMESPRHRRSRRAVSHLSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDVDKP >LPERR03G10890.1 pep chromosome:Lperr_V1.4:3:8077848:8078437:-1 gene:LPERR03G10890 transcript:LPERR03G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATDPCHGRPSRGETVRVEEIARINTEPRPPRRDDLIYDEEFQLVVRDVIIGWDNVLFALGETWTDHDIFEGLEGLEFEDEMRMEVKKRRLH >LPERR03G10900.1 pep chromosome:Lperr_V1.4:3:8080009:8085363:1 gene:LPERR03G10900 transcript:LPERR03G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSRLAALSGLHRLRRQPPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPIAGVVFLAVIVVGRFWRRFIGVSASAPLLVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLWGLYRIFSGDPGIVAYESSFFEEAGYKDFVEAICPSEKLSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPLSVNMVIGTMLFSVLQVLWQVVFLIWHIYCICFNIKTDEWLRGEIFQINWKKYPEFQMKEQPQSDSEVKFVNPYDKGVLSNVREFLKPR >LPERR03G10900.2 pep chromosome:Lperr_V1.4:3:8080009:8085363:1 gene:LPERR03G10900 transcript:LPERR03G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSRLAALSGLHRLRRQPPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPIAGVVFLAVIVVGRFWRRFIGVSASAPLLVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLWGLYRIFSGDPGIVAYESSFFEEAGYKDFVEAICPSEKLSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPLSVNMVIGTMLFSVLQVLWQVVFLIWHIYCICFNIKTDEWINWKKYPEFQMKEQPQSDSEVKFVNPYDKGVLSNVREFLKPRRDLGAPPKYIHLVSLEKDSL >LPERR03G10900.3 pep chromosome:Lperr_V1.4:3:8080009:8085281:1 gene:LPERR03G10900 transcript:LPERR03G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSRLAALSGLHRLRRQPPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPIAGVVFLAVIVVGRFWRRFIGVSASAPLLVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLWGLYRIFSGDPGIVAYESSFFEEAGYKDFVEAICPSEKLSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPLSVNMVIGTMLFSVLQVLWQVVFLIWHIYCICFNIKTDEWLRDSEVKFVNPYDKGVLSNVREFLKPR >LPERR03G10910.1 pep chromosome:Lperr_V1.4:3:8086731:8089591:-1 gene:LPERR03G10910 transcript:LPERR03G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHAAAAAAKVVAAAGAWLVRAGARGGGGEMSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVTGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSNSNPSSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGYGGYGGYDDHRERNGNNADDKKPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKHSKMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPRSPPREPEPEPVKEEEPEPDMNDIKALPAPEDYSEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLNKQKATMTGGMDSLLLNGMYDQGAVRQHVNAQVTTGSASSVALPQPGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLNKLDRAYNNGFAPNPAMPYGMPTAYNTNPMPMAYTANTGYYYPTY >LPERR03G10920.1 pep chromosome:Lperr_V1.4:3:8100452:8105950:1 gene:LPERR03G10920 transcript:LPERR03G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEANPNPNADGNTTADSAAAAEAAAASPQPPPPASSSESAEGKEAKEEEEEEAGDLVGQLVKLVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKESPRPLPEASYSALRRLREALADSRELLRLGASGSKIFLVLEREKIMKSSQDITARLEQALGLISFDELEISDEVREQVELVHAQFKRAKERSDLSDDDLFNDLVSIYNSSTSSSVDPDILQRLCEKLQLVTISDLNQESLILHEMASGGDPDAVVEKMSMLLKRIKDFVQGRDPEMGTTASITELSGNDNKASPIIPDDFRCPISLDLMKDPVIVATGQTYERGCIEKWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPATCTAAEHSKVVELLQKLSSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKVTIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLVPILLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAESGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAQAQSQALSEAQSQADMQVEQLLLPTSSHLSDRRDD >LPERR03G10930.1 pep chromosome:Lperr_V1.4:3:8135647:8137012:-1 gene:LPERR03G10930 transcript:LPERR03G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNNQQQQGQPPRPANVDAAQHQQLPPAGTTAAPGEVGGLPSSSSSASAAAAAAAAQQAVRPNSMAERARLARAPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRRVLLQAVLIPGGGGASPMPGGGGGGAIMTPAVMSSMSHHHLPFLGAAMHPTGPNLGLTFSTAGFQALGGMHHHHVDAVDQFPVASGGGASLEQWRLPQQPSHSQQQFPFLGGALDLPPPPPPMYQLGLEANRAAGTGSTTAAEAFTLGQTSAAAAATSRQEGGGSMKLEDSKGLEMSLQRQYMAALRQGDGIWGGNGGDGGGNGGGGGGSWTMNFPGFHSSTSADGGGDDGGGVM >LPERR03G10940.1 pep chromosome:Lperr_V1.4:3:8153906:8158085:1 gene:LPERR03G10940 transcript:LPERR03G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDAKLWPFKVLAGPGDKPMIGVQYKGEEKQFSAEEISSMVLNKMKETAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPADDKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPSAAGGSSGPGPKIEEVD >LPERR03G10950.1 pep chromosome:Lperr_V1.4:3:8159052:8159896:-1 gene:LPERR03G10950 transcript:LPERR03G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMPTTPSSSATMSPSLTHEPDEMNAAHRPDDMEVGQQPSQMDTEHRPDGMEAMKQPDDMEVAGKQADAMEVASKPTQSHLDTNTAPQLIREKIGQTYDYLPQDYGLSKQDEIAVATVLFGDPKHTLVSIDDVFVKKYEMDCLL >LPERR03G10960.1 pep chromosome:Lperr_V1.4:3:8162946:8164839:-1 gene:LPERR03G10960 transcript:LPERR03G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAKSTSLSFTDKCRNILAASWEGHLNTIKADAKGSKGEIHTSRVNYMVDKGTPYLIVPENDRHNVNIVIDERGSLSVCSPIPGRLTTLLKSLGKLPPRIAMTGDVLLLKDTKVPIIADNLKKAIMKEHNAASEASYGVSAILSSASITCRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSSGNSHNIELDNFVPPKADLLLPFSAKLIDGINRSDSRRRALIIFIFEYFNVTATDAVLLSIDHHGFDVLAKVPDRAILLDVPRQYLWKEFRFSFKEAAKDIEDFCRMLVELEEEVLQSVKSYSGLG >LPERR03G10970.1 pep chromosome:Lperr_V1.4:3:8165220:8167232:1 gene:LPERR03G10970 transcript:LPERR03G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGLYINPKKFGGAAKPCMLEMVAFLNCLALNKQNDDKCVRQKDLLVACTQAQKGRPKNAAKTINYHLQRLGRDKGL >LPERR03G10980.1 pep chromosome:Lperr_V1.4:3:8167697:8169498:-1 gene:LPERR03G10980 transcript:LPERR03G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPSDDDGPAIGIDLGTTYSCVAVWRHGRVEVIPNDQGNLTTPSCVAFTDTWRLIGDAAMNQAAMNPVNTIFDAKRLIGRKFSDASVQGDMKLWPFEVSSGKDDRPMIVVKYRSEKKEFSPEEISAMVLSKMKETAEHYLDKNVDKAVITVPVYFNDSQREATMDAGAIAGLDVLHIINEPTAAAVAYGLAGKIAGSSSDDGKEKIKKVLIFDLGGGTLDVSVLKIDPGVDIDMAIFEVKATAGDTHLGGEDFNERMVKHMVREFLRRHKRPEIRTDRRALRRLRTACERAKRTLSSTMQTTIEIDSLHAGVDFYATISRAKFEELNIDLFTRCMDTVEKCLRDAGVTDKRTVDEVVLVGGSTRVPKVRSLLQDLFDGKELYRKINPDEAVAHGAAVQAAIINGDATEDELRDVLLLDVTPLSLGVETRGRDMTVLIPRNTTIPVRKEDDFTTCSDNQTTALIKVYEGEGERTKENRLLGKFELTGIPPAKRGVPEIKITYDIDANCVLKVTAEEKTTGRSKSITITSDKGRLSKDKIEKMVKKAEKYKAEDEEEMKKAEDY >LPERR03G10990.1 pep chromosome:Lperr_V1.4:3:8169732:8173737:-1 gene:LPERR03G10990 transcript:LPERR03G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQPPQAHHHHHRLRWLRALLLALPLLGVPFLYAALGAAPRPPPPPAGERADLEAFVRGEEAPRRHGNVRVAAAGEWGPVSRRGPTELAATLHAAALLLREFDGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARPIIVDSALQILNKTDVVTTKEKRSLPSAFKIFVGSSWVIISRSFLEFCLLGWDNLPRTLLMYFANFFSSSEGYFHTVICNSKYYQNTTVNDDLRFMAWDNPPRTNPVNLTTEHFDAIANSGAPFAHNFASDNPVLDMIDTKLLKRAPERFTPGGWCLGSSESDKDPCSFFGRITVTQRGVVHLQLETQVKGLKSYVYTVFKSLSLDTDKQWSGIGIQLYMWAYPSYSAASLCGLAAEFLRDVIEASDGLSKNRASTDC >LPERR03G11000.1 pep chromosome:Lperr_V1.4:3:8176867:8180639:-1 gene:LPERR03G11000 transcript:LPERR03G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGSENAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPANTAEEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAEPEAA >LPERR03G11010.1 pep chromosome:Lperr_V1.4:3:8183340:8185549:1 gene:LPERR03G11010 transcript:LPERR03G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLTPPISPRGDFFRFSIFLFLRLCDGREGGGGGGGSADELATTAPTGDEQPAPLPPPPPTADAVMGESLLTALSMDTATAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCIHAAAAVPPDINLPLDADPSFPPPPSAAAAALHDSNVDMLDVGLGGPQLYDSDSPAATTTGVATAPAAAAATNTTVAVSHAKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKGGKAKAPTAGGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPEADLKRWTDLTGRDANFSVDAEASDYESWRNLPSTDFELERPATAAVAKSSSHGHHKKLLNGSGLNLSTQPSNHGSGDGLDIPNICNKRRKESSPTAMEEDCSNSNSDKVQDMDMSHTFEPSWVNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTPTNGIVKVSCTSVGRLPFLKRHDRTFKLVDPSPEHCPPGEFIREIPLPTRIPEDANLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >LPERR03G11020.1 pep chromosome:Lperr_V1.4:3:8197166:8199472:-1 gene:LPERR03G11020 transcript:LPERR03G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKEISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVDARNALENYAYNMRNTVKDDKIASKLPADDKKRIEDAIEDAIKWLDGNQLAEAEEFEDKMKELENICNPIISKMYQGGAGGPAGMDEDAPNGGGAGTGAGPKIEEVD >LPERR03G11030.1 pep chromosome:Lperr_V1.4:3:8200470:8200988:-1 gene:LPERR03G11030 transcript:LPERR03G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVKPALAYIVVYVEDVPKSAAFYSTAFGYVVRRIDESLKWAELESGATTIAFTPRHQRETDALTGEVQLPESAGERGPVEICFDYEDVDAAYRRAVDNGAVPVSAPEQKSWGQKVGYVGDIDGNIVRMGSHVRA >LPERR03G11040.1 pep chromosome:Lperr_V1.4:3:8203833:8204723:-1 gene:LPERR03G11040 transcript:LPERR03G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTKACRCLVLVSLALLPLTMAMDSIGNYCSGNSLAGNSKAVASINSVLTDLVAKGSTGGFATSSAGKGNTVIYGLAQCRGDVSASDCQACLADAANQILSCNYQSDSRIWYDDCFMRYENANFVGQTDTDVGVILVNTQTMDNAKAFQKSVGKATGKATAQAATAGSGGLGRAKEQYTPFVNVYALAQCTRDLSPLACAQCLSTAVSRFGQYCGAQQGCQLNYSSCRVRYEIYPFYFPLAAGNARAAATDMTKYTKIVVHR >LPERR03G11050.1 pep chromosome:Lperr_V1.4:3:8209977:8214182:1 gene:LPERR03G11050 transcript:LPERR03G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSSNGERTARPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKNKA >LPERR03G11060.1 pep chromosome:Lperr_V1.4:3:8215498:8219023:1 gene:LPERR03G11060 transcript:LPERR03G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTETVIRFVENRPFNDQRYFLDDQKLKKLGWAERTPWEEGLKKTIEWYTNNPGYWGDVAGALLPHPRMLMTPGVERHNWTEEIKCLSTSDEAKESSTAAPGASVKNTSSAPQKASYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCCEQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYTKYINPDFKWSNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLIKNVFEPNRKVPAN >LPERR03G11060.2 pep chromosome:Lperr_V1.4:3:8215980:8219023:1 gene:LPERR03G11060 transcript:LPERR03G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPCSRMGKEAKMAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTETVIRFVENRPFNDQRYFLDDQKLKKLGWAERTPWEEGLKKTIEWYTNNPGYWGDVAGALLPHPRMLMTPGVERHNWTEEIKCLSTSDEAKESSTAAPGASVKNTSSAPQKASYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCCEQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYTKYINPDFKWSNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLIKNVFEPNRKVPAN >LPERR03G11070.1 pep chromosome:Lperr_V1.4:3:8220214:8224078:-1 gene:LPERR03G11070 transcript:LPERR03G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDMTLDDIIKNNKKANSSSGGGGGRGGRREGRRGSAAGGGGSGGGGSGGGVGPTRRPFKRSGNRAEPYQPPKAPESAWQHDMYSDVAAGGGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKRYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTSAAALPANNGGYVRNIAKSAPRGGPAGLQQGRHRPRGGGRRRGGGGGGGGGGGSGGSGGRRGKERNQPKSAEELDADLEKWLMWEIWYDVEF >LPERR03G11080.1 pep chromosome:Lperr_V1.4:3:8228163:8230584:-1 gene:LPERR03G11080 transcript:LPERR03G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVAVAEGRPWTDPPIVKETPGSGAPTPARKPPLPAGGGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGASGAESGRQPPRSKSTQDMYTRQQLEASAADKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNAGAAQGDVMQVVSQGFGRLSLVAASAAQSAASVVQVGTKEIQSKMREGGYDQRVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYTKEGGNGWGDDWQRREQGSEPYQRFEHETNGNGNGWNFSHDGSSKNYNSNSWDDWDEQGKKDEPAKERQSSDSWAGWDDGKDDSFDSYNHSTPSKGSNQNGTSGGSYWTEGGFR >LPERR03G11090.1 pep chromosome:Lperr_V1.4:3:8231051:8236395:1 gene:LPERR03G11090 transcript:LPERR03G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVAAVAEVHAAVEEDQAVPATAAVGAGVNATVPALYVGDLHEGAREEHLLEAFGKIGTLTSVRVCRDNATSSSLRYGYVNYLSQADAATALEMLNHSHILDKPIRVMWSNRDPDARRSGVGNVFVKNINDSVDNASLQELFSKFGDILSCKVARNEDGTSRGYGFVQFALQESADASIENLNNTLFCDRQLHVATFIKKSERSANNDDKYTNLYMKNLDDDITEELIKLKFSQYGSIISVKIMKRDDGTSKGFGFVSFHNPENAKKAKEAMHGMLIGSKSLYVARAQKKNERKHYLQRLREEKRNEIIIKSNGSNVYIKNINDEVDDGALRERFNEFGNITSAKIMRDEKGISKGFGFVCFSTPEEAKCAVSSMRGVMFYGKPLYVAIAERKEERRAKLEQRFAELATMVGAASPVIPTGYPHFYFAHPSTQLPQGPSRQGFMYPPMGLGQEWRHNMFPSPHNIQQIHSPIMPTTPRQYRNNRGRMNGNMMHFHHTVNYVPHAQPTKEIMSMSRQRFNHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLADAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELDNCEVVMLLYSSNMLSAKVEECVKLLQAIKSKPEDQEALHPGFLLDSAGVNAN >LPERR03G11100.1 pep chromosome:Lperr_V1.4:3:8237227:8238662:1 gene:LPERR03G11100 transcript:LPERR03G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDDCKIRFLQLKAKRTYRFIVFKIEEKLKQAVVEKLGEPNLTYEDFAASLPANECRYCIYDFDFITSENCQKSKIFFIAWSPDTAKIRSKMLYASSKDRFKRELDGIQVELQATDPTEMDIDVIRGRAN >LPERR03G11110.1 pep chromosome:Lperr_V1.4:3:8240409:8250911:1 gene:LPERR03G11110 transcript:LPERR03G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPQQRQQPASTAALPFKITTVNDLFPFLQGVPVTYRFQKKNVTLEGTVAAGGYACACPPEARCGYRGKVLAALQFEKHAGVTTNNQNGHIFLRNGRSLYKLFHALREVPAEKFAEEFRMAAGVPMTVLAAAAAAASSADEAPPRDQPGSVVAAELPPASATPRPQRGTVELLTEEEKASLSLLGLRASGTRTETNAMDGIEGLASEAIGNAPSSDHAMPDVEEMGNAAVERPRNRGIPTTTTVKVPVTAGKDYAMADAKETRNADLLQPRDIALSTTSAVKVEITAANDFAMPDAEQTRNTALEQPWDSSLSVTTPVRVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKNNKILNASEFEQHSGESSNNQNNHIFLDSGISLYMVIQILKYTKLDMLGDVIEKAICLPPNMIQYEKWKASFQLEKDDFGDAPSNPCSTQSSQESDSSLKNSLKDSTSNASSILNWSSFRRRSDRQFKRGGAETSTPMLSRSPDKETSGLSTGTSMKNGTEETPSENSAGFGIKRNSAGPVALRSTSSECDPVNIAIPLPSPVAVIQDHPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDFALLYYMLKNGEFTPSQFENHVGMGKRRQPYRSIYNSEGISLHALALKLQDGLSSNAMSSAVSSTINELPTLTSGSGKESATTSRPIIVPLKRTLQERVLQVESCYMCRDSRTVLGVISVDMIVFCNQCERACHVKCYNKGPQKQKAPLKVLEEFMQFNFMCCEKCQMLRSSLHEGLKKREEIAFLRQTRSSICWQLLSGMNTRNNVQQYMHQVIEIFKDAFAETAAQDIDVIQDMVKSKDTTGEKDFRGIYCAVLTTSTLVVSAAILKVRAEEVAELVLIATRNECRKKGYFLLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSNKLGYTILSDEQKHSMLVEHPLVMFENLSLVQKSLA >LPERR03G11120.1 pep chromosome:Lperr_V1.4:3:8249630:8250844:-1 gene:LPERR03G11120 transcript:LPERR03G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLLRRLTRGSTPVSLSSSASSVLRSTFCSSSSSGPSPTAQSPLSSVFGDDTEVSNVPPLTTPKLFISGLSRLTTDEKLKNAFAPFGQLLEAKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPRQQKPAPHLDTDSSHLGFTTNKTVGWCG >LPERR03G11130.1 pep chromosome:Lperr_V1.4:3:8251430:8252077:-1 gene:LPERR03G11130 transcript:LPERR03G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAMMAAATTSCSPRRAPAVLRPVASSSPAQQPQRRRQQLKQLPGLLATAASAAAAAAVVPLPALAVEMEKAALFDFNLTLPAIAIEFLLLMVALDKLYFTPLGNFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVMKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >LPERR03G11140.1 pep chromosome:Lperr_V1.4:3:8252631:8262945:1 gene:LPERR03G11140 transcript:LPERR03G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLPASKSSSKEGGGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEASKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAGLRGIVVVDMMLRCGRLAGLASRVVGAKSFSTEVFVSRLSFYTTEEELRNVFSPCGTIEEVRLVSDNQTGRPKGFGFVKYSSQTEAEKAVKSMDGREGTLDMMMRGGQLAGLVSRVVGAKSFSTEIFVSKLSFYTTEEELKNVFAPFGAVEEARLVRDNQTSRPKGFGFVKYSSREEAEKAVKAMDGRVFPLLI >LPERR03G11140.2 pep chromosome:Lperr_V1.4:3:8253360:8262945:1 gene:LPERR03G11140 transcript:LPERR03G11140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAGRFGLSGGGSQVFQHGGLSFYTTEEELRNVFSPCGTIEEVRLVSDNQTGRPKGFGFVKYSSQTEAEKAVKSMDGREGTLDMMMRGGQLAGLVSRVVGAKSFSTEIFVSKLSFYTTEEELKNVFAPFGAVEEARLVRDNQTSRPKGFGFVKYSSREEAEKAVKAMDGRVFPLLI >LPERR03G11140.3 pep chromosome:Lperr_V1.4:3:8253360:8264394:1 gene:LPERR03G11140 transcript:LPERR03G11140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAGRFGLSGGGSQVFQHGGLSFYTTEEELRNVFSPCGTIEEVRLVSDNQTGRPKGFGFVKYSSQTEAEKAVKSMDGRILRGRLIFVEIAKEGKSK >LPERR03G11150.1 pep chromosome:Lperr_V1.4:3:8257142:8257706:-1 gene:LPERR03G11150 transcript:LPERR03G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASTGAGGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAKEAKSPKKAAGKSPKKA >LPERR03G11160.1 pep chromosome:Lperr_V1.4:3:8266101:8266667:-1 gene:LPERR03G11160 transcript:LPERR03G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGTGAGGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAKEAKSPKKAAGKSPKKA >LPERR03G11170.1 pep chromosome:Lperr_V1.4:3:8272403:8276329:-1 gene:LPERR03G11170 transcript:LPERR03G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLLLLHPRAPLPHHRPFRISTPPPLARVVCCSAAAAPAEGFISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNIVAAAPVLYCKRVLSSSKTARAVLINAGQANAATGDAGYQDAVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLPRLVGSLSSSIQGANSAAVAITTTDLVSKSISVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDIWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGSSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANNESEAAKIARSVASSSLVKAAVYGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGAGNGKAWGCDLSYKYVEINAEYTT >LPERR03G11180.1 pep chromosome:Lperr_V1.4:3:8278718:8280384:-1 gene:LPERR03G11180 transcript:LPERR03G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCYAPSSLLLPLSDDYVKCEASNSPARTTDFERMLAAAPDYDLFRHMSFSPPPENLQSPTLFTTRSSENYLGENSIYGAVARPPYTQLSYPQPTAATATFTPVTVILFTYLKTLHLARWTAASEPMIPDGDGSGFRSSKRLKTATTATTQNPRHGLKCHAKPRNQPAKAACKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIRQLHHQIQILTAPYSGTSPSSSSASQQDAGGGGGATTELRRRGLCVAALSPAVVSLVAEGAANGHRRADVEDQRRSWFSGQ >LPERR03G11190.1 pep chromosome:Lperr_V1.4:3:8284325:8285053:-1 gene:LPERR03G11190 transcript:LPERR03G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPQPPSPSAAAGGAASPAPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDEFLLNEFAALSAAGGFSDEDDDEDEGSDGEEVSGEARRRRTLAREEAKLEKEIVRLVLAGEADEALKPNSGQSVAVGDHHICVGFHDEPGGEYRIWEWHGHVMIFDDGDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKELSSGLRDLIVGDGGGTNGSKENGNGKAQPRVLRRNVINSPAAPAR >LPERR03G11200.1 pep chromosome:Lperr_V1.4:3:8285716:8286291:-1 gene:LPERR03G11200 transcript:LPERR03G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQQADEAAAFSLFDSSDMARILMLFAGAHGGGGGGGVVMASSAPERTFECKTCNRQFPSFQALGGHRASHKKPRLGDGGGDADQPAAPKPKVHGCSICGLEFAVGQALGGHMRRHRAVMSDGALGLGLSLSLGVDDGGKKKKAAAAELVFDLNVPAALEEEPDRARTAAGIAAVEFPIVVDFPC >LPERR03G11210.1 pep chromosome:Lperr_V1.4:3:8295537:8301763:1 gene:LPERR03G11210 transcript:LPERR03G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPLPFLTPRPERRPLELRWADGGSQSSVRRSGVGLTGGGGGGSEKDSEVNVQVVLRCRPLSEEEQKGNVQSAISCNDLKREVTVLHSIFKQADKTFIFDKVFGPKAQQRAIYDNAVAPIVNDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKAGELPSTAGVIPRAVRHIFDILEARKADYSMKVTFLELYNEDMTDLLALEDQNRFPEDRQKRAISLMEDGKGGAVIRGLEEVVVYSPSEIYDLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELMKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETIVTLDYAYRAKSIRNKPEANQKVCKSAMLKDLYQEMERMKQDVKAAREKNGIYIPHERFALEETEKKTMKDKIESLELSIENQRKEADKFKGLYLAEQEHRLSLESQNKELKMKIESCKKEFLDLEEAHSRANISLKEKDFIISNLLHAEHSIVERAKELSGALENASGDITALVDKLGRQSNTEAENEGLLSDFRFQLDSSLELLRNTVVGSVCEQRQFLESMNEENKKYFSVKSESTSHLETRIAKAKDIYASGVQCMNELAKTLHQHSTVHSEQMGLNILSHATRAGNFLAVMVSEVEQVINDVSKSISELKELLAFSAEQQELGLKRSLMSAQVMSKTSVDFFEDIRAHASRLIKHMEQSQIENSSQLLKFEHDFKELSVREEQAALDKIAGILSGLTAKKSTMVSNCVGQLNGKCREEQKHLKLQMSNLQQVSDSGIKEAATYAATVESQFMEDKLSHGKIKDRMEDILQKSLKKTVQSVSYLSHAELSLDHLNKISVVEADDFIEETRNGSEIILQKMLMVSTQNDAKFHAIASDMLTAVKDSHLLDHETRKKTEAVFATSSDHLEILNAKHSQGTESIRSMTTKCLERDYKANSPVRRRPRDLMTNAYSLESIDQLRASVPDLVVKFRSENNVDEVGEGKRYLDQGTHTPPRSPLMPVNHYNK >LPERR03G11220.1 pep chromosome:Lperr_V1.4:3:8303896:8310705:1 gene:LPERR03G11220 transcript:LPERR03G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHAFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEEEKLMLQKSKELDAFDQQNHGAVPQYHDRNDSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEASAKVEAPSTDTICPEGQEKLKLKSLFPISFTEEKTDQKSKRGNGRPAELDLFRIQTDVRRRLALLGAGALATGLLKSSSAYAEGMIPEVPKNYKSYVDANDGYSYLYPSDWRDFDFLGHDSAFKDRNVALQCVRVGFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANGRRWSRLRNRLKVVAESFKLSELSA >LPERR03G11230.1 pep chromosome:Lperr_V1.4:3:8308846:8317116:-1 gene:LPERR03G11230 transcript:LPERR03G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGTGGGDGLGPGEEEVEEDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVVYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLSVEWLRSQIGLVTQEPALLSLSIRENIAYGRSTTFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHESPKDQSPPSEQTIDNGIPLVATERAPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSEQDDTSSEESEPDELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEAGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLIISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVHGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLSIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPTRPELLVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDMNGLYVRLMQPHFGKGLRQHRLM >LPERR03G11240.1 pep chromosome:Lperr_V1.4:3:8323201:8324660:1 gene:LPERR03G11240 transcript:LPERR03G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSPASYIHMVQHLIERCMTFGMSMEECMETLSKRADVQPVVTSTVWKELEKENKEFFDKYRQLRSEKGGAVSSSS >LPERR03G11250.1 pep chromosome:Lperr_V1.4:3:8327665:8331235:1 gene:LPERR03G11250 transcript:LPERR03G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVNWELKGCCDHNQKVFIAAIGVSTVVILLLWRTFVLTPFKLITVFLHETSHALACKLTCGDIGVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMIFILASTKLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGFIVFIAVVWVIQEFTSFHILKYVILFTGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGAAWGVIWSDL >LPERR03G11260.1 pep chromosome:Lperr_V1.4:3:8333384:8333860:1 gene:LPERR03G11260 transcript:LPERR03G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLVCCHPCAHVARPWYAAVGKVSDLLIKRDPQQAHGPGCNFRYRGQSYGNMRKHSEYGTQTWSHGHLTDNGPLHGHLTDNGPLVMLSSPVLQYASVCQWNGSMDHGSSRIASCSPVEMRGRGGSTAKPVQIAIVHSRSTRRHLVSLSLLRPGADGR >LPERR03G11270.1 pep chromosome:Lperr_V1.4:3:8339123:8347228:1 gene:LPERR03G11270 transcript:LPERR03G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRRRGEASEGWMERNAADYYPASPSVDHRGTSLRKDASNPGVLPDSMLAVENWRSKPKKASGIPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANSQHRHTQSHAEKSSPCCTHDRRVLNEDMPHRRSMDEMPEVKDVFEVMEATRMKIHRSPRSKSGNETSRLFKTGSHDLDHMRQKLMDAKRLSTDESLQISEELSETLDALVSNKDLVLQFLQKIDPIVKRDLHDHDSLSSNANCITILKPSRRSQFSETDNIHSQDKGAESYFYKQKKAEHSHSRPYAKLPSQSPEEDSGSLRQKPSRSSHQEISDKRVCSTRIVVLKPSLDKSQDIEGAFALRDELSRFDFRRRKPCHGDAMWSPCTEEYIGPLRDSETLDDVAKGSKEIARGVMKQMRAARGVGTRKQILKSETSTFVSDERSQFLSSLSNVKSSEAFHRPPELFEGWASSSFTSSPTYSTETKVSKEAKKHLSNRWKATHRCQHQAVESNGFSMLGDMLALSDQEASKVTTQKTANRKCPKGESPKERMPSSYNSPLGISSNDGWRDVSTSNLPRSRSRGVQKSNSRKRTGRHNEFSMLKDVLKVGPHDSEHACHSRNRKSMFQDTAFHHDESDPASSDYEERMTIEREIHVNSEEPTNDIALTDSSKETALHSSHPDHELDAMYYLDTSPAVPGQKELCSPDRQKQQMHQQSPTELDSHLVVPSLNILVTEAEGIEWHQGDDYLVRKFEEKLISVDRIDEHQSDGYQAQWMIPPTGSESPVSSNKDEQQSPVSVLESSLDGEDVYSGLRMQLRLLKMEATDNADDNELILSDDELTTASQPLPDMEISHAFRDEEERDFSYVLDMLIVLGINAANRDQLLDMCYLLECSAGPDVFDILEDKYNSLILWPLSERKLLFDLTNDVIADIITSMMQRSSKELSWSCLTRLDQEGFVEVVWQRVVRLRQEMEYAQEGLFMDLEWAGSEGGIELVATEVGSMLHEDLLQETISQFLGVTESVVLCG >LPERR03G11280.1 pep chromosome:Lperr_V1.4:3:8347739:8348440:1 gene:LPERR03G11280 transcript:LPERR03G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKAAVWMVAIALAAANCAFAGRVLHEQPVSAPPAESPLPVPADPLPVPTDPPADTVASPVALPASGAAVSATGNANAGVVAGDHPVTTTFFMHDILGRSRPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLLLTAMFFHGAGEEEHAVGDTLSFFGVHRTAAPESHVAVIGGTGKYENAKGFAAIQTLHTGDQHTTDGVETLLQFSIHLI >LPERR03G11290.1 pep chromosome:Lperr_V1.4:3:8348944:8351565:-1 gene:LPERR03G11290 transcript:LPERR03G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSKQHRPHQNHNHLNHHQRPSLSRSIAPYLLREHRLLFVLLGFLLASSFFLLYPSLTHHPNPTSSLAAVSATRKIPRAGVRKPPLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKENVAHHLSNPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEK >LPERR03G11300.1 pep chromosome:Lperr_V1.4:3:8354997:8361266:1 gene:LPERR03G11300 transcript:LPERR03G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSDKGEGDLEIGLASPVAEEGGVVGPGGRAALEASGSGKRLDQSPTMAVRRPGLVMSNSGKRLDQSPAASPSPSRGPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSPASSPASSKGPVLVVSGSGNRLDSSGPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAERGFLDIVVELLKHSDKESLTRKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAARQGHVEIVKALLEADPQLARRTDKKGQTALHMAVKGTSAAVVRTLVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSAYIVVGRHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQHNSEFSDSEIDRIYAI >LPERR03G11300.2 pep chromosome:Lperr_V1.4:3:8361265:8363509:1 gene:LPERR03G11300 transcript:LPERR03G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLAAVQQALSGAPPEELAALLSKQNQAGETPLFVAAEYGYVALVSEMIKYHDVATAGIKARSGYDALHIAAKQGDVEVVNELLRALPELSMTVDASNTTALNTAATQGHIEVVRLLLDADGSLALIARSNGKTALHSAARNGHVEVVCALMESEPSIATRTDKKGQTALHMAAKGTRVDIIDALLAGEPSLLNLPDTKGNTALHIAARKARTPIIRRLLELPDTDLKAINRSRETALDTAEKMGNAEAAALLAEHGVPSARAISPNGGNNNPAARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVEDASSLAPGQALGEANISHQTAFIIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGRAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSCSGLSEAEWVDEEFKRMYAI >LPERR03G11310.1 pep chromosome:Lperr_V1.4:3:8370634:8371554:-1 gene:LPERR03G11310 transcript:LPERR03G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRATAQHGGAAMARAAGVAAAQCFGEEEYIDLDLSSCRGLEFRVCRSAPAPACGDKPFSRGRKQQEATDAAAVGCGGGGGGGRRSTATVAPWQQQQQQTAEGGATGRRKKAATARSVHAKLQASREFFRSLFARTSCSDEPCRYGVGVRPTTTSRSRMAKNVPGGGNKPAPFGQIRSSCSGRAAPTTLRSSIEQEKLMDEEEHAASIRQQRKSFSGVIKWRPAPTAAATARPTPLQFSASTRRMISASDALPPPLKRCSSARSESEGLIQGAIAYCKRSQQQRVLARKSVSDAAFCSLQFQTQL >LPERR03G11320.1 pep chromosome:Lperr_V1.4:3:8372772:8378846:-1 gene:LPERR03G11320 transcript:LPERR03G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEASGGPLLTRTVRTSLGTVVHHAGQAVAGGARLINDRIGSRSYKSMRLTAKRLEDAALSYRGQERVQLLRRWLVVLKETQRVAAVAKERQHAADPDQALPVLDLYMDYETGAEPMNFIYVFLYSQALECLVLSAAKRGELLQFAQCAISGLKINPDLARLDDEIMQLQQRINEMDALRSNSTSRHSKASQTVVEVDKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNDVSGTEKELVAEISEIEKHKDQLEAELKKVNTKLNAAVMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVCAWINFLEDTWKLQSLYEELRQKQANDELDRCATCFAKLINHHVYARVEELSTCIDRIKTFVDNLKIFDDRSVSAEDGNNGSTKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYISNQEYHTRRDDPDVKNLFAKIDKLRVEFESVPRPVLQIEIKEKEERTRQSRSLQVAGSPRHPGHESPIPSQLRTRLPSESDSELARFDPDQYREYSADDISGWEFDELEDVEVKPGFW >LPERR03G11330.1 pep chromosome:Lperr_V1.4:3:8379396:8379739:1 gene:LPERR03G11330 transcript:LPERR03G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLDDDNVLDTGNDDEENNHRIYFLGFHPYKAIVFLGISFIGVAYHLNSSKLEYLGKLRPKDYSYTYAADIYEAFPYTPCMIGELPRNNI >LPERR03G11340.1 pep chromosome:Lperr_V1.4:3:8380348:8380530:-1 gene:LPERR03G11340 transcript:LPERR03G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGRRPNRMLPQPGALPLLRLGRSSAKHGDPRDGDGGAPFHPKRHGGLERLHNNIRGFL >LPERR03G11350.1 pep chromosome:Lperr_V1.4:3:8383520:8386278:1 gene:LPERR03G11350 transcript:LPERR03G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILDMLVRLVVVFTILFASVHAYTPADNYLILCGTSGNATVDGRTFVGDAGLPSSVLSAPQSTAANTSASQVTGAGDDAPALYQSARVFTAPATYTFAVKPGRHFVRLRFFPFRYDPYDLSADAAFMVSVQGVAFIDDGYTPKNGTAVLREFSVNVAGGGALAITFTPTGGKRVAFVNAIEVVSHPDELIADTANMVNPRGNYAGLTSQALETVHRINMGEPKVTPNNDTLWRTWLPDRSFQLESGFAAAAGRTQVAPSTIKYNTGYSTSFTAPVAVYATATALNTTAATVNSAQFNLTWQFDAPAGSAYLIRFHFCDIVSKTTPGLAFNVYVGERRVLQDFELADDTFNLLATPVYKDFVLSGNDVAKGKIAVRIGSSTLDNALPGGFLNGLEIMRMVGNTSAGASDAATSPRGSKIKTGVIAGSAVGGATLAMALGFVAVRLLRRKKKKEPVKQPSNATWTPFSASALGVRSRTSFGKSSVNVITLGQNGAGAGAGYRFPFAELHEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSKQGLNEFRTEIELLSQLRHRHLVSLIGYCDERGEMILVYEYMARGTLRSHLYGSDQPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDVFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATKRLGDGELDQIVDKNISDTIQPDSLRKFAETAEKCLAEYGVERPAMGDVLWCLEYALQLQVASPDSTESEVTNQVQRSSSISSVVTDDATITANLGDLDGMSMKRVFSRMLKSEEGR >LPERR03G11360.1 pep chromosome:Lperr_V1.4:3:8387186:8392688:-1 gene:LPERR03G11360 transcript:LPERR03G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGKRRDGSDASGAEPAAAVFPAWARTPAECLAELGVAADRGLSAEEAAERLRRYGPNELERHAAPSVWKLVLEQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIEQEDTDIQGKECMVFAGTTIVNGSAVCVVMGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGLPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPNDGKINDWPSLSMDENLQMIAKISAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSRDSSDFLRCCQWWNNAARRVATLEFDRTRKSMGVIVKKVDSGKNLLLVKGAVENLLERSSHIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLSEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGAAEDISSKSFTGKEFMALSEKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGIFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGTRTFSFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKEE >LPERR03G11370.1 pep chromosome:Lperr_V1.4:3:8395464:8396456:1 gene:LPERR03G11370 transcript:LPERR03G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRSARGPSPPADESYAEAEVQNTRSTTIKRHRRRPIMEAGSTQPCNPLASAVSRYRVDVALAEALAYEFERRRWFGRHTRRLVGEPKGRARRAPDTSTPRATVDPLVSVTEEENAPAPAVAVVEKERTWFFPPGGYNTQCKPPARITFADRCGAGSLEAFLESVVASRGDGGSASRGVHGKRWEEIVEAKARRQRYLRDYCPFRRDDDDDEVTSVPAAPDEKKTEDDDDEQQQGETTEEETELVVDHAVVEQPANCPAESEGRDDAKGVRDEQARPVRGTDEHRMMRQEFLKSYSLAKKKRTVGQKAQRLSAWRRLIPRRKTAQI >LPERR03G11380.1 pep chromosome:Lperr_V1.4:3:8397247:8402807:-1 gene:LPERR03G11380 transcript:LPERR03G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHLDVFPSPRKPHGPREDSLPQVPPSSPFPPITTGAAGARSSPAAPAAAPTMEEAQFLASSPRATGFAASSSSYCPDPMDEGPSVSVPGMPFAEQIRAAGRRASSGSPEGLGAQVQALASMSRGIYPLARAEALRSLAAVLETADARGGVVEQCYGCAAVLMRDEDEGVRLATMRLIVLCADKLNEGPDGDSHDHQMDIMFLQLSSMARDMCTKVRIEAFNALGKMQRVSEGVLLQSLSKKVIKPNTGSGSIIKGKKVPPKLIYPCAAGIFAHGIEDEFYQVRTAACKSLGALSKFSTQYAQKALDLLMGMMNDDTEAVRLQTLQSVFHMATYGCLSVQEMHMHMFLGLLVDTNVLIRNSTRKILGSVNLPKLQMFKSAIDVLITSLEKHQEISMSSDGELILDKPRIRALLIVSISVAFSDVKHNKLDIPEVIFSCAISLLGKISCAIGEVVDQNSLLSYLCQRTGIPFWKTKLASTESGESKGCSIETASDICAQIGKTGKSTKSVDEILAMQSVKSIIETVERTWTMRKSSNIHDVRTILRTCQEDLRILASNSSGSTRALLSFLCEYLDAVQFIIEILRSIQLDNSYNLGPTSLNVLLEKLDVSIRRMKCCYAGFNREMEIQVCELALLANLFELSKVGIHSKLVLDKLHWVINRLDCLCADGSSKLSYFSREIKKAFDANFVGHDIFTLLELFHPKPKTDYGMLKAISADLQVRDNDSENPSPYVCGLPVGVSYHISLYNISSQDRLWLRMIVGESIQHTFLELSCFGGNDEVKSGSMIVPLHITPMACSFVLRVCLVMECPFGSVSVHQEGNEGPRGSIVELSDELDVYFVCTERR >LPERR03G11390.1 pep chromosome:Lperr_V1.4:3:8407191:8409587:1 gene:LPERR03G11390 transcript:LPERR03G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQQPHSAMLPMEQSPPTSNTSTKHSSVTLAQLLKRVNDARSGSSTPIGSSPRYTIEFGESKLESEGSESERRSDDDVVSESQPLLPFVLKFTDLTYRVKQRRKGSCLPFRRQTGNEPELPPMKTLLDGISGEAREGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTLNGDPVDSHLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKQKRVKELIKQLGLGNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVTVLKQIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFMDFGDPIPDNESPTEFALDLIKQMETEPEGTKRLAEHNVSWQLKYHAAQTHHHGNGHGYGGKPAMSLKEAISASISRGKLMSGATNGTVSVPDHASSAAPPPSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVDGFFFFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGEVGRCFVRGVQMFDNTPLAGLPAAVKVRVLQSMSSSLGVNIGTGTCITTGPDFLKQQAITDFSKWECLWITVAWGFFFRILFYISLLLGSRNKRR >LPERR03G11400.1 pep chromosome:Lperr_V1.4:3:8422771:8425173:1 gene:LPERR03G11400 transcript:LPERR03G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFAGRKTLPIEAVAEAPRADLLPGHQRSDRSHPPGHVVVDVRTTTNDVGYGDDDDGDVALDIVGRTGIVHFVLAFEGLTYKVGRPRRMAFRRRSNHIETDATTAARATGGASAMARALLDGVSGEAREGEIMAVLGASGSGKTTLIDALAGRIHRQSLTGAVTLNGEALDGRLGLLKAISAYVMQDDLLYPMLTVAETLMYAAEFRLPRSLPASRKASRVKALIDQLGLRAAADTIIGDEGHRGVSGGERRRVSIGPASRKASRVKALIDQLGLRAAADTIIGDEGRRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLRGIAQSGSVVIMSIHQPSYRIIGLLDRLMLLSRGRTVYYGSPASLPLFFSEFCHPIPEGQNPVEFALDHVRQLESTTEGTHELVDFSKSWQVNSMARVVSVAESTGRHGNPSSMPLKEAIRMSMARGKLVSGATSDDDSTEASTAKVATHANPWWTEVWVLARRAFTNTRRTPELFLIRLGTVVVTAFVLATVFWRLDDTPKGVTERLGFFAIAVSTMFYTSADALPVFLVERSIYLRETAHNAYRRSSYTVANAIVAFPPLVALSLAFTAITFSAVGLAGGAGGFFFFVLIVLASFWAGSGFVTFLSGVVPHVIIGYTVAVAVLAYFLLLSGFFITRDRIPSYWIWLHYLSLIKYPYEAVMRNEFGADAVGRCFVRGTQIFYGTPMGSLPEATKASVLDAMSRSMGMVGFDAGSCVTTGADVLARQAVTGLGKWSCLWVTVAWGFLFRALFYLTLALGSRNRRR >LPERR03G11410.1 pep chromosome:Lperr_V1.4:3:8430530:8435893:-1 gene:LPERR03G11410 transcript:LPERR03G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSGEFPRSHARRAAASLAQIGRGRRREKGRGAGKGSRVLDLLLSKRDWWEGGGRVIVCVRVREREREREREGKGEREEKQADWEAVGASPSPRATHLRAAGRARLPLLIPPAELAWRRRPFSSSRKQRADLFSHQDTEHWLVVHGKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLFSDRLVQSGIPPSLRPSSPATGIAVSAAEAGCSRDAFLNRSLSEETNAIAKGNGMFNPMDQNHAKRSFIQSLTEKRGLSLDGSSDIPSRIESETGIVAPVNETPSQKFLSLGLQAASCRNGNINYSSIVKEEKVDQGLSRFPSADFHKDVGATSESKSSSDSSFGRLPNLDLNVPLDPHDPAESLPTMQDCGNRLYHGTVQHQKAHVPLVTPVSTVNNGLRQNMDSTLNLSNAYGLPNKRKAADVTLDLQLKPPARPEIGINWKELAPVPGLSLSLSGKHADESENNAELNLSLSGKHIDDSENNAPNVTLRFEPAESVKKITKEVDIPGKDKAPAEEVVKPVPCNEIPHTTISSTVAGIEKMSSGRSVKKEPEEQSQQHIQNDVEKAQLLESQSTALASKCAEIEKSDSADQVPRKAALDLNFGIPNVATANVPLPTERLRDAIHIETMRADHEVKKSIKCEETTIAIPNSTTASVSRQRSPLMATKPLPLRDRDANRTGLCVSASQSSLPTEPPSCNPDVASVDCKPIIFHVNSSVAAEACSPMQRAEPVISNSWNRFALDGMSQGSAEMDCSEDDNIVSELPTTNKPLGGTIGNNKISEDGLSANLGTNLQKEHDTSTHQDSSFVTNKIGMQGVSDDKCMHVNDGVSSHSHQDGRQRGDMANEGSKNKQLLESDKNTPVDNNDNTIPVKTTGSSTVDLRRLSSSETSTSPKMNSYKDSGSFLEKGKAPQIKSEGCQSPLGKQAANCSEDNVKNAVVKSEHQTVSEEAAKVSELHPRDPVLGEDSHPDGASSSQPNNECGMVKSASEKSECDKSKPDSGRTTSVQNERDGQVDSPHWREMAYPYVNRNERWERFMQSEKEKNKGEYQGGRHAFDATNQRRSDHRYGGRGVGSRGHPRNFRGPRMNEPDVYFDDEPMTGRRRPFGDEQHLHRIPHRRHNQIHGNLMREMDIDGFSGRDGSDPRLMAHGHMEDLSDDMMEERYYAPHSHRHHPQGDHAFIHRNRSHSPGQRRVAPVHVHRRRSPEALRRSPPLIRTDRPSYLPHRRHTQSHGSPFDREHDDRVMQRNLRRCGMHEGAAGDSFEPHLHPAQLAELHAEAELTERRKFGERRTYLRSFDASLVGDDDDEMLTYGADGDMDFVEGGSGGPRELDGRFRSRGGGHRGRDDQEDDHRCRGHGWRDGSSNSSRAKRRRY >LPERR03G11410.2 pep chromosome:Lperr_V1.4:3:8430530:8434452:-1 gene:LPERR03G11410 transcript:LPERR03G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLFSDRLVQSGIPPSLRPSSPATGIAVSAAEAGCSRDAFLNRSLSEETNAIAKGNGMFNPMDQNHAKRSFIQSLTEKRGLSLDGSSDIPSRIESETGIVAPVNETPSQKFLSLGLQAASCRNGNINYSSIVKEEKVDQGLSRFPSADFHKDVGATSESKSSSDSSFGRLPNLDLNVPLDPHDPAESLPTMQDCGNRLYHGTVQHQKAHVPLVTPVSTVNNGLRQNMDSTLNLSNAYGLPNKRKAADVTLDLQLKPPARPEIGINWKELAPVPGLSLSLSGKHADESENNAELNLSLSGKHIDDSENNAPNVTLRFEPAESVKKITKEVDIPGKDKAPAEEVVKPVPCNEIPHTTISSTVAGIEKMSSGRSVKKEPEEQSQQHIQNDVEKAQLLESQSTALASKCAEIEKSDSADQVPRKAALDLNFGIPNVATANVPLPTERLRDAIHIETMRADHEVKKSIKCEETTIAIPNSTTASVSRQRSPLMATKPLPLRDRDANRTGLCVSASQSSLPTEPPSCNPDVASVDCKPIIFHVNSSVAAEACSPMQRAEPVISNSWNRFALDGMSQGSAEMDCSEDDNIVSELPTTNKPLGGTIGNNKISEDGLSANLGTNLQKEHDTSTHQDSSFVTNKIGMQGVSDDKCMHVNDGVSSHSHQDGRQRGDMANEGSKNKQLLESDKNTPVDNNDNTIPVKTTGSSTVDLRRLSSSETSTSPKMNSYKDSGSFLEKGKAPQIKSEGCQSPLGKQAANCSEDNVKNAVVKSEHQTVSEEAAKVSELHPRDPVLGEDSHPDGASSSQPNNECGMVKSASEKSECDKSKPDSGRTTSVQNERDGQVDSPHWREMAYPYVNRNERWERFMQSEKEKNKGEYQGGRHAFDATNQRRSDHRYGGRGVGSRGHPRNFRGPRMNEPDVYFDDEPMTGRRRPFGDEQHLHRIPHRRHNQIHGNLMREMDIDGFSGRDGSDPRLMAHGHMEDLSDDMMEERYYAPHSHRHHPQGDHAFIHRNRSHSPGQRRVAPVHVHRRRSPEALRRSPPLIRTDRPSYLPHRRHTQSHGSPFDREHDDRVMQRNLRRCGMHEGAAGDSFEPHLHPAQLAELHAEAELTERRKFGERRTYLRSFDASLVGDDDDEMLTYGADGDMDFVEGGSGGPRELDGRFRSRGGGHRGRDDQEDDHRCRGHGWRDGSSNSSRAKRRRY >LPERR03G11420.1 pep chromosome:Lperr_V1.4:3:8450685:8450898:1 gene:LPERR03G11420 transcript:LPERR03G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRAIIRRHFPVVPNPKGGAVPEDISPSGGSYPCAGERSPDSESHMSSSMFVSSSFPNSDSD >LPERR03G11430.1 pep chromosome:Lperr_V1.4:3:8451019:8453590:1 gene:LPERR03G11430 transcript:LPERR03G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKKPESQWLPPAIYHQLPTTQYLEDYGFFIDMIDEVVNAVVAIHTTKPRGDVLAYVPANVKILDVYERLERLNLPGLAVIYIDDFTPSEHMEAMVNSPAPHGCRRVVLVSVVTDTSIDVLRIRYVVNTGPVSQQPHQVRMISNEITGAFSVVPGRCHPVYRQRAPPRNQSSDDDDSLGFGVALSTCPCMTRTDPGSNEETAPLSGEGLLVCPIRLRELASLYVSGSIRIVLESPLAQNPTSRRFPRFFSVMDGSPQESEAESPPPAIYHRIPTILEYLEDHPVVIVSAAPGSGKSSVLPRCLAESGHGPVLCAQPRHIAAVVAETKAGKEWRSNIVFTTTRQVLDMFGSPSAPGLAGYGTLVIDEAHDRTQLGTDVLLGMVKAALAAGTMGQCKVVVCTAGGCGPADDTLHDFFDGAPIVSSLRASHPVEVRYSRGPVLDMAAAVVDEVVSIHASRPPGDVLVFLPENADIVDVSARLERLELTGLVIRHIHDNLPLAHVNLMLNSPVPDGWRRVVLATDVAETAVLVRGITYVVDTGLVSEQPLPVRISKETAAARAAIAGFSGPGRCHRLNQKKEYDGLDEHTVPHIRRDGAAVEFALMVKRHAADGMPGFEVFDTALKPAVLKNVFGQLVNGGYLDKLGNLTDKGVREAYDED >LPERR03G11440.1 pep chromosome:Lperr_V1.4:3:8453982:8456673:-1 gene:LPERR03G11440 transcript:LPERR03G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPLRGLVLVVVLLVALCSIPGSFSQRLVTLDTIDIFVTHEWLPSKPTVYFHCNGEDKVYLPDVKEANSIYAFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDVYDEWELCSGDFKKGKYTHFKEGQFNATFLCANCTASEGDSGNHDSSSEEETKKTSVTVIIIVSVLSSVLVIIALFVGYKYWQKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >LPERR03G11450.1 pep chromosome:Lperr_V1.4:3:8457073:8460137:1 gene:LPERR03G11450 transcript:LPERR03G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDNIGPSMMSSSLPPVRSPSRSIRVVLSRAAPQLQAPNSVVPLLLRRRHRHRTAVGMSRFAAAALRRATATSGIPSSSSRSAAFSPFAPRLFSTEASGETASAGAGAGAAQGSQDKPLFKPSDEGLAYGRFYSAIPGGNRLPKSMLKTDIIHHLDKCELSLDDVKIDYNRGYFPVGALLRFSSVPLYNTAVKQTREGRQYRLEMVSREEFDLKQSFDGKAILLQGVPRNAQPEDIERFLCGTNVEPPPFESFLRPGVPDPIRVVLVKFRSRTDANNAFIAKNRGFCLNNPVSMRILQ >LPERR03G11460.1 pep chromosome:Lperr_V1.4:3:8462538:8464504:-1 gene:LPERR03G11460 transcript:LPERR03G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFPPRCSSSSSPLTRPSTSQSPVPSPRTIKIRPVSRRAAQCHLRVAKNLSRIVAMAAAAAPASSEKEVLPPSLTSSSEPPPIFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDINFEGPALLPDDSEKKQFADELLAYTDAFNKALYSPIISKGDVSDETVAALDKIEASLAKFNDGPFFLGQFSLVDIAYVPFIERFQIFLSALKNYDIIKDRPNLQKFIEEVNKIDAYTQTKQDPQFLLEHTKKRLGLA >LPERR03G11470.1 pep chromosome:Lperr_V1.4:3:8467576:8469579:-1 gene:LPERR03G11470 transcript:LPERR03G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPARKEALPAALGSASEPPALFDGTTRLYICYFCPFAQRTWVARNFKVPALEHNGKIMGESLDLIKYIDSNFEGPALLPEDPEKRQFADELIEYANAFTKTLYSPLISKVDLSDETVAALDKIEAALSKFSDGPFFLGQFSLVDIAYVTILERIQIYYSHLRSYEITDGRTSLEKFIEEINKIEAYTQTKNDPLLPELVARDELLVR >LPERR03G11470.2 pep chromosome:Lperr_V1.4:3:8467675:8469579:-1 gene:LPERR03G11470 transcript:LPERR03G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPARKEALPAALGSASEPPALFDGTTRLYICYFCPFAQRTWVARNFKVPALEHNGKIMGESLDLIKYIDSNFEGPALLPEDPEKRQFADELIEYANAFTKTLYSPLISKVDLSDETVAALDKIEAALSKFSDGPFFLGQFSLVDIAYVTILERIQIYYSHLRSYEITDGRTSLEKFIEEINKIEAYTQTKNDPLYLLDLAKNHLKARPFPKSDAQPS >LPERR03G11480.1 pep chromosome:Lperr_V1.4:3:8472286:8477001:1 gene:LPERR03G11480 transcript:LPERR03G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPKPAQAAAAAPSETSATAAVAGETLAPNPAPAAPAQNPTAAAGAAAGASTDLEKKMRRAERFGTSVVMSEEEKRSSRAERFGTASSNEKAEEQKRKSRAERFGTASSSADEDAKKKARLERFGQSTNVDKAEEDKRKARALRFAGTPSGPTQENGKDSSKPISDMALAFGVNP >LPERR03G11480.2 pep chromosome:Lperr_V1.4:3:8472286:8478067:1 gene:LPERR03G11480 transcript:LPERR03G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPKPAQAAAAAPSETSATAAVAGETLAPNPAPAAPAQNPTAAAGAAAGASTDLEKKMRRAERFGTSVVMSEEEKRSSRAERFGTASSNEKAEEQKRKSRAERFGTASSSADEDAKKKARLERFGQSTNVDKAEEDKRKARALRFAGTPSGPTQENGKDSSKPISDMALAFGVNP >LPERR03G11490.1 pep chromosome:Lperr_V1.4:3:8475768:8477583:-1 gene:LPERR03G11490 transcript:LPERR03G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVQCRGGEGGGGSGMKTVECLRGRLLAERVASKAAKEEADSLAKRLGELEKKLSDEVKIRNKAERRLRRAIKKLESLKILDVELSDCSIGSLSSSNGCSGHRAPETEADMNNPGSSAGSCTQVNSSQEGSWCSVLSEQSPSVRCKEEENGLESEDAKNYGSGEDAGDHDSESRDDQQPVHVLPSDDGSSKSEDNQRDEDDDRLALVLVDPQPRTSEEAGEPRTGDDDDTAQVAASKLQAESDERDDGMEERNELAIVLVDPQPQPKAEEQRPRPSNDVQSVLLALRQVKEQLRYTIERRSELVAHQELCGH >LPERR03G11500.1 pep chromosome:Lperr_V1.4:3:8481771:8488757:-1 gene:LPERR03G11500 transcript:LPERR03G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKVAAAAAAAAAPKPFFSPRPAAARIPSPPAAGGRCRDLPKTATAVRATSACRWFRWPPPVRGLCSFPHSGAGGGGGEGMGSDGVGRRRRVVAPAVNGVAKEGAPQPAPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREAVAVNSLGKWKTAAQMTALTLLLASRDPSIPAQDALVTSGVALLYVSAGLAIWSLVLCADSGHLAAGRQLHGRLVAASVTPSNFLASKLISLYSRAGRLRDARRVFDAIPQPPSLFAWNAILIALSLHSPDPSAALRLYASSAAAVSPDAITLSTLLRSLAASGPALSPLVAGELHGVAFMRGFGADLFVSNGLITAYANAGDMRSAGAVFDEMPRRDVVSWNSLISACNRAGWCRESLDLFQELVRVRSSDGVGPNGVTVTSVLHACAQLKAVDFGMGVHHLAVDSGLDMDVAVWNSIVGFYAKCGKLKYARELLDMMTKKDSVSYSAMITGYMNNGHVDEAMELFRQANAPGISVWNSVIAGLVQNGRQTDVHWLLQEMIETKVLPNSATLSIIVPSVPSFSTILGAKQAHGYTIRNDYDQSINLVSALIDAYSKAGFLDAAKKLFKLTEHRSTIVWTSIISAVTAHGEAAEALNLFDQMISAGTRPDTVTFTAVLTACAHSGKVAEARKIFNSMQVIFGLSPVIEQYTCMVSALSRAGMLKEAVELVNKMPFEPNAKVWGALLNGAAVVGDIELGRYAFDCLFIIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKVPGCSWN >LPERR03G11510.1 pep chromosome:Lperr_V1.4:3:8492863:8500377:1 gene:LPERR03G11510 transcript:LPERR03G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADENTASISPSKEDAVGHVDGGEHLDGAENIENSNRTGIKCSNEEEEEEEDDAEKSDKPEILKEVDGSNQSHEANGEAEDFSDVPGNLEKLKEETPASSIESKEALEELKEASGCLEEQLNEDGDSEGLKDSHGVSEGLGQANNDNLEKLEELFLDKGLLDELKPIRVESEKRVRASISIIEKMMSSRVGKISNNANDMCGKNETQLASIEEEERAADKSSRGDPAAESSDPDKVEQIQDREPGDSTSAVLEGGKDRSYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTDELDEKNPEEQMLKEETSAQRKLPQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFNGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTVVSFNFHQYASMGKWSGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVRETGLWELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPSSLLPQVNSKEGADGLQANGEIQFLDSGSTTLGTYLTSSALENELDQGIDLEDQVTWLKVELCKLLEEKRSAELRGEELETALMEMVKQDNRRMLSAKVEKLEAEVSELRMSFAEKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAHLLQEKYDTAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSPPHAAHQPGKPNQDPPNQDAPNRRLGLLSRGLGWLEKSKGKPSSTETSEG >LPERR03G11510.2 pep chromosome:Lperr_V1.4:3:8492863:8500500:1 gene:LPERR03G11510 transcript:LPERR03G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADENTASISPSKEDAVGHVDGGEHLDGAENIENSNRTGIKCSNEEEEEEEDDAEKSDKPEILKEVDGSNQSHEANGEAEDFSDVPGNLEKLKEETPASSIESKEALEELKEASGCLEEQLNEDGDSEGLKDSHGVSEGLGQANNDNLEKLEELFLDKGLLDELKPIRVESEKRVRASISIIEKMMSSRVGKISNNANDMCGKNETQLASIEEEERAADKSSRGDPAAESSDPDKVEQIQDREPGDSTSAVLEGGKDRSYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTDELDEKNPEEQMLKEETSAQRKLPQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFNGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVAWVTGPWFLSIFINMLPWESGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVRETGLWELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPSSLLPQVNSKEGADGLQANGEIQFLDSGSTTLGTYLTSSALENELDQGIDLEDQVTWLKVELCKLLEEKRSAELRGEELETALMEMVKQDNRRMLSAKVEKLEAEVSELRMSFAEKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAHLLQEKYDTAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSPPHAAHQPGKPNQDPPNQDAPNRRLGLLSRGLGWLEKSKGKPSSTETSEG >LPERR03G11510.3 pep chromosome:Lperr_V1.4:3:8492863:8500500:1 gene:LPERR03G11510 transcript:LPERR03G11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADENTASISPSKEDAVGHVDGGEHLDGAENIENSNRTGIKCSNEEEEEEEDDAEKSDKPEILKEVDGSNQSHEANGEAEDFSDVPGNLEKLKEETPASSIESKEALEELKEASGCLEEQLNEDGDSEGLKDSHGVSEGLGQANNDNLEKLEELFLDKGLLDELKPIRVESEKRVRASISIIEKMMSSRVGKISNNANDMCGKNETQLASIEEEERAADKSSRGDPAAESSDPDKVEQIQDREPGDSTSAVLEGGKDRSYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTDELDEKNPEEQMLKEETSAQRKLPQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFNGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTVVSFNFHQYASMGKWSGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVRETGLWELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPSSLLPQVNSKEGADGLQANGEIQFLDSGSTTLGTYLTSSALENELDQGIDLEDQVTWLKVELCKLLEEKRSAELRGEELETALMEMVKQDNRRMLSAKVEKLEAEVSELRMSFAEKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAHLLQEKYDTAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSPPHAAHQPGKPNQDPPNQDAPNRRLGLLSRGLGWLEKSKGKPSSTETSEG >LPERR03G11520.1 pep chromosome:Lperr_V1.4:3:8501585:8504915:-1 gene:LPERR03G11520 transcript:LPERR03G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFSSEGRDESRKEPAERSQITPEKTDTAEMAVNLDTMNAEFISNHGLEKIIHGQSFTYKELYDSTGGFSEDLFLGEGGFGQVYKGVLDATGQEVAIKILNLQGNQGNREFLTEVIVLSKVHHANLVKLIGCCVDGDQRLLVYEYMPLGSLKSHLHDLTPDKKPLDWNTRINILVGAAKGLQHLHVNTDPPIINRDVKCENILLGDGYHPKLSDFGLAKLGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDTRAKAERNIVEWAIPLINQKDFPKLADPVLNGQVHMRSLFRALIVAAMCVDRMANRRPDITAVVDALTQISESQSRRKRWSSRLQSVASSASSTATMIEDQNQTKNQGESS >LPERR03G11530.1 pep chromosome:Lperr_V1.4:3:8506386:8512604:1 gene:LPERR03G11530 transcript:LPERR03G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGGDLLSEAMGSGARVVVVEDRVEAPGAFVLHLLLKRALADGGAAALLALAQPFSHYDRVLRKMGAAISESFVRLYGDIQRAMEASRTGDNAGSFTVMIDDVSLLEVAACGSVEDVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEAAGLLLHLRYIADLVIRAAPLSTAFGGKQVYFQRAKAKSTENLELPFQSEGKWRRLFLPW >LPERR03G11540.1 pep chromosome:Lperr_V1.4:3:8509826:8516901:-1 gene:LPERR03G11540 transcript:LPERR03G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEVDKDGPSRKDVKGIGNGIVENGHSLKEKEEWGNGVGENLPNGHIAPPEPQQTDEQKEHQVRIVRWEKFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVAMPCLSGIGLLSKITSHKICKDIPVIRAVDFLVKPIRKNELKNLWQHVWRRCHSSSWTKRAVEKDRPREMSPDQPSDPPDSTCAQVIHPKSEICSNRWLPTANKRSGKKQKEDNDDSMGKYLEIGASGNSSAEYQSSPNKMFVDPTEKQHDTLMPQNKSKGQTMREKDSRNTQNEPTTQTVDLISSIARNTEGKQVGSAPDCSSKVPDGNDKSRDSPIDMTSEELGLKRLKTTGSATETHDERNTLKRSEISAFTRYHTTVASNQGGAGFGGSCSPQDNSSEALKTDSDGKVKSNSDDAAIKQGSNGSSNNNDMGSSTKNVITKPSSNRGKVMSPSAVKATQHTSAFHPVQRQTSPANVVGKDKADEGIANAVNVGHPGDVQHGFMQHHHHVHYYVHVMTQQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSMNGSISGGHNGSNGQRGASTAPNAGRPNMESANGIMDENGAGGGNGSGSGNGNDMYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRVRGQFVRQSGQEDQAGQDEDR >LPERR03G11550.1 pep chromosome:Lperr_V1.4:3:8516988:8518097:-1 gene:LPERR03G11550 transcript:LPERR03G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASQNAGRTWGESATWTEAISRCAAGSEQPRERAALDFVGSRARAPPPTPSPPPLAPRHLPPRVWPSPPLAVIYRLLLFLRVRLSPPPPPTPRRGFLGVERASGHAHSCARRRLVAPIPKLSAVSLSLETGGSRLLVAGRIPARGGRGGVLGAAFMQVADWVQHMLF >LPERR03G11560.1 pep chromosome:Lperr_V1.4:3:8524652:8525317:-1 gene:LPERR03G11560 transcript:LPERR03G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLRTTLPTARPLPTTAAASPPHLRTRPLRRSTIRAAITRGRKEDTVATVREQLEGCYLLAGIRYEGLTVKQIQGIRDALPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKALETMPSRAEVYAKLLGALQGPATSVVTTLQTPARDVVAVLSAYVRKLEQEEAGSA >LPERR03G11570.1 pep chromosome:Lperr_V1.4:3:8526473:8530433:-1 gene:LPERR03G11570 transcript:LPERR03G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRAALARATARSRAELQAAGRRRGQPSDLGRRLSHSGAAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGTLKIPFLFLTNGGGVPEHKRALELSELLGVNISPAQVVHGHSPYRELVNRFENDLIIAVGKGEPATVMIDYGFRKVLSIDEYSSYFKDIDPLAPFKKWIVQQADNKNMKSEKVHPSYDVFEERVKGVFVLSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHQLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKKVEGHQFSTIYMIGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >LPERR03G11570.2 pep chromosome:Lperr_V1.4:3:8526352:8530433:-1 gene:LPERR03G11570 transcript:LPERR03G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRAALARATARSRAELQAAGRRRGQPSDLGRRLSHSGAAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGTLKIPFLFLTNGGGVPEHKRALELSELLGVNISPAQVVHGHSPYRELVNRFENDLIIAVGKGEPATVMIDYGFRKVLSIDEYSSYFKDIDPLAPFKKWIVQQADNKNMKSEKVHPSYDVFEERVKGVFVLSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQLDPLGHLFLQGLAYLEERIMIHSILLIWSLILLRMPLTVYWKRSVYSDSVTIALNIQVSMLPSLLFGTYRVPPLFCPGNLEQLLAGV >LPERR03G11580.1 pep chromosome:Lperr_V1.4:3:8530626:8533795:1 gene:LPERR03G11580 transcript:LPERR03G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVALVLIGPGTVEQLVIMGSIQACQWLMIFAYFLIQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSLCLQAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGVDNILYIHKDKEAGDDPEMEDVLKACCS >LPERR03G11590.1 pep chromosome:Lperr_V1.4:3:8534267:8535574:1 gene:LPERR03G11590 transcript:LPERR03G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRAGAAATAASASKKPRVSSAASYAESLRSKLRPDASILSTLRALATAASKSKPPAAGSNTITAEDDLSAKNYIVVADQDSTSVTSRINRLVLAAARSILSGEGFSFAVPSRAASNQVYLPDLDRIVLLRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >LPERR03G11600.1 pep chromosome:Lperr_V1.4:3:8536648:8543087:-1 gene:LPERR03G11600 transcript:LPERR03G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSTSPQPPPPSQRRRRRRVLQPAAPGPPPSSCSPSPSTGAKSKALPLLADVGRDPTAIKYYSRVASNLAGAGRLREFLLAAEGLRAASGDSGFEGRISRRLLSRGVAAAVHDHGLPHVIQFFRDADRVGIRAAVMLDDEAYDTVAGACRLLLAEHSMTELVEAVEALANCGFFVQGIVDPMDVLKIFVRKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKVLKHALTIFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQDLGVPPDLTSYNILLKTCCNAREYKLAQEIYEEIKKKERDGLLKLDVFTYSTMMKVFADAKMWKLASNIKEDMQKAGVRLNLVTWSSLINAYANSGLVDHAIEILEEMTRDGCQPTAPCFNIILTGCVNSCQYDRAFRLFYDWKEHGVKISLSPEQKGCFGDNFTFCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMNIYGSSQNRDGAVQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAQELKPNLVTYKTLLTARHKYGSLQEVQQCLAIYQEMRKAGYQANDYYLKNLIVEWCEGVLSSGNGNREFYQLDQRKESFKLFLEKVTTFLQKDIDQNQTVDVSGLSKVEARIVVLSVLRKIKEKYLLERAVQDDVVIITGHGKPSSTKVETSAVDVEHAIVAVLTDDLGLEVLMGPGTSPPSKPTFSTRPRTHLDQASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVQ >LPERR03G11610.1 pep chromosome:Lperr_V1.4:3:8542911:8547660:1 gene:LPERR03G11610 transcript:LPERR03G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDGEENRLSGADTIRVERVLFGGYFSFGTSDRNLTVCGCVQACSRVPEFVDRKTTRPVCRTGVRRDGGRFAECELGSVPQPRGLAKIESHTGTHESNAAVAVLLSPLRPRNERRGGLHREAFPAGRCPSETARLFPATTLFHPPPHGDKNEKPRGSGGERISDREARAGRGMGVLLLLGSGRFLARRPPLALAPRCSRGSPEQGSGGGDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERGDYHHLDIANLYISCSKQVTEEVTNMSWNVELRSQNLAS >LPERR03G11620.1 pep chromosome:Lperr_V1.4:3:8551267:8551437:1 gene:LPERR03G11620 transcript:LPERR03G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQYDTRRPLPRRGQVKAGIFASLFRCLFPEKEASLKDGKLKEAGGGGRRVVPRG >LPERR03G11630.1 pep chromosome:Lperr_V1.4:3:8554687:8554899:1 gene:LPERR03G11630 transcript:LPERR03G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGQDGRKKANGNPYPRRGDVKRGIMKEFMGKTDPPPPPPAGNDGGGNGGGGDDAAAGGGDAGSYYGH >LPERR03G11640.1 pep chromosome:Lperr_V1.4:3:8561139:8564484:1 gene:LPERR03G11640 transcript:LPERR03G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLRALIIEKSCAPLMLRLAWHSAGTFDHSSKTGGPFGTMKDPAELAHAANAGLDIAVRMLEPIKAEVPTLSYADFYQLAGVVAVEVTGGPCVPFHPGRQDKPSPPPEGRLPDATKGSDHLRQVFGAQMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTRDPLKFDNTYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >LPERR03G11650.1 pep chromosome:Lperr_V1.4:3:8565381:8567663:-1 gene:LPERR03G11650 transcript:LPERR03G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVAEFRPTMTHGGKYLLYDIFGNQFEITNKYQPPIMPIGRGAYGIVCSVMNVETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRSFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTEPFSFDFEQQALNEEQMKQLIFNETIEMNPNFRY >LPERR03G11660.1 pep chromosome:Lperr_V1.4:3:8580826:8586578:1 gene:LPERR03G11660 transcript:LPERR03G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTACVRLPFLPAGTRRSSSSPRRVPRASSVRCRAADDADAGSLSTSSASPRRPDVVNGLAGPPVPVPDSAAPASRDLHWLPRPLTSADLMDASGQGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQSLQETGAIASSLAAELYGLNVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHQKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDD >LPERR03G11670.1 pep chromosome:Lperr_V1.4:3:8590923:8595539:1 gene:LPERR03G11670 transcript:LPERR03G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGDGGGGGAVGLAANGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRIVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDSTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRSNKP >LPERR03G11680.1 pep chromosome:Lperr_V1.4:3:8597654:8599855:-1 gene:LPERR03G11680 transcript:LPERR03G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIGQAPQQQQHAPPSQVVVQVQQQQPAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVISGRRANCNLASLGAKPRAQPPSHLLRPSPPATTAPHAPALPSPHHQPAPELITCHLARLAREFTTTDRAAIAVGSRGVSPVPWYYHPSTTPPPPPPPPAAHYAAHGGHHQQYHGVLPFYPAATTYGYSPNYVADLSYNAQKLGQAAAAHGTGGAYLQAGHFSYPAAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPATHFFPPVSAAAVTTVPIISKPTVMAPPKGRN >LPERR03G11690.1 pep chromosome:Lperr_V1.4:3:8609310:8612904:1 gene:LPERR03G11690 transcript:LPERR03G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASEAASRRVASCFFEVGRREIGSSTSRASSRRISGSEGLMMRMHQYGKLRGHDGCVNTVSFNAGGNLLVSGSDDMDIILWDWLAKTKRLVYPSGHQENVFHARVMPFTDDSAIVTVAADGQVRVGQLKEGDEVTTKQIGAHDDRVHKLAIEPGSPYIFYTCGEDGLVQHFDLRNDSPTKLFTCYSFSNSRRRVRLNTIAIDPWNPNYLSIAGSDEYVRVYDMRRIQLGASSDAKQPVDTFCPKHLIMGGKVHITGIAYSYAREILVSYNDEHVYLFQNNMGLGPNPESVQADFLDKLEKPEVYTGHRNFRTVKGVSFFGPNDEYVMSGSDCGNVFVWKKKGGELLRIMHGDKSVVNCIEPHPHFPFLATSGIDKTIKIWTPSANKVMLLPKNAKQIIISNERGREIDASRSELTLSSDLIMHVLRLQRRQSELYREHEPAAADLASDDDESFFIGFDDANRNQRSNSDPRECIVT >LPERR03G11700.1 pep chromosome:Lperr_V1.4:3:8614126:8614383:-1 gene:LPERR03G11700 transcript:LPERR03G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGCGIEFWIDLLLTVLGYIPGIIYAVYVLVA >LPERR03G11710.1 pep chromosome:Lperr_V1.4:3:8619253:8621818:-1 gene:LPERR03G11710 transcript:LPERR03G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPASSPEVGRYARYIKKRETAGQVKTSSPSPPSQEQLSEAVRFGHSGANSGMVQIKEFRIVMPMSMEEYEVGLSYTIMKMEQQNTNSKEGVDVLQQVPFEDEKLGKGQFTSKDYHLQSKIPSWMKGFAPASALTVHEESWSAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGSSENAHNLTNEQLAVRKVEIIDIASQSRDYWSKVISCPNVDLTTFKSQRTNRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTFDQIREMERQTDLLLKKTLKKPAKGGSKIDGKRKTLKDEIAVVGSCT >LPERR03G11710.2 pep chromosome:Lperr_V1.4:3:8619253:8621818:-1 gene:LPERR03G11710 transcript:LPERR03G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPASSPEVGRYARYIKKRETAGQVKTSSPSPPSQEQLSEAVRFGHSGANSGMVQIKEFRIVMPMSMEEYEVGLSYTIMKMEQQNTNSKEGVDVLQQVPFEDEKLGKGQFTSKDYHLQRVLRRQVHLPCMRNHGVHIQTAGQCPLFSKCSLTIDTVIRPDNGSSENAHNLTNEQLAVRKVEIIDIASQSRDYWSKVISCPNVDLTTFKSQRTNRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTFDQIREMERQTDLLLKKTLKKPAKGGSKIDGKRKTLKDEIAVVGSCT >LPERR03G11710.3 pep chromosome:Lperr_V1.4:3:8619253:8621202:-1 gene:LPERR03G11710 transcript:LPERR03G11710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEVGLSYTIMKMEQQNTNSKEGVDVLQQVPFEDEKLGKGQFTSKDYHLQSKIPSWMKGFAPASALTVHEESWSAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGSSENAHNLTNEQLAVRKVEIIDIASQSRDYWSKVISCPNVDLTTFKSQRTNRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTFDQIREMERQTDLLLKKTLKKPAKGGSKIDGKRKTLKDEIAVVGSCT >LPERR03G11720.1 pep chromosome:Lperr_V1.4:3:8626629:8627429:-1 gene:LPERR03G11720 transcript:LPERR03G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSINTAANVTVAQQPHYWLSVSPPSSASSTCSSPPPPVLVGNTSSSSTSHGTVVLSPCAACKMLRRRCADGCVLAPYFPPTDPAKFSTAHRVFGASNIIKLLQARTSLSRAWGSELPESAREDAVSSMVYEAEARARDPVYGCAGAVCRLQKEAEELKVQLARAQADLLNAQAQHANLVALVCVELAASSHSRHGHHQQLAADHALPLTPHHHPAEYGGGGAYQYYGQPLGCYDSAAWDEPRLWT >LPERR03G11730.1 pep chromosome:Lperr_V1.4:3:8638600:8644707:1 gene:LPERR03G11730 transcript:LPERR03G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSCPTKILVGKDLLKELEQRRSSPSVIAKLMGIDVLPPAYVTHNRRQEFKDVFEVSEEPHEAITKERSHHFSKGLPSLKRSALKLKKFMPSKSSYGDETIDNNVVFRDGFDCLNSLEINNPLFEKRPRDVNYSARHQHEKDTASSFRKYPVGLGNASLKDIRNSSRGMHGDFNNIVVLEPGLGKFQDSGKAFSMPDPSHVNKNFKREMTQDEFSMLNRQRVSRNLLDTEDIDVSKVKGERYMTTNVVDTLLKGQESSSDHYDTMDTSYTGSSQKCVNGEVNSRKSNRPSSNNSPRKNRQKYEEGSFGSKTLAEMFALSDSERLKRDSDSHTQIPDNKLNRGNINGKEGCFIVLPKHAPRLHPHSSLDKNPSHSGQFHFDSFLERPSLQQIGSTSQDNLRNSCTKPQTLARHRSVSPSHDNRNHSRCLTDNFSTFDCINEKILFTTDEDLVKKPAETVQSSFGPQLSGVEKVSASPFSCRDYESITISDHTYAVNSRKSLKEVEQPSPVSILEPPTDEDSYCSSSGYFKNDLQDMSNVERPVDDCELRYEQEASLSSDDDSSSFYQSLEAFQVEEERDFSYLLDILISSGMIVADSQLLFKTWHSPGCPVAPHVFDRLERKYNKISTWPRPERRLLFDLANSVLSEILAPWKSNRRCCPVWTPEGPVEVVWQTMVRQQEELAVGDPDDKVLDPEWMEIGEDINMVGKHIAKMLYCDLLDEVILEFLSGCVVS >LPERR03G11740.1 pep chromosome:Lperr_V1.4:3:8648614:8652260:1 gene:LPERR03G11740 transcript:LPERR03G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTEHGGRAKKGSGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVAAGGVGSSHVARALHAAGAVNRSLLAQDAAVVDAGPQPLLVVVTTTESTTTPAGQRAAALTRMAHTLRLVPAPVLWVVVEAAGDVPATARLLRTTGLMYRHLTYKENFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIR >LPERR03G11750.1 pep chromosome:Lperr_V1.4:3:8655608:8663042:1 gene:LPERR03G11750 transcript:LPERR03G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPADQPPPSDMEVDATAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTRRNISESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWAMLFSNLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTSSARDKNKEENSFIQEYELKSLAFRAERCFYLAKSYSSAGKRAEAYTLFCHARSITDSALQQLAKSTDKALVQDLKALSDSCRSNSCIEHATGIMEEESVPEKLSKGVSTLSLGDEKRKAVLSETRSQQAASMTEFSWLGRRFPIANAKTRVSILKAQQLEKDLNGGNTESVPADKKLAIFDKIFSAYHDARSCIRNDLASAGNTENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKNQDEKNEKITKPEELVRLYDLLIQEAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >LPERR03G11760.1 pep chromosome:Lperr_V1.4:3:8663477:8667613:1 gene:LPERR03G11760 transcript:LPERR03G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHAAAADILRRHRRAPPIPLFLPFLSPPPPPPQPLTPDRFPDGPRPVAPYLAPPLCRRRWPRPPPSSSSYVGGGRGILLPSTFSIYSPLSTSASPDGDSSPPPPSSWVDRWVPQAARPYAMLARLDKPIGTWLLAWPCFWSIAMASTPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKGDAFYIVAILKLKPVERTKSRPFASGVLTPTQGVGFLGFQLLLGLGILLQLNNYNSRILGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAMKENLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKHWISGFGAACIGSLALSGYSADLGW >LPERR03G11760.2 pep chromosome:Lperr_V1.4:3:8663477:8666709:1 gene:LPERR03G11760 transcript:LPERR03G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHAAAADILRRHRRAPPIPLFLPFLSPPPPPPQPLTPDRFPDGPRPVAPYLAPPLCRRRWPRPPPSSSSYVGGGRGILLPSTFSIYSPLSTSASPDGDSSPPPPSSWVDRWVPQAARPYAMLARLDKPIGTWLLAWPCFWSIAMASTPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKGDAFYIVAILKLKPVERTKSRPFASGVLTPTQGVGFLGFQLLLGLGILLQLNNYNSRILGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAMKENLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKHWISGFGAACIGSLALSGYSADLGW >LPERR03G11770.1 pep chromosome:Lperr_V1.4:3:8670074:8674972:1 gene:LPERR03G11770 transcript:LPERR03G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVASYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >LPERR03G11770.2 pep chromosome:Lperr_V1.4:3:8670894:8674972:1 gene:LPERR03G11770 transcript:LPERR03G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVASYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >LPERR03G11780.1 pep chromosome:Lperr_V1.4:3:8673363:8675718:-1 gene:LPERR03G11780 transcript:LPERR03G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALGRRARLLAALVLVVLAALAARSGAEVITLTEETFTDKTKEKDTIWFVQFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVLDEAEKAGEAKLQDSKGAKTSPAIV >LPERR03G11780.2 pep chromosome:Lperr_V1.4:3:8673744:8675718:-1 gene:LPERR03G11780 transcript:LPERR03G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALGRRARLLAALVLVVLAALAARSGAEVITLTEETFTDKTKEKDTIWFVQFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVLDEAEKAGEAKLQDS >LPERR03G11790.1 pep chromosome:Lperr_V1.4:3:8677360:8678969:1 gene:LPERR03G11790 transcript:LPERR03G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCTCGKMYPDLAEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSNCKCNPCNC >LPERR03G11800.1 pep chromosome:Lperr_V1.4:3:8685355:8690322:1 gene:LPERR03G11800 transcript:LPERR03G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWQEELATLVGDTGARFPGPGGESVANAAAAAEGWAQQARGFVESTAEMLRVLGHGLWDIAAQSLAGAEDSELARRLRGPAAAAGKRLSFMNEYLPEDRDPVRCWAVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTVDRARFSLIAPHSFLSSRLAGIPGINPSLLEEFGARLVTYDLPGFGESDPHPSRNLNSSAHDMLHLASALGIVGKFWVVGYSAGSMHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERRKTWERWSTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTVLEDPMFNAFWEKDVAESVRQGDAQPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFSQAEREWVGFLGPIHIWQGMDDRVVPPIVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQVFSTLFGIPQGPIKPVPEAPEPSEVASELTEETTLKEEQDGLA >LPERR03G11810.1 pep chromosome:Lperr_V1.4:3:8691360:8700659:1 gene:LPERR03G11810 transcript:LPERR03G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYPLLLYSPQVPDSMTTAAAAAAAGEIPTEEARPPRALYSFGRPWPEFNEGISYTDTFRCAGATTTLIEFYSTNYKSSAPLPGWIQRIRNGQITVNGEVTTDPDMALREGSKLVYHRLPWQEPFAPHLLDVLYEDNDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKQKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKARLAAYFAEGATNAGKNRSRKISKFYRALVTGILQNDEVTITQPIGLVRYPGVAEGLYAACSSGKPSMSKVCVLERFELHNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPNFDAPEFSRTDESFAYDGGYERPLQPVPGDCGYYLHAHWLVLCHPITNEMIKVTAPLPQILQTREEQQDTAKQLGG >LPERR03G11810.2 pep chromosome:Lperr_V1.4:3:8691360:8700659:1 gene:LPERR03G11810 transcript:LPERR03G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYPLLLYSPQVPDSMTTAAAAAAAGEIPTEEARPPRALYSFGRPWPEFNEGISYTDTFRCAGATTTLIEFYSTNYKSSAPLPGWIQRIRNGQITVNGEVTTDPDMALREGSKLVYHRLPWQEPFAPHLLDVLYEDNDMLQLKDWKMPSSFCSKQKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKARLAAYFAEGATNAGKNRSRKISKFYRALVTGILQNDEVTITQPIGLVRYPGVAEGLYAACSSGKPSMSKVCVLERFELHNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPNFDAPEFSRTDESFAYDGGYERPLQPVPGDCGYYLHAHWLVLCHPITNEMIKVTAPLPQILQTREEQQDTAKQLGG >LPERR03G11810.3 pep chromosome:Lperr_V1.4:3:8693587:8700659:1 gene:LPERR03G11810 transcript:LPERR03G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYPLLLYSPQVPDSMTTAAAAAAAGEIPTEEARPPRALYSFGRPWPEFNEGISYTDTFRCAGATTTLIEFYSTNYKSSAPLPGWIQRIRNGQITVNGEVTTDPDMALREGSKLVYHRLPWQEPFAPHLLDVLYEDNDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKQKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKARLAAYFAEGATNAGKNRSRKISKFYRALVTGILQNDEVTITQPIGLVRYPGVAEGLYAACSSGKPSMSKVCVLERFELHNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPNFDAPEFSRTDESFAYDGGYERPLQPVPGDCGYYLHAHWLVLCHPITNEMIKVTAPLPQILQTREEQQDTAKQLGG >LPERR03G11810.4 pep chromosome:Lperr_V1.4:3:8691360:8700659:1 gene:LPERR03G11810 transcript:LPERR03G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYPLLLYSPQVPDSMTTAAAAAAAGEIPTEEARPPRALYSFGRPWPEFNEGISYTDTFRCAGATTTLIEFYSTNYKSSAPLPGWIQRIRNGQITVNGEVTTDPDMALREGSKLVYHRLPWQEPFAPHLLDVLYEDNDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKQKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKARLAAYFAEGATNAGKNRSRKISKFYRALVTGILQNDEVTITQPIGLVRYPGVAEGLYAACSSGKPSMSKVCVLERFELHNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPNFDAPEFSHDQSHCSSATDPTDSRGAARYS >LPERR03G11810.5 pep chromosome:Lperr_V1.4:3:8700550:8703690:1 gene:LPERR03G11810 transcript:LPERR03G11810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSAADQAPPPADAADPPSRSLGVDQAAPAAAAPVDGSIGGETLGATSTPASVSQETLDAYSAADALQSLTVSPVAAEPEPALGEPAVDTGDGKESLKESCVVESLANQKGSGEQKRKVSKKSKVEKDRELFELAQAYHKVVAERDAGKAEKVVAKGNTAIAVKEKLESLCREFQKQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKELADQYNITQQKYANQLKEKTLELELADLKMQQHQEKAAHEQTQMQLYADQVSQLMATEKNLRLQLAADGERFQQFQDALTKSNEVFETYKQEMEKMVKLIKDLKKENEFLKGKCENTDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQGPSGSSSDAPSNQTNLASTES >LPERR03G11820.1 pep chromosome:Lperr_V1.4:3:8706345:8708254:-1 gene:LPERR03G11820 transcript:LPERR03G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQEMAAEVPPSLKAITLTHVRYLRGDKLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGICFAAGLLASQFLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLTLLRRSGPSRRVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVEYFPMIEESAVGRWLYIKDTSHIPDVLKFEYDNARAARRKASTD >LPERR03G11830.1 pep chromosome:Lperr_V1.4:3:8712634:8719908:1 gene:LPERR03G11830 transcript:LPERR03G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPGGGKARDKFSVYQNPSLTRALASRSTRPSLPVLVLLAVSPVASASSMLALSSWEGHLVKVAGRAGLSMASAVLVFRLVEAALGLVALFTLPAFFRALMLYNGKRALAKEDKVVLSERQLGLLGLKTTGSEAGGAGEQTKKPPKAKPSTPSEPIVPIRKSSFSYTPTRPVGQSRIGSSHLSPGGERLATALQMSPSTPLQKPVSSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDKITNSASKTATPPATIASFGVASPLTITTSTTPSGAARSTPLRPVMMSPGSHQKYSTPPKKGEGELPPPMSLEQAVDAFENLGVYPEIEQWRDNLRQWFSSVLINPLVEKIKTSHVQVKQTAASVGASVTVSQVGSDLPSTTGPVGLSPLGGTKDWQLTVTVDEDGILNQLRTVLLHSRDAPVAQTFGSPQQPQQNPLLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRVQELAEGTCLKNYDYIGHGEGYAKLEKKWISELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNSLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLMCHQINVGYGGVVRGIHIGSSALNILPRRAFGTVRSSNAPVTEKPSLAQKAPKVSPPPQPKKAAKVSPPPAQKPPKVSPPPPQKSAKVSPTPVLKPSKLSPPNLAKAATKPSRQGAKPLKKAAPGAELDPKARKKSQRVTFQEDAVAVTAVALGSGEKVKVSMEDSAGRTPMVSMKAVEKKGKDVSEETPFFTAQNCSNGSLNPLEESTYWLAHIRLAEEVGYHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESTSTLTTLFDELLLAHGGMPVNQPKFDPDGFEVVNTPLATNEDEKRLDSTATKMDEECLKCDSAGDIVGVAVHDIVKPIEEGVDQPSFEKKLDDSFEFDDCEAVIVDSAMVGHSDLENVDVNNPCESEPMKAARRSSIDKLSLKGSPAVSVMRQTQLSSGSPLDNVSPSALSLSAKRLSSVNPLDRRSPFGSSSSKRLTASCPSSKKSFSSKALSSKRISSGSNHDGEHNASSEAVDLKEVIPDVEFDSSAPDHLAVDQLELKEYTDGDAVNEMN >LPERR03G11840.1 pep chromosome:Lperr_V1.4:3:8722590:8728792:1 gene:LPERR03G11840 transcript:LPERR03G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGHSEALKNYNLGRTLGIGSFGKVKIAEHKHTGHKVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIVSGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNEERKFNQFASSESTSSNIRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVCWKKNGQYNMKCRWCGYPQPTGMLDANHSFVDDSIIMDNGDVNGRSPAEIKFEIQLYKTRDDKYLLDMQRVIGPQLLFLDFCAAFLTKLRVL >LPERR03G11840.2 pep chromosome:Lperr_V1.4:3:8722698:8728792:1 gene:LPERR03G11840 transcript:LPERR03G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGHSEALKNYNLGRTLGIGSFGKVKIAEHKHTGHKVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIVSGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNEERKFNQFASSESTSSNIRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVCWKKNGQYNMKCRWCGYPQPTGMLDANHSFVDDSIIMDNGDVNGRSPAEIKFEIQLYKTRDDKYLLDMQRVIGPQLLFLDFCAAFLTKLRVL >LPERR03G11840.3 pep chromosome:Lperr_V1.4:3:8724676:8728792:1 gene:LPERR03G11840 transcript:LPERR03G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGHSEALKNYNLGRTLGIGSFGKVKIAEHKHTGHKVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIVSGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNEERKFNQFASSESTSSNIRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVCWKKNGQYNMKCRWCGYPQPTGMLDANHSFVDDSIIMDNGDVNGRSPAEIKFEIQLYKTRDDKYLLDMQRVIGPQLLFLDFCAAFLTKLRVL >LPERR03G11850.1 pep chromosome:Lperr_V1.4:3:8734155:8737438:1 gene:LPERR03G11850 transcript:LPERR03G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFPVPPVVFTPSTPPHRRHPPPGAGPTPQPSFAPPRPSTSSGANPLPFMSFDVNAHATSSSPPLFAGPIGVGSGGGASFDDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMGFVMWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIMFRILLPYEVESCDLPVAGVVLVCFAG >LPERR03G11860.1 pep chromosome:Lperr_V1.4:3:8738508:8741983:1 gene:LPERR03G11860 transcript:LPERR03G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCIFFTRRFALSDATTPGDVRALFTRHSAGAPYMGADELRHYLAASGDAYDCAYADGDGDGDAAERIVDRVLQERSRTPRFGRPALTVDDFHYFLFSEDLNPPICHSKEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQIGVRVIELDMWPNSSKDDVDILHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVILSTKPPKEYLESKGGTMKDRDIEPQFSKGENEEAAWGREVPDIQDEMKAAEKQHEDDTLYTQRDVEEDDQKKMCQHHPPEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAARHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLRVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPLDSVMRKTRAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFI >LPERR03G11870.1 pep chromosome:Lperr_V1.4:3:8742380:8743635:1 gene:LPERR03G11870 transcript:LPERR03G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGTATAAVPCFAATAVGSRRVRVRAQAAAPWAGGAEELVRSGAVRAVRPRDAAEAIAADGFRLLDVRPEWERARAAVRGSAHAPLFVGDDDMGPVTLLKKWVHLGYIGLWTGQSFTRMNDRFLDDVAAAVAGDGKDAKLLVACGEGLRSLIAVRMLYDDGYKNVAWLAGGFSKCVDGDFADVEGESKLQYATVGGVSYIFLQILLLLRVVK >LPERR03G11880.1 pep chromosome:Lperr_V1.4:3:8758682:8764088:-1 gene:LPERR03G11880 transcript:LPERR03G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCFNGGAGWPEPVVRVQAVSDSCGATIPERYVKAPSDRPSLQGAAAPGGVVMNNIPVVDMSMPNADETARAVAAACREWGFFQAVNHGVRPDLLRRARAAWRGFFAQPAEARERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPPSLESHDKWPSLPHTLRDTTEEYKEEVLELSRRVMRVMSRGLGLDDGRLLAAFGGEGSEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVKGLQVRNADNQWITVDPVPNAFIVNVGDQIQVLSNAVYKSVEHRVTVSAAEDRLSLALFYNPRSDLPLAPMPELVAPPDRPALYPSMTFDEYRVDIRQRGLSGKPAQLRSPQTATTCAPPASSSSSASTLAR >LPERR03G11890.1 pep chromosome:Lperr_V1.4:3:8795500:8799654:-1 gene:LPERR03G11890 transcript:LPERR03G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVISECCGLTPLRLRGRGAGPAIALPAAPPSLAAGPRRPVTAIHREWALRVSAPTRLTSVVEEEGNRKVEEEARSPMAADNGGAFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVVRDVVVVLALAAAAARADSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQHHGHVENDESWHPLPKRLYNSLDNMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVLTSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYMIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPIPFHLLEVLAKSLKSDHYVSDTGDGRVSMSDVWHGQAFTQFTSTIFVVE >LPERR03G11890.2 pep chromosome:Lperr_V1.4:3:8796814:8799654:-1 gene:LPERR03G11890 transcript:LPERR03G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVISECCGLTPLRLRGRGAGPAIALPAAPPSLAAGPRRPVTAIHREWALRVSAPTRLTSVVEEEGNRKVEEEARSPMAADNGGAFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVVRDVVVVLALAAAAARADSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQHHGHVENDESWHPLPKRLYNSLDNMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVLTSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYMIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPIPFHLLEVLAKSLKSDHYVSDTGDVVYYQTDSKTSSAQSSD >LPERR03G11900.1 pep chromosome:Lperr_V1.4:3:8803607:8804896:-1 gene:LPERR03G11900 transcript:LPERR03G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSIQQDAAAAAAHAQDKLKERGEKLARISQESAELQSESENFANLAQQIAKNMENKRWWKP >LPERR03G11910.1 pep chromosome:Lperr_V1.4:3:8805455:8813166:-1 gene:LPERR03G11910 transcript:LPERR03G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAADEAPLLVEEEPLRPGSCSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCKVSKIYGVAGVIRLLAGSYVLVITSRKEAGAYQGSPVYSVNSMKLLCCNEAIKHLTTQEKKDEAYFMYLLRIAETTHGLYYSYDKDLTLNLQRALKLAAGRVHKPLWKQADPRFVWNKNLLEELIEAKTEQFTLKDVPVRITLFSRTRMWRRGANLEGATANFVETEQLVEYEGLMSSFIQPKVVQRHFHDLSQRYGEVVAVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQALYNQIEEAIQKQGYFLINSKGEILLEQSGIIRSNCIDCLDRTNVTQSFLARKSLDIQLQRMGALSSSGSISQSEDISDIFKKIWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKSISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQVGRNAQHFIASIICAGLTAGVVALVKANGKQLCSKPRLFNEIEEAKTMWN >LPERR03G11910.2 pep chromosome:Lperr_V1.4:3:8805853:8813166:-1 gene:LPERR03G11910 transcript:LPERR03G11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAADEAPLLVEEEPLRPGSCSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCKVSKIYGVAGVIRLLAGSYVLVITSRKEAGAYQGSPVYSVNSMKLLCCNEAIKHLTTQEKKDEAYFMYLLRIAETTHGLYYSYDKDLTLNLQRALKLAAGRVHKPLWKQADPRFVWNKNLLEELIEAKFSLTEQFTLKDVPVRITLFSRTRMWRRGANLEGATANFVETEQLVEYEGLMSSFIQPKVVQRHFHDLSQRYGEVVAVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQALYNQIEEAIQKQGYFLINSKGEILLEQSGIIRSNCIDCLDRTNVTQSFLARKSLDIQLQRMGALSSSGSISQSEDISDIFKKIWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKSISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQVGRNAQHFIASIICAGLTAGVVALVKANGKQLCSKPRLCGLI >LPERR03G11910.3 pep chromosome:Lperr_V1.4:3:8805853:8813166:-1 gene:LPERR03G11910 transcript:LPERR03G11910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAADEAPLLVEEEPLRPGSCSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCKVSKIYGVAGVIRLLAGSYVLVITSRKEAGAYQGSPVYSVNSMKLLCCNEAIKHLTTQEKKDEAYFMYLLRIAETTHGLYYSYDKDLTLNLQRALKLAAGRVHKPLWKQADPRFVWNKNLLEELIEAKTEQFTLKDVPVRITLFSRTRMWRRGANLEGATANFVETEQLVEYEGLMSSFIQPKVVQRHFHDLSQRYGEVVAVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQALYNQIEEAIQKQGYFLINSKGEILLEQSGIIRSNCIDCLDRTNVTQSFLARKSLDIQLQRMGALSSSGSISQSEDISDIFKKIWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKSISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQVGRNAQHFIASIICAGLTAGVVALVKANGKQLCSKPRLCGLI >LPERR03G11920.1 pep chromosome:Lperr_V1.4:3:8816390:8830200:1 gene:LPERR03G11920 transcript:LPERR03G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCKSGAGFLAVFVLLLLHAFSLPASALAVGLARRHRKDAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGAEFLTRMKGKTVMFVGDSLGRNQWESLICLLHAVAPQSPAQLVSVDPLYTYKFLEYDLVVSFYRAPYLVDIEVAQGEKVLRLDDISENGEAWRGADVLSFNSGHWWTHTGALQGWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPMTAGLNATAQATTSGQDQVIQATLRSMKSPARLLDISALSALRKDAHPSVYSGDLSPAQRASPGGGSADCSHWCLPGLPDTYTFVAEKNLSSTKRVKERAPLSVVVAIIGGLALAGIIFTEDLRGLTGKPNTNHMSSYTTLMQLVLLIKEKKEKKTAEKEIRRRTSALLSPEKAMPPPAPKMAFNASRCSVTDGYWAYDRSKKLPYTDQTFDPISMLEKLRGKRIMFVGDSLLLGQWLSFVCLVNSAVPDTPAAKSMDCSRTLSVYTVKEYNASIEFYWAPFLVESNSDKNIQLGASGRVLHVDAIEEHAKHWRGADILVFDSYVWWMTGYQIKSVWGSFGDDRYEELDAWVAYRLGPKTWANWMDSNIDPSATQVFFMSISTTHMRHTQTDKPYPNYTSIDDYCVLCARSEDWGREGGIRCYNETLPIMQRGYWGSGSDRRMMEVVSGVVGRMRTPVTVLNITQLTEHRVDAHVSVYTETGGLLVTDDQKADPQRYADCIHWCIPGVPDTWNQLLYAHL >LPERR03G11920.2 pep chromosome:Lperr_V1.4:3:8818489:8830200:1 gene:LPERR03G11920 transcript:LPERR03G11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSSTKRVKERAPLSVVVAIIGGLALAGIIFTEDLRGLTGKPNTNHMSSYTTLMQLVLLIKEKKEKKTAEKEIRRRTSALLSPEKAMPPPAPKMAFNASRCSVTDGYWAYDRSKKLPYTDQTFDPISMLEKLRGKRIMFVGDSLLLGQWLSFVCLVNSAVPDTPAAKSMDCSRTLSVYTVKEYNASIEFYWAPFLVESNSDKNIQLGASGRVLHVDAIEEHAKHWRGADILVFDSYVWWMTGYQIKSVWGSFGDDRYEELDAWVAYRLGPKTWANWMDSNIDPSATQVFFMSISTTHMRHTQTDKPYPNYTSIDDYCVLCARSEDWGREGGIRCYNETLPIMQRGYWGSGSDRRMMEVVSGVVGRMRTPVTVLNITQLTEHRVDAHVSVYTETGGLLVTDDQKADPQRYADCIHWCIPGVPDTWNQLLYAHL >LPERR03G11920.3 pep chromosome:Lperr_V1.4:3:8818489:8830355:1 gene:LPERR03G11920 transcript:LPERR03G11920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSSTKRVKERAPLSVVVAIIGGLALAGIIFTEDLRGLTGKPNTNHMSSYTTLMQLVLLIKEKKEKKTAEKEIRRRTSALLSPEKAMPPPAPKMAFNASRCSVTDGYWAYDRSKKLPYTDQTFDPISMLEKLRGKRIMFVGDSLLLGQWLSFVCLVNSAVPDTPAAKSMDCSRTLSVYTVKEYNASIEFYWAPFLVESNSDKNIQLGASGRVLHVDAIEEHAKHWRGADILVFDSYVWWMTGYQIKSVWGSFGDDRYEELDAWVAYRLGPKTWANWMDSNIDPSATQVFFMSEDWGREGGIRCYNETLPIMQRGYWGSGSDRRMMEVVSGVVGRMRTPVTVLNITQLTEHRVDAHVSVYTETGGLLVTDDQKADPQRYADCIHWCIPGVPDTWNQLLYAHL >LPERR03G11920.4 pep chromosome:Lperr_V1.4:3:8816390:8818571:1 gene:LPERR03G11920 transcript:LPERR03G11920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCKSGAGFLAVFVLLLLHAFSLPASALAVGLARRHRKDAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGAEFLTRMKGKTVMFVGDSLGRNQWESLICLLHAVAPQSPAQLVSVDPLYTYKFLEYDLVVSFYRAPYLVDIEVAQGEKVLRLDDISENGEAWRGADVLSFNSGHWWTHTGALQGWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPMTAGLNATAQATTSGQDQVIQATLRSMKSPARLLDISALSALRKDAHPSVYSGDLSPAQRASPGGGSADCSHWCLPGLPDTWNQLFYALLFYQQ >LPERR03G11920.5 pep chromosome:Lperr_V1.4:3:8816390:8818607:1 gene:LPERR03G11920 transcript:LPERR03G11920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCKSGAGFLAVFVLLLLHAFSLPASALAVGLARRHRKDAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGAEFLTRMKGKTVMFVGDSLGRNQWESLICLLHAVAPQSPAQLVSVDPLYTYKFLEYDLVVSFYRAPYLVDIEVAQGEKVLRLDDISENGEAWRGADVLSFNSGHWWTHTGALQGWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPMTAGLNATAQATTSGQDQVIQATLRSMKSPARLLDISALSALRKDAHPSVYSGDLSPAQRASPGGGSADCSHWCLPGLPDTWNQLFYALLFYQQ >LPERR03G11930.1 pep chromosome:Lperr_V1.4:3:8818853:8819818:-1 gene:LPERR03G11930 transcript:LPERR03G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWSGDTFWPKDAQVILTIQTNEDINDWSAWHYDPKGLFSVKSTYKLSAVQKEHNKNRDASTSGSSSSTDEGFDWMKNLGHECLEQDFKELEKMGDHTRVANPISWEAPPTDVYKVNVDAAYRASMNDGGWGFVARGSDGSFLAGECGKLGKVSSVLQAEALSAFFALEGGRGMGIIILESGDGCATVGKGDDFI >LPERR03G11940.1 pep chromosome:Lperr_V1.4:3:8823530:8823829:-1 gene:LPERR03G11940 transcript:LPERR03G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEEQEGLVLIDDVITEILLRLPSKSVVRCLVCRSWRRITSCPYFLAAHASRRPNEFVVFTGSGELGTIPLSLDPSEFSRIHLSNPPFWRAFDIGKK >LPERR03G11950.1 pep chromosome:Lperr_V1.4:3:8830606:8834141:-1 gene:LPERR03G11950 transcript:LPERR03G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGAADWLKHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNEDRTIVVVANGEIYNHKNIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDKLDGVFAFVLYDTRSKTYMAARDAIGVNPLYIGKGTDCAVWISSEMKALNEDCVKFEIFPPGHLYSSTAGGLRRWYKPHWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEELHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEQPYLPKHILYRQKEQFSDGVGYNWIDGLKSFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLVASHNSAASANHTTSHANGNGKANGNCALMANGTNGLAVPNGVANGIKIEE >LPERR03G11960.1 pep chromosome:Lperr_V1.4:3:8854244:8859469:1 gene:LPERR03G11960 transcript:LPERR03G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHLLQETVGAAHLAATSLAKRGEEVIVRVLDASASMANATTATATNANTDVKVEEGQEKDRDVTMPRLKEGGGVEEARRREDEEAAERESSAKAAAATAALRTVVNVPDTCDLYHGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSITKHAQNWVDVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTLRRVPVHLVDITAMSELRKDAHTSVHTLRQGKLLTPEQQSDPKKFADCIHWCLPGLPDTWNQFLYARIASAPWSDQ >LPERR03G11970.1 pep chromosome:Lperr_V1.4:3:8866505:8868303:1 gene:LPERR03G11970 transcript:LPERR03G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASASPESVSVAVSSPAQAAPPAAAGSPLRPFALRALHLREEGEEFAVYCRRGKGRRRVEMEDRHVAKVALGGDPKVAFFGVFDGHGGKNAAEFAAENMPKFMAEEIGKVDGSDSGEQAVKRCYLRTDEEFLKREESGGACCVTALLKEGDLVVSNAGDCRAVLSRTGKAEALTSDHRACREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVIADPDTTTLRVDPQCEFLILASDGLWDKVENQEAVDIARPLCISSDKASRMTACRKLVETASLNHSIFIVV >LPERR03G11980.1 pep chromosome:Lperr_V1.4:3:8868965:8870481:1 gene:LPERR03G11980 transcript:LPERR03G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMAPARASTAAGLHPFPCVGTLVPILSTPPALWTAPFLSAASLEPSSPPMAGRTRPSRQCQPPAMALSSVSAATIPSIPLPPSRISCDRRSSCCRSACRATAAIYDMSLLHLRIS >LPERR03G11990.1 pep chromosome:Lperr_V1.4:3:8886867:8891458:1 gene:LPERR03G11990 transcript:LPERR03G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPSSSAPPSMPPPSPMAASPADDRRGGGRAPVPPYVKAAAGSLGGVMEACCLQPIDVIKTRLQLDRSGAYRGIAHCGATVVRSEGTRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPGTGKVSAHGRLASGFGAGVLEALLIVTPFEVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLLPWQSMVSGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYTGMVHAIRTIYSEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHL >LPERR03G12000.1 pep chromosome:Lperr_V1.4:3:8899707:8900916:1 gene:LPERR03G12000 transcript:LPERR03G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGKGAFGAVHVAVDRATGRAFAVKSVDAKTAPAAAMACLESEIRILRRLSSPYVVEYLGDDGDAATTRNLHMEMVAGGSAAEAAAGGGLGEDGARGVVRRVAEALRYLHDVAGVVHGDVKGRNVLIGCDGGAKLADFGAARLLSDGAVQRGPRGTPAWMAPEVARGGAPTPASDVWSLGCTAVELLTGKRPWSEFGGGECGVGELLFLIGFGVKRPELPASCCGGGVSDSCRDFLDRCLRRDAGERWTCDQLLRHPFLSDAGESSPFPSPRAVLDWPSMSDSDSESNESEAAAAGSEHEVMARAKGRLAELASNTSWGREWEAGPTWEDEDEQAADNWAPLSSPDTAANAPVPSNPAVIADGGRVRIVLAVAAAGAGVGSMGTINVSSH >LPERR03G12010.1 pep chromosome:Lperr_V1.4:3:8903028:8906029:-1 gene:LPERR03G12010 transcript:LPERR03G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREPAAEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDLKAKLYRFDKDGNQWKERGTGAVKLLKHEETGKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKEAVEEIAEQLGKNEEKENEEVSSAAGLVEKLSVTETKKVENVEKEETSAEEEKKYAKE >LPERR03G12020.1 pep chromosome:Lperr_V1.4:3:8912753:8917166:1 gene:LPERR03G12020 transcript:LPERR03G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVRSLLVASFVLSSIALHVAAAKNSDPYKVLGVDKSASQRDIQKAFHKYVFPLQKRMQFIFRSLSLKYHPDKNKGKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGNPGFDRGNFGNREGYTYFTGGGPKNSYFSSGDGWQTMGGQGNTKTFSFSFGGNPGAGGGNQFGFDFGDVFSNLFSGGSMGDSQHTGSADKARPGSKTSGHDSNSINIQEVTMQIFNKEITDQGITWLLLFYTPNTKGQVVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTAEKGSFHEYSGEYDSKSLKTFCQEHLPRFSKRVDINQFSFPLNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKRLGVKNVPALIGRSINGEEQLLKDGISVKDLRSGIKELKILLENFEKKNKKLASNQAKKPTHTNQPKENKVPLLTTSNFEEICGEKTSVCIIGIFKSNKAKEKLEAVLSEISQKTLIRGQNYNSGNAVTYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYNSEVTLEEAERFVVSVLNGDVQLSSSGRKPVLK >LPERR03G12030.1 pep chromosome:Lperr_V1.4:3:8921150:8922522:-1 gene:LPERR03G12030 transcript:LPERR03G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHVGSVDGSAPAADNSTLGCPASAVGCPMKSGAPAPVSSGEASLGRHLARRLVQVGVSDVFAVAGDFNLTLLDHLVAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPN >LPERR03G12040.1 pep chromosome:Lperr_V1.4:3:8923932:8930329:1 gene:LPERR03G12040 transcript:LPERR03G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATFPYIWPGPIFVGSTRSSLRPDLLMASRSLSPLSSASKRCTRCGQADEPKMAATTFSSSPSKVGGSVTRSSSPYTQLTFCSRHHFQKAAAFHKSSPELSLLSPARRKHARPCPAADNDRAAPTAAAQDAPNTPPAAPSADSANGSPIAPEEAPKRAPLTARERLRAARVLGKYAEPSSGKGKASSSSGAAAKGKAEFGSRVLDAIRETDGKGGKKKRSSRLPEAPSNMFDDSKRGLAPKERWTFEALPFGTDVIVIVASFTLITVAMFGTTYLVWKLGGIHFNELDFGTRTICHEAILWPLRLPTKPRTSPLREGEAILARWIPTRVDPLPLLRHRHQPQDTIGNGKSGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFVKLGNHVHVDVHCVVLDLPAKVCISRSVNRKGHEGNLQGGMAAMVVNRMLKKKETPLLTEGFSRIMFCKDDNDIKKAIDMYKTLGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPENSSEKSSGPKVTPGESMPQMQDDFPKQKNLEAGGTCPVEAEKGLSNNKKIEEQSRESIPSDISSHTLAFPSISTADFQFDLDRASDIIVDTVAGVLQKYDNIRLVLVDLNQRSRILSLVKDKAAKKNINSSRFLTFVGDITQLHSKGGLRCNVIANAANWRLKPGGGGVNAAIYGAAGEDLQRATKQCADTLRPGSSVTVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGCKILHEAYTSLFENFVAIVQSCMGKQNAEPALEKPVTGVTSPNDSKTKREYIHDSERTKKHKLVQPNTSSNQAREGNGKRSGVTTTKTWGSWAQALYELAMHPGNYKNADYILEISDDFVILNDLYPKAKKHVLVVSRQDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQHGSATVSSDDKVLAMELRCHRCRSAHPNIPKLKSHIANCKSSFPSHLLQKDRLLSSSRMHMDCT >LPERR03G12040.2 pep chromosome:Lperr_V1.4:3:8926816:8930240:1 gene:LPERR03G12040 transcript:LPERR03G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGGSTAAPPPSASTTGAAAAADGEEGGGKQVLVVLVGPPGSGKSTFAKAVLGGSAAAAGRPWVRVCQDTIGNGKSGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFVKLGNHVHVDVHCVVLDLPAKVCISRSVNRKGHEGNLQGGMAAMVVNRMLKKKETPLLTEGFSRIMFCKDDNDIKKAIDMYKTLGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPENSSEKSSGPKVTPGESMPQMQDDFPKQKNLEAGGTCPVEAEKGLSNNKKIEEQSRESIPSDISSHTLAFPSISTADFQFDLDRASDIIVDTVAGVLQKYDNIRLVLVDLNQRSRILSLVKDKAAKKNINSSRFLTFVGDITQLHSKGGLRCNVIANAANWRLKPGGGGVNAAIYGAAGEDLQRATKQCADTLRPGSSVTVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGCKILHEAYTSLFENFVAIVQSCMGKQNAEPALEKPVTGVTSPNDSKTKREYIHDSERTKKHKLVQPNTSSNQAREGNGKRSGVTTTKTWGSWAQALYELAMHPGNYKNADYILEISDDFVILNDLYPKAKKHVLVVSRQDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQHGSATVSSDDKVLAMELRCHRCRSAHPNIPKLKSHIANCKSSFPSHLLQKDRLLSSSRMHMDCT >LPERR03G12040.3 pep chromosome:Lperr_V1.4:3:8926816:8930329:1 gene:LPERR03G12040 transcript:LPERR03G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGGSTAAPPPSASTTGAAAAADGEEGGGKQVLVVLVGPPGSGKSTFAKAVLGGSAAAAGRPWVRVCQDTIGNGKSGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFVKLGNHVHVDVHCVVLDLPAKVCISRSVNRKGHEGNLQGGMAAMVVNRMLKKKETPLLTEGFSRIMFCKDDNDIKKAIDMYKTLGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPENSSEKSSGPKVTPGESMPQMQDDFPKQKNLEAGGTCPVEAEKGLSNNKKIEEQSRESIPSDISSHTLAFPSISTADFQFDLDRASDIIVDTVAGVLQKYDNIRLVLVDLNQRSRILSLVKDKAAKKNINSSRFLTFVGDITQLHSKGGLRCNVIANAANWRLKPGGGGVNAAIYGAAGEDLQRATKQCADTLRPGSSVTVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGCKILHEAYTSLFENFVAIVQSCMGKQNAEPALEKPVTGVTSPNDSKTKREYIHDSERTKKHKLVQPNTSSNQAREGNGKRSGVTTTKTWGSWAQALYELAMHPGNYKNADYILEISDDFVILNDLYPKAKKHVLVVSRQDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQHGSATVSSDDKVLAMELRCHRCRSAHPNIPKLKSHIANCKSSFPSHLLQKDRLLSSSRMHMDCT >LPERR03G12040.4 pep chromosome:Lperr_V1.4:3:8923932:8926603:1 gene:LPERR03G12040 transcript:LPERR03G12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQATFPYIWPGPIFVGSTRSSLRPDLLMASRSLSPLSSASKRCTRCGQADEPKMAATTFSSSPSKVGGSVTRSSSPYTQLTFCSRHHFQKAAAFHKSSPELSLLSPARRKHARPCPAADNDRAAPTAAAQDAPNTPPAAPSADSANGSPIAPEEAPKRAPLTARERLRAARVLGKYAEPSSGKGKASSSSGAAAKGKAEFGSRVLDAIRETDGKGGKKKRSSRLPEAPSNMFDDSKRGLAPKERWTFEALPFGTDVIVIVASFTLITVAMFGTTYLVWKLGGIHFNEY >LPERR03G12050.1 pep chromosome:Lperr_V1.4:3:8933112:8935811:-1 gene:LPERR03G12050 transcript:LPERR03G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHVGSVDGPAPAADNGAVGCPASAVGCPMKSSAPAPVSSGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLSPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFLGTYWGAEFLTELAKRVNKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLNNNSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMLRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTSKVNCEEELTAAISTALGKKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >LPERR03G12060.1 pep chromosome:Lperr_V1.4:3:8940244:8940998:-1 gene:LPERR03G12060 transcript:LPERR03G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVYIQPGMLSFNKRFTQTYYYISEGNLILATGKTDFFMKVDTLEWHQLAVRLSVVCPLQTATPGLFA >LPERR03G12070.1 pep chromosome:Lperr_V1.4:3:8942491:8958355:1 gene:LPERR03G12070 transcript:LPERR03G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDSDRKIPWKKLDNSFSGNSYFKEKQFVHNNDSKEAMDLNSTSCYSRQQFSREPLVRSRVPRVPNPPPSPTSSQPVLNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSTGTPIATNSREMIGEIENKSAYVLAIKSDVENQGEFINFLAVEVQNAAYKKIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQSLQDKIEQGIHNTERVRDSASGRYKDLKIPWEWMLDSGIISQLKISSLKLAKEYMNRIVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >LPERR03G12080.1 pep chromosome:Lperr_V1.4:3:8958920:8961582:1 gene:LPERR03G12080 transcript:LPERR03G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPPPPPPSQKRADGWWSDGETTALIEAWGPLYVARDRGHLPVGEWRAAASAAAVNAHRAAAGRRHNRTRAQCQSRVRTLKNRYKRELSNPTPSGWRHFSRLHAFLAGGPVLPDGPPPGFPPRPPMGSIKKEEAEEEEEKCHQEEAVGSGSGGGLLGSWITSVPRRPRNVGVAAACCPAEVVTKLAEVYERVELAWLEVEKKKIAMEREENVKCKNRMDYLKKRLKATDRYTSKGARPPPPPAPVSGSIDRLRALLDRTPSVSPGFTPRGGGAPKAGDDDDGDGDETFAAAAAPLPRSWPSVPKRPRTAVALLPVSSSSATAGHHHGGDGGTPCTEVAAALDRLAGTYERVEAAKQKEATRLEERRLEAMRDLEIERMRILVDVAISGSTVADADVATATAASSSW >LPERR03G12090.1 pep chromosome:Lperr_V1.4:3:8964747:8969210:-1 gene:LPERR03G12090 transcript:LPERR03G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNADAPPSLKQATLDALGYVCEEISPEDLEQDQVNAVLTAVVQGMNYVENSSDVCLAAVKALCNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTLFELTANAARVDEEPVALQAVEFWSTICDEEIARQEDSQEFGAVSSSCHFHFVEKAVSLLVPMLLETLMKQEEDQDQDDGIWNISMAGGTCLGLVARAVKDAIVPLVMPFIEGNITKPDWRSREAATFAFGSILEGPSMKKLTPLVHAGFDFLLNATKDQNSHVRDTTAWTLSRIFEFVHLPITGFSVVTNANLPRIIEILLTSIKDSPNVSEKICGALYFLAQGYEDAGSMSSVLTPFLGEIISALLATADRSDSSNSRLCASAYETLNEIVRCSHISETLNMTVQLLQEILKRLNQTFEIPIASSDDKEKQSDLQALLCGVVQVILQKFSSCHEKSAILHFADQMMVLFLRVFSCNSSNVHEEAMLAIGALAYATGPEFVKYMPEFYKYLEMGLQNFGAYQVCCVSVGVVGDICHALDNKVLPYCDGIMSALLKDLSSSELHRSVKPPILSCIGDIALTIGENFEKYVPYTMPMLQGAAELCFRMDVPDDDSIEYRNELSRSVFEAYSGILQGFKNSKSELMMPYAGNIFQFVELFLKDKSSVDECVTKAGVAMVGDLADALGPNIKLLFKESNFHSELLGQFCQSDDEQLRETASWVQGVITRVLVS >LPERR03G12100.1 pep chromosome:Lperr_V1.4:3:8971845:8976564:1 gene:LPERR03G12100 transcript:LPERR03G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYAFSAAAGAAGASTSGSGGDGGGGSGGKPPPPPPPRSLSVRSASLCYPHAPSTSGAFVAADSPLPCGLPVAAALEPALDACLRPVDHVEALAASFRRMSSAEAEGDDLCDVFLEQHALFHALGDARLLRRALRAARVHATDPHRRVVLAAWLRYERREDEFNPTPPPLAACTPTTPLLECPRAAVFAGESPGVDPVCPCRRPPPPPPTPPAPRFGRSASSAAAADQMGGEEDGDGETNDLWFVVGEEEVECERSCIAALSKPLSTLLYGGFAEAQRDRIDFTRDGITPRGMRAVAAYSRHGRLDDFPTDTILELLAFANKFCCEGLKSACDNKLATMVTGVDDALSLVDLGLEEAAHLLVATCLQAFLRELPKSLSNPDVARLLCSPEGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCECAELPWQKQLALHQLGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKYKRGHKYSAYKMMNSIMGDYEAAGWMYQERSLYCVGKEKMADLRIASELDPTLSYPFKYRAVALLEEDKIESAIAEISKVIGFKLVTDCLELRAWFYLALEEYEAAVRDIRAILTLDPNYMMFHGKMHGEQLIEILRGHVQQWDMADCWMQLYDRWSEVDDTGSLAVVQQMLTREPGNSSLRFRQSLLLLRHKAAMRSLRFARNCSAHEHERLVYEGWILYDTGHREEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARSDLNMATLLDPTRTYPYRYRAAVLMDENKEDEAIGELSQAIAFKADLQLLHLRAAFFDSMGDSASAVRDCEAALCLDPAHGDTLELYRKASTKAEPQS >LPERR03G12110.1 pep chromosome:Lperr_V1.4:3:8977220:8980093:-1 gene:LPERR03G12110 transcript:LPERR03G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKLLLLLVVFLVAVSWPLCSAGTQGFGVGAERSSSIGVSSDWWSEPRCTSSSIKWTSSFSLRTSFCSFTLATGILTEKLTVTISKNHGTQISSTQSSIQNPSTIAGIAAFLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSANDWSKECESQYRKKITRLSKVSHKNFMNLLGYCEDEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWNGAKEPNPTNSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLASCFCNGEMPLMELIDPSIGSFSEEAACSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >LPERR03G12120.1 pep chromosome:Lperr_V1.4:3:8985058:8990513:-1 gene:LPERR03G12120 transcript:LPERR03G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKRGDGAESSSDAAAKKARVGGSASEAEAGAMVAGEAGGGGGGVSANGNEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLTLAGVKSVTLHDVENAEMWDLSGNFFLSEDDIGKNRAVACIAKLQELNNAVLISALTEDLTKEHLSKFQAVVFTDISLGKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVVDVDGEEPHTGIIASINNDNPAMVSCVDDERLEFQDDDLVVFSEVQGMTELNDGKPRKIINARPFSFCVEEDTSNFGIYAKGGIVTQVKEPIILEFKSLRDSIREPGNFLLSDFSKFDHPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQKFVEFVTSVNEAATDYKMDELNEKLLRSFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSLESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASQDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFMSDPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCITWARLRFEDYFANRVKQLTFTFPEDAVTSTGAFFWAVSFGISIPDWAKNASNLVDAVSKVVVPEFEPKSGIKIETDEKASNISSASVDDAAVIEDLLTKLEACAKKLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMKWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDLAKEVAKLDIPAYRRHLDVVVACEDEDGNDVDIPLVSVYFR >LPERR03G12120.2 pep chromosome:Lperr_V1.4:3:8985058:8990513:-1 gene:LPERR03G12120 transcript:LPERR03G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKRGDGAESSSDAAAKKARVGGSASEAEAGAMVAGEAGGGGGGVSANGNEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLTLAGVKSVTLHDVENAEMWDLSGNFFLSEDDIGKNRAVACIAKLQELNNAVLISALTEDLTKEHLSKFQAVVFTDISLGKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVVDVDGEEPHTGIIASINNDNPAMVSCVDDERLEFQDDDLVVFSEVQGMTELNDGKPRKIINARPFSFCVEEDTSNFGIYAKGGIVTQVKEPIILEFKSLRDSIREPGNFLLSDFSKFDHPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQKFVEFVTSVNEAATDYKMDELNEKLLRSFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSLESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASQDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFMSDPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCITWARLRFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSAVDSSHIHFILAASILRAVSFGISIPDWAKNASNLVDAVSKVVVPEFEPKSGIKIETDEKASNISSASVDDAAVIEDLLTKLEACAKKLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMKWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDLAKEVAKLDIPAYRRHLDVVVACEDEDGNDVDIPLVSVYFR >LPERR03G12130.1 pep chromosome:Lperr_V1.4:3:8991746:8995831:-1 gene:LPERR03G12130 transcript:LPERR03G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKKGKSADDEPKEQNKLPEHLEVQRTRVVCKADAPTEGFQYAGAFAAMGIDNSVSVDKFCKNFKVMVNRLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPNERNTIVYKLHVSCKRGSPRLTVKSGDLEWLPEGSQLPLASPAQSGDKQKTYTSFGQSQKDIFEKPLGVKFKDITIARLGPGQAIELEVHAVKGVGKVHAKWSPVATAWYRMLPEVVFREEIRDDAAEKLVKKCPVNVFDIEDLGNGEKRAVVARPRACTLCRECVMGPTGEQVELRRVRDHFIFTIESTGALPPEVLFTEAVRNLEEKCERVISELS >LPERR03G12140.1 pep chromosome:Lperr_V1.4:3:8996186:9000986:1 gene:LPERR03G12140 transcript:LPERR03G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTKATEQRRTHAPAPPSPPPLSIPFPFPFRDLPDLRGEKLREAVEARAGAAMSASSTPVDATGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVTRCVLSLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPISE >LPERR03G12150.1 pep chromosome:Lperr_V1.4:3:9002655:9005367:-1 gene:LPERR03G12150 transcript:LPERR03G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCAADSAAFAVAGEPTSAQSAPKKWQRLGRNFAGAIAAFKNSLNLDNNGGLPRDPSPRAVGERPPLLLRGFQQLYSRGGAAQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIDEIPGSNGRGGGTEGTVFQLTFACSAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVMILVQSGSEALFKNRVESALKSAIKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTRRPQLPIPLPQSSVFVSIDEWQTIRSGGEELGRWMVTSEEIEFIDWVGPSSFKGVYRGRKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNESHGLCIVTRMMEGGSVHDIILQRSKRLSLRDILRIALDVAEGLAFMNNYSIAYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETPMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISLLQRQNIR >LPERR03G12160.1 pep chromosome:Lperr_V1.4:3:9010539:9022468:1 gene:LPERR03G12160 transcript:LPERR03G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDRWLAKISRHVESGEPEIEGHRFSYIPRVRDRWRIGETATLAAQRRRLRLQLERFDDFTIASSWERFISEIEAICRQWLADGPKILMDFFNWIIAPLSASGVVLDDPESTKLLSSVAVALSNCGGNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVLSSTDFPARVKVNFSMKLTYRTPEYDYDNEETLVSEANETIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTTIWGERMFEETLEMAEVENASSFDADSWLLYPIVSPYMVNDSIGKFVGFASQLQLLTADTSGQENSKSTVTVPPPSVVDRVMKDLFSDEVGSSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESEHLPRMKITSSIDLSTCLIHQKLHMLAICIDRKKSLSREKGTDRAHEDGISSNSVGKSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVLHYLETVRPQQLLEQMVCTAFKSSADILNRATYGDFKLMKSKMDQLYATLASTLKSLQGESDISDLSGDLKRLCQVFEHIEKLLILAASVHRKLLDAPCLAQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDVVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >LPERR03G12160.2 pep chromosome:Lperr_V1.4:3:9010539:9021783:1 gene:LPERR03G12160 transcript:LPERR03G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDRWLAKISRHVESGEPEIEGHRFSYIPRVRDRWRIGETATLAAQRRRLRLQLERFDDFTIASSWERFISEIEAICRQWLADGPKILMDFFNWIIAPLSASGVVLDDPESTKLLSSVAVALSNCGGNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVLSSTDFPARVKVNFSMKLTYRTPEYDYDNEETLVSEANETIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTTIWGERMFEETLEMAEVENASSFDADSWLLYPIVSPYMVNDSIGKFVGFASQLQLLTADTSGQENSKSTVTVPPPSVVDRVMKDLFSDEVGSSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESEHLPRMKITSSIDLSTCLIHQKLHMLAICIDRKKSLSREKGTDRAHEDGISSNSVGKSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVLHYLETVRPQQLLEQMVCTAFKSSADILNRATYGDFKLMKSKMDQLYATLASTLKSLQGESDISDLSGDLKRLCQVFEHIEKLLILAASVHRKLLDAPCLAQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDVVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >LPERR03G12170.1 pep chromosome:Lperr_V1.4:3:9018453:9024308:-1 gene:LPERR03G12170 transcript:LPERR03G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRRRVLLVALVSAVAAVAAGALLPQPRAEAAAEKVPLALYYETLCPYCSRFIVNHLAGIFEDGLIAAVDLRLVPYGNAHVVGANNTITCQSWQRGIPRGPHALITIPLIHSTTSPEQSLPSMAALHHYGPLLLFIAALVIGASATVDVAEVEINDGRVDVALYYESLCPYSAKFVVDNLAKVFKDGLLSSINLSLVPYGNARVRDGKISCQHGSQECFLNTVEACAIDAWPDLRVHFRFIYCVEELVVNHKQQEWESCFAKLNLDPKPVTDCYKSERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFESYICKAYKGQPPKVCKGLRMLATESVTTTLGDMKLRPDEDDQTRPRSRRRFEGSADHLLKELLWPYSFKTYAEKAFLWVANQKNPLLCPHLPSHQVSATE >LPERR03G12170.2 pep chromosome:Lperr_V1.4:3:9018453:9024308:-1 gene:LPERR03G12170 transcript:LPERR03G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRRRVLLVALVSAVAAVAAGALLPQPRAEAAAEKVPLALYYETLCPYCSRFIVNHLAGIFEDGLIAAVDLRLVPYGNAHVVGANNTITCQSWQRGIPRWVQLKISRYRAVVGPHALITIPLIHSTTSPEQSLPSMAALHHYGPLLLFIAALVIGASATVDVAEVEINDGRVDVALYYESLCPYSAKFVVDNLAKVFKDGLLSSINLSLVPYGNARVRDGKISCQHGSQECFLNTVEACAIDAWPDLRVHFRFIYCVEELVVNHKQQEWESCFAKLNLDPKPVTDCYKSERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFESYICKAYKGQPPKVCKGLRMLATESVTTTLGDMKLRPDEDDQTRPRSRRRFEGSADHLLKELLWPYSFKTYAEKAFLWVANQKNPLLCPHLPSHQVSATE >LPERR03G12170.3 pep chromosome:Lperr_V1.4:3:9018453:9024308:-1 gene:LPERR03G12170 transcript:LPERR03G12170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRRRVLLVALVSAVAAVAAGALLPQPRAEAAAEKVPLALYYETLCPYCSRFIVNHLAGIFEDGLIAAVDLRLVPYGNAHVVGANNTITCQHGSQECFLNTVEACAIDAWPDLRVHFRFIYCVEELVVNHKQQEWESCFAKLNLDPKPVTDCYKSERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFESYICKAYKGQPPKVCKGLRMLATESVTTTLGDMKLRPDEDDQTRPRSRRRFEGSADHLLKELLWPYSFKTYAEKAFLWVANQKNPLLCPHLPSHQVSATE >LPERR03G12180.1 pep chromosome:Lperr_V1.4:3:9025315:9026133:-1 gene:LPERR03G12180 transcript:LPERR03G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSPLRRWKPFLAAFAPIDDAIEAFNPTFLCRDEFRRATARLFEMLLDAEDDAEAEEHRLLLDEAMVESLTTLRMVAVPPKTLATTDLAKVVGGMTKHESERIRRLAGEIVRGWRAAVKRDLVTMGIALEKLSQTPERIETNLPVSSDLNAKAKRAPPAPKKKTTALPPKLPAGACGRRESAEICNEEKIAAAKRKLKEGYQEVEEAKKRRKIHVIEDPKLVKQRQQKMHPIMRVRSRACGAASMAEKNFIMSSLQRVYNLDYHTNFLR >LPERR03G12190.1 pep chromosome:Lperr_V1.4:3:9031135:9031872:-1 gene:LPERR03G12190 transcript:LPERR03G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSPLRRWKPFLAAFSPIDDAIEAFHPTCLCRDEFRRATARLIEMLLDAEGDAEAEEHRLLLDEAMVESLTTLRMVAVPPKTLATTNLATVVGSMRNHESERIRRLAGEIVRGWRAAVKRDLVTMGIALEKLSQTPERIETNLPVSSDLDAKAKHAPPAPKKKTTAPLPPPKLPTDAGVRRESVKLGDEEERMAAAKRKLREGYQEAEEAKKRRKIHVIEDPRLVKQRQQKMQPIMRVRSRA >LPERR03G12200.1 pep chromosome:Lperr_V1.4:3:9032460:9034207:-1 gene:LPERR03G12200 transcript:LPERR03G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGREINSISCRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGTLAIRPSPASSSNSSSYLPPTSAASPPATLPVFLHDAPYFTAHHHQHQVVTKVDADAPASPVDEKQNHLHQQINWSDFLADDAAAHGAVDAQAPQANALGMYQEGSVAAATGVVGGRAFGDVVVDGASGGDADDGAGDASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGGSSSSEMGWGC >LPERR03G12210.1 pep chromosome:Lperr_V1.4:3:9035545:9036337:-1 gene:LPERR03G12210 transcript:LPERR03G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSPLRRWKPFLDAFSTIDDAIESFHPSCLCRDEFRRATARLVEMLLDAEDDAEAEEHRLLLDEAMLRMVAVPPKTLATTDLATVVGAMRNHESERIRRLAGEIVGGWKAAVKRDLTSERIETNLRVSSDLDAKAKHAPPAPKKKTTAPPPPPKLPAGAGVRRESAKLGDEEERMAAAKRKLREGYQESEEAKKRRKIHVIEDPKLVKQRQQKIHPIMRVRSRACGAASLAEKNFIISSLVRV >LPERR03G12230.1 pep chromosome:Lperr_V1.4:3:9039875:9040526:-1 gene:LPERR03G12230 transcript:LPERR03G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAEDDAEAEEHRLLLDEAMLRMVAVPPKTLATTDLATVVGAMRNHESERIRRLAGEIVGGWKAAVKRDLTSERIETNLRVSSDLDAKAKHAPPAPKKKTTAPPPPPKLPAGAGVRRESAKLGDEEERMAAAKRKLREGYQESEEAKKRRKIHVIEDPKLVKQRQQKIHPIMRVRSRACGAASLAEKNFIISSLVRV >LPERR03G12240.1 pep chromosome:Lperr_V1.4:3:9049831:9052172:1 gene:LPERR03G12240 transcript:LPERR03G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEVERREQEETMAQGKERGVEEDAGGGGEPGGESGFLSTMASKIGAAMSGANGISGGAEEEVDGGRNGDGNVVVAAPDGEEEGKGNGNGGGGIFGKLMSRSPDSLPASGTVEAEQDKGEGGDHEGEKAGILSTVASKIGIAMSGANGHANHRDEDDAKINSNGDASDNGKVEEKGDDPNGGGIVKQLISNLPKDDQAPDAEEASLLIAIIDD >LPERR03G12250.1 pep chromosome:Lperr_V1.4:3:9051566:9053992:-1 gene:LPERR03G12250 transcript:LPERR03G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAAGAGADGASASKATVVEPIRMPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALDNPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVVEKARARHDTTNTAVAGCVTGGALAVKGGPKATCFGCVGFATFSVAIEKFFDRHT >LPERR03G12260.1 pep chromosome:Lperr_V1.4:3:9054396:9057538:1 gene:LPERR03G12260 transcript:LPERR03G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLDIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDALTYEEKETGPDGQEVSKVVPAVTAEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDSIKACTAEIEKHKGKLIVKEAPRAVSEREEKLFNEQIETLNEKNAEIDGDADSEEEEDTGMGDIDLTNSGVNAD >LPERR03G12270.1 pep chromosome:Lperr_V1.4:3:9058245:9060920:1 gene:LPERR03G12270 transcript:LPERR03G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRHLLPSLAPALLLLLPLLALLPAAAAAAALGVNWGFSSSHPLRAAQVVRGLLLPNSVPRVRLAAASADALAALAGTGVAVTVGVPNDLLRPLASSRKAAAAWVHDNVTRYASGVRYIAVGDESFLFSHGQQNQSFQVKAAANIQRALVDAKLSNKMKVVVPCSSELYENTSTLPSKAYFRPEFNETIAELLSFLANHSSPFVVELNPFLSFQHKKNISLDYYLFQLMSHPVSDGHIKYDNYFDASIDTLVTALTNAGFSNMDIIVGRVGWPSDGAVNATPAIAQSFMTGLVNHLERKSGTPLRPKVLPVETFLFSLLDEDQQSIASGSYERHYGIFTFDGQAKYHVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSHADCTALSSGGSCSGIGWPGNVSYAFNNYYQQHDQSEDGCNFDGLGLITTVDPSVDNCLFTVAIDTSTASFHPILAMLQILILCFCTYSLL >LPERR03G12280.1 pep chromosome:Lperr_V1.4:3:9061452:9061943:1 gene:LPERR03G12280 transcript:LPERR03G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLPLLLFLLLAVAATATATATVAPPANAPAPGTDLIKRLADGAGTQQQCWDALMEIKACTGEIIIFLINGEAYLGPGCCRAIRVIEQSCWAADAMLSAIGFTPEEGDMLKGYCDAGDEDGNGGQQQKPSPPPHASPAAAAMEHCVAAFQGGRKSSPLLHR >LPERR03G12290.1 pep chromosome:Lperr_V1.4:3:9062528:9073105:1 gene:LPERR03G12290 transcript:LPERR03G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPERSKARCIEIDLTTTSSSPSPPKWRHLLQISPLYFLLPSSALSSLLKTLTLAAQPTSMAADYRTPDRLLSASTGAAEEQAQDSPNPALSVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGSPPCRPVLSLGAALRAAVTGEGGVLALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSERLGQNNPAAHASSGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGARAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMAANEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIEDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKGC >LPERR03G12290.2 pep chromosome:Lperr_V1.4:3:9062528:9068747:1 gene:LPERR03G12290 transcript:LPERR03G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSREQRPLLLAAACGLLVCLVAGEGGGGGGPCELSVARGGAVYRFSLASPTPAHRHGVLSEDGFYKVALNDSVLWFQLCDRMIFNFDPPVCLNCEDCGGPLRCGTQCSALVSNNHGGYDVCTTIGRVSKSHISLIDEINPQKGVVVKMFSSKCSFSVHIFCDSTMAQVSNEFVLSGSCDYATTLRHPSGCAQSMPTSGNGWGWLTTSFVTILCVLGGYILIGVIYRYYYLGIHSVEIRT >LPERR03G12300.1 pep chromosome:Lperr_V1.4:3:9075447:9080202:1 gene:LPERR03G12300 transcript:LPERR03G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPTEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRHRLDGAKVIKIFLDPKERNNTEYKLETFSAVYCRLCGQDVTFEYAMTENA >LPERR03G12300.2 pep chromosome:Lperr_V1.4:3:9077825:9080202:1 gene:LPERR03G12300 transcript:LPERR03G12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPTEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRHRLDGAKVIKIFLDPKERNNTEYKLETFSAVYCRLCGQDVTFEYAMTENA >LPERR03G12310.1 pep chromosome:Lperr_V1.4:3:9076757:9077382:-1 gene:LPERR03G12310 transcript:LPERR03G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKLGGYWLGQRANKEISSAGDDIESLSTSVGDGAKWLVNKLKGKMQKPLTELLREHELPEGLFPREATNYEFEAETRRLTVHIPAPCEVGYRDGSVLRFDATVSATLDKGRLADVEGIKTKVIVWARVTAVRADAAKVHFTTGAAGIRKSRARDGYEVVRGGIVVDEF >LPERR03G12320.1 pep chromosome:Lperr_V1.4:3:9077787:9077966:-1 gene:LPERR03G12320 transcript:LPERR03G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIPEREEMREQGSGGAGSRVWRRRMGCAGSALINPSFAAALGRHVADKWAYPSALH >LPERR03G12330.1 pep chromosome:Lperr_V1.4:3:9080931:9083803:-1 gene:LPERR03G12330 transcript:LPERR03G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAFLRPSAPPAPLAASRGAAAAAAAVRVPCRSRFSAAVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMGAEALNVPSAAKLFNKANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRAREGGQDVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEDVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVTSPVQWENTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >LPERR03G12340.1 pep chromosome:Lperr_V1.4:3:9094683:9095366:-1 gene:LPERR03G12340 transcript:LPERR03G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPGIPHQHQHHRGLAGVGMAVGCAAEAVVGVSGGGTRCGAHDGDVPAEVARHHEHGEPGSGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLANTAEKLAAGGARAAGS >LPERR03G12350.1 pep chromosome:Lperr_V1.4:3:9101320:9103661:1 gene:LPERR03G12350 transcript:LPERR03G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLLRRRHHRVLQTLSPAIAVAAASVGEILRYRQCSSAAASSPSLSIWRRKKEMGKEGLMAVGQLKRLAALPPAGGSPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVLLSMKIYGIVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWTDLKSEDVLFDQHTYGDIVRAFCDAGLVDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >LPERR03G12360.1 pep chromosome:Lperr_V1.4:3:9105088:9107688:1 gene:LPERR03G12360 transcript:LPERR03G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVVVMAALPAGAQPSSGGVVIAQADLQGLQAIRQSLVDPRGFLRGWNGTGIDACSGGWAGVKCGDGGKVVAIQLPFKGLAGALSDKVGQLTSLRKLSLHDNALGGQLPASLGFLPDLRAVYLFNNRFAGAVPPQLGGCKLLQTLDLSGNFLSGNIPASLANATRLFRLNLAYNNLTGVVPSNLASLPFLISLQLNNNNLSGEMPTAIGNLRLLQELSLSNNLISGSIPDGIGSLSKLQSLDLSTNLLSGSLPVSLFNLTSLVELKLDGNDIGGNIPDAIDGLKNLTKLSLRRNILDGEIPATVGNISTLSSLDVSENNLTGGIPESLSRLANLTSFNVSYNNLSGPVPVALSTKFNSTSFVGNIQLCGYNGSAICTSISSPGTMASPPLPLSQRPTRKLNKRELLFAVGGICLLFLLLFSCVLLFWRKDKQESESPKKGAKDATAKAAASKPAAASGGGGAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKSQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLASFLHARAPDSPVSWATRMNIAMGVARGLLHLHAEADIVHGNLTSNNILLDDDVSPKIADCGLSRLMNATANSNAVAAAGALGYRAPELAKLKKASNNAKSDIFSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSEAGEELVKTLKLALHCVDPSPAARPDAQQVLRQLEQIKPSVAMSASSSFTGEPSQTIATATTVTDDTKSTITE >LPERR03G12370.1 pep chromosome:Lperr_V1.4:3:9110403:9113380:1 gene:LPERR03G12370 transcript:LPERR03G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTRSMTRFCCFLASAILLLCFLLPAAVAEERFYEFVVQETLVKRLCKTQKIITVNGQFPGPTIEVYEGDTVAIRAVNMARYNVTLHWHGIRQLRNGWADGPEFVTQCPIRPGGSYTYRYAIQQQEGTLWWHAHSSWLRATVHGALIIHPRRGVPYPFPKPHAEFPVILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQETSIIPVVAGETTLLRLINAAMNTELFVSLAGHKMTVVAADAMYTKPFDTNVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASARGVPFDNTTATAIFQYKNAAGCPTTGAVAGANTFNGPLGGQSKSSSSGGYPGRAGPAPMLPFLPAFNDTNTATVFSDSIRSPAPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFAASMNNVSFQLPNTVSLLQAHYHHIPGVFTEDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHRLNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALLVEDGEAELEATMPPPLDLPLCVL >LPERR03G12380.1 pep chromosome:Lperr_V1.4:3:9116743:9117270:1 gene:LPERR03G12380 transcript:LPERR03G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVIRIVHLNGHIEEYARPVTAGEVIAAHPSHVLSRPCSQGVARRILIVSPESELKRGCFYFLVPASSVPEKKRRKSSSSTDRPRPQQQKKKASRPPPPPTAETVPAKAVSDADSYLAEVLSESKATGCNKRRRSVSVRATVWRPHLQIIAEEDSGHE >LPERR03G12390.1 pep chromosome:Lperr_V1.4:3:9120776:9123591:1 gene:LPERR03G12390 transcript:LPERR03G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPADAAAKPPPDVEKPDYASQNGSVPNSTAPSGGGGARGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLAASNQHGNWMQFDRYQEYKYLLAIAALAFAYSLAQAVRHAFRMRRGVDPVPAASGRILDFVSDQVVAYLLMSALSAATPITNRMRSAVINSFTNTTAAAISMTFLAFVALALSAMVSGYKLSKQTYM >LPERR03G12400.1 pep chromosome:Lperr_V1.4:3:9130502:9134184:1 gene:LPERR03G12400 transcript:LPERR03G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGNAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPPVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >LPERR03G12410.1 pep chromosome:Lperr_V1.4:3:9136588:9138450:-1 gene:LPERR03G12410 transcript:LPERR03G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLPADGLAAIRAALRPSSRGLRSGIPSEARAAKNPTAPLDTPRNVAGSGAGASASSGRAEVRDLAARCGLQEDERVPLSEVVLDCTRRWFQDTLKEARAGDAAMQVLVGQMYRNGYGVNKNEHKAQIWMDKASRYRSTVWKVSNKRPGYNASDSDSDDSKETGK >LPERR03G12420.1 pep chromosome:Lperr_V1.4:3:9140208:9145830:-1 gene:LPERR03G12420 transcript:LPERR03G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHKVGNEYSLGGRDLYRRADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVETELCHTDYLYVASNRGVDWHSNPRLENGVVTKGDTPRFIMESIKQCHGPPKLFMLDKYDIGGEGACLKRYTDPSFLKTDSECSSMLEQGIQRERRPLRAMEIRPILQNSEIFRPPNAANNDSRLETDLSSEALDEVPTRRRQLKYRHLNGSVFRSFRPEMQNVCGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSNMDKIKEDRHMATHKIRSVSEEGLSHSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTDHRDHGDQAFARVESSKLCSDAHGAAILGSISFRNNGSAVPNSSDVVPAKEEKDDHHQEYDCVTSPQTKLVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLIGDVHPSEPNMKTSATESNTNGYVSSAASNGTVGTTKKEKDNPNIAVISFKKTASKKSKYVGSMELIASKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTEDTELYEFEANGEGCDMKSLEMSLPPIEIMENAMQSLPSDSPQDNVDSRECQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLNSKEELECAGTTIGNLLDHTPEHTQDQIGEHPDREVTEDIHTKIVTESATDICEELKEGSISEEKMNGEEGEESNMSDVCAMDENTEYIEEQVISDDLVSSPISSKQSDDPCQIAPLILTDADDAVAGETVDNCISGKNITLSEAIMESGISAAVVESVTTSDLAVKYNEECGLHPETSLAQDLTHVSSSEIVGLNAPLPLCSSSTVDATPDLSVKTEEIHENPNFCNDSSAEFFGDAVAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPSYGVLQENNSSADTEGAPPPLPPLPPMQWRTTKLQPGSATLSAKLGRPPRPKPPVKYQENERYSSQDEVNKESEILQEVCLQNCLASVTLEKEMVATEVFDEIQTNTIIVRDSQESYIKGLNEYDVQASNSFSASEFQSARGVASVEGDNLETSQLSELIVIPEETWSELVDIKSIVGLEKGSKHHVITGVFNCNGMRTTENRDEYKGYDQKEKEFSAKERNTITESEEKEPNGITLQGDTQISDLSVQQEVGQHDSAVGMARELSSSLEEELATLSPARVPDPPKYPTLQVTSHDRSMLKKAPTLVQPSIKLSEDNNTILEQIKNKSFNLKPVNAKRPNMMGVPRTNLQVVAILERANAIRQAVADDDDEDSWSE >LPERR03G12430.1 pep chromosome:Lperr_V1.4:3:9147652:9152226:-1 gene:LPERR03G12430 transcript:LPERR03G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTKSDCLLTEDGSSAPKEKRKKQEEKAAEKKRRAEEAQQSGPNAAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >LPERR03G12440.1 pep chromosome:Lperr_V1.4:3:9165599:9167450:1 gene:LPERR03G12440 transcript:LPERR03G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTALASYAQDLAAMPTAALDILPDKPAMSPSLHGWDSANGAPTPMPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAAALGTHHVAFVRCDVSVEEDVERAVERAVARYGRLDVLCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAARAMTSSPRRAGSIISVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATPMLINAWRQGHDAATADDADADIDLDIAVPSDGEVEKMEEVVRGLANLKGPTLRPRDIAEAALFLASDESRYISGHNLVVDGGVTTSRNLIGL >LPERR03G12450.1 pep chromosome:Lperr_V1.4:3:9179790:9184821:-1 gene:LPERR03G12450 transcript:LPERR03G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHQADRSLIGASRQELANQKQQGSEAAARSASASASSELAELDGGVVAEDQDANNNKFLVLGLYEALNAGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGEASSFVFSPRSVDAFGSTVIAEGTTDDTRNLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAISAASPAPAPATTSSKPKCLWQSRRADRAHKSLPGLVLAI >LPERR03G12460.1 pep chromosome:Lperr_V1.4:3:9187479:9188051:-1 gene:LPERR03G12460 transcript:LPERR03G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSASASVSELAELESLVTRESEEQAAKFLVLGLYEALNSGDTRRAQELLAPDLEWWFHGPPAHQHMMHLLTGEASSFVFSPRSVDAFGSTVIAEGADDTRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKTTAISSSHAASPSAIAPAPARATSKLKCLWRSRRADRAQKSLPGLVLAI >LPERR03G12470.1 pep chromosome:Lperr_V1.4:3:9194352:9199845:-1 gene:LPERR03G12470 transcript:LPERR03G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELWRWALLLLVLSALQRHAVLKSRSAAVSGRRSGSTEMVPDAREVSGEGHEIVEVAGEPGAPSAATMRLMDFIPIYIPTVERGALSQSVRKRRFLDFLRAHPSRDWFLRLSCPPKLDDAVATLNGGSTPDVQMAAVNMERSATYSGDHHLVAMRPPVPPLMQMDQEWQ >LPERR03G12480.1 pep chromosome:Lperr_V1.4:3:9209564:9213829:1 gene:LPERR03G12480 transcript:LPERR03G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPATAATVSSFASPSSFSVKASKSDRVRPARRAAVNVRCVSSPPATDTSFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPDVITNAMADRAHALSTVDGYSGYGAEQGEKKLRAAIAATYYSDLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMRCTPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFSEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAAKRLKQLYK >LPERR03G12490.1 pep chromosome:Lperr_V1.4:3:9214797:9216140:-1 gene:LPERR03G12490 transcript:LPERR03G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAERMLGERRMREIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGGGGGGSSSGRAKAAAEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEVEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMIENYQPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLASRKVKRIRNETSNPLETKDELGLLHPAFKAMKTTT >LPERR03G12500.1 pep chromosome:Lperr_V1.4:3:9217668:9218041:-1 gene:LPERR03G12500 transcript:LPERR03G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRFHGRLGSFAKKGMRVVALLALSALLLAMIRRTQEKAFGEHTGDTCKFLGGIGVPC >LPERR03G12510.1 pep chromosome:Lperr_V1.4:3:9219154:9222757:-1 gene:LPERR03G12510 transcript:LPERR03G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEKLRGLTITSLDEEEDEPELPHRSPSAAGGIGAGYEDEDDDEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFALQIYAPLEDNSAAFHRTLFMFMCPSMACLLRDQHEQWKHRQGSPCRSVKVFRCQLPRSNAFYSSEPPKHNSSDKPLCPGAPVCHWCGTWKGDKICSSCKRTRYCSEKHQTLHWRSGHKSDCLQLISSSEASSSVLPAVGKVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERISRAPKQVLRYCRESNAKPLWALSAGCPSNADIPSCSYCKGPLCYEFQIMPQLLYYFGVKNEPDSLDWATVVVYTCQGSCNQNVSYMEEFAWVQELADIVCAHFD >LPERR03G12510.2 pep chromosome:Lperr_V1.4:3:9219154:9222757:-1 gene:LPERR03G12510 transcript:LPERR03G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEKLRGLTITSLDEEEDEPELPHRSPSAAGGIGAGYEDEDDDEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFALQIYAPLEDNSAAFHRTLFMFMCPSMACLLRDQHEQWKHRQGSPCRSVKVFRCQLPRSNAFYSSEPPKHNSSDKPLCPGVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERISRAPKQVLRYCRESNAKPLWALSAGCPSNADIPSCSYCKGPLCYEFQIMPQLLYYFGVKNEPDSLDWATVVVYTCQGSCNQNVSYMEEFAWVQELADIVCAHFD >LPERR03G12520.1 pep chromosome:Lperr_V1.4:3:9223024:9228115:1 gene:LPERR03G12520 transcript:LPERR03G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATIRDLLTSFSMGRRAWPPADRIRGHPAGGGGRRAPRGEARSPRARLHLHEVGTKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRVSDCHPGGVTAVAYSKHGRSLYTAGTDGMVCKIDASDGSVVEKFKPSSKAISSLAVSPDGAILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDSKYVLSSGVGERYVAIWTLGSGKAQSSSCILSMEHPAIFVDCKCSDVNPTEGKIHVLAISEIGVCYFWSGTNMNDLRNKKPTKIALSDSTLSRSKQGFAIFAAKLQGIDGPDSAHVLLAYGSVVKPSFDKLLVRHGMDISLGVSDDGVLLPMIQPTMPQKGQSAKKQGIITALDRANAEDAILPLPQLHTLEKKRKHGATESSDDIKSAMLRDLGTATKSIEKRAPVQRIEDDSVCIEDMMRKCGVLDSRIDQSMEGHPSIPNNILSDLFGSSSKIDANLPIKKIRSHLRSLNPEDACKLLEKLVSSWKTRSGSADVVLRWIYCLLIIHGRFIPLEKSTKIISDLEKLCVERYKAAEDLLKLSGRLRLLQAQIDRDPNDVSEPPSEETQDPAASDEEEDEEIDETVYGEDADSSQTSDDDAE >LPERR03G12530.1 pep chromosome:Lperr_V1.4:3:9232373:9234076:-1 gene:LPERR03G12530 transcript:LPERR03G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGAIIGASTVLVVAVVAAVCVVSFKNGGSNDGKGDGELSTSVKSIKSFCQPVDYKETCESTLEQTAGNATSPSDLAKAIFKATSERIEQAVKESTLLNDLKNDPRTSGALNDCKELLDYAIDDLKETFDKLGGFEMSNFKRAVDDLRTWLSSALTYQETCLDGFENATSTDASEKMSNALKSSQELTENILAIVDQFGETLANLDFSSFSRRLLGDDGVPGWMSEQKRRLLQVSPGAKEFKPDVTVAADGSGDFKTINEALAKVPTKTTGTYVMHVKAGTYKEYVSVPRNVTNLVMIGDGKTKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGAKNHQAVALRVQSDQSVFYDCQFDGYQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVFQNCLIQVRRCMDNQQNIVTAQGRKEKRSAGGTVIHNCTIEPHEEFKADAGKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLSTCYYAEVENRGAGADMSKRAKWRGVKAVTYQQALQKYTVERFIQGQQWIPKFGVPFIPGLLPQEQSGRIH >LPERR03G12540.1 pep chromosome:Lperr_V1.4:3:9236370:9237441:-1 gene:LPERR03G12540 transcript:LPERR03G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCASDPGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGRVPSDGTRRTRVAPYSTQPRRSSDD >LPERR03G12540.2 pep chromosome:Lperr_V1.4:3:9236553:9237441:-1 gene:LPERR03G12540 transcript:LPERR03G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCASDPGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGRVPSDGTRRTRVAPYSTQPRR >LPERR03G12560.1 pep chromosome:Lperr_V1.4:3:9246161:9247279:1 gene:LPERR03G12560 transcript:LPERR03G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARVSGFFSAAMLMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGASRGGLAFRRAPAFRNAADCGNATATANVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDAALGDLVSAVFPQLRFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLAGRAVGAPEYCHANFTKYFTDRFWSDKQFAGTFSGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGGGADGSR >LPERR03G12570.1 pep chromosome:Lperr_V1.4:3:9257202:9259214:1 gene:LPERR03G12570 transcript:LPERR03G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAVVFGVLLLLAGMAAAQNAPTTPTPAAAAVAPAPEPDCNGILLTYNFESRTKIRPFVADRNKQPYSFKANATVLNSGTRPLKSWAMLVTYGYGEILVGVDGAVLTGGGEMPYNTTDDAGNATSFSGYPQTDLLTPIATAGDISRIQASIGIVGTLFAGPGPFVPLPTALSLDDPSYACPKASNVSGGILSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGPAGQYYKDLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDNCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLGIATWQVVCNITTSKGAKPKCCVTFSAFYNDSVIPCNTCACGCPANRRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIEMDKAYDGFEKAYSFNSTTVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKVTPGINVVAGDGFPSKVFFNGDECAMPQRIPVSNSGFRTHLSSVLSLVFVLAASAFILLQQ >LPERR03G12580.1 pep chromosome:Lperr_V1.4:3:9270096:9276554:1 gene:LPERR03G12580 transcript:LPERR03G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFLSPARPSCFSFEDGCSGSPTWASMVEALIDSPTSSVSGGRGGGDGGCNSLTRASPMEKLLDSLSSRVPHCRDAGDFSSLPWASPLEKPLESVSSSISDGRGGGISSPTRAFPSENLLVSPPSRDSDGRGVGNDRGFHSLPWVSPLEKPLESPSSCASEGRGVGNGGGFRSLPWASPHDKALESPSSCVSDGRSGGYSSPLGSMAELLEAERLLRDAMAEIADLRRERLRLGAENLHLSLLLEELESEQRNRTSAVAPPPPKPSVEDEAVAAAAQGGAPKSIAIRSPGYLAQKQPHGQAKPQRLRVRAPQAMEPMQEAAEAGDGKEVVEVEVHRQGAVKTELCNKWERGALCSYGGRCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLPAAESSY >LPERR03G12580.2 pep chromosome:Lperr_V1.4:3:9268773:9276554:1 gene:LPERR03G12580 transcript:LPERR03G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFLSPARPSCFSFEDGCSGSPTWASMVEALIDSPTSSVSGGRGGGDGGCNSLTRASPMEKLLDSLSSRVPHCRDAGDFSSLPWASPLEKPLESVSSSISDGRGGGISSPTRAFPSENLLVSPPSRDSDGRGVGNDRGFHSLPWVSPLEKPLESPSSCASEGRGVGNGGGFRSLPWASPHDKALESPSSCVSDGRSGGYSSPLGSMAELLEAERLLRDAMAEIADLRRERLRLGAENLHLSLLLEELESEQRNRTSAVAPPPPKPSVEDEAVAAAAQGGAPKSIAIRSPGYLAQKQPHGQAKPQRLRVRAPQAMEPMQEAAEAGDGKEVVEVEVHRQGAVKTELCNKWERGALCSYGGRCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLPAAESSY >LPERR03G12580.3 pep chromosome:Lperr_V1.4:3:9271913:9276554:1 gene:LPERR03G12580 transcript:LPERR03G12580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFLSPARPSCFSFEDGCSGSPTWASMVEALIDSPTSSVSGGRGGGDGGCNSLTRASPMEKLLDSLSSRVPHCRDAGDFSSLPWASPLEKPLESVSSSISDGRGGGISSPTRAFPSENLLVSPPSRDSDGRGVGNDRGFHSLPWVSPLEKPLESPSSCASEGRGVGNGGGFRSLPWASPHDKALESPSSCVSDGRSGGYSSPLGSMAELLEAERLLRDAMAEIADLRRERLRLGAENLHLSLLLEELESEQRNRTSAVAPPPPKPSVEDEAVAAAAQGGAPKSIAIRSPGYLAQKQPHGQAKPQRLRVRAPQAMEPMQEAAEAGDGKEVVEVEVHRQGAVKTELCNKWERGALCSYGGRCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLPAAESSY >LPERR03G12590.1 pep chromosome:Lperr_V1.4:3:9277829:9282286:1 gene:LPERR03G12590 transcript:LPERR03G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELACPEAKRRRTFVNSNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYRLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAISNQTITTGPLSSMKIELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSFEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGLILLFNCIHEVVGLIVGSHCFTLNALTPTQKALVLKLQQDAYKFPNRIVEFKVQSQCSSQSSPTTIQSQPVQIPGSENANAQILNLPQVSGEPSNSQDGGLLNPIQYQPLNEALEDVLQTTGGSHHGHHQGSNELPILPWMASFGAGGFDVARDPFDVQFSGSQPCGLLLSSSGARL >LPERR03G12600.1 pep chromosome:Lperr_V1.4:3:9282190:9286118:-1 gene:LPERR03G12600 transcript:LPERR03G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGEEKLSPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGISRDDWLQALPRALVAGFVKTDIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSPTPPSLSPKKNQNKLRSLLFGRRSHSSVGKLGNKSDSFDSVEELFEEGSAMLGRNFPSKANLPPFRCAICQVTDNGSGCCSAPSTPWAGPYLCSDCRKKKDAMEGTIPYKGGLKTLMPANCRGL >LPERR03G12610.1 pep chromosome:Lperr_V1.4:3:9293562:9300251:-1 gene:LPERR03G12610 transcript:LPERR03G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPLFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVVVNAAAAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSSSGKSFVRKNSEPFRRCQSMNEGEVLPYEEAGFSGDFHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFESRLNSQHELVKAVLKNGTDGTKSFSKSKMDTIEIYSKHKQSKKEAYGEVTLKQHSMLQQQSKHVEELKVDIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCSVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLVNDEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVPSQPNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLAANDSPSWPDSVSRANFQMGEERETIGGEWIDKVVVNNINSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKASNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCETGR >LPERR03G12620.1 pep chromosome:Lperr_V1.4:3:9310030:9313110:1 gene:LPERR03G12620 transcript:LPERR03G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSPSSSEPRKQAAHPPPRDFLVHLEAYLSRRDGVDKLLKISRYAARLALAGAGGGSGPLIAPAAAARLKLFESSVGLSRKAFRLGKFVQNVNALRAHPHPPPPLVLLAYGGEGVYYFLEQFVWLAKAGLLPSHLLPRLQLLSAWAELLGYVGSITIKVEEIAKLESSVKMRLKEGCGEESDVVRTLRGKLLLKRMSVVQDVADAVMALGDVTDGKVVMEGLWALYFLG >LPERR03G12630.1 pep chromosome:Lperr_V1.4:3:9313479:9319778:1 gene:LPERR03G12630 transcript:LPERR03G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGENGGRRRRRRLGEDGDDDDDEYVLEEEDEECEEDLSASSAGEEGEASDEAYEDDEEDEEDEEDETPRPRQPVKGRENGRKGKADPAGVVRSRRPKYEEDDDYMDELDEDEGVDESDEDLEEEEDDKAPRSKRMKKCGGGRSLKGKLSPERSNRQRYEEDMDFDPDMDEEEEEDVDFDPEVEDEEDFEDEEEEEEEDELEVSKVRVKTMAQRKSALNQRRGKKKSSSKVSRRKVGSVKVRKAASVKRRQRKRSVFDRYEDDDFIVEDEVTADRQPRKKARIRKQMEVDPPTPVFEAETWPTVDSDTTDFEFVTSDEEPAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNSRESEPQDSLKVLPSSPRKISIAGNGEYKGKEKKEPQEAGRATCGICLSEEQRVTVQGLLDCCSHYFCFACIMQWSKVESKCPLCKRRFTTITKSLKEDTGLEPTQCVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGNEGHSYHNPVNGNSGVFGATSPIGTFERRGIDLNVSPREIPRGNHSVESQASSAGASTPSGRHANATNSRRRQMHDWIRILLSRPRTMLRPDMHHNGVQQSGSVPSTEQDHRNFCAPLEFDSSHNTVSVPRIEPNQNFHVISEANTSETSFGRHAALSERRQFYERFWTLLSRQSPTIRPDLCHNASEHGGSIPRVEPNHMNFHAPPVANSPQTLLDSIPNHNNGFSFAQAQGNFADGNNFQETEGV >LPERR03G12640.1 pep chromosome:Lperr_V1.4:3:9322831:9324717:1 gene:LPERR03G12640 transcript:LPERR03G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADYNHGAYRPYAAPFPADQERPYRNEVVPYGDRRIDVVVKPPTTTRSPPPPLPVTKAGGGGMGSAWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGFRWIKAKCSELIHGCTSMGQIFGESPTEPGILVEFGSDGTRHKPTTMCKEMY >LPERR03G12650.1 pep chromosome:Lperr_V1.4:3:9326170:9328307:1 gene:LPERR03G12650 transcript:LPERR03G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVLQWHGVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPIIWGSCNHAFHLHCILKWGPDSLATLGNLLLVFRGRYLSISC >LPERR03G12660.1 pep chromosome:Lperr_V1.4:3:9330070:9330693:1 gene:LPERR03G12660 transcript:LPERR03G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVAAAAAAKQKQQKASIGRRAWRLLRLAMLWARKGSAVHSLRLLSNLRRAGVGVGIGGGGRGDRLRYGEREYSIEETPAFRFRTPSARVLRLIPCIAPAVPDTPGIYGDEDRYFFCRRDTEPECSGYYYNGEPSECGGEEDGPADEQLLELSMLEASAAAATEGEDAGVDAKAEAFIARFHAQMKLQRQISWLQYNEMMERSLR >LPERR03G12670.1 pep chromosome:Lperr_V1.4:3:9337149:9341583:1 gene:LPERR03G12670 transcript:LPERR03G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKEKGGARIGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPEGAKLVKRGEEILPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAIPSSGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGNDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRVSEARENIPASQRSVSRQLQSSRTTLELPDVRFSRGQQMNRSWNSEEDIVIITSSSDSYEINNPGFSKNNKGKSVSLALQAKVNVQKREGVSSSGKNSGLQKERDEFRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKVAVDSSTGKLKNGSKMSKVGSRKDVIESISGDKEGSSSNNKDFPQKKRLIERSSANEKGTFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRSNFSLDAINEKDDSDKKSDGLSSVGLNFVNGDALSLLLEKKLKELTSKIDPSINFTRGDTFVPATFSLEEPVVSSSSNWGLESGVFDCSPSEVKSSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNTRKEQDREDLSPLSVLEPTFLSESCWSSDCCSGSSDGSKGYSSSVVKIIPRNDLINPPSVDTEAKATDSVSSSSIDVSDTSASIDSSDISDSTQCSKKSRNSELEYIGDVLSNVSLTKGGLGSLFICQDDVSVLDPLLFDKIESMNLYTQGKSNLDRRVHRRLLFDCVSECLEMRRTTYFRAGYAAWSKGIAAMSRGIESEVCNEISGWKSMGDWVEDELVDKDMSSGLGTWVDFRVEEFETGEEVEREILNSLLDEVIRDVFVRRRQECKFVI >LPERR03G12680.1 pep chromosome:Lperr_V1.4:3:9346389:9347459:1 gene:LPERR03G12680 transcript:LPERR03G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPPIGGGYFFSAPASPIHHLLLSSSSASSGAVPGAGFGGVGDPEFEFGGPGGPMISADELFHNGQIRPLTLSPLPDLDPGSDDDGGDGDCRPAPVRGRELTLRSGSVHRRTRSMSPLRGASPRLKLLNALVPAPDLGSAPSKSEEATPPVTASSRSSSSSSTSSSSSASSSARGGSRRWVFLKDMLLHRSKSEPHAAHDAPNSKPEKAWPFSPSTSWASSRDRITAKLRAAARPPQPQQQQTTPSSDSGGGEEVPQTTTTTRGRARGKGRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >LPERR03G12690.1 pep chromosome:Lperr_V1.4:3:9351876:9356688:-1 gene:LPERR03G12690 transcript:LPERR03G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDERLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNIAKEEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAASEAAVTIDMTKLQAAGKRRGGRTAGQSRKGDKKQAEAKQAKPAAADSTPLPESSPPRAQSVDEARSGSAVVDPEPSQQEQQPNNSSGSGTQDGPCSEVTATGPTSMDAMEIGGLWEAESEFAEMEALLCSGAAPDGLQPVDVAAQADDLLDMDWDGFATDLWGNSAQNSGGLVHDAGEPNMGCSSDELESFASWLLSDSC >LPERR03G12690.2 pep chromosome:Lperr_V1.4:3:9351876:9356688:-1 gene:LPERR03G12690 transcript:LPERR03G12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDERLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNIAKEEEDVIIKLHATLGNRYADRSTSSHFLLCFCCFLFLIMQMPISEWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAASEAAVTIDMTKLQAAGKRRGGRTAGQSRKGDKKQAEAKQAKPAAADSTPLPESSPPRAQSVDEARSGSAVVDPEPSQQEQQPNNSSGSGTQDGPCSEVTATGPTSMDAMEIGGLWEAESEFAEMEALLCSGAAPDGLQPVDVAAQADDLLDMDWDGFATDLWGNSAQNSGGLVHDAGEPNMGCSSDELESFASWLLSDSC >LPERR03G12700.1 pep chromosome:Lperr_V1.4:3:9371709:9377313:-1 gene:LPERR03G12700 transcript:LPERR03G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRRWCRLAAVLCLYCAPFCKPERESFATDGDARAPVPIDPLPGLPSRSLRCFDDGQVYSCCEGVYRLNPSGMIAVPPGEVDYYCGGACVVETEDVLNCVASALDGFRFLNGATVEDARYALRRGCSHTIKRGDFNDLEPQIGDYPDIYGDYSSNGGKAAAAALPSLVVAFLGGAAWLLIFGCAQIIYHTMASRFGQSRHWWALLYVRQGEEPHRQTKPDAHEGAGGADGGDGGGRQSDLVAGLDA >LPERR03G12700.2 pep chromosome:Lperr_V1.4:3:9376430:9377313:-1 gene:LPERR03G12700 transcript:LPERR03G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRRWCRLAAVLCLYCAPFCKPERESFATDGDARAPVPIDPLPGLPSRSLRCFDDGQVYSCCEGVYRLNPSGMIAVPPGEVDYYCGGACVVETEDVLNCVASALDGFRFLNGATVEDARYALRRGCSHTIKRGDFNDLEPQIGDYPDIYGDYSSNGGKAAAAALPSLVVAFLGGAAWLLIFGG >LPERR03G12710.1 pep chromosome:Lperr_V1.4:3:9377924:9378931:-1 gene:LPERR03G12710 transcript:LPERR03G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQYSSKLLLAAAVILCTAISTCKAQDAVEIVAKAVLCFDNHTVINKCLQEIGIVSDSKAWASTTQGGGHRGAALDTSANASAAASSMCETPCFEHMMMMTGCMDDILSNFKGYSAGLIKGYRAVFLMSCKVVTAASAGSSSNATANATAAGHADDRHSPSHGSAKGGSLVSGSAATNGAGGPLRVGDLLVWTTILMVTHMAMPSACTS >LPERR03G12720.1 pep chromosome:Lperr_V1.4:3:9380212:9386446:1 gene:LPERR03G12720 transcript:LPERR03G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFLLVGFDPVSESQVSAELGGSRGGALGCLWGLMFGNFGGVAFSQYRSELVRRSGVDAGRVGDGCTHVIVCGGVYDDPACVAARAEGKKVVTELWVDDSLDRLVLADADRALYWPVRDSKGIPGSESLVVCLTGYHRNDRKDIMNMVSLMGAQFSKSFAPGLVTHLICYKFEGEKYEAAEKVNSKLNLNMNVKLVNHRWLEDCLKSWEILPVDDYRKSSWELEIMEAQVKDSEDEDVGQSSFRNKTVRSTLNPKGSVGTSANTVVNAPITSPTTVSGNIGMVLKKHPNIPGEIRKAEDAVNRIHDVAAQGTPKTPRLAMFANTDFSTPSQILFVLSDHREDAAVRDLNNPDQIQGNKLKDVGTRTLEVTSNASGTPSSSKTVVSANHSLHSLNKTNFVEDHGNTDVRKADLTPSREILPANELDSSRATCTLDAAAGRSIIDDKVTNHDVDLKSGSNGLLSNNKNTLKFIEKSVPPKQYSAKRLVPPQEAEGSMMRADSSNSTARKGDKIVAEVADIQVLKSGENIQDENVLSGAHTREKKCSISPAWFKVQNGDMGKETGTLNSPFASRFSDTSEQAIWSSVGTNPSEATNVDLGKQQSNSSKLRSRTTLKHDNPVDGSKFPEYSASETNAQPPLKQKELPTTCFSATVHDVKRCPDFTFRNKDGEYAQDSGNALNQDGLPLMCETENVHAKVRTSDISVYSSRNSKLIPVSGNDDTEMFDALDVDKNETAVASNCNPEKVVPDENVKANCLKDFSGTSKNVLRQTGYIKKVSSRRAMNASTKRPHSASKVVDGQISAVKAIVSESEPDKMIAHKHIGETAKDGPDSSNAADNRTNSSDKVLINGVRSSISRRLLNVHKKNGTQATSNLESSKTISEENTGTEINPKKFASIETTEEHQTNSPEVLPNTSVRNSFAKRSRVFDTKMTGESSVDRTETVAAKSLFDDFFPSENNDDHPKKLSSSASADGCGSLSKDAPSAKVRNAVAKRKIKAPEDKSDSKLRKIGGAIVSAAKAVVARRTEESSCNINDAATDQNSLKIDGVRDVSGLFSSDTSVIDRSENLNNPRLRCSKRNKSISLDHEKENRQDNGTVSSKSGKTSSTNANMVQNSENMFNESRSIKGNGPDTLIAPEPTCFILSGYRQQRKDYRSILRCLKARVCRDSHHWSYQATHFIAPDPLRRTEKFFAAAAAGKWILKSDYLISCNEVGKLLDEEPFEWFGTGLNDGETISFEAPRKWRALRQQTGHGAFYGMQIIVYGQLVIPSLDTLKRAVRSGDGTILATSPPYTRFLNSGVDFAVVSPAMPSADAWVQQFISHNIPCITADYLVEYVCKPGSPHDRHVLFNTNDLANESLKKLLQNQQVVTTDVVKPQEDDDHDLSCSACGSTDRGEVMLICGNEDGSTGCGVGMHIDCCDPPLEAVPEDDWFCTECEMPKATKKPAASRTASKSTRSKLTTQSKRR >LPERR03G12730.1 pep chromosome:Lperr_V1.4:3:9396656:9399236:-1 gene:LPERR03G12730 transcript:LPERR03G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARTILAAAPESGGGDAVAALGFVDLALELSPRMESALELRGRALLALRRYRDVAEMLRDYIPSCAKTCSGDDTLSSTSSSLLSSGSGDLGTISRAKLLSPDRHRSDATAEPGASAAARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVSLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSNLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFRAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTTRIQELRRRVGAGEACNIDYYALLGIRRGCTRSELERAHLLLTLKLKPDRAVVFGERLELIDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAREAAAAAKEAAAAAAAAKEAAAAAAAAEAAAAALAAKQEAAKQEQAAPPMTRQTENAPIAKRCTVSKPKPKGKPAATVPTAMSKKAGRLATKTTAAATSKAAVTAVAAPKAALASTAVTAVAATESSSTAPVYQGVFCRDMAVVGTLLSRGGFDRTLPVKCEAMSC >LPERR03G12740.1 pep chromosome:Lperr_V1.4:3:9421272:9422095:-1 gene:LPERR03G12740 transcript:LPERR03G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACIKKKFGGIAPKKPLISKDHERAYFDSADWVLGKQGGNSNSSKVAVETLKPKLKVQERLITSSLLASQPAHQAEVRGITLQQLETSEEQGNRWTQIRV >LPERR03G12750.1 pep chromosome:Lperr_V1.4:3:9423377:9427026:-1 gene:LPERR03G12750 transcript:LPERR03G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLVHSRPKCFTIFGFMKVAVLFLAEKTVRGQEMAGCDEAKSLASVEEEIIMEADAL >LPERR03G12760.1 pep chromosome:Lperr_V1.4:3:9434635:9443068:-1 gene:LPERR03G12760 transcript:LPERR03G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKNFSPKPRHDHTTHTTTSPPPARHGHWPPRDETAGVSRSPHRHPLLIAATPICPAPSCQVKAAHARGTRGRLRNLRERERIAAAGGREMAAERDIDDLPRGDANYTALTPLWFLERAALAHPDRLSVVHGPVRYTWANTYRRCRRLASALARRSVGHGSTVAVIAPNIPAIYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPASLKDALSKGAIEYEEFLEAGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALIWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVSAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADTILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWNELPDDERARLHARQGIRYVGLEGLDVVDTKTMAPVPADGATLGEIVMRGNGVMKGYLKNPKANAEAFEGGWFHSGDLGVKHADGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADERWGESPCAFVTPKDGVDRSDDAALAADIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >LPERR03G12770.1 pep chromosome:Lperr_V1.4:3:9443472:9446927:-1 gene:LPERR03G12770 transcript:LPERR03G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGHGSTVAVIAPNVPALYEAHFGVPMSGAVANCVNIRLNAETVAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDQTCDSKPLQYALGRGAIEYEEFLKTGDPEFNWKPPKDEWHSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAVCGTNICLRQVSTKAIYSGIVNQGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMLAGAAPTPSLLAALSVRGFRVTHTYGLSETYGPSTVCAWKPEWDSLPLEERSRLHCRQGVRYAALEGLDVVDPKTMAPVPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSIEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTLKEGVDASDEAAVGGDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKNELRIKAKELGPVKKSRM >LPERR03G12780.1 pep chromosome:Lperr_V1.4:3:9453787:9454404:-1 gene:LPERR03G12780 transcript:LPERR03G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSGAAVSASGGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARDLQRHQQHKGKSVGAAPAGGGKVASLPADHVLGAGGVYVLLPATTTARGGKVSADEARRALTASRSLARSRSMPGRLRRKLSSKKSRETDGDDDDVSDNLAAASASAVARVDVFEEHQRPEFLSRELSIRGWKPSLITIEERVAPKKVSHWLF >LPERR03G12790.1 pep chromosome:Lperr_V1.4:3:9459926:9460763:-1 gene:LPERR03G12790 transcript:LPERR03G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATEEETAATGRRILVAVDEGDESVHALKWCLSSFAKRGGGGDGAAPADTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSREVAEAVVEKAQKLCTLYCKEVGDGEASHEMKVEVKVAVGDARNVICQMVDKLGADVLVMGSHGYGLFKRSLERSRFQSKKLALLGSVSDYCVRNANCPVLIVKS >LPERR03G12790.2 pep chromosome:Lperr_V1.4:3:9459926:9460763:-1 gene:LPERR03G12790 transcript:LPERR03G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATEEETAATGRRILVAVDEGDESVHALKWCLSSFAKRGGGGDGAAPADTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSREVAEAVVEKAQKLCTLYCKEVGDGEASHEMKVEVKVAVGDARNVICQMVDKLGADVLVMGSHGYGLFKRALLGSVSDYCVRNANCPVLIVKS >LPERR03G12800.1 pep chromosome:Lperr_V1.4:3:9461470:9468426:1 gene:LPERR03G12800 transcript:LPERR03G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATATATPRGRSSQRRARCKCAGGGGGDRAATCCFNPLRSLFRRPGGRRGRRSQSRSRSRQRTPSRVGDLAAIAVGAEQQSEEPSFFVYAMPNQVGGVTAADHGGKKKKNKDKKKHNKPRLPSIRSCFRSKKSKERKANAAVVARRQALTPAPSLVTHPPGSPSTTTTFERTQSVAPPSVTQPPSPAVTENGSNNSPAPPNRIPGTPRPGKNSTASSASAPSPFQPQWQQQPKQVVDGLEIVEVATGERLSAHDVGLIEMVGSSTDVSAESSVKSSLDYVNEPPPQQQMRTSNRTAVVERKAAAVVKAVEQPRLWLNGNAEKGTAGERFTGPPVAGEADELWTHDIACSRVHAVMLAETFQPIYMGILGLKTRPKHKAVGEAKLYIGAATLMAATSQSFLSPAPNPLLRRSILPFAAGGAISLRGARRLAFPAVAAASTSMASSESDERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFSQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >LPERR03G12810.1 pep chromosome:Lperr_V1.4:3:9469618:9471207:1 gene:LPERR03G12810 transcript:LPERR03G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAVAAGEGGSVSDSTGAKKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHERVVQCAIAGAALSTAANVLSGIF >LPERR03G12820.1 pep chromosome:Lperr_V1.4:3:9471750:9476883:1 gene:LPERR03G12820 transcript:LPERR03G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSTTAARLLSHLRFPRALNPSQLDSLLGPSRGLPAAAERCPFGDPPNRWFSSPTAVAEAPMTADGLTVDSIAGKGWTILPEDDSDWRSHAAAVAQSVKLIKKRLKWGWILERSKQLSVVLERPDLWDDPVFAGKVSREHGELIGKIKSVNLFEQELMEHIDMLRLAREEDDNELEKETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMIMNMYRSWAQRRGYTVTIVEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKSSAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEADGSA >LPERR03G12830.1 pep chromosome:Lperr_V1.4:3:9475199:9476623:-1 gene:LPERR03G12830 transcript:LPERR03G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQDRSGGDAADAGRRARHQRLLHSFPVVPVVLLLLAPCAIFFFTSRDVALPRIRIEYACRDQDPVASAPASNNPPPPPPPSLPPPPPPPSPPPPLPPPIDDGDTRRSLSRLRQLTDAPYKLGPAVSGYDAHRAEWLREHPQFPASVGRGRPRVLVVTGSSPRRCKDPEGDHVLLRALKNKVDYCRIHGFDIYYSNTVLDAEMSGFWTKLPLLRALMVAHPETEFLWWVDSDVVFTDMLFEPPWGRYKRHNLVIHGWDGAVYGGKSWLGVNAGSFIVRNCQWSLDLLDAWAPMGPPGPVRDMYGKVFAETLSDRAAYEADDQSALVFLLVTQRDRWGEKVFIENSYNLHGFWAEIVDRYEEMRRQWRRPPGLGDERWPLITHFVGCKPCGEQDKSYEAERCRRGMNRAFNFADDQILELYGFAHESLNTMAVRRVRNETGRPLDADDEELGRLLHPTFKARNKKKSRAARPM >LPERR03G12840.1 pep chromosome:Lperr_V1.4:3:9481169:9482524:1 gene:LPERR03G12840 transcript:LPERR03G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKHGGKPASDRRAGRRLYGTQKYSASATVPLVVVVILVLGVLFLILGPTGSSLALPRIRIVFNNEPVQIAEAAANSPPPPPAQAGANTSDEDSGLPPPRQLTDPPYSLGRTILGYDARRAAWLAAHPEFPAMAAPSGRPRVLVVTGSAPSRCPDPDGDHLLLRAFKNKVDYCRVHGLDVFYNTAFLDAEMSGFWAKLPLLRSLMVAHPEVELIWWVDSDAVFTDMLFELPWERYAGHNLVLHGWAAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDLWAPMGSRGPVRDRYGEIFAEELSGRPPFEADDQSALIYLLVTQRERWGDKVFLESSYDLNGFWEGIVDRYEELRRAGRGDGDGGRWPFVTHFVGCKPCRRYADSYPAERCRHGMERAFNFADDQILKLYGFAHESLNTTAVRRVRNETGEPLDAGDEELGRLLHPTFRAARPA >LPERR03G12850.1 pep chromosome:Lperr_V1.4:3:9483421:9498593:-1 gene:LPERR03G12850 transcript:LPERR03G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTVDFFHLGGGDGILRWGIGRWRRAHPSQHTVAPAQDPLPHPSSPLFHLPRVPLPLPLPLAEPRVRRRRALPSPAAPEERKRAGVVPPGAAATSEATGTRHPRLRLAAGVERTTGDASGLISPLLVVLYTWLLENTNLLELEKVNSVNPVIPTDVPPEADVNVDMREIYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRGGFHSGEETDDGISLPLGYLKYPHISKDHLVKLLKQLIVSSCHPHGLVGGISPNAADVPTLLGSNSFSLLASDTSRQDKESPKLSRYLRWPHIQADQVRGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRFVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARQSQQSPRIYIPKPPDVAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKISSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSISVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGTVIDQETQLVPYRRNIQDFLCDSGMMPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTFNTTTGEYQIIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGLDEHSSEGEQEVLSGESSGASYSSAEIDGDNLTDTAHPRRSRRKKKKSDGGVVTSSGRRVKKRNLDDRDVPTVSRPHRSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALNFFSKIGDSTEEDEDDSESSFSDSELNTESTEAEQSAWNGQLRLGRESNSKYDSEDVTQPSQFTETHGNSGSNRRLVLRIPRVQFTSENRKTECSTQDKEGVTLVPTNREPVETKLTSEPGSSSAWKAGLTTDRVQTEISHPHDVSALHNNNTIKWGEVKVRSSKRFKFGDSSVGDMCPTSNNVVPQDADQPDSQKILNGDDIQQTAELNLQEIQHEANLENHKINDHSGDNLWDKERIASDKNAHVDEGCEAEHGRQVHNTSQPISLKLKFRSRGFADGASSSDKSRINTALGNDMNSEHDKVHRLHDESSALNQHTSDDILDVSKSIQEHTDKSTDLPDSKKWHLDPAKAYSAVYKRSKLKHKKKLDSDAYANEESTSVSNDDDGYQPPDYSPVKPSSATLRRSARKSYAYTEDGITRDDSDQVKHSYSSHEASTSGRRIVTDVREVMWKSNSKTVGLRSARNKRESSNFPGKHLLEKRKQVSTKYSWLMLLEHEDSYRYIPQLGDQVMYLRQGHEEYLKGSRSSDDCPWNWIKGLKDVELCKIQGLDYTTFRGSGDSCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSPDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWAPWKHPHIDLDVKDKLLSELDNLLELSHRNQDRYGVLKLNDVAEKLDFFNRIRLENNYYRTLEAVQHDATVMLANAQPPHIINKEKDKMFILVIVHSNSDVTMK >LPERR03G12850.2 pep chromosome:Lperr_V1.4:3:9483421:9498593:-1 gene:LPERR03G12850 transcript:LPERR03G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTVDFFHLGGGDGILRWGIGRWRRAHPSQHTVAPAQDPLPHPSSPLFHLPRVPLPLPLPLAEPRVRRRRALPSPAAPEERKRAGVVPPGAAATSEATGTRHPRLRLAAGVERTTGDASGLISPLLVVLYTWLLENTNLLELEKVNSVNPVIPTDVPPEADVNVDMREIYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRGGFHSGEETDDGISLPLGYLKYPHISKDHLVKLLKQLIVSSCHPHGLVGGISPNAADVPTLLGSNSFSLLASTFDRTGRFVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGAVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARQSQQSPRIYIPKPPDVAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKISSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSISVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGTVIDQETQLVPYRRNIQDFLCDSGMMPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTFNTTTGEYQIIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGLDEHSSEGEQEVLSGESSGASYSSAEIDGDNLTDTAHPRRSRRKKKKSDGGVVTSSGRRVKKRNLDDRDVPTVSRPHRSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALNFFSKIGDSTEEDEDDSESSFSDSELNTESTEAEQSAWNGQLRLGRESNSKYDSEDVTQPSQFTETHGNSGSNRRLVLRIPRVQFTSENRKTECSTQDKEGVTLVPTNREPVETKLTSEPGSSSAWKAGLTTDRVQTEISHPHDVSALHNNNTIKWGEVKVRSSKRFKFGDSSVGDMCPTSNNVVPQDADQPDSQKILNGDDIQQTAELNLQEIQHEANLENHKINDHSGDNLWDKERIASDKNAHVDEGCEAEHGRQVHNTSQPISLKLKFRSRGFADGASSSDKSRINTALGNDMNSEHDKVHRLHDESSALNQHTSDDILDVSKSIQEHTDKSTDLPDSKKWHLDPAKAYSAVYKRSKLKHKKKLDSDAYANEESTSVSNDDDGYQPPDYSPVKPSSATLRRSARKSYAYTEDGITRDDSDQVKHSYSSHEASTSGRRIVTDVREVMWKSNSKTVGLRSARNKRESSNFPGKHLLEKRKQVSTKYSWLMLLEHEDSYRYIPQLGDQVMYLRQGHEEYLKGSRSSDDCPWNWIKGLKDVELCKIQGLDYTTFRGSGDSCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSPDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWAPWKHPHIDLDVKDKLLSELDNLLELSHRNQDRYGVLKLNDVAEKLDFFNRIRLENNYYRTLEAVQHDATVMLANAQPPHIINKEKDKMFILVIVHSNSDVTMK >LPERR03G12860.1 pep chromosome:Lperr_V1.4:3:9501192:9512082:-1 gene:LPERR03G12860 transcript:LPERR03G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAASGFLPARLAAETAPTVRVVAAGAEVRSPLLRALGVPRGGGIGSPVPGRRPCFVSDSESSGSAGDSDSDASSAAGDESEGNKSSSGIVPSIVRPEDCHTVIALPLAQQPLFPGFYMPISVKDPKLLQALVESLKRSFPYAGAFLVKNDEDTDSNNVTCSDSKKNIHDLKGKELLKRLHNVGTLAKITSIQGDQVLLLGHCRLRITEMVDEDPLTVKVDHLKHMGDFNYPRLADFGAAISVANKLLCQEVLEELDVSKRLMLALELVKRELEITKLQQSIAKAIEEKITGDQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIEPRKEKCPSHVLQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVHHAQCILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVVTANPLVLIDEIDKLGRGYSGDPASALLELLDPEQNATFLDHYLDVSIDLSKVLFVCTANVIEMIPGPLLDRMEIISIAGYITDEKMHIARDYLEKNTREACGIMPEQAEVTDSALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPSREITLFEASEQPASVDIATEVENRSPRDALAEDVSVHITPTDFSCEKINIVSLTTKSGVNQNENLKGTSKETSADKKSTSTNMSGKIETNEGTEKLTEARVVKAVEKIVVDTSNLDDFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDSEGKGALVVTGQLGDVMKESAQIAHTVCTSIFREKEPNMPFFFAKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKMVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPSANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSETRSS >LPERR03G12860.2 pep chromosome:Lperr_V1.4:3:9501192:9512082:-1 gene:LPERR03G12860 transcript:LPERR03G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAASGFLPARLAAETAPTVRVVAAGAEVRSPLLRALGVPRGGGIGSPVPGRRPCFVSDSESSGSAGDSDSDASSAAGDESEGNKSSSGIVPSIVRPEDCHTVIALPLAQQPLFPGFYMPISVKDPKLLQALVESLKRSFPYAGAFLVKNDEDTDSNNVTCSDSKKNIHDLKGKELLKRLHNVGTLAKITSIQGDQVLLLGHCRLRITEMVDEDPLTVKVDHLKVSKRLMLALELVKRELEITKLQQSIAKAIEEKITGDQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIEPRKEKCPSHVLQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVHHAQCILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVVTANPLVLIDEIDKLGRGYSGDPASALLELLDPEQNATFLDHYLDVSIDLSKVLFVCTANVIEMIPGPLLDRMEIISIAGYITDEKMHIARDYLEKNTREACGIMPEQAEVTDSALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPSREITLFEASEQPASVDIATEVENRSPRDALAEDVSVHITPTDFSCEKINIVSLTTKSGVNQNENLKGTSKETSADKKSTSTNMSGKIETNEGTEKLTEARVVKAVEKIVVDTSNLDDFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDSEGKGALVVTGQLGDVMKESAQIAHTVCTSIFREKEPNMPFFFAKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKMVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPSANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSETRSS >LPERR03G12870.1 pep chromosome:Lperr_V1.4:3:9518573:9519076:1 gene:LPERR03G12870 transcript:LPERR03G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPAPESGAARRAAAAAAAKEEERQRNRKRSNRLSAQKSRMKKQQYVDGLAAEAEQLRRENDAVRAAAAASLRRCRLVEQENRALMTHALQLRGSLLRLLGEVAGVPLDVPDVADHLVQLYGGGLPMMPPLSGPPPLPPEIEMLLFQLQPDVMDAVSMLQDYVSM >LPERR03G12880.1 pep chromosome:Lperr_V1.4:3:9525082:9525456:1 gene:LPERR03G12880 transcript:LPERR03G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILTMATFQRTLPVPQATLPVRQDVVSFAAGHPARRRLQLVVAAGSASTPPELAQKVTESIKQAEETCADDPEGGECAAAWDEVEELSAAASHARDRKKDNDPLEEYCKDNPETDECRTYED >LPERR03G12890.1 pep chromosome:Lperr_V1.4:3:9526060:9527677:-1 gene:LPERR03G12890 transcript:LPERR03G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVEAGTTAAWMARHGGMYERATRHPFTVSIREGTVDLATFRRWLGQDYMFVKEFVAFLASVLLKCCRQTEGLDMEIILGGLTSLSDELSWFKKEAEKWSVNLAEVSPLRSNTEYCRFLQSFSEPEISYTVAITTFWIIETVYQDSFAFCIEEGNKTLPELLGTCQRWGSPEFKQYCQSLQRIADRCLENAPADAVRSAEEAFLRVLELEIGFWDMSSSQS >LPERR03G12900.1 pep chromosome:Lperr_V1.4:3:9527797:9531343:1 gene:LPERR03G12900 transcript:LPERR03G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVQKRRVQLLLFIVGILALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACAAKEGVKMYVYNLRTAHMERVRQRAMEKALADAVTEGLSAAEAAKQAQKIGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRLGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYASVDSSEYAYNYRSGETEEPEPTYYETSEEEHEESKGFSLF >LPERR03G12910.1 pep chromosome:Lperr_V1.4:3:9532325:9539850:1 gene:LPERR03G12910 transcript:LPERR03G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSILLQRACLRFALLPVPPLRAPLRHPPPRRPLGLPRRSAMSSVASRLSHIAAAAAASGGAAGESNEPPAAAASGLAQEDDDLSSGMMGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGHSNTRSRMSFYTGIGIHKLMGDGTLGPEKEVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWVADVESGEARPLFKSSEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDEYLMISSIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPSMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVVSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYIIAKFKKQDENNYLLLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDRELPIEQLKILTSKESKTENTQYYLQIWPEKKQVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAVLSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWESDRWLQKYCLNGTSKTDSDSVADTENKTLSTSGGGAPREGPEAEGFSSMQRSLL >LPERR03G12910.2 pep chromosome:Lperr_V1.4:3:9532325:9540131:1 gene:LPERR03G12910 transcript:LPERR03G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSILLQRACLRFALLPVPPLRAPLRHPPPRRPLGLPRRSAMSSVASRLSHIAAAAAASGGAAGESNEPPAAAASGLAQEDDDLSSGMMGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGHSNTRSRMSFYTGIGIHKLMGDGTLGPEKEVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWVADVESGEARPLFKSSEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDEYLMISSIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPSMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVVSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYIIAKFKKQDENNYLLLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDRELPIEQLKILTSKESKTENTQYYLQIWPEKKQVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAVLSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWESDRWLQKYCLNGTSKTDSDSVADTENKTLSTSGGGAPREGPEAEGFSSMQRSLL >LPERR03G12920.1 pep chromosome:Lperr_V1.4:3:9540950:9541939:1 gene:LPERR03G12920 transcript:LPERR03G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVQKMAGLHAAISKLPSLNPSPEVNALFTDLVMACVPASPVDVAKLGPEAQAMREELIRLCSTAEGHLEAHYADMLAAFDNPLDHLGLFPYFSNYINLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPNTLFDNYDRCDAANERAKKLFRADEDLGARMAFHTADVAALKEELGAYDVVFLAALVGMAAEEKAEVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDVWRGGFDVLAVCHPEDEVINSVIVARKVDARTAAARRDGLADSRGVVPVVGPPSKCCSMEASALEKAEEFAANKELSVV >LPERR03G12930.1 pep chromosome:Lperr_V1.4:3:9549625:9550620:-1 gene:LPERR03G12930 transcript:LPERR03G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNQEVAALVQKMAGLHAAISKLPSLSPSPEVNALFTDLVMACVPASPVDVAKLGPEAQAMREELIRLCSTAEGHLEAHYADMLAAFDNPLDHLGLFPYFSNYINLSKLENDLLVRYVPDIAPTRVAFVGSGPLPFSSLVLATNHLPNTLFDNFDRCAAANDRARKLFRADEDLGARMAFHTADVATLKEELGAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDVWRGGFDVLAVCHPEDEVINSVIVARKVDARTAAARRDGLADSRGILPVVGPPSKFYVMEAGALEKAEEFAANKELSVVLV >LPERR03G12940.1 pep chromosome:Lperr_V1.4:3:9552015:9556560:1 gene:LPERR03G12940 transcript:LPERR03G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCRRRRRGAPGASPGAGWGGGTTALTVATLAAAAVVAFLEGTARGVTYVSDGWFHDGVWWDAEGGRFLVTTMTDGRVVEVRGGGGAGAGAGEGKEEARVVVADPGAAGRTATGLALDAKRRRLLVAYTELAPWFGYAAVAAYELGSWRRLFLVRLDEPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFSQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVDPKTGSVHVVRVQGSLKTGDGLALLSPTRLVAAGLVSRLVESNDDWKTATVTGRYVGPAHRIGSSATVKDGDVYINHIVGFGLGKKTHVISKAAFAPL >LPERR03G12950.1 pep chromosome:Lperr_V1.4:3:9557153:9560190:1 gene:LPERR03G12950 transcript:LPERR03G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRSSSSSSTSTYCPLSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSLAGNASDSAIARGPIIAGGGSGSLGEADRRADGGGFSSARGVGSAMEAKEVAQGSGNGEAPANGEGSDSAVVVEGASGGDGDGEKPATDAILEKPKQNKAVANSAMKTHLLVSNASASQGAAAPVEERKEPKVVQASSQEVGLNGENLQEGRTGESGNSSAERTSEQGDQWERSVHSTVSNNSGAALPNSSKQMTDLVQESVDSKVDVARNDAAPCNVYDGRWVFDESYPLYTSDLCPFIDEGFSCKANGRMDRSYMKWRWQPTHCSIPRFDAKKMLDMLRGKRLVFIGDSINRNQWESMMCLLRGAVSDPARIHEARGHRITKERGDYNFKFLDYNCSVEYYVTHFLVHEGKARIGQKRTRTLRIDTIDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDLVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLNDTRARPEPEINMILEQVAQQMKTPVTILNITNLSGLRIDGHPSVYGKKGVGLTTSSIQDCSHWCLPGVPDTWNELLFYHLASSQGSTS >LPERR03G12960.1 pep chromosome:Lperr_V1.4:3:9558019:9566478:-1 gene:LPERR03G12960 transcript:LPERR03G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSSHRSKRPDQGPAGKDAVGLVALHGKLTQLKRQERVEANRKALQGHTCAMFDVAAAAEAASRGAEGGNSLSQRAAEGQRRLVGWDSAGGSGERELVHMQEENLAAGTLVLSSSGSGGALHRTIVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDEIPEPEEDKHIFTEGEDQLIWKATQDHGLSREVINVLCQFVDATPSEIEERSEFIFEKYEKQSQSSYKTEMQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPNEKQPYGLEIDENKRSCGDQCYLQRKEGYQDMCNDDRNACTSYIMDSTSPSLKVGGTILSESEDSNREEDKSTSVVETSIPKIDNSEYVDKSATPPLEDASETENASPDMLLRNLGRRKISKHGSSSNDYSPDKRQKMYGSQFSFATSVLNKQSVPEIGETCPDSRERAVDQLQVLDDPNKKIYSKDMCSGSTTNTAEVALRDSNNLFISSKEHSIPHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAETEQGYMILWQGQESVVGRAELASSNTLGSLLGIQLLEKELVMESNGTRSITHVVVSKCVAKIVPVRKMELAVRSIAGVQRAAKIGLEVVIAQKVNAEADNAHVLLPVVNVIQMFAETAGCGDGSLGEPTARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKLNPSSMNGHKSLV >LPERR03G12960.2 pep chromosome:Lperr_V1.4:3:9560002:9566478:-1 gene:LPERR03G12960 transcript:LPERR03G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSSHRSKRPDQGPAGKDAVGLVALHGKLTQLKRQERVEANRKALQGHTCAMFDVAAAAEAASRGAEGGNSLSQRAAEGQRRLVGWDSAGGSGERELVHMQEENLAAGTLVLSSSGSGGALHRTIVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDEIPEPEEDKHIFTEGEDQLIWKATQDHGLSREVINVLCQFVDATPSEIEERSEFIFEKYEKQSQSSYKTEMQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPNEKQPYGLEIDENKRSCGDQCYLQRKEGYQDMCNDDRNACTSYIMDSTSPSLKVGGTILSESEDSNREEDKSTSVVETSIPKIDNSEYVDKSATPPLEDASETENASPDMLLRNLGRRKISKHGSSSNDYSPDKRQKMYGSQFSFATSVLNKQSVPEIGETCPDSRERAVDQLQVLDDPNKKIYSKDMCSGSTTNTAEVALRDSNNLFISSKEHSIPHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAETEQGYMILWQGQESVVGRAELASSNTLGSLLGIQLLEKELVMESNGTRSITHVVVSKCVAKIVPVRKMELAVRSIAGVQRAAKIGLEVVIAQKVNAEADNAHVLLPVVNVIQMFAETAGCGDGSLGEPTARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >LPERR03G12970.1 pep chromosome:Lperr_V1.4:3:9568897:9573243:1 gene:LPERR03G12970 transcript:LPERR03G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSREAPPKFGSPERQKVIDEIHEQMLSKAPPVPQLSTNDDDEETNQLPTHDTSDEQAHRVVDSVNTSGSSSVPVNNDLPKPDSESEVAQNIVEARPEGATNHSMANLGRESIPRVAPTPQNPVAIQKPKHDRLLTLAAFGLTLAIMALVFKKFMKINGLAGYIEGKF >LPERR03G12980.1 pep chromosome:Lperr_V1.4:3:9572431:9575213:-1 gene:LPERR03G12980 transcript:LPERR03G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTPSSSLTAPLLRPSSNPNPAPRSLPLLRSRRCARAVAAAAAGGVGAGAHGASQRRGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >LPERR03G12990.1 pep chromosome:Lperr_V1.4:3:9576522:9584489:-1 gene:LPERR03G12990 transcript:LPERR03G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSAKFESMCVCCPALRPTSRRAVKRYKKLLAEIFPKTPIAKFLEQRSHKELRAAAAHVNFVKIITEAYSKLLFICKGQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARDIESLVRKGCALSQQQGVEHSLLRASSLQCLSAMIWFMKEHSYIFADFDEIVQSVLDNYRMEESAAGDEERHPPQHNWVDEIVRREGRAGLGGGNDVNCNTTTIRLRSARDSSVLTREGRESPEVWAHICVQKLAELAKESTTLRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSCLEKNSGNERLILTSIIRHLDHKNILYDPQIKSDMIQTATLLARQLRSRRIAPELAVAGDLCRHLRKTLEAMESSSVEELNLNESLQNFLQDCLLEVVRGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPETLLQQILKSMIHPDVDTRVGAHHMFSAVIVRGPSHPRSESDFLLETKKWQSRTTSVFASATALLEKLRREKESLGSDKPGNMVHDDEKEKIMREEESKHEANIVMLTEDQTNQLLSAFWVQANQTENTPFNYEAIGHSYSLTVLSSCLKDSRSNNNVQFFQLPMSLRRVLSPSCQRSIFSLAISMLAFAGKVCHITELVEVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSECRTKVRINDHQVFDVIASALCNLTKMNKDVLAKELTEMFTPEEVSLFDSNSTLDWANFHVQAFSDESFSFDEECSRTSSVDGGLHDSPITNTGSSVSKITLPRSAPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVSGHDSTPDNPGLSLQSAQHFITPKVKSCDFEGNIRTPSEPCSTVKLPPASPFDNFLKAAYRAK >LPERR03G13000.1 pep chromosome:Lperr_V1.4:3:9588441:9593609:1 gene:LPERR03G13000 transcript:LPERR03G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKLGGFSIPRPTSYSFERSQPAQRLYVPADDPDLDDIAFSDDAGAPSDAPPAAGPEGGEAAGDEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRLDSDDEDDPMESFLRAKKDAGLTLAADAMNAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIDYEPFNKDFYEEKPSVSGMSETEVADYMKSMAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIFHIMDQPELEKDEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMVDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGARGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQNFKSSFVSAASNTSSSSSAPSRGAPSFVRPALRGFVSGGTIGGDANPARAVQPAPSFAPASRPAESTTENANPNPESSRDRTRERKRPSGWDR >LPERR03G13000.2 pep chromosome:Lperr_V1.4:3:9589284:9593609:1 gene:LPERR03G13000 transcript:LPERR03G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEVADYMKSMAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIFHIMDQPELEKDEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMVDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGARGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQNFKSSFVSAASNTSSSSSAPSRGAPSFVRPALRGFVSGGTIGGDANPARAVQPAPSFAPASRPAESTTENANPNPESSRDRTRERKRPSGWDR >LPERR03G13000.3 pep chromosome:Lperr_V1.4:3:9588441:9589673:1 gene:LPERR03G13000 transcript:LPERR03G13000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKLGGFSIPRPTSYSFERSQPAQRLYVPADDPDLDDIAFSDDAGAPSDAPPAAGPEGGEAAGDEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRLDSDDEDDPMESFLRAKKDAGLTLAADAMNAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIDYEPFNKDFYEEKPSVSGVTDWLVKLLASC >LPERR03G13010.1 pep chromosome:Lperr_V1.4:3:9594596:9596362:1 gene:LPERR03G13010 transcript:LPERR03G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTVRVRGREGGPLTLETYHRFFVDPWGTRLTIHHLNHIISMHGFVKLHRSNKGEIMQRVVGQVDLQPPRRSTLHRAVEPSSAAVITTDVVVADVDAIGWTECPIGSVAVFAASPGDPRPEPVEPDPRPADFVLAGRRARSKRSRTSAYGHHHHHHKPDVHASPPPSSEGEPHVDADASSSEMEEEEDEEWLPPPSTPPMWMRSPTPPPPPPSPPHTTPPPPPPSPPRDKAPPPPPPSGMVPPPPPPLCSGQLPTLAPLPPRPLHFVGASPAVVPCLSWGLPLPPQPYQGGAPAFTQHPPPHPGPGGAPPAFTQHPPAGQPPLWAPPTVPPCYPAPFWGAPIWGGQHPPPPQHWGYIRSPSAPEPHQQPPPPPLTTMQLQQQPPPPTTGVFWGRPVY >LPERR03G13010.2 pep chromosome:Lperr_V1.4:3:9594596:9596362:1 gene:LPERR03G13010 transcript:LPERR03G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVRSRAARRWVGRRQIISMHGFVKLHRSNKGEIMQRVVGQVDLQPPRRSTLHRAVEPSSAAVITTDVVVADVDAIGWTECPIGSVAVFAASPGDPRPEPVEPDPRPADFVLAGRRARSKRSRTSAYGHHHHHHKPDVHASPPPSSEGEPHVDADASSSEMEEEEDEEWLPPPSTPPMWMRSPTPPPPPPSPPHTTPPPPPPSPPRDKAPPPPPPSGMVPPPPPPLCSGQLPTLAPLPPRPLHFVGASPAVVPCLSWGLPLPPQPYQGGAPAFTQHPPPHPGPGGAPPAFTQHPPAGQPPLWAPPTVPPCYPAPFWGAPIWGGQHPPPPQHWGYIRSPSAPEPHQQPPPPPLTTMQLQQQPPPPTTGVFWGRPVY >LPERR03G13020.1 pep chromosome:Lperr_V1.4:3:9596853:9601942:-1 gene:LPERR03G13020 transcript:LPERR03G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLFPYSPPKPPPLFPSPSARAPPSAPPFSLRIRRARLSAFAAAAAAAAMGGPERDAGGYEVEAEGGGSGAFERGMAEIARNVPLFEPSRDDAAAVAGERPLPINLELWLYRAKVHTRKYEFPDAEKLLNKCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQATQGENPYIWQCWAVLERKGGNIRRARELFDAATVADEKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEADQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNIKTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWKTWAALEDEQGDSVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGGPATQDKLKVTREPSNSTVKTSPTAELLAGSLSAEESNASNLGNRDDESNETLETPESDFDVDGFIKRRLALDPTELDAVLEGSDPRGVVSKRRTQRLRRKPLPLLPVP >LPERR03G13030.1 pep chromosome:Lperr_V1.4:3:9603772:9611520:-1 gene:LPERR03G13030 transcript:LPERR03G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEEAAAAAATANDEDVEDLYADLDDQVAAALAAAGESGGSNPATDGEAEASGAHVEDDANEAVDLGDGTAGYTSSDEESEDDLHIVLNEDGTAPPLPPAVRCEERWAEEYEEGEILGGCVKGPSKDCGRGKLGELHQRGLLEKTAAPITGQGDRDRQQAIQKDFRFFLPRNSTVFDIDIEAFQEKPWRQHDVDLTDYFNFGLDEEGWRKYCFDMEQFRHGARAPAKKSSVLEQEFNYNLGLSKSVPKSEICSGLEERNVLAKPKGRSIHVEGSMHERLPSTDMWPPRQRDSDVIQVNTTRSPLNRSSSDDRSAVNDKYITTKRLSSNHLGIDECLKETSSVVDRVVDKEEHKRGFSKCTGNKPVLGDSACERVQSSTPDYSDTLSEESTEDFYFKRKRADSKKTDRKDEHDFCHHSSKSDQESSKGDSHRYSPSPADDSYHKITKRQRTDEAGAGMSSRFHNCQSDHHLQKSGHRATKEQRRQSLAGGRRALFEKQENTTDNYSSRYAQKHKHERTSSTFNDNSYRSHNQLCEKQEHLPLGRVTFRNDDQCSDGSKRRHRRSFREINDDEGILECYSTRQWQQHFDHVHGCHSMLKAEFCDDIDGRLYRERQYHETRKIRHDRNGDDEFFYSTDCRFDKVLGPEIRRSYRSQSAESSDGHFRHSEHLVLEHFRHPDHLMSSRQANEKDWTGLAAPLSFMSSRKRFIKRIQNGKVKYCDGYYEKKTQHGSVFGLDDIQRHALFTGSIAETGQCIRPVRRNVHADLGSMNRKDLFNSPFPKERRLMHDRSIISDRNLYTAETHSSPKQIDVQAMCSFNEMGNSTKIPKKYDKRRHEIMNLQPGDADNLPLIHRKRKFKRQGIEIRPEVQSDTEGCLPADSGMLGSKHKKVRKPMSFRISRNQVLEKSTQQKQQHLSINEEYEEIEEGELIEQDHQDTVFKSKFNKQRKAVLKSVVEASSAGQGGVISATSKDAVCKNGATREWDNEHILEVMKKMQKRRERFKETMAPQKEEDEHRKELLAVTCSADDIKNQRPARKRLWGCSG >LPERR03G13040.1 pep chromosome:Lperr_V1.4:3:9612202:9615758:-1 gene:LPERR03G13040 transcript:LPERR03G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALLVTAAALVAAAAAHEHHGEAPTCAGGGGGRVLAEFRPGEVTVDGHSADWDGVEASEFALLPALDPDEDKAYAGGKVSVKVCASFTLCTIYGLDWLLRKRMRILRNQADRRIETVLDVEMAVHDGVNIFFMLKVDGDYAYTKGENTKCPSVALMFKVGEKATYYNMGGCKDMPGSCTSKSCRGYEVDIMHFSIGNAIPGRLYGGNHIDNADGNGGDRFGHLVDVYAWNPHCRHLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRFQQDAQFTIGEASSIAVAFWYPNDGKPWSKSQHYSASCDWLVLDIQPSLEAAQYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNKNNVQFTPLEQI >LPERR03G13050.1 pep chromosome:Lperr_V1.4:3:9625621:9632697:1 gene:LPERR03G13050 transcript:LPERR03G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTPRSPSSARPAAPRPHHHSQSSGGSTSRAGGGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLFDLSPHHSVPSLDPSSVPPPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSTKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHAVPVRVVQDPSLTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISGGGDDDHNIARGGIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPAEAQIKDIIEWLTVCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEEIVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRAEVAGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFAFFDKNGKYVQALLTANTRSKTDGDAIGAFCFLQIASPELQQAFEIQRYHEKKCYTRMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVNDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKETSAYGDQYRIQQVLCDFLLSMVRFAPSENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPRQAASRETS >LPERR03G13060.1 pep chromosome:Lperr_V1.4:3:9643598:9645065:-1 gene:LPERR03G13060 transcript:LPERR03G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLLILPLLVAAAAVLWSPLDRALAKTAKKSDDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYREKVVIPETKPFIFVRGNGKGRTSISHESASPHNAESSAFTVHASNVIVFGVSFRVLTRYTRRLNERSQNSARAGLPNIPEVRTVAAMVSGDKVAFYHCAFYSPHHTLFDSTGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKEEDSSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSRTINPAGWTNLGYNGATDHMLLGEYNCTGPGAAAANSMTRVPWARQFTKEEADKFLTVDFINGKEWLPAYYY >LPERR03G13070.1 pep chromosome:Lperr_V1.4:3:9648416:9651379:1 gene:LPERR03G13070 transcript:LPERR03G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFHLTPLVFLGVLLACTCHVVVGAGMPATFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILAQEMGMGGFVPPYMAPETAGDILLKGVNYASGGGGILNQTGSIFVSTLNSSFFRVKYLLCFSFQKEKEKNFSLVLFQCARSRGGRINLDAQIDNYANNRHELITRHGEVAAVTLLRGALFSVTMGSNDFINNYLTPIFSVPERAVTPPEAFVNAVIAKYRQQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPSAGAACAEFPNQLARSFNRKLRGLVDELSANLTGSRFLYADVYRIVSDIIANYKSNGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPEDISPINVRQLITSSN >LPERR03G13080.1 pep chromosome:Lperr_V1.4:3:9652744:9655253:1 gene:LPERR03G13080 transcript:LPERR03G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTVYLGSKGLLLNTQARKLLSHSHRYTKPKSDPIIEPNPHDSMAAASTDSGELILVEPAKPGSPVAVVTINRPKALNALTRSMMISLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVIQMERCRKPIVGAIAGFAVTAGFEIALACDIIVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPVTAEMAEKWGLVNHIVDDSQVLSKAVEVAEAIARNNRNLVMLYKSVINDGLQLDLEHARTLEKERAHDYYNGMTKEQFANMQKFIQGRSKAPSKL >LPERR03G13090.1 pep chromosome:Lperr_V1.4:3:9656904:9666036:1 gene:LPERR03G13090 transcript:LPERR03G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLLRFLLLVVAVAAPAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKTSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYPSTEMDRPAPVAIFIVNFDKVRMDPRNKEADLDSLMYGTIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSVPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAVRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDETHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLHRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHSNEKIPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVMNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSESVQSFASQHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLLDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKRSSQAFVYGGILLAGFLVYSLVIFFSSPVR >LPERR03G13090.2 pep chromosome:Lperr_V1.4:3:9656904:9666036:1 gene:LPERR03G13090 transcript:LPERR03G13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLLRFLLLVVAVAAPAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKTSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYPSTEMDRPAPVAIFIVNFDKVRMDPRNKEADLDSLMYGTIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSVPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAVRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDETHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLHRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHSNEKIPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVMNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSESVQSFASQHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLLDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKRSSQAFVYGGILLAGFLVYSLVIFFSSPVR >LPERR03G13100.1 pep chromosome:Lperr_V1.4:3:9666647:9667927:-1 gene:LPERR03G13100 transcript:LPERR03G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDALVAEAMQRRLDAVPPGPDDPYTIFRLPAAVRERHRDLYEPKVVSVGPYYHGRDGLGSAQRHKWRLLRDFLSRNENDNDKASSGAGGLGAYVRAARAVEAEARRCYAEGFDDMGVDQFAEMLVLDGCFLLEFFMRKSEGQLASPGGAKWAWQHMYHDVLLLENQIPFFVVEALHGVAFAGRGEDGTTDGDDVRDALLDIFCKAFAGDLPSSRVIRPARDRTIHHLLHLHYECNVRNPASDGDKAGSNNGASSLAVWKQPPVPSPRSGGGDVGAVKGRMTSMIPPAGKMEEAGVTFKRKATPRDVFDVSFRYGVLHMPAFVVDEVAKVLIANLVAFEQGGGRAARRLDGGNLVTGFVALVGSLVNSRRDVEVLRRSGILHCMITDEEAVAYFSHVVQYTSMDYDRHLLACLLRDIREHCHWNR >LPERR03G13110.1 pep chromosome:Lperr_V1.4:3:9672957:9673484:1 gene:LPERR03G13110 transcript:LPERR03G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGRYAGLDAPAVATGELRPAFDVLDADRDGRISREDLKSFYAANAATSERFDDEDIAAMIAAADADNDGFVQYEEFERLLVGRAGAAAVPGCRPAMEDVLRMMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAVVLGCPPSCWKASSQTLH >LPERR03G13120.1 pep chromosome:Lperr_V1.4:3:9678071:9694526:1 gene:LPERR03G13120 transcript:LPERR03G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFNRLLASRHYPRSSPAPPPRPLLLLPKTLVPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNADSAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLSAAGLPLSLFDAIVSADAFENLKPAPDIFLSASKTLGVDADECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKSSTEILNGATNAESAPSTNSASPVDRPHEGLLGSRRNILRYGSLGIAVSCLIYTARNWKAMQFVSPKGLLNYFTGGNSSIFSNNGGEPLSSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEYIEKKFKDKPFTVIGVHSAKFDNEKDLESIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNTSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGDFICQVGSSEEGLLDGPFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWLEMEPKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRTSFAQPSGISLAPELQELIVADSESSSIRAVNLKSGGSRLLAGGDAMIPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGMGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLRRLRRRLSADTDVIKIDGGSSREGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIDIEPVNGFLNSEGQASLEYKRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAASSPAQITLSYSVVPRGTSSSAQLIAAGKNGKL >LPERR03G13120.2 pep chromosome:Lperr_V1.4:3:9678071:9694526:1 gene:LPERR03G13120 transcript:LPERR03G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFNRLLASRHYPRSSPAPPPRPLLLLPKTLVPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNADSAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLSAAGLPLSLFDAIVSADAFENLKPAPDIFLSASKTLGVDADECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKSSTEILNGATNAESAPSTNSASPVDRPHEGLLGSRRNILRYGSLGIAVSCLIYTARNWKAMQFVSPKGLLNYFTGGNSSIFSNNGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEYIEKKFKDKPFTVIGVHSAKFDNEKDLESIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNTSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGDFICQVGSSEEGLLDGPFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWLEMEPKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRTSFAQPSGISLAPELQELIVADSESSSIRAVNLKSGGSRLLAGGDAMIPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGMGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLRRLRRRLSADTDVIKIDGGSSREGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIDIEPVNGFLNSEGQASLEYKRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAASSPAQITLSYSVVPRGTSSSAQLIAAGKNGKL >LPERR03G13120.3 pep chromosome:Lperr_V1.4:3:9678071:9694526:1 gene:LPERR03G13120 transcript:LPERR03G13120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFNRLLASRHYPRSSPAPPPRPLLLLPKTLVPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNADSAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLSAAGLPLSLFDAIVSADAFENLKPAPDIFLSASKTLGVDADECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKSSTEILNGATNAESAPSTNSASPVDRPHEGLLGSRRNILRYGSLGIAVSCLIYTARNWKAMQFVSPKGLLNYFTGGNSSIFSNNGGEPLSSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEYIEKKFKDKPFTVIGVHSAKFDNEKDLESIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNTSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGDFICQVGSSEEGLLDGPFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWLEMEPKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGMGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLRRLRRRLSADTDVIKIDGGSSREGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIDIEPVNGFLNSEGQASLEYKRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAASSPAQITLSYSVVPRGTSSSAQLIAAGKNGKL >LPERR03G13120.4 pep chromosome:Lperr_V1.4:3:9678071:9694526:1 gene:LPERR03G13120 transcript:LPERR03G13120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFNRLLASRHYPRSSPAPPPRPLLLLPKTLVPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNADSAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLSAAGLPLSLFDAIVSADAFENLKPAPDIFLSASKTLGVDADECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKSSTEILNGATNAESAPSTNSASPVDRPHEGPCSLYLPKGCLIISRVEIVQYFLIMEDLKGRVVLLDFWTYCCINCMHVLPDLEYIEKKFKDKPFTVIGVHSAKFDNEKDLESIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNTSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGDFICQVGSSEEGLLDGPFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWLEMEPKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRTSFAQPSGISLAPELQELIVADSESSSIRAVNLKSGGSRLLAGGDAMIPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGMGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLRRLRRRLSADTDVIKIDGGSSREGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIDIEPVNGFLNSEGQASLEYKRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAASSPAQITLSYSVVPRGTSSSAQLIAAGKNGKL >LPERR03G13120.5 pep chromosome:Lperr_V1.4:3:9678071:9694526:1 gene:LPERR03G13120 transcript:LPERR03G13120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFNRLLASRHYPRSSPAPPPRPLLLLPKTLVPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNADSAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLSAAGLPLSLFDAIVSADAFENLKPAPDIFLSASKTLGVDADECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKSSTEILNGATNAESAPSTNSASPVDRPHEGPCSLYLPKGCLIISRVEIVQYFLIMEDLKGRVVLLDFWTYCCINCMHVLPDLEYIEKKFKDKPFTVIGVHSAKFDNEKDLESIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNTSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGDFICQVGSSEEGLLDGPFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWLEMEPKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGMGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLRRLRRRLSADTDVIKIDGGSSREGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIDIEPVNGFLNSEGQASLEYKRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAASSPAQITLSYSVVPRGTSSSAQLIAAGKNGKL >LPERR03G13130.1 pep chromosome:Lperr_V1.4:3:9702839:9703483:1 gene:LPERR03G13130 transcript:LPERR03G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSAAVEERGRSERKRKRGATGEHGEGTEAEPYKWRTRRVHEIYSSKLLDAIRLVRSGSPSASSSSAAAASPPRSRAVREAADRALAVSARGRTRWSRAILASHRRRIQAARRARLRDRTSSSLSSRRPFSSSASSGKGSKTPALARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEVLSTVSASSSGGGSGSSSPA >LPERR03G13140.1 pep chromosome:Lperr_V1.4:3:9723453:9723698:1 gene:LPERR03G13140 transcript:LPERR03G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHSGKAATVRSIAGGSEMGGGVENSRLVKQVRELIRLVPSRREPCGLGELFQDAASHIEDLQVQVKLMRMLLEKLSEE >LPERR03G13150.1 pep chromosome:Lperr_V1.4:3:9726225:9727167:-1 gene:LPERR03G13150 transcript:LPERR03G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRSHRCLVLLLVSLALLQLGMAMDSIGGYCSESGTDYNEINGKARRRSINSVLSDLVAKAGSNGGFATSSAGKGNNVFYGLAQCRGDVSASDCAACLAEAANQTVSFCRYGSDSRLWFDHCFMRYNNANFIGQLDTRADAFSLTIKTHEDIADNPKAFQKAVGKAMGKATAQAAATAQAAAAVAGSAGLGRVKERYTPFMSIYGLAQCTRDLAPLPCAQCLSKAVFNLEFDAVSCGAAQGCMTLSSSCWVRYEIYPFYFPLEAGGRATIDMTKYTKVVAH >LPERR03G13160.1 pep chromosome:Lperr_V1.4:3:9730749:9731683:-1 gene:LPERR03G13160 transcript:LPERR03G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRACRCLMLLLVSLALLQLGMAVDPIGGYCSESGTSDSEINGKARKRSINSVLSDLVAKAGSNGGFATSSAGKGDNVFYGLAQCRGDVSASDCAACLAQAANQTVAICHYSSDSRLWFDYCFMRYNNANFIGQADTREDASVTIRAQINIVENLKAFQKAVGRAMGKATAQAAATAQGAAAVAGNAGLGRVKERYTPFVNIYGLAQCTRDLAPLPCAQCLSTAVSNMGGMYSCGAAQGCQIEYSSCWVRYEIYPFYFPLETGGRATTDMTKYTKIVHG >LPERR03G13170.1 pep chromosome:Lperr_V1.4:3:9736768:9740101:1 gene:LPERR03G13170 transcript:LPERR03G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSCMVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVIDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMEKSKGQTVVLSTGLKDKNRASDMMDDADEEEEEEALEDFRISEDDEDEDEGEDEEVTGRGVYLEVFRRT >LPERR03G13180.1 pep chromosome:Lperr_V1.4:3:9740501:9744047:1 gene:LPERR03G13180 transcript:LPERR03G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGIAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEHRIDCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKA >LPERR03G13190.1 pep chromosome:Lperr_V1.4:3:9744544:9749785:-1 gene:LPERR03G13190 transcript:LPERR03G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGAISHAFPPMGPMAPDALLFPFDGLSYDDFAFLPPAAPAPAPLTVADHPAPLLLLPPSPSSCNNGDYATGGNMALAAPTSTTTESTPATPTSWGGGAGGGSSARAVRSLSPVMPPVQVTGQRTSCYRGVTRHRWTGRYEAHLWDNSCRREGQKRKGRQGGYDKDDKAARAYDLAALKYWGVNATTNFPKESYAKELEEMQNMSKQELVASLRRKSNGFSRGASIYRGVTRHHQQGRWQARIGRVSGNKDLYLGTFATEQEAAEAYDVAALKFRGANAVTNFEPSRYNLQAISQNDLPITPSGRRQINKKPAPEAEGQIALHSPAISQQSSNSSVPNYLFHNLLQFQPYGPPQALPLLGYNFAEPGFYWPLGDEEQKVQPNTKVEMANGLLHLANYAAN >LPERR03G13200.1 pep chromosome:Lperr_V1.4:3:9759582:9769799:-1 gene:LPERR03G13200 transcript:LPERR03G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRANRRPGSAASRMARPRAVVPVAPSMGPPPPPPISPIPAMYLRGTPRPPPPWLLIQHLITTCGLDPAVAKQPDVQRSISLHDFISRAGVWPSPEEERKRRTVVGELDKIVMCWAKRVAHDQREQYWNTTATVLTFGSYGLGAYGPESDIDAVCVGPCIASLHHHFFIVLRQMLEERPEVSDLHSIEGAKAIHAFDPCLLAAVNGPNLRSLSGVRVNRQIMQLLPNIKLLGFFAGIHLAILAAFICIRHPNATVNSLFNLFFDVFSHWQWPLPVSLLDQAIPWSLDCCSLMPIVMPCFPPEFCASSITRSTFNKIKEELQRGFTLTKDERNVDIDWTGLFAPFPYAARYTHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESLGVDCDPDPSEQADHNMIEPNVVFFWGLAYRASTEICIDSVEEDFKKSVTNNIYGKEKCTHSDITMSIVRPTQLPKNVYGHSHNRQNPPPFMMGN >LPERR03G13210.1 pep chromosome:Lperr_V1.4:3:9771741:9775741:1 gene:LPERR03G13210 transcript:LPERR03G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSLKAPATAASLFAAAAAAHRATAAAAVPSGASRAPPRRLRCSASGSFEAHDPMDWAARSLEEMQAAEGFEAFCLMALSPLDGRYERLIRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEITEVPPFSEEAQLFLDAIIQDFSIAGAKEVKKIEKTTNHDVKAVEYFLKQKCSSNPEIAKVLEFFHFGCTSEDINNLSHALALKEAVNTVMFPVMINICKAMCSLATQNAAVPMLSRTHGQPASPTTLGKEMANFAARFSNIGKSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFTQFNNVLIDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTTANGSLSSLSMKLPISRMQVNELRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEEARSSLLELTPHSYIGEAENLARSIVEAVDLKSGFKIE >LPERR03G13220.1 pep chromosome:Lperr_V1.4:3:9777368:9777664:-1 gene:LPERR03G13220 transcript:LPERR03G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVSMLRWPSDLGVPSLMALLRPSPSSAYAAPLRGWHWQHWWPERIGAVVRRWHELVPDVPPVVDTVLWGLVTAIESVALFSMVCCFFLFCGCTL >LPERR03G13230.1 pep chromosome:Lperr_V1.4:3:9781118:9785070:1 gene:LPERR03G13230 transcript:LPERR03G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATNGAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKEEFLTALRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTTLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSDSCCLLSSNGIVSPLCIC >LPERR03G13230.2 pep chromosome:Lperr_V1.4:3:9781118:9785070:1 gene:LPERR03G13230 transcript:LPERR03G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATNGAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKEEFLTALRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTTLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >LPERR03G13230.3 pep chromosome:Lperr_V1.4:3:9781118:9785070:1 gene:LPERR03G13230 transcript:LPERR03G13230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTTLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSGMLHFSSCHHIVCLLNYLSA >LPERR03G13240.1 pep chromosome:Lperr_V1.4:3:9787041:9791291:-1 gene:LPERR03G13240 transcript:LPERR03G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVANAGGIAMAASTSITPGQVSALLGFLWVFAAWAYAEVLHYRKNAASIKARHSDVNLAVMDTSSNKGEDQAMLLEEGVQAPVPKPIYASLTSQMFRSELGGHLLYFYICDRTDLLGESAKNYSRDTFLFLYFLLIIVAAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVIAIKFVTCFLVVILIWEIPGVFEIVWSPFTFLLGYTDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKFYIKSLIVTVSLTAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQFHIWLRSRVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKMAFVPSRDNKRLSYNFVAGIAISVALYSVSFLIVGIAGY >LPERR03G13250.1 pep chromosome:Lperr_V1.4:3:9795064:9797623:1 gene:LPERR03G13250 transcript:LPERR03G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPDGYTHTILAAACAARRAADEGRQVHCHAVRHGFGRNLYLASALVSMYSTCGCLGDARKVFDACPVWDAVSWNTILAAYVQAGDVEQAVGMFARMPERSAAAVSSMVSLFGKRGMVDEARREFDRAERRDVFTWTAMISCFQRNGMFVEALGLFSDMREEGWPVDEAVMVSVVAACARVEVTQNGEMCHGLVVRAGLGSRVNVQNALIHMYSSFLDVVAARRLFDTGKCLDQFSWNSMIAGYLKNGSVNDAKELFTAMPDKDNVSWSTMISGCVQNDQSSEALTIFNNMQAQGIKPSMSVHEYIRKHQYNITVVLGTSLIDMYMKCGYLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHGGLVEEGQHFFKLMQPKYHIEPNIRHYGCMVDLLGRAGYVSEAEDLIDSMPVSPDVPAWGALLGSCWKHGDNEVGKRVRRKLINLEPHHDGFHTMLSNIYASEGMWQRVKDLRGSMKQRHVLKIAVNLIYDEDQCFCIIKGMERMIMRVLCFTGVRSITVRTYDYIWEHGERKSKESRMAHYLELTDGGLS >LPERR03G13260.1 pep chromosome:Lperr_V1.4:3:9806299:9813142:1 gene:LPERR03G13260 transcript:LPERR03G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDATFKSVKVKLCYVPASRIDRGWRKANDDLHKDKACRFKVTGGNSAFDYAVARDISTASYFTHLAAASASTPPAAGGGLYSVETYELTAENVDRVLDNVCPYLITNGDDVIVASVKDNVISLKLEGEQLDCP >LPERR03G13270.1 pep chromosome:Lperr_V1.4:3:9814013:9814334:1 gene:LPERR03G13270 transcript:LPERR03G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTDVDNNNIMIPDFGELPEADRQEFESHVEDLRRKMLSCYWKTRQGVTKQEEFTLPINDKSKMLA >LPERR03G13280.1 pep chromosome:Lperr_V1.4:3:9821640:9822164:-1 gene:LPERR03G13280 transcript:LPERR03G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRGSPSRVRQDAKTRAQCSFFKWQEDYAVWLVKEGYLHAWTDCIAHRIEDDVPESVNASLKGVNDGIEKIRCEMKEAMSRICMVGIVFVTAFVMFVAMK >LPERR03G13290.1 pep chromosome:Lperr_V1.4:3:9822689:9835424:1 gene:LPERR03G13290 transcript:LPERR03G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCGSARRLLQIHAQFVASGLLADAFAASRLLLFTATTRLLPDPFHHSLRLLRLVHRPNAFSCNTVIKAARDHGLPHLCLPLYASMPAAPDGYTHTILAAACAARGAADEGRQVHCHAVRHGFGRNLYLANALVGMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQSGDVEQAVGMFARMPERSAAAVSSMVSLFGRRGMVDEARREFDRAERRDVFTWTAMISCFQRNGMFAEALGLFSDMREEGWPVDEAVMVSVVAACARLEVTQNGEMCHGLVVRAGLGSRVNVQNALIHMYSSFLDVVAARRLFDTGKCLDQFSWNSMIAGYLKNGSVKDAKELFTAMPDKDNVSWSTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGISVHEYIRKHQYNITVVLGTSLIDMYMKCGCLESALEVFDAMEERGTPCWNAVIGGLATNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHGGLVEEGQHFFKLMQHKYHIEPNIRHYGCMVDLLGRAGYVREAEDLIDSMPMSPDVPAWGALLGSCWKHGDNEAGERVGRKLVNLEPHHDGFHTMLSNIYASEGMWQRVKDLRGSMKQRHVPKIAGSSGMERMIMGVLCFTGVRSVTVCTYDYISEHGERKEEQGAKDGPLFRANRWRIITAFARMGESRGSIAFFTSYRPPVALDIFCCPALPSGPQDELHLTDGNSYNYNCQYIPPAALKTIVERLGVVPGDAVEDYIDSGRITGLVFHNLETLHFALRFADDGEVQVFSLADVYGTDGLFSGARMEDSGCFAGGYEVDGRTIDHCLVYVSTKEPVQERRSPWNVVYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLKTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDDAENNWGVYRYTISTRETARVTPDEFDAVTPAAINETRVAVATIRQKSEFNDVRLEDQYRHIEIFDINSPEQPLKITRNTTPKADHFNPFVMDGGKFIGYHRCKSELLQDQEGLPRHFHKLQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKSVWLADSQGLRVVFKTDGIDGVFSPLWNSKKDILYVCMGPSFKASETLEIHSIENVSSGDRKSRQLTFGGFNNAFPNTNPDGTKFVFRSTRDGGANHYKNLYIMEDADAGEGEGGNSVTRLTTGDWIDTHCQWSPNGKWIVFSSNRDRPPNAPERDHGLDPGYFAVYLLDVADRSFVRVIRSGYDIAGHVNHPVFSPDGRSIVVTADLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMAKNKDHHRLTHGVCLGLGVRACSFGSNQAWLAFGSRGATACFGKRWCAE >LPERR03G13290.2 pep chromosome:Lperr_V1.4:3:9828731:9835424:1 gene:LPERR03G13290 transcript:LPERR03G13290.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVAGAAALSLPPQIRRQITQSSPPPPPRRLQFGPLKIRSSGTRTHLAAASASTPPAAGGGLYSAETYELTAENVDRVLDEVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFGDDQQPAVTTPQAVNSHLDILRPAIANYSGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVDELHLTDGNSYNYNCQYIPPAALKTIVERLGVVPGDAVEDYIDSGRITGLVFVSEREHNLETLHFALRFADDGEVQVFSLADVYGTDGLFSGARMEDSGCFAGGYEVDGRTIDHCLVYVSTKEPVQERRSPWNVVYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLKTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDDAENNWGVYRYTISTRETARVTPDEFDAVTPAAINETRVAVATIRQKSEFNDVRLEDQYRHIEIFDINSPEQPLKITRNTTPKADHFNPFVMDGGKFIGYHRCKSELLQDQEGLPRHFHKLQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKSVWLADSQGLRVVFKTDGIDGVFSPLWNSKKDILYVCMGPSFKASETLEIHSIENVSSGDRKSRQLTFGGFNNAFPNTNPDGTKFVFRSTRDGGANHYKNLYIMEDADAGEGEGGNSVTRLTTGDWIDTHCQWSPNGKWIVFSSNRDRPPNAPERDHGLDPGYFAVYLLDVADRSFVRVIRSGYDIAGHVNHPVFSPDGRSIVVTADLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMAKNKDHHRLTHGVCLGLGVRACSFGSNQAWLAFGSRGATACFGKRWCAE >LPERR03G13290.3 pep chromosome:Lperr_V1.4:3:9822689:9830427:1 gene:LPERR03G13290 transcript:LPERR03G13290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCGSARRLLQIHAQFVASGLLADAFAASRLLLFTATTRLLPDPFHHSLRLLRLVHRPNAFSCNTVIKAARDHGLPHLCLPLYASMPAAPDGYTHTILAAACAARGAADEGRQVHCHAVRHGFGRNLYLANALVGMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQSGDVEQAVGMFARMPERSAAAVSSMVSLFGRRGMVDEARREFDRAERRDVFTWTAMISCFQRNGMFAEALGLFSDMREEGWPVDEAVMVSVVAACARLEVTQNGEMCHGLVVRAGLGSRVNVQNALIHMYSSFLDVVAARRLFDTGKCLDQFSWNSMIAGYLKNGSVKDAKELFTAMPDKDNVSWSTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGISVHEYIRKHQYNITVVLGTSLIDMYMKCGCLESALEVFDAMEERGTPCWNAVIGGLATNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHGGLVEEGQHFFKLMQHKYHIEPNIRHYGCMVDLLGRAGYVREAEDLIDSMPMSPDVPAWGALLGSCWKHGDNEAGERVGRKLVNLEPHHDGFHTMLSNIYASEGMWQRVKDLRGSMKQRHVPKIAGSSGMERMIMGVLCFTGVRSVTVCTYDYISEHGERKEEQGAKDGPLFRANRWRIITAFARMGESRGSIAFFTSYRPPVALDIFCCPALPSGPQDELHLTDGNSYNYNCQYIPPAALKTIVERLGVVPGDAVEDYIDSGRITGLVFVSEREHNLETLHFALQARERPAVHPPH >LPERR03G13300.1 pep chromosome:Lperr_V1.4:3:9835819:9837580:1 gene:LPERR03G13300 transcript:LPERR03G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEELCTIAVERSSGRVEPSRQMFYPDMRMGGALYQRRGAVTGSMAYTRIGGVAIYLWRGHPIVLKKPQFVSNIVVAPFDCVI >LPERR03G13310.1 pep chromosome:Lperr_V1.4:3:9842070:9848150:-1 gene:LPERR03G13310 transcript:LPERR03G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHARALLFLSTRSFSSASGVAPLAAARRLLSTSVDSGASSKGENYKPPLFDPFRAANLASSAPPLESPPIEELHDDAIPPEVEDSGPPAAPEKDPVACQHELDGLKAWVEAVRSREESTQEKEAWSLLGRSVVSYCGTAVGTVAANDPSAASQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSINEGSNNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQKQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMILDCPELASILICEEDLELLEGCACNLNKSARTKCSRRAAKSQLTEAPEVIRANAVQLLHPAMFRSKQSWSVEYSGGEQRSPGGRRKKTLKYGNALKLKD >LPERR03G13320.1 pep chromosome:Lperr_V1.4:3:9858796:9860104:-1 gene:LPERR03G13320 transcript:LPERR03G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERDTSSEEEVMGGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAEQHQHDDMLLSSSWQHGSPDELPAPDNAGCWPPAEYCAAAAAAAAAGAGQTPPPEVSSTTTVGSSSPSTEDSGTGAQPSWEPAQVDWFTTACDATSGAAGMAAVPACQVGQSDLSSSLPAAAGLGFPDLAVADFEISGFDVDSIWSMDDDLWYNAQVTV >LPERR03G13330.1 pep chromosome:Lperr_V1.4:3:9884532:9889841:-1 gene:LPERR03G13330 transcript:LPERR03G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLACPPLSGASGRRPAALTRPTALVCGTYALTKDERERERMCQLFDEASERCRTAPMEGVAFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDINHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELIDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSDDSGEAQTTDE >LPERR03G13340.1 pep chromosome:Lperr_V1.4:3:9892925:9893617:-1 gene:LPERR03G13340 transcript:LPERR03G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFPCLPAVRVGSRLHLASVFSRPAGCQRRGSGGSPPAALMADVRVVIRRHFPVGPKGVIVEKVSDDIALRRQPSRRLRTPERVERALAEQVLPFVEHPIDLRAVAIASKQICAYVSAACSDPRLANGGVQVLILLDTFACPTLLPAAAPRKQGAELGVAVRTCPCLEITNKQSSHCSADSAEHGGVVRSFPCMEVGVGSKKKTSSVGVIGDGRRKAVHDASGESRIK >LPERR03G13350.1 pep chromosome:Lperr_V1.4:3:9899242:9901162:1 gene:LPERR03G13350 transcript:LPERR03G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLAGKMTAKAAAAVKPATKAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGGIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFENIDELFELPKGNFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVTWPTAELGPPPALYFNAGMFVHEPSMATAKSLLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDETLDFKGLPPVAAADDTDEVEVAAKKPLRAALAEAGTVKYVTAPSAA >LPERR03G13360.1 pep chromosome:Lperr_V1.4:3:9910410:9913910:1 gene:LPERR03G13360 transcript:LPERR03G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVAGILDIDLSWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECKERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERQEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGEENFVFSSNSVLRQ >LPERR03G13370.1 pep chromosome:Lperr_V1.4:3:9915753:9921827:1 gene:LPERR03G13370 transcript:LPERR03G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGFGTNSAMGSASHPATIEYNTSNNVSSEYIYEQGLYYPATNGYAYYAAFEPPVEWSDNHTTFVGVDGQNLQISNDNLPYVYCPPGYGFSYYSPDQYAYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFLPMSIQPTDFSSTVSAEPPLHTTGTSTSVVASRLAMKNKHQMTGNTAPASQTATSGSSAAAHPQRAYENENTNKPSNLPDANMSRHDKSSSSHVTVPEDASSTDKDGKSDEGNQSKEHVPSLQVTSGAMAGESAQSKTESRSTLEKIMINPDQYNKDHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDAQGRALGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMGFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGISMLKLFKDGPLTTSILDDFPFYEGRQKAMLEEKCRRSGRIFDERMYIPAFVAKNNADAIGESSEVGKGTFSSKDSQGYARQENDACEHADRLNQMKDVEATEAIKKDGVAFVEQFEHLKINQDSLDARADHQTESCSCSALPENGERKSASLSELVKLNGKGRSDCEAQARINSSESNYSVGKKGLPEEFGGHNLSNSMKEGGVGTVEDGKSTKFVNSPQGIPSSGVDREAKGNADEMAGIATTGVVKVGSVHIKVNVAGESSSEIIGDGNGFP >LPERR03G13380.1 pep chromosome:Lperr_V1.4:3:9915785:9915970:-1 gene:LPERR03G13380 transcript:LPERR03G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRRGREAIKPWCVGRKDARGGGAWRRGREAMGEERRRGEAKRERRERRRGEGERGDVL >LPERR03G13390.1 pep chromosome:Lperr_V1.4:3:9924347:9928027:1 gene:LPERR03G13390 transcript:LPERR03G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIARLARAGNMEGARAAFEGMPLRTTASYNALVAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRDTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFRQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPERDVVAWTAMLSGYCQAGRIAEARVLFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAEELFNAMPERPVAACNAMMVGFGQRGMVDAAKAVFDKMRERDDGTWSAMIKAYEQNEFLMEALSTFRKMLWRGVRPNYPSVISILSVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRTFHTFEPKDVVMWNSMITGYAQHGLGEEALGIFHDMTLAGMAPDGITYIGALTACSYTGKVKEGREIFNSMTMSSAIRPGAEHYSCMVDLLGRAGLVEEALDLIRNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPENAGPYVLLSHIYTSIGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAVILKILEKLDVLLMESGYSADGSFVLHDIDEEQKAHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITAREIILRDANRFHHFKDGRYSSNFENHSVDAKDSRLNSKQFINRGASLPFI >LPERR03G13390.2 pep chromosome:Lperr_V1.4:3:9924347:9929164:1 gene:LPERR03G13390 transcript:LPERR03G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIARLARAGNMEGARAAFEGMPLRTTASYNALVAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRDTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFRQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPERDVVAWTAMLSGYCQAGRIAEARVLFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAEELFNAMPERPVAACNAMMVGFGQRGMVDAAKAVFDKMRERDDGTWSAMIKAYEQNEFLMEALSTFRKMLWRGVRPNYPSVISILSVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRTFHTFEPKDVVMWNSMITGYAQHGLGEEALGIFHDMTLAGMAPDGITYIGALTACSYTGKVKEGREIFNSMTMSSAIRPGAEHYSCMVDLLGRAGLVEEALDLIRNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPENAGPYVLLSHIYTSIGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAVILKILEKLDVLLMESGYSADGSFVLHDIDEEQKAHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITAREIILRDANRFHHFKDGFCSCRDYWIQGSTVSSSSTEEPGRQMLDKLIDAPLITLPVRHDLLQFPGIGSEHLPVFLHHDGTDSSGDTVSVERPILIVPRHHHTDLSSLENPSIGALVAEDRKHDQRVPELQSFDDGAPPAVSQEPAHGGVRQYSHLRSPPRRDQPALAGAAHESVR >LPERR03G13400.1 pep chromosome:Lperr_V1.4:3:9938419:9939765:1 gene:LPERR03G13400 transcript:LPERR03G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIEKILLLLLLLAVTTAARCEAATVRLHATHTDAARGLSKRELLQRMAARSKSRSARLLSGRAVGTRVDPGVYTDGVPDTEYLVHMAIGTPPQRVQLILDTGSDLTWTQCRPCMSCFSQTLPHFDPSGSTTFAVHPCDMPVCRDLPWSSCGEQRWGARTCVYSYAYADRSITTGHVDSDTFTFANADDPIGGASVPGLTFGCGVFNNGVFSSNETGIAGFARGALSLPSQLKVDNFSYCFTTITGTEPSPVLLGVPANFYHNTAGAGGFVQSTALIRSPSSQLNAYYISLKGITVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTTLPEAVYNLLRDAFVAQTTLPVHKPSSSSVSQLCFSMPTREKPDVPRLVLHLEGATLDLPRENYMFEFEEAGGSGSITCLAINSGDDLSIIGNFQQQNMHVLYDLANNMLSFVPAQCNKL >LPERR03G13410.1 pep chromosome:Lperr_V1.4:3:9962748:9972073:1 gene:LPERR03G13410 transcript:LPERR03G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPIQMRKPALLILASLAALAISSCHAAATTVRRMELTHADAGRGLSGRELMQRMAQRSKARAAGLLSSSSSATAPVSPGPYTDGVPSTEYIVNMAIGSPPQRVQLILDTGSDLTWTQCDPCPFCFDQELPYFRSSKSSTHKILSCDSKPCQHLYDRSPVSCGKKYWPEQSCVYIYSYGDKSVTTGFLESDNFTFGAGASVPEVAFGCALVNHMSFESIGTGLAGFARGPLSLPSQLKLSNFSYCFTAINGSKPSTILLDLPANLYSENRGAVQTTPIIKNPENSNKYYLSLKGISVGSRRLPVPESEFALKNGTGGTIIDSGTSFTMLQPRFYWLVLDAFAAQVKLPAVSNNTTTDPLTCFSVPPRAKKPVVPKLVLHFEGATMEIPRENYVFEIEEAGNTTICLAIGEYKEMNIIGNFQQQNMHVLYDLRNNKLSFRAFLVAALLAAAVAISGCNAAATIRMQLTHADAGRGLAGRELMHRMALRSKARVARQLLSSASAPVTPGGLADGAPSSSEYLVHLAIGTPPQRVQLTLDTGSDLIWTQCAPCPACFDQALPYFDTSASSTFSLPSCDSTLCQGLPVASCGNPKFWPNQTCVYTYSYGDKSVTTGYLEADAFTFGASASVPGVAFGCGLFNSGVFKSNETGIAGFGRGPLSLPSQLRVSNFSHCFTAVSGSKPSTVLLDLPADLYRNGLGAVQTTPLIKNPDNPTLYYLSLKGITVGSTRLPVPESEFALNNGTGGTIIDSGTAITSLTPRVYRLVRDAFAAQVKLPVVPSNTTDSYLCFSVPPRTKKPDVPKLVLHLDGATMDLPRENYVFEVEDAGNSIVCLAILEGETTIIGNFQQQNMHVLYDLQNSRLSFVPAQCDKL >LPERR03G13420.1 pep chromosome:Lperr_V1.4:3:9972250:9978304:-1 gene:LPERR03G13420 transcript:LPERR03G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVSVSPAPAPSFRPSLAASRFSPREQVAGPAASFRTQVCGLRCLIAAKLKFQKTLKRHGWQLRRNLEVRANDNAPDWLEATPLTDNVISPDAQPAHDSRGETSNTFPDTLDSSVNEQSSMHHKLNPSETPPPVLKEDPVLFDDQPESAAPLCIAVIGATGELARNKVFPALFALYYSGFLPQNVGIFGYSRKTLVDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSHIEGNCAANRIFYLAVPQEALLDVALSLADSAQTTQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIKGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRNEKVKVLRSIRKLELEDVVLGQLKDTAVKVDKYTKSLTPTYFAAAMYIDNARWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVEVPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPVIHEIDQNRVAPELYEAGGRGPVNAYYLAAKHGIAPPRGSSRGRMHVMSKCTWQGIRYGRQH >LPERR03G13420.2 pep chromosome:Lperr_V1.4:3:9972805:9978304:-1 gene:LPERR03G13420 transcript:LPERR03G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVSVSPAPAPSFRPSLAASRFSPREQVAGPAASFRTQVCGLRCLIAAKLKFQKTLKRHGWQLRRNLEVRANDNAPDWLEATPLTDNVISPDAQPAHDSRGETSNTFPDTLDSSVNEQSSMHHKLNPSETPPPVLKEDPVLFDDQPESAAPLCIAVIGATGELARNKVFPALFALYYSGFLPQNVGIFGYSRKTLVDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSHIEGNCAANRIFYLAVPQEALLDVALSLADSAQTTQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIKGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRNEKVKVLRSIRKLELEDVVLGQLKDTAVKVDKYTKSLTPTYFAAAMYIDNARWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVEVPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPVIHEIDQNRVAPELYEAGGRGPVNAYYLAAKHGVSNLHSRFYCKI >LPERR03G13430.1 pep chromosome:Lperr_V1.4:3:9980774:9985413:-1 gene:LPERR03G13430 transcript:LPERR03G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMADGQNGAIMPSNSSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEITKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASESDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >LPERR03G13440.1 pep chromosome:Lperr_V1.4:3:9990724:9991729:1 gene:LPERR03G13440 transcript:LPERR03G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENADSGVKRARVESPMTPNTIVRVKQEVAEETVGGGESATPTAEAAETPVAAAAAAATRVEVDARIDAAVLDCPLCLLPLKPPIFQVSCVLIFASHPVAVAVVCVQCGAGHLACGICHGKLTDAQCQSCGGDSATAYTHSPALDAFARSTKIRCPNDKYGCDSYVTYCEVADHRCSCRHAPCLCPVPGCGFLATPPALVEHLTGGHSWPAQEITYRNVHLLRVPASELRRLLVVRGEGGAPRHGGAATTVFGVVRAGEREVWAQAPADPETGYKDTIMMDAVVRSCFVPGEVAMEEGAVLSVPPWMLHGESLEMALRVRIDKLRPKN >LPERR03G13450.1 pep chromosome:Lperr_V1.4:3:10003625:10004116:1 gene:LPERR03G13450 transcript:LPERR03G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSVHHGGGGISKPCHGGKGGGGRGRGSGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLDHQHYYHPSSSFGARASSMQATAPGVVDHRSMPPTMATANPGAMTTTTADYYGANGGGAAAVVVAAVAPMAPFQSVYDHGSLLYGQDYW >LPERR03G13460.1 pep chromosome:Lperr_V1.4:3:10013160:10018729:1 gene:LPERR03G13460 transcript:LPERR03G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDPAAAAAGEGDVEDGVGGDSSVRSSDRGFPPYGGGGNHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLIRAADVTPVFNRILMNEQEEEFDGPPQKEIPALIVWTLGGKNVSVEGSWDNWKSRKPMQRSGKDHSLLLILPSGVYRYRFVVDGERRCLPDLPCETDVMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSFLVPEEKDFSKEPPALPSQLHLGVLNSQNKEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >LPERR03G13470.1 pep chromosome:Lperr_V1.4:3:10024622:10026021:1 gene:LPERR03G13470 transcript:LPERR03G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPESADHRQASSSSGAPRRRRVGCMAGLLRLLSPYHRSHHRKRLTAKNAPAPVVACATPPQSPPPFKAQKLPPSSQVQPHPAPIPVGLRRRRSCDAPRSPTIAPEHRRASCDSPRPTAPPAIVARLMGLEESAPPSPAATTAPTPRPLPTRPPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRVPDDVPMTAESTGKKGSDNQWKDGRDVDPSSPSPTPQKARFGEQHPSPDSVLDAITSPRFPCRKRSSPSTDLDADRKLISTVGSKIVKPSRTLVFSGDYCKIKPCSELHAVAAMYHHPVVAIEAIPRWMPPPPPPSSSEISWQHRRRWGLEAAASGRSRAMAETIGEVWGQGADEERHEAGRVGAALERAILHDMVVDVVAELLAHSTPPPHPFAGAAMCRKRLIF >LPERR03G13480.1 pep chromosome:Lperr_V1.4:3:10028928:10031255:1 gene:LPERR03G13480 transcript:LPERR03G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >LPERR03G13490.1 pep chromosome:Lperr_V1.4:3:10031926:10037497:-1 gene:LPERR03G13490 transcript:LPERR03G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHPHTLCTSPYYHHHHPLLFHAIFLHLQPQPLLCLSRSPVLEIEEKRPESLLITCCSAPLVSELKMYRAKRAALSPKVKRRVGKYELGRTIGEGTFGKVRFAKNTENDEPVAIKILDKEKVQKNRLAEQIRREICTMKLVRHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIETNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILFVLLAGFLPFEDDNIISLYKKISEAQFTCPSWFSAGAKKLITRILDPNPTTRISISKILEDPWFRKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTLMNAFELISLNEALNLDNLFEAKKVFQVAPSLHVVELKKAKGDTLEFQKFYRTLSTQLKDVVWKCDGEVEGNGAAA >LPERR03G13500.1 pep chromosome:Lperr_V1.4:3:10045371:10048928:-1 gene:LPERR03G13500 transcript:LPERR03G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPPSPSTSRGRIRPWLVVGDLVLAAMWVCAGALVKLAVYGVLGLGGRPEADAAKVGLSLVYMFFFAWLEGLTGGASYNPLTVLAGALASRGGPSLYLFTAFLRIPAQVLGSILGVKLIRAALPKVGKGARLSVGVHHGALAEGLATFMVVLVSVSLKKKEMKGFFMKTWIASIWKMTLHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTFLTKPKIKDQEADESKTKKE >LPERR03G13510.1 pep chromosome:Lperr_V1.4:3:10050084:10056700:-1 gene:LPERR03G13510 transcript:LPERR03G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPALPPLASIRHWRAGAAALESICICALRTCIESLLWFQLWQVRMGIQRGCLDCSLFHMLVIVLFVGLATGQTAQLSVDASPQIGRTIPDKLFGIFFEELNHAGAGGLWAELVSNRVRVTGFEAGGVNTPSNIDPWLIIGDESNIIVATDRSSCFASNPIALRMEVLCGDSETNACPSGGVGIYNPGYWGMNIEKAKIYKVSLHIRSSDLVDLAVSLTSSDGLQNLATHTITAEKGDFTEWTKVEFDLQSSERNTNSRLQLTTTKKGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWDYWTDDGLGFFEFLQLAEDLGACPVWVINDGASLNEQIPDATIASFVKDVVNGIEFARGDTGTTWGSLRAAMGHPEPFPLYYISIGNQECSKPYYKEKYVKFYSAIKASYPDIKITSSCDISSISSVNPADLYDVHVYTSSGDMFLKTRMFDNTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATFHPLTIKVSNYDQLVASSLTWQNSNDGNTYLKIKVVNFGNKVVNLNIFVAGLENSIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMSVTVDPYSLTSFDLLLDTSSTDKYPLLESSLHPSM >LPERR03G13520.1 pep chromosome:Lperr_V1.4:3:10058784:10065548:1 gene:LPERR03G13520 transcript:LPERR03G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSADVVTKEMETLIIGQNPESKKKGPLQQTDPPSIPIDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >LPERR03G13520.2 pep chromosome:Lperr_V1.4:3:10059538:10065548:1 gene:LPERR03G13520 transcript:LPERR03G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLFAQPEVTELLYNMAGGSADVVTKEMETLIIGQNPESKKKGPLQQTDPPSIPIDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >LPERR03G13530.1 pep chromosome:Lperr_V1.4:3:10066828:10067439:-1 gene:LPERR03G13530 transcript:LPERR03G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCVGVAAPSALLSSRSFADAAAIARALHFSLCDYTDPPPHHHTVGATDVDLVTYRGAANVVVDAPAAVALPRASPPSSSSSAARCKLGPGGSGRAGKRRPRPSKRAPTTYISTDAATFRLMVQQVTGAQVDEASSSCLGLLMPQPRFDVVVEPAALLPPADAAYIPHHVHAAAAAADAEQPCFPTLDSWNVMYGKDEVV >LPERR03G13540.1 pep chromosome:Lperr_V1.4:3:10075741:10080651:1 gene:LPERR03G13540 transcript:LPERR03G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILCLSIWAASAAAAMAGTDMEALLEFGRGIRQDSSSHKAIPWNPISALDSDGCPVDWHGVQCSSGQILSIAFDGAGLVGNVSLSALSRMPMLQNLSLSNNKLEGVLPHDLGSMTSLQLLDLSNNMFSGQIPAELTKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDGIFAELQSPVHVDLSCNKFLGSLTSISDNSSVVSTLQYLNVSHNLLSGTLFESDLMPLFDSLEVFDASYNMLNGNIPLFNFVISLKVLRLQNNNFSGSIPEALFRQTSMVLTELDLSCNRLTGPLRRVTSINLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVVRTWGNYIETIDLTSNRLTGTWPDETTQFLRLTSLRISDNLLTGELPTIIGTYPELIAIDFSLNQLHGPLPGSLFTAVKLTYLNLSGNSFSGTLPLLSSEARISTPMDLLVLHVQTSNLSFVDLSNNSLSGSLPSGIAALGGLALLNLCQNNFSGQIPKDITKLKHLMYIDLSKNNFDGTIPDGLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSGLQGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTGQSKQPATLGECSQSHAEATTSEMQEVSLESSSSTEYVSNPLPGKERQHEAQDVSVHADQTGSTSTLKDGMASSMPPLTSSPQHQHSVLRVHSPDKLIGDLHLFDNHVVFSAEELSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVKHPNLVSMRGYYWGPKEHERIIISDYVDATSLSAFLSEFEERNIPPLSVGQRLDIATDIARCLDYLHNERVIPHGNLKSSNVLIQNSSTSALVTDYSLHRLMTPVGMAEQVLNAGALGYSPPEFASTSKPCPSLKSDVYAFGVILLELLTGKIAEEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAQGSGSAPKVLEDMLGIAIRCIRSASERPEIRTVFEDLTSLSP >LPERR03G13550.1 pep chromosome:Lperr_V1.4:3:10081218:10085054:1 gene:LPERR03G13550 transcript:LPERR03G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRFTSALARSPLLPRSLPPPRPPSGGEKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEVREGMHFVVTATSRGKRPGEVDGKDYYFVTKEDFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIQRKTETSDMLLVRIATAREEVRRMNNFDYVVVNSEGNLEEAVKQVESIIDAEKAKVHKRIVNI >LPERR03G13560.1 pep chromosome:Lperr_V1.4:3:10086709:10088269:-1 gene:LPERR03G13560 transcript:LPERR03G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRSLCCRRGVAEVTSSHAGHKSEDLLDGGGNLKEQGGGVEHLQRVLFFVSKLQLRCAHPPWKHLGRAGDHDSSAARAMPARWRLPSNSVNDSTGGRAHASGTATLT >LPERR03G13560.2 pep chromosome:Lperr_V1.4:3:10086709:10087921:-1 gene:LPERR03G13560 transcript:LPERR03G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSSHAGHKSEDLLDGGGNLKEQGGGVEHLQRVLFFVSKLQLRCAHPPWKHLGRAGDHDSSAARAMPARWRLPSNSVNDSTGGRAHASGTATLT >LPERR03G13570.1 pep chromosome:Lperr_V1.4:3:10089738:10093576:-1 gene:LPERR03G13570 transcript:LPERR03G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGPLRRWKRFLPAFASVDDAIEAANPAISRAEFRNASFKILEMLDNETDPANAQKFCVVLDDVMVESLRTLEMVAVKPKLLASTELARDIGDLRNHESERVRGLATGIVRGWRASVKADLIKATAAMEKLSHVLEPDEPNHRGKILEPSAPKKTASFSEPSFPAKKQSTPAVVGSSTDEKAINAAKRKLREGYEEAEEAKRQRTVKVIEAPEVTKQRQKKMHPILRERSRSRAASHMSSRCRKRFLPAFASVDDAIEAANPEISRTEFRDTSLKILEMLVNETDAAEAQKLCVVLDDVMVESLRTLEMVAVKPKMLASTDLARDVGDLRNHESERVRGLATGIVRGWRASVKAELAEASSAMEKLCHVMEPDKAPKKTANSSEPSFPNPKKQSAPVVKTAKMMELPAVADEKAMSVAKRKLREGYEEAEEAKRQRTVKVIEAPEMTKQRQRKMHPILRERSRSRTASHTSDFAERHLAYA >LPERR03G13580.1 pep chromosome:Lperr_V1.4:3:10094303:10097273:1 gene:LPERR03G13580 transcript:LPERR03G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIAEAMQAYTGLSPAAAVTILVLMLATYLLVSSLFVAPDAASSAPAKPPQERKEEQQQGEEETEAFVPYPDPVQLGEITLERLAAYDGKDPAKPILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPIDLTGDLEGLGPDDLEVLQDWEDKFKERYPTVGHLASENAADGNNSGV >LPERR03G13590.1 pep chromosome:Lperr_V1.4:3:10101545:10101967:-1 gene:LPERR03G13590 transcript:LPERR03G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPTAHGPRRFWRYVKEADAVPMWSALGGYWSTGSTDKRSRPRADVARRPVEVWRGGQVARGSSEERRREAHTVMLDVARGPLLLSLGLGVAGGILWWIQRAGFWVSLADVGEHVDVHYDDEGITVGMEKATSKQPLP >LPERR03G13600.1 pep chromosome:Lperr_V1.4:3:10105313:10106458:1 gene:LPERR03G13600 transcript:LPERR03G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLPAEEEDHFDRIPDSLVLLIFNRLADARSLGRCSAVSKRFNSLVPLVDDACLRIDRVITDAADADDALGLAGPRPAGRGVISHLLKAMLLAVLKPFGHCDAGFRGERGGVGKHGGFGGGGGGAQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGTTLQSCVILGGTRVDRAAAAASPVATAAAATAAENEGDESGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLETVALTDAHGQGTLAMGRDQIREFRDKPLAAASAANRTQVPACNMKLRYAPVLELSDGTQIHGATLVVIRPVGENAGIGGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >LPERR03G13610.1 pep chromosome:Lperr_V1.4:3:10112239:10118127:-1 gene:LPERR03G13610 transcript:LPERR03G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDKNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCNIHGFVNVNKISHKINKLSFGLEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >LPERR03G13620.1 pep chromosome:Lperr_V1.4:3:10120059:10127216:-1 gene:LPERR03G13620 transcript:LPERR03G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCQERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIQQKSMNPSPSISHRASDSFSPVPSPLSYGRFHINHMKSGRNPVMTIEQKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGFFNPVENQISFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNNRPVHKSSLHEERTLDLKDAEKSPVSGREDDFAESEDDFDNPSTEPLVRVFQNRNDMPVENTVMNQSPAHVASEKLASENSDSQTDRPNNDKNALDISMYESDESPVASPAKEVSSSIAALPMNGKSKESFHDVRNGVKDLQSCMKEIEKLFIRASDSGKEVPRMLEADKVNFRPLLPDEKVHGLKTSGFFSTFFACCGREEIPTPQPPPEAEVKYLTWHRSVSSLSSSSRNPLGVTSKDDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKAGGAICRHYDEKCRQLRHQESKDDSQMSIDRTRAIVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSTMLECHRHQHEIIKLVSSSGNMKVLIRSESQIQAALLLQVELSTLCSNFQKWIAYHRSYLQSLNSWLLKCVKPLRGKKSSRRKKEADTPITQFAVAPMFKTCENWIKLLDDLPSKDLGDAIKGLVADINHTVPRQEKRRGGSKLTSSLSRNRELNGEMAEIHRNSHPTDLQSSLGTFLWKLEVFSDVSLQKYRNLTDEINKAKVEYENVNLKGMRK >LPERR03G13620.2 pep chromosome:Lperr_V1.4:3:10120061:10127130:-1 gene:LPERR03G13620 transcript:LPERR03G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKAIHLKMGSTRSKNEDDKAIVLCQERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIQQKSMNPSPSISHRASDSFSPVPSPLSYGRFHINHMKSGRNPVMTIEQKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGFFNPVENQISFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNNRPVHKSSLHEERTLDLKDAEKSPVSGREDDFAESEDDFDNPSTEPLVRVFQNRNDMPVENTVMNQSPAHVASEKLASENSDSQTDRPNNDKNALDISMYESDESPVASPAKEVSSSIAALPMNGKSKESFHDVRNGVKDLQSCMKEIEKLFIRASDSGKEVPRMLEADKVNFRPLLPDEKVHGLKTSGFFSTFFACCGREEIPTPQPPPEAEVKYLTWHRSVSSLSSSSRNPLGVTSKDDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKAGGAICRHYDEKCRQLRHQESKDDSQMSIDRTRAIVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSTMLECHRHQHEIIKLVSSSGNMKVLIRSESQIQAALLLQVELSTLCSNFQKWIAYHRSYLQSLNSWLLKCVKPLRGKKSSRRKKEADTPITQFAVAPMFKTCENWIKLLDDLPSKDLGDAIKGLVADINHTVPRQEKRRGGSKLTSSLSRNRELNGEMAEIHRNSHPTDLQSSLGTFLWKLEVFSDVSLQKYRNLTDEINKAKVEYENVNLKGMRK >LPERR03G13630.1 pep chromosome:Lperr_V1.4:3:10140463:10148528:1 gene:LPERR03G13630 transcript:LPERR03G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTEAEAFMELALEQAKFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDVLLQEWQGMGLDQPHVAEKFARCDLYVTCEPCIMCAMALSILGIREVYFGCANDKFGGCGSIMSLHQTTSAELSWKEIPGPKGYKCTGGIMAEEAVALFRSFYKQGNPNVTYSASPVCRGASELLEKEHGISPEKLIVRSFKEQFIPGDVNDRDLLS >LPERR03G13630.2 pep chromosome:Lperr_V1.4:3:10140463:10148528:1 gene:LPERR03G13630 transcript:LPERR03G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTEAEAFMELALEQAKFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDVLLQEWQGMGLDQPHVAEKFARCDLYVTCEPCIMEVYFGCANDKFGGCGSIMSLHQTTSAELSWKEIPGPKGYKCTGGIMAEEAVALFRSFYKQGNPNVTYSASPVCRGASELLEKEHGISPEKLIVRSFKEQFIPGDVNDRDLLS >LPERR03G13630.3 pep chromosome:Lperr_V1.4:3:10138362:10141224:1 gene:LPERR03G13630 transcript:LPERR03G13630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARHSTPSENKNQPLPRHSEAYSWGVGVVEQKPACTELQSCSTECQDAFSAGPIPEETVDAGRFGSIRFFHFL >LPERR03G13640.1 pep chromosome:Lperr_V1.4:3:10138822:10141178:-1 gene:LPERR03G13640 transcript:LPERR03G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGARRVLEAWRLGVVKYGDALRLQERLVADRRAGRVPDVVLSLQHPPTYTLGKRRTDHNLLLPEAELRGLGADVHRTERGGDVTFHGPRQAVLYPILSLRAAGLGARRYVEGLESAMIEVAALHGVRARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFKHIVPCGIADKEVTSLRREAAVELPPDKVIHDQLLQSLARTFSFSDVQFKDESDCADMELDRLKMHPDIRCCKIGAQCRLASVLQHQHPKNRLLNVVEEADSCSLKVCCAELRLKKVKTKADNNEECPLFPEDYRCRYQQ >LPERR03G13650.1 pep chromosome:Lperr_V1.4:3:10144782:10149920:-1 gene:LPERR03G13650 transcript:LPERR03G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKTAVGHDKKNENKPDDGRTSCASHSPDTRSFRSRSRHGKPSSEESSNPQLRRSLSFTSPGINCSLNERTMSFSGDIPCSFSNSSDAPRHTGDAECYPWSPKRHNNMGEYMIKVPKTHGAHESDSPHSRCYSCSTGHSPVSSPVALKCRPPKLTNPSSRNEVLDLYIDGEQELNSLNEKHRLKLPVRSSASHLGWGRPPRPHSTAPSSPKSCKEIFESYSNIDLIDACHYQLAQEETNDIPNIAPGNDAQMLEASSENISHTEECKSQNMATMEDIYDHLQDAQLPCFCHTSMDYISGTTPRCFAEDGCCRDDSRGFHDNNLEQDTDEKLLRRAKELDACFMVPLGENSELNMLRDNSLSSTDMLQLVQTLIEDRKQLALQLSSQIKACLTERFAAKEQCKRFKVELDTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLARFQSEEQRLRERIRELAEQNVSFQREVTLLESNRMDTSKKITSLELQNKQLNDELQKVKKEHDNLLKSSVELNDNLMKTAEERNQILECLKEKGDDNKALHTVIARLQRVSNEQEKTITGLRQSFNAELENRSLGTSESINRMQMELIRLTGVEQKLRREIQSCNCEVESLRKENIAILNRLQNSDNKLSISSVRLDQELNTRVDILQLQGLSLLDDTSQFCAKLLDSMKSKRSESIGSVDALTAIEYTLSYQSIKERIKNLKQSLQAINSMLTEKHNEEKIGEKTGSCILRQDTLPKDDIEIKLKEETLLSRVLKEKLLSREQDIEQLQSDLASSARIQDIMQNEIQRVQDELCCLTHKSKHLEIQVSKKDESISQIQQDFQESAKELTALRCTLKTVNDERDVLWQETKQLRKTVSALQNDVASLRQKIKSLDEDIMRKEGDILLKEGEISILLDSIDRPFDTICSPRPLKQFESE >LPERR03G13660.1 pep chromosome:Lperr_V1.4:3:10153598:10153963:-1 gene:LPERR03G13660 transcript:LPERR03G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSPVMTESERRAYRYGQAASPRLRAGLGQQQLGGGGGGMRKSWSNDSLSSYGGGGGGAQACVCAPTTHPGSFRCKHHRHHASNLGGAAPAEADADAKHRHQEQEAAQEVSSTEQDKTT >LPERR03G13670.1 pep chromosome:Lperr_V1.4:3:10156371:10163356:1 gene:LPERR03G13670 transcript:LPERR03G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCCGLDAPRPLLGPARARGSPRASALRYSSLQAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGADLGAVEAAMEQESAADVAQQPEEDVREDVMGEGMLRLAATRDWVSGESTLPATKRLSAQARLDERERRKELNLLRYEALKDELLLLTTGVGAACSLYCLLVFSLEAAISYAFGVAFSCLYLQLLYRHTDDLSKKDVPEIFMKKKVKTIGIRSEDLKNTIEKTLGGISVALSSPRLVIPAIIFGLSSLSDHFQNSFLNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADTS >LPERR03G13670.2 pep chromosome:Lperr_V1.4:3:10156371:10163356:1 gene:LPERR03G13670 transcript:LPERR03G13670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCCGLDAPRPLLGPARARGSPRASALRYSSLQAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGADLGAVEAAMEQESAADVAQQPEEDVREDVMGEGMLRLAATRDWVSGESTLPATKRLSAQARLDERERRKELNLLRYEALKDELLLLTTGVGAACSLYCLLVFSLEAAISYAFGVAFSCLYLQLLYRHTDDLSKKDVPEIFMKKKLVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADTS >LPERR03G13680.1 pep chromosome:Lperr_V1.4:3:10162843:10165784:-1 gene:LPERR03G13680 transcript:LPERR03G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHHGSGAVPSRQGSMCGGLAVSEVEGQLHGVNLDDLRTGGSAPAGRKTVDEVWRDIQVATAGNGFLRQPAAAGQMTLEDFLKQAYTNELENKISRLEEENKRLRMHKAPEPVVQYVPQQEPKNQLRRVNSSDF >LPERR03G13690.1 pep chromosome:Lperr_V1.4:3:10177632:10181403:1 gene:LPERR03G13690 transcript:LPERR03G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRRVRVSESTISELQSRLLPPLSTRRIPHSPRQGWRELSLLVVFFRTEHLRNPRITSTSMSMTNLRRRLHHGDVDGRKSEHVDISNADSLNEPLLGKSSSDSGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSNPVYLSPLQEDRLNILRSRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGNDPSTDFRGGGLISLENLIFFARNYPSSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >LPERR03G13700.1 pep chromosome:Lperr_V1.4:3:10182575:10183729:-1 gene:LPERR03G13700 transcript:LPERR03G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREARAEADARRAAEEIARARDERVMQAEVDARSAADEIARARADRAGTGATLGADTAAHHGGILESVQEGAKSLASAVGRTFGGARDTAAEKTTQAADYTAEKARETNDAVAHKTSETADATRNKVGEYKDYTAEKVRETKDSVAQKASDASEATKNKLGEYKDALAGKTRDAKDTTAQKATEFKDGVKATAQGTKDATADKARQATDATKQKAGEYSDAARDTAQDARDRSWATSQTAADKTRETRDAAADKGQGLLGALGNVTGAIKEKLTVSPAATTTTAQQKHLGGEDERAVKERAAEKAASVYFEEKDRLLKERAAERVDKCVEKCVEGCPDATCAHRHGKM >LPERR03G13710.1 pep chromosome:Lperr_V1.4:3:10186073:10192099:1 gene:LPERR03G13710 transcript:LPERR03G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTNFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRAKESFLKEFDAQNLRLPDSSNIDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNYEQEHRRASSYHSFSQSPPYDYQYEERHNGKQSVMLTRKPGSDRGHDGKMSGFAYSSHSLHERMSEDRFANENCGPRTSDCSGSSTSDTFRTAPQSPNFLDKGCSSPSMQQNQSNVQASSGIIQSGLRTTSAGNINSASLKSGNSSLADMFFESDIAHGTQQTKDCIAPSFTAFDFASVAQKDVFNEPFAQQQPVIGLDPPVDFFANMLPATPSTNRTTTPALSMDNVGWATFDTPPEEKQPVVTGFSGIAAIDKQALSCDLFSFESNNGQPAWLQSSKTSKNSAFVTDQSDVPCKYTSFDASDSQPWSAFNATGVRTQQALSDLSLMNSNSQEPKKSNDGNNLQLWHSFDDASETVPHNSPSTRLQTDEHKNIDNNSLTTCNPFTSSIDSKESLDNDSREFSISELAHNEPSAASLEPSPLFATTSVEVASAEQMPLNPFDLPFDADFNSPEMFMDVSVLQAALPNTDLSTSLVDGLPETWFSNSTSAYVPSGHGGPPCLVEQIPNSPLRNITLSAISTGNPFA >LPERR03G13720.1 pep chromosome:Lperr_V1.4:3:10195109:10196153:-1 gene:LPERR03G13720 transcript:LPERR03G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPVGLGYPQIGGAASSCQPRRSRATVRTRVSASAVAMAPPERAAATMYEVLAVDETAGPEEIKAAYRRAARRWHPDACPGGADRFMLAREAYEVLSDPERRRGYDIQLRVKSKGGGGKVFDFALSIKETHLNEL >LPERR03G13740.1 pep chromosome:Lperr_V1.4:3:10208671:10213820:1 gene:LPERR03G13740 transcript:LPERR03G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPPAQPQMAAPSYGLGLAGILPSKPEGDDKKEVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSSETIKVPTAHYEFGANFLDPKLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYMQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSKDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAELDHSKKNYKFGFGMTIGE >LPERR03G13750.1 pep chromosome:Lperr_V1.4:3:10213972:10216146:1 gene:LPERR03G13750 transcript:LPERR03G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMPPVAAVAVALLALASLQPRPAQAQPQVAAPPWAAPVPWPGELDCTGALLNLSSCLTYVEARSALTRPRRRLRRLRGPRRRRPRARAAHHLPRRRAPAKALRRARGARRRASRRRRRAGRIRVRRAGNRTVNGSNEQRQRAGDAPTHKTPPASPAPGDPRFTAGSAAAVKTTGSHETGHGHTHALHGTQHINCTTVHACTHRSSIQFPQHSSMTPRIHDHHFIAHPRVRPVGGAGWRRPSRRPAAGCWLCQPHSRASARGARRVKTVNAAASVRPARVAVVDAPHAGWVDTALARGHEQHVRA >LPERR03G13760.1 pep chromosome:Lperr_V1.4:3:10234651:10236585:1 gene:LPERR03G13760 transcript:LPERR03G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVMDQGMMFPGVHNFVDLLQQNGAAAGDKNVGGFGALVPQTSSGEQCLMGEGDLVDPPPESFPDGGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQQSRGKDQLACVGGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELAAGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVIKQEEELYLKLNPGARPPVPSGGIASAISFNASSSEYDVDVADDCKGDEAGNQKAIVVADPTTFNLGAAIMNDKFLLPASMKEETTDVEFIQKRSASGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPSIFPGTFNTPNQALNNLDFGLPMDGQRSITELMNMYDSNFVANKNLSNDSATIMERPSAVNPRMQMDEGFFGQGNGIGGSSGVVFDDVNGMMQQQQQTTPVQQQFFIRDDAPFGNQMGDINGASEFRFGSGFNMSGAVEFPGAMQGQQKNDGSNWYY >LPERR03G13770.1 pep chromosome:Lperr_V1.4:3:10241659:10242360:1 gene:LPERR03G13770 transcript:LPERR03G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRLPCVPPVRVGSRCQLAYVFSSPSCRRQRVSAVSPPAALMVDVRVVIRRHFPVRPKGMIVEKVSDDIALRRQPSRRLRTPERVERALAEQVLPFVEHPIDLRAVVIASKQICAYVSAACSDPRLADGGVRVLVLLDTFACPTLLPAAAPRKQGAELGVAVRTCPCLEINKKPSLACATDSAGNGGVVRNFPFMETEVGSKKTRPSVGVIGDGRRKVVQDVDQPKGWVPW >LPERR03G13780.1 pep chromosome:Lperr_V1.4:3:10243056:10246358:-1 gene:LPERR03G13780 transcript:LPERR03G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSATSSTNPKSWLEMLKPKDVADGIWTFLFNLAWSKGKSLLNVEEEAEKLRRTEKRIRALLRDAEERRYIDDDSVRLWLLELKAVAFDAEILLDRLTTFTNVAKLNSAEPSRKRKRRSLTTLAITPFTPEFWSSWFTVDPRQRWRLDAKITEINERLEEIAKSRKRYRFQPGDAARRAQPGQRLRFLEVAACSDTNSQILGRAREKEEVVQALLSDHNIPLPVISIYGTAGIGKTTLARLVYNDDKVQDCFPTKIWVCLSDKCDVTKATKMIMEAVTKVKCDALSLDILQQQLRQHLSTTKFLLVIDNLWAEDYNFWELLRCPLLSGEKGSKVLITTQNERVWRRTSTILPVHLKGLEIEECWRLLEHYAFLHRQGMDNDALSTIGRRIAEDCQGSPLAAKSLGMLLSDTNGDEEEWHNISNQMRILSEDHNKVLPSLQISYHHLPYHLKQLFALCCVFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFEISGSSTNQRYRVPSLMNELALHVSSSECLLIPGNLQGDRNSKWVRYASIQCQKDELPELSMICNYENIRVLRLSTEVRIPLKCVPSDLFHKLSCLRTLEMSHSELEELPDSVDCLTHLRYIGLRKTLIKRLPESVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPISMPRGIDRLSSLQTLSRFTVTASGEGHEAYCNMKELKNINIRGELCLLKLESATYEDAKESNLSEKQYVENLMLQWSYNNSQAVGESMRVIESLRPHYKLKSLWVDWYPGQNFPGWMGERSFAYLENLTICNCRNLARLPSLGELPKLKKLRLEGMHKLHSIGTLLGFPSLEVLTLWDIPNLQTWCDSEEAELPNLKELYISHCPSLQTVTILPPELAKLEINNCGTLCSLPVLQHLRDLVVHRGNDQLIGWISRLMSLTSLTLTHFTETTDIQQLQQLSLLKRLKIGGFTQLSAVSGNSGMEGLSSLEFLEASSCPELQQFSVVGLQSLKEFKLHHCTKLEALPTGLDILGSLRCVEIHDIANLRINPGDMVLPDGVSSLTLSGCPALKSWWENTGSQRENAIPNVKIVF >LPERR03G13790.1 pep chromosome:Lperr_V1.4:3:10248803:10251466:1 gene:LPERR03G13790 transcript:LPERR03G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAFSCRRPIAWFFALAAALFFASWYLLLDSAAPPPSSLLAAARGQGSRPAGSPARKCDPSTASLRVFMYDLPPEFHFGLLDWKPPAGSVWPDVRGGGRGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCVAARVRDAAAADVVFVPFFASLSFNRHSKVVPPARASEDRALQRRLLAYLASRPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPTRVAGLDKDVIAPYRHVVPNFVNDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCVIVRGADAVKKGFLINLISGITREDWTRMWNRLKEVEKHFEYQYPSQNDDAVQMIWKAIARKVPSIRLKVNRLRRFSRFEINTTDETPMRSSWLENQAS >LPERR03G13800.1 pep chromosome:Lperr_V1.4:3:10251988:10255311:-1 gene:LPERR03G13800 transcript:LPERR03G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPESSRTPPQGEEAASTSPWPLRKLQSFTPGLWSQYKAYEDAFVENAKGTISNALVFVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLDKIAVGESDLQRGQTDLRFDLLLVPFTRQNPQQQVASMASDLKSQRYVLEERINKISEYGVRV >LPERR03G13810.1 pep chromosome:Lperr_V1.4:3:10257291:10257596:-1 gene:LPERR03G13810 transcript:LPERR03G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYCLRAKAARSLPPSSRPWRRDETPRRSMSIGAQSGGARVASASAFASPAPAISSTKPEWQWGHFTSSMPISGLTISEHMWQKYLHVALGSSSIYPLYY >LPERR03G13820.1 pep chromosome:Lperr_V1.4:3:10257310:10258247:1 gene:LPERR03G13820 transcript:LPERR03G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPSATCRYFCHMCSLIVRPEMGIDEVKCPHCHSGFVEEMAGAGEANADADATRAPPLWAPMLIDLLGVSSRRHGLDDGGSDLAAFARRQYRNIALLQLLTALQDGDGGDTPDYRGGERVVLGTPPAKKEAVAALPTVRIQENGGGAAATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRFQLPTEESTTTGGGNVVTAEGGGGGGSGEIIGNARGGGGGSSGRRRWLSWPFGGLFSNRSSGSSSSS >LPERR03G13830.1 pep chromosome:Lperr_V1.4:3:10259033:10262216:-1 gene:LPERR03G13830 transcript:LPERR03G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLELGAGVRPEEIWRRRPKTKIVCTLGPASRSVEMCVRLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMDYTGVLCAVMLDTKGPEIRTGFLKDGKPIQLKQGKEITITTNYSIKGDENLISMSYHNLAIDLKPGSSVLCADGTITLTVLSCDREQSLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLKLVRCVLGEHAKSILLMSKVENQEGVANVDEIIANSDAIMVARGDLGMEIPIEKIFYAQKVMIHKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMANICLKAELYLDYPFIFNKISSEAPVPLAPLESLASSAVQMANISKASLILVLTRGGTTARLVAKYRPSMPVLSVVVLELKIDENFNWTCSDEAPARHSLISRGLIPMLSSATPKAFDSESTEEAINSAIHYAKKLGVCNLGDSVVALHRIGGCSLVKIVTVN >LPERR03G13840.1 pep chromosome:Lperr_V1.4:3:10265917:10271567:1 gene:LPERR03G13840 transcript:LPERR03G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHSQLLSSRQSFPSERHLLMQGGSVPGDSGLVLSTDAKPRLKWTPELHERFVEAVSQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNVLVCTTSTEKPSEGNGSSISHLNHGTQSNKSVHIGEALQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHLKDRGSEDLFLDKLSRGSSNHQERCERRDGFSMSCPTTKLDLNMNDTYDGPKHCKKFDLNGFSWA >LPERR03G13840.2 pep chromosome:Lperr_V1.4:3:10267239:10271567:1 gene:LPERR03G13840 transcript:LPERR03G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHSQLLSSRQSFPSERHLLMQGGSVPGDSGLVLSTDAKPRLKWTPELHERFVEAVSQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNVLVCTTSTEKPSEGNGSSISHLNHGTQSNKSVHIGEALQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHLKDRGSEDLFLDKLSRGSSNHQERCERRDGFSMSCPTTKLDLNMNDTYDGPKHCKKFDLNGFSWA >LPERR03G13840.3 pep chromosome:Lperr_V1.4:3:10267239:10271567:1 gene:LPERR03G13840 transcript:LPERR03G13840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHSQLLSSRQSFPSERHLLMQGGSVPGDSGLVLSTDAKPRLKWTPELHERFVEAVSQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNVLVCTTSTEKPSEGNGSSISHLNHGTQSNKSVHIGEALQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHLKDRGSEDLFLDKLSRGSSNHQERCERRDGFSMSCPTTKLDLNMNDTYDGPKHCKKFDLNGFSWA >LPERR03G13840.4 pep chromosome:Lperr_V1.4:3:10265822:10271567:1 gene:LPERR03G13840 transcript:LPERR03G13840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHSQLLSSRQSFPSERHLLMQGGSVPGDSGLVLSTDAKPRLKWTPELHERFVEAVSQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNVLVCTTSTEKPSEGNGSSISHLNHGTQSNKSVHIGEALQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHLKDRGSEDLFLDKLSRGSSNHQERCERRDGFSMSCPTTKLDLNMNDTYDGPKHCKKFDLNGFSWA >LPERR03G13840.5 pep chromosome:Lperr_V1.4:3:10268108:10271567:1 gene:LPERR03G13840 transcript:LPERR03G13840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHSQLLSSRQSFPSERHLLMQGGSVPGDSGLVLSTDAKPRLKWTPELHERFVEAVSQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNVLVCTTSTEKPSEGNGSSISHLNHGTQSNKSVHIGEALQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHLKDRGSEDLFLDKLSRGSSNHQERCERRDGFSMSCPTTKLDLNMNDTYDGPKHCKKFDLNGFSWA >LPERR03G13850.1 pep chromosome:Lperr_V1.4:3:10275723:10278299:-1 gene:LPERR03G13850 transcript:LPERR03G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPEDQRGGGGGGGGSGGGRGAGGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAASAAAAASSGSSPSAPASATASAATVVVGGGAVHHHHTMAAVMVGGGSACQYEQQASSSSSSGSTGGSSMGLFAHGAGTPGGGGVAGYLQASCGASASSALAPAGLIGDVEIGGSDDLFAISRQMGFVGSPRCSPAPSPATTPAAAAAQQQQFYSCQLPAATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILIQSLQMGESYFLVTIHLQASLVSSSLPISQRSFLFMKAPHL >LPERR03G13860.1 pep chromosome:Lperr_V1.4:3:10298928:10301241:-1 gene:LPERR03G13860 transcript:LPERR03G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTTPANSRESLASRCRRRWPLIYPSLSAAMLYPSSPATPGINSTARLHTLALPPLHPQYDNTSPPDRAAATGRRWRAYNIT >LPERR03G13870.1 pep chromosome:Lperr_V1.4:3:10302031:10304818:1 gene:LPERR03G13870 transcript:LPERR03G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMFRIQCRASDELSLAIVNGEVILAKADPCDDRQAMHLSTAFVPSRLHSLVFLILYADVWHKDAQYSAGIKDEEGRPAFALVNKGTGEALKLSFGYRFPVSAFLPAAVSPLPCQGEEVDHEATHACMYFIKSFRFEPGFLDESFLWTENLEVDNGFRRIHMVNNADYIFDAEEACPGYGGGRDGTRLILFRWHGGDNQLWRIYKNLCLTVRDSAVVLARVDHNDPKQVVCSREQVDWLNSSATARTLDVALLWSRSDDLGEGFHCIRTVCDVVHVLDAAGGVPECGGPYDGTPIIVFPLNGGSNQKWAMLPLHSLNRVKHEDEN >LPERR03G13880.1 pep chromosome:Lperr_V1.4:3:10306328:10320036:-1 gene:LPERR03G13880 transcript:LPERR03G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAKLPDPVPSPILRHSPSSGSAMSRSLRSVVDMPSVTFAADMRSDSTRGAESTASSFESFRRAGSRPVPGVARLPTRRSASERAGSQRDLRDEDARFVYINDAARTNAPPARFPDNSVRTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVMVDGVFRPKPWSDIHVGELVRVVANETLPCDMVLVSTSDPTGVAYSNLKTRYAKQETLTTPPEQLAGAAVIRCERPNRNIYGFTANLELEGGESRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPSKRSRLETQMNRETLFLSAILVALCSVVAALSGVWLRNHEHDLELAQFFHKKDYVSDDGNGNYNYYGIAAQIVFAFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTNLYDASSDTRFQCRSLNINEDLGQVRCVFSDKTGTLTQNKMEFRCASIEGVDYSDIARQQPVGEGDRIWVPKKMPVSVDREIVELLRNGGETEQGRHAREFFLALATCNTIVPLILDGPDPKRKIIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRDSCRKSLDDAISMVNKLRSLSTDSQARVPLALVIDGNSLVYIFDTDHEDKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLGRRTLLKYPQLYGAGQREESYNLRLFIFFMIDSIWQSLAVFFIPYLAYRKSTIDSASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMVPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEVQMNTMARA >LPERR03G13880.2 pep chromosome:Lperr_V1.4:3:10306328:10320036:-1 gene:LPERR03G13880 transcript:LPERR03G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAKLPDPVPSPILRHSPSSGSAMSRSLRSVVDMPSVTFAADMRSDSTRGAESTASSFESFRRAGSRPVPGVARLPTRRSASERAGSQRDLRDEDARFVYINDAARTNAPPARFPDNSVRTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVMVDGVFRPKPWSDIHVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMTTPTEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAIVLALLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSDPNEVENYKWYGTGAQVAFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDKHMFDEKRQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGEADGHAVTEDGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDTEDPAAARRLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRDSCRKSLDDAISMVNKLRSLSTDSQARVPLALVIDGNSLVYIFDTDHEDKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLGRRTLLKYPQLYGAGQREESYNLRLFIFFMIDSIWQSLAVFFIPYLAYRKSTIDSASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMVPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEVQMNTMARA >LPERR03G13880.3 pep chromosome:Lperr_V1.4:3:10306330:10310578:-1 gene:LPERR03G13880 transcript:LPERR03G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHHGSSRHMSASQKELGDEDARVVRVGDAERTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENSRLAAVLSPGAGGGEFAPTEWNRVRVGDVVRVASDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLAGAAVIRCERPNRNIYGFTANLELEGGESRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPSKRSRLETQMNRETLFLSAILVALCSVVAALSGVWLRNHEHDLELAQFFHKKDYVSDDGNGNYNYYGIAAQIVFAFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTNLYDASSDTRFQCRSLNINEDLGQVRCVFSDKTGTLTQNKMEFRCASIEGVDYSDIARQQPVGEGDRIWVPKKMPVSVDREIVELLRNGGETEQGRHAREFFLALATCNTIVPLILDGPDPKRKIIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRDSCRKSLDDAISMVNKLRSLSTDSQARVPLALVIDGNSLVYIFDTDHEDKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLGRRTLLKYPQLYGAGQREESYNLRLFIFFMIDSIWQSLAVFFIPYLAYRKSTIDSASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMVPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEVQMNTMARA >LPERR03G13880.4 pep chromosome:Lperr_V1.4:3:10316368:10320036:-1 gene:LPERR03G13880 transcript:LPERR03G13880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAKLPDPVPSPILRHSPSSGSAMSRSLRSVVDMPSVTFAADMRSDSTRGAESTASSFESFRRAGSRPVPGVARLPTRRSASERAGSQRDLRDEDARFVYINDAARTNAPPARFPDNSVRTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVMVDGVFRPKPWSDIHVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMTTPTEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAIVLALLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSDPNEVENYKWYGTGAQVAFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDKHMFDEKRQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGEADGHAVTEDGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDTEDPAAARRLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRGKQQIRIKLSQMSPNLGP >LPERR03G13890.1 pep chromosome:Lperr_V1.4:3:10322738:10326652:-1 gene:LPERR03G13890 transcript:LPERR03G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSDYPQSSERHLTAVSSFSSASPFSESSQLASSSKQPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEVSGMPETINAGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESHRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVRNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCVRGTDDEGGVSFSEPLHVALRSARRNQGNNFPLGGAGGSSSANPSRSDHGLKRNHSALVARRSGTLFRNRFKKQFSFKGKFGKDLFGGRVFKKVGSSPSSGQQDDHQQHKA >LPERR03G13900.1 pep chromosome:Lperr_V1.4:3:10344529:10345556:-1 gene:LPERR03G13900 transcript:LPERR03G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVAVKGGSVVLPCGNRGAVGLFGRRRGAVASAVRIGRKSAFLGGRLAIVPKRSRPVPRNLVAPVQMNLAFPKATKWWEKGLQPNMREVESAQDLVDSLTNAGDKLVVVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATDAIT >LPERR03G13910.1 pep chromosome:Lperr_V1.4:3:10350377:10353299:-1 gene:LPERR03G13910 transcript:LPERR03G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAWRLGLPRLLVMTFVLFALCGFGVHGRSHIHKKPHGGGWHRGGGTVVSSPAVPPADEQTQPATPPPVGIVPSDLAIPTEPEQCVLEVRAFGAVGDGATDDTEAFRAAWRAACAVDSAVILVPSDVTFTITATTFVGPCKPGLIFQVGMITLLTLFVHVWFILASADRVDGVLMPPDDPDCWPPSDNKRQWFVFSNLNGLTLRCSGTIEGNGEDWWNLPCKPHRLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTERVAIYNSMISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGISFDTVTMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYTNVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLKCR >LPERR03G13920.1 pep chromosome:Lperr_V1.4:3:10358799:10364946:-1 gene:LPERR03G13920 transcript:LPERR03G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPHVHPAPAAPSPGPPPPPPPQQQQQPSPASAPGAATLLVRHLPEAIPQEMLARLFSHYGATSVRPCAGRKLRNCAFVDFRDEFAASQAHSLLNRLRFLGKLLIVERANQPNPNVKHQEQLADGTSQVPSTNSLNQKNPVSCAEPIAPKLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPQPSVTNKLHLADLSSDESELESSDEEVDKRKVKRTKHEAIVGPAVDKNIAHEAVGVKPVALVSSELQVIKKKNPILQINIAPKAGMKELAVQNTIDKESVPRDEHLEKKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIHDDFYYIFGSLFENMDTAKSGLSIKLMQEGRMRGQAFVTFPSVELAQHALNLVHGYVFKGKPMIIQFGRNPAANKSS >LPERR03G13930.1 pep chromosome:Lperr_V1.4:3:10371391:10372718:-1 gene:LPERR03G13930 transcript:LPERR03G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVEQETAAAPLDLPPGFRFHPTDEEIISHYLAPKALDNHFSTGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPTGLPRSAKDQWAVCKVFNKELVLAARNAPMATTGAATDDAGIERVGSFGFLADFAELPPLMDPPFVADIDDNKASVSTSGHAALADGSYHAAPAGVGYQQVKREEPLSLPLPYMPHQQPQRMMYADLYFSLPAMHAGDLTASAIRKYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAVTPTSQPFPEFDDPILGLEDFWN >LPERR03G13940.1 pep chromosome:Lperr_V1.4:3:10385978:10387539:-1 gene:LPERR03G13940 transcript:LPERR03G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPAQHGGAHQPTFKIFCRADEGYCVAVRDGNVVLAPANPRDEHQHWYKDMRFSGKIKDEEGNPGFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYGAGGSGGANAPRGGGEPTVRIFCKADEGFSVTVRHGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVVLWKWCEGDNQRWKIKWTVLELHWSSL >LPERR03G13950.1 pep chromosome:Lperr_V1.4:3:10395750:10397530:-1 gene:LPERR03G13950 transcript:LPERR03G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKAAYGDREWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSSGGGGGGRNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNASMRLDDWVLCRIYKKSSHASPMAVPPLSDHEQDEPCAALDENTPMYAPPSSAAAMILQGAGGGAFPPMHGAGAATQRMQKIPSISDLLNEYSLSQLFDDGGAGAALDMAPRPQPHHHHHAELVGHPVLTNHFLMNNSMAHLAQMDSSSAVAAASTSAVAAGAAVEAATGKRKRSDAELTSQPAAAKKPNGSCVGATFQIGSGLQGSSLGLGSQMLLHSNMGMN >LPERR03G13960.1 pep chromosome:Lperr_V1.4:3:10417180:10431663:1 gene:LPERR03G13960 transcript:LPERR03G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAATGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDLETGIIPQLYLFQMHSADSKLYTHFVTELQLCNARQSKPDEAAEDDMPSTSGRQIYETETTASSSKKNCTLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHVLPTEIQDAQISLDRRGVFSLDAPSPSVCLLIQLEKVATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSISGSSSQDSIVEPISKLTLDGKLNHYSSGSQVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDIDNISEGGSMANDLNDAGEISNGRYNRSSFDEIHGSLNSSAVAQKDAHQNGQATNTDSGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLSRKRNLFVRVELRKDDSDIRKPPLEPLDIESQAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFFHVDLLMKPEAPKSVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQTPVYPGLSTVWGSLARSKAKGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKAGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVAGLQILVRNSFNYFRNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANRLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGDEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >LPERR03G13970.1 pep chromosome:Lperr_V1.4:3:10434004:10437464:-1 gene:LPERR03G13970 transcript:LPERR03G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKNSPPAIRRRGVGMLRWAVRVASSIVLWTILLHFSTLLGLPRPPLLAARPSCLGGGRGGGSNSSASSAVTAVTPGGVGHLAPPAAPRRRFYKNNGYLLISCNGGLNQMRAAICDMVTVARYLNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFRTKVPFSMQPISWSNEKYYLRQILPIVRKHKVVRFSRTDSRLANNGLPLKLQKLRCHVNYHALQFAPSIEALGRKMVSVLRKTGYFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEERLAALRTEFPNIVRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHRTIQLDRKRLVELIDLLEDKELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPDECLGAARKRREKLKHTEYENMPS >LPERR03G13970.2 pep chromosome:Lperr_V1.4:3:10434006:10437464:-1 gene:LPERR03G13970 transcript:LPERR03G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKNSPPAIRRRGVGMLRWAVRVASSIVLWTILLHFSTLLGLPRPPLLAARPSCLGGGRGGGSNSSASSAVTAVTPGGVGHLAPPAAPRRRFYKNNGYLLISCNGGLNQMRAAICDMVTVARYLNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFRTKVPFSMQPISWSNEKYYLRQILPIVRKHKVVRFSRTDSRLANNGLPLKLQKLRCHVNYHALQFAPSIEALGRKMVSVLRKTGYFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEERLAALRTEFPNIVRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHRTIQLDRKRLVELIDLLEDKELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPDECLGAARKRREKLKHTEYENMPS >LPERR03G13980.1 pep chromosome:Lperr_V1.4:3:10440645:10442417:1 gene:LPERR03G13980 transcript:LPERR03G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSLLAVILLAAPTTASVNVVVEALVFDVPSGSSKCLTEELRRGALSRASYRVADATSAAASTVSARVAGPRGEELHLAEGVEAGGFRFEAAEDGEYTACFWTPRYERGAVVSVDVQWATGVRDHAGAGSPPAAAAGHIDLMIGELKKLEVSVRLIHEEMVSLRRSEVEMQMLNEDTAMRIHSFTLLSLAICVGVAGLQLWHLKTFFQKRRIL >LPERR03G13990.1 pep chromosome:Lperr_V1.4:3:10443051:10448758:-1 gene:LPERR03G13990 transcript:LPERR03G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAGARQQQQQGEAVSGRVLRFRGVLMVVLVPVLLVSLVLFLMPRSPASATVEGSAGELVAAAGRRWGPRAVNGVGDGSTKYAVIFDAGSSGSRVHVYCFDGNLDLMPIGKEIELFKQKKPGLSAYANDPQEAAESLVSLLAEAEKVVPVELRDQTPVRVGATAGLRTLGSKKSEEILQAVRDLLQDKSSFRSQSEWVSVLDGSQEGAFQWVTVNYLLGKLGKPYSHTVGVVDLGGGSVQMAYAISEKDAEKAPRVSDGEDSYVKKLLLKGTTYYLYVHSYLRYGLLAARAEILKASEGNDHTNCMLEGYHGNYKYGDDIFEASGTSSGASYSKCRAVAVRALQVDEPVCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPNAATVKVKPSDFEEAARRVCKMNVKDAQATYPDVSEENIPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >LPERR03G14000.1 pep chromosome:Lperr_V1.4:3:10471020:10474376:1 gene:LPERR03G14000 transcript:LPERR03G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKDLAALPPASASSSTSPTSPFLLSRQNSGRGGAPSPSPLSVSSPSSWAQAQPFSRSNGAADEVVSSGEELISPANAPPFFPRGGVDALLDDFQLQEQLAFLNEGGGVNPGHPLPAFDGAECRSPGPGESGGMFPYGLGWANGGPGHRRSASVNELCLGGGGGDGFGWKPCLYYARGFCKNGSSCRFVHGGISDDAAMDAAAAEQQQCQDFLLRSKSQRLSPAAAFPFSPTGSLPGSPSAATKCLSLLLQQQHNDNQRAAAAALMLGGGDEAHKFMGRPRLDRVVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKHQGDFSGCTTPTGLDARDPFDLHQLGSRMLQHSSSANELMLRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKSRAAAAATTAMAMTTPTANAFVSSQPLATTMVESPPDSGEQLQETGYFTEERKMVNGGGDKEESARERSLNADSDQSLEHNLPDSPFASPTKSSVSAHQSFTTIDTGVVATSSCSASHVGVSTGANAGGGINHLRPSTLDIPSPRDFFSVSRYAIYCDYIDG >LPERR03G14010.1 pep chromosome:Lperr_V1.4:3:10486851:10490885:1 gene:LPERR03G14010 transcript:LPERR03G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKDLALLPPPSASSSPTSPFLLSRQNSGRGAPSPLSVSSPSSWAQVQPFSRTNSMGNGAADEMDELMSPVAANAPPFFPRGGAGDHAALHDDFQLQEQLAFLNDVGLPLPAFDGAECWPNGGLGHRRSASVNELCLGGGDGFGWKPCLYYARGFCKNGSSCRFVHGGIPDDAAALAAGAMDAAGAEQQQCQDFLLRSKSQRFGTAAAFPFSPTGSPSATSRCLSLLLQQQHNDNQRAAAAALMLGGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQGDFFCMLPTGLDARDPFDLHQLGARALQHSNSANEIMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAAHAAETTTMSLPTPIVNAFASGQPGTATIVELPPNSSEQLKVGCGSPPEGKIVNGGNKVESAGEAEGTCNADSNLSGEHILPDSPFASTKSTAFSTAMAVPATCGEVGGSCSVGGINHPRPPTLDIPSPRTCFFPMPRNT >LPERR03G14020.1 pep chromosome:Lperr_V1.4:3:10498390:10501625:-1 gene:LPERR03G14020 transcript:LPERR03G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNMDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVDRKLLLWTVGVLLGAAVFAALVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKHNGVPWRGNSCMKDGLSDPAVRRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLASEMAAALAAASIVFKDNKAYSQKLVHGATTLFKFARTNRGRYSAGGSDAAKFYNSTTYWDEYVWGSSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTYHNQTSIIMCSYLPIFNSFNRTKGGLIQLNHGKPQPLQYVVNAAFLASLYSDYLEAADTPGWYCGPHFYPIETLRNFARTQLEYILGKNPMKMSYVVGYGKRFPKHVHHRGSSIPKNGVHYGCKGGWKWRDTKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >LPERR03G14030.1 pep chromosome:Lperr_V1.4:3:10510380:10517460:1 gene:LPERR03G14030 transcript:LPERR03G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPGGCARMRRVALGLVLLLTVCVRASHGVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCGDAPWDGVVCSDNRVISIKISTMGIKGLLAADIGQLTELQSLDLSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKLPASLGNLSNLYWFDIADNQLTGPLPISTNGGMGLDKLFKTKHFHFNKNQLSGSIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELDLSNNTFDPSPSPQWFWKLPLLSALIIQSGRLYGSVPTRLFSSPQLQQVILDGNAFNGTLDMGKSISSELSIVSFKDNELSSVTVTSSYNGTLSLAGNPVCGRLPNTQYCNVTQRPAAAPYATSLVKCFSGACNAAGQSMSPQSCGCAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADSYMQVQVKLFPSGGAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEGHSSSRSKGAIIGIAVGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWARSEERGGAPRLKGARWFSYEELKQSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKRLFDANDTEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTVSFDYSGGYSFQSKIEPK >LPERR03G14040.1 pep chromosome:Lperr_V1.4:3:10515800:10519288:-1 gene:LPERR03G14040 transcript:LPERR03G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKPRAPAPDPPAAAHLPWHPPAPPVSTAALISLAALLVRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSDNDLAYWGLDYPPLSAYQSRLHAILLNASLPDAVALRSSRGFESPESKLLMRWTVMSSDLLVFFPAALWFVWAYFKSGVSGTGEERSAGWTWLLAICLINPCLVLIDHGHFQYNCISLGLTLGAIAGVFSRHELVAAALFSLSMNHKQMSLYFAPAFFGHLLGKSIKRKYPIVEVMKLGLVVLGTFALVWWPFLHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKKLFAIKPLKLMSLSATIFAFLPSFVQQVRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPQLYGWFMYFALFSMYPLMCRDHLLLQYIAVLGLFVLIYYSPGGSHKKGANIPSGAKAVLSLALLCSFLLQVIYLQIEPPKRYPFLFDALIMFICFSQFVILALYTNYKQWMLDTYYRSIAMVVNSYVAMAGVIGETRVEMP >LPERR03G14040.2 pep chromosome:Lperr_V1.4:3:10516686:10519288:-1 gene:LPERR03G14040 transcript:LPERR03G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKPRAPAPDPPAAAHLPWHPPAPPVSTAALISLAALLVRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSDNDLAYWGLDYPPLSAYQSRLHAILLNASLPDAVALRSSRGFESPESKLLMRWTVMSSDLLVFFPAALWFVWAYFKSGVSGTGEERSAGWTWLLAICLINPCLVLIDHGHFQYNCISLGLTLGAIAGVFSRHELVAAALFSLSMNHKQMSLYFAPAFFGHLLGKSIKRKYPIVEVMKLGLVVLGTFALVWWPFLHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKKLFAIKPLKLMSLSATIFAFLPSFVQQVRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPQLYGWFMYFALFSMYPLMCRDHLLLQYIAVLGLFVLIYYSPGGSHKKGANIPSGAKAVLSLALLCSFLLQVIYLQIEPPKRYPFLFDALIMFICFSQFVILALYTNYKQWMLDTYYRSIGRKKDL >LPERR03G14050.1 pep chromosome:Lperr_V1.4:3:10520130:10525925:1 gene:LPERR03G14050 transcript:LPERR03G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPLLPKSLKDIPIPRNPQNILMPGQLPNDSTPLHHSAPQSATLHPRANAVRSSYSAMVGYSSSPIDCVSSHERHFMAAPFISQSSNIEVIQSLCNTPNTPGGHTEPTWFPASVNGAPDYMNTITIPDNHTQSATSTLTSDVAKQNEWWADIIDDDWKDILDATATDSQSKSMSQPCNSAASQPAFNQSTPSHSGEICPVTSPPNNNNASSSKQRMRWTPELHECFVQAVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGATQQGKTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTHNVQDPSSGDAETQSESSNSADKDSDAALDPTRTGDNPHGISAKFGENSTNVGANEKTGIEFPDSLATANGGSELPQEKRLATANVGSELPQEKRRRVHES >LPERR03G14060.1 pep chromosome:Lperr_V1.4:3:10528477:10532900:-1 gene:LPERR03G14060 transcript:LPERR03G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFERFGRQEDGSGANSEAKDAPKIIHGGNSNIDPHQHSDTDTSKVIAKPKVPPPHKIEQLKPEAKPVPVPVQPRKDTSGNNMKPTKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDAIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKVKIDKMDQTITRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSALLDSDDSAVHRFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYKQIILENLPEFSTQQLYLPEEFRVFISNLERPTEKTRMEYLSVFSHSHFFIPEILKDLKKVVVLDDDVVVQRDLSYLWNIDMGDKVNGAVKFCGLRLGQLRNLLGKATYDLQSCAWMSGVNVIDLERWREHNVTHNYLKLLRKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEEAIWNSASLHYNGNMKPWLELGIPEYKKFWKRFLTRDERFMDECNLEN >LPERR03G14070.1 pep chromosome:Lperr_V1.4:3:10542574:10547100:1 gene:LPERR03G14070 transcript:LPERR03G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAARRWELAAHGKLEMGKVVGVVVLDGWGEAAPDPFNCIHVAGVPTLDSLKKGAPERWRLIKAHGAAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVEMLENDLAKLKDKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDKKARDQYLPPFVIVDGKGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYEKFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTCGEYLAHNGIRTYACSETVKFGHVTFFWNGNRSGYFNQNLEKYEEIPSDIGIPFNEQPKMKAVEIAEKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIIGCNAADEAVKIILDAIDQIGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLHPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPPLIEVVDK >LPERR03G14080.1 pep chromosome:Lperr_V1.4:3:10547033:10551792:-1 gene:LPERR03G14080 transcript:LPERR03G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTTGTFKLLLAGNAKGSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSIYSKEQQNPMGGALSGPNSSEATKNELLRALDLRLNVLKEEIFGLLNRAVIYNMSTIDISDLSTFIQHFGASEFSWLMQCLLLIRDSQPSELSQQQTSTGEQNDKGENALHTRTVNSQTIIQRPITNNVSPAKLAQIERESSTESDDSSVSSGEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDENGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSSSQSKSSNPASDTEKDGDETKPVPGSASSTAVTPDFGGFHTDGQGVPMPETEIAVSSHTEISAEQNKSGQEENNDRAIASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGINSTNEQKGGFHGQHREKRDAKVRTEKGGRRPAKEASTRPMKETVGQKKAAITPKTGTATEKRNPPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPSPATAPSIRSSWSGGSLTKATTTQKTKTSPGTVSTSTPTSRRRTPVAPSPSQPISKVERIAQPVKNKKETVSASKTAIKGNEEKKTKTVTKTSRLAKNSPVSDEKSSATAKPHNKVAKKSSVVPLESKPLKKATGISQSAGSGAVKSRTPQLDDSPNDIGNITQAEDKEQYAVTTQPKTTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPGLATTEMDSSDQVEPNTEVQPPPDEDLSISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGSKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >LPERR03G14080.2 pep chromosome:Lperr_V1.4:3:10547031:10551805:-1 gene:LPERR03G14080 transcript:LPERR03G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTTGTFKLLLAGNAKGSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSIYSKEQQNPMGGALSGPNSSEATKNELLRALDLRLNVLKEEIFGLLNRAVIYNMSTIDISDLSTFIQHFGASEFSWLMQCLLLIRDSQPSELSQQQTSTGEQNDKGENALHTRTVNSQTIIQRPITNNVSPAKLAQIERESSTESDDSSVSSGEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDENGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSSSQSKSSNPASDTEKDGDETKPVPGSASSTAVTPDFGGFHTDGQGVPMPETEIAVSSHTEISAEQNKSGQEENNDRAIASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGINSTNEQKGGFHGQHREKRDAKVRTEKGGRRPAKEASTRPMKETVGQKKAAITPKTGTATEKRNPPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPSPATAPSIRSSWSGGSLTKATTTQKTKTSPGTVSTSTPTSRRRTPVAPSPSQPISKVERIAQPVKNKKETVSASKTAIKGNEEKKTKTVTKTSRLAKNSPVSDEKSSATAKPHNKVAKKSSVVPLESKPLKKATGISQSAGSGAVKSRTPQLDDSPNDIGNITQAEDKEQYAVTTQPKTTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPGLATTEMDSSDQVEPNTEVQPPPDEDLSISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGSKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >LPERR03G14080.3 pep chromosome:Lperr_V1.4:3:10547033:10551792:-1 gene:LPERR03G14080 transcript:LPERR03G14080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTTGTFKLLLAGNAKGSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSIYSKEQQNPMGGALSGPNSSEATKNELLRALDLRLNVLKEEIFGLLNRACLLLIRDSQPSELSQQQTSTGEQNDKGENALHTRTVNSQTIIQRPITNNVSPAKLAQIERESSTESDDSSVSSGEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDENGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSSSQSKSSNPASDTEKDGDETKPVPGSASSTAVTPDFGGFHTDGQGVPMPETEIAVSSHTEISAEQNKSGQEENNDRAIASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGINSTNEQKGGFHGQHREKRDAKVRTEKGGRRPAKEASTRPMKETVGQKKAAITPKTGTATEKRNPPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPSPATAPSIRSSWSGGSLTKATTTQKTKTSPGTVSTSTPTSRRRTPVAPSPSQPISKVERIAQPVKNKKETVSASKTAIKGNEEKKTKTVTKTSRLAKNSPVSDEKSSATAKPHNKVAKKSSVVPLESKPLKKATGISQSAGSGAVKSRTPQLDDSPNDIGNITQAEDKEQYAVTTQPKTTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPGLATTEMDSSDQVEPNTEVQPPPDEDLSISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGSKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >LPERR03G14080.4 pep chromosome:Lperr_V1.4:3:10548137:10551792:-1 gene:LPERR03G14080 transcript:LPERR03G14080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTTGTFKLLLAGNAKGSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSIYSKEQQNPMGGALSGPNSSEATKNELLRALDLRLNVLKEEIFGLLNRAVIYNMSTIDISDLSTFIQHFGASEFSWLMQCLLLIRDSQPSELSQQQTSTGEQNDKGENALHTRTVNSQTIIQRPITNNVSPAKLAQIERESSTESDDSSVSSGEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDENGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSSSQSKSSNPASDTEKDGDETKPVPGSASSTAVTPDFGGFHTDGQGVPMPETEIAVSSHTEISAEQNKSGQEENNDRAIASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGINSTNEQKGGFHGQHREKRDAKVRTEKGGRRPAKEASTRPMKETVGQKKAAITPKTGTATEKRNPPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPSPATAPSIRSSWSGGSLTKATTTQKTKTSPGTVSTSTPTSRRRTPVAPSPSQPISKVERIAQPVKNKKETVSASKTAIKGNEEKKTKTVTKTSRLAKNSPVSDEKSSATAKPHNKVAKKSSVVPLESKPLKKATGISQSAGSGAVKSRTPQLDDSPNDIGNITQAEDKEQYAVTTQPKTTTKKIRPQV >LPERR03G14080.5 pep chromosome:Lperr_V1.4:3:10548137:10551792:-1 gene:LPERR03G14080 transcript:LPERR03G14080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTTGTFKLLLAGNAKGSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSIYSKEQQNPMGGALSGPNSSEATKNELLRALDLRLNVLKEEIFGLLNRACLLLIRDSQPSELSQQQTSTGEQNDKGENALHTRTVNSQTIIQRPITNNVSPAKLAQIERESSTESDDSSVSSGEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDENGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSSSQSKSSNPASDTEKDGDETKPVPGSASSTAVTPDFGGFHTDGQGVPMPETEIAVSSHTEISAEQNKSGQEENNDRAIASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGINSTNEQKGGFHGQHREKRDAKVRTEKGGRRPAKEASTRPMKETVGQKKAAITPKTGTATEKRNPPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPSPATAPSIRSSWSGGSLTKATTTQKTKTSPGTVSTSTPTSRRRTPVAPSPSQPISKVERIAQPVKNKKETVSASKTAIKGNEEKKTKTVTKTSRLAKNSPVSDEKSSATAKPHNKVAKKSSVVPLESKPLKKATGISQSAGSGAVKSRTPQLDDSPNDIGNITQAEDKEQYAVTTQPKTTTKKIRPQV >LPERR03G14080.6 pep chromosome:Lperr_V1.4:3:10547033:10548125:-1 gene:LPERR03G14080 transcript:LPERR03G14080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDQVEPNTEVQPPPDEDLSISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGSKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >LPERR03G14090.1 pep chromosome:Lperr_V1.4:3:10569479:10575120:1 gene:LPERR03G14090 transcript:LPERR03G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTVCSHGAIYTPRVKDKARLSARRRPRPQNPLGTDTTSSHPPRRRRPAAEEEEAMVAPATLSLRPCATLAPPRPALPRSSAGFALRRPTRPVRATAILRPPSRRLLPRAVAVDSDQQGSPKPSDQEVKAKKYYFLVANAKFMLDEEEHFQEQLKEKLRNYGEREKEQDFWLVVEPKFLERFPDITKRLKRPAVALVSTDGNWITFMKLRLDRVLAGQFEAETAEEALASDPAELKFEKPEKWTAPYPKYEYGWWEPFLPPKSSNGTA >LPERR03G14100.1 pep chromosome:Lperr_V1.4:3:10574171:10574743:-1 gene:LPERR03G14100 transcript:LPERR03G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVAKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEATVGGYIPAGAAGLRFADFESLHRALGDALFGPIEEEQPGKGGSDEDEEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARSLATVQEMICNVDRDRDGRVDFGEFKCMMQGITVWGA >LPERR03G14110.1 pep chromosome:Lperr_V1.4:3:10580992:10582684:1 gene:LPERR03G14110 transcript:LPERR03G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQGHVSHTKSPQTHPFTGPIHTGGNKAQQYIRSVLREEGKARPSKRARGKRTREIPPSSPRRLRAAAGEFPRRRGVDFESHSARSPTSDEMGLGLWTLLEGFLLLANALAILNEDRFLIPRGWSMLEVSGNGQSKSLKGQIVGLIYATQFLRMPLIALNVLVITVKLVSG >LPERR03G14120.1 pep chromosome:Lperr_V1.4:3:10583314:10590226:-1 gene:LPERR03G14120 transcript:LPERR03G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKMLASVLCVAAFCLAAYLYYVVWVAPRRVLAEFRRQGIGGPRPSFPYGNIPDMRNAVAAAKKQAEAARRRVGGGGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEKIDKNGGAVSIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPTTRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVDLAESENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVRQEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSSAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPHYEHSPTLKLIVEPEFGVDLILTRVQGAC >LPERR03G14130.1 pep chromosome:Lperr_V1.4:3:10600263:10602732:1 gene:LPERR03G14130 transcript:LPERR03G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDATATACLLDPWQIIRNSITSVRGKRKRNEEIQHLPGEGSSSQAWDAPTNSDNLSSKSSTVQIIEYILDTLEMRDTHELFAMPDDIQVSDYAERVNRPGDFATLRQKNKDGMYNTLEQFEVTTNDVYMVFQKAMSINSQDTVPYREAMSLLYQAKQVFLSLKSNQMYSESELTAWRQKHYLAKPNGRDNGSNGGSGAGPPTPQRPSAPARKKIAPKTAGAATATAYKSTTRQRGAKENNGTPGRRPRKAAVVSPAADLGGAGGEQRRLAYTDEADHGKRMVPVVSQVQHATLVYRPQAPGHTYQDSLRRFVRHAGLKARVAAEFRILEYDVRVRQTAPAPAYYRPNVFASSSGVGTTSARFPPHGHCPPPSPPIAAFRTPPPSTLAAADAGAQAAPRCRLETDEVLKLLALMGRPAFMERARILLGHNLQESGPKEEGHGHGKPVIRAGDDDATKTDLTAAKPGKKGSASEPATRVTAAKPRKKGSVNEPAAVKFGVFAPPKLIIPGRQLGFGQFAGSSTQPFKVNPPTPDAIDKKKKKKRS >LPERR03G14140.1 pep chromosome:Lperr_V1.4:3:10602390:10605994:-1 gene:LPERR03G14140 transcript:LPERR03G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPVACLQDNYAYLIVDESTKSAAAVDPVEPEKVLAAAGEVGAHIDFVLTTHHHWDHAGGNEKMTQLVPGIKVYGGSLDNVKGCTDQVENGIKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGEDPAVFTGDTLFIAGCGRFFEGTAEQMHQSLCVTLGSLPKPTRVYCGHEYTVQNLKFMLTVEPDNEKMKQKMEWAQKQREANQPTVPSTIGEEFEINTFMRVDLPEIQAKFGAKSPVDAMREVRKIKDNWKASGVGGFTLNGWVDEPANWPKPSCRPGMISLGGANTPNLTAAGSFTLPFFLGFAAVTRVAGSLALPFFPGLAAVKSVFVASSSPALITGLPCPCPSSFGPLS >LPERR03G14140.2 pep chromosome:Lperr_V1.4:3:10603332:10605994:-1 gene:LPERR03G14140 transcript:LPERR03G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPVACLQDNYAYLIVDESTKSAAAVDPVEPEKVLAAAGEVGAHIDFVLTTHHHWDHAGGNEKMTQLVPGIKVYGGSLDNVKGCTDQVENGIKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGEDPAVFTGDTLFIAGCGRFFEGTAEQMHQSLCVTLGSLPKPTRVYCGHEYTVQNLKFMLTVEPDNEKMKQKMEWAQKQREANQPTVPSTIGEEFEINTFMRVDLPEIQAKFGAKSPVDAMREVRKIKDNWKG >LPERR03G14160.1 pep chromosome:Lperr_V1.4:3:10611503:10613617:-1 gene:LPERR03G14160 transcript:LPERR03G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRATAGVALWPLALVVVLLPHFVLAADSIGAVAAKPCHSAAADGADAGCLSWRVMVEANNARGWRTVPAPCVGYVKSYMTRGQYIRDLSSVMDQVSAYVDTVQADADGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKMWASKGACPGIPAVLELYATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPDYRGQSSSLFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGERVFKIPNPMYYVP >LPERR03G14160.2 pep chromosome:Lperr_V1.4:3:10611503:10612971:-1 gene:LPERR03G14160 transcript:LPERR03G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRATAGVALWPLALVVVLLPHFVLAADSIGAVAAKPCHSAAADGADAGCLSWRVMVEANNARGWRTVPAPCVGYVKSYMTRGQYIRDLSSVMDQVSAYVDTVQADADGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKMWASKGACPGIPAVLELYATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPDYRGQSSSLFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGERVFKIPNPMYYVP >LPERR03G14170.1 pep chromosome:Lperr_V1.4:3:10616758:10619361:-1 gene:LPERR03G14170 transcript:LPERR03G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAVSFSSPLFSSSSSRPLLRHQLPPNSISLRPRRRSTAAASAAGAEFAGRPLLEARDLVACVKDNGETILNGVNLTIREGEVHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNAMNMNREILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEDVAKAVNGILTPHRSVMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRSLANYVDESGYKDIITA >LPERR03G14170.2 pep chromosome:Lperr_V1.4:3:10616760:10619361:-1 gene:LPERR03G14170 transcript:LPERR03G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAVSFSSPLFSSSSSRPLLRHQLPPNSISLRPRRRSTAAASAAGAEFAGRPLLEARDLVACVKDNGETILNGVNLTIREGEVHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNAMNMNREILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEDVAKAVNGILTPHRSVMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRSLANYVDESGYKDIITA >LPERR03G14180.1 pep chromosome:Lperr_V1.4:3:10623327:10631499:1 gene:LPERR03G14180 transcript:LPERR03G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTNFKGSLTLLSLLLFISLATADIASEKQALLAFASKVYHGNKLNWDPNISLCLWHGVTCSPDQSRISALRVPAAGLIGVIPPNTLGRLVSLQVLSLRSNRLSGSIPSDITSLPSLQSIYLQDNELSGDLPSFFSPTLNTIDLSYNSFTGQIPASLQNLTQLSTLNLSKNSLSGPIPNLKLPSLRQLNLSNNELNGSIPPFLQIFSNNSFLGNPGLCGPPLAECSLSSPSSSPESSLPPPAALAHRGKKVGTGSIIAAAVGGFVVLVLAACIFAVCFSKRKEKKDDVVDNNGKGTDSARNEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTAHGIAQIHAEGGSKLTHGNIKSTNVLLDQAHNPYVSDYGLSALMSLPISTSRVVVGYRAPETIESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMPEVIRMIEELRQSASESRDSSNENGRESNPPSA >LPERR03G14190.1 pep chromosome:Lperr_V1.4:3:10627409:10634353:-1 gene:LPERR03G14190 transcript:LPERR03G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFSRAASALHVYAAHRAGGLRASAAPRRAASLRQSTGSYASAHQSSSLPPKAYHARRSPPPSLPCPQSPPSRLWRELFPPRSSSLLRPQIPSLPDSSWAGAARGRSRVHKVSKCLALRPEMDAKKKRNKKKKGNQGKNTGDVMPSTAETAIQCHNHESAPNDHRNGTDADDAMSTVGEEAPQYQNHEPDQQANHGSTNADDGMPSVGVEIPFENLERAITQENHKVSSTVHADQRSVEMSDSTVELEIHRLYEAKLDKLHEMIKNMEDEKSLWLQKVSTMENELEKLHNKVDHHSQNEVRLEEKINNIQNGYDVLIEKEEVLDNKVRCIEVTNDALTHEETSLKERLSGLEETNKALRVQVKVLEETSKNTAEENQRLAKSLNELASRLEVFEAKTAITEASMTKKGNELIADRSLSSSAAVSSVNNYSIINDIPSNAYAINHLEEAPLQPPETVVNDVASHGLFDVNEDQGSKQDFDEPGTSEEILPVALDDIQIHEEDPHPAVADVDEEAEEVPFSDAPIVGAPFRLISFVARYVSGADLPLDNHYGAILKYSFVGSPIAPFTKDFGRSSQ >LPERR03G14190.2 pep chromosome:Lperr_V1.4:3:10629440:10634353:-1 gene:LPERR03G14190 transcript:LPERR03G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFSRAASALHVYAAHRAGGLRASAAPRRAASLRQSTGSYASAHQSSSLPPKAYHARRSPPPSLPCPQSPPSRLWRELFPPRSSSLLRPQIPSLPDSSWAGAARGRSRVHKVSKCLALRPEMDAKKKRNKKKKGNQGKNTGDVMPSTAETAIQCHNHESAPNDHRNGTDADDAMSTVGEEAPQYQNHEPDQQANHGSTNADDGMPSVGVEIPFENLERAITQENHKVSSTVHADQRSVEMSDSTVELEIHRLYEAKLDKLHEMIKNMEDEKSLWLQKVSTMENELEKLHNKVDHHSQNEVRLEEKINNIQNGYDVLIEKEEVLDNKVRCIEVTNDALTHEETSLKERLSGLEETNKALRVQVKVLEETSKNTAEENQRLAKSLNELASRLEVFEAKTAITEASMTKKGNELIADRSLSSSAAVSSVNNYSIINDIPSNAYAINHLEEAPLQPPETVVNDVASHGLFDVNEDQGSKQDFDEPGTSEEILPVALDDIQIHEEDPHPAVADVDEEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVSQK >LPERR03G14200.1 pep chromosome:Lperr_V1.4:3:10634400:10646116:1 gene:LPERR03G14200 transcript:LPERR03G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGGGGGDDDAGGEKKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPQESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGLTSLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYITLNDHLVQFSKTDENDAPKKQGKGPDLGKGLVKSLHNPRFSLNEKLEQSSIDLFGTKSAAQAKDVPANQNDQGDANISEQADGNNISNADTLESNEKSYSECSSDSEHDNDIQLSDHEVGLREKVEFFDGRLRRKAVSANFKDDDDDESGDDDVDSEDSGDDQLSEGSVSLDDNEEALVDSDDETGNNSKWKESLLARTLSRRSANLMQLVYGQASTKLDNIRSGGNDSDAEESSDEDFFFPKGHKKQTKNESTSFDDMDAEDYSKFFKAELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENEEDDDVYGDFEDLETGEVHTSNTYENRAGNGDAHKQDDLAVEERRLKKLALKAKFDAEYPSYIFSLKEEIAIRKQMNISELDELDEDSRVEVEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNAQVPFRITATGWIQEFNNTARIMKKIKLTSVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPKRKGESTEGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDQTWQGMRTTAELRRAHNIPIPHNKDSAYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKRTKTPVENRVPVLMQPSEKKTYAAIQQLRLLKHEKARKKKIQDEKKKKAYEAEKAKTEQLTKKRQREERRVRYREEDKQKKRARR >LPERR03G14200.2 pep chromosome:Lperr_V1.4:3:10634400:10646116:1 gene:LPERR03G14200 transcript:LPERR03G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGGGGGDDDAGGEKKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPQESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGLTSLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYITLNDHLVQFSKTDENDAPKKQGKGPDLGKGLVKSLHNPRFSLNEKLEQSSIDLFGTKSAAQAKDVPANQNDQGDANISEQADGNNISNADTLESNEKSYSECSSDSEHDNDIQLSDHEVGLREKVEFFDGRLRRKAVSANFKDDDDDESGDDDVDSEDSGDDQLSEGSVSLDDNEEALVDSDDETGNNSKWKESLLARTLSRRSANLMQLVYGQASTKLDNIRSGGNDSDAEESSDEDFFFPKGHKKQTKNESTSFDDMDAEDYSKFFKAELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENEEDDDVYGDFEDLETGEVHTSNTYENRAGNGDAHKQDDLALKEEIAIRKQMNISELDELDEDSRVEVEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNAQVPFRITATGWIQEFNNTARIMKKIKLTSVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPKRKGESTEGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDQTWQGMRTTAELRRAHNIPIPHNKDSAYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKRTKTPVENRVPVLMQPSEKKTYAAIQQLRLLKHEKARKKKIQDEKKKKAYEAEKAKTEQLTKKRQREERRVRYREEDKQKKRARR >LPERR03G14200.3 pep chromosome:Lperr_V1.4:3:10634400:10646116:1 gene:LPERR03G14200 transcript:LPERR03G14200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGGGGGDDDAGGEKKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPQERAGDFSLSGLTSLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYITLNDHLVQFSKTDENDAPKKQGKGPDLGKGLVKSLHNPRFSLNEKLEQSSIDLFGTKSAAQAKDVPANQNDQGDANISEQADGNNISNADTLESNEKSYSECSSDSEHDNDIQLSDHEVGLREKVEFFDGRLRRKAVSANFKDDDDDESGDDDVDSEDSGDDQLSEGSVSLDDNEEALVDSDDETGNNSKWKESLLARTLSRRSANLMQLVYGQASTKLDNIRSGGNDSDAEESSDEDFFFPKGHKKQTKNESTSFDDMDAEDYSKFFKAELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENEEDDDVYGDFEDLETGEVHTSNTYENRAGNGDAHKQDDLAVEERRLKKLALKAKFDAEYPSYIFSLKEEIAIRKQMNISELDELDEDSRVEVEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNAQVPFRITATGWIQEFNNTARIMKKIKLTSVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPKRKGESTEGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDQTWQGMRTTAELRRAHNIPIPHNKDSAYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKRTKTPVENRVPVLMQPSEKKTYAAIQQLRLLKHEKARKKKIQDEKKKKAYEAEKAKTEQLTKKRQREERRVRYREEDKQKKRARR >LPERR03G14210.1 pep chromosome:Lperr_V1.4:3:10647858:10650536:1 gene:LPERR03G14210 transcript:LPERR03G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGFVAVILLVACVAPAARAQGGGGGNASAPAASGPFVPRDNILLNCGATGQADDTDGLAWSGDAGSKYAPASNAASSASATAQGPSVPQVPYLTARVSASPFTYSFPLGTGRKFVRLHFYPANYSNRDATDALFSVSIPDAKITLLSNFSAYQTALALNYAYIVREFSVSVTAPTLDLTFTPEKSHPNAFAFVNGIEVVSSPDLFGSSSPVQVTGDGSGTPFSLDAGTAMQTMCRLNVGGNAISPSKDSGGYRSWEDDTPYIPYASFGVSYTNDTNVTINYPDSVPQYAAPPDVYSTARSMGPDNNVNLNFNLTWAVQVDAGFQYLVRLHFCEIQSGYSKINQRVFDIYINNQSAFNNADVIAWSSGLGIPVYKDFVVITVGSGPMDLFVDLHPDVSNKPQYYNAILNGMEVFKLQLSNGSLAGLNPVPSATPTGQGGHSGKKSSLGPIVGGVIGGLVVLALGCCFFMICKRRRRAGKDTGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEDSGSLGCGMSDKGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGEQSVASIDSDGLTPSAVFSQIMNPKGR >LPERR03G14220.1 pep chromosome:Lperr_V1.4:3:10655683:10661800:1 gene:LPERR03G14220 transcript:LPERR03G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPADEVDKLAEKTESLTVAETGEPSFAGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >LPERR03G14230.1 pep chromosome:Lperr_V1.4:3:10664228:10664440:-1 gene:LPERR03G14230 transcript:LPERR03G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTEEKAMNAAKRKLREWYEEANEAKRQRTVKVIEAPEMTKQRPKKTHPILRERSRSRARGLAHIVVS >LPERR03G14240.1 pep chromosome:Lperr_V1.4:3:10664564:10664968:-1 gene:LPERR03G14240 transcript:LPERR03G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQVPHQHWKRFLRAFTSVNEAIEAANPAISRAEFRNTSLKILEMLINENDAARAQELCVVLDDIMIQSLRTLEMVTVKPEMLASTDLVQDVGDLGKHESERVRGLATGIVRGWKASVKAELVKAAAAMEKLS >LPERR03G14250.1 pep chromosome:Lperr_V1.4:3:10666835:10668442:-1 gene:LPERR03G14250 transcript:LPERR03G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTHAQGPKLFRGKILLPMGPPDVVPSESVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLAESSEGIYNDPIVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSSLDLLECAKEKQGNQGALSDEELDNTKEENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYAAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKEKIKLPAYLSSEVHSLLKGILRV >LPERR03G14260.1 pep chromosome:Lperr_V1.4:3:10668724:10670318:-1 gene:LPERR03G14260 transcript:LPERR03G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGPNSVQLAVGISAESQQVRQVGQLATPGPGPPPPPRYEAYQCDHDTCPLSAPGAPHPTRRCYKTGPLRAAARVRRALAAASPPLSSTPTPSCKAIDKLIS >LPERR03G14270.1 pep chromosome:Lperr_V1.4:3:10674941:10679828:1 gene:LPERR03G14270 transcript:LPERR03G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCARFPHPACKPTPAPPPPNLKPNPAITRGPCPSLRTLVARAAPPRRDDAPAPPSSFDFLALKRELEEEEEVAVGPREGGGGDGVSSEDDGDGEAKRSGGARRKRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEQFLFDDIYQVGDVVLVEDETVVENEFKLVGLHSLVGYNVVTSRQRNVGKVRGFTFDINSGAMESLELDSFGISIVPASLVSTYCLLVEDVLDIIADTIVVHEEAISRVQRLTQGIWGTQNIQGPGGQMDEYGRHRMRRARPVQRQNSLRKPNGRKLHRKMRDRDDDWELPMDY >LPERR03G14280.1 pep chromosome:Lperr_V1.4:3:10680810:10684587:1 gene:LPERR03G14280 transcript:LPERR03G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTGRLRLVRCPKCYNVLPEPPNASVYMCGGCNTILRAKILVSNGQNVATKQVRQDSDDFLVATTASNGVPHQNKALAFSDATMDSSCTPDAPNIKTEHDSIGMSSNDNDYAISVEKNASEVADTDNKEDCNLDGQNTSARIESSDEEIYPNGNSMDIDSDKEENNNVEGDVENPEDCRVSQSDDMEYNLNATEHEVHLPQRSKSDSELKEASKTEDEASKKVHLARAPSRSCDLRELHRASASSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSQIFSKPEEEDCKPKTAAYPLRPSKQDGYKPRAPFTSSVPLTAYHPAAKYSGHVPRLSRWR >LPERR03G14280.2 pep chromosome:Lperr_V1.4:3:10680900:10684587:1 gene:LPERR03G14280 transcript:LPERR03G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTGRLRLVRCPKCYNVLPEPPNASVYMCGGCNTILRAKILVSNGQNVATKQVRQDSDDFLVATTASNGVPHQNKALAFSDATMDSSCTPDAPNIKTEHDSIGMSSNDNDYAISVEKNASEVADTDNKEDCNLDGQNTSARIESSDEEIYPNGNSMDIDSDKEENNNVEGDVENPEDCRVSQSDDMEYNLNATEHEVHLPQRSKSDSELKEASKTEDEASKKVHLARAPSRSCDLRELHRASASSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSQIFSKPEEEDCKPKTAAYPLRPSKQDGYKPRAPFTSSVPLTAYHPAAKYSGHMEMMRRPCHHECCHSYLPTCHHGSWKNQQDAMQRPPVQETKRRVPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGFCSEILSYSYRDPAKKKLQSPSGDEEYQYSTDDYEIHQVVDDHKATGFNEADPFSYSEEYGVSYSTEDEQPLHVSRNSSFSSSIDERNAKLHRLMGYSSASELLRRRRSPDLYESFGERTPVARTNDRKGKAICEDDNSSAMKGSKARGGGLPIHGILKRGIHGLESLKLRS >LPERR03G14280.3 pep chromosome:Lperr_V1.4:3:10680810:10684587:1 gene:LPERR03G14280 transcript:LPERR03G14280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTGRLRLVRCPKCYNVLPEPPNASVYMCGGCNTILRAKILVSNGQNVATKQVRQDSDDFLVATTASNGVPHQNKALAFSDATMDSSCTPDAPNIKTEHDSIGMSSNDNDYAISVEKNASEVADTDNKEDCNLDGQNTSARIESSDEEIYPNGNSMDIDSDKEENNNVEGDVENPEDCRVSQSDDMEYNLNATEHEVHLPQRSKSDSELKEASKTEDEASKKVHLARAPSRSCDLRELHRASASSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSQIFSKPEEEDCKPKTAAYPLRPSKQDGYKPRAPFTSSVPLTAYHPAAKYSGHVPRLSRSGQVPLPRREFSSQWYRRRRRPYSCCHTEQMEMMRRPCHHECCHSYLPTCHHGSWKNQQDAMQRPPVQETKRRVPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGFCSEILSYSYRDPAKKKLQSPSGDEEYQYSTDDYEIHQVVDDHKATGFNEADPFSYSEEYGVSYSTEDEQPLHVSRNSSFSSSIDERNAKLHRLMGYSSASELLRRRRSPDLYESFGERTPVARTNDRKGKAICEDDNSSAMKGSKARGGGLPIHGILKRGIHGLESLKLRS >LPERR03G14280.4 pep chromosome:Lperr_V1.4:3:10680900:10684587:1 gene:LPERR03G14280 transcript:LPERR03G14280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTGRLRLVRCPKCYNVLPEPPNASVYMCGGCNTILRAKILVSNGQNVATKQVRQDSDDFLVATTASNGVPHQNKALAFSDATMDSSCTPDAPNIKTEHDSIGMSSNDNDYAISVEKNASEVADTDNKEDCNLDGQNTSARIESSDEEIYPNGNSMDIDSDKEENNNVEGDVENPEDCRVSQSDDMEYNLNATEHEVHLPQRSKSDSELKEASKTEDEASKKVHLARAPSRSCDLRELHRASASSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSQIFSKPEEEDCKPKTAAYPLRPSKQDGYKPRAPFTSSVPLTAYHPAAKYSGHVPRLSRSGQVPLPRREFSSQWYRRRRRPYSCCHTEQMEMMRRPCHHECCHSYLPTCHHGSWKNQQDAMQRPPVQETKRRVPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGFCSEILSYSYRDPAKKKLQSPSGDEEYQYSTDDYEIHQVVDDHKATGFNEADPFSYSEEYGVSYSTEDEQPLHVSRNSSFSSSIDERNAKLHRLMGYSSASELLRRRRSPDLYESFGERTPVARTNDRKGKAICEDDNSSAMKGSKARGGGLPIHGILKRGIHGLESLKLRS >LPERR03G14280.5 pep chromosome:Lperr_V1.4:3:10681317:10684587:1 gene:LPERR03G14280 transcript:LPERR03G14280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTGRLRLVRCPKCYNVLPEPPNASVYMCGGCNTILRAKILVSNGQNVATKQVRQDSDDFLVATTASNGVPHQNKALAFSDATMDSSCTPDAPNIKTEHDSIGMSSNDNDYAISVEKNASEVADTDNKEDCNLDGQNTSARIESSDEEIYPNGNSMDIDSDKEENNNVEGDVENPEDCRVSQSDDMEYNLNATEHEVHLPQRSKSDSELKEASKTEDEASKKVHLARAPSRSCDLRELHRASASSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSQIFSKPEEEDCKPKTAAYPLRPSKQDGYKPRAPFTSSVPLTAYHPAAKYSGHVPRLSRSGQVPLPRREFSSQWYRRRRRPYSCCHTEQMEMMRRPCHHECCHSYLPTCHHGSWKNQQDAMQRPPVQETKRRVPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGFCSEILSYSYRDPAKKKLQSPSGDEEYQYSTDDYEIHQVVDDHKATGFNEADPFSYSEEYGVSYSTEDEQPLHVSRNSSFSSSIDERNAKLHRLMGYSSASELLRRRRSPDLYESFGERTPVARTNDRKGKAICEDDNSSAMKGSKARGGGLPIHGILKRGIHGLESLKLRS >LPERR03G14290.1 pep chromosome:Lperr_V1.4:3:10686332:10686751:-1 gene:LPERR03G14290 transcript:LPERR03G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVSRTTPARPTSLPGLRASRAVAGRPTFGRGVAATTTTFRLRARCGGGTEPVVARKEDNEPGKEEEEAVAEELEVLEEEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDHDHDEAGAAGETKQRHADSGR >LPERR03G14300.1 pep chromosome:Lperr_V1.4:3:10690452:10699683:1 gene:LPERR03G14300 transcript:LPERR03G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEPLLLSSLETSDSPSKHQAPARLSVGSLGCLCRTDSFTSSLYEDCDTASVNHVDEGETVSRVLSESDVTVGTERFQSADSNFFHRLSVECSQKTRQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRSQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMINDGLYSGLIKCEQPNRNIYEFTATMELNSQRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSSLQVTSDSSYEISTGESSRQQGSKSKSGVTVDSVLMALLNQSLVGEERLAAHDFFLTLAACNTVIPVSTEISLDLINEINEVGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRTTDDDELHNSLHSEIRETTKNHLSSYSSEGLRTLVIGSKNLTDAEFSEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRGCRDTEDMYNGDVSKLRNSNGHIPESGIQNFELTEAVASDKSEYSEKVANFADAELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKNLSHNTLLHYPRLYEAGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRSYWLSVILIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPAKEAERRAEAAVARVLTVYG >LPERR03G14300.2 pep chromosome:Lperr_V1.4:3:10690037:10691641:1 gene:LPERR03G14300 transcript:LPERR03G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYGANGFYLHRICSSSASLPPSSSASVLQLPSPRRRLPRRRPAETLARRDPARSDSTTPSSGRAVAWTARPRRSSDPRGAVGPRADPPATRGRGGEACLAQLGIGLARSGGLPRELIASEKSAVVEVAMVRNRARMRWARTVCWNH >LPERR03G14310.1 pep chromosome:Lperr_V1.4:3:10698474:10702887:-1 gene:LPERR03G14310 transcript:LPERR03G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGLAAFAPALAVLLALAARGADASVHEYSGGGTFAPRANSFFFHGGSEGLYASDPSSNSSASFIRFDSVTFRRTKESAARHEEMQQNTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPHPDNPDWPKRIQTFFNGRDEEANMVTQVVSINRTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLISIAWIGYELYFNATDPLSELWQRAWIIPAFWNLLSYALLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKLKYRFRLDSRLAFTSEACV >LPERR03G14320.1 pep chromosome:Lperr_V1.4:3:10721617:10729295:-1 gene:LPERR03G14320 transcript:LPERR03G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPKVHGLDKALEKTQLDEKKLERKMKKDQKAKDKEEKRLKAKQKEAAMFQAHTTLDVLKKAEKKHRGKPVEDNLEGFIDQDTPNGQKKLLAPQMAKQYTPSTVEKSWYAWWESSGYFRADYSSTKPPFVIVMPPPNVNGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMHERNLTRHEIGPEKFVSEALKWKEQYGGTISNQLHRLGASLDWSRQAFTMDEQRSNAVTEAFVRDYHLVNWDCTLLTSISDIEVDHIDLKEETMLKIPGYDTPVQFGELISFAYPLEGGLGEIVVATNRIETMLGDTAIAVHPEDKRYQHLHGRYAVHPFNGRKLKVICDAEIVDPTYGTGAVKIAPAHDPNDFEVGRQNNLQFINIFTDDGKINSNGGAQFEGMPRFTARVCIVEALKAKGLYMGTKKTEMSLGVSSRTNDVVEPMIKSPQWFVSCNTMAKVGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRPHWWGHRVPAWYVALEDEQEKTLGSENDRWIVAKDECAANLEAQKKYRGKKFLLNQDPNVLDTWFSSALFPLTVLGWPDDTADLRAFYPTSVLETGMDIFFLWVARMVMMGTHLTGDVPFKKVYLHPIICDTHGRKMCKSLGNVIDPLEVINGITLEGLVKRLEEGNMDHNRLLNIAKELRLKDYPHGIAECGTDALRFALISYTTQSDRINLDIKRVAGYRQWCNKLWNAVRFAMGKLGDHYCPPAAVDVSLMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFKEPQEFESARAASRDTLWVCLDSGLRLLHPFMPYLTEELWQRLPQPKYSCRQDSIMVSEYPSVVKEWTNYNLENEMDIVLDAVNKIRSLKPPTERNERRPAFALCRGQDIAATIQCHQSLIVSLSTISLLKILTENDEIPADCAIAVVNKDLSVYLKLQGALNAEAEHEKLRKKRDEIQKLYHALTQKMDASGYREKAPQSVQEEDMRKLTALLGQLEVIK >LPERR03G14330.1 pep chromosome:Lperr_V1.4:3:10734114:10736889:-1 gene:LPERR03G14330 transcript:LPERR03G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDCDEEVELYLVALEVEEKHPTVVFCLSNPLQQCKSAIRYRTLFGPILEARKQNSVQLIFLRADQLFEAVELELTTGENQGLCNVEMIIDPSKEKAILTAYDTTEGSLLWKSTNVYSLVSALDSEVNYSFTQAAGHSDANKNLNLRLHIHSCLLSLAIEFRRDFATNGLLGKGTYGTVYKCSRGAQQLAIKRKVSSVGNHCSEIQSMVKLCGTRHVVQIYSAWNQSSSLVFIALELFERNLEEYLAARTGVDLQMSTRIFTEIMVAVKSIHEAGIIHRDLKPINILLDSNNHISVADFGNAKIKPYATAEIAYPGNSTYGTQFYCDPLLNSPHHHHNEKVDIYSCGETARSCRGIGRTNCWELLRYWKQVKKVSARGKVKLI >LPERR03G14340.1 pep chromosome:Lperr_V1.4:3:10742141:10744894:1 gene:LPERR03G14340 transcript:LPERR03G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEGDVPAETVAAAVNDLVEVQEGFVRMRGWVLSRDLQVQSSSPSSGPPCTELMDAMMNKLSSAISALGGGCDVAMEAQAAGRWDLAAGTSAVRQMGESSTGRRRRRGGGRGGGSRSGRGRPSNKRVATTLEDGHVWRKYGQKDILNSSYPRSYYRCTHMLDQGCPAKRQTQPCQSDPSSFDITYHGEHTCRDPSTIIPTAIADVAGAARDVGAAADNIISFAAAGYATGGVASRMGREGTTTSTATHQLSGGGDDVFSSSGEAFMQWDELAVAVVGSAGMTSSTVGSAPGENDGGNGDTAAGGGGGGGGGEGSFPSSPSAGSLGFMVGSLGTIGDEDDSFFSFDP >LPERR03G14350.1 pep chromosome:Lperr_V1.4:3:10747659:10753842:1 gene:LPERR03G14350 transcript:LPERR03G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWPLLAAVAAVVVLAMVGSAAGRFVVEKNSLRVTSPEELKGKYECAIGNFGVPQYGGTLHGWVVYPKSNQKACKSFDEFDINFKSARSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAILVVDDKSEPLITMDNPEDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKTANESGKPWLWWDYVHDFSIRCPMKEKKYTPECSADVIRSLGLDAEKINKCVGDPEADEENPVLKAEQDAQIGHGKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSDDVQTNECLDNNGGCWQDKDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGNNMLYMREHDTCLSKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGPNQHHVTHAGDDI >LPERR03G14350.2 pep chromosome:Lperr_V1.4:3:10747280:10753842:1 gene:LPERR03G14350 transcript:LPERR03G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWPLLAAVAAVVVLAMVGSAAGRFVVEKNSLRVTSPEELKGKYECAIGNFGVPQYGGTLHGWVVYPKSNQKACKSFDEFDINFKSARSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAILVVDDKSEPLITMDNPEDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKTANESGKPWLWWDYVHDFSIRCPMKEKKYTPECSADVIRSLGLDAEKINKCVGDPEADEENPVLKAEQDAQIGHGKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSDDVQTNECLDNNGGCWQDKDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGNNMLYMREHDTCLSKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGPNQHHVTHAGDDI >LPERR03G14350.3 pep chromosome:Lperr_V1.4:3:10747280:10753842:1 gene:LPERR03G14350 transcript:LPERR03G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWPLLAAVAAVVVLAMVGSAAGRFVVEKNSLRVTSPEELKGKYECAIGNFGVPQYGGTLHGWVVYPKSNQKACKSFDEFDINFKSARSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAILVVDDKSEPLITMDNPEDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKTANESGKPWLWWDYVHDFSIRCPMKEKKYTPECSADVIRSLGLDAEKINKCVGDPEADEENPVLKAEQDAQIGHGKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSDDVQTNECLDNNGGCWQDKDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGNNMLYMREHDTCLSKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGPNQHHVTHAGDDI >LPERR03G14360.1 pep chromosome:Lperr_V1.4:3:10757600:10761133:1 gene:LPERR03G14360 transcript:LPERR03G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVVLVGLACLVLVAEAKGRGAGSAAALDDDVLGLIVFKADVVDPDGRLATWSEDDERPCAWAGVICDPLTGRVAGLSLAGFGLSGKLGRGLLRLESLQSLSLSRNNLSGDLPADLGRLPDLQSLDLSSNAFTGPIPDGLFGRGRSLRDVSLAGNAFSGGVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRDLDLSGNAITGDLPVGVSKMFNLRLLNLRGNRLTGGLPDDIGDCPLLRSVDLGSNKLSGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMVSLEMLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLMHVDVSWNSLTGALPSWVFASGVQWVSVSDNTLSGVVLVPANASSTVRGVDLSSNAFSGHIPSEISQLITLQSLNMSWNSMSGSIPASIVQMKSLELLDLTANRLNGSIPATIGGDSLKELRLGKNSLTGEIPAQIGNCSALASLDLSHNNLTGTIPTSIANLTNLQTVDLSRNKLTGGLPKQLSDLPHLVRFNISHNLLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLAQAEPAPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRAPGSHSAAELELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSVSTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTSNCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGILILEILTGKTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >LPERR03G14370.1 pep chromosome:Lperr_V1.4:3:10764473:10766125:1 gene:LPERR03G14370 transcript:LPERR03G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPCHSSFPLSPPSSCHGGGLLHLPPRPATSPFACYCRAPAAQRDDNDDDEHDTGILQALASNGNGSVHGGVQLAPRQVVDPGGSEEEEVRRGSRLRARDCAKRIMGLPVEERVKVLDLLQCDDGALTVSDYNDILSALARAGDHDSAVALFRAMRSNGVVPDAQSFAIAVQCLCRKGAPGEAKEALDEMVARGYRPTVSTFSAVVGCLCKRGRVSRAMEVFDTMRAVGCEPTIRTYNSLVGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTEEATAIFHDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGSAACPPDRISFSIVLQALLRAGETSAAWQTYKRMDRAGFEAEGRALDTLARGLCRQCAEDISTLADAKEVFGKLVASGHEPVSYTYCLMAQALARGGEVDAAVSLLGEMVRKGYALRKRAYTDVVRALCDRGRARDALRVLALVIARDFVPGRNAFDALLGELARQGRWPDAMAVYAAAVKRGVLVSLKRHIKEALLLQEQQETRESSVQLSVPR >LPERR03G14380.1 pep chromosome:Lperr_V1.4:3:10767102:10769257:-1 gene:LPERR03G14380 transcript:LPERR03G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVAAAAAVAPLLLLLLLSRCSAAAASRHGGDKGWDWEEEREGEWRPEEDEGKGGHGGGGDDPGPPKPRPADRGLFVLDRGEKVVESEGGHVRVVRGRPWPPATVVPDPWQRDWSAAASGCREGLMHIGFITMEPKTLFVPQYVDSNLILFVFLGEVKVGWIHKDELVEKNLKMGDILHIDAGSTFYLVNSGKGQRLKIIFSIDASDSIGFSPYQAFFLGGGGGAAGHPQSVLAGFDPKTLVIAFNTTYEELEQTLLAPKTKGPIMYYTTEPMSGGEEHREQTAQRGVWYKGAQRGEAAAAGHWSPVGRGGEEEPSVYEPTSSTWSWRNLVARLLGVGGGGESNSMDAQQNKKKDKTVRAPEPYNLYESGSGFKNTYGSSVAVDKHDYEPLGHSDFGIYLVNLSAGSMMAPHVNPRATEYGIVLRGAGCIQVVFPNGTLAMSATVRAGDVFYIPRYFPFCQVASLGGPFVFFGFTTSARRNHPQFLVGSSSVLRTLLGPELAAAFGVPEKEMRKLVLSQKEAVILPSWPKKKKKKEEWEEPEDERREEEKKGKQREQWVIKQVAK >LPERR03G14390.1 pep chromosome:Lperr_V1.4:3:10771663:10776502:1 gene:LPERR03G14390 transcript:LPERR03G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKPADPASAAMRGGTHHRRARSEVAFRLPDDLDLGGGGAGGFDEIGSEDDLFSTFMDIEKISSGPAAAAGGDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLSQQSTARQNGGAQLPAQFQPPRPNMPNHMLSHPNGLHDIMQQDPLGRLQGLDISKGPPVVKSESSSISASESSSTF >LPERR03G14400.1 pep chromosome:Lperr_V1.4:3:10779102:10792396:1 gene:LPERR03G14400 transcript:LPERR03G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKRDDDVVIKSPNDHRSYRLLRLPNGLCALLVHDPEIYPDGYPDPQSTKPNEDEDEDMEEEEEDGDEDDDDEEYSDEDGEDDEEEDDEGEEEDEDGSEPKRRKEKGSSEPLFKKAAAAMCVGMGSFADPPEAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSGNKKSLVDAMGSGINLREEILQMYTTNYHGGMMKLVIIGGEPLDILEGWAMELFSKVKAGPLLDMSPKTDMPFWRSGKLHKLEAVRDVHSLWLLWTLPCLYKDYTKKPEDYLAHLLGHEGKGSLLYFLKAKGWASSLSAGVGTDGTQRSSYAYIFEMSIHLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSENHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQVHAAIQCEPWFGAQYIEEDIPSSFMESWRNPAQIDAAFHLPRKNEFIPGDFNLRNANIPKPLSDDSPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGCSSLENSVLTDLFVNLLKDELNEVLYQASVAKLETSLSVVGSKLELKLYGYNDKLPTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFRNKLSAQILPDEARDGERVICIPNGTNFVRSVHVKNELEENSVVEVYFPVEQDIGKDATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPIYLQSRIDNFINGLSALLDGLDEETFENHRTGLIADKLEKEPSLSYQTSDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIRPSSPKRRRLAIHVYGCNSDIAEAAKLREQSWIAIDDVKSLKASSQFYTCLC >LPERR03G14410.1 pep chromosome:Lperr_V1.4:3:10793502:10795164:-1 gene:LPERR03G14410 transcript:LPERR03G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPATDPHRHTDTPKPFPFFRFSLPPPPPRQEIHVQIPSPRRPRRRRPGRDGGCGCGSVRWGISGNISRPPSPHDGGAATRGHLGLIRAHPELRELNEALPDSSRDALFLDATYVLTGSALRVPTLTSSHMIRMLCEYVEAAESRADRGPDELACFRVRRSILKARDGRFDEALSYLARLAGDSPDDHRPRIAAAALCLLHGRRHTSWRSLVAAMPGSSPQCGEENYDSLVMFSASTYLYASLDEKMKTVERSMLYNFLIRVFRELLVNSRTKQSDATIIKYLRALSPDNDATKSPSLSVMGFSHDMAIVESIQAILSGLLRLRRICGERLREVHSIAEKALAQAEAESNMSAVIDINIFLAFLEIRDGQLEAAKQRYKAALKQDPHDCRLHALFFKFGLIFGNDRDLFLQNSHRFGVQMGHRAEQLPALLNEVVIASALGSGGHPTVLNPSRSLFMIAAWREVDEGLTAALGHGELTFWQRMQLRFLRRLPRAKMQPLLLDMAKDGQRDSVVHQ >LPERR03G14420.1 pep chromosome:Lperr_V1.4:3:10802279:10803675:1 gene:LPERR03G14420 transcript:LPERR03G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLLLLLLLVASQRALGLGQWQWQPGHATFYGGSDASGTMGGACGYGNLYSQGYGTSTAALSTALFNKGLSCGSCYELRCTGGPRSTSSSSCLRAGATVTVTATNFCPPNYALPGSNGGWCNPPLRHFDLAEPAFLRIARFRAGIVPVSFRRVACARKGGVRFTVNGHAYFNLVLVTNVGGAGDVHALAVRGSRAGGGRWQPMSRNWGQNWQSNGQLNGQSLSFRLTAGDGRTLTCANVAPSGWQFGQTFEGRQF >LPERR03G14430.1 pep chromosome:Lperr_V1.4:3:10804405:10809362:1 gene:LPERR03G14430 transcript:LPERR03G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAARIFSLTPSRLPLPFPKRRRQPCGGAARASFSMAASGFAGGEAFRLSAEAGAGTLKLHKGDITIWSVDGATDAIVNAANERMLGGGGVDGAVHRAAGPELVAACRKVPEVKPGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDEQPEISLKNAYTNSLKLAKENGIQYIAFPAISCGIFRFPPEEASKISVSTAQEFSKHIKEVHFVLFSDELYNIWRETANEILSQFEK >LPERR03G14440.1 pep chromosome:Lperr_V1.4:3:10807215:10808930:-1 gene:LPERR03G14440 transcript:LPERR03G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGAGAGLTEAANGGIDSSAADPSTSGAGSRLSVHRIAGGGKAADIILWKRGRVTISVIFGATMTWWLLEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLVYIGTIALITIPALYSKNQEQVDRYAGMVHRNLSRHYKIVDENVMSRLPRSFIRDKDD >LPERR03G14460.1 pep chromosome:Lperr_V1.4:3:10820852:10828507:1 gene:LPERR03G14460 transcript:LPERR03G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMAGGGXPRRTPSGAARRSSTTRGGSSAARSAASYFGTCKIDLAKTLSKYVFAYGSVPLKTYLPDGDIDLTVLGNTSYGSTLIEDIYFILQSEEQNSDAEFEVKELQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLLKRSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKTLHGPLGVLYRFLEHFSKFDWNNYCISLNGPVALSSLPNQIVEATNIPGSDLLFDKEFLKNSLQKTDSNACYTEFRAKYLNIIDPLKEHNNLGRSVNRANYNRIRTAFSYGAQKLGQILLLQSELIPDEIYGFFKNTLNRVGSRVRPDICNESYGDAFLCEPFLGPDKELWEEMSGMKISCNNQDENRYHHLSKSLVYNDSYATLNVPTHLAGRFCGDQLIASNNDLNIESSYIGHHTSNQYPSFYLENGNGGSELYMHHEMVEQASCCAAETCHVNEEPSMYSQVHPNNMLHAFYSTPANNLEYFKSGPSDIMNSIITVADEEKHFFSPFPLSLVELSGDLGLQLTCLRQVQYHLEYMFDGLMQSVQEASSDGNVVVRDSFEIPTLNIASNTNVLLPGLLSPSSTETDERRLSPVSSSHSTEDFSQQSHDEGSWGVPCQQNYLLYSGTVAPSSELSPCFSHADSDNTVQRCHSSYDIPSIGMHRTGPRILQKPMASLGENKALISRPMRVRSNGATVPKGNFVTYREQVAQDTATKDIKLSRTLGIQDSEHEFVGANKNIESYSCDTWIEYIQSESEARQIPRHFKHVRSSKNSHENQICDIDMGLAQSASAMNQIPKYRTLKVHDLSNECICPTGSLTRHQSSDFRKESEIINWPRKQMSTEPLKLQNSLHGRAWSNKKLVAKQINSNHKDHLSFVRNGEQVPDNQANSNKEFDIVGRSGRSLPRVQLSLRNNKSQTILTASTCKSSFPVAKGYSQSGSFDTPPLETIEFGTLGPFSLTLVSPKSNNSANALSTSKSCADAAAMVLPSHPIQSRSPGFYKVGDEDHFPPLRAGTR >LPERR03G14460.2 pep chromosome:Lperr_V1.4:3:10820852:10828507:1 gene:LPERR03G14460 transcript:LPERR03G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMAGGGXPRRTPSGAARRSSTTRGGSSAARSAASTLIEDIYFILQSEEQNSDAEFEVKELQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLLKRSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKTLHGPLGVLYRFLEHFSKFDWNNYCISLNGPVALSSLPNQIVEATNIPGSDLLFDKEFLKNSLQKTDSNACYTEFRAKYLNIIDPLKEHNNLGRSVNRANYNRIRTAFSYGAQKLGQILLLQSELIPDEIYGFFKNTLNRVGSRVRPDICNESYGDAFLCEPFLGPDKELWEEMSGMKISCNNQDENRYHHLSKSLVYNDSYATLNVPTHLAGRFCGDQLIASNNDLNIESSYIGHHTSNQYPSFYLENGNGGSELYMHHEMVEQASCCAAETCHVNEEPSMYSQVHPNNMLHAFYSTPANNLEYFKSGPSDIMNSIITVADEEKHFFSPFPLSLVELSGDLGLQLTCLRQVQYHLEYMFDGLMQSVQEASSDGNVVVRDSFEIPTLNIASNTNVLLPGLLSPSSTETDERRLSPVSSSHSTEDFSQQSHDEGSWGVPCQQNYLLYSGTVAPSSELSPCFSHADSDNTVQRCHSSYDIPSIGMHRTGPRILQKPMASLGENKALISRPMRVRSNGATVPKGNFVTYREQVAQDTATKDIKLSRTLGIQDSEHEFVGANKNIESYSCDTWIEYIQSESEARQIPRHFKHVRSSKNSHENQICDIDMGLAQSASAMNQIPKYRTLKVHDLSNECICPTGSLTRHQSSDFRKESEIINWPRKQMSTEPLKLQNSLHGRAWSNKKLVAKQINSNHKDHLSFVRNGEQVPDNQANSNKEFDIVGRSGRSLPRVQLSLRNNKSQTILTASTCKSSFPVAKGYSQSGSFDTPPLETIEFGTLGPFSLTLVSPKSNNSANALSTSKSCADAAAMVLPSHPIQSRSPGFYKVGDEDHFPPLRAGTR >LPERR03G14470.1 pep chromosome:Lperr_V1.4:3:10833198:10834070:-1 gene:LPERR03G14470 transcript:LPERR03G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYYSTTTSRWSWFHKLRRKRKKKTKRPSTSSAASTPARASDAVSVTPSRQPPAAATTGSPCSLHFPNRASYYLTTADRERQDEDSRQDRLLRCSEEDDGPAHDVRIDVVHRRADGLDAPPATPELKLRPIVTTRHVVVATKNETATSTDSSSATTPSTRAARGFHVGQIVTSRRLRRGHHDGNASGRMEINPSGRRRRRMWLRESEAVVIESEEPERELVDSMIEMLCTNGVRRLEDLQDLLACYLALNAAEHHRTIVALFRRVVLVWIHLGSQRLLPGQQQQPNPAQ >LPERR03G14480.1 pep chromosome:Lperr_V1.4:3:10838019:10838282:1 gene:LPERR03G14480 transcript:LPERR03G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASRRAAAAVLLLVVAVAAAFTGVAAEQDENGTGVELSCFCDCMKNRCMTLGTGPNKFDCASACTEGCTQIGQPGQPNGNNFCGF >LPERR03G14490.1 pep chromosome:Lperr_V1.4:3:10839371:10845873:1 gene:LPERR03G14490 transcript:LPERR03G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKSTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPERTDKSRIKVWLEKHKKLHTALLIMVLIGTCMVAHFALNLSSISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDQVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSIEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSSSDELAAAAEGEERGSRLAVIGSSHASYDLQDSVQHSSASVEMMTSTATANGRGKQVRFFIDSHVASPAASAAGDSKQVAEELEALAEARDAGTAFILGHSHVQCKPGSSLIKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >LPERR03G14490.2 pep chromosome:Lperr_V1.4:3:10840204:10845873:1 gene:LPERR03G14490 transcript:LPERR03G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKSTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPERTDKSRIKVWLEKHKKLHTALLIMVLIGTCMVAHFALNLSSISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDQVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSIEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSSSDELAAAAEGEERGSRLAVIGSSHASYDLQDSVQHSSASVEMMTSTATANGRGKQVRFFIDSHVASPAASAAGDSKQVAEELEALAEARDAGTAFILGHSHVQCKPGSSLIKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >LPERR03G14490.3 pep chromosome:Lperr_V1.4:3:10838936:10845873:1 gene:LPERR03G14490 transcript:LPERR03G14490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKSTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPERTDKSRIKVWLEKHKKLHTALLIMVLIGTCMVAHFALNLSSISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDQVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSIEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSSSDELAAAAEGEERGSRLAVIGSSHASYDLQDSVQHSSASVEMMTSTATANGRGKQVRFFIDSHVASPAASAAGDSKQVAEELEALAEARDAGTAFILGHSHVQCKPGSSLIKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >LPERR03G14500.1 pep chromosome:Lperr_V1.4:3:10846090:10851546:1 gene:LPERR03G14500 transcript:LPERR03G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPPAYLPRGSTSAGPARRPLPAVRCSAVGGGGLVPGIVFSEVMAETAAVGTAEEPLLVSAIKGKKIERPPSYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNLLREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNIDPGVLFGSKEFISKRIHDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGLRY >LPERR03G14500.2 pep chromosome:Lperr_V1.4:3:10846090:10851802:1 gene:LPERR03G14500 transcript:LPERR03G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPPAYLPRGSTSAGPARRPLPAVRCSAVGGGGLVPGIVFSEVMAETAAVGTAEEPLLVSAIKGKKIERPPSYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNLLREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNIDPGVLFGSKEFISKRIHDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGLRY >LPERR03G14510.1 pep chromosome:Lperr_V1.4:3:10859218:10860558:1 gene:LPERR03G14510 transcript:LPERR03G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKVNLLMKRYEIGKLLGQGSFAKVYHGRNVKNSQSVAIKVIDKEKILKCGHMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFVLEYVKGGELFNKVRRGRLKEEVARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSAVAECKRQDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWACGVILYVLLAGYLPFQDKNVMNMYKKICRAELKWPSWFSSDIRKLLRRILDPNPTTRISVSEIMENPWFRVDLDLDLLNMTISTEDVVHVDMDPTFGHLNVDTNEDKQEAENLTSLNAFDIISLSSGFDLSGMFEDGTNKEESKFTSTNTAITITKKLEDVAKSLRLKFLKKNGGLLKIEGSKPGRKGVMSINAEIFQITTDFHLVEFTKTNGDTLEYQKVKQEMRPQLKDIVWAWQGEKPQPFNGQS >LPERR03G14520.1 pep chromosome:Lperr_V1.4:3:10862977:10865649:-1 gene:LPERR03G14520 transcript:LPERR03G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETECAALAPAAVGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPPNPKQKEQSEESEQAIDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIAELKLRIQKESTETSPGGVKSDDQREAPVTESEEQKSENVNTDVDMEGLDEHPQQLPSSVLLELEQAKANLNRTTSDLAAVRAAIELLHNSITKEKILLERGREKLSSNTALVSSLEDELDQTTQKLQTLKDLQERREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEVRCIAAKKMEEAARAAEALALAEIKALLSSESSSEADSSVCDGVTLSVEEYFSLCSKAQEADENSRKKVEDAMLQVDVANSSETDSVKRLEDARLEVEECKRALQEALKRVETANRGKLAVEEILRRWKSENGHKRRSISASPKFKYAAQRRKDSRSMDIVSDTSSFKPTLSIGQILSMKLMGPEGYDKSIWDDKTSEIPNVSLGQILNRGRVLSREETAVRKRVSGKRKKFPLTGLSVLLAKQSKNKKKRESF >LPERR03G14530.1 pep chromosome:Lperr_V1.4:3:10882379:10885976:1 gene:LPERR03G14530 transcript:LPERR03G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKIEIVTTTLCMVLVIMSCTLTSTSAPPPPAHSLGRDFFPTFHALPLSSLPSSRDTDAQKIPSSRDLPPPSPHAAEVAPRSIRGAISDLSDGTSRRQRRWPEPTMTREHRRRVVEIWLDLAAPVDREPGGGGGELGMDVGGFDLSSNGYPKMNYYQELLQSEGRRLPPIRAGRRSSGVATARPPSTQ >LPERR03G14560.1 pep chromosome:Lperr_V1.4:3:10935079:10940644:-1 gene:LPERR03G14560 transcript:LPERR03G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLEKRFDTPVSPPLPPPRAAATSPENRPGTDGADQLGSAGASGGRGEEAVSISSARSGAFTGVVMATAAAATGESVEPQSLKKLSLKSLKRSHDLFAPTHSLLFSPDPESKQVRVSCKVNAEYRAVKNLPTDQGRVQTKGAAVPMTTLALPGTQDNKDADNKRNSTAIVPSPNMLPKAPDSTIPGKNTTVSIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDNSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >LPERR03G14570.1 pep chromosome:Lperr_V1.4:3:10940828:10944967:1 gene:LPERR03G14570 transcript:LPERR03G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSWILWRPCTSALLERRVSPRVLLRTTMCLSYSTTSAAPASATPPSPPLATTCSDDDGGSGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALSNFINSNLPDNVRVFSVLPAQRSFDVRRECMYREYLYLLPAEIIGIKGDCSSEEVGEHLSEFNNILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKKANPAVKSMPSEKDMPSDDGTTSDDNEEELNSSSIIDSSAPEDSYKDSPEFSEKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQNSSGIQFVELTISGVSFMLHQIRKMVGTAVAVKRGLLPKDIVALSLAKFSRIVLPIAPSEVLVLRDNSFCLRNKQGSIARPGIQSMNESEEVKKGVMEFYKVALVPELANFLDASLSPWEEWVENLDRFTSIPDSQLEEVRSAYRVWKADYDRVKMARKGASSD >LPERR03G14580.1 pep chromosome:Lperr_V1.4:3:10946020:10951948:-1 gene:LPERR03G14580 transcript:LPERR03G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHNECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSDSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSVGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIVTDAIYYAGTGNLLCKAEDRVAIFDLQQRLILGELLAPSVKYVVWSSDMESVALLSKHAVIIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKFCLPNGDSGIIKTLDVPIYITRVVGNNIFFLDRDGKNRLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKISGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHRLTEIADRLAAELGENVPSIPEGKAQSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAGEGEANEDDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPQPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIVNFAPLKPLILDLHMGSYSYLRALATAPIISVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTDGRFPEALRQFLNILHTIPLIVVDSRREVDEVKELVEIVREYVLGLRMELRRRELADDVNRQQELAAYFTNCKLQRVHMRLVLGSAMSLCYKQKNFATAEHFARMLLENNPNEKQASMARKVQQQCSGKKDSHELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVKSVSRENELQELQAGAYLLGCVARDFWWTWMANWCWQD >LPERR03G14580.2 pep chromosome:Lperr_V1.4:3:10946629:10951948:-1 gene:LPERR03G14580 transcript:LPERR03G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHNECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSDSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSVGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIVTDAIYYAGTGNLLCKAEDRVAIFDLQQRLILGELLAPSVKYVVWSSDMESVALLSKHAVIIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKFCLPNGDSGIIKTLDVPIYITRVVGNNIFFLDRDGKNRLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKISGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHRLTEIADRLAAELGENVPSIPEGKAQSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAGEGEANEDDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPQPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIVNFAPLKPLILDLHMGSYSYLRALATAPIISVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTDGRFPEALRQFLNILHTIPLIVVDSRREVDEVKELVEIVREYVLGLRMELRRRELADDVNRQQELAAYFTNCKLQRVHMRLVLGSAMSLCYKQKNFATAEHFARMLLENNPNEKQASMARKVQQQCSGKKDSHELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >LPERR03G14590.1 pep chromosome:Lperr_V1.4:3:10958363:10960533:1 gene:LPERR03G14590 transcript:LPERR03G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKVGPFGGQAAGRVMDISPNQPPAQLKNIEIWHNETAGIITAIRFTYSNDQDDTFTVPNATNVWGDQRSGSPQTIIIDIDGGEYVTKMEGAHNGSHVSSLRITTNMKTSRWFGNQSKGNHPFSVPLNKGGILAFFVRASNRINAIGVYVGSVE >LPERR03G14600.1 pep chromosome:Lperr_V1.4:3:10964527:10966175:-1 gene:LPERR03G14600 transcript:LPERR03G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIQQYGHVSGHDRPAKLLAAALLSPLAIKLSLAKYISLASIGLSGFVSQLQLCLREAKKLREQAAARQMMSSRERKKAAALQEKLQILRSITHSHALSNTSIILDASKYIKELKQKAVRLNQEIACAQDTLRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAIGGENLVEKVDENVVKQAVLRAIRSCSGSGGGGDHGDDDEEE >LPERR03G14600.2 pep chromosome:Lperr_V1.4:3:10964527:10966175:-1 gene:LPERR03G14600 transcript:LPERR03G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIQQYGHVSGHDRPGFVSQLQLCLREAKKLREQAAARQMMSSRERKKAAALQEKLQILRSITHSHAVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAIGGENLVEKVDENVVKQAVLRAIRSCSGSGGGGDHGDDDEEE >LPERR03G14600.3 pep chromosome:Lperr_V1.4:3:10964527:10966175:-1 gene:LPERR03G14600 transcript:LPERR03G14600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIQQYGHVSGHDRPAKLLAAALLSPLAIKLSLAKYISLASIGLSGFVSQLQLCLREAKKLREQAAARQMMSSRERKKAAALQEKLQILRSITHSHAVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAIGGENLVEKVDENVVKQAVLRAIRSCSGSGGGGDHGDDDEEE >LPERR03G14610.1 pep chromosome:Lperr_V1.4:3:10998226:11001355:1 gene:LPERR03G14610 transcript:LPERR03G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGAMIARRGLSRLAAAVETAAVPRIPSFDHVPLPYDGPSAAEIARKRAQFLSPSLFHFYSNPLNIVEGKMQYLYDERGRRYLDAFAGIATVCCGHCHPEVVDAITSQASRLQHSTVLYLNHAITDFAEALASKMPGDLKASKHPRPRARSAFAGFGVHHAMNPDPYRGAFGSDAEKYARDVQEIIDFGTTGQVAGFISEAIQGVGGIVEMSPGYLPLAYDKVRKAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQENAHAVGSYLKDRLRSLQDKHEIIGDVRGTGLMLGVELVTDRQLKTPAKDEICHAMEQMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFIGVMDTALSKL >LPERR03G14620.1 pep chromosome:Lperr_V1.4:3:11003971:11006783:1 gene:LPERR03G14620 transcript:LPERR03G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAPVQTTAAPKKAKK >LPERR03G14630.1 pep chromosome:Lperr_V1.4:3:11008134:11015316:1 gene:LPERR03G14630 transcript:LPERR03G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLTTTSNTAPPPTAAGAAADDAAAPAPDSRRDLQGLGIKVAIAGNVVVALLFFAVIIWRLFFFGSTRDRGQGDADGARHHGGGESSSGGSSPCASPRAGGGLREEDLMALPVYVHGVVSVAAAAAAECAVCIGELRDGDTGRLLPRCGHRFHAECVDRWFRSHTTCPLCRAAVAVDDGDSGEADPKAALAQDLARSQMDSPSISLGGELKFRAYAALAAVGVVSVLAVCFHRLYKLTVSARPQDMLPIVVASGGAGTGKAALGKEDISALPVFVHVAAGEAAAVECAVCLGEVRDGERGRLLPRCGHRFHVECIDRWFQANSTCPLCRAAVDVAVGEPSAAAGDHKAGDTVVGVPDVVVVQVEG >LPERR03G14640.1 pep chromosome:Lperr_V1.4:3:11021610:11027307:-1 gene:LPERR03G14640 transcript:LPERR03G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQGSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGHKLLTWINYVRYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTACNQRLERISGTQYTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGIPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEEPKRLTSLHGSLENLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVLEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFEQCKQDPNHWVEVSNRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELAKTVPLAVDEAH >LPERR03G14650.1 pep chromosome:Lperr_V1.4:3:11029758:11031738:1 gene:LPERR03G14650 transcript:LPERR03G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRGQANAPLRSAAPQTSSKPANPNPSTNGSTFSMGGMASTLPKTTGASMASGGGFGVGGRPMKSAGMASTAAAQPMGQKKDPFGSIDPFAAKPGSMNAAKKADSVKPDQGFGAFQGVNSGGNAGFSAFQSSDSGFGSFQSSGTTKPTSFTPPPAPAPALAPAAATVNSGVDHLDSLFASTTAAPTAAGNGGGGGDMFGEMDGWVDVEADFGTGDSGGTTTELEGLPPPPSGLTASAAKAKGMDNYKGGQYADAIKWLSWAVVLIEKTGKDTDIVEVLSSRASSYKEVGEYKKAIADCSKVLDKDKENVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >LPERR03G14660.1 pep chromosome:Lperr_V1.4:3:11035112:11046368:1 gene:LPERR03G14660 transcript:LPERR03G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSRAVSGSSVECVSSCGAASWKGGGGGGGGRPYECSVLSCAWNAPRALTGAFASTAQCSSCSHAAEAGAGWRRRGRSRRSNNSDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHYAIAMETLQVFAPLAKLLGMYRIKKRVEDLYKAHEQELEELRIIIKPKSYNGVGPLCTAQQIRTEDMDLIAERGIAAHYSGRGVVSGPIKNLPKGATVIDYAYLIHTEIGNKMVAAKRLMKRKAML >LPERR03G14670.1 pep chromosome:Lperr_V1.4:3:11051419:11052746:1 gene:LPERR03G14670 transcript:LPERR03G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIPLSSGHAGGGGKRSLSATEDLWPQNPASDEDFTTPVVLPEQGADEEEEQQPAARRQRRGERRTLYRGIRRRPWGKWAAEIRDPGKGARVWLGTFATAEAAARAYDRAARRIRGTKAKVNFPNEDYNAYAYAYAYAPPPYHLASSSSSSSPTSYLYPAIQPPQQQLVTMVDYGGDAVVDVGYFQPPTYEYCPGVGGGVVVPVSAAAAAAPVMTYGHGQSQEVVAPPLMWNFDDITAMPM >LPERR03G14690.1 pep chromosome:Lperr_V1.4:3:11055821:11057972:-1 gene:LPERR03G14690 transcript:LPERR03G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSPFNAVILKRLFMSKTNRPPLSLRRLVSFMAGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >LPERR03G14700.1 pep chromosome:Lperr_V1.4:3:11059212:11064758:-1 gene:LPERR03G14700 transcript:LPERR03G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAADSAPSSQHPPPPTAESVLRIASRDPSAAAPLLPALPPDGLNDLLSSLSPTSPPNHLALLPAALALSPYPTAVSAAFSALLSAPTWPSPTLLAVASLLRDLPGAHRHRVPAFIAKVVSLLPGADAQDLPALAYQLLLLASKPLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNEAAVSVLRDAVITSRRDYRISRRCKWLPDCLKEECARAATCVEKALLKAVGESISGREHVVPSIVQVGFLLLEASDSDKREEGGSPEGIMSTEEVGLNMLKSLFEIHGMVQTEIIEQCKFRILSAKPSQSVSVIRLLGGLIRTRPFQMLEYIAHLKELLDYFAFLNDKISTGLINCILPLTKFSRDLKDYIILVVRKAMFKREDAVRIAATNAIVQLIITDNKHRKNKANPFQDSSSQPSSSQQPETHLETGGGLFQELSGLLRRCFSQQARVKEVLYDGLIQIVTSDPVIAEDVLDFLWPHFLNYYTENVPLKLIHVLKLRPPKYALWNQWTAYCHVSHVFFKFSKIVNVNDHEMHTGNASGLLLRRIMRLSSSDLFVKALSNTQKYLRKFLTEDQRGQTQETCSLSSHLDNAHCHNLAMLGIIDVFVDFAASKLEQVGDEQKEMLEKEILDLIDTHSSFERKSSKNKEKNAQRAGNSNDSTAKHTNGPKEYYSAMSQKLNERRGKFMDSNLYELVRMCVQQCNADNHEKCSQRPSQSNLNQCSSLLSFVLKACLGMFKSLAAMGSGATSSTVNARTVLYEDVKKLVGPIMQLIWWLMLDSKQEIGGTKRNLTQGKKYMDNKKDQLYLALTCLKELSELSVPEDRPGDIINVLISSAPPNFEDMVDSSQLLDRNDTDPNTRNAHVFLNILKMLYVRVLSQSLLRESEVVTELIYGISRKLHHEQSHLVGHWAEGLCRRTTVQNPSIGQEMVKLSVHLMTAPDDLVLVHNMTAELKLVASNDEDLRDSSEVFPVINCKTKNSLAAVFLQMVESSLTELDWVIGKLKGMLALAYDSANIDEDQPADERTQRLYLEEALYLRSTSVVHILSSFTHMSLKDSQAEQFLKLTAKFYKLLARMAKSQIAPKGYKQAIPDIKFQKLAEITCRMLTAPLYNFVATVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFQIKPKDQEEMDSTPNRVASSENRPEEDAEDPDVPLETNADEDLQATAHSDNTVEDSESDEEEERVLARRKRAKTNNIVQDSDEEAEE >LPERR03G14710.1 pep chromosome:Lperr_V1.4:3:11066097:11069223:1 gene:LPERR03G14710 transcript:LPERR03G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPYSWSLCVCKDHSQTPASVAGAPNSCYIVIVVVLSRILLSISSWHLICCSSVQEAQMADPSFFIGIVGNIISILVFASPIATFRRIVKSKSTEDFRWLPYVTTLLSTSLWCFYGLLKPGGLLIVTVNGAGAALEAVYVVLYLAYAPKETKARAKMLKVVLAVNVASLAAVVVVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDFFIGIPNAIGFALGTAQLALYMAYRRKKPAAARKGEDDDDNEDDEEAQEVARLMGQVEMMGSQQRRAAHHQLHKGTSLPKPTAPRHGGLGHIMKSFSTTPVELHSIMHQHHQHHRFDSVADDEEETATGRDGGGGGYGSSYPSKR >LPERR03G14720.1 pep chromosome:Lperr_V1.4:3:11078109:11079011:-1 gene:LPERR03G14720 transcript:LPERR03G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTRSLLLAVLLVATVIAPHGAEAGASSTVMGLVTGVVPCSAGKSINAAVMGGATADSTGAFTINMGALNATTLMPLLNNKCSVVVTTPLAACDASLAGVAGTLTAPLQLLGDSSGGLGGLGGLIGGITGLVGQILGGLLGSIVGIIPSAFSLV >LPERR03G14730.1 pep chromosome:Lperr_V1.4:3:11083828:11084693:-1 gene:LPERR03G14730 transcript:LPERR03G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKTVLLFVLVATAIGVAPHGADAAAARAVTGLVTGLVPCSTGSSINAASVPPFPNAGVQMVCGGRAVGSATADGTGAFTINMGPLNATMLMAMVGNQCKVVVTTPLAACDASLAGVAGTLMAPVQLLGGTSGTGGLGGLGSIINLVVQILSGLLGNILNIVPLPFSLV >LPERR03G14740.1 pep chromosome:Lperr_V1.4:3:11089596:11090629:-1 gene:LPERR03G14740 transcript:LPERR03G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGHTFSRKIHFKHITNGFHGFPSWACRGRRRCGGAPIRSTAGAGNLRSEEMADTAAALRRDFWLYASAASTLSAASSASAPSRFKGTGGAIQHTYVFE >LPERR03G14750.1 pep chromosome:Lperr_V1.4:3:11090909:11091430:-1 gene:LPERR03G14750 transcript:LPERR03G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAAVVLAAITIATAACAVASAQGRLVVTGVVPCSTGALIDAATSPAFPGTYSNLLHSTHTDMSLKLYPAVRRRRGGGRDDEPERVVHDGGGRGVGGGGGAGGRLRAGGGHAAGQVRREAAGGGEAGVLRAAAGPARQADRRRTPPRARRLLLSHGRLTDRSIDRAGCVA >LPERR03G14760.1 pep chromosome:Lperr_V1.4:3:11093002:11094984:-1 gene:LPERR03G14760 transcript:LPERR03G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLSRLRKHIARPAAVKINDPAGDATMVVVPPTPPAGADEGTPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGAGGRFRRKSSSDAYERATAGTTSHPPPFEV >LPERR03G14770.1 pep chromosome:Lperr_V1.4:3:11097511:11102513:1 gene:LPERR03G14770 transcript:LPERR03G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRDSTPQNPLRAAPAAAAAVLLHRSTARGRERETESAAHRSAVIAMAGTKPRGKPKSKALAAGAGPGRKKKGFTGGAGKRGERRHGPRLPTALRRQLDALGPDPSRGSDDDEEAGSDEGADDVYEYEEAVPEEEAGKNGRYDAVEKYEYEFDSDGSNADENVPSDEGEDMEEDDANEDEDEEKQIRILQETTGMPREAFDGGKKKKQPLELPLQPGVGDATITIHDLLIQGKPGYSKIRKRLQQQDKKATAVQAPLPKVERDKIERSVVYQQSKKEVTKWETLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKKMAEIIHSTEMMEAHKNDGAKILELNKIGVEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKMKAASADFEEDPEVAKELAKKQEFKRAEARMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQHALLTRKMNSTNDDSSSSEESSDNEEDDSESEANILSKGKEKILKILGDENEIPTSGVFSLPFMERAMKKHEEATYEEARLALEEYDESLRKLEDGNTEDNGDSVKVNGKRSFGPVKNINKETNKKPKIDYADKNSDSEYESDSAQHLDDNEVNKADDVQIGTALLDDEPQDDLFISFNDSMKNPGPKTTVEVGMLADNSWKKFKSSKGNDGSNISGDMGKSTVKVPYMTDQKPKQLDHNSDSDSEEEMVEGLLTISDAKENYKIPSQAELIRQAFAGDDVEAEFEKDKVEVLNEENPEPEKPALVPGWGQWTDIQQKKGIPSWMVKEHESAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLARNLPFPYTSKDVYEQSIRMPIGPDFNPATSVSALNRPAIVKKPGVVIKPIQYEDVDPHEKPDEPIRVIQRAAPNPKAKRTSANKAKAIASNKRK >LPERR03G14780.1 pep chromosome:Lperr_V1.4:3:11111007:11111755:1 gene:LPERR03G14780 transcript:LPERR03G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQANFPQTPQYNIFVDQYSASQTSYRAELLIQGRNENEGDITVYGDGWSAISALDEAAYLAMGYLRHTLPELAEHFLHYPSHGQNGGAATFPGLVMPPDRYIRALYAELERARQRIATLDSAVEPHANFGFYTGEIVYGPNVTLAPGDYLPHPAGYYDRVVRRHRRMSMGFRRNYREVPDPILYNPTAAFGPESCVFHDGRAYRLVMTAPSSAPPTAGPSIPSSSTGNV >LPERR03G14790.1 pep chromosome:Lperr_V1.4:3:11113763:11117414:1 gene:LPERR03G14790 transcript:LPERR03G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVKIFPPPSPLPIPTNPPLSLSLSSSPIKSHHSPPTNRHLISPPPTMAASSDSDAAAAAAHRHDDPNLPPFASFSLTLSLRTPSPAALASVPSSIHLPTQISTLAVSLNPSSSPSPFRRHGRLNAAASSLLSPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRGLRARGGDLVVRVGRPEVVIPELARAAGAEAVFAHGEVSRDECRAEERVTKAVEKEGVEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGDIPTLAELGLTAPPAMAQDSKPAVGSTLIGGEAEALERLKKFAAECCMQPNKSDKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSRPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPAFA >LPERR03G14800.1 pep chromosome:Lperr_V1.4:3:11117924:11119096:-1 gene:LPERR03G14800 transcript:LPERR03G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAGAKGGAKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKERNVYELRYFNIAENEGEEED >LPERR03G14810.1 pep chromosome:Lperr_V1.4:3:11121047:11123989:1 gene:LPERR03G14810 transcript:LPERR03G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRNKNGRRGGGGGVGKGGKWKMPASVARKQQAAMANVDQVTGDKIPKSFVFSRGKMPPMLRHLQQDLRKLMLPFTALNLKEKKRNNLKDFVNVSGPLGVTHFMILTNPKSSPHLRMAKTPQGPTYTFQIKEYSLASDIANSQKRPRCPPEIFKNSPLIVLNGFAGLGQPFKSLVTFFQNMTPAIDPATVKLSSCQRILLIHYDREKEIITFRHYSIKLQPVGVTRKIRKLMQNNKVPDLRDLNDVSDYVTKAGYGSESEVDDETATVSLASDVDKLNRASKKSAIRLQEIGPRMKLHLVKVESGLCSGEVLYPKPEPNEGVVGKGKEVEEEIEGQEDEDLMESDDDPEDESGEE >LPERR03G14820.1 pep chromosome:Lperr_V1.4:3:11127981:11128379:1 gene:LPERR03G14820 transcript:LPERR03G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAEEHQYGGHGGGPRGAPHGLLLAVVLGLVVAGPLFLGDGGEAVTEAIAELLSPVGLLLLPVGLLLLIRLLSSDRGAAALADVFAFGGSPDAVHRVGGSPIGVALMLLLILALLYYRTALFGGDGGDDE >LPERR03G14830.1 pep chromosome:Lperr_V1.4:3:11130994:11131368:1 gene:LPERR03G14830 transcript:LPERR03G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATAVAASLSVAGGLGRPLVRVGAAPSLRTASVSPRRAAVVVRASAAEPVREKAAAAAAVGLAAVAAVAAALTVPEVAEAAPAFSPSLKNFLLSIASGGVVLFGIVGAVVAVSNFDPVKRT >LPERR03G14840.1 pep chromosome:Lperr_V1.4:3:11132440:11138760:1 gene:LPERR03G14840 transcript:LPERR03G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDLNRSRSRRGLRSPRARVVINPHLLSPPCSLRLFPSLRATPPKPPNPSSLYDSDSTAARPGCGGGRRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGHRLRVEPAHGGRGNGSSYDRPSNLGGGGRRGVSRHSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSKSRSLSIPIPISIKVRFFPFTFSIKGAFSVKTRLSLHFIWDCAAIWGLHIRILRMGSGCMRSPARSKSPNASPANGEASSPKKRSPSRSPSRSRSPDAKSE >LPERR03G14840.2 pep chromosome:Lperr_V1.4:3:11132440:11138424:1 gene:LPERR03G14840 transcript:LPERR03G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDLNRSRSRRGLRSPRARVVINPHLLSPPCSLRLFPSLRATPPKPPNPSSLYDSDSTAARPGCGGGRRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGHRLRVEPAHGGRGNGSSYDRPSNLGGGGRRGVSRHSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSKSRSLSIPIPISIKVRFFPFTFSIKGAFSVKECAWCLRNEFSPSLGHASCGCCGLHSLFAFNTCSGLNCMLLFAMSIFAFIWLAQCRTKRQGFWNKLSPARSKSPNASPANGEASSPKKRSPSRSPSRSRSPDDSFGT >LPERR03G14840.3 pep chromosome:Lperr_V1.4:3:11132440:11138424:1 gene:LPERR03G14840 transcript:LPERR03G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDLNRSRSRRGLRSPRARVVINPHLLSPPCSLRLFPSLRATPPKPPNPSSLYDSDSTAARPGCGGGRRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGHRLRVEPAHGGRGNGSSYDRPSNLGGGGRRGVSRHSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSKSRSLSIPIPISIKVRFFPFTFSIKGAFSVKTRLSLHFIWDCAAIWGLHIRILRMGSGCMRSPARSKSPNASPANGEASSPKKRSPSRSPSRSRSPDDSFGT >LPERR03G14840.4 pep chromosome:Lperr_V1.4:3:11132440:11138760:1 gene:LPERR03G14840 transcript:LPERR03G14840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDLNRSRSRRGLRSPRARVVINPHLLSPPCSLRLFPSLRATPPKPPNPSSLYDSDSTAARPGCGGGRRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGHRLRVEPAHGGRGNGSSYDRPSNLGGGGRRGVSRHSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSKSRSLSIPIPISIKVRFFPFTFSIKGAFSVKITSKIQISKCFSCKW >LPERR03G14850.1 pep chromosome:Lperr_V1.4:3:11140085:11141147:-1 gene:LPERR03G14850 transcript:LPERR03G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTTADTTTTTTVLVGVDYSDHSFHALEEAARFVAARFPPGAADLVAVHARRHLTPAFVAIDAVAPVLSVEAAEQRGMEKLIREKARQLSAKYGVGIKLEVKDGEAKRVVCDAVGEHGAGVLVVGSRGHGPVLRALLGGSVSDYCCRHASCPVMVVKMPRPQP >LPERR03G14860.1 pep chromosome:Lperr_V1.4:3:11142553:11146295:-1 gene:LPERR03G14860 transcript:LPERR03G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIASSFLHRRKRPRDDPHFHGPQRPYRRRVLGLGLCPATFRPPSLAPLRIPFDMGNLSSMFRGKPRDHEAQGLQGYKELVRSSQDLTVATSNDDAGLGRPVVVTRRVGDPRKAALEGAAAAQLPREKRKPHYKVALEGARSHDKRLAELEFQLRLEEEKLAELRKAAEAPKEDLSELFIPLTDEEENEVDKCLYGRGSSTEVLALHEPSNIEVSREKFRCLRPCGWLNDEVINLYLELLKERETREPERFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTRRRLGYELIECDKIFVPIHKDVHWCLGIINMKERTFQYLDSLGGVDHHVSGVLARYIAEEVKDKSNKEIDISSWQEELLDDNYIPLQQNGWDCGMFMLKYIDFHSRGLNLSFSQENMEYFRKRTVKEILRLRAD >LPERR03G14870.1 pep chromosome:Lperr_V1.4:3:11154877:11155557:1 gene:LPERR03G14870 transcript:LPERR03G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRLPSVKLWRAMVRVHSSCSSSPAAAPEEEAGGGAARRQWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYASRRAADVCLMDLAGNLVLRLLRKSTPLLHLVGIETWHGYRSGSWFTVVSSNAWWWRGGGGGPCCEFRSGDGDGRVVRYRMEGAARATRVVVDGETGAAVAEVKRKRTAEGVALGDDVLTLRVEPNVDRSLVLALLLVHHGLITPS >LPERR03G14880.1 pep chromosome:Lperr_V1.4:3:11156876:11168292:-1 gene:LPERR03G14880 transcript:LPERR03G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAEDAESSPATAASASASAAAAAARVRAEGEAAATPAVASPSGRRPAKRGKAVAAEVDSGKGEASAEAEATAAADATTPTVGRGAGRSLDTAGLRALTGAVDRLEAMLGPGEAVSNSAGHKQTLQARIKKMKDITDGMAKKQQPAAANKRQEPWCRLISQHAKNPSIPINSSAFTVGYGAHHNLRLEGPSTNSLICRLKHAKRGALLEIYDSKIVRVNGKAIDKTAKVTLCGGDELVFNLPIFEQLPEDKSSTSAFSSTWCSQQGHHPIIKDFKDIFSSKEPKVASVYFGRGRPPLMPVGSSADPDLVSSLCKTMEEQFNSEENAPFAWCQLLKEDLKNATIDPSEISETFDGCPYYLSEDTKCALQSSAYVNLHCKDYIKFTKNISSLSQRVILSGPTGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKAAKESESYKKGDRVRYIGSLQSTGVILDGVSPPDFGSQGEICLPFEDNKSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSICLDAPGWEIRSKHPFDVITEFISEEIEHGPLILFLKDTERICGNNYSYRALKSKLQYFPAGAFIIGSHVQPDDRKEKGNASSLLLSKFPYSQAILDFAFQDLDRGTDKNKEASKAMKHLTKLFPNKVTIQPPKDEVERSKWNHMLDRDIEVLKGNANISKIRSFLLKMGLEGTDLETVRIKDRILTNECIDKIVGYALSHQLKDCTIPDPSSGVKLALSSESLKHGIDMLESMESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDELGVTFEDIGALENIKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLDAVARLTEGYSGSDLKNLCTTAAHRPIKDILEKEKKERALAEAENRPLPQSFSNNDVRALRLSDFKHAHEQVCASVSSDSTNMNELVQWNDLYGEGGSRKKTTLSYFM >LPERR03G14890.1 pep chromosome:Lperr_V1.4:3:11172697:11176546:-1 gene:LPERR03G14890 transcript:LPERR03G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSLRLPTPSPSPAAASSSPFLPLIRPSRSGSALVARAAGPGAPPNPLFNPRGDPFLSTLAAASPEELQAAASGGERRSEDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKALIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLSRHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEDWDKVAGVRLLSSWALKAAVIQQQCPYVVHRG >LPERR03G14900.1 pep chromosome:Lperr_V1.4:3:11177289:11177687:-1 gene:LPERR03G14900 transcript:LPERR03G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALPFVVVLVVAVAAAATTPELVVHDRWPMREGGDPCLHGTVRECLADEYGGGGGGMRRRRLFQLMEEDDYYGGGGDGTTPPAQYISYAALMRNSVPCSLPGASYYNCRPGADANPYTRGCSAITQCRD >LPERR03G14910.1 pep chromosome:Lperr_V1.4:3:11178814:11182471:-1 gene:LPERR03G14910 transcript:LPERR03G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFTRCATCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLAREFFDDFRLSAPAAAASAPSSPPLQCSVLLKSVLSVLRTPTAALDRIAASLPHPDAPKLQLTLHCLNGVKKTYWISCSAEAEVQTLSLDRGSFSSHLTIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEVEGKAVELRSYIDPTKDDCDTRLHTQLWIDPIEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSNVAQQPANSAQHAEPRVSATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKHTQKGRNANANALNDTSMLHSVNAPFKTPVADNANDPYPKSLQLNIANLSPDVVSDNPRSQHHPSNWVGADEEDDDEEEDEELFVQTTPHYMD >LPERR03G14920.1 pep chromosome:Lperr_V1.4:3:11182644:11185567:1 gene:LPERR03G14920 transcript:LPERR03G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >LPERR03G14920.2 pep chromosome:Lperr_V1.4:3:11183574:11185567:1 gene:LPERR03G14920 transcript:LPERR03G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >LPERR03G14930.1 pep chromosome:Lperr_V1.4:3:11184940:11186166:-1 gene:LPERR03G14930 transcript:LPERR03G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGICSPILLLLLLVWSCNAARPSVPDSACPAAGWSAVAAGYHDGDPGGAQCKPPPPHTPVAVFPHDVDPLRFAMNLEFAEAEFFLYAAFGKGLDHFAPNLTMGGPPPVGARKANLDELTWRVAAEFGYQEIGHLRAIKGTVGGIPRPPIDLSPHNFARVMDEAVGYHLNPPFDPYASSLNFLLATYIIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESAQDAVVRGLLFERRHEAVPPYRGLTVAELTDRVSALRNKLGYCGIKDEGLIVPEWLGAEGRICTNIISADRDSLSYSRTPAELLRILYLTGSEHVPGGFYPKGANGRIARMFLGKSHGEDDEHGV >LPERR03G14940.1 pep chromosome:Lperr_V1.4:3:11207542:11208778:-1 gene:LPERR03G14940 transcript:LPERR03G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADATAPAAQQQQQQLQTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAIPWQHPHVAPAPEKAKSVEAVAAVVQPEAAAPASDSAAAAVEKKPEEEQAAKEADAKSESSEKKETEQVAAESEKKPEKEAEKKVEEEAKKTDAGESEAAAPAETKKGGDEPPKKATNDEDDGAKKEAEEKINKPPKDAAAETAPTTTSRSLHFTPAPHKNYPYEEQHYPYPYYGAPAPTPTPQPVMSYHMAQPATSVAYYAPRPEPASYSSMQNHPPPPAAYSSVPSHPPASPPRTQPMHSNQQQWSPSYLYMPYPHSSPDSYYRDYYSPPGMPAPPPPLQDEYRMFDDENPNACSVM >LPERR03G14950.1 pep chromosome:Lperr_V1.4:3:11227431:11227751:-1 gene:LPERR03G14950 transcript:LPERR03G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVWVFRNGVMQLEEERAAARRRLVYVPANETMASLQALERRLAGLGWERYYEDRATVQLHRRDGGADLISLPRDFAWFRSTHMYDVVLKNRDHFKVVDRLPNPN >LPERR03G14960.1 pep chromosome:Lperr_V1.4:3:11242355:11242762:1 gene:LPERR03G14960 transcript:LPERR03G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSACILELEAASLRQHRKEAEEEYGSEQPQSRQHFRSSPQGKVAPTQTPELKCSGRWPRRRTGRIGSLSAPGEQGRTSRKPCRGPRRGGVVDTGHGNDALRVSELMMKECERSLRDEDEIDEGIRRRRQQEVE >LPERR03G14970.1 pep chromosome:Lperr_V1.4:3:11248835:11256394:1 gene:LPERR03G14970 transcript:LPERR03G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRASLRRSSSAAAANANARRLGGGDDAGPSPVQMAVARLLYGRVAAGEPVYVAEPAPAPPRRRPPPVYGLPPPLGNAAGARTAAELVGRGSAGLVACSSTAATATAATTTCHQCRMEANTIWCASCDRRGYCRDCISRWYSDIPIDDVRKDLGQIFSGQRSILMSKCAGIVCCLFITRRFLLLSLACSDTSNYSFSDFCKVPVFDYHRHCPKCLYDLCLDCCRDIRRSRTNVARGEYAEGHVVDRSKDTVSKRARLEPSAESATDKSVLQRVDVKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLMLRRIFKINWISKLVKNSEEMVNGCKVHDLENGCSSFNDDRRLELTGHRNLGLSTCSNNGGGTDRSCVFSPVLEDLKFEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIVDEEVDEDVIVKAVDCSNQSEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPADTLQPEVGLKLLIAYGKRQEGGKADSVTNLMINMGDVVHMLMHTAEVHDLCPKRLQPERSERIANGMTVHVNAYAPVENLDVDMGEQLPDNISSKFDERAPASALRLQEKLHSGFEGTSSELSHSSHSKEPKANGSEKSQAGAVWDVFRRQDLSKLNEYLAANWEELTASSQAAFSVKNPIYEQTVYLNKYHKRILKDQFGIEPWTFQQHIGEAVFIPAGCPFQVKNLQSTVQLALDFLSPESLAESARMAQEIRCLPNNHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLIFKDQNLTQAVSENLARVTKQRNVPCS >LPERR03G14980.1 pep chromosome:Lperr_V1.4:3:11258067:11263924:1 gene:LPERR03G14980 transcript:LPERR03G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPEIIGNVLHAHHNHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALIGVGGSILGVSYLDPLAGLVVAGMILKAGVQTGYESILELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKTHNQVAEVFIHIDPSYSIESHMDQKGSWENIERRNSDAIPRQQTAEAIVSRIISSHFSKVQVSMSPEIMIRDAMGIAKQAEEEILKADSSISQVSVQLRLGEQIKQLKLPAGKNGANNQQA >LPERR03G14980.2 pep chromosome:Lperr_V1.4:3:11258067:11263924:1 gene:LPERR03G14980 transcript:LPERR03G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANAWHHRADAISSVVALIGVGGSILGVSYLDPLAGLVVAGMILKAGVQTGYESILELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKTHNQVAEVFIHIDPSYSIESHMDQKGSWENIERRNSDAIPRQQTAEAIVSRIISSHFSKVQVSMSPEIMIRDAMGIAKQAEEEILKADSSISQVSVQLRLGEQIKQLKLPAGKNGANNQQA >LPERR03G14990.1 pep chromosome:Lperr_V1.4:3:11266261:11271417:-1 gene:LPERR03G14990 transcript:LPERR03G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKMEPLEPEDADGHQSDATDEDLPPRICIGKAYQAEIPNLASEDERRQYMSNTSNSCMALGYDCPIPIVWVPPNEFNKKEEEIQMQHSSEIKATASSGEGVSQMTSVGPTSTNTSEHCSTYQDRHPELPVDLVVSNCHQEHDDQLASCSTGGGLDSDKAMTDQGETEEFIPVPNSSTSFWSEQETELFLLGLYIFGKNLHLLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSDCRKAKIRRCILGERIFIGWRRDQLVSRLKSKILQEAHALLDEMFKSFNDGQTSLEDFVFDLKSVVGTEAFVEAVAVGKGKDDLTGFVMDPSKPNQVLSVQPGMPAGKDCSSLSVEDIIKVLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSDLTKGTHYFDSVSDVLKKVVADPVLLELEVDEMGNSITAEKNGCVKGMKLSQDVPLDGYHEVPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLLYNMVSDSSSDEHDTDDRSSDCKEGSARVTAGENGTEVVSANNADNKNQVDSFRHIAATSSKFFPVNGHSSNGHGDSIGVTSFFAQKNKNEKRRYLSPVTKRRRLTSCSNDQASRRSISFSKSAGLEREKVKLPSASSKPTVIDADGGNFQSKSLARCSSKEKPFQQKMDASNSRGNDASNEKKDLVKPKEKPSGRKVDTLASVHSKIAAAGDTQPAKGAAQSNDLVSRAKPETQQDDKTITGAHIPSSDNHGSTIKSKEAPSGPSTETVRDSSEVTRGGPVDPQPPPDIQASSQAANPRRQGTRVRPPTAKALEAVAFGLIGSGGKRKAEPRSPAGTSRPRQRARKSTTKETASVSTSSDTEKSMDSGARR >LPERR03G15000.1 pep chromosome:Lperr_V1.4:3:11282348:11293815:1 gene:LPERR03G15000 transcript:LPERR03G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGSTDHKEHIETCYGVLCREYEHSKDAIFEIGLINLENEDFAKDIVDMTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTILQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDLLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPSPPFRPIYYTLVIIDLCKALPAAFPSVVIGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAAELEELLPPKAGPNFRYHTDEGKESPDGYRLSKELVGMVRGKKTVHDIISWIEEQIIPANGAKFALDVVSHTLLDIGSKSFTHLITVLERYYQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLISNLAIVKWVFSPASVDQFHVSDRPWEILRNAVSKTYNRICDLRKEIQTLRKGLPAAKEASEKASKELEEAKSIIEIVDGQSVPSENPGRLRRLQVRADKTKEEEVNIEESLEAKEALLARGLEESKELLRLLFKSFVDVLTERLPPVSADGEVPNLRAGDPNADSVTRDPEATTMEIDDENGADNDSHPNGQNKKAGHNVGELEQWCLCTLGYLKSFSRQYATEWARIAMA >LPERR03G15010.1 pep chromosome:Lperr_V1.4:3:11296859:11306740:-1 gene:LPERR03G15010 transcript:LPERR03G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDLELEEGETWPDDDGGGGVDPDVALSYIDEKIQHVLGHFQKDFEGGVSAENLGSKYGGYGSFLPTYQRSPPSMPQSRSPPKVSNIGTSRSPYQQSAESMGQYPSTLAVESISRNNGSTAPSSGDLCKKEICSSTNGEKDSVACSDSLDSSINGSDQKTLKVRIKVGSTNTLARKNAAIYSGLGLDISSSSSMEGSPDGQSPEFSNMPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVSKWETNLDLQNVPRTVDGHSELSLSSGHVKGHVAKKSKPDGKKKKSIDTRTRKDTNNTSAIMGKEANVEIPASQVIISDTHNIHCSSGVPTTELKGVSQFTEESARDARSKQQMGCNDLGTEKIEAIKTKVTKHTEENSSFDSSGNGCLVPRGNARLKASKVERALEDMNTSHKYSPYDKKERKVKPTTTFEPAMVDFEGNEDKKDWDAGPSDDRKIILGKETFSNDRTVEGNLRNEVKRMQKEHRANPPAPSNLLEDDNCTHSSVVVNDGKIDSHSKSNRFENNYKVKSHKDFSENLPKRYIENKEGGSLENISSQGVLRRKEKTMNNDNEKEFHISGPAKKEIPSIIKHGKFPASEEHKLHMQSNSGTMPANAASLPAPVVIQENWVSCDMCLKWRLLPYGTNTSMLPKKWKCSMLDWLPGMNRCDISEDETTNALNALYVAPIPASGVSSGGPHTAHAGAAASSTYNISGQLGQNKKRKTTLKDEQCLVESSYPTPASTTLTSNQVAPAKNNEFDSEHYPNNRDSVKTHDLGQVSKSVDLVAERHKSKHKSRSRHSDGDLMEKPKKHSKSKNRRGVDRDEHKTSKKTKKEDHHYFDRDWKNGYDLPGDEVPDKTKVLPVKEKTIKDSREPGEASLRKEKASRYDILEKPKSVNDEGIGFHVKDWEDSRLSSMDRTSNGAENEYLKERASKIMKSEARPGEVRDANALLSSAGGCQNSELIPENKFVTCKEGPSELWENRSPRQVLNLAEPARRDVACLQPCAVATSSSSKVSISRRNKSSQEAKGSPVESVSSSPLKNSNIEKISKASNTGKDGALNVDSSIIHTPVKYPGNEVGLLQNGQQARVSESEAAGEAVLHGSLQGCSDSNKKEAIQSTHAQVFVITHSEKALDNNRPKASGSKDLAANSSGAGRGDSHVYPGDKKILDMHGPTLQPDQQDPINPRVIVDSTGHRSKNSAPSRQGRNGSSNLISSDGNKQIEMSSRKEKSRSRTNNKDMQKPIVQDIHSHLKEGKSEAHTARLKSDASKNNTKLRPSVENGVQHGTMGHTISNPSDSASPIRRDGNMVAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLLETPNLDSTRSGDAAQSMKMYSETAKLCSFCAHSYERCKKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQLVVQTAPGESPSSSASDIDNLNSHGLSKALSTKDGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVSCAFDATRKSQIAIASAAGSQEREKGVDDGLASVRTVLDFNFNNVNELLRLVRLSMESINS >LPERR03G15020.1 pep chromosome:Lperr_V1.4:3:11308979:11311021:-1 gene:LPERR03G15020 transcript:LPERR03G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALIFAVGIVGNIFSFLVILAPVPTFYRVYKKKSTESFQSVPYAAALLSAMLWLYYALLTSDLLLLSINSIGCLVESLYLTIYLLYAPRNAMIFTVKLVCTMNLALFGTMVAVLQLCVKGERRVTLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVWFLYGLLMKDFFVATPNVLGLLFGVAQMVLYVVYKNPKKNAAVSEEVAAGEAQQVEVKDQQQQQQQLQMQLQSSPAVAPLDADADVEAAEPAAASEQPAADHSRSSVVVDIPPPPQPPPALPVVEVA >LPERR03G15030.1 pep chromosome:Lperr_V1.4:3:11324634:11327184:-1 gene:LPERR03G15030 transcript:LPERR03G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSISGKRAFRPSLTTRHANEWPPTDVSSDLTVEVGTSSFALHKLVAQFPLVSRSGKIRRAVAEAKDGGNSKLARLSLHGVPGGAAAFELAARFCYGVGVDVTVANVAVLRCAAHYLQMGEELCDKNLEARAEAFLRDAVLPSIAASVAVLRSCEPLLPAAEDVGLVPRLVSAIANNVCKEQLTNGISSKLELLKPSPLVELDSPSPAGGGGGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDATKCGGDTDAAAKKQRAVVEAIVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQTPGMSHHDAALFYGCAAAASASSAAAAASAVGHNNNGMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVSPANRILRSFARRLNAIFGRMRPGGGGVEPGLQQLGAKATADAKVLFQRRRRHSIS >LPERR03G15040.1 pep chromosome:Lperr_V1.4:3:11336208:11342300:1 gene:LPERR03G15040 transcript:LPERR03G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNLWSRFARFIPKHHISTSKSLLFSSSTSCNTPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLSRNHFKALIGEGIVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKSVITKGLTEAARLSNVDQKYKHLTSHDLQI >LPERR03G15040.2 pep chromosome:Lperr_V1.4:3:11337850:11342300:1 gene:LPERR03G15040 transcript:LPERR03G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNLWSRFARFIPKHHISTSKSLLFSSSTSCNTPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLSRNHFKALIGEGIVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKSVITKGLTEAARLSNVDQKYKHLTSHDLQI >LPERR03G15050.1 pep chromosome:Lperr_V1.4:3:11349103:11353615:-1 gene:LPERR03G15050 transcript:LPERR03G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHLELMKSSLKSPLVQQVSRALQIPLPRTLKRVVALSYILEYAHEQTYNPSILELAKLDFNLLQHIHQMELKVISQWWEDLSKYIGLDYIRDLIVECYFWSYCIYFEQEYARARMILAKFFMLTSLLDDTYDTHATFEESRMLNVAIQSWDERDVSVLPDYLKKFFIKVMSNFVEFENELEPHIKYRNAYNREGFQLLSGYYLQEAEWFHHNYTPSFKEQISVSTMSAGAQALGVCILVGMGDIVTKEALEWAMGNCDAVRAGGEIARFMDDMAAFKNGRNKLDVSSSVECYIKEYNVTSEVALAKISSLVEDAWKTINQAHINRLAPLPLVHRATNLSRSMALLFLDKREARTSRRQWRAILSNLFPFRYWMKQNKLL >LPERR03G15060.1 pep chromosome:Lperr_V1.4:3:11363343:11367750:-1 gene:LPERR03G15060 transcript:LPERR03G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPMWATAASLILVISVSAAAASRPVPHGIVSTEFNVQNSDQNFGFNLTLAKTIVEYASAVYMTDLTELYTWTCPKCNDLIQGFEMRCLIVDVQNCLQAFVGVDHDLNAIIVSIRGTQENSVQNWIKDMLWKQVDLNYPNMPNAKVLITDLLVHTGLYSSYNNTLLRPAIANAVRKARKLYGDFSIIVTGHSMGGAIASFCALDLAITHGGNNVYLMTFGQPRVGNAAFAAYFAKYVPNTVRVTHERDIVPHLPPYFFIFPDQTYHHFPREVWEHEVDGKTIYEVCDGSGEDPNCSRSVFVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAEALFLQEPGAVDLVVVADHGWCPG >LPERR03G15070.1 pep chromosome:Lperr_V1.4:3:11369867:11372189:-1 gene:LPERR03G15070 transcript:LPERR03G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDNDAARHGFGKMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPIGQVCYNCGVCMGEYFCRECKFLDDDIDREHFHCKDCGICRVGGKDNFFHCQKCGSCYSVSLRDKHYCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEAGMLHIDYMGKGWIVCNDCRDTTQVYSRVAGHKCCHCQSHNTCRVAAPVLPA >LPERR03G15070.2 pep chromosome:Lperr_V1.4:3:11369867:11372189:-1 gene:LPERR03G15070 transcript:LPERR03G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDNDAARHGFGKMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTVRAFVAFSSSLRKMATSSIATQSNRSSVSFVTPSSRCVTTAAFAWESTSAGSANSWTTILTGSISIAKIVASAGSCYSVSLRDKHYCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEAGMLHIDYMGKGWIVCNDCRDTTQVYSRVAGHKCCHCQSHNTCRVAAPVLPA >LPERR03G15080.1 pep chromosome:Lperr_V1.4:3:11374305:11382931:-1 gene:LPERR03G15080 transcript:LPERR03G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSGGGGDVEMGGWSELLHSSSKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQVHEMAILSSIQEAQKDNLSSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGLSRPALMPPSASSPQASSGLPSKEVMPIPNKTIIEKKSSVYAAVVRDLNDARGRSLPFGPATAFGAAYESLSVDAIGTNSVSMHKLWNLIQALVGEGSTHGNISRKMSLVIGARRHLEWGYKKFILETINSQPALANLGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFVPLLVEWITTNGAVSSEIAQTASEECDKMLRMGDRPGRPGYDRKKLLLYAMVCGCRRQIDRLHKDLPTLFNTIEDFLWFKLSALREYTSASSNVLNESLAPYTLEDLQKYLNQFEPSYYTKNGKDPLAYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDFALEYYAQAAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISQQQTVLRQLEAILHIYRLARSGQTVDALRETIKLPFLHLDPQAPNVMVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRTVKSKIANLVASNMSRNWPQDLYQKVAQCI >LPERR03G15090.1 pep chromosome:Lperr_V1.4:3:11384231:11388171:-1 gene:LPERR03G15090 transcript:LPERR03G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLYLVFEYMDHDLAGLAASPEITFTEPQVKCYMRQLLSGLEHCHDKGVLHRDIKGSNLLLDNNGMLKIADFGLASIFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIAETYKDFPQSALRLIETLLAIDPADRLTATSALKSDFFTTEPYACEPSSLPEYPPSKEMDAKRRDEEARRLRAAGGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDKRRLITQTNAKSKSEKFPPPHQDGAVPLDPSNHMDPLYEPQDPSSFSTVFIQEKSSVPTWSGPLVDLSAVGKQKWKHKFGRSSKQPATARAR >LPERR03G15100.1 pep chromosome:Lperr_V1.4:3:11395276:11404142:-1 gene:LPERR03G15100 transcript:LPERR03G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTSSDSARKVVELKAFNKFENTSDALAAATSIVDSRPNKGLRKFLKKHCEGETLAVADSKLGNAIKENLKIDCLHNSAVMELMRGLRNQLTELISGLGTQDLGPMSLGLSHSLSRFKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEAYEKDRKNGAGALITTAKTYNPAADLVLAQSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAEDVAMETEESEMLVLFETPVGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKNCEGETLAVADSKLGNAIKEKLKIDCVHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLFNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRIKLETRLRVLEGKELGKSAGSAKGKPKIEVYDKDRKKGAGALITPAKTYNPAADLVLGHSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAAMETEESGKKDKKKKKKKHSDE >LPERR03G15100.2 pep chromosome:Lperr_V1.4:3:11395276:11404142:-1 gene:LPERR03G15100 transcript:LPERR03G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTSSDSARKVVELKAFNKFENTSDALAAATSIVDSRPNKGLRKFLKKHCEGETLAVADSKLGNAIKENLKIDCLHNSAVMELMRGLRNQLTELISGLGTQDLGPMSLGLSHSLSRFKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEAYEKDRKNGAGALITTAKTYNPAADLVLAQSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAAMETEESGKKDKKKKKKKHSDE >LPERR03G15100.3 pep chromosome:Lperr_V1.4:3:11395276:11398637:-1 gene:LPERR03G15100 transcript:LPERR03G15100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPVGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKNCEGETLAVADSKLGNAIKEKLKIDCVHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLFNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRIKLETRLRVLEGKELGKSAGSAKGKPKIEVYDKDRKKGAGALITPAKTYNPAADLVLGHSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAAMETEESGKKDKKKKKKKHSDE >LPERR03G15100.4 pep chromosome:Lperr_V1.4:3:11395276:11404142:-1 gene:LPERR03G15100 transcript:LPERR03G15100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTSSDSARKVVELKAFNKFENTSDALAAATSIVDSRPNKGLRKFLKKHCEGETLAVADSKLGNAIKENLKIDCLHNSAVMELMRGLRNQLTELISGLGTQDLGPMSLGLSHSLSRFKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRVKLETRLRVLEGKELGKSAGSAKGKPKIEVYDKDRKKGAGALITPAKTYNPAADLVLGHSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAAMETEESGKKDKKKKKKKHSDE >LPERR03G15100.5 pep chromosome:Lperr_V1.4:3:11395276:11404142:-1 gene:LPERR03G15100 transcript:LPERR03G15100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTSSDSARKVVELKAFNKFENTSDALAAATSIVDSRPNKGLRKFLKKHCEGETLAVADSKLGNAIKENLKIDCVHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEILSDDEVEAQLKEAAVISMGTEVSDLDLFNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRIKLETRLRVLEGKELGKSAGSAKGKPKIEVYDKDRKKGAGALITPAKTYNPAADLVLGHSTEETPKKPEGASKKRKHHDAEVEETIQEDGDQEGQKKKKKKKSKESEESPVADADGGKKKKKKSKESEETPVATAEDVKKEKKKKKKSDSQDAAMETEESGKKDKKKKKKKHSDE >LPERR03G15110.1 pep chromosome:Lperr_V1.4:3:11406785:11407663:1 gene:LPERR03G15110 transcript:LPERR03G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPVSLSDDLVAEILLLLPTKSLARVAAVCKQWRRVAADPVFLPARARRAPPLQLLSVRYRRAPSRSGDADTVELSVLDVVVPAAGAETSTIHRRRLARCASASPVLARGKDHAEVQKAPHERENENKTAIIRSQNEDDDEEE >LPERR03G15120.1 pep chromosome:Lperr_V1.4:3:11407899:11409131:1 gene:LPERR03G15120 transcript:LPERR03G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVAPARRWAWLRGSKAVAKVARSPHGLTSAAARCLAFYGVDDDAMTRAVHIFACSGSSRYGSNEFLLAFKENTVAHAFLKTNQSPAVHTFSFL >LPERR03G15130.1 pep chromosome:Lperr_V1.4:3:11412441:11416384:1 gene:LPERR03G15130 transcript:LPERR03G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEYTAPLALGFYRHRPSGEYRVLSRGSGPRDPSYYVLSAGGGGGGDTKPARRLTCPPPPTRFVPGYRLPDMCRHVTVGEKLYWIDLRKLISGELLHKTAFDTVSEAFAPVASGDAAGRGTHAAEAGLHDADAQAVVYFLGEPIGVSVGGGSWPLTRCMQHVAAHGGMPPRLIIMLDKNLVDRYPRVSRGGADSVFLAACSPPPQLLRLHYVPVPFGRRHEAELRVVAATATRPDLVIARYTTSSLSFLASCGVDGLLLFHDRATGHNLVCNPTTRSWSLLPRLTPYPCHRATVLGFYHHRPSGEHRVLCKGYAAYPLPVGSSYYVLSAGGAEPGRRLAAPAANRFDPLDASHAVVVGEKLYWIDAVEADGRIFWGSIDSPRPRNIVAFDTVSETFRSVMAQPEPEPEPMNRNDDVLMFELDGALALLRLDKTSAPDQWTLKLWALVDGDGEQYWACWHVVHVAQPLDATLDRWFSVFRSAPPVGVAAWGDGGGGGGGESTAVDFVQRRITLYGVDDTAAARALHVFASFGGGCAYARRSARQHAFKENTVTHAFFKTHPSPGVHTFAFL >LPERR03G15140.1 pep chromosome:Lperr_V1.4:3:11419821:11425512:1 gene:LPERR03G15140 transcript:LPERR03G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVHREVVGSEKKDREPEVEEEGSKAAGEVDESAAAATCDYCDGAAAVVSSTAAAAAALPLHDRCTVQPYTSCPSALDLAALLGVACSDKPAAAGEDDGWWAMWDEPQVLSLEDLIVPTTSCHGFEPLLTPSSPKNQSSPDGKVNEEIIRQLAELANSDGGEQIGVHPEAVQAGDHQLPSWATLENMTGHGNFGTENSNNVATMPTPGYENGGWENKDYHAQNDSCKVEFTYEQAPVSSAEACLSSFVQMSELCPSMSNGSSMEDNHQTNPGIGTPMQILPKMPEIVPCPDRNSVISRYKEKRKTRRFDRQVRYESRKARADSRLRIKGRFAKANQI >LPERR03G15150.1 pep chromosome:Lperr_V1.4:3:11423176:11424390:-1 gene:LPERR03G15150 transcript:LPERR03G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSHLAAASSSSTTSASARPAPRFVSFRSAGAAKVGRRGGAVFASSAPPPPAPAVAQPFRSQSPSETTVLVTGATGYIGRFVVRELLRRGHPVVAVARSRSGVRGRNGPDEVVADLAPARVVFSDVTDADALLSDLSPHGPIHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFESDLAAEAARDASFTYSIVRPTAFFKSLGGQVDTVKNGNPYVMFGDGKLCACKPISEEDLAAFIADCIFDADKANKILPIGGPGKALTPLEQGEMLFRILGREPKFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVMDPETGEYSDELTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >LPERR03G15160.1 pep chromosome:Lperr_V1.4:3:11426944:11428828:1 gene:LPERR03G15160 transcript:LPERR03G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQQAAVAAPAARRRCAAGEDAAVRGRGSPGLVRLGFLPRRRWCSGRAVRVHLAPARAHLAVDRSSEAAAAVEDFNDEAAVRLFVGLPTDVVCSDGVNRAKAVSAGLRALKLLGVDGVELLVSWAVAQPNSGDGFEWAGYLAVAGMVRDAGLCLRVSLDTHALPAWAAADPEILLADRSGNRRDGCLSFAVDELPVLAGKSPLQAYEAFYRGFADAFRDFFGSTITDVTVSLGPNGELRYPSYPPGSDGGDYGGAGEFQCYDKHMLARLKQHAAAAGQPMWGLSGPHDAPRYGESPETSAFFRSPGGSSETAYGRFFLSWYSGELLAHGDRVLAVASKVFDGMPVELSAKAPLMGRRSRAAEATAGLHGGYGPVAETFARHGCTRMGADFFSPDHWPLFVQMVRAMECPEEAHEDDLAPAGDGARLAVPRAGAAADATAKEAQTV >LPERR03G15170.1 pep chromosome:Lperr_V1.4:3:11430513:11433018:-1 gene:LPERR03G15170 transcript:LPERR03G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRAGGGHALRVAAVGPAEAAEQSCWAQLPPELLREVLVRIEESEGWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPREGTLKCFIRRNRTTQTYYLYLGLTEALVDDGKFLLAARKCRKPTCTDYLISLDMNDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKGRSARVIGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVEEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSNQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >LPERR03G15180.1 pep chromosome:Lperr_V1.4:3:11438829:11442212:1 gene:LPERR03G15180 transcript:LPERR03G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVLGSSDTVKGTIYFVQEGDGPTTVTGSVCGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANINVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >LPERR03G15190.1 pep chromosome:Lperr_V1.4:3:11447476:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEPIYAPSEALIRIVTDVVASFKLQRMISELNENKPKLEYDIFEEIGIGNSTQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYKFSSISPAPSTSSASGLSSSRWWLITSLILAGYG >LPERR03G15190.2 pep chromosome:Lperr_V1.4:3:11447476:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEPIYAPSEALIRIVTDVVASFKLQRMISELNENKPKLEYDIFEEIGIGNSTQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYNISLWPVVLPLVAHHITCIPVHVTTVEMFLPDGEVPEKLGSPLCKQTQLSNTLDGFFGDPSCKYMYNAAEGDVVVVKAVMMAGSNLLTFFVLLLFAQILAGYG >LPERR03G15190.3 pep chromosome:Lperr_V1.4:3:11447803:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEPIYAPSEALIRIVTDVVASFKLQRMISELNENKPKLEYDIFEEIGIGNSTQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYNISLWPVVLPLVAHHITCIPVHVTTVEMFLPDGEVPEKLGSPLCKQTQLSNTLDGFFGDPSCKYMYNAAEGDVVVVKAATDIRQVQ >LPERR03G15190.4 pep chromosome:Lperr_V1.4:3:11447803:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEPIYAPSEALIRIVTDVVASFKLQRMISELNENKPKLEYDIFEEIGIGNSTQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYKFSSISPAPSTSSASGLSSSRWWLITSLATDIRQVQ >LPERR03G15190.5 pep chromosome:Lperr_V1.4:3:11447476:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYKFSSISPAPSTSSASGLSSSRWWLITSLILAGYG >LPERR03G15190.6 pep chromosome:Lperr_V1.4:3:11447476:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRGRTRGVEQSTLQLTPSLFGSSSTFEILRFPGAITIIPPQNAFVAQKPDAMFNFSLNFPIDVVQNKLSELKAQMTSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYNISLWPVVLPLVAHHITCIPVHVTTVEMFLPDGEVPEKLGSPLCKQTQLSNTLDGFFGDPSCKYMYNAAEGDVVVVKAVMMAGSNLLTFFVLLLFAQILAGYG >LPERR03G15190.7 pep chromosome:Lperr_V1.4:3:11447476:11455099:-1 gene:LPERR03G15190 transcript:LPERR03G15190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGRGWWGEGEERGRRRWRWCSAQPWCFRRCSGCPRSRGGAGWRDGSRVAPPTIVQTSVLLAVGTDRKPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVAHIHQVQLLNLIISPHTTHQDNNHDHYSHHHHHHHSHHHHHRHHDLNHQGLQHFPPAPAPLHNIPTFLSFDSSCMLKKLHTDAKRHSVPHMDPSFRHMTPVASPNSYEASGPYADPPSLHPRIPLSPLPAVEFHAMPPSESVETLKHHYNISLWPVVLPLVAHHITCIPVHVTTVEMFLPDGEVPEKLGSPLCKQTQLSNTLDGFFGDPSCKYMYNAAEGDVVVVKAVMMAGSNLLTFFVLLLFAQILAGYG >LPERR03G15200.1 pep chromosome:Lperr_V1.4:3:11458682:11459464:-1 gene:LPERR03G15200 transcript:LPERR03G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPYCDSGFVSEMDDVDALMSHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLELEFGAGPWLLFRGQLPGHLPEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPVVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSHTRSTNQSQSSSNNGRTNGRQRRRNPFSFLWPFRSSSSSSR >LPERR03G15210.1 pep chromosome:Lperr_V1.4:3:11459482:11460633:-1 gene:LPERR03G15210 transcript:LPERR03G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARADSVGAHRCTEEAGGSRDDRLGIKSGLWIHLLFFHYSLLFVLVFVVVEFFIASLAPRHHRPPPLPSGMCGGGLPHLWILGKQYVDRKKCQVVGNHTGATNVDNG >LPERR03G15220.1 pep chromosome:Lperr_V1.4:3:11465643:11470112:1 gene:LPERR03G15220 transcript:LPERR03G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDTASHCGRSSASARGQGRGRGWRGREEGRGRGRGAAPSPPPTSYSTSSFPAAGSATAADTTGGDAPPIVGSCPDMCPARERAQRERLRDLALFERVGGDPARTSTSLAVKKNYLFHECTSIRYTTRSVRQDLSMQNIVNEQAIHIYEDVRLASSYQDSDASSMCYLNMEQLMKCLLSLFDMYDAIHKNNSQSSKEAEYYSFYVLLHLGCKIPKMVDSLSLWYGHLPASIVRSKEMIFARSILRCYHIGNFKRFFCTIAAEATDLQLRLLEPFLNEARVRALMYFNHSGYKLQRHPLTHLSEILMIEELELEALCRLCGLEISENEDTKAFAPKQASFCIPGSIPQSNGIYISRESQR >LPERR03G15220.2 pep chromosome:Lperr_V1.4:3:11465643:11469994:1 gene:LPERR03G15220 transcript:LPERR03G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDTASHCGRSSASARGQGRGRGWRGREEGRGRGRGAAPSPPPTSYSTSSFPAAGSATAADTTGGDAPPIVGSCPDMCPARERAQRERLRDLALFERVGGDPARTSTSLAVKKVSHPFSPCARGGQTISSTSVQASDIRALPVLRETMDYLLHLLDSSEHKFEIVHDFIFDRTRSVRQDLSMQNIVNEQAIHIYEDVRLASSYQDSDASSMCYLNMEQLMKCLLSLFDMYDAIHKNNSQSSKEAEYYSFYVLLHLGCKIPKMVDSLSLWYGHLPASIVRSKEMIFARSILRCYHIGNFKRFFCTIAAEATDLQLRLLEPFLNEARVRALMYFNHSGYKLQRHPLTHLSEILMIEELELEALCRLCGLEISENEDTKAFAPKQASFCIPGSIPQSNGIYISRESQR >LPERR03G15220.3 pep chromosome:Lperr_V1.4:3:11465643:11469994:1 gene:LPERR03G15220 transcript:LPERR03G15220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDTASHCGRSSASARGQGRGRGWRGREEGRGRGRGAAPSPPPTSYSTSSFPAAGSATAADTTGGDAPPIVGSCPDMCPARERAQRERLRDLALFERVGGDPARTSTSLAVKKFEIVHDFIFDRTRSVRQDLSMQNIVNEQAIHIYEDVRLASSYQDSDASSMCYLNMEQLMKCLLSLFDMYDAIHKNNSQSSKEAEYYSFYVLLHLGCKIPKMVDSLSLWYGHLPASIVRSKEMIFARSILRCYHIGNFKRFFCTIAAEATDLQLRLLEPFLNEARVRALMYFNHSGYKLQRHPLTHLSEILMIEELELEALCRLCGLEISENEDTKAFAPKQASFCIPGSIPQSNGIYISRESQR >LPERR03G15220.4 pep chromosome:Lperr_V1.4:3:11465643:11470112:1 gene:LPERR03G15220 transcript:LPERR03G15220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDTASHCGRSSASARGQGRGRGWRGREEGRGRGRGAAPSPPPTSYSTSSFPAAGSATAADTTGGDAPPIVGSCPDMCPARERAQRERLRDLALFERVGGDPARTSTSLAVKKVSHPFSPCARGGQTISSTSVQASDIRALPVLRETMDYLLHLLDSSEHKFEIVHDFIFDRTRSVRQDLSMQNIVNEQAIHIYEDVRLASSYQDSDASSMCYLNMEQLMKCLLSLFDMYDAIHKNNSQSSKEAEYYSFYVLLHLGCKIPKMVDSLSLWYGHLPASIVRSKEMIFARSILRCYHIGNFKRFFCTIAAEATDLQLRLLEPFLNEARVRALMYFNHSGYKLQRHPLTHLSEILMIEELELEALCRLCGLEISENEDTKAFAPKQASFCIPGSIPQSNGIYISRESQR >LPERR03G15230.1 pep chromosome:Lperr_V1.4:3:11470723:11473361:-1 gene:LPERR03G15230 transcript:LPERR03G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASISDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYIYAKLADYIEDKSKLAETDIEKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLINVKQFAKRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATSVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNEDGEKVDASAKKSKKKKSKSEVDGDAMDLDKPSNVADEAEPGTEKKKKKKHKLEEPQEQNSAPHANGDAEENGTPKKKKKKNRDVSEDAEPKTATEGKKKKKKKSKTEDSD >LPERR03G15240.1 pep chromosome:Lperr_V1.4:3:11474770:11479946:1 gene:LPERR03G15240 transcript:LPERR03G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKEKAVNVSGKPRHSLDVNRANDKKGAGAGGGRSAATVRRLKMYKKRPLRDRGGKIIKHELQSKELPNTRIEPDPRWFGNTRVVNQKQLEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMAFDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPIGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSRDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNHDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLQRAYKIQEWTDDNDFLVQLSKTTGKLLKGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDGPSETTEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQDMIVKEFRVTNEDNELAEQSE >LPERR03G15250.1 pep chromosome:Lperr_V1.4:3:11485059:11497065:1 gene:LPERR03G15250 transcript:LPERR03G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPPRGGAHGSGLSYSTLFNLEPLLNFKVPLPEDLDRYRRSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRIDGASDDDEANAYSNQITEEHYRTMLSEHVQKYRRPKFKEDVFGSDSPQAIVPQKHKNGSARVTKYRSDTRNVATLGGVEATAEYNGAKSVNACGGFNKLVASLDSSYLDMGDNVSYMIPEGYDKLAPSLNLPVFSDIRVEENFLEGTLDLRTLAAMLSTDQKFETTNRGGLAEPQTQYESLQERVKVQKFALQVTEDPFAVPEGAAGRIRRFIISETGSLHVHYVKVLEKGDTYEIIERSLPKKQIVRKDPSEIAREASDKTIKLWHAISVKGIPRHHRNFMALAKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESGPSDEGSVPEADEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSEIGRLRDDSGIPTDDLATMESNKIDLLHPSTMPEKSSVQTPELFKGTLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERMILRKNINPKQKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNKMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIISNIEMLVGGCKFPCGSFNRMFNIFSPSYIHQSAYPEAISPNNTVLSSGAFGFTRLINLSPIETSFLATCSLFNRLAYSAVRWNKKYTDELVDAFLDSESTDLESTHNDVTKVRAVVRLLLSPTKAESSLLRTKIVTGPSDGPYEALVLSHHERLVSNIRLLRSAYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWTKKLFLGFARTSEFNGPREPISPHPLIQELHNDLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILKRAKEKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLRKRRTKGIKVDKEGDLMLEDLDDPATGAAEQDSTSSKKRRSSQKKLSKSQDIDSVDKNAEVEGEVEDEDNIAATRPKRSKRLMKSVNEDKEPEPTTDGDNPVDATENDLSHDDDNTEEPQDQTPSA >LPERR03G15260.1 pep chromosome:Lperr_V1.4:3:11501402:11501680:1 gene:LPERR03G15260 transcript:LPERR03G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNCTHMLELDDIHRWLPSDVLRDIGIADTAERRRLTVIDDLAARLVGVLGEMTTTHCSYSSFHQPVQVIRGHHALAGVGHPRAAAAPPP >LPERR03G15270.1 pep chromosome:Lperr_V1.4:3:11505814:11505984:1 gene:LPERR03G15270 transcript:LPERR03G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAKVRTLAAAVEDANGVMLAALAPVPPPPSSPCPPRCRIADTVVHAMRSSPFC >LPERR03G15280.1 pep chromosome:Lperr_V1.4:3:11505872:11506165:-1 gene:LPERR03G15280 transcript:LPERR03G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGWWAGETGAGQQRLPLMLARGGVAVGCCGQAVVADSGARCCGCLVPLHRRAGDVVSFSKRVRISWHALRCPRCGIGVGMVMKVEVVLVPVRRA >LPERR03G15290.1 pep chromosome:Lperr_V1.4:3:11519769:11520350:1 gene:LPERR03G15290 transcript:LPERR03G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCFTQMPELDDVHRWLPSDVLSDIGITDTAEHRRLGVVDELAVRLIDVLGGRMPSGEKATSQCLPSSFHCPAKEIRDHHALADAGRPRAAAAPPPYLPAPAAPWQVMTYGPMNTMHLPRAFGMHQPRQMAPPAAACRSGGTGFFLPRNSTAKVAATVPPRHANHVARQRQCRAQRHGRRCYRAAAATAGR >LPERR03G15300.1 pep chromosome:Lperr_V1.4:3:11523231:11523956:1 gene:LPERR03G15300 transcript:LPERR03G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYSTYMPELDDVHRWLPSNVLRDIGITDSAERRHLAVVDDLAARLVSVLGGGAPHVEMAAAQHPPSSRHHPVQVIRGHHALASTHRPRVAAAAQPYQQALAVPWQAMTTNTMVLHPAAALQMAPAANQTHPLFCGSGAGASQQSATTRRSSGTGFFLPQTAKVATAVPPRHKNHVAMQRQCRAWRHRQRDYEAGAAMARRQQELIAQTIATTMVQTRQLAGAPATSCPELALPREWAY >LPERR03G15310.1 pep chromosome:Lperr_V1.4:3:11528734:11529752:1 gene:LPERR03G15310 transcript:LPERR03G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGCCTYMAEMDDVHQWLPSEVLRDIGIADPAERRRLAVVEDLATRLAGVLGSGASPGEMAATTHTRQPPSSYHRPQVGLTRFFFKQ >LPERR03G15320.1 pep chromosome:Lperr_V1.4:3:11533406:11534140:1 gene:LPERR03G15320 transcript:LPERR03G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSCTYMPEMDDVHQWLPSEVLRDIGIADPAERRRLAVVEDLATRLAGVLGGDSHTVHTRQPPSSYHHPQVRGGAGAPAPFMPLPLMNAPAAPRQAQAMAGVGVRNTMVVRPAPALHHPRHLAPALSASHPHPLFRGAVAAGAAQPVAATRRGGTGFFLPRTSNAAAIPARHHAAARAPANNVPARQCQAQRRSRGGHELAAAAAMARRQYELIEQAMTANMVQTQQLAGAPELALPQEWSY >LPERR03G15330.1 pep chromosome:Lperr_V1.4:3:11534743:11536829:-1 gene:LPERR03G15330 transcript:LPERR03G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHIRMAVTPSSSSSAASLRPAAALREALWGRRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >LPERR03G15340.1 pep chromosome:Lperr_V1.4:3:11544821:11546679:1 gene:LPERR03G15340 transcript:LPERR03G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAVSSLNPDAPLFIPAAFRQVEDFSPLWWDLVKTTAWFRDHWFHEHQQLDEMADSLDFPDDDDLAGLLPDDDDDFFYVHPQDSNGFLAVEPPQPLAAAALKTDAVLKALRLVSPEGGDAPRGFREKPRHSDKPTKYAGSPRSGGAPRVIHQPR >LPERR03G15350.1 pep chromosome:Lperr_V1.4:3:11548577:11551219:1 gene:LPERR03G15350 transcript:LPERR03G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEAVEEEEYEEEMEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERRKRIEERKKRKQEKEIALYGRVLTSDDGDGEDVGNDGDEMETDDLPEPEVKTYEDYGTKITVTTSEITREDDDDVGPKRVAPASTSYTKSHSTVAKKSASLGVKKKPSKRTFRSKSKSRKGDKKRGAAKGKRKNKGRK >LPERR03G15360.1 pep chromosome:Lperr_V1.4:3:11552872:11570302:1 gene:LPERR03G15360 transcript:LPERR03G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGLVQLLVAFVVAWEAVELVLRHGLLLSALKFFLAAALAVAASCLAALFLAKAVAWVLRRAAKLSIGCRSYGFNYLRDITINSPKGAVDSICIGEIRLGLRRPLTHLGFTILNHGPILQLQISDLDIVLRQPAKTPSKKKPPPRKSTSTSLAKAKGKPKGQAKWRLITNIASLLSLSIVELRLKAPKAALGIKDLKIDLSKTGGLDPVLNVEVHILPLFVQALESDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDITLLCDLHKRDKGIGVKNLDLISGPIVVNLEEKLFTKKKSTSTVADKTDGPAVDIKPDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLSINNEIGGISVRLVKSQPHSDFGEATHLQLETDVSDIHILMDGATSVLEVVKVATVASANIPIQSTSPIRAEAGIKISGSQCNLIISRIKPLIPLNSAQKKPLVLRESSTQEKTPKEKLALDLCCLLSTHFAASKMVNQGTELHAMLGELKLLVAGKHQQSMKEQISGILLQISRSTLDLEKKDPGKDNGIDHPKTTISLNISGIRMNFCFYYLELLCTTAMSYKAFLKSIRPPKKRTVQETCQKSTKNAKGTQIVKISVEQCSVSYVGDMRLEDMSIADPKRVNFGSQGGRVVIINDANGSPRMAYVNSTSPPDHKHVNFSTYIEINQIGVCLNKEKQSVQVELGRSRLTHKEDQLNDNPAEEVILFDVQKVKFVRRSGGPNDSAVCALINVTDVAVRWEPDPYLELLEVATRLKSILHRIKHQNSATEIKDDTMYTDTLTKNDSLTEHGQQEKPQKKRESVIAVDVESLKISGELADGVEAMVHVGSIFSENAKIGVLIEGLIVSFCGARIFKSSRTQISRIPVSISDSLPDKKLQSASTCDWVIQCRDAYICLPFRLQLRAIDDAVEDTLRALKLISAAKTSLLFPEKKVSSSSSSSKKSKSKSTAFRYVRFVVRDLVAEIEEEPIQGWLDEHVNLMKNVFNESTVRLDLLDELASAKHKDSPKEKLDGSSEKNNDCPDVDGDAPGVCSFEKLREEIYKQAFKSYYLACQELKVSEGSGSCSSGFQSGFKMSTRRSSVMSICAKDVDVSLSKIDGGDEGMISFIKTVDPVCAKNDIPFSRLYGSNFTLKAKSLSVFLRDYAFPLFSGTSGKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYAHVPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFSLDFTETKWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLSSKCLKVYLSSLESLAKKCSLETPHHDVIPFLETPTFFMDISIQWGCDSGNPMDHYLFALPAEGKPREKVLDPFRSTSLSLKWSFSLKPSTAEPVKHEQNIQGVSNNSPTVNVGAHDLAWLLKWWNLIFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQADDPAKGLTLHFTKFRYEIAFSRGKQIFTFECKREPLDLVYQGIDLHLLKVFINKTLEQSTSKDMQVENKSLHMKATDSPGKMKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPSVKSEFDGGEESDHAQSGSDEEGFNVVVADNCQRVFVYGLKILWNLENRAAIFSWIGGLTQAFQPPKPSPSRQYTQRKILEKKQSDKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGSSKPESTSTSDTAMKPSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGESRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVYSPVNDFIFFCTFVEHLLESSVEVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTQKSNISYPLDNDDDDIGEESDAVVPDGVEEVELAKIDVEIKERERKILLDDIRTLSVGSELSADETQTPKSNDATWIVTGSRTSLNYGNVLRDICQVKCLKKELLNVRNGRKEAFSMLRVAMQKAAQLRLMEKEKNKSPSFAMRVSMKINKVVWSMLADGKSFAEAEINDMSYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLSPWNPPSEWGKSRYMDKQKSGVTVHLMVIFGFMLTCMVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKTTSITETNSPSKQSLKDSMLPQKPELRRTSSFDRTWEETVAESVANELVSQIQSQSNAPSESQDAPKDAKLVRSARSTREEKKTIEPNEVKQTRPQKMMDFRNINISQVELLLTYEGLPFAVSDGKKFKAKSTSQKEPTASLIAADFNLSDSDGDEAGGSDQLPAFLRKPNDGAGDGFATSVKGLFSSQRKKAMAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKFKKVPKNAAIPQEHGSELPPRGPSGNHTDSSSSDEDDSSPAETSPKD >LPERR03G15370.1 pep chromosome:Lperr_V1.4:3:11579095:11586908:1 gene:LPERR03G15370 transcript:LPERR03G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSLCSPTTIERMLLLFLILCITHAATAVEPAGDLAAGGDGLGLGFSRMFCIGDSVADTGNLATVNPNGSFNMLPYGETFFGHPTGRFSDGRLTVDFLAERLGLPFLTAFLRGKTAEDFRHGANFAVAGATALSLKFFKEMGLNITNIPPYSLDVQMQWFHGMLHSLASTDKERKEIMSESLFLMGEIGANDYGYLFAQNRSFINEIKPLVPKVTMKIENAIKVLMTLGAKTIIIPGIFPAGCLPRYLEMYQSMLSPEDYDAFGCIKWMNDFSEYRNYALKCMLHQIPRNPTVTILYADYYTTVLELIRHPVMHGFKRETVLVPCYTDGNLCPNPSTYISWDGQHLTEAAYKFVAHHMLHGAFAQSSMCSK >LPERR03G15370.2 pep chromosome:Lperr_V1.4:3:11579278:11580148:1 gene:LPERR03G15370 transcript:LPERR03G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAWASPACSASVILWLTLIYPSRSRPLSRWPWIYAYSGAAGFAVSDCVPSRKNSGGLPAWGQFRGGRSDGTEPEVLQGDGAEYNEHTAILAGRADAVVPWHAALTRLYGQSFFNRKEIQIKDSKLCFREIKDRNKGLSSSVNA >LPERR03G15370.3 pep chromosome:Lperr_V1.4:3:11585790:11586905:1 gene:LPERR03G15370 transcript:LPERR03G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLFLMGEIGANDYGYLFAQNRSFINEIKPLVPKVTMKIENAIKVLMTLGAKTIIIPGIFPAGCLPRYLEMYQSMLSPEDYDAFGCIKWMNDFSEYRNYALKCMLHQIPRNPTVTILYADYYTTVLELIRHPVMHGFKRETVLVPCYTDGNLCPNPSTYISWDGQHLTEAAYKFVAHHMLHGAFAQSSMCSK >LPERR03G15380.1 pep chromosome:Lperr_V1.4:3:11593534:11597302:1 gene:LPERR03G15380 transcript:LPERR03G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTNDSAVRARLRRLGEPVTFFGEREMERRDRLRALMVSLEADGQLDRLLRLQEEEQGAGGAEEEEQIQYPFFTEGTQELLEARVDIARYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGMIKVWSMPNVTKIATLKGHTERATDVAFSPTDNCLATASADKTAKLWNTDGSLLMSFDGHLDRLARVAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRGVYGVGFHPDGSLAASCGLDAYARVWDLRSGRLWGKLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKIMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRSQDNAMELD >LPERR03G15390.1 pep chromosome:Lperr_V1.4:3:11602047:11602913:1 gene:LPERR03G15390 transcript:LPERR03G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDRDALGSHKLLILSELPTAPPPSSSSTTAPPAVTLRLLVQVAYDAFPDGDADEMETLEDVSLHVPIRELLMDEENGVGGAVAAERAFGGMVAGLEHPTLRMELEKEIPKAAARVRARCEGRPEDEIAGLQFRLLVVLVAPDDEDETGSDMDFSDVAHLRSSGWGDGDGDDDDGAGGGSSSQFTARPYGGAMAREGGPSDGTLLLSGFVARSDGPEMDDQLELTPRDIRRLVRMALEGYDIEGDEGYQRAIDGGTPESLGAVLRAALQSVRQRDRVVRRMRTGF >LPERR03G15400.1 pep chromosome:Lperr_V1.4:3:11603673:11609076:-1 gene:LPERR03G15400 transcript:LPERR03G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSARPIFEITSHTLSKLLTALNECTEWGQVFILDSLSKYKAADVREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADAAETGYSESPSQGVDGASPSSSAGTSSHVPVMQPPATPAPMPDLLGDLMGMDNSIISAQLVRRDGQIFYDISFDNGTQAVLDGFMIQFNKNTFGVAAGGPLQVPPMQPGASARSLLPMVAFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPMHVFFGEDGKMERTSFLEAWKSLPDDNEFSKEFAASVISSIDATIEHLAASNVFFIAKRKNANKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >LPERR03G15410.1 pep chromosome:Lperr_V1.4:3:11618381:11621849:1 gene:LPERR03G15410 transcript:LPERR03G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKGWFERIKRLFVSEPKQKPKPDKRVKSRRWLFVGKLKTQHSFALPAPPAAATAAGDEQIRQAENEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKPSALAPPATPPPSSHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARAAGAGAAASPLAGDAAMDALLRRGRELYYAAAVHEQQQQQQQSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQNEKGGMTRRQAMSREEMETLNQRWSWLEEWVGSQPPFDVAGSQPPFDKDIPVAHQSPSRDADDHPTPARVLRSSSSSRSRADRLACIGGGDDDDVDRQLGYSSRRSFTRAGRRTPARDDDAAAPSASPAAFPGYMASTASAKAKFRSMSTPKERASGGDAYSEQCFPFADRLLSPIPSMSPIPSIASDMVFARSSRPPAAQRSPRVKGGGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >LPERR03G15410.2 pep chromosome:Lperr_V1.4:3:11619335:11621849:1 gene:LPERR03G15410 transcript:LPERR03G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKGWFERIKRLFVSEPKQKPKPDKRVKSRRWLFVGKLKTQHSFALPAPPAAATAAGDEQIRQAENEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKPSALAPPATPPPSSHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARAAGAGAAASPLAGDAAMDALLRRGRELYYAAAVHEQQQQQQQSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQNEKGGMTRRQAMSREEMETLNQRWSWLEEWVGSQPPFDVAGSQPPFDKDIPVAHQSPSRDADDHPTPARVLRSSSSSRSRADRLACIGGGDDDDVDRQLGYSSRRSFTRAGRRTPARDDDAAAPSASPAAFPGYMASTASAKAKFRSMSTPKERASGGDAYSEQCFPFADRLLSPIPSMSPIPSIASDMVFARSSRPPAAQRSPRVKGGGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >LPERR03G15420.1 pep chromosome:Lperr_V1.4:3:11622354:11624782:-1 gene:LPERR03G15420 transcript:LPERR03G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSSLSNLEKLYGKEITVADREMVEERADQMLSEAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNTSVMNAVGVCGLQLYRYGETISIPFFTEAWRPDSFYERIQNNRRLGLHTLCLLDIRVKEPTFESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGVDSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFYMIKSAL >LPERR03G15430.1 pep chromosome:Lperr_V1.4:3:11626137:11629054:-1 gene:LPERR03G15430 transcript:LPERR03G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACLNLPALSPRLASGGGAAPGRCSVPASSVRLPRRCSWRVAAVAEDPPQAVQEQRRTEGSGEAGAEGAADASSKLVLVVGGTGGVGQLVVASLLSRNIKTRLLLRDPAKAVTLFGEQDESVFQTYKADTRNAADFDPQMFEGVTHVICTTGTTAFPSKRWDGDNTPERIDWDGIRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMGEEFVQNSGIPFTIIRLVVAEACIQALDIEFTEGQIYEISSVKGEGPGSDPEKWKELFRSAQSN >LPERR03G15440.1 pep chromosome:Lperr_V1.4:3:11631427:11638538:-1 gene:LPERR03G15440 transcript:LPERR03G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRDGATAQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQNKSMPAGLWDEILAALSWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAERSGHRFAYDSYRRFLDMFGNVVMDIPHALFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARANKYRAINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPQDLDTMKECMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDYRSAIKMVEPRHLDQLLHPQFENPSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVMVGDKVLSEGEWMSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDKVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETVEQRQVALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVQVFPEIMVPLIGTPQELAQQVDVIREVADKVFTNAETTISYKIGSMIEVPRAALIADEIAAQAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKIATERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >LPERR03G15450.1 pep chromosome:Lperr_V1.4:3:11649382:11651808:-1 gene:LPERR03G15450 transcript:LPERR03G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPCNSRTGFVGNTQGIRIGAIPAGRVGFVRKTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRITVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >LPERR03G15460.1 pep chromosome:Lperr_V1.4:3:11652703:11655000:1 gene:LPERR03G15460 transcript:LPERR03G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGGGSIGPFSKKPTHLARSLTYHHPYHGQGRSPSFKSRRNQHQQPQQQQSGSAAAVVLYTTSLRGVRRTFADCSAVRAVLRGLRVAVDERDVSMDASLRRELQSLLASRGREFSLPQLLVGRRLVGGADEVRQLHEAGELRRILEAEGAAAGQADPAFVCGGCGGVRFVPCPACDGSRKVFVEEEEGRAARRRCGDCNENGLRPGS >LPERR03G15470.1 pep chromosome:Lperr_V1.4:3:11655828:11659360:-1 gene:LPERR03G15470 transcript:LPERR03G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDERARPERRCKGPSSRSLLLHLNYESVPMASVTYIDDSGSEIIDPPKTEVLDVTELADDPVPHSPKPNVVVSSSVRELLECPVCLTAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMSPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >LPERR03G15480.1 pep chromosome:Lperr_V1.4:3:11660248:11681051:1 gene:LPERR03G15480 transcript:LPERR03G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETFVILEDVVQHTLSNLHSIRNSILFWESINMGTDSQKVYYMILQRGPRAFVETTCQTLARHGRNGSPVQHLLNSLSDMIATKIGVLTRMQHYLASFIVEVYYEFDKLIGSHGSSDKLLYALFVLLNATFSKLEVALTNAGEV >LPERR03G15490.1 pep chromosome:Lperr_V1.4:3:11681674:11684383:-1 gene:LPERR03G15490 transcript:LPERR03G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGKFIDSVGSIFRGGDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQTEDVNRGIGMLEASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQAVTLQRLVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >LPERR03G15500.1 pep chromosome:Lperr_V1.4:3:11685539:11689017:1 gene:LPERR03G15500 transcript:LPERR03G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRSAVARRAHPGGGLRRLGTAAEAERESGARWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAKVYKSIGEKYGVKYTEDEILARYRRAYEQPWGRSRLRYVDDGRPFWQHVVTSSTGCSDLQYFEELYQYYMTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLHVLKCDHWFDAVAVSAEVAAEKPNPTIFLKACEYLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEVAK >LPERR03G15510.1 pep chromosome:Lperr_V1.4:3:11691469:11692254:-1 gene:LPERR03G15510 transcript:LPERR03G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVHGGCCCPPHRRRRRLVQRMVACFGLVSVRRRRRTARVVVWGGEARAARHGKMAGQVMMEFSTEYSAVVCRADGFCIGRPAPVLAIEDRLVAGKTYLVIPVDRLPQGYDAVTAASLAALSYDRGSPSIASGGGGGGKSPFEYVKGEDGRTVIKVTPEFIVRAITSARPIVRDGGGGGEDEGDDGGGGALCSTPELRKHYEQLVGAARGRPAWSPRLETISERSKGGRARRSFVAAAMSPGRISPVAARLLGLDRGAS >LPERR03G15520.1 pep chromosome:Lperr_V1.4:3:11696909:11697346:-1 gene:LPERR03G15520 transcript:LPERR03G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSAAAAATCVLLLLLLPVAEYVFVAGQAPEPEKQGHDPADQAAAANAAAAIDVQAGGGSGNNGTRARGGGGGERDRDRDRDRDRDDRGGGGRSKLASSIDCQICEATCRVKCLVNNLFQWGGCYQRCKADNCNDWCSRPS >LPERR03G15530.1 pep chromosome:Lperr_V1.4:3:11701758:11711795:1 gene:LPERR03G15530 transcript:LPERR03G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSMALRSLRWLARSISGRGSSSSGRSNGSGMGVVHLWGSDGEVKCDIADDWRRRSSVREGRGQPPPSPLLAPPPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTKFPPEGSKYTPPHSSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDMDGDKPATPHVSRWDRDHFLSDPNRWSKITLGANMLSRAELTVRKNDSDVIGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDRVPVRGRRKKRKTRWRPGKRRTRPARRAAATGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDRDCDFLEQENIMDYSLLVGVHFRDKRNILASEGSFDSDSSRASSPHLSRGDTDPNRFLKIKLGSNMPTRAELTVRKSECESQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKVDI >LPERR03G15530.2 pep chromosome:Lperr_V1.4:3:11701758:11712309:1 gene:LPERR03G15530 transcript:LPERR03G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSMALRSLRWLARSISGRGSSSSGRSNGSGMGVVHLWGSDGEVKCDIADDWRRRSSVREGRGQPPPSPLLAPPPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTKFPPEGSKYTPPHSSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDMDGDKPATPHVSRWDRDHFLSDPNRWSKITLGANMLSRAELTVRKNDSDVIGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDRVPVRGRRKKRKTRWRPGKRRTRPARRAAATGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDRDCDFLEQENIMDYSLLVGVHFRDKRNILASEGSFDSDSSRASSPHLSRGDTDPNRFLKIKLGSNMPTRAELTVRKSECESQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKVDI >LPERR03G15540.1 pep chromosome:Lperr_V1.4:3:11714426:11730426:-1 gene:LPERR03G15540 transcript:LPERR03G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRGGAQAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSMVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEDALAQAPNAALVMGHNGIFRNETTDTYDGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDKRLQEYEQGRTEFASDEDSHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIAETFPEPNRRLLQRILKMMFTVASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDDNGAERVLSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKVLESKIDLSKGSKSHSTENGSAYMGTLLSEKNPSNPISTHETPLSMGEILSSLDPGISLPNQSGEYSVESRHTAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDTAQWRNIKQHVLPYGSSRPFTRKLSLDASSSSDSRGMEASTSMSTENTSMAINAPKFNEGVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >LPERR03G15550.1 pep chromosome:Lperr_V1.4:3:11737646:11741257:-1 gene:LPERR03G15550 transcript:LPERR03G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADAGSDPSADALAATSTCPHCQREIPSSNIDLHSVHCARNLQKCDHCGEMVARKLMDEHYDESHAPVNCTLCKEIVSREIFDLHKSERCPQRIVACEYCEFELPAIELHEHQDVCGNRTEFCQMCKKYIRLREWTGHEIQCPAIANGSAQSSSARIIPEREVRPPPPVRPPRPMHGAQHRRLLVTIAVTGIAVMIGSILFQREEF >LPERR03G15560.1 pep chromosome:Lperr_V1.4:3:11747684:11751049:1 gene:LPERR03G15560 transcript:LPERR03G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFTGEPYAAAVASDPLPDDSHGHSFTYTPSSTAAFDNSPMSSSSSSSSDRLMATSFFSLSGAAISANPATSASTPLFRLYNELTWPQSTATACTFESSRSFSSEPLIHAAAVPLQAGSASGSASTVSDRLSDHPFMAGMLDRSTSASSTIRLMPSYSHLESEPRHLSNDRSLIRSLVRAATRLRSPPPPQEEPAEPTTKIEPYRPAPKPNGGGNVEWAQGMAGEDRFHVAISEEHGWVFIGIYDGFNGPDATDYLFANLYVAVHRELKGVLSNEHDINGDVLRAIARALERTEEEFFAAAEESPEIGLVGSCVLVMLMKGDDVYLMNVGDSRAVLARRRDTDIFLRPEQDLQLVKAQVLRELDDNDRKGGLQCLQLTPEHSATVNEEVRRIRSQHRTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLKAFKVDYIGIEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDEVAMFTNQHPEGDPAKYLVGEVILRAARKAGMDCRRLLEIPHGNRRNYHDDVSIIVMTFEGRIWRSSVQA >LPERR03G15570.1 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKSFNVTDRNLDGFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITRLGDWNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15570.2 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKSFNVTDRNLDGFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITRLGDWNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVELPSNLTIPQDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15570.3 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNSFNVTDRNLDGFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITRLGDWNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15570.4 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNSFNVTDRNLDGFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITRLGDWNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVELPSNLTIPQDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15570.5 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNMGWTTITKLAGSTIGTAEENTVSNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15570.6 pep chromosome:Lperr_V1.4:3:11754880:11765033:-1 gene:LPERR03G15570 transcript:LPERR03G15570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAPLPFLLLLLLVLLVHLPSPPAEAAAGPASAWGAISAAAGGRRRVASPAEQEAAAAGVLGRLLPSHARSFRFQIDSKGGVCGGSSCFRISNVDGSGKNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKATGVKIERPVPWNYYQNMGWTTITKLAGSTIGTAEENTVSNTVNGDPRWCCTYLLDPSDALFIDVGQAFIKQEMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPVDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVELPSNLTIPQDWLKIYSYRRYGQSNVDIEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSISSDISKRRTISKMEKHRRFILSEVSASLPHPHLWYSKNEAIKALELFLNAGNELSKSLTYRYDLVDLTRQSLSKLANEVYLNALNSYQKKDSNGLNLHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKIEQSKLHDYANKFWSGLLKSYYLPRASKYFTRLTRNLQENRSFELEEWRKDWIAYSNEWQSGKELYAVKATGDALTISKSLFRKYLS >LPERR03G15580.1 pep chromosome:Lperr_V1.4:3:11774082:11786216:1 gene:LPERR03G15580 transcript:LPERR03G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGAGQKPGTEIWRIEDFKPVPLPKADYGKFYCGDSYIVLQTTCNKSGGAYLFDVHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPMEGGFASGFRTPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKEKYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVSDDDVVLETTAPKLYSINNGQLKLEDTVLSKSILENNKCFLVDCGADLFIWVGRLTQVDERKAASTAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSASGSASAEEGRGKVAALLKQQGVDVKGASKSSAPVDEEVPPLLEGDGKLEVWCINGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISTGYQKFVEEKGLKDETYSGDGIALVRISGTSIHNNKALQVDAVSSALSPTDCFVLQSGNSMFTWFGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTSRNVTQDVVVREPHLYTFALRNDMMILDTHGEVFVWMGQCVDTKEKQKAFEIGQKYIEHAVTFEGLSPDVSLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSRNSGDGPTQRASALAALSSAFNPSSQKKQSNDRPKSSDGGPTQRASAMAALTSAFNPSSKPKSPPPSRSGQGSQRAAAVAALSNVLTAEGSTQSPRISKSSPTAADADAEKTELTPPAVSPQSEAAGSSEFSADKDAPVDGASSEGGRTEPDVSEEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGMSKEEFYQQPGWKQELQKRKHDLF >LPERR03G15580.2 pep chromosome:Lperr_V1.4:3:11774082:11786216:1 gene:LPERR03G15580 transcript:LPERR03G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGAGQKPGTEIWRIEDFKPVPLPKADYGKFYCGDSYIVLQTTCNKSGGAYLFDVHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPMEGGFASGFRTPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKEKYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVSDDDVVLETTAPKLYSINNGQLKLEDTVLSKSILENNKCFLVDCGADLFIWVGRLTQVDERKAASTAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSASGSASAEEGRGKVAALLKQQGVDVKGASKSSAPVDEEVPPLLEGDGKLEVWCINGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISTGYQKFVEEKGLKDETYSGDGIALVRISGTSIHNNKALQVDAVSSALSPTDCFVLQSGNSMFTWFGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTSRNVTQDVVVREPHLYTFALRNDMMILDTHGEVFVWMGQCVDTKEKQKAFEIGQKYIEHAVTFEGLSPDVSLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSRNSGDGPTQRASALAALSSAFNPSSQKKQSNDRPKSSDGGPTQRASAMAALTSAFNPSSKPKSPPPSRSGQGSQRAAAVAALSNVLTAEGSTQSPRITDADAEKTELTPPAVSPQSEAAGSSEFSADKDAPVDGASSEGGRTEPDVSEEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGMSKEEFYQQPGWKQELQKRKHDLF >LPERR03G15580.3 pep chromosome:Lperr_V1.4:3:11775471:11786216:1 gene:LPERR03G15580 transcript:LPERR03G15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGAGQKPGTEIWRIEDFKPVPLPKADYGKFYCGDSYIVLQTTCNKSGGAYLFDVHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPMEGGFASGFRTPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKEKYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVSDDDVVLETTAPKLYSINNGQLKLEDTVLSKSILENNKCFLVDCGADLFIWVGRLTQVDERKAASTAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSASGSASAEEGRGKVAALLKQQGVDVKGASKSSAPVDEEVPPLLEGDGKLEVWCINGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISTGYQKFVEEKGLKDETYSGDGIALVRISGTSIHNNKALQVDAVSSALSPTDCFVLQSGNSMFTWFGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTSRNVTQDVVVREPHLYTFALRNDMMILDTHGEVFVWMGQCVDTKEKQKAFEIGQKYIEHAVTFEGLSPDVSLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSRNSGDGPTQRASALAALSSAFNPSSQKKQSNDRPKSSDGGPTQRASAMAALTSAFNPSSKPKSPPPSRSGQGSQRAAAVAALSNVLTAEGSTQSPRITDADAEKTELTPPAVSPQSEAAGSSEFSADKDAPVDGASSEGGRTEPDVSEEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGMSKEEFYQQPGWKQELQKRKHDLF >LPERR03G15580.4 pep chromosome:Lperr_V1.4:3:11774127:11775542:1 gene:LPERR03G15580 transcript:LPERR03G15580.4 gene_biotype:protein_coding transcript_biotype:protein_coding NRGECQPDLSTRSIRINIRISSSPPPRHGQATTQRERETERQAGRAEGSNHSAPLPLLGRVTPLRFSAGHLDRRPPSPLLPIAASDRIPSPVSSPQEYSVVEPPDTLN >LPERR03G15590.1 pep chromosome:Lperr_V1.4:3:11784930:11785739:-1 gene:LPERR03G15590 transcript:LPERR03G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELENALPSDFVSLIDVRYKDRTLYSKVIWGIPNSDGTNGWFYNCPFRIDLFDHSPENNVNNEEGVLLPTINALPWVPSMEQERKDGKLWKELSDGIKLSWIIINRKMKRAVNLASWHPLGGQRHWPTDTDFVLRFGSVLPAKEALPCQVAECILLMKFHVTSMGSEETGEPLALALTELSMQIEDMGGARLNGRCSLLVLKEALGCCHRSRNFDEVMESCHLYLRAQSELKEEKIRSECRFDTLCVVSGIAVFVALCTMWYRKLENF >LPERR03G15600.1 pep chromosome:Lperr_V1.4:3:11795125:11796078:1 gene:LPERR03G15600 transcript:LPERR03G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGRVTAGQSTKPKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQAHDGFPIITNKVTDEETAGVPHHLLGGVPPDADFTVDDFCREASAAVARVLAAARLPVVAGGSNTYIEALVDGGDGSFRASHDCLFLWTDASPDVLRWYTAVRVDDMVRRGLVSEARDGFDAAADYARGVRRAIGLPEMHEYLLAEQRGEPDEAMLERAVRETKENTFRLACAQVEKIRRLSALPGWDVRRVDATAVFARMAEGKPHRETWEAVVWKPCEEMVSRFLLETAEADAAVVDGEDGAGSPVVAPAAVAYLRPQLVQL >LPERR03G15610.1 pep chromosome:Lperr_V1.4:3:11798990:11810121:-1 gene:LPERR03G15610 transcript:LPERR03G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFPAWPAMISEPEQWGLTSVKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRRSLLAKRQGKGSDFVRAVDEIIDVYDSLKEGNNKLGLVANEAKQGVEKLANNNSSLDTEGLVSSSNMGSDKKQDHSIVASGHNTIDSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSVSKKLRDEQPQNCYTRSRNTSLRRSRSSLNTDTRKSQDSGKLSGGTSLASVDLAADGNKEGSTHCVEDVKGNSGSPSTQDGFWLHSSAGITNKPGTPGTSNNNKKQDYTTKVDSNCDSEASQNGASEKEFRLHDTSSTPMKKSVIFKRKRKPNRNQFSYSTDKDDEFQAELSENIADSPNSKAEVNKSDGDEHLPLVKRARVRMGRPQLKDSPVDEVDVTNNIPELATLADQCNMNNNVAVPSDDCSIDQSAVVNSVSLVERVANSVSNPSSKLSMPASLVEGQSSWKNKEYQPKILTLDVEAALPPSKRLHRALEAMSANVAETNNLPEATGSKRLIPNGCVASENSHSNKSADTVVTSTNRSAMTESPRPSLCTESMHSPNLKTHSESILQNNTVSASPSVPSEAKDADSHIVIKGNICEEIHVDSKNIDCLLVSNEISNDVCGKGSSLSMKLNESVLGNTQTIAVPDRLSSSLGTASVTEVAKLINGNEGTKPVGHPACETDRSVERCDGPIYQSKLPSSDNIVTSLSILQNETVLAEPVVNVGDTASTSSLATKSSSIQSDADNRTFEVHTSALSLKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYNPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSRSPFDSQQQKSLSKLTRHDEANAARKVFGAFLGTLTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKETNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKVLKLWLERKTLPEYIIHHHIRELDAINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGRSISSSSFLVFICSTIFHSNAGFQLPNLISTKVLEDDDGSSSEDRSFEAVTPEHDAPCTDEKDELQMQAEKHHRVLEEVDGELEMEDVAPPSEVEASTSGRSEQIDTKCRASDRHTSDNGPPLPDDRPPSPPPLPSSPPPVPPPPPAQITQTAQLQPTLPMASDPVGPHPPRATNNIQTQQPNCIAERPSGIPSVAPLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPVTPAPYHGNNYHQPPMASIPNEGYHLQPPPPPPPVNQYPYRPPEPQQRPRPWGNNSSSYPERHRYNGHDRDHHRHDRRHHGNDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGRSFDERAMRGPMHHEVADRGRYPFPPGPPTSDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGEAKADRP >LPERR03G15620.1 pep chromosome:Lperr_V1.4:3:11813089:11816358:1 gene:LPERR03G15620 transcript:LPERR03G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKAGLFGSRIKWNFTKFLVDKNGKVIDRYGTSTSPLSIEKDIQKALES >LPERR03G15630.1 pep chromosome:Lperr_V1.4:3:11817334:11820645:-1 gene:LPERR03G15630 transcript:LPERR03G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPAPSSASALPPPVGKSFTGFTKLCKGLAVILFLGHIVIRLFPSTVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSIAGLLILGKVLEPLWGAKELLKFIFIVNLSTSVCVFVTAIGLYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEINLFLLNIKAKWIPSLIAFILVSVSFFMKESMPYNPIILFGIYMSWIYLRYFQKRLVAGLKGDPSDEFSFSSFFPEFLRPVLDPITSVFHTLVCGWSERSEANDQMDGLLPGSYSIEANRRRERGQKALEQRLAEKLAAVRSSEATPDHHHQHQQDHHQHQQEDASDKV >LPERR03G15640.1 pep chromosome:Lperr_V1.4:3:11823621:11825183:1 gene:LPERR03G15640 transcript:LPERR03G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHFVFVPLMSQGHLIPAVDTAMLLATHGAVCTVIVTPASAARVRPTVESAQRSGLRLRLAEFPLDYAGAGLPEGVDSTDDGHVPPQFMPRYFLAVSRIRDAVERHLRDAASPPPTCVVADFCHPWTSGLAAALAVPRLTFFSTCALCLLCHHNLEKFRAFDGVVGDDEPVPVPGLPPTSTSSTITVTRAQAPRFFRGVPGWEKFADDVERAWAESDGDVINTFLEMEPEFVAGYVAARGTKVWTVGPVALYHRTTATLALRGHTHNSVAGAVDADECLRWLDGKDPSSVVYVSFGSIVDLEEKQTIELGLGLESSGHPFVWVVKSPNRHGETAASFLRDLEARVAGRGLLVWGWAPQALILSHGAVGAFVTHCGWNSTMEAATAGVAVVAWPHFADQFVNAKMAVEVLRIGVGVGVEEPVVYQRVKKEIVVGRETVEAAVRSAMDGGEEGEARRRRARALSAKATAAMREGGSSHANLVDLVKRFQPRHVVESGARNGKATSQLHPSADLELEIHGH >LPERR03G15650.1 pep chromosome:Lperr_V1.4:3:11828274:11829326:-1 gene:LPERR03G15650 transcript:LPERR03G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVDVSRKSRAKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQAHDGFPVVTNKVTDEETAGVPHHLLGGVPPDADFTVDDFCREASAAVARVLAAARLPVVAGGSNTYIEALVDGGDGSFRASHDCLFLWTDASPDVLRWYTAVRVDDMVRRGLVSEARDGFDAAADYARGVRRAIGLPEMHEYLLAEQRGEPDEAMLERAVRETKENTFRLACAQVEKIRRLSALPGWDVRRVDATAVFARMAEGKPHRETWEAVVWEPCVEMVSRFLLETATPNAAGKYDEEIKTNGGGGGDVVVSNGNGNVDAEIKANGGGRGDVDEDVHVGDAATGSGVYEAAAVTAGVI >LPERR03G15660.1 pep chromosome:Lperr_V1.4:3:11843954:11851144:-1 gene:LPERR03G15660 transcript:LPERR03G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKGRWFQRKDLEVINGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTAHDIEYRFAQSPTARATTTEDAIAQLRSRRLMSRMEVPTGATTEDRTDRNEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDFEQSNTKNMQSVPSDATSNENKENGCNGATEAVLEPDASSISACTADAPSKDVTPCSSEAKSPEVQSVDSHTTSNVASVKPSGSSEPSASTQVTNGKSASSESQKPTQVTNGKAVSSESQKSTRNANGEDGTRATLVVQKSRTGSLIDGLTHKWGSLFKNND >LPERR03G15670.1 pep chromosome:Lperr_V1.4:3:11860590:11866825:-1 gene:LPERR03G15670 transcript:LPERR03G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPTTTRPEEEEPVVIHAWSAPRSLSTSLMYSFAQRDDMEVVDEPLYANFLRVTGVDRPYRQELLSKMDPDGNKVIDEVIFGPGKAKYRYCKHIAKQRLPNLTSELMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCEFGSPPPVIDADDLQRDPEAVLGGLCEDLGISFQPQMLKWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRHYPLTFPFAFYDLLEQSLPFYNMLKRQVRKTAGSLQPTLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCILIVLAEWKPPVYDNSHGIKLVTATTRRNSPNIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLELHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVLTAGSGIPIPRNDEE >LPERR03G15680.1 pep chromosome:Lperr_V1.4:3:11869556:11872253:1 gene:LPERR03G15680 transcript:LPERR03G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMTTTGGGSCDGDGGGGGIGCLLSGIACCVAGAAFYFAGRRSLRAAAKLRLARRFTRISDLSAALDSEGDLPLVTISGQVGSETPILSPQNGCQAVITEQNVTQHYKTKHVTTTRTTEITENEGVKYLVLKETKKKDGDEYLVVKRTTVKDGTEHRLTNTRNDKVHVAEQWVHGTKLVSSTKHEVPWYLEDATGRLYVVEARKATGFGLSRESSVYDENKPSCTRCQACGLEDSVKIVGLERTERVLQIGTTFTSVGRAYKDRSNGAILIKRPKEIGGFYVSRSTIDQIISDLRNSSRNEDNMAVIFAISGGVLLACHLLL >LPERR03G15690.1 pep chromosome:Lperr_V1.4:3:11872507:11872974:-1 gene:LPERR03G15690 transcript:LPERR03G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRTTSISASLLIFALLLSTCTNRFLKAGSAVQKCARQSKILTGFCDDNLPDCAQACKQQGSLCCGFCSSDIPICMCPWPCAEEQQQDRDAASTNVDGDAADASEGNRGVMMARKSLPTNSLAREVYNL >LPERR03G15700.1 pep chromosome:Lperr_V1.4:3:11876908:11877555:1 gene:LPERR03G15700 transcript:LPERR03G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKKEHPAAAATATVAGEKPPSFCDRLQRAFHSRPAFRPLRRLGVGRQDGGGGGALGTEEPPTVHGTNAAATHAAGGQPKPVPSPADHAPAPIVLPPAVKPAAKPIGTAAPAPIVLPSAPSPAAKPSAPPPRHHGHAPATTASAPAAAAKTKVAKTTTPPPGIPVPVPPPAAAAATGTPAAADGKDGGGESKEQQSKAKSRVSSRVRKAFSSK >LPERR03G15710.1 pep chromosome:Lperr_V1.4:3:11890829:11892002:1 gene:LPERR03G15710 transcript:LPERR03G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFYDIAMTNSQKEKKRRKRRDNANIPSSPVESSEDDLEWDLVPGCIAPRQNRLTHSQKKIMNEKTKEINSENHINGYVINKNNVEFSRKYADLYLPFEDETLVFQRRGKTWNVRCCITKKNSRRILKGWAQFARDNDLFVGDICLFELLVNNKKYVMKVHIIRKK >LPERR03G15720.1 pep chromosome:Lperr_V1.4:3:11901748:11905693:-1 gene:LPERR03G15720 transcript:LPERR03G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFHALHTKTGAKALEEVEKNLSSLRQMLSGDGEAEPNHEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKVDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECLKYPALTKCILESSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVSEFLSSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNSRIMKRYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLITQEIQKLACPSA >LPERR03G15730.1 pep chromosome:Lperr_V1.4:3:11908916:11909965:-1 gene:LPERR03G15730 transcript:LPERR03G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRDIAAAVASLLIVVLLLVVGGGGVTGAIRADSMIRRPSSSIPTFREAPAFRNGEECASASRVDVAMTLDANYLRGTMAGVLSILQHTSCPESVSFHFLAAGMDADLAAAVRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPATVRRVIYLDSDVVVADDIRALASVDLGGHVVGAPEYCHANFTNYFTDAFWSDPALNGTFAGRRPCYFNTGVMVMDVGKWRAGDYTRRVERWMEVQKQTRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWLRLDARRPCAVDYLWAPYDLFRPSSPVLEE >LPERR03G15740.1 pep chromosome:Lperr_V1.4:3:11911922:11914629:-1 gene:LPERR03G15740 transcript:LPERR03G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDASSSSSHSSVPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTLAPKLLFIALNLAAMGLGVWKLNTLGLLPTNASDWVFSLAPAREVEYAGGGIPLH >LPERR03G15750.1 pep chromosome:Lperr_V1.4:3:11917017:11917664:1 gene:LPERR03G15750 transcript:LPERR03G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPELLSVPVETIAAALRFLTDEAGVPADDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVADLPHRADLLSFSVEDKLLPRIEFLEDALGLPSRAARSMARRFPALFYYGIEGNMRPKADYLLGEMRRGADELFDFPECFSYALATRIAPRHEACAARGVRMPLPAMLRPGDAKFRATLAGCVGSTPPRRRSPLWHAYWVDDAGEVEEITASP >LPERR03G15760.1 pep chromosome:Lperr_V1.4:3:11920737:11924003:1 gene:LPERR03G15760 transcript:LPERR03G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSSKQRSEEEWRAVLSPEQFRILRQKGTELPGTGEYNKFYGDGAYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >LPERR03G15770.1 pep chromosome:Lperr_V1.4:3:11924724:11929151:1 gene:LPERR03G15770 transcript:LPERR03G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVKRALRCLLEGIRSVQPRPRRRGAGAGGGRSSKAAVPRVVVIRRLNSKTDRRRASTSGAGAPPPLDSAATAVPVTIRVATFNAAMFSTAPAAVLPSPSATDHDDTDADATTTANADYDGDGEGYSRRRGRRAPANPPIPTRRPKKGILKPAASATAPPPTPASPHGERRRLRRHVSISLPNDNDINDEMTTSATARLVTRQPPATTTTTTTGSGRWRSVFGAVWEHQHNYQRQRQQKLKQKQQKQEEIKREAEATTSSWRGRRRTSVEEVVRETGADVVALQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWRACRLADPSDFRNVLRVTIDVPGAGEVNVYCTHLDHLDESLRMRQVNSILRFADGRPHILAGGLNALDATDYSADRWAAIAKFHEEIGKPAPKAEVMRHLKEKRYVDAKDFAGESEAFVVVPHGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYAVVSSQGTSDHHIIRVDVTIDINAGASRTARQRRRRRVVKMTSKNSSKGIWTA >LPERR03G15780.1 pep chromosome:Lperr_V1.4:3:11935566:11937575:1 gene:LPERR03G15780 transcript:LPERR03G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAELLVEEVRRKMFDGKGSTAATMSVAEAATLVDTLERLGLDFHFQQEIGVVLGRLDRHEEANFAGSGDGDDLHTVALRFRLLRQHGLWVSADVFDKFRDSTRSFSSVLRNDPKALLSLYNAAHMANPGETMLDDAIAFARCHLEAMSMNGELTSPMAEQVARALDIPLPRFPRRLETMNYLAEYEHEDGHDGVLLELARLDYELKALSQWWRELYESVKMSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECHKLNEAIQRWDDSAVSILPKYLHMFYIKLLSNFAELEDSFEPHEKYRVSYVKNAFKLSSEYYLREAKWSNDKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHNGDSLATVEAFEWAATVPNVVSASGEVARFLNDIASHKCYMIEHNVGEKEALAAVAALAEHGWRTINQEFVEMNRRLLPAAQLIVNLTRTLEVIYLGGRDGYTFGGDIKGLIYVCVLDPTCVIL >LPERR03G15790.1 pep chromosome:Lperr_V1.4:3:11948570:11951345:1 gene:LPERR03G15790 transcript:LPERR03G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAELKGQVRRKLLEAMSSSTVADTVVLVDVLERLGIDNHFRHEIAAMLHRVHLEEQGCAAGSVDDDDLHIASLRFRLLRQHGFGVTTDVFNKFKDGKGGFKANLSSDIKGLLSLYNAAHLAMPGEAALDDAIIFSRCHLRSTKGKLRSPIAEQVSRALDIPLPRDSKRLETMHYIFEYEKEPSFDGAILEFAKLDFELVKSLHLRELKALTIWWKDLYDSVNLSYARDRLVESYFWTCNVFHEEEHSRSRIMFAKVFGLMSLMDDTYDVHATLEECYMLNEAIQRWDKSAVSILPEYLHVFYIKMLHIFDELENTLEPNEKYRVSYAKNGYKQLSELYLQEAQWSSHRYTPSFAEQLEVSLMSSGIPQLAPVLLLGVHDGDGVATPEAFEWAASVPALVRAGGEIARFLNDIASYDRSGRSSSKDVPSTVECYVTEHGVGGEEAVAAVAAMVESAWRTINEACVEMDPALLPAARLVVSLTRTLEVIYLNGRDGYTIGAGIKGLVTSFFLGHPPHLDTIN >LPERR03G15800.1 pep chromosome:Lperr_V1.4:3:11955838:11956113:1 gene:LPERR03G15800 transcript:LPERR03G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNGLSFCQKFPSLPCQSTQTLQAVTIVKAPLACSHHIDAPPQAVKTSPPSYRARVAEEEKENSSNPPSTLWQQQASVGVVPAMPATVN >LPERR03G15810.1 pep chromosome:Lperr_V1.4:3:11958497:11961843:1 gene:LPERR03G15810 transcript:LPERR03G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGGAAAAAAGGSGGGESNDDGSSKAGRDAPPSIQLEIIEYNGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASALTITDFWCVTVILVSEGARVFSRSHELEWQHHSTLTSTAGGALRSSSRFFRRLVHALVDPAAAVVSDGGDATRDRAAQFQRQVVAFMRQRAWHAPDVSLLPYTGWVFVSKKIGRLLSWHQLLSASACVALSVARLWRHDFGSGETSNMRPALLLFYTLALAEALLFLLEKAYWTWKISVEKLLDQVGRECELGAYGHVSLTRFFYDAYSRCVTGSIFDGIKMDLVTFAEDLIVSEFLDEQLIGVRILQRFAHAAEGSTLRKLGTSPRSIERLVEMLNWKRPDEEEVRLCSAEVVSKLAGKRQNALRVSGIPGAIESVMSLLYTGWSAPASHDTTASPSSAAARGYDHPQFNLLGLLILKRLARDHDNCGKIGNTRGLLAKIIEFTHAKPELLLNPLTPASQVRAVKRALKLVKMLVSTTGGTGKILRRDVAENVFTVSSLRGVLQHGQQHRALQKLAMDILTGMAMDDDAKQVIVSTGGVVKLLLSMFFNADEKELGGEAGEALAMLALESEASCAAILKRGDVLDHLVSALEDDGEARRLNAARLMRNLCAYAGETHRPRLSKVAKAMPVVLKATMTGSDKILEVSVGLTTQICKFIDGDRFAAGLRAAGTDERGYVERLASILRENRYPVIRVPRMRRFVVHQVIWLMTSSSSAAGDGNNGGVGVDYVALLREAGMERLLESIADTTSELECYHVFSGSVGIGKHRESFSAAVDAALELLAGDGARAEA >LPERR03G15820.1 pep chromosome:Lperr_V1.4:3:11962493:11967971:1 gene:LPERR03G15820 transcript:LPERR03G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRATRASPAASTMAAAVSVRSKSTRLTSIASLSSSSGGRRRKGKTPPPPPPPPQLRGGEFAGKKGKKKPESRTAGERKGAVPAAAPKSLAQEGVRRKKPPPPPMRQQQEKAKEKRVMRWKCAAGCGACCKLDKGPDFPTPEEIFAEHPEDLKLYKSMIGTDGWCTNYDKSTRTCNIYEGSHKLRNPQLPPFCAIACVDTIKMVYGEDSAELTNFKRVIREESKKHEASLNQDKLLDT >LPERR03G15830.1 pep chromosome:Lperr_V1.4:3:11975757:11979188:1 gene:LPERR03G15830 transcript:LPERR03G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANQKQLEMNAAAAADDVGFDPSMWKDFFVTYTSPLSQAGTSSEERMRARAERLTEEVRRKMFGDSSKGSTATMSMAKAATLVDTLERLGLDGHFREEIGTMLGRLCCDEADFAAGSDKYDDLHTVALRFRLLRQHGLRVSADVFNNFKDGLGSFSSSLCNDPRGLLSLYNAAHMATPGETTLYDTIVFTTCQLKAMSIKGELGSPMAEQVARFLDIPLPRFPRRLETMNYLIEYEQDDRHDSMVLELSRLDFELVKSIHIKELKALSLWWRDIYNSVKLSYARDRLVESYYWTCSVFHEEDDSRARIMFAKVFGFLSLMDDTYDVHATLEECCRLNEAIQRWDESAVSILPKYLRMFYIKLLNTFDELEDNLEPHEKYRVSYTINAFKLSSEYFLREAKWSNDNYTPSFTEQLEVSIMSSYPLLTPLVLMGVHDNKGVATAEAFEWAATIPDLIIASGEVARFLNDIASNKVRKNKKDMSSSVECYMAEHGVDQEVAIAALAMLVEHAWRRINQSCMEMDPVLQPAAQLVVNMTRALEVIYLGGRDVYSFGNDLDGLVTSLFLDPDTL >LPERR03G15840.1 pep chromosome:Lperr_V1.4:3:11980232:12001392:-1 gene:LPERR03G15840 transcript:LPERR03G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRVVAEDVEGGVVVAGAAGGGGEVTAPLLRKAERRRKGEVIQEEGEDWEGGGGRRRGGGGSLWMVLVSTAVAVCGSFEFGTCVGYSSPTQSGIVDEVGLSISEFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISAIVCIFGWLSLHLAKGVNMLYFGRILLGFSTGVLSYVVPVFISEIAPKHLRGGLATSNQLLICSGCSATYIIGAMVAWRNLVLLGIVPCVLLLTGLLFIPESPRWLANVGREKEFQTSFQMLRGEDADISEEAIEIKEYIESLHTFPKARVQDLFLSNNIYAVNVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFMGCFLTGISFYLKAEGLFPEWVPALALTGILVYVGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVNLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVATLHLAPPQPPPATSRSGTAAVAAAQGQVDGAAAPYGGEAEGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDFGLSNSEYGVFGSVLAIGAMLGALTSGSLVDNLGRKMVPVFISEIAPKDLRGGLASSNQANTGKVKDFHASLQKLRGENADISEEATGIREYIESLRSLPKAKVQDLFQRKNMYAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPITLFGALLMDKSGRRALLLVSASGTFLGCFLTGLSFYFKVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFSFLMDWNSAGTFFLFSAASFVTVLFVAMEDTRRDPRVAHMTARDGWLDKHATWEFTVLEKYHSMKDVDFVVIMMSILLYMMAQDCLLEQVNDNGSSPTSKHPKDDKTNRGKIVKVVDDPVLKITGVMNNVRNLAYLATNKDITNMSKGMLLLSM >LPERR03G15840.2 pep chromosome:Lperr_V1.4:3:11980232:12001392:-1 gene:LPERR03G15840 transcript:LPERR03G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRVVAEDVEGGVVVAGAAGGGGEVTAPLLRKAERRRKGEVIQEEGEDWEGGGGRRRGGGGSLWMVLVSTAVAVCGSFEFGTCVGYSSPTQSGIVDEVGLSISEFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISAIVCIFGWLSLHLAKGVNMLYFGRILLGFSTGVLSYVVPVFISEIAPKHLRGGLATSNQLLICSGCSATYIIGAMVAWRNLVLLGIVPCVLLLTGLLFIPESPRWLANVGREKEFQTSFQMLRGEDADISEEAIEIKVNFCPNSLYMLQINVNGIAQNDNFLQEYIESLHTFPKARVQDLFLSNNIYAVNVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFMGCFLTGISFYLKAEGLFPEWVPALALTGILVYVGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVNLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVATLHLAPPQPPPATSRSGTAAVAAAQGQVDGAAAPYGGEAEGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDFGLSNSEYGVFGSVLAIGAMLGALTSGSLVDNLGRKMVPVFISEIAPKDLRGGLASSNQANTGKVKDFHASLQKLRGENADISEEATGIREYIESLRSLPKAKVQDLFQRKNMYAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPITLFGALLMDKSGRRALLLVSASGTFLGCFLTGLSFYFKVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFSFLMDWNSAGTFFLFSAASFVTVLFVAMEDTRRDPRVAHMTARDGWLDKHATWEFTVLEKYHSMKDVDFVVIMMSILLYMMAQDCLLEQVNDNGSSPTSKHPKDDKTNRGKIVKVVDDPVLKITGVMNNVRNLAYLATNKDITNMSKGMLLLSM >LPERR03G15840.3 pep chromosome:Lperr_V1.4:3:11980232:12001392:-1 gene:LPERR03G15840 transcript:LPERR03G15840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRVVAEDVEGGVVVAGAAGGGGEVTAPLLRKAERRRKGEVIQEEGEDWEGGGGRRRGGGGSLWMVLVSTAVAVCGSFEFGTCVGYSSPTQSGIVDEVGLSISEFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISAIVCIFGWLSLHLAKGVNMLYFGRILLGFSTGVLSYVVPVFISEIAPKHLRGGLATSNQLLICSGCSATYIIGAMVAWRNLVLLGIVPCVLLLTGLLFIPESPRWLANVGREKEFQTSFQMLRGEDADISEEAIEIKVNFCPNSLYMLQINVNGIAQNDNFLQEYIESLHTFPKARVQDLFLSNNIYAVNVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFMGCFLTGISFYLKAEGLFPEWVPALALTGILVYVGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVNLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVATLHLAPPQPPPATSRSGTAAVAAAQGQVDGAAAPYGGEAEGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDFGLSNSEYGVFGSVLAIGAMLGALTSGSLVDNLGRKMVPVFISEIAPKDLRGGLASSNQANTGKVKDFHASLQKLRGENADISEEATGIREYIESLRSLPKAKVQDLFQRKNMYAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPITLFGALLMDKSGRRALLLVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFSFLMDWNSAGTFFLFSAASFVTVLFVAMEDTRRDPRVAHMTARDGWLDKHATWEFTVLEKYHSMKDVDFVVIMMSILLYMMAQDCLLEQVNDNGSSPTSKHPKDDKTNRGKIVKVVDDPVLKITGVMNNVRNLAYLATNKDITNMSKGMLLLSM >LPERR03G15840.4 pep chromosome:Lperr_V1.4:3:11980232:12001392:-1 gene:LPERR03G15840 transcript:LPERR03G15840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRVVAEDVEGGVVVAGAAGGGGEVTAPLLRKAERRRKGEVIQEEGEDWEGGGGRRRGGGGSLWMVLVSTAVAVCGSFEFGTCVGYSSPTQSGIVDEVGLSISEFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISAIVCIFGWLSLHLAKGVNMLYFGRILLGFSTGVLSYVVPVFISEIAPKHLRGGLATSNQLLICSGCSATYIIGAMVAWRNLVLLGIVPCVLLLTGLLFIPESPRWLANVGREKEFQTSFQMLRGEDADISEEAIEIKEYIESLHTFPKARVQDLFLSNNIYAVNIPITLFGAILMDKSGRRVLLMVSASGTFMGCFLTGISFYLKAEGLFPEWVPALALTGILVYVGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVNLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVATLHLAPPQPPPATSRSGTAAVAAAQGQVDGAAAPYGGEAEGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDFGLSNSEYGVFGSVLAIGAMLGALTSGSLVDNLGRKMVPVFISEIAPKDLRGGLASSNQANTGKVKDFHASLQKLRGENADISEEATGIREYIESLRSLPKAKVQDLFQRKNMYAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPITLFGALLMDKSGRRALLLVSASGTFLGCFLTGLSFYFKVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFSFLMDWNSAGTFFLFSAASFVTVLFVAMEDTRRDPRVAHMTARDGWLDKHATWEFTVLEKYHSMKDVDFVVIMMSILLYMMAQDCLLEQVNDNGSSPTSKHPKDDKTNRGKIVKVVDDPVLKITGVMNNVRNLAYLATNKDITNMSKGMLLLSM >LPERR03G15850.1 pep chromosome:Lperr_V1.4:3:12008927:12009418:1 gene:LPERR03G15850 transcript:LPERR03G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVDKTNGKRTSKPSVEMDDNGKLPWSEAERQCDIWQHRHRHSLASGRDRRPTIIGPCYVSTRLGSAYGPGWRTAIGGGRSRRLRPSSRPRAVVREACRAYQRRGEASVNSSASLQAAAVDCMCGWPRRTVESAFRMADRRENVSSLIRHTIDKGKMSCSGV >LPERR03G15870.1 pep chromosome:Lperr_V1.4:3:12016952:12022918:1 gene:LPERR03G15870 transcript:LPERR03G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSRSYSPPRRRYSRSPPPRYKRYDDPRDRYPRGGGGPRRGYGGPYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQEMNHQVISGREISIVYAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSGSPRSRHHWITVMIIHLGIRTLHMPRTRGTTGRMVDLQAQMNVSVMYLHPIMNMVHQLMEKALKDPYPKVEQQFAVWNCRTEFGIRQTVPFVLFCLE >LPERR03G15880.1 pep chromosome:Lperr_V1.4:3:12025919:12036371:1 gene:LPERR03G15880 transcript:LPERR03G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHFLRLVHAACGNGAKDLVLGVERYCCERPNPILQVFYVAIIGVTYFIIVQTSFQYIPGYYVSGFHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYISAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCVGEKNTRYFVAFLVWHFLLCLYGAVILGFILAGELKEKKVIYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLSVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWKKKVNEEKAAANGGVRKSPPSKWKAFFSKSHTQSDEKVVKNNIYDKGMIRNLCEVIVPLSERQPFSRRKSE >LPERR03G15880.2 pep chromosome:Lperr_V1.4:3:12025694:12036371:1 gene:LPERR03G15880 transcript:LPERR03G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHFLRLVHAACGNGAKDLVLGVERYCCERPNPILQVFYVAIIGVTYFIIVQTSFQYIPGYYVSGFHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYISAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCVGEKNTRYFVAFLVWHFLLCLYGAVILGFILAGELKEKKVIYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLSVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWKKKVNEEKAAANGGVRKSPPSKWKAFFSKSHTQSDEKVVKNNIYDKGMIRNLCEVIVPLSERQPFSRRKSE >LPERR03G15890.1 pep chromosome:Lperr_V1.4:3:12032013:12037072:-1 gene:LPERR03G15890 transcript:LPERR03G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVYSLKSSKGPLFPLRSILVFLIALFGFYVCYFSFNQITLENKENLISGEEQIRTHCARHSTPDELMQYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSLRERREDTSSIFRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGLMDHYHDIVNYLNNKGVMVIFLFRRNTFRRLISVLANDYDRKTKQLNGTHKAHVHSKKEADILARFKPKLDVPTLIPSIRAAEQSVRTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPVMRLFSRHVKIHTSPLPDLVDNWEEVSEKLNGTQYAHFIDNADYDK >LPERR03G15900.1 pep chromosome:Lperr_V1.4:3:12040030:12040718:-1 gene:LPERR03G15900 transcript:LPERR03G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFIQCDPTETTLSIKQKLHSIIDQPPGNQQLILVATNDSVLDDSKTLADQKVENDAVVALTLRKDDNEFEEISIARPEDFMSSS >LPERR03G15910.1 pep chromosome:Lperr_V1.4:3:12049416:12052131:1 gene:LPERR03G15910 transcript:LPERR03G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPWRPMLASATKCCTADDAVVAAAAAQGTEGGLSRYRASRSEFSRRLASFRKLSSMTNSPASGGTPVAGDGNKDGGDGGGGGEVVGPLQLYSFSFSELRGITHDFSSSYLLGEGGFGAVHKGFVDSGMRPGLLPQPVAVKQLDIAGLQGHREWLAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKRISATLPWGTRIKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSFGVVLLELLTGRRALERVRSAKNSNGAGAEQVVKIVDWSRPYLVGGSSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTSPQPRDRPRMAAVVDALEKLVGLKDMAVAVGLWPANAPVAGRNAISAKIRAEVRGGGAVSRRRSASAKLP >LPERR03G15920.1 pep chromosome:Lperr_V1.4:3:12053451:12060736:-1 gene:LPERR03G15920 transcript:LPERR03G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIISEKAIQSCHQLYQPTSSSAIGILPTYEYETREKAEQSKAPCTKPSPRKPLLVRSPNHSKIQPQVHPHCGRRRIGDNLRPVRAALGCGDPCPALEEGGGGGVSNPRLAALRATLDYGGKAQSETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEIPSLKETATKETADLPDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVSGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTKLAKSLKLASEDAKRIVEEERASAHTEIESARSALQRVQEAVQEHEKMSKTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFAERSTDCVNLLKELELHKRPEENDIPLFDLEGLQCLGSILRIVTQNGVAMDFSNITIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEINFGGEIAIAKTAGPIDPDAGLVDYVETLVKKRETEFNVVVLQLNGIDQPKESVHVLNVGKLRMRLSKGKAVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTVIMLTRRFAIDCNIILAGPVTVLLRGDSVGTILAASVDVFPSL >LPERR03G15920.2 pep chromosome:Lperr_V1.4:3:12053667:12060736:-1 gene:LPERR03G15920 transcript:LPERR03G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIISEKAIQSCHQLYQPTSSSAIGILPTYEYETREKAEQSKAPCTKPSPRKPLLVRSPNHSKIQPQVHPHCGRRRIGDNLRPVRAALGCGDPCPALEEGGGGGVSNPRLAALRATLDYGGKAQSETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEIPSLKETATKETADLPDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVSGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTKLAKSLKLASEDAKRIVEEERASAHTEIESARSALQRVQEAVQEHEKMSKTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFAERSTDCVNLLKELELHKRPEENDIPLFDLEGLQCLGSILRIVTQNGVAMDFSNITIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEINFGGEIAIAKTAGPIDPDAGLVDYVETLVKKRETEFNVVVLQLNGIDQPKESVHVLNVGKLRMRLSKGKAVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTVIMLTRRFAIDCNIILAGPGDKTPW >LPERR03G15930.1 pep chromosome:Lperr_V1.4:3:12072903:12076235:1 gene:LPERR03G15930 transcript:LPERR03G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLEYWRGFFSGARAGIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALAVLPPPSQAPPPKEVAPPRPDQQLFLPAAEAFRVKAALSDAQEKSEAELLELLRSLQKLEFTVDTIRVTEIGTAIRPLRKHSSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDNTPQSMDGSCLEQEGGLPSPPMDEAALFATPCTSIQLSEFFDEMDDDGNIRTNAEECAQQQYPTNQEPAKKPLPMGQGYDPEQNWKLDQSAMRQSQPYEPFDWQMKQQSITQSQRKPSAAPHGPWTPQKMHLEPKCSEMRPKKQQDTSVAQRRPKPTMPNQLQSKVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSGKPRNNSNTSLRTLQLRTMNQAK >LPERR03G15930.2 pep chromosome:Lperr_V1.4:3:12072903:12076235:1 gene:LPERR03G15930 transcript:LPERR03G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLEYWRGFFSGARAGIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALAVLPPPSQAPPPKEVAPPRPDQQLFLPAAEAFRVKAALSDAQEKSEAELLELLRSLQKLEFTVDTIRVTEIGTAIRPLRKHSSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDNTPQSMDGSCLEQEGGLPSPPMDEAALFATPCTSIQLSELHLCVSDIRTNAEECAQQQYPTNQEPAKKPLPMGQGYDPEQNWKLDQSAMRQSQPYEPFDWQMKQQSITQSQRKPSAAPHGPWTPQKMHLEPKCSEMRPKKQQDTSVAQRRPKPTMPNQLQSKVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSGKPRNNSNTSLRSRLGIRR >LPERR03G15930.3 pep chromosome:Lperr_V1.4:3:12072903:12076235:1 gene:LPERR03G15930 transcript:LPERR03G15930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLEYWRGFFSGARAGIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALAVLPPPSQAPPPKEVAPPRPDQQLFLPAAEAFRVKAALSDAQEKSEAELLELLRSLQKLEFTVDTIRVTEIGTAIRPLRKHSSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDNTPQSMDGSCLEQEGGLPSPPMDEAALFATPCTSIQLSELHLCVSDIRTNAEECAQQQYPTNQEPAKKPLPMGQGYDPEQNWKLDQSAMRQSQPYEPFDWQMKQQSITQSQRKPSAAPHGPWTPQKMHLEPKCSEMRPKKQQDTSVAQRRPKPTMPNQLQSKVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSGKPRNNSNTSLRTLQLRTMNQAK >LPERR03G15930.4 pep chromosome:Lperr_V1.4:3:12072903:12076235:1 gene:LPERR03G15930 transcript:LPERR03G15930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLEYWRGFFSGARAGIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALAVLPPPSQAPPPKEVAPPRPDQQLFLPAAEAFRVKAALSDAQEKSEAELLELLRSLQKLEFTVDTIRVTEIGTAIRPLRKHSSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVENTDNTPQSMDGSCLEQEGGLPSPPMDEAALFATPYIRTNAEECAQQQYPTNQEPAKKPLPMGQGYDPEQNWKLDQSAMRQSQPYEPFDWQMKQQSITQSQRKPSAAPHGPWTPQKMHLEPKCSEMRPKKQQDTSVAQRRPKPTMPNQLQSKVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSGKPRNNSNTSLRTLQLRTMNQAK >LPERR03G15940.1 pep chromosome:Lperr_V1.4:3:12087767:12091768:1 gene:LPERR03G15940 transcript:LPERR03G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLSSLTTIKLMCFFILFLHGMHAAEPVGDLAAGNGLGMLGFSHMFAIGDSATDTAERLGLPFLKPFLRGKTEEDFQKGANFAVGGATALSQDFFKEMGLDITNIPPYSLDVQLDWFKGVLHSLASTNKELKKIMSKSMFMMGEIGGNDYGYFFAQNKSFTNKIKPLVPKVTAKIENAIKVLINLGAKTIIVPGVFPAGCLPRYLTMFRSNSAPEDYDAFGCLKWLNEFSDYRNCAIKRMLLQIPHSPRITILYGDYCNTVLEIIRHPAIHGFKRETVLMPCYADGSLCPDPSTYISWDGLHLTEAAYKFVASHMLHGPYSESTICPK >LPERR03G15950.1 pep chromosome:Lperr_V1.4:3:12093737:12096349:1 gene:LPERR03G15950 transcript:LPERR03G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSFVSLRSMILLLYLLLCTRSTTAAVKSVGYQPGASGGYGLFKLMFAIGDSIADTGNAATLNPNASFNKLSYGETFFGRATGRYCYGRVIVDFLERKEIVSKSMVLLGDIGGNDYNQPFFQNRSFASEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPGYLGLFQGKLGPEDYDVFGCVKWLNDFSEYHNHALKRMLNRIPHDPMVIILYGNYYSTTLEITHHTEIHGKAILGQKEFLCSCMPQAQSHTV >LPERR03G15960.1 pep chromosome:Lperr_V1.4:3:12099435:12107767:1 gene:LPERR03G15960 transcript:LPERR03G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLSSSTPTTMLLLFLLICTHAVTTTTAEFDNDGHLVSGCGGFTRMFSFGDSITDAGNLATVNPTGTFNMFPYGETFFGHPTGRFCDGRLIVDFIADGLGLPFLTPFLRGKTAEDFRQGANFAVAGATALSQDFFKEMGLDLTIIPPFSLDVQLEWFKGVLQSLASTDKERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTIEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCIPRYLAMFQSKSSPKDYDEFGCIKWLNDFSEYHNNALKHMLHQIPRDPTVNILYGDYYNTALKITHHPIKHGFKKETVLVACCGDAEALRLPYLTAFLRGNRTAAAAEEFRQGANFAVSASTALRQDFFRERGLDMTIIPPYSLEVQMVWFKQVLHLLGSTDQECKDIMARSLFLMGEIGINDYNHHLFQNRSFTVEIKPLVPKVISKIADAIKVLIDLGAKTVVVPGIPPMGCLPRFLNMYPGKNHGDYDKFGCLKWLNNFSKYHNRELKRMLRGIIPPDPTHTLVYGDYYGAMLRIVRSPMNKGFTKESVLTACCGAGGPYNANSLVCNATSNLCPEPSRYISWDGLHLTEAAYRSMAEGLLHGPYAQPAIPSRCTSR >LPERR03G15970.1 pep chromosome:Lperr_V1.4:3:12107694:12110378:-1 gene:LPERR03G15970 transcript:LPERR03G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPLLNRVLVEKLAVPKKSAGGILLPETTKQLNSAKVVAVGPGERDREGKLIPVSLKEGDTVLLPEYGGTEVKLAEKEAKL >LPERR03G15980.1 pep chromosome:Lperr_V1.4:3:12112255:12115086:-1 gene:LPERR03G15980 transcript:LPERR03G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNMIEECGKRFSKDERGEARNEDEQVEEFLEIVKEVFPPPPPKPEAMIE >LPERR03G15990.1 pep chromosome:Lperr_V1.4:3:12132138:12140276:1 gene:LPERR03G15990 transcript:LPERR03G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAAAVVEEEVVDAGAAAAAATAPATPAGAAAKQPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFTPPSPAKHIRALLARRHGSVKPNEASIPESGEPGGGGVALDKSFGFSRHFAAKYELGREVGRGHFGYTCAAMCKKGELKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAFEDEENVYIVMELCKGGELLDRILARGGKYSEGDAKVVIRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTADQRYYLREQFELLGPNKSDLITLQNLKMALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSASAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRNIPKT >LPERR03G15990.2 pep chromosome:Lperr_V1.4:3:12132138:12140276:1 gene:LPERR03G15990 transcript:LPERR03G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAAAVVEEEVVDAGAAAAAATAPATPAGAAAKQPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFTPPSPAKHIRALLARRHGSVKPNEASIPESGEPGGGGVALDKSFGFSRHFAAKYELGREVGRGHFGYTCAAMCKKGELKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAFEDEENVYIVMELCKGGELLDRILARGGKYSEGDAKVVIRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTADQRYYLREQFELLGPNKSDLITLQNLKMALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSASAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRLFRGVYK >LPERR03G16000.1 pep chromosome:Lperr_V1.4:3:12142599:12148117:1 gene:LPERR03G16000 transcript:LPERR03G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGGGGRKPRMPPLPPARTLLTSVAAAAALAILCFLSSSSSSSSRTSSLRGSWGSATAKGGEKYLYWGGRVDCPGKHCSTCAGLGHQESSLRCALEEALFLGRTFVMPSRMCLSSVHNTKGILHSSNSTSEQRWEENSCSMDSLYDIDLISKTVPVILDNSKTWHHIISRSMKSDEGGVAHVQGIGRTELKENPLYSKALLINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRNAANKMKQILGDYDAIHVRRGDLLKNRRDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPPGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILESIIENNYQLFMVERLMMRGAKTFVKTMREFDNDLTLCDDPKKNTKVWQIPVYTDD >LPERR03G16010.1 pep chromosome:Lperr_V1.4:3:12150327:12153424:-1 gene:LPERR03G16010 transcript:LPERR03G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGGGEMVVSMEGGSSAAAAAAVAPAPFLSKTYQLVDDASTDHVVSWGEDEATFVVWRPPEFARDLLPSYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCSHPPPLHLPMHHPYHHLSLFSPPPPPTTTTTCPPFGAAAAHQYFQLQEDQYYCSSSPADVVTGGDLVAALSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVESAISPSSVAGGAGCRLVELDPTSPERRRGDDDDDAAVRLFGVRLDDHNEVGKKRRAKLVEGDEEQGSEV >LPERR03G16020.1 pep chromosome:Lperr_V1.4:3:12163861:12164928:-1 gene:LPERR03G16020 transcript:LPERR03G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHSLHLVHVKLLAADLLTLTSRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHQYLNANNSSSRGSDSDPTAEMALRMSEVMSLGTVLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDLVLLVNVHVIMVRIELNMREYGQPHYHLVRIAIEKEKQSNQRYRCSMLIWLMRTEKADILGVQP >LPERR03G16030.1 pep chromosome:Lperr_V1.4:3:12167136:12177738:1 gene:LPERR03G16030 transcript:LPERR03G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEADDLPPPPAKKKSPAEEEAEKRRKKLTPGSLMKGLIRSGSGDATPAEGDQVVLHCTTRTMDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMKPQIHYAEDDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIVDEGKGWETPREPYEVTTWITARTADGKELLPSKEEPYFFTLGKSEVPKGLEMGIGTMAREEKAVIYVSSAYLSNSSLMPQLEGLEEVHFEVELVQFVQGMLLDESKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDADKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRNSLHLNVAACYQKMGEYRKSIDTCNKVLEANPAHVKALYRRGMSYMLLGDFDDAKKDFEKMMAVDKSSEPDATAALLKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVVEPEVNKNASDATASAESASSTDRDADATKAAALPREDSELAFEEERPGLLGRIWPSASRIFSSLGLNRCTIL >LPERR03G16030.2 pep chromosome:Lperr_V1.4:3:12167136:12177738:1 gene:LPERR03G16030 transcript:LPERR03G16030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEADDLPPPPAKKKSPAEEEAEKRRKKLTPGSLMKGLIRSGSGDATPAEGDQVVLHCTTRTMDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMKPQIHYAEDDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIVDEGKGWETPREPYEVTTWITARTADGKELLPSKEEPYFFTLGKSEVPKGLEMGIGTMAREEKAVIYVSSAYLSNSSLMPQLEGLEEVHFEVELVQFVQVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDADKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRNSLHLNVAACYQKMGEYRKSIDTCNKVLEANPAHVKALYRRGMSYMLLGDFDDAKKDFEKMMAVDKSSEPDATAALLKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVVEPEVNKNASDATASAESASSTDRDADATKAAALPREDSELAFEEERPGLLGRIWPSASRIFSSLGLNRCTIL >LPERR03G16040.1 pep chromosome:Lperr_V1.4:3:12194450:12200780:1 gene:LPERR03G16040 transcript:LPERR03G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFADYGARWKLMRKLASVHLLGARALADWACVRRDEAKRLVRGMAEAAAAGREVVIPEVLVCALANIVGQITVSKRDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHNKFDELITKLLAEHAATAGDRAGRPDFVDRLRAAIGVDDEDGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMTEMLRNPSVMARAQEEMDRVVGRGRLLQESDIPNLPYLQAVCKEAMRLHPSTPLSLPHFSFDECDVDIPGADGYRVPANTRLLINIYAIGRDPSAWEEPERFMPERFMPGGGAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFEWRVPGGGEEKIDMSETFGLALPKAVPLRALVTPRLEPAAYA >LPERR03G16050.1 pep chromosome:Lperr_V1.4:3:12201845:12202675:1 gene:LPERR03G16050 transcript:LPERR03G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEFRLMEAPKKWACRTVYMTQRCGKLCAAADETDALEIWVLEDYPNPRSWRLQWRINYPAGVVGGLIDARGFRVRFFAGTTVEVLPDGVNDGDDVGGEEILFMFGLFGGEEFVYNVRSAAWRRRRRILPSTGCRVMMHRQCILPHEVSFGDALLVPGARGIDGQYCYPIY >LPERR03G16060.1 pep chromosome:Lperr_V1.4:3:12205221:12206308:-1 gene:LPERR03G16060 transcript:LPERR03G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAAALPDDLVEEILLRLPARSILRCRAVCKSWLSLTSCPDFLRAHVHHARSRPSPAAATACTVETAAVFCHEMTTTVRITERRLTDGDRSASTPRTAVSFAAMWGMPALFVGCWDGVTSTCTCSATRSPTPAPPCRPRLSPPHGVSSSPAGYAPPATYATLPPPACRRLRLYNGDLPSPARDGDNNNVWCPISAGNTGPRSSIRFGIDAAPPHPALAVNTTTPHLRVQHGTLGVPANGSASSPVGQCIGSTDRRPLRQANALSMWVLEDYFDPTSWRLERRIDYSSYSCIAAFRELFSTATAVEVLPNGVDDDAVQQSLPSGGEEAVYNVGRAAWRRRTFPRSTPDV >LPERR03G16070.1 pep chromosome:Lperr_V1.4:3:12207714:12211732:1 gene:LPERR03G16070 transcript:LPERR03G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALENDNAGPEVVDPNDDDEYGSDDEEDHVLMQKKQSKNMKRKTRQGKALEKKAARSFMDVLHEANLESLPPHVPTYSRAAVGPPSTSSRRYYCSVCGNAANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >LPERR03G16080.1 pep chromosome:Lperr_V1.4:3:12214815:12218560:1 gene:LPERR03G16080 transcript:LPERR03G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPAGVTVHITGFKKFHGVAENPTEKIVRNLQPFMKKRGLPKGLTLGSCTVLETAGQGGLGPLRDVFESAIVDKEYGLNDQGQVILTTLPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQNGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASLKYAQ >LPERR03G16090.1 pep chromosome:Lperr_V1.4:3:12223463:12245153:1 gene:LPERR03G16090 transcript:LPERR03G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMKLAVAVTCLLAFASACHGLQLGYYKYSCPRVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEKFVYKDAGIGAGLIRLVFHDCFVELAVAVACTLLLASVCSGLEVGYYKKTCPRVETIVRAEVKKFVYKNAGIGAGLIRLLFHDCFVES >LPERR03G16090.2 pep chromosome:Lperr_V1.4:3:12245127:12246436:1 gene:LPERR03G16090 transcript:LPERR03G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRLPLVTCALLLLAVASQAHPYWPLELAFYRDKCPQAESIVKSVVGHFIHKNPGNGAAVIRMLFHDCFVEFHP >LPERR03G16100.1 pep chromosome:Lperr_V1.4:3:12252681:12252953:1 gene:LPERR03G16100 transcript:LPERR03G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLAAVFTAVLMAAVTTTMCQAAAVGPYYRPPNPSTCGLKVGYYNDKCPHAEAIVKNVVGAAVLRDPGVGAGLIRMLFHDCFVEVPT >LPERR03G16110.1 pep chromosome:Lperr_V1.4:3:12267661:12267924:1 gene:LPERR03G16110 transcript:LPERR03G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSALLVSCAMLMAAMMASQVGEVAGFYTPPNPSTCGLKVGYYQDKCPHAEAIVKNVVGAAVIQDPGVGAGLIRMLFHDCFVEVG >LPERR03G16120.1 pep chromosome:Lperr_V1.4:3:12271592:12273772:-1 gene:LPERR03G16120 transcript:LPERR03G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSFKPTVKKKFVLNLPAGFHFKPTDDELVVHFLRRKIAGQQMLLPIFIDLFEDRLSNVVDAKQLCPDPAPLVEKYEEYGEVRWFFFNKREKKYNIGTQPIRTTPNGTWKATGSFRSIRTGAGDDGEGEVVGRVRTLVYYANGDDQPTEWTKYEYENVASLEEAQAKTTNKLDEWVLWTIQKKQNCGKNGVRKGKKGMKRKEPSPDSGNNGGNSSADEEQDDRSATTGDKEGRKQRKGRKKGKKANVVEETPNAKQINADIFLVKRSPAPLPPPLPEPSQSLPQVNNSINIFQDLQPLAEHVPHLHSEMMGMNNFSLDPNQSIQQHQQAIVSTMPMDPTASNSTAFSQSQLIVTSQPQGVLELTRTMPFLSPTLSPSAMTMAWAQTLSVSHESSSSHYGANMMMSQNSIVNRTFPNFFRYDYTYEAADPIGHSYHHAAVAMQNNPMGRNSYANFGHGNGFFGDVQFNVAAPSPRRMF >LPERR03G16130.1 pep chromosome:Lperr_V1.4:3:12274022:12275587:1 gene:LPERR03G16130 transcript:LPERR03G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRNGAESHPHRSLAPRIPRYLLAAGAVAAAVQSLVDASPPRPRSQTLHAQILTSGLRPTDGLSVKLLLLHLRCGSHHNARAVFDGMPAPTHAAHNYLVAGYFRLGFPEEALGIVRRLARCTGRMNVFVLSMALKILAALALPAVVREVHARVLRSVVEPDDVLSSALVDAYVKNGEVGYARRVFDVMPARSAMSSMVSLTALIVGCMNKRMYHDAEEIFKGMDEKDVVVYNAMVEGYSKTEETAESSMEVFKAMQRVKFRPTISTFVSVLGACSLLSSPELGEQVHCQVIKSSLFSDVKAGSALLDMYSKCGRVEDGRRIFDRMPERNVITWTSMIDGYGKNGLSDEALQLFDQMQQWRPRGGGGVRPNHATFLSVLSACARAGLLSQGQEVFQSMERDHALTPRMEHYACMVDLLGRFGSVRRAHEFIGGMPVRPGADVWAALLGAATLHGDVEMADLAAREVFELSRGGEGQRPGAYMAMSNTLAAAGEWDGVHQVREMMRRRGVLKDAACSWVGSE >LPERR03G16140.1 pep chromosome:Lperr_V1.4:3:12276003:12280351:-1 gene:LPERR03G16140 transcript:LPERR03G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDCNDFDALACADDLDGAPARVVGFGRPEEGRKRGLGGGSRVAMASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSNRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLVNNKNVVLVDMGAGSSEPTAEDNIQMASDMTNQQLMDAGREQMTQTDQAIDRSKMTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIFRGMES >LPERR03G16140.2 pep chromosome:Lperr_V1.4:3:12276005:12279853:-1 gene:LPERR03G16140 transcript:LPERR03G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSNRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLVNNKNVVLVDMGAGSSEPTAEDNIQMASDMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIEVGTQTASALNQQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIFRGMES >LPERR03G16150.1 pep chromosome:Lperr_V1.4:3:12282214:12297441:1 gene:LPERR03G16150 transcript:LPERR03G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACSNLRFGDDTMGVVDDHSGKEQYTRKFIDNVNSVLRLYTGTMVEELEIQIEMDNMLLGHLNMVSSLTKSLSLDLDLVPMFRLENCDLYMFPFELLDSESISPYTDQLRMFTASRPPSQFSGFPSLRKLDLHFIGTTTKDLQDMLSNCCNLEWLRIAKCPLDDELKVDRPLPHLLHLEILYCRITKTNFYAMKLSTFVYKGLWLPIDLSHSSELKTPAIGALLNVFPNVQNMTLNFFGLEAPYVLDNPCRFSRLKHLQLHLVIYHNDFGKIISLITLMGAAPFLQKLEVHFGSYGLWPFVNKPMNLRSLPNCQYDHLKDVLVTGYKGAIARMGNIIMCEHKRLVYTHTKPSCRKESANVRLEDLPKDVLCTILSKLPPKEVVRTSILSSKWKNIPAVCHKLRFEGVTMVGNNQCGKKECTRKFIDNVNNALRIHCGNMVEVLEVKVEFDHQLVDHLNNWVSFAVSSRVKSLAFDLVPDVFGNSESISCLQHIQLSFVSLRLPSQFRGFPNLRKLDIHYIDTSAKDLHYMLSNCCNLEWLRIARCHLDDELKVDCPLPHLLHLEVLHCRITKIKFCAMKLSSFVYKGQWLPIELSHSSELKNVYVCFMQAIFQHAVSGLLNICPNVQNMRLHFCSVKDQLVLDNSCRFSRLKHLQFGGSALWPFGNKPIILRSLPRCQHSHLKDVLVTGYKGAIGQLEFLVHIVEHTPGLELLTIDTAEKVRNLRDDSLQNESNRGRTPLSPPSADRHRPSYSVARGEAASHGLLLSHGHPPRHSAGDGAAFFLCRARAVEHAGKPSPIDLWRGEKERERLPDRGLVSCFEASLDGPVVWFLAPNNPPHSQDIAAPLSVVQNVTTKKALSQERAEFFESLCDPEISTPLAIATDTDMSDYSSSDGTSKRHAAKVYTIAAYNVFMEVSKATAYIISGVVPNKLYRATHANSEERELWCRSTYVVEIDSTSQKYSCECGLYGHFGIPCCHAISVMLHLGVRCIPQSNLMLRWTKQARYFVPQSLANYTDAGGIAQAEVFRRNVLQTTANELVKMGDANNEAFQILLRYMGDAKKEISKILADKAVAGKVPSDNSEITGQAFQILRLDIIVMLQAGNPDSLA >LPERR03G16150.2 pep chromosome:Lperr_V1.4:3:12282214:12297441:1 gene:LPERR03G16150 transcript:LPERR03G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACSNLRFGDDTMGVVDDHSGKEQYTRKFIDNVNSVLRLYTGTMVEELEIQIEMDNMLLGHLNMVSSLTKSLSLDLDLVPMFRLENCDLYMFPFELLDSESISPYTDQLRMFTASRPPSQFSGFPSLRKLDLHFIGTTTKDLQDMLSNCCNLEWLRIAKCPLDDELKVDRPLPHLLHLEILYCRITKTNFYAMKLSTFVYKGLWLPIDLSHSSELKTPAIGALLNVFPNVQNMTLNFFGLEAPYVLDNPCRFSRLKHLQLHLVIYHNDFGKIISLITLMGAAPFLQKLEVHFGSYGLWPFVNKPMNLRSLPNCQYDHLKDVLVTGYKGAIARMGNIIMCEHKRLVYTHTKPSCRKESANVRLEDLPKDVLCTILSKLPPKEVVRTSILSSKWKNIPAVCHKLRFEGVTMVGNNQCGKKECTRKFIDNVNNALRIHCGNMVEVLEVKVEFDHQLVDHLNNWVSFAVSSRTAKAYPVFSIFSSVLYLYDYLHNSVDQLVLDNSCRFSRLKHLQFGGSALWPFGNKPIILRSLPRCQHSHLKDVLVTGYKGAIGQLEFLVHIVEHTPGLELLTIDTAEKVRNLRDDSLQNESNRGRTPLSPPSADRHRPSYSVARGEAASHGLLLSHGHPPRHSAGDGAAFFLCRARAVEHAGKPSPIDLWRGEKERERLPDRGLVSCFEASLDGPVVWFLAPNNPPHSQDIAAPLSVVQNVTTKKALSQERAEFFESLCDPEISTPLAIATDTDMSDYSSSDGTSKRHAAKVYTIAAYNVFMEVSKATAYIISGVVPNKLYRATHANSEERELWCRSTYVVEIDSTSQKYSCECGLYGHFGIPCCHAISVMLHLGVRCIPQSNLMLRWTKQARYFVPQSLANYTDAGGIAQAEVFRRNVLQTTANELVKMGDANNEAFQILLRYMGDAKKEISKILADKAVAGKVPSDNSEITGQAFQILRLDIIVMLQAGNPDSLA >LPERR03G16150.3 pep chromosome:Lperr_V1.4:3:12282214:12297441:1 gene:LPERR03G16150 transcript:LPERR03G16150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACSNLRFGDDTMGVVDDHSGKEQYTRKFIDNVNSVLRLYTGTMVEELEIQIEMDNMLLGHLNMVSSLTKSLSLDLDLVPMFRLENCDLYMFPFELLDSESISPYTDQLRMFTASRPPSQFSGFPSLRKLDLHFIGTTTKDLQDMLSNCCNLEWLRIAKCPLDDELKVDRPLPHLLHLEILYCRITKTNFYAMKLSTFVYKGLWLPIDLSHSSELKTPAIGALLNVFPNVQNMTLNFFGLEAPYVLDNPCRFSRLKHLQLHLVIYHNDFGKIISLITLMGAAPFLQKLEVHFGSYGLWPFVNKPMNLRSLPNCQYDHLKDVLVTGYKGAIARMGNIIMCEHKRLVYTHTKPSCRKESANVRLEDLPKDVLCTILSKLPPKEVVRTSILSSKWKNIPAVCHKLRFEGVTMVGNNQCGKKECTRKFIDNVNNALRIHCGNMVEVLEVKVEFDHQLVDHLNNWVSFAVSSRHIQLSFVSLRLPSQFRGFPNLRKLDIHYIDTSAKDLHYMLSNCCNLEWLRIARCHLDDELKVDCPLPHLLHLEVLHCRITKIKFCAMKLSSFVYKGQWLPIELSHSSELKNVYVCFMQAIFQHAVSGLLNICPNVQNMRLHFCSVKDQLVLDNSCRFSRLKHLQFGGSALWPFGNKPIILRSLPRCQHSHLKDVLVTGYKGAIGQLEFLVHIVEHTPGLELLTIDTAEKVRNLRDDSLQNESNRGRTPLSPPSADRHRPSYSVARGEAASHGLLLSHGHPPRHSAGDGAAFFLCRARAVEHAGKPSPIDLWRGEKERERLPDRGLVSCFEASLDGPVVWFLAPNNPPHSQDIAAPLSVVQNVTTKKALSQERAEFFESLCDPEISTPLAIATDTDMSDYSSSDGTSKRHAAKVYTIAAYNVFMEVSKATAYIISGVVPNKLYRATHANSEERELWCRSTYVVEIDSTSQKYSCECGLYGHFGIPCCHAISVMLHLGVRCIPQSNLMLRWTKQARYFVPQSLANYTDAGGIAQAEVFRRNVLQTTANELVKMGDANNEAFQILLRYMGDAKKEISKILADKAVAGKVPSDNSEITGQAFQILRLDIIVMLQAGNPDSLA >LPERR03G16160.1 pep chromosome:Lperr_V1.4:3:12308049:12312466:-1 gene:LPERR03G16160 transcript:LPERR03G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSAYPSRTASGFPPPPRVLHSAPPPTKFPTSSARPHRIVHLPFCIAVCAAPIVHLHEGAGKRKAESRRQWRREDGAATLVGRGSDGSRSGWSTGEERRSTRKLCYVPSDAQHESFDKFLFLNNINLGALNRLPSPRPIHLSGRTYSDGAAKTFTGNIDVHQNSASTTVNKVNHFCCFFVF >LPERR03G16160.2 pep chromosome:Lperr_V1.4:3:12308049:12311867:-1 gene:LPERR03G16160 transcript:LPERR03G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINAPAKSGLPNLPQWRANKLGYFLFLNNINLGALNRLPSPRPIHLSGRTYSDGAAKTFTGNIDVHQNSASTTVNKVNHFCCFFVF >LPERR03G16160.3 pep chromosome:Lperr_V1.4:3:12308049:12312454:-1 gene:LPERR03G16160 transcript:LPERR03G16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSAYPSRTASGFPPPPRVLHSAPPPTKFPTSSARPHRIVHLPFCIAVCAAPIVHLHEGAGKRKAESRRQWRREDGAATLVGRGSDGSRSGWSTGEERRWGTVAAPDTLATHRLHRRRRRHAQHESFDKFLFLNNINLGALNRLPSPRPIHLSGRTYSDGAAKTFTGNIDVHQNSASTTVNKVNHFCCFFVF >LPERR03G16170.1 pep chromosome:Lperr_V1.4:3:12318848:12322319:1 gene:LPERR03G16170 transcript:LPERR03G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTQTIVKTEQEANEVIKLSTQWGALTPVDVHPDVSLQVANKINDAFTFANLGNLLANADNLKLKLDEIKKIEQLMAYSHLPSEDADVLKVLRKNN >LPERR03G16170.2 pep chromosome:Lperr_V1.4:3:12318757:12322319:1 gene:LPERR03G16170 transcript:LPERR03G16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFIWLWNLNRFRRWGLNMIGTGNVINSCVTTVNTYSHFLCYVGNYQPPEAHGLMLIPVRCVVLASRGIGGFLQRCASCIESISSAFFSLASGLFFLGNTRDMEDFEHIGDA >LPERR03G16180.1 pep chromosome:Lperr_V1.4:3:12326858:12330963:1 gene:LPERR03G16180 transcript:LPERR03G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAIAGIILPVVVGTTRSTTPDDDLRLRTKYAGLDVPAKKQPPVERAKWKEWRRKHDVDYHGDVSKQSCASLTGWRRSEHGSDGPALGGGVNSQSNCPRVARHVL >LPERR03G16180.2 pep chromosome:Lperr_V1.4:3:12326858:12332114:1 gene:LPERR03G16180 transcript:LPERR03G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAIAGIILPVVVGTTRSTTPDDDLRLRTKYAGLDVPAKKQPPVERAKWKEWRRKHDVDYHGDVSKQSCASLTGWRRSEHGSDGPALGGASATRMVVAASINGGGVIVARWRWRFHSAAAAATTSLSSSSGFTRWRRRRGAMN >LPERR03G16190.1 pep chromosome:Lperr_V1.4:3:12338490:12339273:-1 gene:LPERR03G16190 transcript:LPERR03G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLRLHNKQHMLLKRKLKAKVPVSTAGVRRSPRFKHAGDKLDLGFDVPKKKTKVMPKVQLAGTSKAKSAPPPLSAQELQKIGIDKCGLLPEEVTLEKLLKKAK >LPERR03G16200.1 pep chromosome:Lperr_V1.4:3:12342897:12344605:1 gene:LPERR03G16200 transcript:LPERR03G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPLSFSSLPTHCLLLPCAASPQNPSRRRYAAAAGPARSGEPPPHRTPARPAQGGARVRRPDAQANAPVRRPGNPFFPPLLWDWADAAVLASSGETPAAVPDGAPGRGQASQLDLSVRCEAIDWISKFSSNLERSSAGVMRMPMFSKKQVVEFILRGITEFLKCVTIQQVHMLAITSTTPIVQICLG >LPERR03G16210.1 pep chromosome:Lperr_V1.4:3:12349396:12353954:1 gene:LPERR03G16210 transcript:LPERR03G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPVARAVAEEVARWGAMRQTGVTLRYMMEFGARPTERNLLRSAQFLQRELPIRIARRALDLDTLPFGLSAKPAVLKVRDWYLDSFRDIRYFPEVRNRDDELAFTQMIKMVRVRHTNVVPTMALGVQQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISTKLSPMRLAQLASEDARAICMREYGSSPDVNIYGDPDFTFPYVTPHLHLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >LPERR03G16220.1 pep chromosome:Lperr_V1.4:3:12355581:12359276:1 gene:LPERR03G16220 transcript:LPERR03G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRSAVASAAAAVKAKTSVWWDVDRCGVPPGCGDPHRVAHGVIAALAAAGYTGPVSIFAYGDAARLAPPLLEALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAIDNPPPGNYLLISGDQDFSDMLHRLRMKRYDILLAQPSNVSSRVLAAAAKTVWSWERLVAGESPLAESTQAHSMPHCNPQLNRLESKSTAKPLKYVKKTDAVLRSAHSQDQVLSVDGFSDDFAGSTGSEQDKSSVSSSSSSSESLEADLPCLLGTNPLRILKPVVSTSSQQVGPLNKFIGEKPSTLHKFVPRKGTLDSCVSNEHHHQMFLQSQSSEAKKLQSKYDTAEKNAKKGNQCKSKPIQRYVKKTNTTSNPASNQLDSDGVPRCSVDQPPVLSPPKSESLEFPKVDHLSALSNVTLSQSSAQAPVLSTHVHQVRASQELILGKKPPSTSTENPSRDGTHDSSVSIRHYHSTFQQSQSSQAHHTLHSSYNMIDNNVKATNQHKVRQRQQYVKKTNILSSSVNKEIDLVKGFPGNSEGSILSDPSNSVLSLSNLESLEEAKVNCSTPLNNPTFSLASVHKPVTPSDLQNVGSEFMFGKDPGLSIECMPKSGIFYFGATNGHNSPACQQAQSSLLPEQHKSAPASTVGHSNSVDSDVGSLCPVSTGFDGVPSAQTQTSPSGSTLQNPLDICSDFSRLTISECPPRDPNGTGSTFHPGSGVSFHLSHSSAPQSVQSPSNNYTCRPPHPPTLPRNMLFPVNNRETQGSPPSSSEPEVTIRNILHALHILKAEKIYPTESNIADCIRYSEMNIPGFDVKKALGLAIRHQAVIMKKLVNDMPLYVAKDESIWKCVNVTNSNAKHPKETLDIVRKYISSTDGWSAIKNSQSRYQAATILKKMCLPQHTLGDALQILHIVIVRKKWLLPYSSGWQPLSISTTAVDTTAVAVGEA >LPERR03G16230.1 pep chromosome:Lperr_V1.4:3:12360184:12360390:-1 gene:LPERR03G16230 transcript:LPERR03G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAMLSAGMAPPLGLAEPPALGIPMEGEKWRKQRIMELEVYLRRIDLLQEQARSVLEELKSTPPATQ >LPERR03G16240.1 pep chromosome:Lperr_V1.4:3:12364753:12365334:1 gene:LPERR03G16240 transcript:LPERR03G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARSSSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAVYNKALSGTLLALCGGFCAFSSFTDSYVGSDGRVYYGVVTAKGMKTFSTDADGGGRDLSGYRLRAGDLVHAALALLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFEHKS >LPERR03G16250.1 pep chromosome:Lperr_V1.4:3:12366396:12368201:1 gene:LPERR03G16250 transcript:LPERR03G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPAAAAVASPATDQSKSKLKKKSKKHQEDSSSSPSLAIAAAAPSVAEAEAKTDGYLIKPQSLAPPLDTSSWPLLLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDGTARVARSLEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGESDNMVTMHDVMDARWAMDNYNDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPNEKTPSEWVRNVVLPAGGDAMIASIAAAPEAEKPKVKEEEAAAAEGTKEKKKKKHKDEAGENADEGKKRKVGDDDLSASVSAKKIKVEEDADPVDENKSEKKKKKKKEKTESASVDAEEVKVKAESSDGEKGGSEKKKKKKKSKEGEAVADGAEKSEKKKEKKKKNRDAEGTQ >LPERR03G16260.1 pep chromosome:Lperr_V1.4:3:12369393:12369662:-1 gene:LPERR03G16260 transcript:LPERR03G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTAKFVHLVLAIFLPPLGVFLKVGCEIEFWICLLLSFFGYLPGIIYAVWVIVRD >LPERR03G16270.1 pep chromosome:Lperr_V1.4:3:12373207:12373725:1 gene:LPERR03G16270 transcript:LPERR03G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMPMPPPGPGGDTPAPSMPGMSMPTPTGMSFWWGHRAVVLFSGWPGDRAGAGMYILCLLVVLTLAALVEALSAAAASSRRRHARRLPAAALLAAAVHAAKMGLAYLVMLAVMSFNAGVLLAAVAGHFAGFLLARSGLLGPPAATHEEIDGDGTANGSSLHPPPSSEPKP >LPERR03G16280.1 pep chromosome:Lperr_V1.4:3:12374034:12378992:1 gene:LPERR03G16280 transcript:LPERR03G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLLAAAAAWVWGRQEWRARAVERGFAAQGVRGPRRGGWLRGCNEEPFNLNSYYFGNRQKPYISFCIKSYKVVKEKGGPFLYWLGPQPRICISDYDLVKQILSNKYGHFVKNDAHPVILSMIGKGLLLVEGTDWVRHHRVLTPAFAMDKLKMMTRTMASCAECLIKEWEDQTSTRKSIEIEVEFSKQFQDLTADIICRTAFGSSSKEGKEIFHAQRQLLAIVITTILNVQLPGFKYLPTKRNRCKWTLEKKLKNTLMQIIQSRIASKGNGYGDDLLGVMLDACFSTEQGEKRDELVLTMDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLRVEVLRECGKEKPNADMLSKLKEMTMVLLETLRLYPPVISMFRKPITDMQLGRLHLPRGTAVVIPIPMLHRDKEVWGDDANEFNPLRFENGVIKAAKIPHALLGFSIGPRSCIGQNFAMLEAKSVMAMILQKFSFTLSPSYVHAPADLITLQPKFGLPMLLRALDA >LPERR03G16290.1 pep chromosome:Lperr_V1.4:3:12377275:12379919:-1 gene:LPERR03G16290 transcript:LPERR03G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARKARAREAIAKWWWLMEKGGKEGGDTEGDVMHDAMQTLLLGERVKENFCRIMAITDFASNIAKF >LPERR03G16300.1 pep chromosome:Lperr_V1.4:3:12381383:12392225:1 gene:LPERR03G16300 transcript:LPERR03G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEACIAADQGREKHQLSLSIDEIMHECKTFFFAGYETTSLLLTWTVFLLSVYPEWQARLRLEVLTECGEENPNGDNMSMVFLETLRLYGPALFFQRKALTDITLGETKIPKGSAIIIPLVIMHQDKEVWGDDADEFNPLRFENGVTRAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAMMLKKFSFTLSPSYVHAPADVFTLQPKFGLPVVLAVFMDSIVLLALVALLVVAISWLWDYTIVRLIWRPYRTAKEFREKFGIHGPAYKFLEGNNEEISSMKKEGDGQVLDIHDHNYLPRIAPHYLRWRDQYGEPFLFWYGPKPRICIFDYELARQILSSKSGHFLKNDAPQTLVALMGKGLVLVEGTNWVRHRRVINPAFNMDKLKMMIKTMMDCAQSLANELEDVALKNNNRETEVEFNRKFRELTADIISHTAFGSSYRLGKEAFHAQHDLTEITMATLFQVQLPGFNYLPTERNRRKWKLEKNLRNALMQIIQLRLASKDGEYDNDLLGLMLGACTSDKQGEVSLSMDEIIDECKTFFFAGHETTALLLTWTVFLLSVYPEWQERLRNEMTMVLLETLRLYNPAIFIQRKPTTDITVGSLKIPAGVAVYIPIPIMHRDKQVWGEDADKFNPLRFQNGVTRAAKIPHALLSFSIGPRSCIGQNFAMLEAKSVMAVMLRKFSFSVSPGYMHAPVDIITLKPKFGLPVIVKLLGE >LPERR03G16310.1 pep chromosome:Lperr_V1.4:3:12395011:12399156:1 gene:LPERR03G16310 transcript:LPERR03G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTMRMAGHMDCHLVFPLLEFLQERELYADDEILKAKLALLRGTNMVDYAMDIHKSQNKTDDVPEDMVKRRTEVVSRLRALVEATAPIVSFLQNQQLVQELRPDKQYNLHMLQERFQIGPDQIETLYQYAKFQFDCGNYSDAAIYLYQYRALCTNSERSLSALWGKLAAEILMQNWEVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEKVILNDPFLGKRIEEGNFITVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQLIESLKNLNMRTFMLAKNIVEPAQAAQQATR >LPERR03G16320.1 pep chromosome:Lperr_V1.4:3:12402682:12404031:-1 gene:LPERR03G16320 transcript:LPERR03G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKARHTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNISTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >LPERR03G16330.1 pep chromosome:Lperr_V1.4:3:12406367:12409633:1 gene:LPERR03G16330 transcript:LPERR03G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVLLALVALLVVAISWLWDYTIVRLIWRPYRIAKEFREKLGIHGPDYKFLKGNNEDISNMKEDADGQVLDIHDHNYVPRIAPHYLKWRAQYGEPFLFWFGPKPRICIFDYELARQVLSSKSGHFLKNDTPQTVVALMGKGLVPVEGTDWVRHRRVINPAFNMDKLKMMVKTMMGCAQSLVKELEDVASKNKNRETEVEFNKKFRELTADIIAHTAFGSSFQLGKEAFLAQHELTEITMATLFQVQLPGLNYLPTEGNRRKWKLEKNLRNTLMQIIQLRLSSKDGERGNDLLGLMLDACTSDKEGDANLSMDEIIDECKTFFFAGHETTALLLTWSVFLLSVYPEWQERLRNEVFRECGKDQHPDANSLGKLKEARNKMTMVLLETLRLYNPAIFIQRKPTTDITVGSLKIPAGVAVYIPIPIMHRDKQVWGEDADKFNPLRFQNGVTRAAKIPHALLSFSIGPRSCIGQNFAMLEAKSVMAVMLQKFSFSLSPGYVHAPVDLITLKPKFGLPVILKLLDE >LPERR03G16340.1 pep chromosome:Lperr_V1.4:3:12412626:12412871:1 gene:LPERR03G16340 transcript:LPERR03G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGDASAEKEASSSGCRTTPAAQQGGGGVGVVCPGAPRKRKAAPGPVTQQQQEGTREFYSGADVDAFFASHNL >LPERR03G16350.1 pep chromosome:Lperr_V1.4:3:12421423:12422756:-1 gene:LPERR03G16350 transcript:LPERR03G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKYILIYLSLATCKNQFIMEYSLTINRGTNLCRWSQIAAQLPGRTDNEVKNFWNSYIKKKLRDRGIDPATHKPLATDTNSNNSTSRGGARAAVFSDAELIPPPQPLVDTMQQQQLVAAADGLKTMPLDYWPSAAAGNGAAYHHHALAAAFDMDALSQHCGGVQAASSSSTLTSITGLSPAAAAAADADAGSLQPWLDLGHGSGNSGVVGTMDHYAGVLDELRWSDYFDGAFQAAAATTVTQGGGQCLYDGGAGKDVVVDDDVQFVDVHSLSNWC >LPERR03G16360.1 pep chromosome:Lperr_V1.4:3:12444386:12451775:1 gene:LPERR03G16360 transcript:LPERR03G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSRVVGCFAPADKAGGGGGGVNLEFLEPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVAVDDLGLQRPSSKSFSETTFRTISGASVSANASSARTGNLCVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAAFMSGPLDKGIFMSGPIDGGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRRSHGSGWVQKFLLHPMAQLSLSRDVKCTSEGSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEMSAHVSTVGEGESVAASQDIPDGGGIQFQTDNVKSEQLANSEQQNLSSVKINDKGDLQVQSDLNTSGQGDLVPQVSSDKKLDADEIVEEKVGADIGNDLQSGESYNSGRDISNTDVNASCSCTSEHNTYCNQDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKSSPEGRKRRIIFNMQAGTIDESSRDIAELSRCSLAATGECPDDFENDKSSRQGDRVIGIDPKECNECSVSSSSSSGHKQILRSYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPRVDESVTKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMEIVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDNDQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTNELTICKLKMRAVQLSTDHSTSVDEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKYNDILLEMFRIDYVGTSAYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >LPERR03G16370.1 pep chromosome:Lperr_V1.4:3:12453050:12453742:-1 gene:LPERR03G16370 transcript:LPERR03G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKKIVLKVDMIRSKCKSVAMTTVAKIPGIKSLAADEEKGIMTVIGEVDVVQVVSELRKAKFVAEVVSVGPEKEEKKPDPPKKPDPPKVDDKKPPPPLPCCPGCNSCRPCQCYAIPGAGAGGVVVYDEQADGYGCIIV >LPERR03G16380.1 pep chromosome:Lperr_V1.4:3:12466225:12477606:1 gene:LPERR03G16380 transcript:LPERR03G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASEVGNGDEAKRGKGRPRGRGSGRIGDWCFASAAMRPGDVGGRVAAGETALLVRRPAPDACNEPGTDNGGDEENGDGAEKANKQAVQPINKPMRTYARMRRGRPKKMITEKVDSKQFSNGKILDRNIGDEVLKSEKDLKQRSTGKRLDKTLLKKQHEEEFKVTSFGDKMCDANNKKRKKTHTKGNCLMCHQCQRNDRGRVKEKELEGTLQGVSMDEVKCKASIVDFHRSCKLCSYDLCLACCWELRKGEIPRGEEVMNVHLCKNRGREYAFGNSVSLRRHMKTPSTESHNGMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCCASSLELKCLLPENMISELEYKANKIIKTKAFAEAITKTSDKCPCIDHSSKIRTNAIHKAANRKGSSDNYLYCPDATDIQEDDLFHFQMHWSKGEPVIVSNVLRLTSGLRWEPLVMWRALRERKTNGVVADKQLVVNAMDCLDWCQVEINIHQFFMGYMRECIGRPHWPEILKLKDWPPSSSFDQRLPRHSAEFITALPFPEYTNPQYGPLNLAARIPAALTKPDLGPKTCIAYGYFEEGDSVTKLHCNMSDVVNILMHTAEVSYEAEQLDKIAKITKTMREQDLQELFGVSEPGTMQRQSSQNESNDLDMNDLQSNDFASGCQHIDSDCSEQQNTGGALWDIFRREDSEKLQDYLRKHASEFWHVYHPIHDQLFYLTAEHKRKFRKNMSCINVALDFVSPENVGECVKLNEEVRHLPSDHRAKADKLEIKRIALIALQVVNFLDPLLEGRRGAAIPRPVGAGAGQPPCAPSTLSTSTGGSRPPRAGEDADDLNRSRWQEVT >LPERR03G16380.2 pep chromosome:Lperr_V1.4:3:12466225:12477606:1 gene:LPERR03G16380 transcript:LPERR03G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASEVGNGDEAKRGKGRPRGRGSGRIGDWCFASAAMRPGDVGGRVAAGETALLVRRPAPDACNEPGTDNGGDEENGDGAEKANKQAVQPINKPMRTYARMRRGRPKKMITEKVDSKQFSNGKILDRNIGDEVLKSEKDLKQRSTGKRLDKTLLKKQHEEEFKVTSFGDKMCDANNKKRKKTHTKGNCLMCHQCQRNDRGRVKEKELEGTLQGVSMDEVKCKASIVDFHRSCKLCSYDLCLACCWELRKGEIPRGEEVMNVHLCKNRGREYAFGNSVSLRRHMKTPSTESHNGMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCCASSLELKCLLPENMISELEYKANKIIKTKAFAEAITKTSDKCPCIDHSSKIRTNAIHKAANRKGSSDNYLYCPDATDIQEDDLFHFQMHWSKGEPVIVSNVLRLTSGLRWEPLVMWRALRERKTNGVVADKQLVVNAMDCLDWCQVEINIHQFFMGYMRECIGRPHWPEILKLKDWPPSSSFDQRLPRHSAEFITALPFPEYTNPQYGPLNLAARIPAALTKPDLGPKTCIAYGYFEEGDSVTKLHCNMSDVVNILMHTAEVSYEAEQLDKIAKITKTMREQDLQELFGVSEPGTMQRQSSQNESNDLDMNDLQSNDFASGCQHIDSDCSEQQNTGGVEPWTFEQKVGEAVFIPAGCPHQVRNLKSCINVALDFVSPENVGECVKLNEEVRHLPSDHRAKADKLEIKRIALIALQVVNFLDPLLEGRRGAAIPRPVGAGAGQPPCAPSTLSTSTGGSRPPRAGEDADDLNRSRWQEVT >LPERR03G16390.1 pep chromosome:Lperr_V1.4:3:12480739:12484847:1 gene:LPERR03G16390 transcript:LPERR03G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARKSRKFATFRLFPRAGAADPNDRVFVRVDNNDYTVPGFGDDDAAFDPSLSSTDDAADHFSSGPLPDHLRREILELGLPDDGYNYLHHLRELRPSAASFVPNQDAPPLPLDVKAYDASKVRIASGKVEDELDEGRTMCKVAAKTAPLSRIERAVDPDIARLLDESDASHGGGSEDEGLEEDFVIMANRTEGEEEEDDEEEEVVDDVFLSDVEEEEELEDDEGEPKPRVRRLLDEQFDLLALEEYGDTDDDDDRGVRDGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVHGKLDSSTTEDVDESANVLKKCAEYAEKYLNETAEEEEVVLVSESSDESEMWDCETIVSTYSNLDNHPGKIQTPGNHKKRLPKVFPGETATTKDIIKLQGKEKLPVEYLPQRKRNGVKEKKTKPTEAPTDENFNKGAQKETKEEKKARKAAVKEEKREARKTKKELKGLYKFETQKAQKVAAVTGPASIRLM >LPERR03G16400.1 pep chromosome:Lperr_V1.4:3:12487833:12488762:1 gene:LPERR03G16400 transcript:LPERR03G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRRASLLLAIVLSCVISFTILAAPAAGGKTGELAVFWGRNADEGSLREACDTGIYNTVIISFLAVFGHGNYGLDISGHRPLAADIKHCQKAKNVTVLLSIGGDGDHYSIPTPKSARDVADHLWHAYLAGGRRSVLRPFGDAVLDGVDLYIDHGGSANYDVLVRRLAGYSNGDGGGKRRPVILTATVKCMDGQETSGEEAVAATGLIGRIHVRFYGDRMCSYESSERRPFYGSWLGWTARYTNTSVYVGLPAAWNAASDGWIDPAEMVSHALPLVQGTANYGGVMLWNRYFDRRSRYGVAIKAMV >LPERR03G16410.1 pep chromosome:Lperr_V1.4:3:12489051:12490406:-1 gene:LPERR03G16410 transcript:LPERR03G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAERRRRRKRKTTASDLPDDVVVEIVSRLPPRSIITGWRAVSKSWRRVTASPAFYNTITPRARPLPVAAKVTVQNTTVVCLELFGSHPINAAADNDDDAPPPYPRALWLGGAVPRNNIGHVSTLVLGSWDGVLCLDMSTYPSWKTGWKSVYVLWNPLTNACATVAAPPVVGAGGGGGNFVGAYAHPETGRFHLLHATGRIVGELLMSPAAFLVHTVGGNNDAAWRVAPARPPDEIVMPIHNAARPVALHGKLHWVVQSGGRRDIKLLAFDTVREDFRLMEAPEQMGTSKIETTRVAVPPSPAGKLCTIAMVAGDANSALEMEVWVLDDYGDDRRSWRLMARTQVPWGWYFSMDAQVGVARHGADGEEDVVFVHTNGRVDEYSLRRGSWQANKVGRWFVDFAHENRELVLRHEHSMLPLPLEVSFGAASRVLPRSRSRYEWGKPCYCLV >LPERR03G16420.1 pep chromosome:Lperr_V1.4:3:12492796:12493440:1 gene:LPERR03G16420 transcript:LPERR03G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFRLPSATPANSPAGCDVVSFKAFRRRWCGRSTHHRKPPLCSYSSRSVTTPPHACAGSSTRWSSAPGTACSASSAPFPCESCTYVRRPLIHHHALPPTARRRTPRPRLRARNSLGLGLFVVPTAPAASGTGRRTRGSSVAVLKMHMHAVMHGACSVLRHPARQSPLDRAAAGRARPNGCGWLVFEPAHERFQFD >LPERR03G16430.1 pep chromosome:Lperr_V1.4:3:12500916:12502247:1 gene:LPERR03G16430 transcript:LPERR03G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMTLPPVQQLPDDLLQEILLRLPPRSIARCLAVCKGWRSAISATSFRRAHAERPAVVAIVTAMASYCPECDACKLPDRMLYLSDSANCDAVSFKAPFRWRDGRNTHLHMPHSSRSPRRLVFPSHSGVLDTLVVGSWDGVLCVQRGVPLREYVLWNPTAKTCATVSPPPSRGVIIGGYTHPSTTRFHLLHASPRSLGPGLFAPTAFRIHRVGDGGAWREVPLLLPGGHSLTMHGARSVSLHGNLHWIVQLRGSAAQRLRVLVFEPAHERFRLIEAPPPLAGRGEEDLLARSRVCVMSNGQLCVVAVCPATSTMEMWVLDDDYHSDAPRRWRLKERLSLMVMWCMRDPSTTFTSETQVEVVHGDVEGEEVMVHHNGLVDVYSLRRRRWSSTFCVSRSEVCVVDAALLPYRESVAQPSFGEATRLLQHTVKGEGNCWYYLKSM >LPERR03G16440.1 pep chromosome:Lperr_V1.4:3:12504183:12508877:1 gene:LPERR03G16440 transcript:LPERR03G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAELPADGAVEEITAIVQLPDNVVKDIIFRLPPRNIITGCRAVCKSWRRLTSNPAFYNNFPRRTRDTRPIAAKITVKKITRAGAGGVAGGEPTTEDTIIPLPLEHRRRGLPTSYRRVLSLGAATDKDKLSSLVLGSWDGVLCIAMANAYLLWNPLTNACATVSPPADDGKGKLVGGYAHPATGRFHLLHASGTTTTNHGYGYKYHQLMSPAIFRVQTVGDASWRAGPAPPPRITMPLDASARAVALHGKLHWLVRHGNLLPADQNMKLLAFDTARERIRLKETPERMAALGVEKARITVLPGAGKLCVLAPDSYYSFKIMMWVLEDYGGDHRKSWQVKVTIDLYEMRRWWRPRRKKYWDLYRSYYNTQLEVVQGAEEEEGGEVFVHTGRRVDAYSVRRGRWRRPNNIARSVAGKVHVSMLRHDHGELPLPHEVSFGAASRDIISRLPSHNIITSCRAVCKSWRRLTSKPVFHNNFPRARPRPVAAKITIKRITRAGAGGGEATTTEDTIIRFELFRCHWNTGAAAVPTSYRRVISLGAATDKEKMSSLVLGSWDGVLCIAMANAYVLWNPLTNACATVSPPADDGKLIGCYAHPATGRFHLLHASGTTYVSYHNDYHLMSPAIFRVQTVGDAAWRAGPSPPPKITMPRRASARAGAVTLHGKLHWLVQHGNLLSADQNMKLLAFDTARERIRLKETPERMASLDVEKARITVLPGAGAGDGKLCVLAPDSYYSFKIMMTTAAIDHRKSWQVKARIDLDGIRRWWHPRRGVYWPELCCSTQVGVVQGGEVFVHGGGRVDAYSVRRGRWRRPNDVARSVAGKVHVSMLRHDHGELPLPHEVSFGAASRVLSRSRSLHAWGQCCYRI >LPERR03G16450.1 pep chromosome:Lperr_V1.4:3:12510060:12513114:-1 gene:LPERR03G16450 transcript:LPERR03G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNFRKRNLEADAADHSDDEDARRVALEEIKYMQKLRERKLGIPAAAAAGAASSASPDGASARGRGGGGGLAAAGDAEKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLSGKTKSDANIPSSYNADYFHRGKDYTEKLRREHPELYKDQDSHVNGTGGKSNNPDGAGAGRREAATDELLLERFRKREKFRVMRR >LPERR03G16460.1 pep chromosome:Lperr_V1.4:3:12515195:12520308:1 gene:LPERR03G16460 transcript:LPERR03G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAARGEEVVTDMPSSSGVASCNGIEQPEQNTKDDEYERLVRPAPIATADVNTVVLPEQPTSRSLWWMKVLFGCFLIILASYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGKYKMTPVEITYNIISFIIAVVLTVAFTVYAKRALNDIKESEGILTEEYGRSAGPKNSHQESSPSRPVPLDDVV >LPERR03G16480.1 pep chromosome:Lperr_V1.4:3:12539007:12541705:-1 gene:LPERR03G16480 transcript:LPERR03G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPREYRRRARSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYSGEPRGFGFIQYFDPEDAADAKYHMDGQMFLGREVTVVFAEENRKKPNEMRARERVGSRDRSYGRRSRSRSPGYSPRSRSRSRSYSPVPRRKYYSRSPVRRERSLSRSPVDSRSRSRSPSDDRRSKSPDREKSLSVSP >LPERR03G16480.2 pep chromosome:Lperr_V1.4:3:12539007:12540881:-1 gene:LPERR03G16480 transcript:LPERR03G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYDYSPSPPREYRRRARSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYSGEPRGFGFIQYFDPEDAADAKYHMDGQMFLGREVTVVFAEENRKKPNEMRARERVGSRDRSYGRRSRSRSPGYSPRSRSRSRSYSPVPRRKYYSRSPVRRERSLSRSPVDSRSRSRSPSDDRRSKSPDREKSLSVSP >LPERR03G16490.1 pep chromosome:Lperr_V1.4:3:12544431:12549767:1 gene:LPERR03G16490 transcript:LPERR03G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRRWKGTILLLICLLCNASGAFVGANTIPPPENSPSEFAKIVQSKQTKKAHVLTLNGVADHQSSSLLRSLANTGAEVILTIPNDHLEHLAEFPEEAGLWVAANVARFLPATRITHAVAGDDVAVRSPGNGYFLVPAMANLHAALAAARLDGRVKVSTALSMFQTPPASAWSSAVAEGVLRFLNATGAPLFLKSSPASEAAAATDTEVDAAYGAMKAMGFPAGGIPVIAAPTEEIGGGGARTVVYHWYNLPRHGGGGGARRSLATAGTFCVALQNADATALQAGLNWACGPGQADCSAIQPGGPCYKQNNLPALASYAYNDYYQKMSSTGATCSFNGTATTTTTDPSSGSCVFSGSSMAGGSNTSVPGASPPTTLTPPAGFTPPVGTSPPTEFSPPVVGTTPPAGGFSPPATGFGPPTGFGTPPSAFGPPGSFNGSGSFGPSSTFSPYAAGCRDGVSRARLGAIIVAVLLLSVDLM >LPERR03G16500.1 pep chromosome:Lperr_V1.4:3:12551807:12554387:1 gene:LPERR03G16500 transcript:LPERR03G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTVQNTTGACKHQAVALQLSSDNIIVCQCSLEGYQDMLYAQKGVLFYQECGISVLFHVSTSSSRWAAECCYSVEKIICNRRFWVYLSVISCVVIHAAIVGQDGSDNFSTVATALERSQKRDTILVKAGIYDEIFCVKRLTWNLTLVGDGVGMTIITGNRSTDDGFIMHEMEFSSTGSAESQNCSMLARLPLTGQQNIVKALGRLFTTKDSYCTVTSDVYLGNTFTKTYLSRPRKIYFCTATCLGNTSVETYLGRPWKIFSRVVFMDTFINPAG >LPERR03G16510.1 pep chromosome:Lperr_V1.4:3:12556349:12556672:-1 gene:LPERR03G16510 transcript:LPERR03G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASCAFSDKENVPPPPATASRCPVAHGIAVLKNQRMKRPGGGGGGGKPRRRVPLRDITNLVYLAALPPPPVAVYTEAAGWREEPAAAREGVAAEVRGKLRKEFR >LPERR03G16520.1 pep chromosome:Lperr_V1.4:3:12557198:12560780:-1 gene:LPERR03G16520 transcript:LPERR03G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIQLNQRPSQPQQQVAAGGEEEHHVADTAKTGPATTPRDNHQEEPPPAAAGGGVHGQRSKLTLLQLVFLIYFEVAGGPYGAEKAVSAAGPLFALLGFLVFPFAWGVPVSLVTAELAAALPGNGGFVRWADAAFGPLAGSLLGTWKYLSCVINLAAFPPLVADYLAVAAPGSRARAGAVAGMTILLSFLNYAGISIVGWAAVALAAVSLAPFALMTAMAAPRMRPRRWAATVEGKRRDWRLFFNTIFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAVSYLLPLMAAIGATDVPPEAWENGYLADAAGTIGGRWLKYWTEAGAVLSSVGLFEAQLSSGAFQLLGMAELGLLPSLFAARATTRCCSAATPWVAIAASAAVSLAVSFLGFDDVVATANLLYSLGTLLEFAAFLWLRASRRGAAIKRPYRVPLPLPALAAVCAVPSAFLVYVVAVAGWRVSAVAAGLTALGVGWHGAMRVCRSKKWLRFNTAVAADHHHHLQQDGDAPPAGSV >LPERR03G16530.1 pep chromosome:Lperr_V1.4:3:12571399:12574195:-1 gene:LPERR03G16530 transcript:LPERR03G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVVEGAHSGDASPKPPKNAAGEATAPPPPPPGVAGGGKNKLSLVPLIFLIFFEVAGGPYGAEPAVKSAGPLFALIGFLVFPFVWAVPESLVTAELSTAMPGNGGFVLWADAAFGPFAGSLMGTWKYVSGAINGAAFPALCADYLARVAPAVSGGAPRVAAIVVFNASLTALNLTGLSVVGWTAVALGVASLSPFVLMTGAALPRIRPARWRGTVGAGDRDWKLFFNTLFWNLNYWDSASTMAGEVERPGTTFPAALLSAVAMTSLGYLLPLLAATGATDAAPADWGNGFFADAAGMIAGPWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPSAFSLRAPFFNTPWVSILATSAIALAMSFSSFDTIVASANFLYSLGMLLEFAAFVWLRAKSPAMPRPYAVPLPGAKANAALCLVPSAFLVFVMAIAGWRVYAISAVFTVAGVAVYFFMALCRDRGWLKFSAPAPADGGGGVAMMYRRQGSTASEV >LPERR03G16540.1 pep chromosome:Lperr_V1.4:3:12577400:12580241:-1 gene:LPERR03G16540 transcript:LPERR03G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHPDASALVASASAMAARSLLPPLALLLIVVFAGSLSPAGALRFDLQSGHTKCISDDIKVGAMAVGKYHVVPPTPPDEGSLSSSSSQPQLPDSHRISLRVTAPYGSSLHYAENVQSGNFAFTASEAGDYLACFWAPDHRPPATVGFEFDWRSGVSARDWGSVAKKGQVNMMELELKKLEDTIKSIHEEMFYLREREEEMQELNRRTNSRMAWLSFLSLAICLSVAGLQLWHLKNFFERKKLL >LPERR03G16550.1 pep chromosome:Lperr_V1.4:3:12582761:12586123:-1 gene:LPERR03G16550 transcript:LPERR03G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSLPSPAFLAADPANALPSATILRFPPNFPSAAALAEQALLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDVDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRVYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEDHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDIVQALEKI >LPERR03G16560.1 pep chromosome:Lperr_V1.4:3:12593731:12595509:-1 gene:LPERR03G16560 transcript:LPERR03G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSAALSPHLLPLSSSSSSSSKPASSSVSFTSNKPPQLLRALAVAGWRRSPLFVPVAVAVSEEVETEQEEEGEEEGSEEAEEFSEDLRVFVGNLPFSVDSSQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPAPPREQSSPRAPWGEANRVYVGNLSWGVDNAALANLFSGEGEVLEARVIYDRESGRSRGFGFVTYGSTEEVENAVSNLDGTDLDGRQIRVTIAESRPPRRQY >LPERR03G16570.1 pep chromosome:Lperr_V1.4:3:12598424:12604079:-1 gene:LPERR03G16570 transcript:LPERR03G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNETRGGSGPGRGDAWCEAGDVGRFVVLGGENKTARTKRLGGASVRARGSIERRIQGVAVRRRWRTAGGRCYGRSGSAGDDNRDHSIRQRTIKKKMIMVGPGHRNSHGKRHSDYAESGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQLRADTQAKIRIGESVHGCDERVVTIFSSSRETNTLADAEDKVCPAQDALFKVHERLSGDDDIENEENGEGLAQVTIRLLVPSDQIGCIIGKGGHIIQGIRSDTGAQIRVLSNENIPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQSYPGGSHLGSSSTAPVVGITPLISPYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNAEDDCIITVSAKEFFEDHVSPTINAAIHLQPRCSEKTDPESGVPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALLQITTRLKANFFEREGALSGLPPVIPYHPLPPNVSEGPKYLGRDKPLVHDYPYSSGYRASDDIGPVDSYASYGSSQVSGGGYGGYGGYSGRSGSSGTCRSTQCAGIANLFTA >LPERR03G16570.2 pep chromosome:Lperr_V1.4:3:12598424:12604079:-1 gene:LPERR03G16570 transcript:LPERR03G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNETRGGSGPGRGDAWCEAGDVGVAVRRRWRTAGGRCYGRSGSAGDDNRDHSIRQRHGKRHSDYAESGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQLRADTQAKIRIGESVHGCDERVVTIFSSSRETNTLADAEDKVCPAQDALFKVHERLSGDDDIENEENGEGLAQVTIRLLVPSDQIGCIIGKGGHIIQGIRSDTGAQIRVLSNENIPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQSYPGGSHLGSSSTAPVVGITPLISPYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNAEDDCIITVSAKEFFEDHVSPTINAAIHLQPRCSEKTDPESGVPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALLQITTRLKANFFEREGALSGLPPVIPYHPLPPNVSEGPKYLGRDKPLVHDYPYSSGYRASDDIGPVDSYASYGSSQVSGGGYGGYGGYSGRSGSSGTCRSTQCAGIANLFTA >LPERR03G16570.3 pep chromosome:Lperr_V1.4:3:12598424:12604079:-1 gene:LPERR03G16570 transcript:LPERR03G16570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNETRGGSGPGRGDAWCEAGDVGRFVVLGGENKTARTKRLGGASIMVGPGHRNSHGKRHSDYAESGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQLRADTQAKIRIGESVHGCDERVVTIFSSSRETNTLADAEDKVCPAQDALFKVHERLSGDDDIENEENGEGLAQVTIRLLVPSDQIGCIIGKGGHIIQGIRSDTGAQIRVLSNENIPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQSYPGGSHLGSSSTAPVVGITPLISPYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNAEDDCIITVSAKEFFEDHVSPTINAAIHLQPRCSEKTDPESGVPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALLQITTRLKANFFEREGALSGLPPVIPYHPLPPNVSEGPKYLGRDKPLVHDYPYSSGYRASDDIGPVDSYASYGSSQVSGGGYGGYGGYSGRSGSSGTCRSTQCAGIANLFTA >LPERR03G16570.4 pep chromosome:Lperr_V1.4:3:12598424:12604079:-1 gene:LPERR03G16570 transcript:LPERR03G16570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNETRGGSGPGRGDAWCEAGDVGRFVVLGGENKTARTKRLGGASVRARGSIERRIQGVAVRRRWRTAGGRCYGRSGSAGDDNRDHSIRQRHGKRHSDYAESGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQLRADTQAKIRIGESVHGCDERVVTIFSSSRETNTLADAEDKVCPAQDALFKVHERLSGDDDIENEENGEGLAQVTIRLLVPSDQIGCIIGKGGHIIQGIRSDTGAQIRVLSNENIPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQSYPGGSHLGSSSTAPVVGITPLISPYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNAEDDCIITVSAKEFFEDHVSPTINAAIHLQPRCSEKTDPESGVPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALLQITTRLKANFFEREGALSGLPPVIPYHPLPPNVSEGPKYLGRDKPLVHDYPYSSGYRASDDIGPVDSYASYGSSQVSGGGYGGYGGYSGRSGSSGTCRSTQCAGIANLFTA >LPERR03G16580.1 pep chromosome:Lperr_V1.4:3:12606693:12612746:1 gene:LPERR03G16580 transcript:LPERR03G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGGGGGSQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDVTRAGITQSRKVQCKQYHNHLVYQHLPGGKVILKQLAHMHHLELLLQTTAQRGKCKIGILGTLGMLQLQEHIQVKCTLLRCSMVHQGPSLLLLLLHHSSCILRSRCRRLNMEISLDPQIGDMIWTNPITSQRES >LPERR03G16580.2 pep chromosome:Lperr_V1.4:3:12606693:12611998:1 gene:LPERR03G16580 transcript:LPERR03G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGGGGGSQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYLTRAGITQSRKVQCKQYHNHLVYQHLPGGKVILKQLAHMHHLELLLQTTAQRGKCKIGILGTLGMLQLQEHIQYGASGAFSAPPAAPPLELHTSQQMPPPQYGNQPGPAGAPPTGQPHPYYR >LPERR03G16580.3 pep chromosome:Lperr_V1.4:3:12606693:12613189:1 gene:LPERR03G16580 transcript:LPERR03G16580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGGGGGSQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTSAGWQGNPQAAGAYAPPGAAAPNHSTTGQVQNWNPGNSGYAPAPGAYPGQMYSSPVQYGASGAFSAPPAAPPLELHTSQQMPPPQYGNQPGPAGAPPTGQPHPYYR >LPERR03G16590.1 pep chromosome:Lperr_V1.4:3:12615317:12616430:1 gene:LPERR03G16590 transcript:LPERR03G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLACLATLVVLLSPSSAAGGWSSAHATFYGGADASGTMGGACGYGNTYAQGYGTDTAALSAAMFGDGLSCGACFQLRCAGAAGCLPGKGNIVVTATNLCPANPALPNDRGGWCNPPLHHFDLSQPAFLRIARFQAGIVPVSYRRVTCRRRGGIRFTINGHSYFNLVLVSNVGGAGDVHAVAVKGGSKSTRWQAMSRNWGQNWQSNALLDKQPLSFMVTTSDRRSVVSYNVAPTGWAFGQTFTGRQFT >LPERR03G16600.1 pep chromosome:Lperr_V1.4:3:12617298:12634151:1 gene:LPERR03G16600 transcript:LPERR03G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVEVCSAILRKVEGVKQSYPQTVIFFFSFLRSQQHPPPAGAPPPHGAMAISPPPPSPSSGDPTEAARLAAAAALALPARIWSSILSRLPSLPDRGSFGGGRRRRRPALPLPIRTAAAHSVGVSAEMPKAFGILEDIVQHTLSSLHDIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFAEATLQTLTRVRSNEGPIQYLLHSASDMVSTKLAILTSMQHCLAAFLAEVYCEVDKFREGLTENSDKSIHTLFVVLNTVFSKLEVKLQNVCEGQALLFTHDGSSSELLFERLPEIDDESSEWTEISSIDAISLVYQNLQKLDNFVSSQISSHRKPRHMTVYWLPYTCGALGLSACSLWLLRHSSFMGSSDIDNWIQGAKESIAGFWDVHVEKPVISIRGELFETFKNRGKHVMDKQEVQLNEEVLHRMMLAFCQQTSNEELPQDISEQALMEIFMDRYEKEWTHPVKNLFRGELAYAMLIQLQKRTVDIKQALLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERRLMEFKNCMANGMEEEACCKFGLTLYTLDRLYRAVESHAEETGEWSRLREDILDLSKPTVSVRDKQVVLSRLKGTIAGEMPKAFDILQDVMQHTLSNLHNVQKSLLYWESKVEGTNSQKLYFMIFERGPRAFVEAAWQTLTSLKSNGSPVPHLLHSASDMVSTKVAALTSMQHCLAAFLAEVYFEVDKCREGLTESSDKSLHTLFIVLNSVFSKLEVSFKKAGEGQTLLFTHDGNSPELIIERLPEVDVESSEWTEVLSTDAITLIYQNLQKLDVFISDQFSSHKKPSNMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKQSQDISELAMMEIVMKRYEKEAMHPFQGLSSGQLTRALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGFSLLLLFAVRAWATHEEEACCKFGLILYTLDRLYKAVESHARETGEWSSLREDMFDLAKIDMDIGDKLVLLSRLKGMYDCLLPSPSVLGR >LPERR03G16600.2 pep chromosome:Lperr_V1.4:3:12617298:12634152:1 gene:LPERR03G16600 transcript:LPERR03G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVEVCSAILRKVEGVKQSYPQTVIFFFSFLRSQQHPPPAGAPPPHGAMAISPPPPSPSSGDPTEAARLAAAAALALPARIWSSILSRLPSLPDRGSFGGGRRRRRPALPLPIRTAAAHSVGVSAEMPKAFGILEDIVQHTLSSLHDIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFAEATLQTLTRVRSNEGPIQYLLHSASDMVSTKLAILTSMQHCLAAFLAEVYCEVDKFREGLTENSDKSIHTLFVVLNTVFSKLEVKLQNVCEGQALLFTHDGSSSELLFERLPEIDDESSEWTEISSIDAISLVYQNLQKLDNFVSSQISSHRKPRHMTVYWLPYTCGALGLSACSLWLLRHSSFMGSSDIDNWIQGAKESIAGFWDVHVEKPVISIRGELFETFKNRGKHVMDKQEVQLNEEVLHRMMLAFCQQTSNEELPQDISEQALMEIFMDRYEKEWTHPVKNLFRGELAYAMLIQLQKRTVDIKQALLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERRLMEFKNCMANGMEEEACCKFGLTLYTLDRLYRAVESHAEETGEWSRLREDILDLSKPTVSVRDKQVVLSRLKGTIAGEMPKAFDILQDVMQHTLSNLHNVQKSLLYWESKVEGTNSQKLYFMIFERGPRAFVEAAWQTLTSLKSNGSPVPHLLHSASDMVSTKVAALTSMQHCLAAFLAEVYFEVDKCREGLTESSDKSLHTLFIVLNSVFSKLEVSFKKAGEGQTLLFTHDGNSPELIIERLPEVDVESSEWTEVLSTDAITLIYQNLQKLDVFISDQFSSHKKPSNMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKQSQDISELAMMEIVMKRYEKEAMHPFQGLSSGQLTRALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGFSLLLLFAVRAWATHEEEACCKFGLILYTLDRLYKAVESHARETGEWSSLREDMFDLAKIDMDIGDKLVLLSRLKGMYDCLLPSPSGVLPRL >LPERR03G16600.3 pep chromosome:Lperr_V1.4:3:12617298:12634152:1 gene:LPERR03G16600 transcript:LPERR03G16600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVEVCSAILRKVEGVKQSYPQTVIFFFSFLRSQQHPPPAGAPPPHGAMAISPPPPSPSSGDPTEAARLAAAAALALPARIWSSILSRLPSLPDRGSFGGGRRRRRPALPLPIRTAAAHSVGVSAEMPKAFGILEDIVQHTLSSLHDIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFAEATLQTLTRVRSNEGPIQYLLHSASDMVSTKLAILTSMQHCLAAFLAEVYCEVDKFREGLTENSDKSIHTLFVVLNTVFSKLEVKLQNVCEGQALLFTHDGSSSELLFERLPEIDDESSEWTEISSIDAISLVYQNLQKLDNFVSSQISSHRKPRHMTVYWLPYTCGALGLSACSLWLLRHSSFMGSSDIDNWIQGAKESIAGFWDVHVEKPVISIRGELFETFKNRGKHVMDKQEVQLNEEVLHRMMLAFCQQTSNEELPQDISEQALMEIFMDRYEKEWTHPVKNLFRGELAYAMLIQLQKRTVDIKQALLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERRLMEFKNCMANGMEEEACCKFGLTLYTLDRLYRAVESHAEETGEWSRLREDILDLSKPTVSVRDKQVVLSRLKGTIAGEMPKAFDILQDVMQHTLSNLHNVQKSLLYWESKVEGTNSQKLYFMIFERGPRAFVEAAWQTLTSLKSNGSPVPHLLHSASDMVSTKVAALTSMQHCLAAFLAEVYFEVDKCREGLTESSDKSLHTLFIVLNSVFSKLEVSFKKAGEGQTLLFTHDGNSPELIIERLPEVDVESSEWTEVLSTDAITLIYQNLQKLDVFISDQFSSHKKPSNMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKQSQDISELAMMEIVMKRAMLELDQILRANEINFAILAALPAFGFSLLLLFAVRAWATHEEEACCKFGLILYTLDRLYKAVESHARETGEWSSLREDMFDLAKIDMDIGDKLVLLSRLKGMYDCLLPSPSGVLPRL >LPERR03G16610.1 pep chromosome:Lperr_V1.4:3:12637256:12641250:-1 gene:LPERR03G16610 transcript:LPERR03G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGALLFLLAAAVSLLAALSTGAVDFTYLVTVVGEGSPSPPSSPSWWWWYEEWWVWVRSRAVAPALQVGVWACMVMSVMLVVEAAYNSAVSIAFRLVGWRPERRFKWEPLAGDGDGDEEKGVDAAAAAAADYPMVMVQIPMYNELEVYKLSIRAVCELKWPKERLIIQVLDDSTDAFIKNLVELECEDWAKKGLNIKYATRSGRKGFKAGALKKGMELDYAKQCEYVAIFDADFQPEPDFLLRTVPFLVHNQNVALVQARWVFVNDRISLLTRIQRTFLDYHFKAEQEAGSATFSFFSFNGTAGVWRREAIDDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDIRVKSELPSTYKAYCRQQFRWSCGGANLFRKMVWDVLAAKKVSSLKKIYMLNLHTVPIWILCESVMSMHRLRAAVAGLLQLQEFNQWIVTQKVGNNAFNENNETPLLQKTRKRVNLPEIVLSVFLIFCATYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPSHCCF >LPERR03G16610.2 pep chromosome:Lperr_V1.4:3:12628547:12641250:-1 gene:LPERR03G16610 transcript:LPERR03G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGALLFLLAAAVSLLAALSTGAVDFTYLVTVVGEGSPSPPSSPSWWWWYEEWWVWVRSRAVAPALQVGVWACMVMSVMLVVEAAYNSAVSIAFRLVGWRPERRFKWEPLAGDGDGDEEKGVDAAAAAAADYPMVMVQIPMYNELEVYKLSIRAVCELKWPKERLIIQVLDDSTDAFIKNLVELECEDWAKKGLNIKYATRSGRKGFKAGALKKGMELDYAKQCEYVAIFDADFQPEPDFLLRTVPFLVHNQNVALVQARWVFVNDRISLLTRIQRTFLDYHFKAEQEAGSATFSFFSFNGTAGVWRREAIDDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDIRVKSELPSTYKAYCRQQFRWSCGGANLFRKMVWDVLAAKKVSSLKKIYMLYSFFLVRRVVAPAVAFILYNVIIPLSVMIPELYLPIWGVAYIPTALLIVTAIRNPENLHTVPIWILCESVMSMHRLRAAVAGLLQLQEFNQWIVTQKVGNNAFNENNETPLLQKTRKRVKLKKKHEVNKLRSTHSLAGRRQQQQCNKG >LPERR03G16610.3 pep chromosome:Lperr_V1.4:3:12637256:12641250:-1 gene:LPERR03G16610 transcript:LPERR03G16610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGALLFLLAAAVSLLAALSTGAVDFTYLVTVVGEGSPSPPSSPSWWWWYEEWWVWVRSRAVAPALQVGVWACMVMSVMLVVEAAYNSAVSIAFRLVGWRPERRFKWEPLAGDGDGDEEKGVDAAAAAAADYPMVMVQIPMYNELEVYKLSIRAVCELKWPKERLIIQVLDDSTDAFIKNLVELECEDWAKKGLNIKYATRSGRKGFKAGALKKGMELDYAKQCEYVAIFDADFQPEPDFLLRTVPFLVHNQNVALVQARWIFTVNDRISLLTRIQRTFLDYHFKAEQEAGSATFSFFSFNGTAGVWRREAIDDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDIRVKSELPSTYKAYCRQQFRWSCGGANLFRKMVWDVLAAKKVSSLKKIYMLYSFFLVRRVVAPAVAFILYNVIIPLSVMIPELYLPIWGVAYIPTALLIVTAIRNPENLHTVPIWILCESVMSMHRLRAAVAGLLQLQEFNQWIVTQKVGNNAFNENNETPLLQKTRKRVNLPEIVLSVFLIFCATYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPSHCCF >LPERR03G16610.4 pep chromosome:Lperr_V1.4:3:12637256:12641250:-1 gene:LPERR03G16610 transcript:LPERR03G16610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGALLFLLAAAVSLLAALSTGAVDFTYLVTVVGEGSPSPPSSPSWWWWYEEWWVWVRSRAVAPALQVGVWACMVMSVMLVVEAAYNSAVSIAFRLVGWRPERRFKWEPLAGDGDGDEEKGVDAAAAAAADYPMVMVQIPMYNELEVYKLSIRAVCELKWPKERLIIQVLDDSTDAFIKNLVELECEDWAKKGLNIKYATRSGRKGFKAGALKKGMELDYAKQCEYVAIFDADFQPEPDFLLRTVPFLVHNQNVALVQARWVFVNDRISLLTRIQRTFLDYHFKAEQEAGSATFSFFSFNGTAGVWRREAIDDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDIRKVSSLKKIYMLYSFFLVRRVVAPAVAFILYNVIIPLSVMIPELYLPIWGVAYIPTALLIVTAIRNPENLHTVPIWILCESVMSMHRLRAAVAGLLQLQEFNQWIVTQKVGNNAFNENNETPLLQKTRKRVNLPEIVLSVFLIFCATYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPSHCCF >LPERR03G16620.1 pep chromosome:Lperr_V1.4:3:12650732:12654960:1 gene:LPERR03G16620 transcript:LPERR03G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLTKPPSPRPRRRRCSLCGVCLGTAFLALLVSAFVHLFFPPPRPHHRAPSPRFAVIIDAGSTGTRAHVFATGADGRPDLARSAVMRVSPGLSSFAGEPARAGESLRPLVDFAMEKVGGAGSAAATEVRLMATAGLRLQEWRVQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDELLPSELSRNFTFGETTYTLYSNSFLNFGQNAAHESFHEILRSRDSSKNGTLVDPCAPKGYSRIKEVISTSSSISRSKLEDQFVDSGDGDFTKCRSSSLALLKKGNEECRYQQCQLGPNFVPELRGHFLATENFYFTSKFFGLKQSSSLSDFVLAGKQLCNKDLSTLRQKYPNQSDGDFSRYCFSSAYIVSLLHDSLGVPLDDQRIEYSNQVGDTQIEWALGAFISNIKGAIVEPRGARRSAHKSRSLLAVLLGVFLVGGALWLARWRKPKTKIIYDLEKGRYIITRIS >LPERR03G16630.1 pep chromosome:Lperr_V1.4:3:12656033:12662560:1 gene:LPERR03G16630 transcript:LPERR03G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLDEPVVNTHKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSYGDNSRRNSLKKNDDALPGHKGSVNYFSTLAIDYLTIVLPVLLIFTVLAKWAYTCALCLVMLISIVTVLKRCQSHLSAGLDQLPFLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNITTMSWNKALMSTSPLIFLGFARLISTTGVDYQVHTGEYGVHWNFFFTLAAIAILTSIIRIHPKYCGLVGLLVLAGYQTWLSFGLNEYLISNERNASIISQNKEGYWGMYLIGVSLGYNLFFDNSSKGKCRSTQVVKVWVLAASFWILAVILDSYVERVSRRMCNFAYVMLVFGQNFQVMSVLTLAGFISYEKNLVLEDAFNQNMLGSFLLILIS >LPERR03G16630.2 pep chromosome:Lperr_V1.4:3:12656033:12662560:1 gene:LPERR03G16630 transcript:LPERR03G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLDEPVVNTHKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSYGDNSRRNSLKKNDDALPGHKGVNHISVLAWISCLFSEQIYHHIEYQWYVKVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNITTMSWNKALMSTSPLIFLGFARLISTTGVDYQVHTGEYGVHWNFFFTLAAIAILTSIIRIHPKYCGLVGLLVLAGYQTWLSFGLNEYLISNERNASIISQNKEGYWGMYLIGVSLGYNLFFDNSSKGKCRSTQVVKVWVLAASFWILAVILDSYVERVSRRMCNFAYVMLVFGQNFQVMSVLTLAGFISYEKNLVLEDAFNQNMLGSFLLILIS >LPERR03G16640.1 pep chromosome:Lperr_V1.4:3:12676857:12677720:1 gene:LPERR03G16640 transcript:LPERR03G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDIILVSYVQEHGPGNWRAVPARTGLLRCSKSCRLRWTNYLRPGIRRGGFSDHEERLIVHLQALLGNRWSAIASYLPHRTDNDIKNFWNTHLKKKLLAANHIVSSSSTPPPAKGDWERKLQTDIGLARQALRDALSVNGAAPPAVTVNAGGGDDSAAYALNARDISMMLSGWAAPSGKGAIADGIGAAESALSEVTGAAECSAASSASNSNPVLAPSASASSKATVAGVPPLSAIESWLLLDDSSEPRLALEVEEQLLDVALRNYAF >LPERR03G16650.1 pep chromosome:Lperr_V1.4:3:12694150:12694419:-1 gene:LPERR03G16650 transcript:LPERR03G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRQQHTSRLALLALVCSIMVLLHHATAVHGLRRAELVLGPAPAPAPVPANVVVGVDTTKERFAATTAAARTSKWRVRRGSDPIHNRS >LPERR03G16660.1 pep chromosome:Lperr_V1.4:3:12697437:12701882:1 gene:LPERR03G16660 transcript:LPERR03G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTESRTRTTVFVVVVFGLCSFFYLLGVWQRSGFGRGDSIAGVVNEQTKCVVLPNLNFETHHSASDLPNDTGSTEVKAFKPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKEKLYCLVPAPKDYAVPFPWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPEGADKYIDHLASVIPITNGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQNEQRKIEQFAELLCWNKISEKDGIAIWRKRLNDKSCPMKQENPKVSKCELAYDNDVWYKKMEVCVTPLPEVKSVTEVAGGQLEPFPQRLNAAPPRITHGSVPGFSVQSYQEDNKHWQKHINAYKKINHLLDTGRFRNIMDMNAGLGSFAAALESTKLWVMNVIPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANALFSLYENKCKLEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMKWQTRLADHEGGPHVPEKILFAVKEYWVVESKSS >LPERR03G16670.1 pep chromosome:Lperr_V1.4:3:12704098:12707098:1 gene:LPERR03G16670 transcript:LPERR03G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSVATAVRPAVADKLVHGPISDKKCRKKVPRKIHKSEREKLKRGHLNDLFGELDKMLEADRQSNGKACILTDTTRILRHLLSEVQSLRQENNTLQNESNYVTMERNELQDENGVLRSEISELQSELSMRAPGNPLWSHGTTGSPVPVPHSPSSVFPSQQPFQPSAMASTDCTVFPLQQPTVIEHSYAKQPLELKLFLEAPLVEDQEPSEDQEAPNNVARPQARYPTQASSWPISLGLPRLEDEQCSSSTTSSSKIV >LPERR03G16670.2 pep chromosome:Lperr_V1.4:3:12704099:12707098:1 gene:LPERR03G16670 transcript:LPERR03G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSVATAVRPAVADKLVHGPISDKKCRKKVPRKIHKSEREKLKRGHLNDLFGELDKMLEADRQSNGKACILTDTTRILRHLLSEVQSLRQENNTLQNESNYVTMERNELQDENGVLRSEISELQSELSMRAPGNPLWSHGTTGSPVPVPHSPSSVFPSQQPFQPSAMASTDCTVFPLQQPTVIEHSYAKQPLELKLFLEAPLVEDQEPSEDQEAPNNVARPQARYPTQASSWPISLGLPRLEDEQCSSSTTSSSKIV >LPERR03G16680.1 pep chromosome:Lperr_V1.4:3:12706612:12708771:-1 gene:LPERR03G16680 transcript:LPERR03G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRLPVPPSRRLSSHRRAAPPSRAAAPATGEDEWNDAWETAWLPGDSPASSPAPPAPWESPASESAAAVPAISAEVDPDTKAFVADMDERWAERRGRVRAPRVSAAVAADASGGGEGAAAKKAQADEYRTRKQRVHASLWVKEIEKMEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDSKIPSTTEIKTKPDGWETTSRGQDGSIWDISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPFREELPAIASGSPFRGNRP >LPERR03G16690.1 pep chromosome:Lperr_V1.4:3:12710059:12712502:1 gene:LPERR03G16690 transcript:LPERR03G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLDSEKHIDFSLTSPFGGGEPGRVKGKNQKKASGGGGGDGDEEEE >LPERR03G16700.1 pep chromosome:Lperr_V1.4:3:12712758:12713360:1 gene:LPERR03G16700 transcript:LPERR03G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHDHPSLATQLTQLLATQTGATLYILFDISNHVDRVSTPLPASEEAIQALQDVAVAAADDQQQQQQLAECAICLNHDANGWKAMPCGHRFHGGCLQKWLRMHGTCPMCRHQMPTAPAPPADGEPPESRAILVVMVRAPTTTTAEINGNSETAEEEAAGRREPSDDPNTLFSPRAHLRRRRREDDDEPHRELRRRRDG >LPERR03G16710.1 pep chromosome:Lperr_V1.4:3:12716089:12716610:-1 gene:LPERR03G16710 transcript:LPERR03G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRKCPDDETACGSGPGAAMCVTGCGFFGSESTSNMCSRCYQEHLLLASDNDVAATNREPMGLFASAPPQKKARMGVAVESSPATTAPAADVETTPVSETTKAATAANRCATCRKKVGLTGFKCRCGGTFCGGHRYANAHGCGFDYKGVGKEQIAKQNPVVVGDKLIDRI >LPERR03G16720.1 pep chromosome:Lperr_V1.4:3:12717054:12729046:1 gene:LPERR03G16720 transcript:LPERR03G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGGGLIPSRAELATRFRSISDRLQQNLDASPSIPTIVGRLRSAADQLDRRHQQQQQQQQQQVTTTGGNLDAMGEESRRRRTAAEFLNSRLEYWVTYHSTPPPNSSGAGPASKEAIEALKDVVEQPPSECAICLQDTGDAAAAAGWKEMPSGHQFHGGCLEKWLHVRGMCPTCRHQMPTTPVPAPAPPRAIELGGGDLFNELYAWAPPAMSSRINLQSATAIDALGDVADNDGQDCAICLHEDDTAVAWKETPCGHRFHRGCLEKWLQAAHGHDTCPMCRRKILPEAASGVMASKVGMTFAHGQMVVLTQYEGGGEHLRYRPLADLLNEPADGSRYSSISYVVGRGGAAPASEEAIEALKDVDDQPPAAECAICLHHQGDAAGAAGWKEMPCEHRFHGGCLVRWLRVHGTCPMCRHRMPTVDEEEEVEMEEVVEPVESGEADGGTMEEAIQSLEDVDMTNGGGLQLECAICLDHGEEAAAGWKAMPCGHRFHGGELRRQPAAVNLVMIRIHFSVLEHIYVDGAEKTTMSPTESSDDGVRYE >LPERR03G16730.1 pep chromosome:Lperr_V1.4:3:12731270:12733590:1 gene:LPERR03G16730 transcript:LPERR03G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTGAKSPGAMGGYDDDGDRLARYMSRLEMRVMLAFNDMPEADLYDLLASALRGAATGSGAPAASDEAIQALEDVAITDGSQMECAICLDHGTEAAARWKEMPCGHRFHGACLENWLHLHGTCPMCRHQMPTAEAPPAAPEVEQEEDAGETSEQLLLMVRVHVSGGDDNDGDGTVEVETSHPVLGALVMLAPPAAVLAGYIFRCSGRGLTDSSSSSSSSPEDGCGVDPASREAVEALDDVIVLGDDDGTECAICLDQHPAASRWKKMPCGHRFHGGCACAAPAPCAAAGICHRHRCASVRPLCTHDIFVQNT >LPERR03G16740.1 pep chromosome:Lperr_V1.4:3:12733733:12735058:-1 gene:LPERR03G16740 transcript:LPERR03G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSRRMPIVAAWRCLAVVLVAAAAAFLIGGMPAEARKEGKESIGYYELRRGEFSIVVTNWGATILSVNLPDKNGRIDDVVLGYKNIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAYHTYKNDGNNTLHGGHRGFNQVFWSVRERVTGQFPYITFYYRSYDGEQGFPGALDVLVTYKIDGDASYSVTMYARPVDADKPTPVNLAQHTYWNLRGHGNGTVLDHSVQIFASAVTPVNSTDLIPTGAISPVSGTPYDFRSPASPGARIADVPGGYDINYVLDGEADGQGVRKVAVVGEATSGRVMELWSDQPGVQFYTGNFLKGDEGKGGARYVKYGGLCLETQDFPDAVHNPEFPTEIYRKGQVYKHYMLYKFSLSKK >LPERR03G16750.1 pep chromosome:Lperr_V1.4:3:12736806:12743387:1 gene:LPERR03G16750 transcript:LPERR03G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSDGEAAALVAEVDALRLRVQELERENKRLDKIASRCTCGSKDDSASTSPVVSASLCGSNQESKNIKSHCKGHQVGVVSHCPKRLVALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAGLEEMQKAASKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITKTPRKPQYNMAPEIPLILRSCLFDEVNFLCSSEARIALIEHLKDEYHQYMLQAAIFHEALSCLSFPASYEERVAKIRTKSADSV >LPERR03G16760.1 pep chromosome:Lperr_V1.4:3:12744805:12750521:1 gene:LPERR03G16760 transcript:LPERR03G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPARAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKESMKEYILCEYNRDADSYRSPWSNKYEPPLEDGTVPSEEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDEGFIACFLIKKDGQGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >LPERR03G16770.1 pep chromosome:Lperr_V1.4:3:12748617:12751051:-1 gene:LPERR03G16770 transcript:LPERR03G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGHFIFSAKGPADDTPYELDLELFDAVNVEESRAAVAPRTICYLIKKEESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDEDEDDTADSANKDGEDIKAEGSGEQEAAREAKP >LPERR03G16780.1 pep chromosome:Lperr_V1.4:3:12754455:12758135:1 gene:LPERR03G16780 transcript:LPERR03G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAPAALLESGAMDHIGVIPGSGSGKRGGGSDTGSGVRSGGSGGSGDASVGTSRNRRSRLSAQSTGTSLMPAFDDAAGDGDGRATRSSSGRFEDATKGHNRHRKASQDPNLRHPVFGSYPTQDDEVIVMDGVLFDKNWAVSSPRRSPTLSELGFIPSSPLGSGGRSSSSGFDRQMEPQIGQQGVQGTGFYMPYPLPPPSTSPRGFPLQIGQQVQGIDLYMPRTLTPPPTPSRGFPLQIGQQLQEIGQQLQGSDLYMPRPLTPPPTSPRGFHLQIGQQLQERDNGLYMPRAVTPPPTLQRGFPLLPPSLPFVPDMIPAAQGGFLAPPPSLPLPGVVPPPAGSSPYFTQPRRATRTAVSSRPPPGFNFAPQRRLEAQGPGFYPARSQAPPLPPPRAQKERPEFSWPPKAGVGVVSRPPRPPDSSEPKQPAMKPPESKQPVVKPPPLGLPSPDNTFTWPPTEEEDKIINDVLYGPSNRRRLQVFKSICPD >LPERR03G16790.1 pep chromosome:Lperr_V1.4:3:12758851:12759478:-1 gene:LPERR03G16790 transcript:LPERR03G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRDSTAAASDAGGGVTASAAPLDQAAGKGSATISVTVVLLVLLVASVAAFLMSPQPGGGVEEVGKGAEPVEQAVGHPAATPGFNSRVDAFRAWAKLALMKLRRPHSDEPSRYDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGDAVGKASDKVKGAASSPTQRAPSNAEL >LPERR03G16800.1 pep chromosome:Lperr_V1.4:3:12789239:12790618:1 gene:LPERR03G16800 transcript:LPERR03G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALLTVVLFAHAVAYLAWTAAARRRQSRCYLLDYVCHKPSDDRKVSTEMAGSVIERSKRLGLPEYRFLLRVIVRSGIGEETYCPRNVLDCREDAPTHQDSLDEMDAFFQDSIADLFARTGFSPRDVDVLVVNVSMFCPEPSLASMIVRRYGMREDVAAYSLAGMGCSAGLVSLDLARNALATRRRALALVVSTESIAPNWYTGTDKSMMLANCLFRCGGSAALVTNDPALRGRAKMELGCLVRTNIAANDDAHACAVQREDGDGSVGISLSKALPKAAVRAFAVNLRRLAPRILPITELARFATHLICSRLLHPNTTSKSDGPKINFKTGVDHFCLHPGGTAVIEAVKRSLGLDNDDVEPARMTLHRWGNTSASSLWYVLSYMEAKRKLKRGDKVLMVTFGSGFKCNSCVWEVIGDMADKGAWADCIDAYPPENTANPYMEKFSWINDVDGDSLII >LPERR03G16810.1 pep chromosome:Lperr_V1.4:3:12831863:12833247:-1 gene:LPERR03G16810 transcript:LPERR03G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTPLLAVLLLSVAYMAWAAATRRRTRCYLLDYVCYKPADELTVTAEAAGAVVLRNKRLGVAEHRFLLRLISRAGIGDRTYAPRNLLDGREELAAHRDAEDEMDACFDGTVPDLLARTGLRAIDVDVVVVNVSGFSPEPCLASRLVRRYGMRHDVAAYTLSGMGCSATLVAVDVARNAMRAGGGAATVALVVSTESLAPHWYAGKERTMMLAQCLFRCGGAAALLTSDPELARRAKMELRHLVRATTAASDDAYSCILQREDDDGLLGASIGKALPKAALRAFAVNLRRLLPRVLPAMEIARLAADLARQKILQRRRRLNPKINLKAGVDHLCLHPGGTAVIEAVKKSFGLDERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRQGDKVLMVTFGSGFKCNSCVWEVTGDMDDKGAWADCIDAYPLEMESKPSSILEKFAWLNDEVAGDELSSSF >LPERR03G16820.1 pep chromosome:Lperr_V1.4:3:12835938:12840580:-1 gene:LPERR03G16820 transcript:LPERR03G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLQTIRRFCSARTPPVSRPAPKPLERSKPPSPLAVPAPHAPTHPSFPSPSTPRAAVQTLELHATSARGGAKLQSSLLLPPRFSNLSSPPGPLALDRGILLFRFLSARFSVWGIFFGARGLGGFAAAGFWRLREVGVPLSLTFHLSAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFDSIQSEQAVDEGNENVEVDPAADQAPEIEDVNHKTTVSISEVHKETVVQETAPPVTEVSQPSTVSAVEEPPQVNVVVETYKDPVSEAPTAKDPTAKEEEAQSIAGDLSTMQNEHLHAESNTKPFVEKMQEVGTNETVGVHEMTTADVQSDMASSDVKTDATEAGKVQYDTVSATMDAIPTDADPMDTDAATEKVVLNDLDGNSSVHDEESKDSELMNEDGKPITPKPNDQAKPEMVKPSSGITSIGGDLQPLDDDKELGKNQSSLEYIDSTANVDEGDSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTTEFSSDHVKEASLPDSVVDGSSVDTKEVIAEEKTAASTEKRKLEAEETVTVTEPIKRQRRWAADGAKVPERQPLSHSGSDAPKEIFQPALKRSFGRSDSTVSVDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPVSPATPKEPPFQQAQANQNAPRQAAAPREHLPPPPPLIKPPTSDPGLAKERLPPTPKKQPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVTAKLASQGKGKRE >LPERR03G16820.2 pep chromosome:Lperr_V1.4:3:12835938:12840580:-1 gene:LPERR03G16820 transcript:LPERR03G16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLQTIRRFCSARTPPVSRPAPKPLERSKPPSPLAVPAPHAPTHPSFPSPSTPRAAVQTLELHATSARGGAKLQSSLLLPPRFSNLSSPPGPLALDRGILLFRFLSARFSVWGIFFGARGLGGFAAAGFWRLREVGVPLSLTFHLSAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFDSIQSEQAVDEGNENVEVDPAADQAPEIEDVNHKTTVSISEVHKETVVQETAPPVTEVSQPSTVSAVEEPPQVNVVVETYKDPVSEAPTAKDPTAKEEEAQSIAGDLSTMQNEHLHAESNTKPFVEKMQEVGTNETVGVHEMTTADVQSDMASSDVKTDATEAGKVQYDTVSATMDAIPTDADPMDTDAATEKVVLNDLDGNSSVHDEESKDSELMNEDGKPITPKPNDQKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTTEFSSDHVKEASLPDSVVDGSSVDTKEVIAEEKTAASTEKRKLEAEETVTVTEPIKRQRRWAADGAKVPERQPLSHSGSDAPKEIFQPALKRSFGRSDSTVSVDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPVSPATPKEPPFQQAQANQNAPRQAAAPREHLPPPPPLIKPPTSDPGLAKERLPPTPKKQPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVTAKLASQGKGKRE >LPERR03G16820.3 pep chromosome:Lperr_V1.4:3:12835938:12840580:-1 gene:LPERR03G16820 transcript:LPERR03G16820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLQTIRRFCSARTPPVSRPAPKPLERSKPPSPLAVPAPHAPTHPSFPSPSTPRAAVQTLELHATSARGGAKLQSSLLLPPRFSNLSSPPGPLALDRGILLFRFLSARFSVWGIFFGARGLGGFAAAGFWRLREVGVPLSLTFHLSAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFDSIQSEQAVDEGNENVEVDPAADQAPEIEDVNHKTTVSISEVHKETVVQETAPPVTEVSQPSTVSAVEEPPQVNVVVETYKDPVSEAPTAKDPTAKEEEAQSIAGDLSTMQNEHLHAESNTKPFVEKMQEVGTNETVGVHEMTTADVQSDMASSDVKTDATEAGKVQYDTVSATMDAIPTDADPMDTDAATEKVVLNDLDGNSSVHDEESKDSELMNEDGKPITPKPNDQVPEVSPDLGSPIKRESISSDDISTTKKHNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKELGKNQSSLEYIDSTANVDEGDSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTTEFSSDHVKEASLPDSVVDGSSVDTKEVIAEEKTAASTEKRKLEAEETVTVTEPIKRQRRWAADGAKVPERQPLSHSGSDAPKEIFQPALKRSFGRSDSTVSVDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPVSPATPKEPPFQQAQANQNAPRQAAAPREHLPPPPPLIKPPTSDPGLAKERLPPTPKKQPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVTAKLASQGKGKRE >LPERR03G16830.1 pep chromosome:Lperr_V1.4:3:12844243:12845850:1 gene:LPERR03G16830 transcript:LPERR03G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLASLFTGGGGDHHGHKNKKRRQLQTVELKVRMDCDGCELKVKKTLSSMKGVESVKINRKQQKVTVTGYVETSKVLKKAQSTGKKSELWPYVPYSAVSQPYVAAAYDRRAPPGYVRNVEGASAAYVSSSHVGRTEERLTNLFNDEDPNACSVM >LPERR03G16830.2 pep chromosome:Lperr_V1.4:3:12844452:12845850:1 gene:LPERR03G16830 transcript:LPERR03G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLASLFTGGGGDHHGHKNKKRRQLQTVELKVRMDCDGCELKVKKTLSSMKGVESVKINRKQQKVTVTGYVETSKVLKKAQSTGKKSELWPYVPYSAVSQPYVAAAYDRRAPPGYVRNVEGASAAYVSSSHVGRTEERLTNLFNDEDPNACSVM >LPERR03G16840.1 pep chromosome:Lperr_V1.4:3:12859328:12859936:-1 gene:LPERR03G16840 transcript:LPERR03G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKNFLSDYNYHLQQDQCIAVSGSRLRYVEVRAHRYCARKLRVAPPPLCNDCRAGSVMSWVMDDHSKGWVEEHTVKIADVWRNERYRSVGLPKEVPEFPLIDPFDPNILYFSIHEGKDDNNNEFCVNLGTNKVKSSSSKYKGLNSASGDTELVFRMNLKSTHDFDG >LPERR03G16850.1 pep chromosome:Lperr_V1.4:3:12862831:12865702:-1 gene:LPERR03G16850 transcript:LPERR03G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYNMDLPEGDIRKLLPNKDDQLKWTARSSHNIKCFSLEEVEKITANYKTMIGQGAFGEVYKGILDDKSMVAVKKFKYMDDKMNENFAKELLVHSQINHRNVTRLIGYCLEENGSMMVSEYISGGNLSNFLHNNDRPISADTRLSIATDCVEALVYMHRDMYTRFIHGCIGYMDPLFLRSGCLTTKSDVYSVGVVLLELFTRKRAKAENRDISLVDRFTRSLPKGFRRVRDMFDPEIADQSNMTFLKGIGKLAGECLSLENHRRPEMADVAERLRTLRKALLGQQEKPSLYFWRIKHKAAAPSSIIVAQGNINKPDLVRVGSTASALNVTIEDLLRASSAEVLGKGTRGATYKTDLENGFTLAVKRLKCVDMLSEQEFRQRAEAIGAIENELILPLRWFYFSKDEVLLVYDYMPTGSLKTLLHGESDASKLDWDKRLTIALTVARSLTAIHSAGAQSCHGNVKSSNVLLTEAYEARPSEHGLHTLLATSASLPRLKFCHAPEVTNAVRSVSQKAEVYSFGILLLEILTGKSPQNVGMDLLRWVQSVPREEWTDEMFDVRLLRWSSDLHKEAMHQLMEIAMFCCAPMPNYRPEISEVVQRIEYIYDGCP >LPERR03G16860.1 pep chromosome:Lperr_V1.4:3:12873803:12893341:-1 gene:LPERR03G16860 transcript:LPERR03G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEESNRPPLPPPQAAPVARPQSITPAQFLSWKQQKDAEEAARKAEAALKREADIASGAAQMNGRELFMHEPWRSSIALNVARGLAMIHSAGAESCHGNIKSSNVLLTSSYEARLSEHGLTTLFASPDSLNLINGYHAPEAGGGVSQEADMYSFGVLLLELLTGEEPISHTGSGKDLRRLVQLHVREEWTAEVIDYRLQMNMKEQNSVQVQAMNQLLQLAMDCCTEEASNRPTIAEVVLRIEDIQLLNGRDPRTAINKQGEELATSVAVYSVPLPASAGLHKALDSELVARVQQQQKGALARGMLQLLQLAMDCCTSFDMRPGMAVVDDQLKWTARSSHNIKCFSLEEIETTTRNYETMIGQGAFGEVYKGILDDKSMVAVKKFKHMDDKMNENFAKELLVHSQINHRNVTRLIGYCLEENGLMMITEYISGGNLNNFLHNNDHPISVDTRLRIAMDCAEALVYMHRDMYTRVIHGDIKPDNILLDHNLNAKISDFGISRLVNTDKTLYTRNVAGCIGYMDPLFFRSGCLTTKSDVYSFGVVLLELFTRKRAKADNGDISLVDSFTGSLRKGFRRVRDMFDPEISDQSNTKFLQGIGKLAGECLSMENQRRPDMAAVAERLRTLRKALLGQEEKPSLYFWRIKHKAVAPVSSTIPTKNTESTVPDTETEIGVESSSTVAQGNNKPHLVRVGSTPTAVELKWEDMLRASADVLGKGTLGTTYKADLESGVTLAVKRLKCVRDRSMLSEQEFRQRATAIGAIENELVLPLRWFYFSKDEILLLYDYMPTGSLETLLHGKSDASKLDWEKRLTIALTTARSLTAIHSATAESCHGNIKSSNVLLTEAYEARLSEHGFLTFLATSTSLPGVTWHHAPEVTDVVRGVSQKADVYSFGILLLEMLTGKSPQNDGVDLPRWVQSVHREEWTAELIDARLLRWSSGLQEEAMHQLMELAMVCCSQMPDDRPEIAEVVQRIEYLYDGCQLPD >LPERR03G16860.2 pep chromosome:Lperr_V1.4:3:12873803:12887671:-1 gene:LPERR03G16860 transcript:LPERR03G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEGDIRKLLPNKDDQLKWVACSSHLKCFSLEEVETITGKYETMIGQGGYGQVYKGILENKTMVAVKKIMHMDDKMNQNFIKELHVHSRMKHKNVTRLIGYCFEENALVMVTEYIPGGNLSDFLHKNKHPISLDTRLRIAIECADALIYMHTHMYTRVIHGDIKPDNILLDHNLSAKISDFRISRLVNTDKTLYTKNVVGCIGYMDPLFFRDGRLSPDNDVYSFGVVLLELFTRKSVKADNGKTSLVNSFTESLSTKGLRKWVQDKFDAEIVDQSNMKILQGIGKLVGDCLSMDKNKRPDMIQVAERLRTLTRTLEQGEENPLFFWRMKHKPATSTSKPAAPASNPAATKNKLVMLGWMTTVPQLEFEDLLRGSAEVLGRGTIGSTYKVVLENGSTLVVKRMKINDNKDSLSEKEFRRRAAAIGAIPDEHIQPLLYYYFSKDEKLLVYDYMPIGSLATLLHGDLDDGRAILSWEQRSSIALNVARGLAMIHSAGAESCHGNIKSSNVLLTSSYEARLSEHGLTTLFASPDSLNLINGYHAPEAGGGVSQEADMYSFGVLLLELLTGEEPISHTGSGKDLRRLVQLHVREEWTAEVIDYRLQMNMKEQNSVQVQAMNQLLQLAMDCCTEEASNRPTIAEVVLRIEDIQLLNGRDPRTAINKQGEELATSVAVYSVPLPASAGLHKALDSELVARVQQQQKGALARGMLQLLQLAMDCCTSFDMRPGMAVVDDQLKWTARSSHNIKCFSLEEIETTTRNYETMIGQGAFGEVYKGILDDKSMVAVKKFKHMDDKMNENFAKELLVHSQINHRNVTRLIGYCLEENGLMMITEYISGGNLNNFLHNNDHPISVDTRLRIAMDCAEALVYMHRDMYTRVIHGDIKPDNILLDHNLNAKISDFGISRLVNTDKTLYTRNVAGCIGYMDPLFFRSGCLTTKSDVYSFGVVLLELFTRKRAKADNGDISLVDSFTGSLRKGFRRVRDMFDPEISDQSNTKFLQGIGKLAGECLSMENQRRPDMAAVAERLRTLRKALLGQEEKPSLYFWRIKHKAVAPVSSTIPTKNTESTVPDTETEIGVESSSTVAQGNNKPHLVRVGSTPTAVELKWEDMLRASADVLGKGTLGTTYKADLESGVTLAVKRLKCVRDRSMLSEQEFRQRATAIGAIENELVLPLRWFYFSKDEILLLYDYMPTGSLETLLHGKSDASKLDWEKRLTIALTTARSLTAIHSATAESCHGNIKSSNVLLTEAYEARLSEHGFLTFLATSTSLPGVTWHHAPEVTDVVRGVSQKADVYSFGILLLEMLTGKSPQNDGVDLPRWVQSVHREEWTAELIDARLLRWSSGLQEEAMHQLMELAMVCCSQMPDDRPEIAEVVQRIEYLYDGCQLPD >LPERR03G16860.3 pep chromosome:Lperr_V1.4:3:12887696:12893341:-1 gene:LPERR03G16860 transcript:LPERR03G16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEESNRPPLPPPQAAPVARPQSITPAQFLSWKQQKDAEEAARKAEAALKREADIASGAAQMNGRELFMHEPWDETSINILVKIT >LPERR03G16870.1 pep chromosome:Lperr_V1.4:3:12895163:12896954:1 gene:LPERR03G16870 transcript:LPERR03G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGLPPLEDEDAVEGGIKDMALKASGAYRHCKPCVGSSSGPSWRHHLLARHLLDGVDDSSYVGCTCPNNEESTLYKSSPPSDGCGLWRE >LPERR03G16870.2 pep chromosome:Lperr_V1.4:3:12895163:12897221:1 gene:LPERR03G16870 transcript:LPERR03G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVHALTMRRARCTSRRLQATDADCGAAQYCKYKCYLLLAAAAAAELTEEGATDGCVCAAAIFSRVTSTSLKCALLLQA >LPERR03G16870.3 pep chromosome:Lperr_V1.4:3:12895496:12896954:1 gene:LPERR03G16870 transcript:LPERR03G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASGAYRHCKPCVGSSSGPSWRHHLLARHLLDGVDDSSYVGCTCPNNEESTLYKSSPPSDGCGLWRE >LPERR03G16880.1 pep chromosome:Lperr_V1.4:3:12898686:12901413:1 gene:LPERR03G16880 transcript:LPERR03G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFCLLALALALAAAVDGATSPAPAPAVDCLSEALKLSDCLDYVTPGNAAAKRPSKTCCTEVKSALKDSVAVGCLCSAFSSKGQLPIPINVTRAFHLPAACGADSHALTRCLAPSPSPSMAPGTPSSGSGGAAAAPANGAAAARSPVVSTTAVLVVAAVAAPVLAFFHL >LPERR03G16890.1 pep chromosome:Lperr_V1.4:3:12903483:12904733:-1 gene:LPERR03G16890 transcript:LPERR03G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHLDLLNDDLLELILLRLDSPICLVGAAAVCKRWRRVIAGDGGAFLRRIRSLQRPPNPIVYGHYSSGNYVSYDSYRHQQMGDKLVPFFLPTPASIATGIGENRHFSPDFLDSYDEIIDSCGSLLLLRKHKHSFLGITVYEPLTRQCRIVNPVTLPPDLQYYTIWCAYLLPGDDADATNASMSNFRVVCTFHDIRDRDLHAGVLMVSGHNGVDIIPGQITRLERNQYPMMIEEYFIGRAGASLHWVNNDGVIHVLDGITMETSSFMFPDMAMWNSSTGRYFGVGRQRSRAIRVVDCGGGAARIVCFAGHDHVVVFARPARGEWALEKSFRLQEWQWQEGYYCRFLSKFASMVAAGEGFVLFAPTEFCQWVFHIDLETEVITLKLNGGIYSAGLLFPCELPWPPHIRACVEHANGN >LPERR03G16900.1 pep chromosome:Lperr_V1.4:3:12908768:12911517:-1 gene:LPERR03G16900 transcript:LPERR03G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGTHDGDEVHNSELLICNDLLELILLRLDSPICLVPAAAVCKRWRRKPPVPFFLPSPSVAAIGFGGGGCGSLLLLRPRRYTLQGLTVYEPLTRRCRVIDDPPPPWEWEGEENCGDWGAYLLSGDDDEPSATLSNFMVVCTFYDDDAYAAVLLVSSHNDDNNNRWRITRVEERLEQDNLVGRVGGGTIYWATVGGIVHVFNGITMEASSSMFPDMGIWNSSQRGSHAPRLYSQSRRVRVVDCGGAARMICLAGHNVEIFARRRPRDGGEWEPEKSVELPFMPGNWTGNATIVAAGEGFIVFAPSVYSRMLFHMDLETGVVTLKVKGGIYSAGLQFPCELPWPPNLS >LPERR03G16910.1 pep chromosome:Lperr_V1.4:3:12916441:12922150:1 gene:LPERR03G16910 transcript:LPERR03G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLRDDLEFVSGDGDDYYFGFDDDDAVHGFHSSGRGHPAAASHRNKQMDDTSALDYKEGKDMQGIPWERLNYTKDQYREMRLRQYKNYENLTMSRDGLKKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLTPSQNVRGATPLSRVQISTMALKGNLMVAGGFQGELICKYVDQPGMVFCTNLTGNNNSITNAVDIYQAPNGATRVMAANNDCIVRTFDTERFNLISHFAFPWSVNNTSVNPDGKLLAVLGDSSDCLIADSQSGKEIARLKGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRAVAVLEARIGAVRGLRYSPDGRFLAAAEPADFVHVYDASAGYAAAQEIDLFGEIAGVTFSSGDDGEALFVSIADRTYGSLLEFHRRRRYDYMDCYI >LPERR03G16910.2 pep chromosome:Lperr_V1.4:3:12916441:12922150:1 gene:LPERR03G16910 transcript:LPERR03G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLRDDLEFVSGDGDDYYFGFDDDDAVHGFHSSGRGHPAAASHRYREMRLRQYKNYENLTMSRDGLKKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLTPSQNVRGATPLSRVQISTMALKGNLMVAGGFQGELICKYVDQPGMVFCTNLTGNNNSITNAVDIYQAPNGATRVMAANNDCIVRTFDTERFNLISHFAFPWSVNNTSVNPDGKLLAVLGDSSDCLIADSQSGKEIARLKGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRAVAVLEARIGAVRGLRYSPDGRFLAAAEPADFVHVYDASAGYAAAQEIDLFGEIAGVTFSSGDDGEALFVSIADRTYGSLLEFHRRRRYDYMDCYI >LPERR03G16920.1 pep chromosome:Lperr_V1.4:3:12949326:12951764:1 gene:LPERR03G16920 transcript:LPERR03G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGAVVVPEDAVAAAAGGGRHFSFPPPRTAAMGGGGGGESCKKLAAQQIDLGAAAVVTSWLDSMKASSPRHRLMAPAVAAAGAGADAEHDEWMEKHPSALGKFEAVAAAAKGKRIVVFLDYDGTLSPIVDDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVFSFVKLEELYYAGSHGMDIQGPTSTKDDSSVLCQPAREFLPMIEEAHAALEARVEGVIPGAKVENNRFCLSVHFRRVDERRWGAVLEATKAVLRGFPRLRMTQGRKVLEIRPSIRWDKGEALRFLLSNLGFDSSAAAGDGEEEDDAFPIYIGDDRTDEDAFRVLRARGQGAGILVTRFPKEETCAGFSLRDPTEVKDFLRKLAATAA >LPERR03G16930.1 pep chromosome:Lperr_V1.4:3:12964244:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAFALQLFFLLLVHGTLADQAARVLEFSRSRMNFQYDQYTTEHASQRVNHQLYMRSQVGLKEADKVSELPGQPGRADFDQYAGYVTVSATSGKALFYYFVEAAEDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNKTLSINRYAWNNVANMLFLESLIGVGFSYSNTTSDYNNTGDRSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILTNNNIMNTTSINLKGVAIGNAYLDDSTNTRATIDYYLTHALISKETHLAVQQNCSFNGTYMAQCRNALAAAETEKGIIDLYNIYAPLCWNASNPRQLNGLVQLLTDFYHLTLALFLSYQMVLQATNVDPCSRYYIESYLNRPEVQKALHANTTGLEQPWSDCRCKNIDKSLFNNIITPENWKDAPMSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEGSETGFVSWGDCRLVAMWLDTKVWCSQQSEKLGIWFLPTNLKEHSPCSPHSCKENCPLNDQNFASSLYGDVLCFNNNRYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSELIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16930.2 pep chromosome:Lperr_V1.4:3:12964244:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAFALQLFFLLLVHGTLADQAARVLEFSRSRMNFQYDQYTTEHASQRVNHQLYMRSQVGLKEADKVSELPGQPGRADFDQYAGYVTVSATSGKALFYYFVEAAEDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNKTLTDDCSCMAVANMLFLESLIGVGFSYSNTTSDYNNTGDRSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILTNNNIMNTTSINLKGVAIGNAYLDDSTNTRATIDYYLTHALISKETHLAVQQNCSFNGTYMAQCRNALAAAETEKGIIDLYNIYAPLCWNASNPRQLNGLATNVDPCSRYYIESYLNRPEVQKALHANTTGLEQPWSDCSNIITPENWKDAPMSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVGGYVVGYKGLVFATVREAGHMVPTYQPQRALTLLTNGNLAQDTKQSMHTLPIKMKRGLLIFWSLFCLCVANTSARNKANFPLEFDQLKISSKYGSEKEDDLREKDRVRSMPGQMEEVEFNQYAGYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16930.3 pep chromosome:Lperr_V1.4:3:12964244:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAFALQLFFLLLVHGTLADQAARVLEFSRSRMNFQYDQYTTEHASQRVNHQLYMRSQVGLKEADKVSELPGQPGRADFDQYAGYVTVSATSGKALFYYFVEAAEDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNKTLSINRYAWNNVANMLFLESLIGVGFSYSNTTSDYNNTGDRSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILTNNNIMNTTSINLKGVAIGNAYLDDSTNTRATIDYYLTHALISKETHLAVQQNCSFNGTYMAQCRNALAAAETEKGIIDLYNIYAPLCWNASNPRQLNGLVQLLTDFYHLTLALFLSYQMVLQATNVDPCSRYYIESYLNRPEVQKALHANTTGLEQPWSDCRCKNIDKSLFNNIITPENWKDAPMSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEGSETGFVSWGDCRLVAMWLDTKVWCSQQSEKLGIWFLPTNLKEHSPCSPHSCKENCPLNDQNFASSLYGDVLCFNNNRYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16930.4 pep chromosome:Lperr_V1.4:3:12964244:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAFALQLFFLLLVHGTLADQAARVLEFSRSRMNFQYDQYTTEHASQRVNHQLYMRSQVGLKEADKVSELPGQPGRADFDQYAGYVTVSATSGKALFYYFVEAAEDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNKTLSINRYAWNNVANMLFLESLIGVGFSYSNTTSDYNNTGDRSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILTNNNIMNTTSINLKGVAIGNAYLDDSTNTRATIDYYLTHALISKETHLAVQQNCSFNGTYMAQCRNALAAAETEKGIIDLYNIYAPLCWNASNPRQLNGLVQLLTDFYHLTLALFLSYQMVLQATNVDPCSRYYIESYLNRPEVQKALHANTTGLEQPWSDCRCKNIDKSLFNNIITPENWKDAPMSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVGGYVVGYKGLVFATVREAGHMVPTYQPQRALTLLTNGNLAQDTKQSMHTLPIKMKRGLLIFWSLFCLCVANTSARNKANFPLEFDQLKISSKYGSEKEDDLREKDRVRSMPGQMEEVEFNQYAGYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16930.5 pep chromosome:Lperr_V1.4:3:12964244:12969937:1 gene:LPERR03G16930 transcript:LPERR03G16930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAFALQLFFLLLVHGTLADQAARVLEFSRSRMNFQYDQYTTEHASQRVNHQLYMRSQVGLKEADKVSELPGQPGRADFDQYAGYVTVSATSGKALFYYFVEAAEDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNKTLSINRYAWNNVANMLFLESLIGVGFSYSNTTSDYNNTGDRSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILTNNNIMNTTSINLKGVAIGNAYLDDSTNTRATIDYYLTHALISKETHLAVQQNCSFNGTYMAQCRNALAAAETEKGIIDLYNIYAPLCWNASNPRQLNGLVQLLTDFYHLTLALFLSYQMVLQATNVDPCSRYYIESYLNRPEVQKALHANTTGLEQPWSDCRCKNIDKSLFNNIITPENWKDAPMSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEGSETGFVSWGDCRLVAMWLDTKVWCSQQSEKLGIWFLPTNLKEHSPCSPHSCKENCPLNDQNFASSLYGDVLCFNNNSKMP >LPERR03G16930.6 pep chromosome:Lperr_V1.4:3:12969846:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRGLLIFWSLFCLCVANTSARNKANFPLEFDQLKISSKYGSEKEDDLREKDRVRSMPGQMEEVEFNQYAGYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSELIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16930.7 pep chromosome:Lperr_V1.4:3:12969846:12973657:1 gene:LPERR03G16930 transcript:LPERR03G16930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRGLLIFWSLFCLCVANTSARNKANFPLEFDQLKISSKYGSEKEDDLREKDRVRSMPGQMEEVEFNQYAGYVTVDAKAGRALFYYFVEAPHDPFKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVEVPAGVGYSYSNTTSDYYNIGDKKTTDDAYTFLINWLKKFPEYQDRDFFIIGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQASCGFNDTYTNDCQNAMNLAIEEKGNVDDYNIYAPQCHDASNPSGSSDSVLYGDPCTNHYVSSYLNNPEVQRALHANNTGLNYTWMDCSGDMDAVCSVTSTQYALDILGLPVETSWRPWHVDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >LPERR03G16940.1 pep chromosome:Lperr_V1.4:3:12964670:12973875:-1 gene:LPERR03G16940 transcript:LPERR03G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAESRVAGPMESNEYSMSKSTASRRNRELIKLKRKVGLVSCRFGGYALRGNFPCRNEENKDSGSLISKSAMYK >LPERR03G16940.2 pep chromosome:Lperr_V1.4:3:12965287:12973875:-1 gene:LPERR03G16940 transcript:LPERR03G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAESRVAGPMESNEYSMSKSTASRRNRELIKLKRKVGLVSCRFGGYALRGNFPCRNEENKERIF >LPERR03G16950.1 pep chromosome:Lperr_V1.4:3:12975184:12977995:1 gene:LPERR03G16950 transcript:LPERR03G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKTVRLPRKGEMLLSVHGSPLGVYNEEKLAAVHGLSILDISFSILVFLISGLSVLALGRLCNLLVAPFGVPFSLLVPWLLRELASPLSGAVDVFPCA >LPERR03G16960.1 pep chromosome:Lperr_V1.4:3:12982262:12990821:1 gene:LPERR03G16960 transcript:LPERR03G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIAKTTPSSATEYYLPSTYDLVVVKAAVGKGRFLKSVRCKHDEGQILVKVYFKRAAGEPIDLKDHERRLERIRKAFQGLEGSHVWPFQVWLQTDKAAYLLKQYFYSNLHDLSTRPFLSKIEKKWLAFQLIHAVEQSHSRGICHSDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFYTEGKRRCYLAPERFYEHGAETQVAADAPLQPSMDIFSLGCVLAELFLEGQPLFELSQLLAYRCGQYDPIHTLEKIQDTGIRDMFFSDIVPLHSDAQVEKTQEYFEKMHDVMISSSTPGRIQRSADVSVHDELSGRKGIGGETIKSPKEYAKNIPVVKINTPGQRKHVGDLNFLLKELEKDDRTSRKITQDTDVTSNVSHANAIQTVPQISQAGRQTEIDLMSQNNHVSHLHKISKSNLLALVSSYDAQSDTYNFGIFQQTENKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYIIAMLTDPAAIVRCAALETFSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLCSQSIEDARPLDESMVAPRSQSVESLAKKQQDSINGQLLSLRKSIYEIVQELVMGQKQTPNVRRALLQDICYLCYFFGHRQTNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSEKMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKWSAVRFVAACSESIGPVDTFVYLCPHLMHFLHREPPSLSSETALLSCLKPPVSKLVLYQALEDVQDAGDVLLKGDGKKELTVHGGRYPAMTQSGPTTLEDDARFRGPKLSSDVSLNTKDFSSANSAYISSAVHTSSSFYDGVPKGIPLYSFQGASLHANLGSDRHGGLSNSVPALHTRENHFSNMHRDGSISDSMNSSSSIPGDSISNSDTSGTFTKPALSLERSWKPRGVLVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGSSRAVCTTMLHGTPQVIVGASDGTLHLFSVDCTRGVGNAIERDSGIADVKRNDLKECAILSVVNCSSESFSPTILFSTEDCGLHKWDTRTNSESWSFRSPPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFIAAGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTKDETRPANYKYRIEELCGPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWNQARPEQSFCIAGPSVKGAGNDKSYDIKSSSCVQVVQEVCIQPMTASRLTHKTQLAMAAADSAGCHRDAILALASFNLSSQRLISGSRDGAVKVWK >LPERR03G16970.1 pep chromosome:Lperr_V1.4:3:12994450:12998008:-1 gene:LPERR03G16970 transcript:LPERR03G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYSRALAKGFCLTSFTYSRWISALCQSNRIELVQELLADMDKFGCFPDIWACNIYIHSLCYHNRLYDALQMVEKMGTKGTSPDVVTYTTVVNCLCDHRKFSEAVGLWEEMMRRGLKPDVVACGTLIFGLCKNDNVDEAFELASRMLSLDIKLNVSIYNALISGFWRAGSIDKAYKIVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRRMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVSGFCDIVSCNILIDAFCKAKKVNSALNLFKEMGYKGIQADAVTYGILINDLFSIGKKCHQRVTFNTLIYWLGKSSIAIEGLDLFKEMMTRGVEPDNLTFKYMISGLLDEGKATLAYEVWEYMIENGIILDRDVSERLISQLVVLFFHGNQKCVTRIASQLHCGVAASLSYVCQCQRQWIKNICWFGRHVSLLRATPVLVQFNQPKQSQPKLCSVAAMLTLILGGYVEKISLQSLGGSAEVYHPRLAA >LPERR03G16970.2 pep chromosome:Lperr_V1.4:3:12994450:12998008:-1 gene:LPERR03G16970 transcript:LPERR03G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYSRALAKGFCLTSFTYSRWISALCQSNRIELVQELLADMDKFGCFPDIWACNIYIHSLCYHNRLYDALQMVEKMGTKGTSPDVVTYTTVVNCLCDHRKFSEAVGLWEEMMRRGLKPDVVACGTLIFGLCKNDNVDEAFELASRMLSLDIKLNVSIYNALISGFWRAGSIDKAYKIVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRRMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVSGFCDIVSCNILIDAFCKAKKVNSALNLFKEMGYKGIQADAVTYGILINDLFSIGKKCHQRVTFNTLIYWLGKSSIAIEGLDLFKEMMTRGVEPDNLTFKYMISGLLDEGKATLAYEVWEYMIENGIILDRDVSERLISQLVVLFFHGNQKCVTRIASQLHCGVAASLSYVCQVVLPFGSVKDSGLRIFAGLEGLHVSLLRATPVLVQFNQPKQSQPKLCSVAAMLTLILGGYVEKISLQSLGGSAEVYHPRLAA >LPERR03G16980.1 pep chromosome:Lperr_V1.4:3:13002368:13012482:1 gene:LPERR03G16980 transcript:LPERR03G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGVFEFRHPRAAEYPLPADAAAAAAPDSKGPASAGGAASITLLDIQRDRLTRVAAEHWGAPAAAAAFDAGLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPNFDPDSASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDRELNMAEKTNYLLFMINAFQSLEDELVRETIIQLVSLKLWHTLSFGRLQMELCLNPELVKRWTKIKRKEAKEAKKAGQTCDASEMLENKFLRNLIEEFLEILDSKVILSGQDGGDESVLNESLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRADLAKKLLVLSDVELQDLVCNKLKLISKEDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPVKEFRITEVKQPNIGEVKPSSVTADVTFSISSYKPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLETIDFKDTFIDADHVLQSFPDFKVTFVNSDGTENLNPSPPFKIKLSKKMRESSHALPGNLTTKNNMVDDDGSQKEKIIVETYIPADPGPYPQDKPKQNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNQDTPSFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYEYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYIAGIEDIGHLVNFRLEHLRQMQYMQYYAPHANAPPLAVSENNADATENGNAGNGLHKVKDGMMEENGDASDLVTRNKMEEDIIETKGDLMQEENKMDDRNPEASDTVMEDKTVDRDAEAKNKMEEENTEAKEKMEEENDEPKNKMEE >LPERR03G16990.1 pep chromosome:Lperr_V1.4:3:13012675:13014763:1 gene:LPERR03G16990 transcript:LPERR03G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGHAVAAGVSSGSDDDDGEEAGFSRSYFLAKEKEPSSGKKAARAAAGKLSDLNLVDEQVLRASLAEISPKHEREVEALTTSYKDQYRNWLFELRCGFGLLMYGFGSKKLLLEDFASTTLTDFTVIVLNGYLPSINLKQVIVTIAEIFWEQTKLKRKRQTSTRSQLTQSFASQSIDDVISFLNNQTSDNGDENVCLLIHNIDGPALRDAESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVCMSAVCIPNVVSVFFQVTLNSHLTEFKDHDLIKIRKHSEGQDCLHIPLVSDALEKLLQELT >LPERR03G17000.1 pep chromosome:Lperr_V1.4:3:13015962:13023254:1 gene:LPERR03G17000 transcript:LPERR03G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRSDREFKVLSPAEIKDFLEEVE >LPERR03G17010.1 pep chromosome:Lperr_V1.4:3:13033941:13034570:1 gene:LPERR03G17010 transcript:LPERR03G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPHAPPPSAKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAAPPPSVRPRLLAGGGLPPFLMRPSPLKYTHTHNPAPPLLAHHQASTSSFLGNAGAAATCTSSLVDALALFAKSNAMGAAPPPSSTAAASGSGGSDQPYHHHGHHVMMGGLFNPFDDYEAAAAADHGDKVVHGGGGHGGGFFSPLGAGDKYDDRH >LPERR03G17020.1 pep chromosome:Lperr_V1.4:3:13053740:13056275:1 gene:LPERR03G17020 transcript:LPERR03G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPPSEALRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGADGEAEEPGSAATPAPPRNYQPVTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHGLFVDPPDVRPNGARNTANGSAASHTPVKNANVSSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDDAPVSSEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALV >LPERR03G17030.1 pep chromosome:Lperr_V1.4:3:13059055:13064778:1 gene:LPERR03G17030 transcript:LPERR03G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLWVLIHNFRARIEFVVMPDWRAGEFEGKLKDEFSRSNNNEHVNGAGTVNISNKKLKHEVASEKSTQVEISGVNDSDTQKCNSEQIHSANGVVSEDINHDHMGNCKVESNTFPLNTISETGYPTDNWNSCQFALSNGSTVLNNQSAPQTSHGYGDNDLTYIDWHGIDNFDDVDTLFRCDSTYGQQQLQNTDELSWIPSSDAMYSSDVALQPGFESSYSDYGLLDDLSAFNCTEDKSLPTTDPSAAVCDKQFDDTYLFNDQKTEDAYQGDAMELLSTDQICSGHESLDMIGNQYSSENAKEQPEDQKFSIASGSQLCSSQNLLKQKNHLDSTSPSNITSEFIPSGASFVERNLKVQKKVSSSASGQLISDNLSGNPGHQTLTRRASYPCENHEIGKRTLGKRGLGHSDVTMGTSMVVDGSFMSSISSDNSVEENSFQQLQDAVSQLDVKTKLCIRDGLYRLARSAQNRQVFPNTMNNNGDSHSIKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHITGAVGDAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSLRNN >LPERR03G17030.2 pep chromosome:Lperr_V1.4:3:13058583:13064778:1 gene:LPERR03G17030 transcript:LPERR03G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRAGEFEGKLKDEFSRSNNNEHVNGAGTVNISNKKLKHEVASEKSTQVEISGVNDSDTQKCNSEQIHSANGVVSEDINHDHMGNCKVESNTFPLNTISETGYPTDNWNSCQFALSNGSTVLNNQSAPQTSHGYGDNDLTYIDWHGIDNFDDVDTLFRCDSTYGQQQLQNTDELSWIPSSDAMYSSDVALQPGFESSYSDYGLLDDLSAFNCTEDKSLPTTDPSAAVCDKQFDDTYLFNDQKTEDAYQGDAMELLSTDQICSGHESLDMIGNQYSSENAKEQPEDQKFSIASGSQLCSSQNLLKQKNHLDSTSPSNITSEFIPSGASFVERNLKVQKKVSSSASGQLISDNLSGNPGHQTLTRRASYPCENHEIGKRTLGKRGLGHSDVTMGTSMVVDGSFMSSISSDNSVEENSFQQLQDAVSQLDVKTKLCIRDGLYRLARSAQNRQVFPNTMNNNGDSHSIKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHITGAVGDAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSLRNN >LPERR03G17040.1 pep chromosome:Lperr_V1.4:3:13066385:13069028:-1 gene:LPERR03G17040 transcript:LPERR03G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGGRSGQPAVRDSYSLLVLNVSFRTTADDLLPLFDRCGRVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRPVDGRNIMVQFAKYGPNAERIHKGRIVEEVSKSRGQSRSHSPRRRYRDDYRDRGYRDDYRDRDYRRSRSRSAERYENERYQERDNRSRSRSISPDYDKRHGKNGRSPARRSGTQSRSYSPTPPSRPRDDRSPRSRSPSN >LPERR03G17050.1 pep chromosome:Lperr_V1.4:3:13069963:13071973:-1 gene:LPERR03G17050 transcript:LPERR03G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQAAQRKKGNDIEQAVGSWRSRSKAERKPAPTSPMDTPAITRVAAVAALPAAAGAGALLAVRVRPRRGFAAVSFSPFSGGGGGGGGGRFFGGGGGDDSGGGAAAAAAAAAVVALGETETAVDGDVILLRVGGMSCGGCAAKVKQILESQPEVTVATVDFEKKTAAVWTIPEAKTTKDWQKQLGENLAHHLSTCGFQSLMIDEDEDKAEQT >LPERR03G17060.1 pep chromosome:Lperr_V1.4:3:13078647:13087467:1 gene:LPERR03G17060 transcript:LPERR03G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFVMPNQPPDISQMMLEAHKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEENFTHIVLVHYLEVKGAKQNYGRAKEEIMQLSGADSPSCSNSITSQNQMAPPIMDAAESPISGQISEYEGAEPAKFGAADNFRASSRYHPLIEMQQPMDGIVMDSMLYPSASTICNQGYHGDMLPGTSNLNSHLFTHNDIARMFDDSSTGLRDISRTLFDSVPCDEPFSGYPNGFMEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGISSSEVNGNKCTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSRELGEVVDLDIKSSSDAFWSSTEIVNAADGPSVPANGHLDAYVVSPSLSKDQLFSILDISPSCSYIGSKTKVLVTGTFLAENVENCKWSCMFGDVEVPAEVLADGALRCFAPERQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYLETSYSQTHGINEMHLQIRLEKLLSLGPDDNHLLVCGHEKLELIEAINSLMLDEKWSDQLSPSISKDVTTPRNQSLKKLVKEKLHCWLICKIKDCEKGPNVLGKEGQGVIHLAAALGYDWAIRPILVAGVNVNFRDAHGWTALHWAAFCGRERTVSILIANEAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAEAALTSHLSALTIKDSKDSNVGESCGLTIAEYHPETNSAQLPVEDSCVDPLKDSLTAVRKSTQAAARIFQAFRVESFHRKKIVEYGYDDCGLSDEHTLSLISLKNVKQGHYDTHLHSAAVRIQNKFRGWKGRKEFMIVRQRIVKIQAHVRGHQVRKSYKKVVWSVGIVEKVILRWRRKRPGLRNFRTEKQIECQSQIQPAKTEDEYDFLQDGRRQAEARLQRALDRVRSMTQYPEARDQYQRLTTCVAEMQQSKVMQDEMLSEAAGADVNDFMIGLEDLICRDDAQILCLFKVRK >LPERR03G17060.2 pep chromosome:Lperr_V1.4:3:13078647:13088179:1 gene:LPERR03G17060 transcript:LPERR03G17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFVMPNQPPDISQMMLEAHKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEENFTHIVLVHYLEVKGAKQNYGRAKEEIMQLSGADSPSCSNSITSQNQMAPPIMDAAESPISGQISEYEGAEPAKFGAADNFRASSRYHPLIEMQQPMDGIVMDSMLYPSASTICNQGYHGDMLPGTSNLNSHLFTHNDIARMFDDSSTGLRDISRTLFDSVPCDEPFSGYPNGFMEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGISSSEVNGNKCTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSRELGEVVDLDIKSSSDAFWSSTEIVNAADGPSVPANGHLDAYVVSPSLSKDQLFSILDISPSCSYIGSKTKVLVTGTFLAENVENCKWSCMFGDVEVPAEVLADGALRCFAPERQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYLETSYSQTHGINEMHLQIRLEKLLSLGPDDNHLLVCGHEKLELIEAINSLMLDEKWSDQLSPSISKDVTTPRNQSLKKLVKEKLHCWLICKIKDCEKGPNVLGKEGQGVIHLAAALGYDWAIRPILVAGVNVNFRDAHGWTALHWAAFCGRERTVSILIANEAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAEAALTSHLSALTIKDSKDSNVGESCGLTIAEYHPETNSAQLPVEDSCVDPLKDSLTAVRKSTQAAARIFQAFRVESFHRKKIVEYGYDDCGLSDEHTLSLISLKNVKQGHYDTHLHSAAVRIQNKFRGWKGRKEFMIVRQRIVKIQAHVRGHQVRKSYKKVVWSVGIVEKVILRWRRKRPGLRNFRTEKQIECQSQIQPAKTEDEYDFLQDGRRQAEARLQRALDRVRSMTQYPEARDQYQRLTTCVAEMQQSKVMQDEMLSEAAGADVNDFMIGLEDLICRDDAQMSAIW >LPERR03G17060.3 pep chromosome:Lperr_V1.4:3:13078647:13088179:1 gene:LPERR03G17060 transcript:LPERR03G17060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFVMPNQPPDISQMMLEAHKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEENFTHIVLVHYLEVKGAKQNYGRAKEEIMQLSGADSPSCSNSITSQNQMAPPIMDAAESPISGQISEYEGAEPDNFRASSRYHPLIEMQQPMDGIVMDSMLYPSASTICNQGYHGDMLPGTSNLNSHLFTHNDIARMFDDSSTGLRDISRTLFDSVPCDEPFSGYPNGFMEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGISSSEVNGNKCTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSRELGEVVDLDIKSSSDAFWSSTEIVNAADGPSVPANGHLDAYVVSPSLSKDQLFSILDISPSCSYIGSKTKVLVTGTFLAENVENCKWSCMFGDVEVPAEVLADGALRCFAPERQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYLETSYSQTHGINEMHLQIRLEKLLSLGPDDNHLLVCGHEKLELIEAINSLMLDEKWSDQLSPSISKDVTTPRNQSLKKLVKEKLHCWLICKIKDCEKGPNVLGKEGQGVIHLAAALGYDWAIRPILVAGVNVNFRDAHGWTALHWAAFCGRERTVSILIANEAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAEAALTSHLSALTIKDSKDSNVGESCGLTIAEYHPETNSAQLPVEDSCVDPLKDSLTAVRKSTQAAARIFQAFRVESFHRKKIVEYGYDDCGLSDEHTLSLISLKNVKQGHYDTHLHSAAVRIQNKFRGWKGRKEFMIVRQRIVKIQAHVRGHQVRKSYKKVVWSVGIVEKVILRWRRKRPGLRNFRTEKQIECQSQIQPAKTEDEYDFLQDGRRQAEARLQRALDRVRSMTQYPEARDQYQRLTTCVAEMQQSKVMQDEMLSEAAGADVNDFMIGLEDLICRDDAQMSAIW >LPERR03G17070.1 pep chromosome:Lperr_V1.4:3:13092119:13093515:-1 gene:LPERR03G17070 transcript:LPERR03G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDQKLVDYVELHGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIVAGIDPATHRARARPPAPADLAAALPQLVALASLAVDLAVGHAGAAAAWGANSAALDFHAADNTAAQLQCLQHLLLQQQQPTPATSATSGDMNTASCSFLVNQYAAAAATNPLPLPPLMAASNTQAHELKRWQDLVGNDGGGCGDHHGAGVSPPFAGGDGGEGGMFLPSDLTALLCSANSTADLQSSNLDY >LPERR03G17080.1 pep chromosome:Lperr_V1.4:3:13112747:13115413:1 gene:LPERR03G17080 transcript:LPERR03G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPTHAAEAQQRQRRRPPCVLSFSQARDRFLRRRFLSAGLRPFSVRIPSPSGGAVSGTTVHVWAPPRPSTRRPVLLLHGFGASATWQWASYLRGLIAAGLDPIVPDLLFFGESFTPATDRSEDFQARAVKAAMDAIGVTRFDVVGVSYGGFVGYRMAAMYPEAVERVVMVCAGVCLEETDLAAGLFPVAGVGEAAELLVPTRPADVRRLVKLTFVRPPPIMPSCFLRDYINVMGSDHNQEKTELLQILIKGRKLSDLPKITQPTLIVWGEQDQVFPMELAHRLERHLGENSRLVVIKNAGHAVNLEKSKEVCKNLIEYLNEPVSNASGGEKGGAAALRLGTTEFEDLHAEKT >LPERR03G17090.1 pep chromosome:Lperr_V1.4:3:13115084:13117046:-1 gene:LPERR03G17090 transcript:LPERR03G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGRFRGGGGGTVRCRYCSASLPVMPGASAIQCAQCNGVTRVRRRHGGGAVGPCRPVAALPMPVAPMGGGGFFPQVRSKKRAVLIGITYAGMRRGGGTLRGPVNDVKCMRYLLCEWFAFPNDSVLILTDEEKDPSRLATKENIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEVDGYDEAICPMDSFLQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTLPEASTVGAMTHSFIKAVECEPRATYGRLLTSMRAIMREGGVNCNLQLGPVGSPIRKVANFSGVQEPNMSCSEMFDIYRKPFVL >LPERR03G17100.1 pep chromosome:Lperr_V1.4:3:13136591:13138004:-1 gene:LPERR03G17100 transcript:LPERR03G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRLAGMASARAPPSPGTTTSRCALWHRTTRGSGDGGGRDLGEAGGGASQSWATAAASSLRRDVPAGYPAIPGRKRALLVGVSYKGTSYELEGTVNDVDLMSTLLSDSFGFPSDSILILTEELGAGDSSRMPTRDNVMSAMRWLVEGSEAGDSLVFHFSGHGVQKLDVSGDEVDGYNEAICPVDFEASGKIVDDEINDTIVRPLGPGVKLHAIVDTCHSGSILDLPFLCRLSRTGYWQWENYCRRPDLAKGTSGGLAISISGCSDDQKSADSSGFSSEQSAAIGAMTYSFIKAVESEPGTTYGRLLGAMRATIRDGQGSGRRLLPGRLGSFVRKMIPSGAVQEPQLCSSEMFDIYKKPFLL >LPERR03G17110.1 pep chromosome:Lperr_V1.4:3:13149317:13154353:1 gene:LPERR03G17110 transcript:LPERR03G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTTNSAAAAAMAGGMASQQPRRAAAFVVPMKRRTAVRAIHAAEPSKNAGVPSAAKTSSPTVAPEKAPAASPAPAPAKGSSPTAKWAVDSWRGKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSDPFEVRDGVKLPSYRGDNINGDAFDEKSRIPDPQRMVRAYAQSASTLNLLRAFATGGYAAMQRVTQWNLDFTQHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPLMTTTDFWTSHECLLLPYEQALTRQDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRKKRIRSSKLNNMLPLPPFGV >LPERR03G17120.1 pep chromosome:Lperr_V1.4:3:13158215:13167357:1 gene:LPERR03G17120 transcript:LPERR03G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAGNGGRREAALGGLAVLPDEVLCAVVDLLPPTDIGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGAWKKTALSRLNLCSENDEIYQKPRHFDGFNSSHLYRRWYRCFTTLSGFSFDNGQVERKDDLSLDQFRSQYDGKSPVLLANLAETWPARTKWTLQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGEAAPALLEDYSVPHLFQEDFFDIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEMTVAVTQNFVNQSNFEHVCLDMAPGYCHKGVCRAGLLAVPGKSIRDIENHPRVINRWNHSDMTRKEKRLKSSEPVRTSNNANQCSAFEFSDVHESLGNQIFSYDIDFLSQFLEKDKDHYSSVWSPTNSIGQREAREWLRRLWVSKPELRELIWKGACLAINVDKWYSCLEKISACHSLPRPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSIHGLGTELEFYDLLRNLGSPLINHIPEIIASGFLVYQHGVYRTVPWDGKGIPDVLAKHYPLEVSSANSCFPLGLWSKQLFVMSTSTDAPDKPIWPYMVTRKCKGDIFARIRDTMSKIDVLNLASSLGAQMRNIHQLPLPHVEHVSKSVNDNIKAKENSIFNVAHVPPEWKQVVSTLDGRKKNIKKHLSNWAGSVPQVLIEKAEEYLPDDMSFLIKFVKDDDGNSVYVVPSWIHSDIMDDNILIEKTIEAGTSTDCITEKDLNKMDAIHIIDFSDLSIGDPICDLIPLHLDIFRGDIDLLRKFLRSYQLPFLRGESNNDIYKSLRNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRTATSWEDVEHTVWGELNQYQQSCAASAK >LPERR03G17120.2 pep chromosome:Lperr_V1.4:3:13158215:13167357:1 gene:LPERR03G17120 transcript:LPERR03G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAGNGGRREAALGGLAVLPDEVLCAVVDLLPPTDIGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGAWKKTALSRLNLCSENDEIYQKPRHFDGFNSSHLYRRWYRCFTTLSGFSFDNGQVERKDDLSLDQFRSQYDGKSPVLLANLAETWPARTKWTLQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGEAAPALLEDYSVPHLFQEDFFDIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEMTVAVTQNFVNQSNFEHVCLDMAPGYCHKGVCRAGLLAVPGKSIRDIENHPRVINRWNHSDMTRKEKRLKSSEPVRTSNNANQCSAFEFSDVHESLGNQIFSYDIDFLSQFLEKDKDHYSSVWSPTNSIGQREAREWLRRLWVSKPELRELIWKGACLAINVDKWYSCLEKISACHSLPRPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSIHGLGTELEFYDLLRNLGSPLINHIPEIIASGFLVYQHGVYRTVPWDGKGIPDVLAKHYPLEVSSANSCFPLGLWSKQLFVMSTSTDAPDKPIWPYMVTRKCKGDIFARIRDTMSKIDVLNLASSLGAQMRNIHQLPLPHVEHVSKSVNDNIKAKENSIFNVAHVPPEWKQVVSTLDGRKKNIKKHLSNWAGSVPQVLIEKAEEYLPDDMSFLIKFVKDDDGNSVYVVPSWIHSDIMDDNILIEKTIEAGTSTDCITEKDLNKMDAIHIIDFSDLSIGDPICDLIPLHLDIFRGDIDLLRKFLRSYQLPFLRGESNNDIYKSLRNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRTATSWEDVEHTVWGELNQYQQSCAASAK >LPERR03G17120.3 pep chromosome:Lperr_V1.4:3:13158215:13167514:1 gene:LPERR03G17120 transcript:LPERR03G17120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAGNGGRREAALGGLAVLPDEVLCAVVDLLPPTDIGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGAWKKTALSRLNLCSENDEIYQKPRHFDGFNSSHLYRRWYRCFTTLSGFSFDNGQVERKDDLSLDQFRSQYDGKSPVLLANLAETWPARTKWTLQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGEAAPALLEDYSVPHLFQEDFFDIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEMTVAVTQNFVNQSNFEHVCLDMAPGYCHKGVCRAGLLAVPGKSIRDIENHPRVINRWNHSDMTRKEKRLKSSEPVRTSNNANQCSAFEFSDVHESLGNQIFSYDIDFLSQFLEKDKDHYSSVWSPTNSIGQREAREWLRRLWVSKPELRELIWKGACLAINVDKWYSCLEKISACHSLPRPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSIHGLGTELEFYDLLRNLGSPLINHIPEIIASGFLVYQHGVYRTVPWDGKGIPDVLAKHYPLEVSSANSCFPLGLWSKQLFVMSTSTDAPDKPIWPYMVTRKCKGDIFARIRDTMSKIDVLNLASSLGAQMRNIHQLPLPHVEHVSKSVNDNIKAKENSIFNVAHVPPEWKQVVSTLDGRKKNIKKHLSNWAGSVPQVLIEKAEEYLPDDMSFLIKFVKDDDGNSVYVVPSWIHSDIMDDNILIEKTIEAGTSTDCITEKDLNKMDAIHIIDFSDLSIGDPICDLIPLHLDIFRGDIDLLRKFLRSYQLPFLRGESNNDIYKSLRNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRTATSWEDVEHTVWGELNQYQQSCAASAK >LPERR03G17120.4 pep chromosome:Lperr_V1.4:3:13158215:13167514:1 gene:LPERR03G17120 transcript:LPERR03G17120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAGNGGRREAALGGLAVLPDEVLCAVVDLLPPTDIGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGAWKKTALSRLNLCSENDEIYQKPRHFDGFNSSHLYRRWYRCFTTLSGFSFDNGQVERKDDLSLDQFRSQYDGKSPVLLANLAETWPARTKWTLQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGEAAPALLEDYSVPHLFQEDFFDIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEMTVAVTQNFVNQSNFEHVCLDMAPGYCHKGVCRAGLLAVPGKSIRDIENHPRVINRWNHSDMTRKEKRLKSSEPVRTSNNANQCSAFEFSDVHESLGNQIFSYDIDFLSQFLEKDKDHYSSVWSPTNSIGQREAREWLRRLWVSKPELRELIWKGACLAINVDKWYSCLEKISACHSLPRPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSIHGLGTELEFYDLLRNLGSPLINHIPEIIASGFLVYQHGVYRTVPWDGKGIPDVLAKHYPLEVSSANSCFPLGLWSKQLFVMSTSTDAPDKPIWPYMVTRKCKGDIFARIRDTMSKIDVLNLASSLGAQMRNIHQLPLPHVEHVSKSVNDNIKAKENSIFNVAHVPPEWKQVVSTLDGRKKNIKKHLSNWAGSVPQVLIEKAEEYLPDDMSFLIKFVKDDDGNSVYVVPSWIHSDIMDDNILIEKTIEAGTSTDCITEKDLNKMDAIHIIDFSDLSIGDPICDLIPLHLDIFRGDIDLLRKFLRSYQLPFLRGESNNDIYKSLRNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRTATSWEDVEHTVWGELNQYQQSCAASAK >LPERR03G17130.1 pep chromosome:Lperr_V1.4:3:13165504:13167458:-1 gene:LPERR03G17130 transcript:LPERR03G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAKKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAVKAAATTA >LPERR03G17130.2 pep chromosome:Lperr_V1.4:3:13165504:13167365:-1 gene:LPERR03G17130 transcript:LPERR03G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAKKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAVKAAATTA >LPERR03G17140.1 pep chromosome:Lperr_V1.4:3:13170121:13170999:1 gene:LPERR03G17140 transcript:LPERR03G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSAPAAVGAGGEFEGMSDKELVKLWEELIDCDNTAARRIFFPALLRHAAAEYRKKAAPAAHRAANRGHTRRRRLLSPSSSAPREPDPSPPPPPPEPVVVLCLRAAAPPPLPHLGVVGVGVKKEAIFRDAAAGHKKEDAAGDGRGHPPPQDRFLIPEPSSTPREEEEASPPPPPEEAAPTVVLCLPSRHRRCAASGEEDDDDRGHLPPHERFLEPPSSTPPKPSPPPPAEPKPDDAPPHPMVVLCLRAAAPKRTAPAAAISAPQPQCKKACAAATAATAARRRGAPDLVR >LPERR03G17150.1 pep chromosome:Lperr_V1.4:3:13171620:13175709:-1 gene:LPERR03G17150 transcript:LPERR03G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPFAARFPFPWDNLISDDDPSNGTRGDTYAPSLLSSGLPACLQAEEEKRVETSASSPPHLTSPARPRLDHHHLHLLGFTPSPAPRPNLTPSLVPRFPFHRIKGGIFFFFWRRRRAKAGCCCFGCGVGGEMERYEVIRDIGSGNFGVAKLVRDVATNELFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSGECWHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGESLKLNGAAQLACLGSMDLDDIDDIDDIDIENSGDFVCAL >LPERR03G17160.1 pep chromosome:Lperr_V1.4:3:13186226:13189357:1 gene:LPERR03G17160 transcript:LPERR03G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNSIVIVPRGWSPPNPTAARGTPQPSRCAHTRTRPTSLSVSDPRTRDHDPWRTSSDQSERRDPRWRSARVARDAPTAVRSQRKKHTRRRSEPSAQAGGRIYQPGSLAINRWPPLGCAIFPATFLPRAAFFPNRFEACATGERRGAAVARGERGGLFFLIIMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR03G17160.2 pep chromosome:Lperr_V1.4:3:13186572:13189357:1 gene:LPERR03G17160 transcript:LPERR03G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR03G17170.1 pep chromosome:Lperr_V1.4:3:13190192:13193886:1 gene:LPERR03G17170 transcript:LPERR03G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQLAVEDLLRVNGSRRFAAALAAASPFPSLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSVPKWSKEEQSAALATATDSTVQELAEWNAKYREKFGFVFIICASGRTASEVLAELKRRYENRPIVELEVAAQEELKITELRLAKLFSSEAVPPTSVEGGPIGQSDKAADRMRIIGAHLGSLSQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLERWKDVSAPPSFSNKDFNGWATLGSSVTNNDGRSGQLMDIVDSVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >LPERR03G17180.1 pep chromosome:Lperr_V1.4:3:13194641:13195697:-1 gene:LPERR03G17180 transcript:LPERR03G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVDGSHEQASGCGGAGIFMRDSNGQVAVAACQYFPHCSEALEAELLALREGIRLGLQWTLRPLIVESGDRLQCGNSHYPGKYWQQIEVRFHYSGCPGVALRRKGDNNQEDEDSEKPEQSLPYRRCFEVLKNLPERTIQVIAFFALGGADPPLWHAVAHGIASRQRKYPHPQLSYGVFYLEQPLK >LPERR03G17190.1 pep chromosome:Lperr_V1.4:3:13203022:13225736:1 gene:LPERR03G17190 transcript:LPERR03G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHHHAAIAAIALIAVASVVFVCLHSERAFAVPVGTHGSELWGYFNVRAKAHIFWWYYKSPDSVRVSSPVRPWPTILWLQGGPYVVQVANLPCSVNCSQVTTTYRLVIIGRGGGGSGVGRGNFQEIGPLDVNLKPRNSTWLHIPDLIFVDHPVGVGFSYTDDPSALATTDAQAASDAIDLIKALPNKIPGLKSSPLYIVGESYGGKFAAMIGASLANSIRAGHLDLILGGKSLFLDRPHFLEVIPNIYDTPTLLRNCVSVSNDVSDTDTLPTRLRYTSPTHVCWTTCPCWTTTPSTTPTEKVTKQTAEGQFAASLQTFTDLLDLIDSKSASVNVYNFLTNGAGKDLEGATKDTPINDIMNGVIKRKLKIIPKNLKPRDYLALLLLLSLLCPLLSEHAFAVTSGAPDGSELWGYVQVRPKAHLFWWYYKSLQRVSSAANPWPTILWLQGGPGGSGSGHGNFLEIGPLDVNLNARNSTWLQKADLIFVDLPVGGGYSYAEDPSALVTTDSQAATDGTELLKALAEEIPSLLQGSPLFLVGESYGGKLAAMIGASVARAIHAGELKLTLGGVVLGDSWISPEDFALSHARLLQDVSRLDDNAVADADNKAATVKGQIAAGQFAKAEKAWTDLLDLIDSKSGSINMFNFLLDTGVETMSSKPPSNSPFRGINKLMKYSTYLDNQASGSESNTIGGIMNGIIKQKLKIIPKDVIHKTINLSPVLSNSFSEVLQFQLNCRWQEASIPVDELLSCGVNVSVYNGQQEQREGIVYQDSNTLDVICPTIGVEAWVQKLKWDGLKNFLSLPRQPLHYCDTSKLIKAFVRSYKNLHFYWILEAGHSVPVDQPCVALSMISSIIHSPARHWISCQSKQLQLSWLVAQAYHVSKNSPCEAARREATARDGGTVVSAVSGGTNDGSERWGYAQVRPKAHLFWWYYRSPQRVSSPGKPWPTVLWLQGGPGSSGVGLGNFLEIGPLDATLKPRASTWLQKADLIFVDNPVGTGYSYVEGDDDSLLVTTDGQAAADLTALLRALAGGELPSLKDSPLFVVAESYGGKYAAALGVSLARAIRAGELRLTLGGVAIGDSWISPEDFALSYGPLLLQVSRLDSNGADAASKKAQAIKQHIAAGRFKQAQSALSSMLTSIVANSGHVDVYNFLLDSGMDPVAATAAAPARSFPPAYSTYLDSKLSIGDSIRAIMNGAVKEKLHIIPKDVVWEQQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSTVGAEAWIQKLKWDGLKNFLSIPRQPLHCGSSEITKGFVRSYKNLHFYWILGAGHFSSAVTACAGGRRRAHARGDDGDNNFFSRDGDNDKTSTMAAEELGPCF >LPERR03G17200.1 pep chromosome:Lperr_V1.4:3:13226512:13227684:1 gene:LPERR03G17200 transcript:LPERR03G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGAAASGRVQAERWLEIAGKLLAARDLVGCKRFAERAVEADPLLPGADELLAVADVLLASQSPLPSGHPDPLAVLQLPPGTNPADHAAVSRAFRRLALLLKQGSNPHPGADVALSLVHDAYAILSDPSRRPPPSSAGALAPGGQPAESPEFWTVCPFCCHAHQYLRELVGRALKCPNESCRKGFVAVEISPGPTIVPGTEMYHCAWGFFPLGYPTSADLAGNWKPFYKVFPWNNTPAGGGSGSRNYGGRGGGSAGRQPQNGSARGGSSSRGRVKKTTARKKVGAGLKRRTLGGGVESGIDPMDGQDGWAEGEEGGGEVRGININEEAQSTDGNTRGNVNARGNVGGGVEDMGTFHLDVDPSEDILGNLHNLPFLRVDNLGRML >LPERR03G17210.1 pep chromosome:Lperr_V1.4:3:13227833:13236975:-1 gene:LPERR03G17210 transcript:LPERR03G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFGCTGRRSSVQPSVYWLAKQARAMFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAVIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLSGVITDEELARYLHLKELDERPYMFQAVSAYDGRGIKSGIDWVVEQMGRSKRTEALKARAYFNGRKGLNGITPPPAK >LPERR03G17210.2 pep chromosome:Lperr_V1.4:3:13231528:13236975:-1 gene:LPERR03G17210 transcript:LPERR03G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFGCTGRRSSVQPSVYWLAKQARAMFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAVIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLSGVITDEELARYLHLKELDERPYMFQAVSAYDGRGIKSGIDWVVEQMGRSKRTEALKARAVLSGQI >LPERR03G17210.3 pep chromosome:Lperr_V1.4:3:13231528:13236283:-1 gene:LPERR03G17210 transcript:LPERR03G17210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRFQEQRRKCTGRRSSVQPSVYWLAKQARAMFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAVIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLSGVITDEELARYLHLKELDERPYMFQAVSAYDGRGIKSGIDWVVEQMGRSKRTEALKARAVLSGQI >LPERR03G17220.1 pep chromosome:Lperr_V1.4:3:13236904:13240696:1 gene:LPERR03G17220 transcript:LPERR03G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFATTMTAGPPAVSDLTRSSSRPSRGRNRGATEAKSVRPGDPSAAANMFDDQDLGFFANFLGIFIFVLVMAYHFVMADVKYEGN >LPERR03G17230.1 pep chromosome:Lperr_V1.4:3:13246724:13248517:1 gene:LPERR03G17230 transcript:LPERR03G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCSQSSPASFSLLCDESSESVFGADDCGVEEMVPELEKMMVMSPGFSTSLGLQLGDDGDDDLVGSFMEKEVEQMVGTARGQYLEKLRNGGIELSCRIAAIDWICKVQAHYNFGPLCAYLAVNYLDRFLSSVQLSVTNDMPWMQQLLIVACLSVAAKMEETTVLSTVDLQVFSSPEYVFDAKTIRRMETVILTTLKWRMQAVTPFSYIDHFLHKINEGKPLTCELVSRCTELILGTMKATEFLKFRPSEIATAVALSVVTDGRVLDLGSVLESYELPVDKENVGRCHQAMLQMALVTHTSTESPSGVLDTSRFTSKELHSRTPGTSQQVDNNNQDSTPASKRTRLGAAPMS >LPERR03G17240.1 pep chromosome:Lperr_V1.4:3:13286956:13290654:1 gene:LPERR03G17240 transcript:LPERR03G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQAVAQTRFRVFKHENGISVRVIACFQPSQDCQKTLFAGCFVMGEKVNSWCHWPNPPRNESSASDLHPPYVSLENANLVALPTYLNNDGYIYSGVAASMPSFAASIADRPVLFASGHVTTLAPSIGLSTADTLRKRPLILLHNENNTFTVGPLLSKGALDPVPELQGSNETNVTDVGAENTECMHENTEEIDAFLCSDSDEGCLKVQELNRVRKYPMQHDTMSVESVASAGASQPAKKRRLSSSTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDDPGDRERRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >LPERR03G17250.1 pep chromosome:Lperr_V1.4:3:13293576:13297214:-1 gene:LPERR03G17250 transcript:LPERR03G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLHGVIDAKILEADLSVSSDGLLRPSRKTMMKKRVFSWFKKNLPFCNSCQTQQVENALGLGPTAGKLYGTVDIDKARVGRTRMVDPTNTPRWQESFHIYCAHDAGDVVFTVKADNPVGATLIGRAYLPVGDSGLARGDPVDDLWLPICDDSRRPLDGGDKIRVQLRFTDVAADPSARWGAGVGSGAYKGVPHTFFPQRSGCRVRLYQDAHVADGFAPRIQLAGRALYEPRRCWEDVFDAISKARRMVYVAGWSVNTDVVLVRATGTTLGELLKRKADEGVTVLMLVWNDRTSVGLGPIRRDGLMATHDEDTATYFRGSKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGVPGLVSFLGGIDLCDGRYDTQEHPLFRTLATTHRADFHQPNFPGASIAKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGAGKDRLLVTLDKTQAAREANNSDPESWNVQVFRSIDGGAAAGFPENPDEAAAAGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRGGEGVAVESINALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVAVAIQAKGIRADPKDYLNFFCLGNREQFVPGEYAPPERPDPDTDYMRAQQARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPNYLATGNRPARGQVHGFRVALWQEHMGRAAAAPGATGDFLRPSSLACVRRVSEAAKRHWDMFASDAPPQGNLPGHLMAYPVGVGDDGGLVETTAAFPDTKAKVMGTKSGILPPILTT >LPERR03G17260.1 pep chromosome:Lperr_V1.4:3:13300416:13301693:-1 gene:LPERR03G17260 transcript:LPERR03G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSPATPTCHRRPFVVILLLQLASITRPASSQLFTPPPGANVAGAFSPPAADAAAAAAGGSAGFNVATSLLFVGVVISLFLLGFFSAYIRRCSEAATAAHRRGGAGAGAGAATHASAAVAAAAAAAFASAAAGNGGRRRAGLHAAAMDALPVLTYATARAVKAGRGALECAVCLAEFADDGEKLRLLPGCCHVFHSACIDVWLAAHVTCPVCRADLADPSVAAAGHVLAADLAAQAELTTTPNHHTVVNVDESTLGKDSLSPLTDQQLPPETAEERVDRYTLRLPERLKREIEEAKLLRRSVSAVTAPAAASSGRWASRTMSAARPSRRWSALLRALSGPRWSDTDGGRRVAPLQTLAAGGEGDDDVEVVVVRGGDAAGAADMEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAVPAPQR >LPERR03G17270.1 pep chromosome:Lperr_V1.4:3:13309774:13309998:-1 gene:LPERR03G17270 transcript:LPERR03G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTQYKFRFGSKFVGLIKPGSISERNGVRVQVKWAWLGIFQVDRVGDQLNFKVGSGTVSFPVSAFTNSPTCS >LPERR03G17280.1 pep chromosome:Lperr_V1.4:3:13322671:13328406:-1 gene:LPERR03G17280 transcript:LPERR03G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRHWVLLISVLSCLLLVLLSTALSGESAAAAIPNGRAGTITAGTADGSEMWGYVAVRPKANVFWWYYKSPETVSSSAKPWPTVLWLQGGPGGSGVGRGNFQEVGMLDTNLNPRNFTWLSKADLIFVGDTEAVADAIELLKALAGDIPMLPSSPLFLVGESYGGKHAAMIGVSVVKSINAGELNITLGGVVIGDGWISPGDFALSYPWSLSAVSRLDDNAVGKAIGMAVKVKQLMEVEQFTAAYRAWVDLLDLISIKSGGVNIENFMIDNNMGQLKSDLAERHLSSGSNNNLQASSSSSNTIDGIMNGIIKKKLKIIPEDLVWQSASLKVDELLSLGVNVTIYNGQVNKKYSYCLRIYSLDIICSTIGAEAWVRKLKWSGLHDFLIMPRSPLHFCHPYYLTNAFVRSYKNLNFYWVLGAGHMVPVDQPCTALHIIGSVTQSPADI >LPERR03G17290.1 pep chromosome:Lperr_V1.4:3:13336345:13336773:-1 gene:LPERR03G17290 transcript:LPERR03G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHLLAAAVLVATILHAATATTVYDVLQQNNLPRGLVPQGVQSYTYQQSDGHLEAKLAGQCDFFVSIAGKQFKFRFFPTFGAVIKPSSLTEVYGVSFQAEFAWIGISQVKLDGDQLTFQTPEFTQSFPVGGFAVSPICNS >LPERR03G17300.1 pep chromosome:Lperr_V1.4:3:13343166:13347623:-1 gene:LPERR03G17300 transcript:LPERR03G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYPPLALPLLLCLSLCLLHGERASAVTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRVSSPGKPWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLHKADLIFVDNPVGVGYSYAEDPSVLVTTDWQAATDATELVKALAKEIPNLQSSPLFLVAESYGGKYAATLGVSLARAIRAGELKLTLGGVALGDSWISPEDFTLSYTPLLLDVSRLDDNAGDEATKKAATVKDQITAGQLADSQRSWVDLLNFIDKKSASVDMYNFLLDSGMDPVSVDLPAASSSSMSMSNTQAMKYSTYLGTQESDSGANTIDGIMNGVIKEKLKIIPKNIKWQELSDPVYNALVNDFMRPRINEVDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLQCGSSKGTKAFVRSFKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >LPERR03G17310.1 pep chromosome:Lperr_V1.4:3:13350575:13352685:1 gene:LPERR03G17310 transcript:LPERR03G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSYWSRQTCEECTTRAMAGCVVGEPASASSPGQRVTVLAIDGGGIRGLIPGTILAFLEATLQELDGPDARLADYFDCIAGTSTGGLITAMLAAPGHDGRPLFAARDINRFYLENGPRIFPQKRCGVVAAVASLTRPRYNGKYLQSKIRRMLGETRVRDTLTNVVIPTFDTIDDATGKVREFNLIDGGVAANNPTMVAMTQITKKMMVKDKEELYPVKPSNCGKFLVLSIGTGSTSDQGMYTARQCSRWGIIRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLRGAAATVDAATAENMRDLVGIGERMLEQRVSRVNVETGKYVEVPGAGSNADALRGFARQLSEERRARLGRRPISAAAAGCGGGAHGAGAAC >LPERR03G17320.1 pep chromosome:Lperr_V1.4:3:13353808:13359226:1 gene:LPERR03G17320 transcript:LPERR03G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGSNSFRPSWNSTGGPNTIQHSPHCGPASTWGPTWTLSHHVVRAPLRSAGKGIYSRHPAPLFPPKRALFLPKSKPAANSTLPHPTPPSLSSPSGRARRRLRAAAAAMDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGERVLVGFSGPFGFHRVTPRDLMSSFIGSMVCVEGIVTKCSLVRPKVVKSVHYCPATGGILSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISKRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETVPIEVLTKFLWSGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDGGARSLDKSGYAEEDDGDVNASIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGNANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNTDGHGRIEAFEAILGQHVLANHIDQISIDEIEQTVNREAAAPYSRRQVEFILERMQDANRIMIRDGIVRII >LPERR03G17330.1 pep chromosome:Lperr_V1.4:3:13370643:13371197:-1 gene:LPERR03G17330 transcript:LPERR03G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKNITNTCTCPLLLLILLFSQLSASQGRPLLPDGSIVSAMQRRYLLSHGNEAVSPSSTEIHGDNAPMADVDDVRPTNPGHSPGIGHAFVNKNYDGVVDDVRPTNPGHSPGIGHSFVNKSDDDGVVDDVRPTNPGHSPGIGHAFVNKNDDNDVVDGVRPTNPGHSPGIGHAIINKNGQGKKL >LPERR03G17340.1 pep chromosome:Lperr_V1.4:3:13396260:13396610:1 gene:LPERR03G17340 transcript:LPERR03G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKLSKMPLFLPLLIIAILSSEQLIVCSQGRPLMAMATQRRHLLSSSSPPHSDNEAAGGNGETTMTQGNIMPDGESGGGIVDDARPTAPGHSPGAGHAFTNKNGVGRKLLDVIN >LPERR03G17350.1 pep chromosome:Lperr_V1.4:3:13399128:13402732:-1 gene:LPERR03G17350 transcript:LPERR03G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSKGEEKAAAAAAAAAAAAGGGEDWCYQFANKDTLIVKPSKKSLLALRMVVFAMTMICGIFICTMCMKQLGSDSWSRIVKIEVAEQLCNKSAIPSSEVHFVHYPQPITYSRSECMCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSIIKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHAGVADYFNRRGVSAIFLFRRNLLRQFVSQLANNHDRYLKQLNGTHKAHVHTRYEANILASYKPRLNTTSLIRSLKQADDYTRGALENLRSINHITIYYEDLIQNRTKLLDVLDFLKVPRRKLVSRHVKIHTKPLSEQIDNWDEVINALNGTQYESFLNADYRI >LPERR03G17360.1 pep chromosome:Lperr_V1.4:3:13411995:13417524:-1 gene:LPERR03G17360 transcript:LPERR03G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALAAAAVASAVAPPPPRRARPLTQMPLTRGLALTVARCAPSPSAPPAAAVEAEEAQQPAKPRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKGDTGGDEVGDGVGGDASASESDEEEEEDEEEGIEEEEDGEGLEGEWMPSMEGFVKYLVDSKLVFDTVERIIAESTDVAYVYFRKSGLERSARIAKDLEWFRMQGISIPEPSTAGSTYATYLTELAESNPPAFLSHYYNIYFAHTTGGMAIGNKISNKIFDGRELEFYKWDTDVELLLKDAREKLNELSKHWSRKDRNLCLKEAAKCFQYLGRIDGLSKPWDHAFHAMMPA >LPERR03G17360.2 pep chromosome:Lperr_V1.4:3:13412382:13417524:-1 gene:LPERR03G17360 transcript:LPERR03G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALAAAAVASAVAPPPPRRARPLTQMPLTRGLALTVARCAPSPSAPPAAAVEAEEAQQPAKPRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKGDTGGDEVGDGVGGDASASESDEEEEEDEEEGIEEEEDGEGLEGEWMPSMEGFVKYLVDSKLVFDTVERIIAESTDVAYVYFRKSGLERSARIAKDLEWFRMQGISIPEPSTAGSTYATYLTELAESNPPAFLSHYYNIYFAHTTGGMAIGNKISNKIFDGRELEFYKWDTDVELLLKDAREKLNELSKHWSRKDRNLCLKEAAKCFQYLGRIVRLIIL >LPERR03G17370.1 pep chromosome:Lperr_V1.4:3:13418940:13423527:1 gene:LPERR03G17370 transcript:LPERR03G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVEKPDLLFGEANKSKKGEDFTFLLPKCGRRPGHPAEVGDGGDDASGGAGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDSNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKVLKSVYTSGVYLWISRNEAVGSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELAEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLEMKPGEGISVHGNIPRHSRVDPWGGPFLCSSCQLKKEAMEGKQHLTSTNVFI >LPERR03G17380.1 pep chromosome:Lperr_V1.4:3:13423920:13424222:-1 gene:LPERR03G17380 transcript:LPERR03G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKTPAMKHRALLNPHPATLDEFKKWLKKFDTNNDGRISGAELREAIRSQGFGLSVWWKGIVALYQADKDRNGYIDEYEIENLVIFAQKVLGIKITAW >LPERR03G17390.1 pep chromosome:Lperr_V1.4:3:13426047:13428594:-1 gene:LPERR03G17390 transcript:LPERR03G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDSNGHGIAKESASAPVENPDSSFETQKSLSSNLSENMEKTPTDHIVSSSPDMLSQLKSDQESDDSLKHKTDLPTSTSTIEANNISENGLTDQSTVPSDESKSKQNKMNPDENIAATTNKKAETEARPESPCRGLVDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEAAEMAKLQVIEELERTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQELEQGIDDEASVIAQTQLAVAKERHQKAVEELKLVKEDMRSTHERHAVLATEKDIAAKKAEEAVSAAKETEKRVEELTLELIAIKESLELAHTAHHEAEEHRLGAALAKEQDCLAWEKELQKAQEELQQLNMQLVSKTEVQSKLDENMLKLHKISTELAAHTENKLSEEAGVAEDGSDEAKEISRSIKRALTSIRNELEGVRGNIEKTKDETNLIKAIAESLRSELDKEKASLVTLQQREGMASITVSSLEAELNKTKEDIEMVHMKEAETREKMVELPKMLQQAAQEAEDTKDAAHSAQEELKKAKEEAEQTKAAAVTAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSRVEDSPRTVTLPISEYHSLSKRVYEAEELANERIAAALAQIELAKESETRSLERLQEAFKEMSQKKVALEIALERAERAKEGKLGAEQELRKWRAELEQRRKAAKHVSNPWSPAPIKLPEQKGSYQEDDAVLTEPKSTMSNNGIDDFVTDQKLRKKKSFLPQMSNLLSRKAQT >LPERR03G17400.1 pep chromosome:Lperr_V1.4:3:13431934:13438602:1 gene:LPERR03G17400 transcript:LPERR03G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSVPPDDRTFPFALHAAAAAVADNKGTVYRDKGLELHAAALRRGHLADVFAGNTLVAFYAACGGGRACDARRVFDEMPSWDVVSWNSLVSAFLVNGMFHDARRALVSMMRSGFPLNVASLVSVVPACGAEQEEVFGLSIHAFAVKVGLNTAVNLANALVDMYGKFGEVDASMLVFNGMQEQNEVSWNSAIGCFLNAGLYADVLRMFRKMSEHNVMPGSITLSSLLPALVELGYFDLGKEVHGYSIKRAMDLDIFVANSLVDMYAKFGSLAKASTIFEQMKDRNVVSWNAMIANLVQNGAETEALRLVIDMQKSGECPNSITLVNVLPACARMASLKMGKQIHAWSIRRGLVFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSPWCFESLILFEKMRSVGIDYDAVSFMGALSACTNLAVFKHGKEIHGVLVRRLLSGHLFLANSLLDLYTKGGMLATASKIFNKITKKDVASWNTMILGYGMHGQIDVAFKLFDLMKSDGVDYDHVSYISVLSACSHGGLVEKGKKYFSQMLARNIEPLQMHYACMVDLLGRAGKLSESAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINLYAETGRWNEANRIRKLMKSRKVQKNPAYSWVQDGNKLQTFLAPHKQHQVSLHSSSSPNLNRGTVYLAEYFNKSFDSVMVVKGRGIDPAELSVTLKGDKASMMPKEPPLVNIESHKTTMQKNQPSSDVVNRSPAVKLTAGLSEDNNKAMPISTPFNMGIDNSQLKQQGSLNKLVLAFLWSPTMLPLPQIASEKALPSFANEHFVLRQHQREFIEYKHLGITHQEDKNQ >LPERR03G17410.1 pep chromosome:Lperr_V1.4:3:13439239:13441532:1 gene:LPERR03G17410 transcript:LPERR03G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGQTLHRGNGAATATTGSGGGIKIQITLLLDLGVWQLGMLHGNKLDLDKLDRYSKFKEETNANRMKILEMQQKLSSEKLEATKLANLTAQETKKGKKLDKESKMMEACECSVSVCSMPLTVKQEGLFLGAFYT >LPERR03G17420.1 pep chromosome:Lperr_V1.4:3:13443239:13453382:1 gene:LPERR03G17420 transcript:LPERR03G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQPAPSHHGGGGPRPSRPSGGSGGGGGGGMVVLSRGGRGTSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGAASAPVRSGPPAAGWTKPAPAAAEKPPASSVPLPGTGPRPPSYGFSEKAVVLRGEDFPSLKAAVAPPPPPPAQRQKDAEGRLATPETRPIPLGMRPQVMPSRAAEPLASTGGTGAGAHLSADKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEAMVPGRDHYGGMRDPFGKDLIASNKEGGQDTLWRSPMASQQDREQRDGRPYSGGRGSNAQSSYHESMNGVAAKDSWNTGREPAVRAYGQNGAESYGNARMGGTPAERYGDISNNWYKGNSFQNSFVSKAQSFTGNKGPLNNDPPAKFGREKRLTGTPVKPLIEDGGFDSITAVNLSAIKKKKEATKPADFHDPVRESFEAELDRILRLQEQEKQRVMEEQAKAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLVEQERLEAAKRAEEQRIAREEEKRKAALEEERRKEGARKKLQELEARIARRQAESNTRDVNFASCINDELLPGALKDRDVPQSANTDDRHDFDRMGERINTSVPSESSSNNRFNGTVPRVHTLRDAQSSFADREYAHFSGRTSFQEQESAHYSPQRESFAARRGNYPKKDPYDGFATVTVRPSSRGRTNDSPWASEDYCHGRVPRWDAPRESDRFDIKQSDFDTEFFSSDRFGDAAWLPSSSHEGPNGHQGEKMFQSSENNDFPFARPRYSMRQPRVPPPPAVARSLIGSSTQHANSSFVENGLRESSIREEHTTRSEYGRVYQEESHQHGTSAEGSALDEQQSEDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVISDIDHAASTLDAANTSRINTSSTASHLEDDEWPSESNEDRQKQDEYDEESNSYQEDEINEGDEENLDLDDEFAEGHNAHVEKEPVILGFDEGVQVEIPPKPELELMPMNTHSGVMEQQVGSGSVCPSDFVTKAEKALQNLALDQINALTDETNAELSNSLVAPIPGSKLPQASSTDPIMPPVSAVSGRSEVPVTLQFGLFSGPSLIPTPPAIQIGSIQMPINLQNQINPSLSQVHPSPAPLFKFGQLRYVRPIAQNVQHVSQAMPSIHSSVPAPYVLNQYASNIPREAALSSSIEKSVVPEAEPPFMFQHSDSQMLSAPTMNQMVDVEGFHNLLDRSSLVENPSRASKPESHRNHDISLKRNYRPTSNNRESSQVNSDAKVVSAPKAPGAVPSGRGRKYGYAVKESNIRSTSSVVDHSNKDSRGFQRRSRRNIRRTEFRVRENVEKNHIQDESFSLSEQNEKSYPNGTAREISLRNANRREGDKSLRANEASDPSAGPSSTCANYYTKPDRSAQKAPSYERSHSGNRKSRAGATPEGDVNTSSQAGVACVVRQQGIEVPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNNGTVSLAEPSKKALDCVMAVEGRVIDPVESSISLKGGKASMAPIGPPLVNTESHKNYYAKKPIQSKPSSDVANSSAALKLVAGLPEENNKAISISTPFNMGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEANNALSPTIGSKKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTTTSGLGPPGLSRPDMKIDRNLPGDSNSTANLFDKETATAKEPSPNSDDVEAEAAASAVAVAAISTDEVVGSGADAMAASASDKKSFGNKDLSGLTSGGQAGQSSTDEPLSVALPADLSVDTPSISMWHPLPSPQASGPLLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPSVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAPQFVHNVQVDNKVSTNNRYQEPHSVSVVPNAENSKSFPNATAAQFTDELGLVEQPASSSSNAQTVQPSFVRAGMISNEVPNSAKVMGRSNTPNVNPGIATGVTSNSNGSQVANMPSKPHQSSSSSGQQYQHQVNNQDRRARVAQKTGAANEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSLSASSHAPSG >LPERR03G17430.1 pep chromosome:Lperr_V1.4:3:13453656:13454842:-1 gene:LPERR03G17430 transcript:LPERR03G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSAGWTDEKHMLYISSLEESFVTQLYGGEVNSKGVWQSSSVWGHGIYKGNRTDTIVAQGYWEIGEVGGAASRASQADRAESTLCYGHQEECKSYFMGDDAPTTEPGQDRISYRAKQNNHGVSSWITELSDQNFINEAGIRTEDSVAYSNKRLKHAAAADGTSSSLVASPGNANLVGYCSVSSSDLDIELLNAEIASPSWKAQGQRTWSV >LPERR03G17450.1 pep chromosome:Lperr_V1.4:3:13467034:13475587:1 gene:LPERR03G17450 transcript:LPERR03G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSCRSSDGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGAAGVPGKTSQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGRYRGRSRSISRSPPRGWTRSASTAALMPTAAICHGERRDETVSSGPIWIKDNNGDVESAGQHVVGDGNEFEAAGQHAEGSHDEVEPTGQRAEGNNDEVEIGGRREASTKRATGTQRGGWQHAVRWPVDGKATNGQRMAGWRRHK >LPERR03G17450.2 pep chromosome:Lperr_V1.4:3:13467036:13475589:1 gene:LPERR03G17450 transcript:LPERR03G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQFLQASGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRTQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVAQELEAKALPFSFEKPQSSQPPASKGTYSQVSYSYKGDGSEEPEDLNSDDEDEEEEDDEDDKDFSSDDSSDERMECIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRASYRDPYRSRSRSRSRSPSYSRRHGRGTNAESNYRSKPKAPRVEYITEFGGSDDTHDLKVGGISPPSSPIRVGIPNRSSDGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGAAGVPGKTSQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGRYRGRSRSISRSPPRGWTRSASTAALMPTAAICHGERRDETVSSGPIWIKDNNGDVESAGQHVVGDGNEFEAAGQHAEGSHDEVEPTGQRAEGNNDEVEIGGRREASTKRATGTQRGGWQHAVRWPVDGKATNGQRMAGWRRHK >LPERR03G17450.3 pep chromosome:Lperr_V1.4:3:13467034:13475587:1 gene:LPERR03G17450 transcript:LPERR03G17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSCRSSDGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGAAGVPGKTSQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGRYRGRSRSISRSPPRGWTRSASTAALMPTAAICHGERRDETVSSGPIWIKDNNGDVESAGQHVVGDGNEFEAAGQHAEGSHDEVEPTGQRAEGNNDEVEIGGRREASTKRATGTQRGGWQHAVRWPVDGKATNGQRMAGWRRHK >LPERR03G17450.4 pep chromosome:Lperr_V1.4:3:13467036:13473136:1 gene:LPERR03G17450 transcript:LPERR03G17450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQFLQASGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRTQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVAQELEAKALPFSFEKPQSSQPPASKGTYSQVSYSYKGDGSEEPEDLNSDDEDEEEEDDEDDKDFSSDDSSDERMECIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRASYRDPYRSRSRSRSRSPSYSRRHGRGTNAESNYRSKPKAPRVEYITEFGGSDDTHDLKVGGISPPSSPIRVGIPNRSGNSTFNICKDTLGHLSLLQHIAMILVF >LPERR03G17460.1 pep chromosome:Lperr_V1.4:3:13477217:13478389:1 gene:LPERR03G17460 transcript:LPERR03G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPTTPRASSSSSTPSPSSSSSAAASPSPSALLAHLSAAGVSILPGLSESELAHAEAAALGGIQLPPDLRDLLAAGVPSGDGFPDYRSPPGLRLLRFAAEEVPAAVAARLRVGQRGRYCSSPPPPPPLVPVYGRNYLPAVPCLAGNPVFHVSGSGVAVSGANLATFLLRAFAAEPPRLRRQLSAPMPPPPPVAPPPSSTARRSLDSATGKAPRWIEFWTAAAAAADRFVEVTTASAAAACATPPRWVRSYLDWAGSVLRRGGWGGGEVDEMTSTWTEEEEALALTVDRCCGEMARGGWGAEEVVEAMGALLAPRRRAKKPAVALPPDVAARVGKLAEAVFTGSGSAGNPEPAATTRRRF >LPERR03G17470.1 pep chromosome:Lperr_V1.4:3:13481232:13484289:-1 gene:LPERR03G17470 transcript:LPERR03G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSALPRGVEAVLVTSGQLRHLDPLLLHARAPPLLLANALISAFSRASFPILASQLLRHLLSSSPLRPDAFTFPPLIRAARCPSSAAQLHSCAIRLGLVRPSVFVSGAIVHAYLRFGRVPDAYRAFDEMPHRDVAAWNAMLSGLCRNARADEAVGLFGRMVRDGVACDSVTVSSVLPMCALLGDRALAAAVHRYVVKRGLDDELFVCNAMIDVYGKLGMLEEARKVFDGMASWDLVTWNSIISGYEQGGQVASAVEIFRGMRDSGVSPDVLTLVSLASAIAQCGDSLGGKSVHCYVIRRGWDVGDVIAGNAIVDMYAKQSNTEAAQRMFDSMPVRDVVSWNTLITGYMQNGLASEAIHAYSNMQTHEGVKPIQGTFVSVLPAYTHLGALQQGTRMHALSIKTGLNLDLYVGTCLIDLYAKCGKLDEAMLLFQQMHRRSTGPWNAIISCLGVHGHGSKALSVFSQMQQEGINPDHVTFVSLLAACSHVGLVDQGRNFFNMMQATYGIMPITRHYACMVDMLGRAGQLDEAFEFIQNMPIKPDSAVWGALLGACRIHGNVEMGKVASQHLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSSVRSQNLQKTPGWSSIEVKRSMNVFYSGNQMDLHPQHEKIQKELCELLAKMRSLGYVPDCSFVLQDVEEDEKQQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGYCSCGDFW >LPERR03G17480.1 pep chromosome:Lperr_V1.4:3:13490325:13492258:-1 gene:LPERR03G17480 transcript:LPERR03G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAMLASTRGLQPPPPSSSSYASAPPQQQAGGAVLQLFPTRANNNVAASPSPPSTAQERPRPEAKKTAPLTIVYGGQVLVFEHYTEEEADRLIQRTQLLVASSGGNSNVVVHTPRQPAPEPMPPPRNMPPAVSDSGVSGSMPIARKASLQRFLQKRKPKYY >LPERR03G17490.1 pep chromosome:Lperr_V1.4:3:13495563:13502768:1 gene:LPERR03G17490 transcript:LPERR03G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTRLTLLLCSAWAAALLYGEMGAYWAARLSCSWPSSSPPSSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVILPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIHFLSGNHDIGYSAFHKIHPEVITRYEKEFGLRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIRTLPSGNKSNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGIIYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTIVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGSKLPENSTDIEREFVVTILLLVSWPTNGLRSLPYVNAFVSFMGSVAAELFSKTKEKDDEEDGEYEMVWDAEGSMHLVKKAVAKNPSTSSDSRTTGRGSVVARPTARKHQLEPDSSVLVEMSSEMTSEDGAKGSRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >LPERR03G17490.2 pep chromosome:Lperr_V1.4:3:13495596:13502768:1 gene:LPERR03G17490 transcript:LPERR03G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTRLTLLLCSAWAAALLYGEMGAYWAARLSCSWPSSSPPSSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVILPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIHFLSGNHDIGYSAFHKIHPEVITRYEKEFGLRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIRTLPSGNKSNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGIIYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTIVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGSKLPENSTDIEREFVVTILLLVSWPTNGLRSLPYVNAFVSFMGSVAAELFSKTKEKDDEEDGEYEMVWDAEGSMHLVKKAVAKNPSTSSDSRTTGRGSVVARPTARKHQLEPDSSVLVEMSSEMTSEDGAKGSRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >LPERR03G17490.3 pep chromosome:Lperr_V1.4:3:13495563:13502768:1 gene:LPERR03G17490 transcript:LPERR03G17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTRLTLLLCSAWAAALLYGEMGAYWAARLSCSWPSSSPPSSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVILPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIHFLSGNHDIGYSAFHKIHPEVITRYEKEFGLRNYQFSAGKEPKKAKKDPLLGSSSEHYHQRVSNAAMDQGIIYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTIVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGSKLPENSTDIEREFVVTILLLVSWPTNGLRSLPYVNAFVSFMGSVAAELFSKTKEKDDEEDGEYEMVWDAEGSMHLVKKAVAKNPSTSSDSRTTGRGSVVARPTARKHQLEPDSSVLVEMSSEMTSEDGAKGSRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >LPERR03G17490.4 pep chromosome:Lperr_V1.4:3:13495596:13502768:1 gene:LPERR03G17490 transcript:LPERR03G17490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTRLTLLLCSAWAAALLYGEMGAYWAARLSCSWPSSSPPSSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVILPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIHFLSGNHDIGYSAFHKIHPEVITRYEKEFGLRNYQFSAGKEPKKAKKDPLLGSSSEHYHQRVSNAAMDQGIIYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTIVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGSKLPENSTDIEREFVVTILLLVSWPTNGLRSLPYVNAFVSFMGSVAAELFSKTKEKDDEEDGEYEMVWDAEGSMHLVKKAVAKNPSTSSDSRTTGRGSVVARPTARKHQLEPDSSVLVEMSSEMTSEDGAKGSRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >LPERR03G17500.1 pep chromosome:Lperr_V1.4:3:13504535:13508855:1 gene:LPERR03G17500 transcript:LPERR03G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAAEEEKAELGMEELELEASPPAKMQALDFEHIGSLAAVAESLSRGSKWRRALTSVRVVILQAKINVLLPFGPLAVVLHYLTGKHMYVLIGATSSGRQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHRSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEQEEEATEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWMMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPNSSDNK >LPERR03G17500.2 pep chromosome:Lperr_V1.4:3:13504535:13508855:1 gene:LPERR03G17500 transcript:LPERR03G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAAEEEKAELGMEELELEASPPAKMQALDFEHIGSLAAVAESLSRGSKWRRALTSVRVVILQAKINVLLPFGPLAVVLHYLTGKHLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHRSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEQEEEATEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWMMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPNSSDNK >LPERR03G17510.1 pep chromosome:Lperr_V1.4:3:13518285:13519513:-1 gene:LPERR03G17510 transcript:LPERR03G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNCSVFKANRNDKNLEGVATPFFSEKNSIIET >LPERR03G17520.1 pep chromosome:Lperr_V1.4:3:13530138:13538356:-1 gene:LPERR03G17520 transcript:LPERR03G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADILAVAAATAHPSLPRPPGSGGEDEELFAAGKLCYEAVDKMDEYVLSEIQSNLADYMSQEEKTRFMHLLKFYKVEVDRQKRNVRPLPLMSLNDLTTPHGTWNFHNYDTDLRLARQDDSNVHKLIVVRVVEPSADTRFIAPVLVQVKYKFPYILHCDGSNELQISRYHDEQHGLHWYKPGDGEMLYALQNDETYQEVCKICVYYSNVLNKMANMNYDMYAEAIDTYQLVFSTFGGIPIACYVDKIKLMHEALLWDDKNADLIASKDWLTTGSVKILVDLDLLLLKMSGYMQRVDGHYRFELSNLTTSGLEVHYLHHFADSISTYFFSEVLDRAKVLLVPERKIAADAGLAHLVRLHKMINPSRSKRWRLRSILNGFCVYVFYHIIYLGFDALIRATVKESFIFLGEICVWMIFLSFYLYMIYWWRTRKVRLYPIEPVFWVRDLRADIFQTFLHGFTRCLIEGIIKGNEEFWKIGYSKSKEEEQYGPLCPKKCFFSWPAIAGAHFIGAVVELVGSFLIEKFVAAFGPKKPRHTHSRVSRRIRFATHPNGQTLDAAVLAPVSTSLHQQRQRCDRWRGMAGEQFLGVLAINPPIPLC >LPERR03G17520.2 pep chromosome:Lperr_V1.4:3:13531408:13538356:-1 gene:LPERR03G17520 transcript:LPERR03G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADILAVAAATAHPSLPRPPGSGGEDEELFAAGKLCYEAVDKMDEYVLSEIQSNLADYMSQEEKTRFMHLLKFYKVEVDRQKRNVRPLPLMSLNDLTTPHGTWNFHNYDTDLRLARQDDSNVHKLIVVRVVEPSADTRFIAPVLVQVKYKFPYILHCDGSNELQISRYHDEQHGLHWYKPGDGEMLYALQNDETYQEVCKICVYYSNVLNKMANMNYDMYAEAIDTYQLVFSTFGGIPIACYVDKIKLMHEALLWDDKNADLIASKDWLTTGSVKILVDLDLLLLKMSGYMQRVDGHYRFELSNLTTSGLEVHYLHHFADSISTYFFSEVLDRAKVLLVPERKIAADAGLAHLVRLHKMINPSRSKRWRLRSILNGFCVYVFYHIIYLGFDALIRATVKESFIFLGEICVWMIFLSFYLYMIYWWRTRKVRLYPIEPVFWVRDLRADIFQTFLHGFTRCLIEGIIKGNEEFWKIGYSKSKEEEQYGPLCPKKCFFSWPAIAGAHFIGAVVELVGSFLIEKFVGRALLEPIEI >LPERR03G17520.3 pep chromosome:Lperr_V1.4:3:13531408:13538356:-1 gene:LPERR03G17520 transcript:LPERR03G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADILAVAAATAHPSLPRPPGSGGEDEELFAAGKLCYEAVDKMDEYVLSEIQSNLADYMSQEEKTRFMHLLKFYKVEVDRQKRNVRPLPLMSLNDLTTPHGTWNFHNYDTDLRLARQDDSNVHKLIVVRVVEPSADTRFIAPVLVQVKYKFPYILHCDGSNELQISRYHDEQHGLHWYKPGDGEMLYALQNDETYQEVCKICVYYSNVLNKMANMNYDMYAEAIDTYQLVFSTFGGIPIACYVDKIKLMHEALLWDDKNADLIASKDWLTTGSVKILVDLDLLLLKMSGYMQRVDGHYRFELSNLTTSGLEVLDRAKVLLVPERKIAADAGLAHLVRLHKMINPSRSKRWRLRSILNGFCVYVFYHIIYLGFDALIRATVKESFIFLGEICVWMIFLSFYLYMIYWWRTRKVRLYPIEPVFWVRDLRADIFQTFLHGFTRCLIEGIIKGNEEFWKIGYSKSKEEEQYGPLCPKKCFFSWPAIAGAHFIGAVVELVGSFLIEKFVGRALLEPIEI >LPERR03G17520.4 pep chromosome:Lperr_V1.4:3:13531408:13532962:-1 gene:LPERR03G17520 transcript:LPERR03G17520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNYDMYAEAIDTYQLVFSTFGGIPIACYVDKIKLMHEALLWDDKNADLIASKDWLTTGSVKILVDLDLLLLKMSGYMQRVDGHYRFELSNLTTSGLEVHYLHHFADSISTYFFSEVLDRAKVLLVPERKIAADAGLAHLVRLHKMINPSRSKRWRLRSILNGFCVYVFYHIIYLGFDALIRATVKESFIFLGEICVWMIFLSFYLYMIYWWRTRKVRLYPIEPVFWVRDLRADIFQTFLHGFTRCLIEGIIKGNEEFWKIGYSKSKEEEQYGPLCPKKCFFSWPAIAGAHFIGAVVELVGSFLIEKFVGRALLEPIEI >LPERR03G17520.5 pep chromosome:Lperr_V1.4:3:13531408:13532962:-1 gene:LPERR03G17520 transcript:LPERR03G17520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNYDMYAEAIDTYQLVFSTFGGIPIACYVDKIKLMHEALLWDDKNADLIASKDWLTTGSVKILVDLDLLLLKMSGYMQRVDGHYRFELSNLTTSGLEVLDRAKVLLVPERKIAADAGLAHLVRLHKMINPSRSKRWRLRSILNGFCVYVFYHIIYLGFDALIRATVKESFIFLGEICVWMIFLSFYLYMIYWWRTRKVRLYPIEPVFWVRDLRADIFQTFLHGFTRCLIEGIIKGNEEFWKIGYSKSKEEEQYGPLCPKKCFFSWPAIAGAHFIGAVVELVGSFLIEKFVGRALLEPIEI >LPERR03G17530.1 pep chromosome:Lperr_V1.4:3:13538869:13539595:-1 gene:LPERR03G17530 transcript:LPERR03G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKAPVARLSGNGGIELLPSAWPSACALLAPAGNPCEGETGGLGPCSSTPPRARRALLLRERVPAVSSAGHPPSLPPVAILLSRRLPFVSSVGHLSPTRTVPFPFFHSSGQGAATAFHPDAGKPDVVAASIPAAAAVTVRFDSGSTTQGRTPPLSAGRAMRSWQAPASGRIY >LPERR03G17540.1 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWLQMQNTADLYLRSQVQELFLNNRRSATSTTSVASSLLPSSRRMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVSLDYNENEGDTDEETERNTSPQPPVCIVNKVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17540.2 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWLQMQNTADLYLRSQVQELFLNNRRSATSTTSVASSLLPSSRRMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVSLDYNENEGDTDEETERNTSPQPPVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17540.3 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWLQMQNTADLYLRSQVQELFLNNRMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVSLDYNENEGDTDEETERNTSPQPPVCIVNKVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17540.4 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVSLDYNENEGDTDEETERNTSPQPPVCIVNKVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17540.5 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17540.6 pep chromosome:Lperr_V1.4:3:13544346:13549073:-1 gene:LPERR03G17540 transcript:LPERR03G17540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVRWMMGYKKLMHDLCQYNLPLQRYISMMELQASNERLFYDLLIDNVEELLLVVYTPTVGEGCQKYGSIYRHPQGIYITLKECHPITLNTSNDDEESENNTDDEVFSDYNANEGDTDKESKNNTADEVSLDYNENEGDTDEETERNTSPQPPVDTAEKCAAAAAGHRWLELLRGSAGVGRCCGWRRRWRLPARLPRRGHPRKRKHPRRRKMLKLLVHHLELLAAESPLQTPPCAAANRSRRSPQQLTPPVPCTAAGRSGRRRRRRRFLAAAHVASVLLAGDS >LPERR03G17550.1 pep chromosome:Lperr_V1.4:3:13549130:13549369:1 gene:LPERR03G17550 transcript:LPERR03G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVISVAGVLAMCPSLGSSDATDIMLCCSSIRSGDLYAGPCPCSFYVQIVPLELGTVTPPSFSCSLMNQVAVKTIPAGI >LPERR03G17560.1 pep chromosome:Lperr_V1.4:3:13550499:13551069:1 gene:LPERR03G17560 transcript:LPERR03G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQETVGIFLGWCVHDGKGVRPTMRWWCRRLIDFNAAPDAEGAGGSGSVVLDILVREVDAAMASLRLALTSEDLRIAAMAQAYQAMSLLLKMMEPTTHDIASTVRRGTRWGEGRDGARVLCENIGFMVDQSENMVKTPGPN >LPERR03G17570.1 pep chromosome:Lperr_V1.4:3:13565172:13565453:-1 gene:LPERR03G17570 transcript:LPERR03G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGGHIFPALTKMMHLRYLPSINLFSIWIENDVPNYAGELVTEAETSNEYIRRRNEVLRRRNSRRR >LPERR03G17590.1 pep chromosome:Lperr_V1.4:3:13571147:13581293:1 gene:LPERR03G17590 transcript:LPERR03G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPSPAAAQEVADDWARDEDAAEPMPVDPQHHQSAAPAAEDGEGVADAAPPAAPSEDVSEIQSSLQSLELKTNAAAQDTQEAVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWGWGVVILPLLFVKRMYAITYNLNICRYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVSKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGTNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLVVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEEEDIMAGFVLSSISAPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRVQVNNLICIENFSDFPQLGRFTLRTEGKYTLH >LPERR03G17600.1 pep chromosome:Lperr_V1.4:3:13586998:13590385:1 gene:LPERR03G17600 transcript:LPERR03G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYTPEDEEDDGESLSSPDASPPRLPTKSAAPAVDDTALALSAAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHMVGDAQPQPAEADTVYNLAPSEHKRRRLQSKEEADQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVYKSTFHGKEEKDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGSKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWSN >LPERR03G17610.1 pep chromosome:Lperr_V1.4:3:13591347:13592837:1 gene:LPERR03G17610 transcript:LPERR03G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAAVQALAVMWMVAALYPVVFMARPVEALAANWGTRALHPLPGDVTVRLLRDNGFDKVKLFEADPSALRALGHTGIQVMIGLPNELLAPVSSSVAAAEQWVLQNVSTYISKYGVDIRSVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLGRQVRVTVPLNADVYESLDGRPSAGDFRADISGLMIGLVRFLLDNGGFLTINIYPFLSLYADPNFPVDYAYFPAAGSPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGAINVVVGEIGWPTDGDKNANAANAQRFNQGLFDRIIAGKGTPRRPQMPDVYVFALLDEDAKSIDPGNFERHWGVFNYDGSPKYNLRLAGGRAIAPARGVRYLSRQWCVMRPEASLSDPALGGAVGYACQYADCTSLGAGSSCGNLDARANVSYAFNQFFQGANQMKNACGFNNLAVITTSDPSQGTCRFEIMIDTGRHDLAVASSATAVAAASSAAVLLIAFLGLVI >LPERR03G17620.1 pep chromosome:Lperr_V1.4:3:13593403:13598744:-1 gene:LPERR03G17620 transcript:LPERR03G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSGWFRAASGMVPLGFTAVAMLLLATSFLEVTADTSSDDVTALNAFYTTLNSPSQLTNWVVQNGDPCGQSWLGITCSGSRVITIKLPGMGLNGNLGYNMNILTALVELDVSNNNLGGSDIPYNLPPNLERLNLEKNSFTGTLPYSISQMATLKYLNLGHNQLSSINVMFNQLTNMTTLDLSDNTFSGTLPDSFSSMTSLTMLNVENNQLSGAIPDKLKGISNLHPATPSSPSPSSSPPSTTSQSPPRQPSTRNPGNNNKNPSIGSNGDSSSDSGGGGGSSSKIGSGAVAGIVISLVVLGAMVAFFVIKRKSMRGQRGGDPEKNEPLTLRPISSGKLNQLRTINIISPSAKEGLQKTVSMNLKPPSKIDLHRSFDESDPTNKTVMVKSIDLSSIRANVYTVADLQLATESFSADNLIGEGSFGRVYRAQISDDKVLAVKKINLSALPSNPSDFFIDLVANISKYMHETCSPSVIHKNFKSSNILLDNELNPHLSDCGFADLIPNQELQESDENSGYRAPEVTMSGQYTQKSDIYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWATPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVMSGESNSRRYDESGDYTF >LPERR03G17620.2 pep chromosome:Lperr_V1.4:3:13593403:13598744:-1 gene:LPERR03G17620 transcript:LPERR03G17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSGWFRAASGMVPLGFTAVAMLLLATSFLEVTADTSSDDVTALNAFYTTLNSPSQLTNWVVQNGDPCGQSWLGITCSGSRVITIKLPGMGLNGNLGYNMNILTALVELDVSNNNLGGSDIPYNLPPNLERLNLEKNSFTGTLPYSISQMATLKYLNLGHNQLSSINVMFNQLTNMTTLDLSDNTFSGTLPDSFSSMTSLTMLNVENNQLSGAIPDKLKGISNLHPATPSSPSPSSSPPSTTSQSPPRQPSTRNPGNNNKNPSIGSNGDSSSDSGGGGGSSSKIGSGAVAGIVISLVVLGAMVAFFVIKRKSMRGQRGGDPEKNEPLTLRPISSGKLNQLRTINIISPSAKEGLQKTVSMNLKPPSKIDLHRSFDESDPTNKTVMVKSIDLSSIRANVYTVADLQLATESFSADNLIGEGSFGRVYRAQISDDKVLAVKKINLSALPSNPSDFFIDLVANISKFNHPNLSELDGYCSEHGQYLLAYEFYRNGSLHDFLHLSDGYSNPLSWNNRVKIALGSARALEYMHETCSPSVIHKNFKSSNILLDNELNPHLSDCGFADLIPNQELQESDENSGYRAPEVTMSGQYTQKSDIYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWATPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVMSGESNSRRYDESGDYTF >LPERR03G17620.3 pep chromosome:Lperr_V1.4:3:13593403:13598744:-1 gene:LPERR03G17620 transcript:LPERR03G17620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSGWFRAASGMVPLGFTAVAMLLLATSFLEVTADTSSDDVTALNAFYTTLNSPSQLTNWVVQNGDPCGQSWLGITCSGSRVITIKLPGMGLNGNLGYNMNILTALVELNLEKNSFTGTLPYSISQMATLKYLNLGHNQLSSINVMFNQLTNMTTLDLSDNTFSGTLPDSFSSMTSLTMLNVENNQLSGAIPDKLKGISNLHPATPSSPSPSSSPPSTTSQSPPRQPSTRNPGNNNKNPSIGSNGDSSSDSGGGGGSSSKIGSGAVAGIVISLVVLGAMVAFFVIKRKSMRGQRGGDPEKNEPLTLRPISSGKLNQLRTINIISPSAKEGLQKTVSMNLKPPSKIDLHRSFDESDPTNKTVMVKSIDLSSIRANVYTVADLQLATESFSADNLIGEGSFGRVYRAQISDDKVLAVKKINLSALPSNPSDFFIDLVANISKFNHPNLSELDGYCSEHGQYLLAYEFYRNGSLHDFLHLSDGYSNPLSWNNRVKIALGSARALEYMHETCSPSVIHKNFKSSNILLDNELNPHLSDCGFADLIPNQELQESDENSGYRAPEVTMSGQYTQKSDIYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWATPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVMSGESNSRRYDESGDYTF >LPERR03G17630.1 pep chromosome:Lperr_V1.4:3:13605917:13606787:-1 gene:LPERR03G17630 transcript:LPERR03G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMQIGDASPQETNCIIDDSMQKDQILYQNMLDLLQRVWVRFTSKEPSEWKAPLSMNANKQREGTNLRALYVAESIMSHGQRTYSALSDLEYRRDRVPEENKRKAI >LPERR03G17630.2 pep chromosome:Lperr_V1.4:3:13605917:13606454:-1 gene:LPERR03G17630 transcript:LPERR03G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDQILYQNMLDLLQRVWVRFTSKEPSEWKAPLSMNANKQREGTNLRALYVAESIMSHGQRTYSALSDLEYRRDRVPEENKRKAI >LPERR03G17640.1 pep chromosome:Lperr_V1.4:3:13618944:13619564:-1 gene:LPERR03G17640 transcript:LPERR03G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWIGLRWCRQYLSELLQEHHKLGPFMQRNAWTQRMALRCARVARKRKATFSFGKTIRARKLGADIMPDLLTS >LPERR03G17650.1 pep chromosome:Lperr_V1.4:3:13619863:13620369:1 gene:LPERR03G17650 transcript:LPERR03G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTHQIRRGGAGARGRVVSMWQAGKLGVRGAVELDGVNGAPRMARWRSAATDGERRGAAASTGCCGGRRGSGRCRRRDATADVAVDEVCRRPWRSLLSRGRAGRSPPTGTWASTARPRCRQWGVAVGRSSAPTRGRGAAVGGLTRRCGGVAGRHWGRRPLPIGSRN >LPERR03G17660.1 pep chromosome:Lperr_V1.4:3:13621364:13621795:1 gene:LPERR03G17660 transcript:LPERR03G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVLGAAAAAAAATAVLALTTTTTSAPADRRGAGECVDDSTVAPRECAVCLSELAGAAVRTLPGCGHGFHEECIGRWLPLRAECPLCRRPVPVEVGVAEAEPAAWTSSSTSRAARTMACAFGDGRVVWTRSPSSSVQEL >LPERR03G17670.1 pep chromosome:Lperr_V1.4:3:13660688:13662627:-1 gene:LPERR03G17670 transcript:LPERR03G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGEGEGRGRTKLIIGGLAVFMLVAMVIGTVAFVMMEHGGEDGDLNKRGMSSTTRTVDLFCAPTDYHATCQDTLDRVLARSSDPADHPHAAAAAAITAVERALSQGFDRTSSLAAIESSNDTLVAEALRDCRMLLDDCRGDVARALSSIAWRGVDGPAQDLQSWLSAVITFQGSCVDMFPKGEVRDQVRDAMEKAREISSNAIAIIQQGAAFAAMLDLHASEGHGDGNEVDVATMDKDGHHEHHDDDDDVDRRKMLDEEEDVLLPSWVADDDERRMLVAAAAEESVAGLTPNVTVAKDGSGDFGNISAALDALPETYVGRYVIYVKEGVYEETVNVTSRMANITMYGDGSKKSIVTGSKNIADGIRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKSDKTIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAVFQRCVLLVKPPLPGKPAVVTAHGRRDRQQTTGFVIQHSQVASDDGFATDGEGGTARVAYLGRPWKEHARTVVMESAIEGFVHAQGYMPWEGKDNLGEAFYGEFANSGGGANATGRSEMKGFHVLDKVKAMQFTVGRFLHGADWIPETGTPVTLGLFAAT >LPERR03G17680.1 pep chromosome:Lperr_V1.4:3:13668295:13669494:-1 gene:LPERR03G17680 transcript:LPERR03G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAASGGSKRRRGLGDLHDDVLERVLARLPPSAFFRLRSVCRRWRAAASSPTFLHACSLLPSRDPWFLMLSSHSPPIAFDAADRSWILPSRASAAAATDAAVPVASSGGLVLYRSPHTGALSVSNPLTGATRALPSPPGTAAPLHAVAMYGSPYRVALFAGDIHDGMSMAVFDSSTATWAPPLALSRKAAPPHAAAADDTAEVVYFLSKSGDVVSTNMQRSASKQYSSAVVTSSSGGVTAYFLSDSGTVVACDTARREYAELPRILPVYFEYSVDVVACGGAAYAVVLAEQLDTASLRVWEFVAAGDGDGEWRQVAAMPPAMSHAFYGRKADVNCAGHGDRLMVCVSSGDGEANGCFMCDVSNNRWEELPECVNSDGVEVNDFLAAFSFEPRLEITV >LPERR03G17690.1 pep chromosome:Lperr_V1.4:3:13678123:13689762:-1 gene:LPERR03G17690 transcript:LPERR03G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKTFADVVKLVTSWLPRRSNPDNVSRVFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDRIRLCNFCFKQWEQEKAAALKQVMPVLSPSLSEASLFSTKSTITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVSHNMLDTHVHEKSMVSTVSNKDETSSVQFGYYTNRSDDEEEECAAYCSDRHVPHQQHNDNYYGPDEFDELDSSYNPTISQTVEENVISKEVSPHAAQGFPSTLPVTKVEDEHDPDNNDEEDEMEVGFFDDGDEDEPVADNEQCRIRSSSSFSSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLLVENISSHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLGGGLEYHRVTHQLSSIDTLLQQETDHLKMAVAKIAAQKPNLLLVEHSVSRYAQDLLLEKNISLALNIKKPLLERISRCTNAHIVPSIDYLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSLDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPETPLKSPLTVALPDNRSTADGSISTVPGFRFNVSNNQQPTDNFEHLAASSIRATDPDRSAVPPVSSECTTQSQTSCSHSSGTWPAIDGRLNNKTVDEIEKATAISDSASTSGVLMNHSYIYSALEKNWYSGDYHEYGSTRSDVKIMMTDLANSNSSCHHGTSEASTNITSFANLKDPFDGSVDLVKMGNVTNSNLVMVRPVPSPAAQNQETNQGHEITSNKEEIMASDHQSILVSLSIRCVWKGTICQRSHMLRIKYYGNFDKPLGRFLRDCLFNQGFQCNSCDKPPEAHVHCYTHQQGSLTISVRKLTEFVLPGERDGKIWMWHRCLKCPWSNGFPPTTLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPHKLDFSHQPLDWIQKEANEVIDRAKTLFDEISRALHQHSDKKAHTLNVDCSNYIAYLECLLRKERLEFEGCLNKVLKKETQNFQPDILEINRLRRQLLFHSYLWDQRLIAAAKSDRSRQELYSFRPVDKEVIQSIDTVAELNAIEKPQNKISATEVSFKDHKFVEFLHESNDGGTTPRVYQCNICPNHDQQIAISESDSIQRGSKTPLYSSVSINADSVPLEPDLVARRTLSEGQFPSLLDVSNALDAKWTGENDPVADSTTMPDCVSSSEDSEEHVTDTTPSYASVLLNKLGDSAEDQPNWLRMPFLQFYRSLNKQCSRSYRFDVLNEYTPVHVSFLRTVERQVGPKFFFPIGVNDTVVGVYDDEPTSIISYALASHEYHLQLSEELESDTTDNSLSVIDSRSASVTESVDETTSELLRSFVSADDNILSISGSKNPPPSDPLAHRKVSHIKVNFGDEGPLGQVKYTVICYYAKKFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMRMDVLVMENLLFERHVTRLYDLKGSTRSRYNADSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKKRFRKAMSTYFLVVPDQWSPTAVVPNKQLANYRNTPNKPR >LPERR03G17690.2 pep chromosome:Lperr_V1.4:3:13678964:13689762:-1 gene:LPERR03G17690 transcript:LPERR03G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKTFADVVKLVTSWLPRRSNPDNVSRVFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDRIRLCNFCFKQWEQEKAAALKQVMPVLSPSLSEASLFSTKSTITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVSHNMLDTHVHEKSMVSTVSNKDETSSVQFGYYTNRSDDEEEECAAYCSDRHVPHQQHNDNYYGPDEFDELDSSYNPTISQTVEENVISKEVSPHAAQGFPSTLPVTKVEDEHDPDNNDEEDEMEVGFFDDGDEDEPVADNEQCRIRSSSSFSSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLLVENISSHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLGGGLEYHRVTHQLSSIDTLLQQETDHLKMAVAKIAAQKPNLLLVEHSVSRYAQDLLLEKNISLALNIKKPLLERISRCTNAHIVPSIDYLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSLDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPETPLKSPLTVALPDNRSTADGSISTVPGFRFNVSNNQQPTDNFEHLAASSIRATDPDRSAVPPVSSECTTQSQTSCSHSSGTWPAIDGRLNNKTVDEIEKATAISDSASTSGVLMNHSYIYSALEKNWYSGDYHEYGSTRSDVKIMMTDLANSNSSCHHGTSEASTNITSFANLKDPFDGSVDLVKMGNVTNSNLVMVRPVPSPAAQNQETNQGHEITSNKEEIMASDHQSILVSLSIRCVWKGTICQRSHMLRIKYYGNFDKPLGRFLRDCLFNQGFQCNSCDKPPEAHVHCYTHQQGSLTISVRKLTEFVLPGERDGKIWMWHRCLKCPWSNGFPPTTLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPHKLDFSHQPLDWIQKEANEVIDRAKTLFDEISRALHQHSDKKAHTLNVDCSNYIAYLECLLRKERLEFEGCLNKVLKKETQNFQPDILEINRLRRQLLFHSYLWDQRLIAAAKSDRSRQELYSFRPVDKEVIQSIDTVAELNAIEKPQNKISATEVSFKDHKFVEFLHESNDGGTTPRVYQCNICPNHDQQIAISESDSIQRGSKTPLYSSVSINADSVPLEPDLVARRTLSEGQFPSLLDVSNALDAKWTGENDPVADSTTMPDCVSSSEDSEEHVTDTTPSYASVLLNKLGDSAEDQPNWLRMPFLQFYRSLNKQCSRSYRFDVLNEYTPVHVSFLRTVERQVGPKFFFPIGVNDTVVGVYDDEPTSIISYALASHEYHLQLSEELESDTTDNSLSVIDSRSASVTESVDETTSELLRSFVSADDNILSISGSKNPPPSDPLAHRKVSHIKVNFGDEGPLGQVKYTVICYYAKKFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMRMDVLVMENLLFERHVTRLYDLKGSTRSRYNADSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKKRFRKAMSTYFLVVPDQWSPTAVVPNKQVADNQDNE >LPERR03G17690.3 pep chromosome:Lperr_V1.4:3:13678964:13689762:-1 gene:LPERR03G17690 transcript:LPERR03G17690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKTFADVVKLVTSWLPRRSNPDNVSRVFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDRIRLCNFCFKQWEQEKAAALKQVMPVLSPSLSEASLFSTKSTITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVSHNMLDTHVHEKSMVSTVSNKDETSSVQFGYYTNRSDDEEEECAAYCSDRHVPHQQHNDNYYGPDEFDELDSSYNPTISQTVEENVISKEVSPHAAQGFPSTLPVTKVEDEHDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPDDEEDEMEVGFFDDGDEDEPVADNEQCRIRSSSSFSSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLLVENISSHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLGGGLEYHRVTHQLSSIDTLLQQETDHLKMAVAKIAAQKPNLLLVEHSVSRYAQDLLLEKNISLALNIKKPLLERISRCTNAHIVPSIDYLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSLDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPETPLKSPLTVALPDNRSTADGSISTVPGFRFNVSNNQQPTDNFEHLAASSIRATDPDRSAVPPVSSECTTQSQTSCSHSSGTWPAIDGRLNNKTVDEIEKATAISDSASTSGVLMNHSYIYSALEKNWYSGDYHEYGSTRSDVKIMMTDLANSNSSCHHGTSEASTNITSFANLKDPFDGSVDLVKMGNVTNSNLVMVRPVPSPAAQNQETNQGHEITSNKEEIMASDHQSILVSLSIRCVWKGTICQRSHMLRIKYYGNFDKPLGRFLRDCLFNQGFQCNSCDKPPEAHVHCYTHQQGSLTISVRKLTEFVLPGERDGKIWMWHRCLKCPWSNGFPPTTLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPHKLDFSHQPLDWIQKEANEVIDRAKTLFDEISRALHQHSDKKAHTLNVDCSNYIAYLECLLRKERLEFEGCLNKVLKKETQNFQPDILEINRLRRQLLFHSYLWDQRLIAAAKSDRSRQELYSFRPVDKEVIQSIDTVAELNAIEKPQNKISATEVSFKDHKFVEFLHESNDGGTTPRVYQCNICPNHDQQIAISESDSIQRGSKTPLYSSVSINADSVPLEPDLVARRTLSEGQFPSLLDVSNALDAKWTGENDPVADSTTMPDCVSSSEDSEEHVTDTTPSYASVLLNKLGDSAEDQPNWLRMPFLQFYRSLNKQCSRSYRFDVLNEYTPVHVSFLRTVERQVGPKFFFPIGVNDTVVGVYDDEPTSIISYALASHEYHLQLSEELESDTTDNSLSVIDSRSASVTESVDETTSELLRSFVSADDNILSISGSKNPPPSDPLAHRKVSHIKVNFGDEGPLGQVKYTVICYYAKKFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMRMDVLVMENLLFERHVTRLYDLKGSTRSRYNADSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKKRFRKAMSTYFLVVPDQWSPTAVVPNKQVADNQDNE >LPERR03G17700.1 pep chromosome:Lperr_V1.4:3:13692439:13694168:1 gene:LPERR03G17700 transcript:LPERR03G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSCGAGRDAVELVIGSRRRQWRRRSPAASAWNPGSATEVIASVTFHVDMFTADCAWRSGWTLEEEKQSVLSAVRSSSDTKLQTSMHKEEVGEYYKSKHKKIGRYLTSLRARCEEQGERLDEIAADAKSINRRLDALEAFLESDLQTMGEQMRKMATQEKATAMDLIEFMEQHLSKPPDPDPFAL >LPERR03G17710.1 pep chromosome:Lperr_V1.4:3:13706643:13708734:1 gene:LPERR03G17710 transcript:LPERR03G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISTVAKIGPWGGNYGGADHDLPPPPATAAAPTPHSLRSVSIRAGKVLDSIAFTYADAGGAVHAAGPWGGDGGKLPEEVAAAALRDVGKLPPRGTVNELALEDGERVTEVHGTVGPYGDRDSLVTSLKLVTDRGRAFGPFGYGAGTPFSVPVLGHGGVAAFFVRAGDFVEAVGVYVNPNAAATPTPTPKPAEN >LPERR03G17710.2 pep chromosome:Lperr_V1.4:3:13708052:13710568:1 gene:LPERR03G17710 transcript:LPERR03G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVSWGRGAATTAGASTTCRRRRRRSGWCPCHRLHRLHLYLDRDGNRHAVGPWGGGGGEAPETVELGPSEHVTEVHGSVGPIGDYAHVVTSLKLVTNLRTVGPFGHGAGTHFAVPVLVGASVVGFFARAGLYLEALGVYVSTHSQEETERAERDFGPISLPI >LPERR03G17710.3 pep chromosome:Lperr_V1.4:3:13707049:13708734:1 gene:LPERR03G17710 transcript:LPERR03G17710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISTVAKIGPWGGNYGGADHDLPPPPATAAAPTPHSLRSVSIRAGKVLDSIAFTYADAGGAVHAAGPWGGDGGKLPEEVAAAALRDVGKLPPRGTVNELALEDGERVTEVHGTVGPYGDRDSLVTSLKLVTDRGRAFGPFGYGAGTPFSVPVLGHGGVAAFFVRAGDFVEAVGVYVNPNAAATPTPTPKPAEN >LPERR03G17720.1 pep chromosome:Lperr_V1.4:3:13710194:13710508:-1 gene:LPERR03G17720 transcript:LPERR03G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIDAEGLEVESGACKEADDAGADEHGDGEVRAGAVAERPDGAEVGDELERGDDVGVVPDGPHRAVHLRHVLRRPELDRLRRLASAAAPGPDGVAVAVTVEV >LPERR03G17730.1 pep chromosome:Lperr_V1.4:3:13718515:13719015:-1 gene:LPERR03G17730 transcript:LPERR03G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLLAFFLAAVTEAAATTTHLHLYIHETFMGANATTASLNAGAIGNSSSFGSVGAVDDELREGSDAASRYLGRAEGLLVQADLRNPSALCTILNLVFTDGVYNGSTLVVDGRVDGGGGGAAVERAVVGGTGRFRRARGYSLMSKFGNPTNSTVVFEMDVYVTMD >LPERR03G17740.1 pep chromosome:Lperr_V1.4:3:13723861:13724335:-1 gene:LPERR03G17740 transcript:LPERR03G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKICSVISGLVSRQCVRVRAVSTRASVDAAAGQRMNAATPPVIMAVKKEVSWMRDPKTGCWAPENRPEELDAVDLRNRLLNYKPLIDH >LPERR03G17750.1 pep chromosome:Lperr_V1.4:3:13730837:13738855:1 gene:LPERR03G17750 transcript:LPERR03G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREQLNICEQKLPMKQTYPTFSNSSSQESCNRYPRNHGDYTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKITRDISWQELICKTTKLIRRAHMIKYHLPGEQMNMLISITCDDDLRHMIDECIVLERTKAWLTMYLFADNDDERHVHFILGSSSSTDREAQFIALVNGLVKPGEAMRNERLRSTSPNDLHLMDNINEGLLTARTDKASSYVKSKLSPSTIIVPPKTSREQLEKMPSSQTAVTNQDCKGQTNEGNLLCTARKTNNAYLGSSVPSESTCIGKEETGAHAVSRHHPGLQHTAISITTKSNQATEDQVKGSPRKQLPIPVDNRGVKVGSSNSNNNTTHVSPPVYEKAASLSRGSEKTVNQPTRSDDKTKLRTYCAQEEAICHSISHNKMEMPKHSHDFSTPPRCHDDTYNSKNSTKLHILDKQIKTNNWQKEQPAVICTHILKKKYPPEPIRGETILSCSSLSSDRTTELQKITLVRSSSEKHEQPNSPKPDEYLSTIARSRSVGANSVCSQTITPQQKSKDNTAPLIKEPEVCDTKNCEEALSANEVMGRELISNVQIISNGDLEDLHEMGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNEPGGTLATVTEFMINGSLKKVLLQKDKFLDWRKRITVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMGGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFSEVASRLHYMLESSKAKV >LPERR03G17750.2 pep chromosome:Lperr_V1.4:3:13731137:13738855:1 gene:LPERR03G17750 transcript:LPERR03G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHTNVDIDRPSDGQLRYVGGERHLIKITRDISWQELICKTTKLIRRAHMIKYHLPGEQMNMLISITCDDDLRHMIDECIVLERTKAWLTMYLFADNDDERHVHFILGSSSSTDREAQFIALVNGLVKPGEAMRNERLRSTSPNDLHLMDNINEGLLTARTDKASSYVKSKLSPSTIIVPPKTSREQLEKMPSSQTAVTNQDCKGQTNEGNLLCTARKTNNAYLGSSVPSESTCIGKEETGAHAVSRHHPGLQHTAISITTKSNQATEDQVKGSPRKQLPIPVDNRGVKVGSSNSNNNTTHVSPPVYEKAASLSRGSEKTVNQPTRSDDKTKLRTYCAQEEAICHSISHNKMEMPKHSHDFSTPPRCHDDTYNSKNSTKLHILDKQIKTNNWQKEQPAVICTHILKKKYPPEPIRGETILSCSSLSSDRTTELQKITLVRSSSEKHEQPNSPKPDEYLSTIARSRSVGANSVCSQTITPQQKSKDNTAPLIKEPEVCDTKNCEEALSANEVMGRELISNVQIISNGDLEDLHEMGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNEPGGTLATVTEFMINGSLKKVLLQKDKFLDWRKRITVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMGGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFSEVASRLHYMLESSKAKV >LPERR03G17750.3 pep chromosome:Lperr_V1.4:3:13732092:13738855:1 gene:LPERR03G17750 transcript:LPERR03G17750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREQLNICEQKLPMKQTYPTFSNSSSQESCNRYPRNHGDYTPMRIKFICNFGGRFLPRPSDGQLRYVGAWLTMYLFADNDDERHVHFILGSSSSTDREAQFIALVNGLVKPGEAMRNERLRSTSPNDLHLMDNINEGLLTARTDKASSYVKSKLSPSTIIVPPKTSREQLEKMPSSQTAVTNQDCKGQTNEGNLLCTARKTNNAYLGSSVPSESTCIGKEETGAHAVSRHHPGLQHTAISITTKSNQATEDQVKGSPRKQLPIPVDNRGVKVGSSNSNNNTTHVSPPVYEKAASLSRGSEKTVNQPTRSDDKTKLRTYCAQEEAICHSISHNKMEMPKHSHDFSTPPRCHDDTYNSKNSTKLHILDKQIKTNNWQKEQPAVICTHILKKKYPPEPIRGETILSCSSLSSDRTTELQKITLVRSSSEKHEQPNSPKPDEYLSTIARSRSVGANSVCSQTITPQQKSKDNTAPLIKEPEVCDTKNCEEALSANEVMGRELISNVQIISNGDLEDLHEMGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNEPGGTLATVTEFMINGSLKKVLLQKDKFLDWRKRITVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMGGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFSEVASRLHYMLESSKAKV >LPERR03G17760.1 pep chromosome:Lperr_V1.4:3:13741802:13743988:-1 gene:LPERR03G17760 transcript:LPERR03G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDESLRAKEIAESKFESKDLQGAKKFALKAQSLFPELEGIGQMITTLDIHLASEVLIAGEKDWYSILSVETSADEETIKKNYRKLALQLHPDKNKSVGAKGAFTMVQEAWTVLSDKTKRAQYDQKRRLTAVRNTSRSNKASAAPGASNGFFNYVANTTAAKARANKQKAGPATSSVRPRPRPPPPRQAPAPPLTFWTSCNKCKMNYEYMRKYLNNNLLCPSCREPFLAKEIPVPPTESVHSVHNAKSSGANQSTNGANQSTDGGRNFQWGPFSRTAGAASATASSAAAAQAANMVHQTYEKVRREREEAQAAARREALRRKINPLKRQANMSENLNFGTGGNSTAKKMRTMSKDVGMGPSSILSGPGANYFGVPGGNISFSTNNGAHHFQGVNGGFSWKPRPPTRICLTRTFTQLDLRGILMEKGKSYIKDTLNEMQRVAANGKKNKNHMLKENGGDDETLASDDSTAHQDPSVYPEDNVSGDNTDADDDNGDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLSWVSSGFTKTCGDFRIGRYEPCRVLNMFSHQIKWEKGPRGVIKVYPQKGTIWAVYRNWSPDWNKETPDEVLHVYDVVEVLDDYNEDHGISVIPLVKIAGFRTVFQQNQDLNAVKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQ >LPERR03G17770.1 pep chromosome:Lperr_V1.4:3:13744033:13747226:-1 gene:LPERR03G17770 transcript:LPERR03G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIIILLLSLSASSTSRPAAADLPVRRQGSSGARAASVRPAASVLAAGDAHLRPSLDPSRPREIDEFARPPARPSPPSIPSERGDLGRPSARRPAASAASSPSRRNRERLVWTVKSLVLVGIKGFVGGCGIDLPDLQDACSFFLFLACTNLGAHLKFKNSICYQKSVDRNWERLVWAVTCSVLIGRNSFVELGASCVDSCVLGADWKKRLCGTGSVLCGVACSVLIGRNGFVVLPGRTDL >LPERR03G17770.2 pep chromosome:Lperr_V1.4:3:13744033:13747226:-1 gene:LPERR03G17770 transcript:LPERR03G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding LRIIILLLSLSASSTSRPAAADLPVRRQGSSGARAASVRPAASVLAAGDAHLRPSLDPSRPREIDEFARPPARPSPPSIPSERGDLGRPSARRPAASAASSPSRRNRERLVWTVKSLVLVGIKGFVELGASCVDSCVLGADWKKRLCGTGSVLCGVACSVLIGRNGFVVLPGRTDL >LPERR03G17780.1 pep chromosome:Lperr_V1.4:3:13752986:13757916:-1 gene:LPERR03G17780 transcript:LPERR03G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATGDRVLSRLHSVRERIGDSLNAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAIPEAEREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLAGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFNYSESHKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCQEDPSVWTKISQGGLQRIEENWG >LPERR03G17790.1 pep chromosome:Lperr_V1.4:3:13786790:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17790.2 pep chromosome:Lperr_V1.4:3:13786790:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17790.3 pep chromosome:Lperr_V1.4:3:13786790:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17790.4 pep chromosome:Lperr_V1.4:3:13786790:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17790.5 pep chromosome:Lperr_V1.4:3:13786792:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17790.6 pep chromosome:Lperr_V1.4:3:13787663:13790168:1 gene:LPERR03G17790 transcript:LPERR03G17790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGTQPDCDGSSSSGSEEAQNPAPANGKESSPHSRQQDSRWLRTLSEPELDLLISLKDLAMAYTANASLSVLGHDYDLRTLRALGIVLLENLKERLKGTSVDPSIFNRLALLSDSDAHFPSIASDAESEEVRSKSKRTPTGVNGKRKQMQAGRLNEEHKKRRKLASQDSNEHR >LPERR03G17800.1 pep chromosome:Lperr_V1.4:3:13794053:13798486:1 gene:LPERR03G17800 transcript:LPERR03G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNDLYLLQVDISKLSDGLVYEAADDSNYFPHFYGPDTRPQLTVKSVRPCFHYEIKRGRGQYFLRFC >LPERR03G17800.2 pep chromosome:Lperr_V1.4:3:13794053:13798459:1 gene:LPERR03G17800 transcript:LPERR03G17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNDLYLLQVDISKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDVVTKAVKIDLILALS >LPERR03G17800.3 pep chromosome:Lperr_V1.4:3:13794053:13798459:1 gene:LPERR03G17800 transcript:LPERR03G17800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNDLYLLQVDISKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDVVTKAVKIDLILALS >LPERR03G17800.4 pep chromosome:Lperr_V1.4:3:13796215:13798459:1 gene:LPERR03G17800 transcript:LPERR03G17800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEFSSYVKKTLKNFFMGRNDLYLLQVDISKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDVVTKAVKIDLILALS >LPERR03G17810.1 pep chromosome:Lperr_V1.4:3:13803089:13806044:1 gene:LPERR03G17810 transcript:LPERR03G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGFLPKRPILINLSNLQTLLSPSPHRAATSPPSLAPQTLAPLPSFPAATSTMAAAAAGGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEHEEEEAAVGPEYAAVEYAAAPTDNWGDQQWGGEVQPPALPVAPAAEWGAAPAPVAGEGWDAAAVPPAAVPSAAPGWEEGSAPAPTGWQ >LPERR03G17820.1 pep chromosome:Lperr_V1.4:3:13810617:13818088:1 gene:LPERR03G17820 transcript:LPERR03G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPLCTWLVAACLSAACDDGEKEKLRRHGGAGGMFGSSRRRPGARCRGGGGRARSGIPMAVALHPERGAVESKKSETKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIETFDCSNYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEIMNELDKSRCGVLIGSAMGGMKVFSDAIEALRISYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGETDVMLCGGSDAPIIPIGLGGFVACRALSQRNSDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAEIYAEFLGGSFSCDAYHMTEPHPEGRGVILCIENALADGGVAKEDINYLRVNSTKSMTGHLLGAAGGIEAVAAVQAIRTGWVHPNINLDKPEKNVDVSILVGSQKERCDVKVALSNSFGFGGHNSSVLFAPFK >LPERR03G17830.1 pep chromosome:Lperr_V1.4:3:13826857:13828500:-1 gene:LPERR03G17830 transcript:LPERR03G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSFSDYLYNSPLTHFSAPPATTCYSSPLPYHHHSTPAASSAAPHMVGHGLAMDHLHLPLPAQPLGLNLSFHGFNSVDVDVISDIDVGGSGKVVSTSSFDPPLIHHQQPSPTSSYSVYSSPSVAAGGGQENTSVAAAAEADPSLHRVLDEEEMAAIYSIGEQHDIEWSDTVNLVTSAWWSKLLDTVEGGGQAEGQEAAAVAGGGAVYASPEDEPTATATPDWFGDNCLGHHHQMRGKESTSSDVLGMHFGEYYHHHHGEDVSLPRMDLGEIEGWDAEWFS >LPERR03G17840.1 pep chromosome:Lperr_V1.4:3:13844604:13844843:1 gene:LPERR03G17840 transcript:LPERR03G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTRRQLCGLVYRGWHGELDCGGVDGSAMKWVLQACDGPNPDVYPLAVVPLLSKVGRIGRTSVEEEASILLSHPLAI >LPERR03G17850.1 pep chromosome:Lperr_V1.4:3:13856588:13857217:1 gene:LPERR03G17850 transcript:LPERR03G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKAAVARRIDVSFPARCSSPWQKDGGLPSPTSPLDRAAPSPSPRGWRHRDGGGVGLGILAALEAQQQPTPPAPRVSAVVATRRQAARLEVSELGCSGRCATSLCGGGEASPSSAAFRVAEFLECCDMCKRALDGKDIFMYRGERAFCSMECRYHAIVADEFREEKDRKRRAAAAVSSPRKVATAEIAGSPCSGGGQIFFTTGIVAA >LPERR03G17860.1 pep chromosome:Lperr_V1.4:3:13872424:13874229:-1 gene:LPERR03G17860 transcript:LPERR03G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERASSFAMACSLLSRYVRQNGAAAGELGLGIRGEADGQKKETMELFPQNTGFNSESARKEAPDAREQEKQQLTIFYGGKVLVFDGFPAEKAKDLMQMASKSSSTAQKSALLPSSTPATVTDSTKVSTVPAPASVLPVTQANTQKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDALPVKKELQESQPWLGLGPQVAASNLSLRQESSQ >LPERR03G17870.1 pep chromosome:Lperr_V1.4:3:13878498:13879103:-1 gene:LPERR03G17870 transcript:LPERR03G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANQFS >LPERR03G17880.1 pep chromosome:Lperr_V1.4:3:13889959:13890667:-1 gene:LPERR03G17880 transcript:LPERR03G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRKVNTHMVKHYLQDTEDNILHFMTQQHFKQTILLPYNTELVLFYFNLTKCTIIVYDSMDTKKSTFAAIFAVIDRAWDRFRASVREQWKERLDRGSLIFQRCAKQKKGTNLCGYCVRDYMHAIADESSKEHKSTVRIKHSYINYV >LPERR03G17890.1 pep chromosome:Lperr_V1.4:3:13891132:13891665:-1 gene:LPERR03G17890 transcript:LPERR03G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALHRGSCARSDPHSSISSPCTVSSVSSGFFASSPSSVRTSYQVNNSPNSSCVVEFIQTSEEEVAAHFKPQSSQKKIPIDPEGKAFFQLMSEPVKKKLLSDYDRTFAKAYNYKSKKKSKQVLSSENNHTKALSLYCHLGKKSSDNS >LPERR03G17900.1 pep chromosome:Lperr_V1.4:3:13902339:13926466:1 gene:LPERR03G17900 transcript:LPERR03G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAARWCAVTGGRGFLGRRLVAMLLASGEWSVRVIDLAPAVVLGADEEGSLGAALRDGRAVYVPGDVRDVAQMTKAFDGVDAVFHTASVDPIVGNFQLHHSVNVEGTKNVINACITCKVSRLIHTSSSAVVFDGVHGLFDVNESMPYPDKFVDAYGETKAQGEKLVLGANGTKGLLTCSIRPGSIFGPGGKNIPILVSNARIGMFTFILGRGNNYDDFVYVDNVAFSLICAEKALSTKEGAQITGGQAYFITNMEPVNFWDFVTSILEDLGYKSQFKIKLPVTFATSMAYLICWVDKIFLRYLISLPPVLHPASIKYATVNRTFSCLKAHDHLGYKPIVSVKVEYPQEKHNTISWKDGAWCASNPTTAAGGEMEAAAAGGGGGGRWCVVTGGRGFAARHLVAMLLRSGEWRVRVADLPPAIALDRDEEEGVLGAALREGRAAYASADLRDKAQVARAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGISGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGRDGLLTCCIRPSSIFGPGDKLLVPSLAAAAKAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHMVEWTYKTFSRYGMKVPQLTPSRIRLLSCNRTFSSSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNLAKTFLWEDKKQTMTVLLLLAVIYYHLFTCGYTFITAMSKLFSLTALFLFIHGMLPANVFGHKVEKLEPSNFHISQVEAHHIAHSVSSTWNSLVVLSLLVVSILSSMSSQSAFKIGTLMVFLGFKAYEKWEDTIDSLVGDACSVITHFTPGQKSSRQKHSDN >LPERR03G17910.1 pep chromosome:Lperr_V1.4:3:13927060:13965618:1 gene:LPERR03G17910 transcript:LPERR03G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAAASRRLIPCALSTPHTAPGALRRFSADASTPPTLPPPPLEPAVEPPKSEGASSASSASAGAGGAHRSAPGAAAGEQEKVLRASLLHPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLILSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVVFSTTGEMADAAGIAAILAAADRDFLIRNSGDQVKISSIEAGTIAIYFSASWCPPCRQFTPKLIEAYNELVSQGKSFEVVFVSSDKDIESFNAYFAKMPWLAVPFLDSERIANLKKRFKVRGIPHLVILNAKSVEVYTEDGTELVSEYGTAAFPFTPEKINELKELEKAARDNQTIHSLLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNGYGPVDQFTSLLAQIYKKLKEVGQEFEVVAVSLDGDEESFNESFADMPWLAIPQGDKMCEKLTRYFELSGLPMLVLIGPDGKTLNNDVAEIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVNEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLEDERKQFLSKTFKIRGIPSLIAIGPDGKTVSRDAKTQLVIHGADAFPFTEEKLQDLQKKIDEMAKGWPEKLKHDLHEHELVLTRCGTYGCDGCDEMGHSWSYRCEECEFELHPKCALGEEKKGDEEIGGANGESAPAGYVCDGDVCSKI >LPERR03G17910.2 pep chromosome:Lperr_V1.4:3:13933271:13965618:1 gene:LPERR03G17910 transcript:LPERR03G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVVILQSTPPPEMADAAGIAADRDFLLRNSGDQFKISSIEAATVAIYFSASRCRPCRKFTPKLIETYNELVSQGKSFEVVFVSSDKDVESFNAYFAKMPWLAVPFSDSERIANLKKRFKVNDIPQLVILNAQSGEVYTEYGNGLVRKYGTEAYPFTPEKINELNELEKAAEKATRDNQTIHSLLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNIPPVIEFTSLLAQIYKKLKEDGQEFEVVAVSLNDQLEVVVVSLDSDEEEDGDEEEDGDEEEESFNESFANMPWLAIPQGDKMCGRLARYFELSPLRMLVLIGPDGKTLNNGVSEIIEEYGLDAWEGFPFSAEKMEILAEKAKAKAESQTLESLLVTGDLDFILAKDGAKSSYDENFSGMPWLAIPFGDERKQSLRRTFKINQFPSLIAIGPDGKTVTSDARTPMYTHGADAFPFTEEKLQELEKKKGDEEIGEANVFSTTGEMADAAGIAAILAAADRDFLIRNSGDQVKISSIEAGTIAIYFSASWCPPCRQFTPKLIEAYNELVSQGKSFEVVFVSSDKDIESFNAYFAKMPWLAVPFLDSERIANLKKRFKVRGIPHLVILNAKSVEVYTEDGTELVSEYGTAAFPFTPEKINELKELEKAARDNQTIHSLLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNGYGPVDQFTSLLAQIYKKLKEVGQEFEVVAVSLDGDEESFNESFADMPWLAIPQGDKMCEKLTRYFELSGLPMLVLIGPDGKTLNNDVAEIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVNEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLEDERKQFLSKTFKIRGIPSLIAIGPDGKTVSRDAKTQLVIHGADAFPFTEEKLQDLQKKIDEMAKGWPEKLKHDLHEHELVLTRCGTYGCDGCDEMGHSWSYRCEECEFELHPKCALGEEKKGDEEIGGANGESAPAGYVCDGDVCSKI >LPERR03G17910.3 pep chromosome:Lperr_V1.4:3:13927060:13933650:1 gene:LPERR03G17910 transcript:LPERR03G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAAASRRLIPCALSTPHTAPGALRRFSADASTPPTLPPPPLEPAVEPPKSEGASSASSASAGAGGAHRSAPGAAAGEQEKVLRASLLHPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLILSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRVFKK >LPERR03G17910.4 pep chromosome:Lperr_V1.4:3:13933271:13965618:1 gene:LPERR03G17910 transcript:LPERR03G17910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVVILQSTPPPEMADAAGIAADRDFLLRNSGDQVKISSIEAGTIAIYFSASWCPPCRQFTPKLIEAYNELVSQGKSFEVVFVSSDKDIESFNAYFAKMPWLAVPFLDSERIANLKKRFKVRGIPHLVILNAKSVEVYTEDGTELVSEYGTAAFPFTPEKINELKELEKAARDNQTIHSLLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNGYGPVDQFTSLLAQIYKKLKEVGQEFEVVAVSLDGDEESFNESFADMPWLAIPQGDKMCEKLTRYFELSGLPMLVLIGPDGKTLNNDVAEIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVNEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLEDERKQFLSKTFKIRGIPSLIAIGPDGKTVSRDAKTQLVIHGADAFPFTEEKLQDLQKKIDEMAKGWPEKLKHDLHEHELVLTRCGTYGCDGCDEMGHSWSYRCEECEFELHPKCALGEEKKGDEEIGGANGESAPAGYVCDGDVCSKI >LPERR03G17920.1 pep chromosome:Lperr_V1.4:3:13976618:13980440:1 gene:LPERR03G17920 transcript:LPERR03G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIAAILAAADRDFLIRSSGDQVKISSIEAGTVAIYFSASWCPPCSQFTPELIEAYNELVSQGKSFEVVFVSSDKDVESFNAYFAKMPWLAVPFSDSERIANLKKRFEVRGIPELVFLDAKSGEVYTEYGTVLVSDYGAKAYPFTPDKINELNEQDRDNQTLHNLLSTPTRDYLISNKGDKVPISDLEGKYVGLCLVMNFRPVVEFISLLAQIYKKLKEDGQEFEVVAISNSLENQSEFVAVSLDSDEEEDGDEEEDGDEEEESFNESFANMPWLAIPQGDKMCGKLARYFELSPLRMLVLIGPDGKTLNNNVSEIIEEYGPDAWEGFPFSADKLEILAEKAKAKAESQTLESLLVTGDLDFVLAKNGAKVPVSELVGKTVLLYFSAKWCGACRDFLPTLVNEYNKIKEKHNDFEIIFISSDQDQSSYDDFFSGMPWLALPLRDERKQLLSRTFKIRAIPSLVAIGPDGKTVTGDAVTPMYAHGADAFPFTEEKLQELEKKKGEEEIGEANGEAAPAGYVCEGDVCGKI >LPERR03G17930.1 pep chromosome:Lperr_V1.4:3:13988691:13989434:1 gene:LPERR03G17930 transcript:LPERR03G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRGHFLNYKELKRRVNASDSDSFLSLLHAEVDKFNAFFLEREEDFVIRHRELHDRIQYPHSHTAEMARLRREVVDLHGEMVLLLNYSAINYTGLAKILKKHDKRTGGVLRLRLPAIIAAAAAGVHHPPFYATDVLSSLVRDCEAIMDAVFPAADHQEREAGLAAAAGVAGADQSIFRNTVAALLTMQEVRSGSSTYGHFSLPPMTPLPESDWLLQSVAVQPPPPSPLIPT >LPERR03G17940.1 pep chromosome:Lperr_V1.4:3:13997495:14000775:1 gene:LPERR03G17940 transcript:LPERR03G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLSNLNSQAGLQKLDEFLLTRSYITGYEASKDDLAVFTALSSAPATSYINVTRWYDHISALLRSSGVTAEGQGVKVESSACSVAPTADQKAPVADEDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRNVKMEGLLWGASKLVAVGYGIKKLQIMMTIVDDLVSVDSLIEDHFETEPANEYIQSCDIKTESGGSNAT >LPERR03G17950.1 pep chromosome:Lperr_V1.4:3:14001832:14003260:-1 gene:LPERR03G17950 transcript:LPERR03G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSDSSSQIVRWVQSRLGGGRTAAAAESRGLAAGNSSAVVAAVAVASGNKQVVPEDLAGDDNPWPSSSSSHLFSIGTLGNGELPETEEDLPEFSVEEVRKMQHALARLLLRARSKKSEATIAGDGGEESGLPLDRFLNCPSSLEVDRRSQRDHGAGGFSPDTKMILTKAKDLLADGNSSSGGDMKKKSFKFLLKKMFVCHGGFVPASSLKDPAESTMEKFLQTMLGKKISVRPSDSSAASRAFFLEGNKAHGDDHHRRRHGEQDEDEKGGVSCKWDRTDSEYT >LPERR03G17960.1 pep chromosome:Lperr_V1.4:3:14018524:14022278:1 gene:LPERR03G17960 transcript:LPERR03G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGASSFRGLYMNLHTTVVLVGAAFVLVALLISLWLILQHLRSYSNPAEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGEQQVFGLLENKRREELTEQLLESQDMAQVHNRSRAHIFFWYPNSLGERLYTIIKFGLVQYTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGVGIAIICHLGILPKEGKVQTAIQDFLICIEMAIAAVAHAFVFNVEPYQHIPLVEHGEITCEERKVEVNVDVDDGSNGTPATVEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPTGKKEPEVKVEEHITENTVNGEPVAVDAEVEVGRRVQDNSKEDESLVVNEEFAIDRIENDSK >LPERR03G17970.1 pep chromosome:Lperr_V1.4:3:14020401:14029353:-1 gene:LPERR03G17970 transcript:LPERR03G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGGAPPPRPAAAMDRDIAASASLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVDLAVDCAAGCASAEVSVRVRWWVHCVTRSRDCHCRIVVPMGEQGSILGAEVTVGKRSYKTHVIDVEDQGAVKTAKTESGGLLKSQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSKEVLLKGTSHPLKERSRQGENLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTLRDYDDRDIKAAVFIIDTSGSMQGKPLESVKNAMYIALSELVHGDYFNIITFNDELHSFSSCLEQVSDKTIENAKEWMNTNFIAQGGTDIMHPLSEAIALLSNSHDALPHIFLVTDGSVEDERNICRNVKEQITTTGSKSPRISTFGLGSYCNHYFLRMLANIGKGHYDAAFDTGSIEGRMFQWFQKASSTIMTDISIDATKYIQEFEVDSEYIQDISAKCPLCVSGRYQGKLPETLSAKGYLADMSEVSIELRVQHIKDIPLDKVLAKQQMDLLTAKAWFYENNQLERKVEKLSIQNSIPSEFTRTVLLQTVLEKIDPAQQAKQKPKKNSSPNEQSATSLSGLTLGFGDIVATRENLSAGFGDTKPPERFEMFDKAVGCCSRLADCCCCMCFINTCSKMNDRCAIVMVQFCVYMVATTDNDILNTLFDTCSWSFDMGFLLLNGSWCTIAAIIDIYIHLYLTFFTGNLSMLHQWNVLVWLHVEYESMRDSCNSHLRKGIEC >LPERR03G17970.2 pep chromosome:Lperr_V1.4:3:14020401:14029353:-1 gene:LPERR03G17970 transcript:LPERR03G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGGAPPPRPAAAMDRDIAASASLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVDLAVDCAAGCASAEVSVRVRWWVHCVTRSRDCHCRIVVPMGEQGSILGAEVTVGKRSYKTHVIDVEDQGAVKTAKTESGGLLKSQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSKEVLLKGTSHPLKERSRQGENLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTLRDYDDRDIKAAVFIIDTSGSMQGKPLESVKNAMYIALSELVHGDYFNIITFNDELHSFSSCLEQVSDKTIENAKEWMNTNFIAQGGTDIMHPLSEAIALLSNSHDALPHIFLVTDGSVEDERNICRNVKEQITTTGSKSPRISTFGLGSYCNHYFLRMLANIGKGHYDAAFDTGSIEGRMFQWFQKASSTIMTDISIDATKYIQEFEVDSEYIQDISAKCPLCVSGRYQGKLPETLSAKGYLADMSEVSIELRVQHIKDIPLDKVLAKQQMDLLTAKAWFYENNQLERKAKQKPKKNSSPNEQSATSLSGLTLGFGDIVATRENLSAGFGDTKPPERFEMFDKAVGCCSRLADCCCCMCFINTCSKMNDRCAIVMVQFCVYMVATTDNDILNTLFDTCSWSFDMGFLLLNGSWCTIAAIIDIYIHLYLTFFTGNLSMLHQWNVLVWLHVEYESMRDSCNSHLRKGIEC >LPERR03G17970.3 pep chromosome:Lperr_V1.4:3:14020401:14029353:-1 gene:LPERR03G17970 transcript:LPERR03G17970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGGAPPPRPAAAMDRDIAASASLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVDLAVDCAAGCASAEVSVRVRWWVHCVTRSRDCHCRIVVPMGEQGSILGAEVTVGKRSYKTHVIDVEDQGAVKTAKTESGGLLKSQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSKEVLLKGTSHPLKVYSGDLSGGMLVQPSTLRDYDDRDIKAAVFIIDTSGSMQGKPLESVKNAMYIALSELVHGDYFNIITFNDELHSFSSCLEQVSDKTIENAKEWMNTNFIAQGGTDIMHPLSEAIALLSNSHDALPHIFLVTDGSVEDERNICRNVKEQITTTGSKSPRISTFGLGSYCNHYFLRMLANIGKGHYDAAFDTGSIEGRMFQWFQKASSTIMTDISIDATKYIQEFEVDSEYIQDISAKCPLCVSGRYQGKLPETLSAKGYLADMSEVSIELRVQHIKDIPLDKVLAKQQMDLLTAKAWFYENNQLERKVEKLSIQNSIPSEFTRTVLLQTVLEKIDPAQQAKQKPKKNSSPNEQSATSLSGLTLGFGDIVATRENLSAGFGDTKPPERFEMFDKAVGCCSRLADCCCCMCFINTCSKMNDRCAIVMVQFCVYMVATTDNDILNTLFDTCSWSFDMGFLLLNGSWCTIAAIIDIYIHLYLTFFTGNLSMLHQWNVLVWLHVEYESMRDSCNSHLRKGIEC >LPERR03G17980.1 pep chromosome:Lperr_V1.4:3:14035195:14035695:1 gene:LPERR03G17980 transcript:LPERR03G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAACECCGFTQECTAAYMAAVRARHGGRWICGLCGDAVGEELALVLLLRRLGSPSSSPRSSTPNTPSRRSLDGAAAAGEPADSDVSAGVALARNGSCFAALLDSWNDKKDTN >LPERR03G17990.1 pep chromosome:Lperr_V1.4:3:14041184:14042274:1 gene:LPERR03G17990 transcript:LPERR03G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRQGQRGAAPPQQQQPAAPQLQAGQAQEQPPGHGRHEHPLHGGAQHEHGLLQPPHLVLLVQQQLRRRRQRHGLFVDDVVNAALHEDGEQQQQFGLDVLSRNEEEEQQQQPVAAPVPPLLQQQIQER >LPERR03G18000.1 pep chromosome:Lperr_V1.4:3:14051221:14054508:-1 gene:LPERR03G18000 transcript:LPERR03G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKDQGWANSLFGGCLGGGSGSGKVRPKPRKPRQQQASSSPGSSSAMQRLSVTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPPMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLNRVMDPSLEGQYSTTAAHKAAMVAYRCLSGNPKNRPDMCQVVEDLEPLLNITDDVPNEPVAHVSPPKEDNVAKKERAARRRTDDNLGGNRRQNKTRSPQKIVRRRPGQSEEFWVWHMPGEVKA >LPERR03G18010.1 pep chromosome:Lperr_V1.4:3:14071855:14077234:1 gene:LPERR03G18010 transcript:LPERR03G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVRPSPQSAAAAAAAAAGAGADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKSQLDADDVRLAIQSKVNFSFSQPPPREVLLEVAQNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDTEGANPTRSPSSNPTNPNPNNLQEQRGNELQQQQQLPQHAQRVSFQLNAVAAAAAKRRGTMDQLNVG >LPERR03G18020.1 pep chromosome:Lperr_V1.4:3:14079216:14080736:-1 gene:LPERR03G18020 transcript:LPERR03G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIMNYHATSSNNGLDWFDDSISSFLAADADADAAGGGYAWWAASPVTHQDDIGSVVAQTLSPPASAAPAATSPLAQSASPTIASSPSSSSKKRKSPAHGGGGGKKGGGGGKGGSSSEREMRWAEQLLNPCAAAADAGNMSRVQHLFYVLAELQSFTGDANHRLAAHGLRALSRRLPAIRLPLSFPSPPSFSAAEPRLFRASLIRFHELSPWFALPNALTNAAISAAATSRPIHVVDIGVSHGVQWPTLLESLTRQPGGRAPPSVRITVVGDGAASPAPFAAAPAGYYFSPHLLRYAKSIGLDLAIARAGDIDAVRGLATGGEEALVVCLQFRLGHAGADERREILRKVRGLGPELVVLSELDFSAAAGGGGGGGVAGEFAARLEMLWRFLESTSAAFKGKDAEERRLMEAEAGTSLADATAADVAVAGDGRDGWRERMAAAGFEEAAFGAEAVESAKSLLRKYDSGWEMSTPPPATASGGGGGAVALRWKGQPVSFCSLWRPAP >LPERR03G18030.1 pep chromosome:Lperr_V1.4:3:14088343:14091991:-1 gene:LPERR03G18030 transcript:LPERR03G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASKSTTSTLKSCPLHVRSERKKEGAAVLCWRKGDQRLIQTLSAPAFAHHLSLDPFGFFISPFPLSVSPSPSPPLSHLPPPDAANCCNKDFIATYFIPCISIEFIIRPSKRKKELNLINYMALKALQVPSSFASPINRSFISSPSHFRPNPPSAAALGLAARIGGERRRSPAVERRAGGNPHGCVDDGAAVAPSSRRRQEQTAEEILPIVAVPSVVFPGATVQLQAFEFRYRIMANTLLHEGLTTFGLVYSGGGGGDAAVAVGEVGCVAHVVECERLVDGRFFLTCVAGDRFRVVASVRTKPYAVARVQPLTEGEIGGGDEGTLLRRLVERVEEQVGNVARLSEKLGWRTTPSPSPPWRGMRTAAWVSFAVAREMVEERGEQQALLRMDDAAARLEREGIYLERRSRYLAAVAAIKDAIGHLLCCNDKQQ >LPERR03G18030.2 pep chromosome:Lperr_V1.4:3:14088343:14091936:-1 gene:LPERR03G18030 transcript:LPERR03G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTIYTPKWLSLSHASLFACLSLCLGFWDLGLLLSSSVVALKERKKVQQYCVGERGIKEFIIRPSKRKKELNLINYMALKALQVPSSFASPINRSFISSPSHFRPNPPSAAALGLAARIGGERRRSPAVERRAGGNPHGCVDDGAAVAPSSRRRQEQTAEEILPIVAVPSVVFPGATVQLQAFEFRYRIMANTLLHEGLTTFGLVYSGGGGGDAAVAVGEVGCVAHVVECERLVDGRFFLTCVAGDRFRVVASVRTKPYAVARVQPLTEGEIGGGDEGTLLRRLVERVEEQVGNVARLSEKLGWRTTPSPSPPWRGMRTAAWVSFAVAREMVEERGEQQALLRMDDAAARLEREGIYLERRSRYLAAVAAIKDAIGHLLCCNDKQQ >LPERR03G18040.1 pep chromosome:Lperr_V1.4:3:14093467:14097523:-1 gene:LPERR03G18040 transcript:LPERR03G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVGCSSRTFRPKKSAFSGTKGLQLRKHIDSTLGSGNLREAVRLPIGEDLNEWFAVNTVDFFNQVNILYSTLVEFCTAATCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVDYLMDWVETQLDDEAIFPQKIGAPFPPNFREVIRTIFKRLFRVYAHMYHSHFQMIVKLKEDAHLSTCFKHFVLFTREFQLIDRAELAPLNDLVEPILFRYS >LPERR03G18050.1 pep chromosome:Lperr_V1.4:3:14106109:14108596:-1 gene:LPERR03G18050 transcript:LPERR03G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEDSLNRGAWTAAEDELLASYIAKNGEGKWGSLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISADEEDLILRLHTLLGNRQLKFVDIYADAGRLPGRTDNEIKNYWNSTLSKRLLTNNNSSSASPAATSSDAAAAARRRRSPEPNTVIVSPRPIRTKAIRWCSSGNRAVQQQQQAGSSDHNGRPLGEEEQAAPPQQREYDCDEMPPAVCVDDLGLDDMIDLGLDGFLISPWRGGGYDYELGGCGGEDGAADLDALLGEDDGEQQQEEGDVASLLGDDYDYLELSPCVFRRSSQHEEDHIIGLVAEELLTQSDDHFLNLIVQIGMEQNGTNLNKPH >LPERR03G18060.1 pep chromosome:Lperr_V1.4:3:14111191:14115511:1 gene:LPERR03G18060 transcript:LPERR03G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTSPPAYRHHHRKRHSGGHRRSSSSRSAVLLGVRSFGLRLALAKSPPYHHRRLHDASSVPLPRRLRLRRRRRSVASLRLPIYGPFCLRYLLAAGALVPPLRRKSSLVSMGNFVSLLFGKSSADAAPGAYRSEVPAVEGNLHLWKAPSANRAEKDHLQELFKPLTDEEECEVNSILCGSDYSKKIIVMHESSNIEITKEKFWCLRTRGWLNDEVINLYLELLKERAEREPERFLKCHFFNTFFYKKLACGKTGYDYESVRRWTTPNRLGYELVQCEKIFVPVHIGMHWCLAIINMKDRSFQYLDSLGSVDHVVLNILARYIMDELNDKSNIKVDTSSWLEIRESIPLQQNGWDCGMFMLKFIDFHSRGVGLNFNQDLILIE >LPERR03G18060.2 pep chromosome:Lperr_V1.4:3:14111191:14115511:1 gene:LPERR03G18060 transcript:LPERR03G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTSPPAYRHHHRKRHSGGHRRSSSSRSAVLLGVRSFGLRLALAKSPPYHHRRLHDASSVPLPRRLRLRRRRRSVASLRLPIYGPFCLRYLLAAGALVPPLRRKSSLVSMGNFVSLLFGKSSADAAPGAYRSEVPAVEGNLHLWKAPSANRAEKDHLQELFKPLTDEEECEVNSILCGSDYSKKIIVMHESSNIEITKEKFWCLRTRGWLNDEVINLYLELLKERAEREPERFLKCHFFNTFFYKKIFVPVHIGMHWCLAIINMKDRSFQYLDSLGSVDHVVLNILARYIMDELNDKSNIKVDTSSWLEIRESIPLQQNGWDCGMFMLKFIDFHSRGVGLNFNQDLILIE >LPERR03G18070.1 pep chromosome:Lperr_V1.4:3:14126514:14126852:-1 gene:LPERR03G18070 transcript:LPERR03G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETVSGEGKVVQAFQASFVQVQRLLDQNRVLINEINQNHESKVPGDLSRNVALIRELNNNIRRVVDLYADLSSLFSSDDHSPAASEGGSVATGRHAVAAGHKRLRSTHLD >LPERR03G18080.1 pep chromosome:Lperr_V1.4:3:14134063:14137274:-1 gene:LPERR03G18080 transcript:LPERR03G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATTASASLLPCRSSGTPHPSPPPPPAAFPSAARLSRRPPPHHLLAVVRAKRKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGAAEDDEDEPSGALCIQKMVMLSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAVICPADGCATYCSAIPGFSASVTLYYPVQLDFDSQPYEILIRMQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGERGFDFTEGAATKGRMSKEDAATICVEALDSIPQKTLIFEVANGDEKVTDWKAWFAERMKTATS >LPERR03G18090.1 pep chromosome:Lperr_V1.4:3:14137577:14139999:1 gene:LPERR03G18090 transcript:LPERR03G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVAKSQ >LPERR03G18100.1 pep chromosome:Lperr_V1.4:3:14143165:14150615:1 gene:LPERR03G18100 transcript:LPERR03G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTKKKLYQYKAAQSENFGNKRGINRDITGERKSAKIENTSQQGSNDPRVQKERQGEWARPGRVFNRNTNRGGYLRNPLPGVTREFRVVKDNRQKAVGETVPESFHDGDQIASNIGDKRSTEKMSAQCHSVTQNSNGRGAAQADNGCKIAAQAHDKEVKPCNDQKVGQSDGIITTMVGSHAVLGRCNQNGVLAMPSGTNNFTGELCCSSSDPIHVPSPGSRSAGTFGAIKREVGVVGARQPPFDNAATNTSTSNSSVKMSSVSTATKDNASNGQQTRFSGVSLKNSRPSSSTHLSTRPSSSSQYHSKPNTPVGHPKVNPQLAWKPKSGSSNPVNHTDNVVSSSAASSVDGKQAHMADLSKKLSQANVSEDKHVIIPAHLRVCTSAPDAPTNTEFNAHTSRSTDVPPTDQMDIVGSCDILPKSDSLVSISEHQHPTEDMEILSPGVIGEHRSNDKISSQVSHSSPQPQHQDNSAVHDFKTYEPDSGYELPFIIKAVDSEATQNIPYPSEVIHAANFNQLSVPVSTQQAVPQMYQHMHVPQYPNCLPYRHVFSPYYVPPMAVQNYPSNPAFTQLPNASSYLVMPNGTSQLAPNGMKYGPPHQCKQVFPGGPAGYGGFPNQNGYPVNTAVIGSTGTVEDANMSYKDNNLYTLNPQAETADLWIQAPTDIPVMPSAPYYNMMGQPVSPHTAYLPAHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQSMVHHPAGPPLAGNIGIDMSAMASGAQVGAFQQNQLSHLGWAPSF >LPERR03G18110.1 pep chromosome:Lperr_V1.4:3:14156602:14162021:1 gene:LPERR03G18110 transcript:LPERR03G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPVQCMAKPNFDFLSGNGYPTKQLVQRNSDGDSSSTKSGQSHQETSAVSDNSLNGQHTSAQSVFVPSDINDNDNFGKRDHGTKSALSLGNTEVAFPPSKFDYNQPFACVSYPYATDPYYGGVLTGYTSHAFVHPQISGTANSRMPLPVEPSAEEPIFVNAKQYHAILRRRQVRAKLEAQNKMVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQKPPSESPQPPTGRARASGGAMVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFSSADHHPTMNQNHHVPIIR >LPERR03G18110.2 pep chromosome:Lperr_V1.4:3:14158032:14162021:1 gene:LPERR03G18110 transcript:LPERR03G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPVQCMAKPNFDFLSGNGYPTKQLVQRNSDGDSSSTKSGQSHQETSAVSDNSLNGQHTSAQSVFVPSDINDNDNFGKRDHGTKSALSLGNTEVAFPPSKFDYNQPFACVSYPYATDPYYGGVLTGYTSHAFVHPQISGTANSRMPLPVEPSAEEPIFVNAKQYHAILRRRQVRAKLEAQNKMVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQKPPSESPQPPTGRARASGGAMVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFSSADHHPTMNQNHHVPIIR >LPERR03G18120.1 pep chromosome:Lperr_V1.4:3:14165969:14166439:-1 gene:LPERR03G18120 transcript:LPERR03G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADEDAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPAEVAALYDSVFEQFDADHSGAVDRAEFRAEMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAAEIAAKIEAQRAAAAAAEAK >LPERR03G18130.1 pep chromosome:Lperr_V1.4:3:14168074:14168604:-1 gene:LPERR03G18130 transcript:LPERR03G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTILDGSTLRDFVADDDTFTRKVDARFDALDADRDGLLSRAELARTLKSFRLLDGAGFGYGGGFYTQRPAAAPFLPAEVASLYDSVLNQFDAKAKHSSGYVDRAGFIDEMRRIMVAVADRLESHPLRVSIQYMAGTYFFSEDEPDEDEPVEYVPKEYDPVEYEPIEPEPRPHRV >LPERR03G18150.1 pep chromosome:Lperr_V1.4:3:14187420:14195242:1 gene:LPERR03G18150 transcript:LPERR03G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAISTPLAVRASSARLPSRRRSGAKSFVGLRGLQFVTPGISSRLDERICSHSSLRQNTIVAAENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEGDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >LPERR03G18150.2 pep chromosome:Lperr_V1.4:3:14187420:14192008:1 gene:LPERR03G18150 transcript:LPERR03G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAISTPLAVRASSARLPSRRRSGAKSFVGLRGLQFVTPGISSRLDERICSHSSLRQNTIVAAENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEGDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >LPERR03G18150.3 pep chromosome:Lperr_V1.4:3:14187420:14191352:1 gene:LPERR03G18150 transcript:LPERR03G18150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAISTPLAVRASSARLPSRRQNTIVAAENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEGDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEVTLIS >LPERR03G18160.1 pep chromosome:Lperr_V1.4:3:14197152:14199987:-1 gene:LPERR03G18160 transcript:LPERR03G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSSTTTPGSQDLGHGGAVPSVTPGDVAPLASHDGVCSPSTSSSSAVPPTATLGTTVVTSSSTLGVVPAAQRSSNASIVVVPPTVNQHAMQTRKGNTSLILTCEHVLKDHGVNSIMNVTLSTGQKVDARVAYLDKDKDLALLRVDGGLPVSCNPFEFWENGTIVTGIDVVLLAFFPMSGGVATQPGTFPGKISSEPVRVRGNEEIRCDYISMPGTSGSPIILQRLNMVVGVDNGAENAMKICTSFQTIKEALNQWLQNEDDTMSNEDMLSMIAQ >LPERR03G18170.1 pep chromosome:Lperr_V1.4:3:14217616:14223588:-1 gene:LPERR03G18170 transcript:LPERR03G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPPLARYNPTGNGSLFHRRAAPLSLTRLDRRRIGEASIRRWLDILITCDQAVLDDLHGRISVSVVTIKRYTGTENLTLYSFGTGFIIHSTRSRVLVCTDSTIIKEGETLYVHFSDDTSQKADVFIERTKSGHAILSVQSDNQNQHPVSFSAEEAKREEICTIARVMHDQQPGIIAPSCKLGQKMVGKKFAVTIPIGRLGNKDDDQHLLGAAVFGLNGCVVGTVCSISHVHGLKFALHSYHFLDELEKSLQDKDKRVFVSVKINKNLPSIF >LPERR03G18180.1 pep chromosome:Lperr_V1.4:3:14226695:14229357:-1 gene:LPERR03G18180 transcript:LPERR03G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAAAGARLHLLLLWLCAAATTAWAHGGGGGGDSDADTDADGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNEAFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLVPDSSYPFAFMLACAGYVLTMLADCAISFVVARGRTDPAAAAVSSGGLEEGKLGSTNGNSSDPSAADAARHDHSVASMLRNASTVGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPFLSCFGYAFAFAISSPVGVGIGIVIDATTQGRVADWIFAISMSLATGIFIYVSINHLLSKGYKPQRPVAADTPVGRLLAVILGVGVIAVVMIWDT >LPERR03G18190.1 pep chromosome:Lperr_V1.4:3:14236245:14236532:1 gene:LPERR03G18190 transcript:LPERR03G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQGCGGAAAQAASKATEVEQQSCGSMRLSSRQRSRSVAQCDSRWGRRRRCLVFLAEEPAAREARVGDEAGNEEGGGLREATCAAERKTSGR >LPERR03G18200.1 pep chromosome:Lperr_V1.4:3:14243578:14249775:-1 gene:LPERR03G18200 transcript:LPERR03G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACAGYVLTMLADCAICFVVIATDSEGLEEGTLGSTNGNSSDPSTADATRHGSSSHHSVASMLQNASTVGDSVVFIATLCFYSVFEGIAIGVAGKNKIGLIEGKLF >LPERR03G18210.1 pep chromosome:Lperr_V1.4:3:14249788:14250144:-1 gene:LPERR03G18210 transcript:LPERR03G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAVGASLHLLLLWLCAAATTAWAHGGGGSDADGGGGKGKPDQWARGLMAAKLWCVVIVFAGTLAGGVSPYFMRWNEAFLALGTQFAGGVFNGTAVMHFFSDANETFGDLVPDSR >LPERR03G18220.1 pep chromosome:Lperr_V1.4:3:14253558:14260566:1 gene:LPERR03G18220 transcript:LPERR03G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHLNRPTAEDTSAADDDWDNDGFVIPSLSVEESDLGDWEVARVSHPQPPPKATKDTERIYLGPHGAPPSRAKKQEDTAAAGAATGYRDRSKVKEADQKVFGTGRDNKGGNYNRYNNAGHHAKDPYKRST >LPERR03G18240.1 pep chromosome:Lperr_V1.4:3:14296527:14296962:-1 gene:LPERR03G18240 transcript:LPERR03G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKEFSPSSTRNSVGQWGRRSAVDLEPVLPWTVGDNNSKPKELVCLTVAAEIPNVIFVRCTEEDWVFAVDLESLRIWKLCDMSKSDGFFPLVTTTPTHGC >LPERR03G18250.1 pep chromosome:Lperr_V1.4:3:14299113:14300032:-1 gene:LPERR03G18250 transcript:LPERR03G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTMDLTARWEEKSFIREGEAAGIVANMQLAWSYDHRYAVYWREALYVHCEGNFVMRISLSNGKYQVIKPPHIELIRLSDLYLGKSENGVWILNESHGQIEWVLKHHSWLTPRQSLQQIEGPWMLQDINYHKYGCEECGIGPEDVTSEVVVEQKFEWDSDDDNVIDANGWGDNDYGGYFSFLGFHPQKEVVFLSETLSRGWAYHLNSSKIQDIGNLSPKFYNEIAMHQFVESSFPYTPCWMEFLDNN >LPERR03G18260.1 pep chromosome:Lperr_V1.4:3:14303625:14304437:-1 gene:LPERR03G18260 transcript:LPERR03G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAIVAAVLPMLLLLLLQPPRPIADHLSAVAAAGCSTTALLDACSRLLTRTNMILLCNAILILVLRDAGLLSSPASACAPSPSRHETHADATTTTSSTSVVAVAPSPVATTADSSSRRRRPRETSRSDIVVWRPSEVAVVHVLDVDESDRLLTRRRQRTPRRETVTPPSGAEEKQSYEHGLDDSISDHHVSAGAMIVFAEEGNISSPASDSDHLSDGEDTNGQHVNRCGGDEDHDDVDDMNRRFEEFIANTRKKMQMESLQLQLVMKA >LPERR03G18270.1 pep chromosome:Lperr_V1.4:3:14313150:14315032:-1 gene:LPERR03G18270 transcript:LPERR03G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDNVVVVETTTTKRRRQQQQHLQVEKKISEEDDEVDDDGRAPKRTGTAVIGSSVLLLAWAIAQLGWVVGPTVMLLFAVIIYFTPNLLTDCYRTGDPSAGRRNYTYKDAVKANLGGGKVKLCGFIQYLNILGIAIGYTIAVSINMMDIQRSNCSHHAAMSNIGVVQEDYPSSTSTVQRMLLFCSVPCLQRAQMSQDY >LPERR03G18280.1 pep chromosome:Lperr_V1.4:3:14315951:14325709:1 gene:LPERR03G18280 transcript:LPERR03G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLQFRPGAIFSARAAHPASRKLYHHCSPQRIYDGRGRCRLMAKSTNGRPQISASFREVMIDEKSSEDGVPEQGGATVSITVVGASGDLAKKKIFPALFALFYEDWLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEKFLKRCFYQSGQYNSEEGFSELDKKLKEKEKFNAKTSHQAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSGSSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKSGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNVVGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDSSNLNLLYSERYRREIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLKQLEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDISNDEN >LPERR03G18290.1 pep chromosome:Lperr_V1.4:3:14335286:14335645:-1 gene:LPERR03G18290 transcript:LPERR03G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVTRLHEAAREVGVVGPHDSFASSNLGGLASQVDALAEGIKGVPEEVDEVAKDSSYDWAHQVATVILASYQARDPNFNPYVLTEDFPAGTEESAWRRVADAVDYITLGFDGTPAVF >LPERR03G18300.1 pep chromosome:Lperr_V1.4:3:14356244:14363856:1 gene:LPERR03G18300 transcript:LPERR03G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENGAVGEDRSKMSEFKEEVLRLAALACNGEENSRTELLERFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKLHWPTTDAANLDKINEFKEETLQLSRLAFHEEEEKSRAELLEKLNKSNKDTLVELFRSFDIPGSKANKKKTKKRRRKSEGTILSGGKPLKKKKLDGSALEIHGEEEASGANCEENVTKYSECDLEDNKNECANHEKGQFPKEKTSPEPSERINDDLSEKFDEAALTEVQMLSNEQALAKTPSAKVVNTVQGDDMKTSKKKNASINKKKTTPKIDRKEKTCGKRIYREDAKPRKLAAIPSRDELRQAVFLILDSADFATMTFGDVVKEVDKYFRKDLFEKKPLIRSLIEEELFRLAEEAEKKELEEEEAAEVKARAEQAAKGRAKAGLNSGIHKAEALQVKDGRSEDAADAKNKHGNSVVKDLKGGISVKVAENINRTDAAKSSQDEHDRRNENNGGDFTGNDNAVQDANNGDHVECSRDGEAERPKKNNNGEAVEGSEDGRTEASNSGKNTDIKYDSNQNGHKSALDVDDRGAEDSHGNRNEHLSCVEDGKAQEAGNTENGENVVSHSSEDGKRKEPMETANTEQTQTNGGGDDKSGDAEHNANTEADVEGEDQQRYCKQSSDGINPVE >LPERR03G18300.2 pep chromosome:Lperr_V1.4:3:14362380:14363856:1 gene:LPERR03G18300 transcript:LPERR03G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNVGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAATTQQQSPNAAGGYAGYGGGGGGPPGGMMMMMGQPMYGSPSPPPQQQQHQHQQQQQHHMAMGGRGGYGHPGGGGGGGGSSSSGLGRQDRGTA >LPERR03G18310.1 pep chromosome:Lperr_V1.4:3:14371943:14376459:1 gene:LPERR03G18310 transcript:LPERR03G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTSCSSWDSDDEYQKFIQKMNPPRVMIDNTSCANATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMNELVLGDIAKYIHKCLGADSCFLPSRRRSVGVEPSSDYTSIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVTDRETGLAISDTERLGRIKERLSYVFKGSNRSQGTKTTVTMGIAHTERRLHQMMLEDRDYERYDKDRTNVNPTPMVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTKGDRAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLEVKGHPDHRKSPPEESPSRFLFSSLFRPRSLYSLGLIRS >LPERR03G18320.1 pep chromosome:Lperr_V1.4:3:14384322:14387870:-1 gene:LPERR03G18320 transcript:LPERR03G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPGRRAPEFRRSARRRLPGWIWCLVGIFLVVGLMLFVLHHNQREHFRPHVIDKGSEVVEAHHEKVNFTEELLSSTSFARQLADQMTLAKAYVILSKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITQEEAHPIITRLARLIYKSQDAHYDISTTIVTLKSHALALEERAKAAVIQTAEFGQLAAESVPKNLHCLTIKLTEEWLQNPKHRSRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRTHFGAMSTWFIINDFKGCTVEVRCIDEFTWLNPAASPLVRQLSEVDTQSYFYGGSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKHIWERYVNFMHPYFSECLLH >LPERR03G18330.1 pep chromosome:Lperr_V1.4:3:14403359:14412465:-1 gene:LPERR03G18330 transcript:LPERR03G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFTMEMLPATMTWEAVVEAMGASMVWLLHRLWSWLVAARGAAVENLRPMSLRNPKPLLRLAIMTVPIANLSAAVAGAAHAAQAFAMEMIPAAVTREAVVEAMARLLRHLWSWLVAAKGAAVENLPVAAVSAIEASEPWLQMATQFFHGLYVWLLAAVAFAVENLPGVAKNTVEASQPWLEAAAKLMHGLYEWLVTASAVAVEILPEVAKNAAGSAAEASQPWLAMASKLLQAHDLCGWLVTAGENKPVPEFATAAMGRGGGAESSPTVVPTPTAGHGGVAVYALLAVALLAVAFLGGAVCALTCRTMKAPGLGGARVPRAMFRASPRRYYAAVRTARKARRGVSGAGWKFVAAAAAAAALVVCIAYVCAKMLN >LPERR03G18340.1 pep chromosome:Lperr_V1.4:3:14428576:14432866:1 gene:LPERR03G18340 transcript:LPERR03G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAPKSVTPMSGSEFSSTNSERTLVDVSMTNADANAEDEENMSSMAVMLYPQGSHMLGKHPLEVGSVSTIPSNQEETLNQEMVLGKEEVPIPEWMKALNLYRDGDWKVFREIGGHKNWFYNHRKYKTMFRARSEVKLFMETTLSTGTDIFKGRKLRKKGKMSLILC >LPERR03G18350.1 pep chromosome:Lperr_V1.4:3:14446628:14447890:-1 gene:LPERR03G18350 transcript:LPERR03G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPPSSMEGLTSSEIAGFAVGALLVGATVAAQRVDGFIATSQRTSLGMCKKCGDLRIVACSQCKGIGSVRKGGIFTFGMLDDIYESLGAETKTTNLVPCTNCRSKGRLLCPECSKVR >LPERR03G18360.1 pep chromosome:Lperr_V1.4:3:14465471:14466178:1 gene:LPERR03G18360 transcript:LPERR03G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRQHGSSGELDVFGATLYFAGLATARPIAVVVKEPEDLIITKPIKTTTSSSDNKTEEISHHDEMAGAIKTRSKLAAFLSSLVSPESTSFRKKPPPASREATTAQAGPPKLSTSTSNNSRPSIDAVAASSADGDHRDDDLGGVAMLWEDRRLQGVRIVRCGGCDEERWVVRCGAWEEHYHHGQEEKIIAMVGAATSTARYGSQVNGEQEVVGDGSGWESDSSSDLFELDLESA >LPERR03G18370.1 pep chromosome:Lperr_V1.4:3:14486166:14487000:-1 gene:LPERR03G18370 transcript:LPERR03G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRRTSRKTGKLKTFLGLAVSRIAVARRPRLARKSIATDDIRQLLTLGHLDRAIHRAEQVIAEDNMLEAFEMIELYCKRLIEHASNLDKPSEEIREAVAVVMFAASWCGDLPELPFARSILADKFGSDFTEAAKDGTGIVDPMLVWKLSSSATSMELKNKVTKEIAMENNIIVDFSELQEETKDI >LPERR03G18380.1 pep chromosome:Lperr_V1.4:3:14493971:14496169:-1 gene:LPERR03G18380 transcript:LPERR03G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFTAAPTLALATTAHALVLLVAFLGTAAANMGDSCSTAADCGAGQWCFDCQPEFAGSNCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTEQLMNGARALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQNGKDWPLVRDMVARNQRLLVFTSIRSKQATEGIAYQWNFMVENNYGDDGMDAGECSNRAESAPLNDKTKSLVLMNYFPSVPVKITACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVR >LPERR03G18390.1 pep chromosome:Lperr_V1.4:3:14503797:14504432:1 gene:LPERR03G18390 transcript:LPERR03G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREPCPDRILDDAGAAFGMGAVGGTVVHFVKGIYNSPNGSRLAGGSQAVHMSAAKVGGSFAVWGALFSSFDCAMVHARQKEDPWNSIIAGAATGGVLSLRQGLLASGRGFLVGASLLALIEGAGLVLNRTMSTLAPPGVDDPAAYYYYGGVPPSAAEDVSAPDSGPIGWVRGLFGRKEEKAADASVDHKVDVLDSFETPSPPIPSFDYK >LPERR03G18400.1 pep chromosome:Lperr_V1.4:3:14507917:14508357:1 gene:LPERR03G18400 transcript:LPERR03G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTAVRSHASRRSDPLALRNRPTPSDHTTPAAPPNIRRRYDELAAAFLAVFCFAERATACARGGKYDDDGWNFIAAGAATSGFLRLRQGPLPAGRAALSTGLFCAIVECASLVIHRVINTRTRRT >LPERR03G18410.1 pep chromosome:Lperr_V1.4:3:14509105:14509680:1 gene:LPERR03G18410 transcript:LPERR03G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASSSSPSLPAEPWNPYPSFIINDAGFGFLTGGSVGAAYHTAKGLATSPRGHRLAGAARAVRANVPRVSSTWGARCGLYGFFRCAISLPRARDNDPVVSVAAAAAAVGVHSLRRGPLAAGRGALAAGATMAVVETFKTLIAMVDAMPPDEPEEASSYVVEPQVGFLGFPPKPIVIEEVWVPEVQPRRET >LPERR03G18420.1 pep chromosome:Lperr_V1.4:3:14511652:14512897:1 gene:LPERR03G18420 transcript:LPERR03G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLLSRLISKRDLTYYAQLRKLRAVRVIRGLGPSQLREQSMEKEEKKDKETGEPLYIPFPDCIADDAGTAFVAGAAAGSVYHFFSGLRNSPCGHHLAGAAKAVRDGAPRVATRWAARLGVYRALSWATGREDDPLVSVASGAVTGALLRLRHGPLAVGRAALVGAATLAVVEMIMKDEVNDDDKKDRPPLPGMKDDNDDSDANPVLIPPTDTWAGDAHNMPVSGLNLY >LPERR03G18430.1 pep chromosome:Lperr_V1.4:3:14513222:14513833:1 gene:LPERR03G18430 transcript:LPERR03G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKEKKSTREPFDSIAELPFPYCVADFAGTGFVAGGAGGAFAHFFRGLRDSPCGHHLAGAAKAVRDGATRVAARWAARLGVLSATRSALSWATVREDDPLVSVASGAVTGALFRLRQGPRAAGRAALVGTVTMCVCEKILADVLEDMENNRPLPEMQGDNPGSGERPLPLLRMPPVTTTAVDKVSMQGPFAAFRDNRRFGG >LPERR03G18440.1 pep chromosome:Lperr_V1.4:3:14518511:14523070:1 gene:LPERR03G18440 transcript:LPERR03G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRFSLLALLLAVTCSVAGAYDPLDPKGNITLKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPVNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCERCACGCGHDGYHGGRGTGKSRTGRNNNGYRNNGHGGGSECIMGDSKRALSAGVNTPRKDGAALLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNISA >LPERR03G18450.1 pep chromosome:Lperr_V1.4:3:14520598:14524147:-1 gene:LPERR03G18450 transcript:LPERR03G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLMGAAAIALLAGMSSLLLVPLAEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNYQKYRHIQAPGWNLGWSWAKKEIIWTMVGAQATEQGDCSRFKGEIPHCCKRDPKIVDVVPGVPYNMQFANCCKGGVLTSWVQDPANAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRSPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPHLESVVNGPDKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKALNPYGVINDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDSTSFTFEKGWAFPRRIYFNGESCVMPPPDLYPWLPPSSTPRYRTVFILMSFLICGTLAFLHNHLVLDKNCGKAGLTGD >LPERR03G18460.1 pep chromosome:Lperr_V1.4:3:14530655:14531753:1 gene:LPERR03G18460 transcript:LPERR03G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYLTEVRRQLAYELLRHALQKVLLPKSNTNNQRELDIRARRLEKEKIKEAQTTLEKEMDRRSDNWSIRLSRFQERLRYRRVRNDRDNLQSSSVDLHARFTKAAEEKDHLREFLGDKDGENKALHKGYGAELDKKSVEYGNEKKNRMSVELIGLAGVEQKLRGIGNGPCLSSIRLDQELQARVDKLHLHGLPLLDKASQLCIQLLDLMKCKRRENEFDNGIATLTNNDYALEFQSIKG >LPERR03G18470.1 pep chromosome:Lperr_V1.4:3:14531809:14532021:1 gene:LPERR03G18470 transcript:LPERR03G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQKRKENEKENVKESSGEIVAGCSPSWEQKDEFFFLEHMSTYFYLFVCNIKGALSWKNTVVKCVY >LPERR03G18480.1 pep chromosome:Lperr_V1.4:3:14540577:14544644:-1 gene:LPERR03G18480 transcript:LPERR03G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKAAQRMERESVASYEPKKNSEIRILENSDEMATELAEYISQVSEISVKERGYFAIALSGGPLVRNFVKHHTTKPWIGLNGISSGLMNERLERTMWIVPILNGHVYSINDNETVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIDSDGHIASLFPNHPALDLKDDWVTYIIDSPDPPPERITFTLPVINSASNIAIVATGEDKAKAVYFAISEGTEGPDAPSIPARMVQPTDGKLLWFLDKASASFLEANNDGCEHPEY >LPERR03G18480.2 pep chromosome:Lperr_V1.4:3:14540577:14543011:-1 gene:LPERR03G18480 transcript:LPERR03G18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERESVASYEPKKNSEIRILENSDEMATELAEYISQVSEISVKERGYFAIALSGGPLVRNFVKHHTTKPWIGLNGISSGLMNERLERTMWIVPILNGHVYSINDNETVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIDSDGHIASLFPNHPALDLKDDWVTYIIDSPDPPPERITFTLPVINSASNIAIVATGEDKAKAVYFAISEGTEGPDAPSIPARMVQPTDGKLLWFLDKASASFLEANNDGCEHPEY >LPERR03G18490.1 pep chromosome:Lperr_V1.4:3:14557573:14559175:-1 gene:LPERR03G18490 transcript:LPERR03G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLSSTTHDYLLLLLPASATFLSPLLAALLVVVASLLWLFPGGPAWALRPDTARDILYGAAFADRPVKDAARGMLFHRAMGFAPSGGDYWRALRRVSGSHVFSPRCVAASAPRRRAIGERMLERLAADGEEAVVGMRRVLHAASLEHVMDTVFGTTRYDEEVEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCRALVRRVDVFVRTIIDEHKQRKRSADDDENGDFVDVLLGLQGEDKMTESDMVAVLWEMIFRGTDTVAILLEWIMARMVLHRDIQAKAQEELDAIVGRGRAVSDADVAGLRYLRCIVKETLRVHPPGPLLSWARLAVHDARVGGHHVPAGTTAMVNMWAIAHDPEIWPEPDAFRPERFAGEEGSDGVGGDLRLAPFGAGRRVCPGKALALATVHPWLAQLLHRFDWEPVAGVDVDLSERLNMSLEMEKPLVCRAKPRW >LPERR03G18500.1 pep chromosome:Lperr_V1.4:3:14562554:14565131:-1 gene:LPERR03G18500 transcript:LPERR03G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNSFQQHSEPSHTRPVGAPAHPQAGPGRQSGKATGCLYCRRLHIRAVRRGDRTVTQSLRARRVAYTNGYKGAPYAPTNGSGAEASENPQIPLAAAAAFLRRQPPARPRRFALSPINMSRGGSAGGGQSSLGYLFGGNEAPKPAAPKPAAAPAPAAPAPPPAAAPAEKASPAKADGSSQIPAGIQGSRSNNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >LPERR03G18510.1 pep chromosome:Lperr_V1.4:3:14566438:14571960:-1 gene:LPERR03G18510 transcript:LPERR03G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVAAAVPPSMRQRRWCTHGRASSSRSWIEDKIARSGDLLPVAAPSPGEWRVPSAGQPRGEGRVPFTGQPGRATLSNSWVKDKESSQLPSRSRKRSPSPAPLDQRMEKRARSPESEDSEEEDFSNYFAGSSAAISPDPSELPIFFLYSNYHIEGNWHKARKAQEVLKSNIANLQYIWGANT >LPERR03G18510.2 pep chromosome:Lperr_V1.4:3:14567741:14571960:-1 gene:LPERR03G18510 transcript:LPERR03G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVAAAVPPSMRQRRWCTHGRASSSRSWIEDKIARSGDLLPVAAPSPGEWRVPSAGQPRGEGRVPFTGQPGRATLSNSWVKDKESSQLPSRSRKRSPSPAPLDQRMEKRARSPESEDSEEEDFSNYFAGSSAAISPDPSELPVMCRPSASSAPCIFKALTGNWHKARKAQEVLKSNIANLQYIWYAVCTGISIYTQHSTLQWC >LPERR03G18510.3 pep chromosome:Lperr_V1.4:3:14567741:14571960:-1 gene:LPERR03G18510 transcript:LPERR03G18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVAAAVPPSMRQRRWCTHGRASSSRSWIEDKIARSGDLLPVAAPSPGEWRVPSAGQPRGEGRVPFTGQPGRATLSNSWVKDKESSQLPSRSRKRSPSPAPLDQRMEKRARSPESEDSEEEDFSNYFAGSSAAISPDPSELPIFFLYSNYHIEGNWHKARKAQEVLKSNIANLQYIWYAVCTGISIYTQHSTLQWC >LPERR03G18510.4 pep chromosome:Lperr_V1.4:3:14567741:14571960:-1 gene:LPERR03G18510 transcript:LPERR03G18510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVAAAVPPSMRQRRWCTHGRASSSRSWIEDKIARSGDLLPVAAPSPGEWRVPSAGQPRGEGRVPFTGQPGRATLSNSWVKDKESSQLPSRSRKRSPSPAPLDQRMEKRARSPESEDSEEEDFSNYFAGNYHIEGNWHKARKAQEVLKSNIANLQYIWYAVCTGISIYTQHSTLQWC >LPERR03G18520.1 pep chromosome:Lperr_V1.4:3:14572668:14583176:-1 gene:LPERR03G18520 transcript:LPERR03G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAHDGQRERFDLGLLVGDLALDEDVASDEDESLEGLRQELEDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQICDCDNILSQMETLLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEADAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSIGKEPLKSRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQVNLSLWPRFKMVFDLHLNSLRNANVRTLWEDDVHPHYVIRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEDLLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTDGGKAQLHFEEVLKSNIAIYVEELLLEHFTEDTATSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGSALNKDLISISSILYEIKKYSRTF >LPERR03G18530.1 pep chromosome:Lperr_V1.4:3:14596955:14602108:1 gene:LPERR03G18530 transcript:LPERR03G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQDRTDFDRQMGCMAGMFQIFERRRLLTARQRAGHGARGVLPPGHALPNSSTNVPIQNPAPSNFTLDKTFSKSMTENSSFSMESSRASSSSSSCSSFSSTDINRQVRPELPYINEERFVGKPPRSSQSAKCSNTKAKSKDPHTGFRDIVKESINRETHGLAIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGVDRSTGVPAYVHESSSRPARFSCDDRQLLRSVEAQDRKKTSAKLKELPRLSLDSRKESMNPRSRLKSSGYIRTDDNLLDVLKHKESPSHQRASSVVAKLMGLEGTPDIHEPARSPRPVHDTQNDRLSHSNRSKKQDHRVQLQNNHSPVLKSNPSPRILPEAAPWRQDEKAVTGREAEVKPRKASIYADIQRRLRGLELSECNKELRALRILGTLHKKDSPSPSQSDNNPELTTIQKAASEQIFDSEKSQSPIVIMKPARCITKPDVLHTLVAPLSGPKGTRRPLHEETSFTRKNENSASNRNNSPNESTNPSVEEPVNSARSPRLSSSLSPRLAHKKADSERRSRQPILPMSPSGSKSKETVSPRGRLRPKHSQAKSFSNNDDVLQISETKISLTKQIDMGVIDRPNLLNASPSYIDQRDVASTPNREETPTVLPADKKKIHPQENIPSPVSVLDATFYQEGSPPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNEGNQIKAENMKALIQKLELLQMLSEEALKTNNAFSSVAANKDHQYLYEILSASGLLHNKLNFQMMPHQLQSSNYPINPELFCILEQAKPDKEKLHRRLIFDLANELLGQQMDVDHNVNSSVQPLKSKELTGWQLFEDLCADVDRIQSESSMMRCSEEEEDSRLAEDAVQGMKEWKSSNTELQGIVLAIEKSIFKDLIDETISGEDKGKVHLTQWKLRRQLSFISI >LPERR03G18530.2 pep chromosome:Lperr_V1.4:3:14596955:14602108:1 gene:LPERR03G18530 transcript:LPERR03G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQDRTDFDRQMGCMAGMFQIFERRRLLTARQRAGHGARGVLPPGHALPNSSTNVPIQNPAPSNFTLDKTFSKSMTENSSFSMESSRASSSSSSCSSFSSTDINRQVRPELPYINEERFVGKPPRSSQSAKCSNTKAKSKDPHTGFRDIVKESINRETHGLAIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGVDRSTGVPAYVHESSSRPARFSCDDRQLLRSVEAQDRKKTSAKLKELPRLSLDSRKESMNPRSRLKSSGYIRTDDNLLDVLKHKESPSHQRASSVVAKLMGLEGTPDIHEPARSPRPVHDTQNDRLSHSNRSKKQDHRVQLQNNHSPVLKSNPSPRILPEAAPWRQDEKAVTGREAEVKPRKASIYADIQRRLRGLELSECNKELRALRILGTLHKKDSPSPSQSDNNPELTTIQKAASEQIFDSEKSQSPIVIMKPARCITKPDVLHTLVAPLSGPKGTRRPLHEETSFTRKNENSASNRNNSPNESTNPSVEEPVNSARSPRLSSSLSPRLAHKKADSERRSRQPILPMSPSGSKSKETVSPRGRLRPKHSQAKSFSNNDDVLQISETKISLTKQIDMGVIDRPNLLNASPSYIDQRDVASTPNREETPTVLPADKKKIHPQENIPSPVSVLDATFYQEGSPPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNEGNQIKAENMKALIQKLELLQMLSEEALKTNNAFSSVAANKDHQYLYEILSASGLLHNKLNFQMMPHQLQSSNYPINPELFCILEQAKPDKEKLHRRLIFDLANELLGQQMDVDHNVNSSVQPLKSKELTGWQLFEDLCADVDRIQSESSMMRCSEEEEDSRLAEDAVQGMKEWKSSNTELQGIVLAIEKSIFKDLIDETISGEDKGKVHLTQWKLRRQLSFISI >LPERR03G18540.1 pep chromosome:Lperr_V1.4:3:14614210:14617555:1 gene:LPERR03G18540 transcript:LPERR03G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGLSLTSTAWTMASRDFLGVFAGGGERGAADGSGSAAGGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVAAADGDGDPSPLPLLRTSSLPTETEEERWRRREMQNQRRLEARRKRLERRISVGVGSASASASAPTKPGKEDAGDRLQLRRSIGTQGSSSANPQEQGPDGTSVCQSTEAKSPSTSDDTNQNSALPPAASTGKPLNGTTRQQPPLRTLGSLTMRTSSTGDIGMIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >LPERR03G18540.2 pep chromosome:Lperr_V1.4:3:14613878:14617555:1 gene:LPERR03G18540 transcript:LPERR03G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFAGGGERGAADGSGSAAGGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVAAADGDGDPSPLPLLRTSSLPTETEEERWRRREMQNQRRLEARRKRLERRISVGVGSASASASAPTKPGKEDAGDRLQLRRSIGTQGSSSANPQEQGPDGTSVCQSTEAKSPSTSDDTNQNSALPPAASTGKPLNGTTRQQPPLRTLGSLTMRTSSTGDIGMIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >LPERR03G18550.1 pep chromosome:Lperr_V1.4:3:14620587:14621204:1 gene:LPERR03G18550 transcript:LPERR03G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIELPPAGMPLHTAAVAGMLQRMWRDIGSFSDRVAAARSYAERARDAATDAIRLLGEDADAAEILDADMLAAALAPPSAGQGDPSFPYDATLAAAATLFDTLSSGSPRLEGSFLAARHLISTVFALGDRNPPEALRGLQSAFDREGPFFKLVHCFRVGAPMILHVQEGDQTWEAWTREAYLVKQFSDEALKRMRPTLRALTA >LPERR03G18560.1 pep chromosome:Lperr_V1.4:3:14621683:14623869:1 gene:LPERR03G18560 transcript:LPERR03G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEDPTDLVGAMRKVVQAVDGIDSLLEAAKRCAEAASDALADAVRLLQEDTDAAEILADNLLALVPDGEAIVDAAAKLITRAPSGAPVLPGSIRASRDLVAGLYLFRLRLPADGLLQTARNHLRIAIRNNEAVDYFVRHKCIPAPELAVRPTHAKDWTDCQELFVKRGDRARRRLDAAVKAAAYGQGAHPLCLAKSPQREEYMEEAKRFLRTAIDELDEAQEELREMGNTFPSPGVVVRCGPLASIAEQDQLDIRCIIFAFYNFIIRFYYIKGDLLDADAAEILDADMLAALAPPSAGQGDPSFPYDATLAAAATLFDTLSSGAPRLEGSIRAARDLISTEFALGVPKPEALRGLQSAFDGEGAFFKLDHCFRVGAPMILHVQEGDQTWEAWTREAYRIKQFSDEALKRMNIAGYEAMDAADLAGSHCLVKSPKRLDHMNEVKMDLLVGIEHVAKASAALDTVHETIELMEKILLQAMGDAHIAGNGFANHIPANPWRPVLITRAELLPPSSCSHRSEMENCNCK >LPERR03G18570.1 pep chromosome:Lperr_V1.4:3:14654842:14665847:1 gene:LPERR03G18570 transcript:LPERR03G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDPVQEEVPADWVLDIEQIANSLYEIKTRLVRIIRYSQAARSTLAEAANLLDEETDAAEILVADMFSLTVDGGDCLNEVSIHATAKLVASIFSGVPALQGAVSTARDLVASVLAVSQMVVGPLHVSLSNMQVAINCHESARFAFFICAPHMLVQQHVWSKWMLWMDFAIQQEVQANLFLEKAIQDAKAVEESMQRLYLAVSLEQQKEHMVRAKQILHNAIEAINNALIALAETIIGINSEELVVRQAIYYALAPAVHDYLPGFQLIRLMGVHFVGAMIESSMSSCKKTARPKLVVAVHQPSKRFWLSPFGIFGRRGTTQGTIPLSHTHAKLSRMWWVGDQLAMVHFSTQEARASLAEAARLLNEDIDAAEIVFTHSLSVILARGGDPGTLTAVGRLVHTIFFLEKPVLRGAISAAMDLVVSVHVEAETRLSSAISEAQRARRVYGILRAQSRRREVFLEVGQILRVAIQEVDAALVAIQQMLDAVAAEERIVRNLITVSAL >LPERR03G18580.1 pep chromosome:Lperr_V1.4:3:14678989:14680138:1 gene:LPERR03G18580 transcript:LPERR03G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLSKREEVGVGGPWEEEYVSVPEGKKRDRRNDLLLIRDGGESFRIIDKIQRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >LPERR03G18590.1 pep chromosome:Lperr_V1.4:3:14696559:14697308:-1 gene:LPERR03G18590 transcript:LPERR03G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFMGEEEAAAAAAEAAEMVAWLEMMRTVAKDLAGVGDQLAMVHFSTQEARATLTEATGLLDEDIDAAEIVFAHALSVVPARGGDPGTLTAVGRLVHTIFFLEKPVLRGAISAAMDLVARVHGELDNARDLFNTVGEDLVNTVHRFDLHGGVEDDETWSERYEKASSQETEADMRLGIAISQVEHARGVYGDYLAQSRRREFACDAVEILRGAIEDVDEALKAIDQMRDAVIAMEQIVRNSIGAAAP >LPERR03G18600.1 pep chromosome:Lperr_V1.4:3:14699480:14700187:1 gene:LPERR03G18600 transcript:LPERR03G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQEAEAVLVAISEQLEEVRSITSTARARFRKASGLLHEDIHGASTVAADALAVVPALNVRDREDTAAAARLVASVFSEKPVLPGAIDAAMDLVACVTGPLQRVRGLLSTMSDNHDRVRNLFLDCIRQLGIGDDDERREAWRIQWSKVILQGLAVDTRVIDAISEAGDAGRVHRLYEMDSPHRGQRMKEAWKLEGIVRTAIQELDAALVAVGHMHDAIASEIHVINAAAAPPP >LPERR03G18610.1 pep chromosome:Lperr_V1.4:3:14703357:14703575:-1 gene:LPERR03G18610 transcript:LPERR03G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVPAPTTASSPSPERKEHMRELEMDLLMAVRSVNKARAALDAVASMDQTLHQAITIAIAANAHVPMAIN >LPERR03G18620.1 pep chromosome:Lperr_V1.4:3:14703617:14704530:-1 gene:LPERR03G18620 transcript:LPERR03G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPSPLDPALRSVAAWLPAREERRREEEAGTGRWRGGMEIGERGGIGGRRRQAERKMEGRDGDWRERRDRRAEDYGRPYLWRWAINWRRVRSITSRERATLGEAHKLLHEDIHGAKTVAAYALAVVPALNVRDREDTAAAARLVASVFSEKPVLPGAIDAAMDLVFSILHSDVLAALVRAEAPIPAATVGAATKLFAHVSSGVEPADGAGHEIRQ >LPERR03G18630.1 pep chromosome:Lperr_V1.4:3:14710316:14711135:-1 gene:LPERR03G18630 transcript:LPERR03G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFDQLDKARSAARAARTTLGEADELLDEDIHGAATLAADAFAVVPTLINGRDPEATLAAAAKLVESVFSDAPTLPGAIVAAISRVARVLAKLKRVVGILRSAFDDHDRAHDLFADCSWGLGIGYGDERWDEWADHRAQALVHARAVETRVNAAIWEARHAVRVHRFYKHRIDVSPPPPRPRERVKEAWMLKDILHTAVHEVDAVMVAILDMFDSVASERRIVREAIWQFRTTR >LPERR03G18640.1 pep chromosome:Lperr_V1.4:3:14711974:14712717:1 gene:LPERR03G18640 transcript:LPERR03G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDQVMEWLGDEVMDGLLAPETVADDLEKIRTELEHATANTRAARAALAEAAALLREDTDASEILFAGAFAVVVPDDDGPDDPEATLAAAAKLVSGAFSDAPLLPGAIATAMELVAGVYALFADYCSTQCRQDMAAWEEWTSTMARANVLLFKAEMRLQFAICEVQEAVLAHRLYRHPRLLRLMPPETIGSTREASSKLEQIVSTAILELDAALDVIRDLCETVAAEERVVLKLIDRRRRAGRV >LPERR03G18650.1 pep chromosome:Lperr_V1.4:3:14719502:14722178:-1 gene:LPERR03G18650 transcript:LPERR03G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEVPDKWYCCESQRKSNRAPTPSQGGKTTMPNNNTRNRAHQAGSKIPNKFENAKVKFISYEEAALLNNKERPPHSRSNFSTRRTNSQARPASPPSVKQSHSRSDAHAYSQFHRQSSNMEQSPSMSDTQVSFLKRCAGANQNQAEIAGINIKQKAQSGATVPMLRPCSRSGGVDGKIDSEIQNDQREKKALSAHKVTMNHQSQYDPRKKSESISTDTDVGHGSEMSVDNNIDILVVINSSAQYTRRPAPENCWTGRFDVSDGSNCNFADLKAYFPSKVSSKVLNAIKCMPTKLNLEILPRMDDWPKCFETSPPVYEDIGLFFFPAELDW >LPERR03G18660.1 pep chromosome:Lperr_V1.4:3:14734685:14738556:-1 gene:LPERR03G18660 transcript:LPERR03G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSSSVESPSARRPPPPSPSPSLSLSRSQHQQTPRGRHPTPPPGADPVAFGVVAFIAICFLLISFSAPSIILHQVPEGHVGVYWRGGALLETITTPGFHLKLPWITQFEAIQVKDIPCGTKGGVMISFDKIEVVNRLRKDFVYDTLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIIGVRVTKPNIPPSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEIFLAREKALTDASYYRHGLALYLKSILKEAEANRLKLTPQYLELRFIESVANNSKIFFGEKIPNMIMDQRMLRNYLDTDTTKDHLEI >LPERR03G18670.1 pep chromosome:Lperr_V1.4:3:14749266:14750982:-1 gene:LPERR03G18670 transcript:LPERR03G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAVLAAAVLLLSSTLAASDFCVCRSDQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRSTGATCDFGGAATLSSSDPSFSGCNFPSSASAAGTTGTTTTGSTFSPGVGTGTGVNGTGMGSSLSPPGTSNFDGAAAAGLLPRAERPVFLAIILISFLALP >LPERR03G18680.1 pep chromosome:Lperr_V1.4:3:14754902:14757373:1 gene:LPERR03G18680 transcript:LPERR03G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFSILYALSFLPLLPIVMSRDILPLKSVLSVDDYQRDVLQSAEGTFSCGFLMIYSYAFAFSIWYTKSADKTVVWTANRGRPVHARGSVVTLQKGVAMVLTDYDGTVVWQSGSNSIDVQYAQLLDTGNLVMRNSGGMIVWQSFDSPTDTLLPTQNITATTKLFSTTGLYVPGHHLFHFTDSSILSLIYDDADVHAIYWPDPDGGEYQNNRNRYNSTRMGLLDDNGDLISSDFADQKPFSASDKGPGIKRRLTLDPDGNLRLYSLNSLNGRWSVSWVAILQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSPGNWSQGCKAIIDISCSVAQVQPVTFVHLSDTDFWGSDQRHVDQVSFQACRNICQSDCNCKGFQYLIGEGTCFPKSFLFNGRAYPSHFKSARNMYLKISVGMNISGMPVPQSNVLDSRKHNRNCDQMDAEPRELFPVVHKTSQGEPRWSYFYGFAGVIFILEVFFIAFAWFFVSRWELGALEIQAVEQGYKVMARNFRRYNYKELTKATRKFKYELGRGGSGIVYKGTLDDGRVVAVKMLENVMQCEEEFQAELRIIGKINHMNLVRIWGFCSENSYRMLVTEYVENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVVHCDVKPENILLDENLDPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWIGSLQITAKVDVYSYGVVLIELVSGRRVLDLAASANEQVHIVLRKLVKMLSSNMEGNETSWVSEFVDVRLSGQFNYTQVRTLVTLAVACFDEERSKRPTMESIVQVLLLVDESCSCNVLRSGSSISRRY >LPERR03G18690.1 pep chromosome:Lperr_V1.4:3:14761811:14764153:1 gene:LPERR03G18690 transcript:LPERR03G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLPDEVLKAVFPILDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYVTFSKPRKPPQLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRAGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEIVMGPAITVSVLAHRKDTKKMACVINKSTFDYVDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGRNVIQVFGIELDFCDAARKGLIQTSKVQPTQAQHSLTQACHCPVYYRRRSDASAITAAYTHKLQPIKEKEKGQSLSLTSRPSPQEEEKGATTTSSLKASMHV >LPERR03G18690.2 pep chromosome:Lperr_V1.4:3:14760481:14762995:1 gene:LPERR03G18690 transcript:LPERR03G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLPDEVLKAVFPILDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYVTFSKPRKPPQLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRAGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEIVMGPAITVSVLAHRKDTKKMACVINKSTFDYVDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGRNVIQVFGIELDFCDAARSETEILWLLDMLDWK >LPERR03G18700.1 pep chromosome:Lperr_V1.4:3:14799990:14800848:-1 gene:LPERR03G18700 transcript:LPERR03G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFGQGNTKGSPTTEDPSPPIPNIVGAPARQAIVVPGRLVVIHCSRRCVQSSEPSSPSRSSSSPPVAVVVFTAVAVPSSPPVAAAASGPHRQLSSGVCSCSPGQYRPSCRSALASARSGRVRSVAALILSGKVSPPSPLLACRPSVTIHHHSSSSILKSCLLVPDAAAAALILVGNAAARRGVFVDLLLLYSALVCCRSK >LPERR03G18710.1 pep chromosome:Lperr_V1.4:3:14801047:14804614:-1 gene:LPERR03G18710 transcript:LPERR03G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTPVGTLSSSHLLAIAVFSSLLSIAVFPAISLADTSPNNASNTDLQTLLCLKLHLSNTANPLASWRTNGSLDFCSWAGVSCSKKLPSRVVALDLESFGLNGQLPPCISNLSFVTRIHLPNNQLGGQIPPEIGRLNRLSYLNLSSNQFSGMIPNTLSSPYLQTIDLGSNSLQGDIPQNLTKCWSLEQLNLESNMLGGGIPEGFGMLRNLSILRLAGNSLTGKIPLSLGSSNSLVFVQMTNNSLTGPIPSALAESSLLQVLDLTKNNLSGGIPPALLNSTSLQRLSLGKNSFDGFIPAFSDVNPPLQYLTLSENNLAGTIPSSLGNYSSLRWLLLQGNYFVGSVPMSLGNIPKLEVLDLSYNFKLSGTVPTSIFNISSLTYLNLAVNNFTGGIPTNVGDTLPSIQSLIFQENLLQGPIPASLANATNLESLNLGANVLNGTIPSFGSLAKLTSLILASNQLEAGDWSFFSSLTNCTQLNLLSLWRNKMEGNLPSSVGSLANSLGVLFMSENKISGTIPLQIGNLTNLRFLRMDKNLFVGNIPDSIGNLAKLDKLGLSHNKLSGQIPISIGNLQQLTVLDLQENNFSGPIPSTIGDCRSLNTLNLSCNSLDGRIPKELFSLYSLTRALDLSHNQLSGQIPQEIGGLINVGHLNFSNNHLSGLIPNTLGSCVHLESLHMEGNFFDGRIPNSLVDLKSISEIDLSRNNLSGEIPGFFQSFNSLKLLNLSFNNLEGQIPEGGIFQNSSVVFVQGNNMLCSNSPELKLPLCFRSTCKHRRTSRNLKIIGLSVALALVSLSCIALIVFKRRKRTKQSDHSSCKEWKKFSYSDLVKATNGFSSDNLVGSGAYGSVYKGTLDHEPHSIAIKVFNLDQLGAPKSFLAECEAFRNTRHRNLVRVISACSTWDHKGNEFKALIIEYMTNGNLDSLLYAKTKIPLSLGSRIAIAADIASALDYLHNHCTPPIVHCDLKPSNVLLDDAMGARLGDFGLSKFLHSSSSSSITSSTSLAGARGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLTGKRPTDVMFNNGLSLHTFVGNAFPQKIGEILDPNIIINFEDEHLGKKLDYENHMTVGVQSCISQLVKLGLSCSAEVPKDRPTTLDVYAEITGIKQAFSALCG >LPERR03G18740.1 pep chromosome:Lperr_V1.4:3:14834180:14834825:1 gene:LPERR03G18740 transcript:LPERR03G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEHPSSPRGTNISIECELAGDSNLTHQLNASQEQWQRTTRAAFLPWLTCFSSSSSYWCLSVVSSDVK >LPERR03G18750.1 pep chromosome:Lperr_V1.4:3:14869722:14870340:1 gene:LPERR03G18750 transcript:LPERR03G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYSCRFPTLAQLLLVLLVFLVFVSGILGRDLGESCTKNPNQSKCAPIKPDCCI >LPERR03G18760.1 pep chromosome:Lperr_V1.4:3:14871759:14889628:-1 gene:LPERR03G18760 transcript:LPERR03G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYRIIKVARDEDFRSRIGEDGHYFDLVDFRRIEGFRLPDSLTISSLKSPDRFNFSQAMIARKFGTPVQCQRLWRWTKRNNKTYRINRPLTAEEEDLSVLHPHSLQTETKTDHALVFLKLFDPEKAQLRYVGSLYVNGSSMPSEILPKLRSLAGFSASETIELYEEIKFDPSVMCEPIDIDLTFSASEIITGDIICYQKSPPQNWGIYSSVASFLQHVCDHKKKWKRRILEEEIASFKLQADTDRLKKEETMTDNAVRQVNELLDQSSYVFLDFSPEDLEQAADHFNHGRKVGDTEYGQTYKGMIHNNMVAIKLSSSQSLFLQEVSVLRQWRHPNIISFIGVCTEISVLVYEWVSNGNLEDRIVCTNDSPPLSWHIRTQIIGDICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCNIGMSNLFVQPGSCPPNLMERLPYMDPEFNFTGEITALSDVYSLGVIILRLLTGMAPYNLSKKVAESLEGNSLPLLIDKSAGDWPYIEAKQLAVLGLSCVEMTRDKRPDLLNKVWKVVQPLMRKHPAASWPYIQSTSVESSAPAPFICPIRMEIMKDPQVASDGFTYEAEAIGSWFDRGNSSRSPMTNLPLPNLHLIPNRVLCSSIQEYIQQQQHNGWRAVVVTEEDFRSRIGKHGHYFDLIDFNRIDGFNVRASTIILNFKSTDRFNFSQWNLAAVFGTPVHCQRLWLWSRRQNKTYRVIRPLTIEEEKLSVLRSHSLPIWSNRDDALLFIKYYDQEHSYGQQFFEIQFEPSVMCEPIDIHKTFSAGDIGTGDIICYRKIKKPKDLPKKYISIEYFLHRVCGQEMYEEERKVHILEEEIVALKRQAETCLLQKEEALTACDQFKHERDNAVRQVNELRDQRTHVILNFSRKDLEQATQHFSNAGKVSILGQWRHPNIITFIGVCSETSALVYEWLPNGNLEDRIVRTNDSPPLSWHNRTRIIGEICCALLFLHSNKPIALVHGDLRPCNILIDANYRSKLCNIVMYNLFLQPGSSPPNLTERLPYMEEYVTTGELTTLSDVYSLGVIILRLLTGMPPLNLLKKVAESLKDDSLHLLIDKFAGDWPYIEAKQLAVLGLSCAEMTREKRPDLLNKVWKEIQPLMRKPPAASWSCIQSASTESSTPAPFVCPISMDIMKDPQVASDGFTYEAEAIKCWFDRGYSRSPMTNLPLPNLNLIPNRILCSSIQEYLEQQQ >LPERR03G18760.2 pep chromosome:Lperr_V1.4:3:14871759:14889628:-1 gene:LPERR03G18760 transcript:LPERR03G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYRIIKVARDEDFRSRIGEDGHYFDLVDFRRIEGFRLPDSLTISSLKSPDRFNFSQAMIARKFGTPVQCQRLWRWTKRNNKTYRINRPLTAEEEDLSVLHPHSLQTETKTDHALVFLKLFDPEKAQLRYVGSLYVNGSSMPSEILPKLRSLAGFSASETIELYEEIKFDPSVMCEPIDIDLTFSASEIITGDIICYQKSPPQNWGIYSSVASFLQHVCDHKKKWKRRILEEEIASFKLQADTDRLKKEETMTVNELLDQSSYVFLDFSPEDLEQAADHFNHGRKVGDTEYGQTYKGMIHNNMVAIKLSSSQSLFLQEVSVLRQWRHPNIISFIGVCTEISVLVYEWVSNGNLEDRIVCTNDSPPLSWHIRTQIIGDICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCNIGMSNLFVQPGSCPPNLMERLPYMDPEFNFTGEITALSDVYSLGVIILRLLTGMAPYNLSKKVAESLEGNSLPLLIDKSAGDWPYIEAKQLAVLGLSCVEMTRDKRPDLLNKVWKVVQPLMRKHPAASWPYIQSTSVESSAPAPFICPIRMEIMKDPQVASDGFTYEAEAIGSWFDRGNSSRSPMTNLPLPNLHLIPNRVLCSSIQEYIQQQQHNGWRAVVVTEEDFRSRIGKHGHYFDLIDFNRIDGFNVRASTIILNFKSTDRFNFSQWNLAAVFGTPVHCQRLWLWSRRQNKTYRVIRPLTIEEEKLSVLRSHSLPIWSNRDDALLFIKYYDQEHSYGQQFFEIQFEPSVMCEPIDIHKTFSAGDIGTGDIICYRKIKKPKDLPKKYISIEYFLHRVCGQEMYEEERKVHILEEEIVALKRQAETCLLQKEEALTACDQFKHERDNAVRQVNELRDQRTHVILNFSRKDLEQATQHFSNAGKVSILGQWRHPNIITFIGVCSETSALVYEWLPNGNLEDRIVRTNDSPPLSWHNRTRIIGEICCALLFLHSNKPIALVHGDLRPCNILIDANYRSKLCNIVMYNLFLQPGSSPPNLTERLPYMEEYVTTGELTTLSDVYSLGVIILRLLTGMPPLNLLKKVAESLKDDSLHLLIDKFAGDWPYIEAKQLAVLGLSCAEMTREKRPDLLNKVWKEIQPLMRKPPAASWSCIQSASTESSTPAPFVCPISMDIMKDPQVASDGFTYEAEAIKCWFDRGYSRSPMTNLPLPNLNLIPNRILCSSIQEYLEQQQ >LPERR03G18760.3 pep chromosome:Lperr_V1.4:3:14871759:14889628:-1 gene:LPERR03G18760 transcript:LPERR03G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYRIIKVARDEDFRSRIGEDGHYFDLVDFRRIEGFRLPDSLTISSLKAMIARKFGTPVQCQRLWRWTKRNNKTYRINRPLTAEEEDLSVLHPHSLQTETKTDHALVFLKLFDPEKAQLRYVGSLYVNGSSMPSEILPKLRSLAGFSASETIELYEEIKFDPSVMCEPIDIDLTFSASEIITGDIICYQKSPPQNWGIYSSVASFLQHVCDHKKKWKRRILEEEIASFKLQADTDRLKKEETMTDNAVRQVNELLDQSSYVFLDFSPEDLEQAADHFNHGRKVGDTEYGQTYKGMIHNNMVAIKLSSSQSLFLQEVSVLRQWRHPNIISFIGVCTEISVLVYEWVSNGNLEDRIVCTNDSPPLSWHIRTQIIGDICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCNIGMSNLFVQPGSCPPNLMERLPYMDPEFNFTGEITALSDVYSLGVIILRLLTGMAPYNLSKKVAESLEGNSLPLLIDKSAGDWPYIEAKQLAVLGLSCVEMTRDKRPDLLNKVWKVVQPLMRKHPAASWPYIQSTSVESSAPAPFICPIRMEIMKDPQVASDGFTYEAEAIGSWFDRGNSSRSPMTNLPLPNLHLIPNRVLCSSIQEYIQQQQHNGWRAVVVTEEDFRSRIGKHGHYFDLIDFNRIDGFNVRASTIILNFKSTDRFNFSQWNLAAVFGTPVHCQRLWLWSRRQNKTYRVIRPLTIEEEKLSVLRSHSLPIWSNRDDALLFIKYYDQEHSYGQQFFEIQFEPSVMCEPIDIHKTFSAGDIGTGDIICYRKIKKPKDLPKKYISIEYFLHRVCGQEMYEEERKVHILEEEIVALKRQAETCLLQKEEALTACDQFKHERDNAVRQVNELRDQRTHVILNFSRKDLEQATQHFSNAGKVSILGQWRHPNIITFIGVCSETSALVYEWLPNGNLEDRIVRTNDSPPLSWHNRTRIIGEICCALLFLHSNKPIALVHGDLRPCNILIDANYRSKLCNIVMYNLFLQPGSSPPNLTERLPYMEEYVTTGELTTLSDVYSLGVIILRLLTGMPPLNLLKKVAESLKDDSLHLLIDKFAGDWPYIEAKQLAVLGLSCAEMTREKRPDLLNKVWKEIQPLMRKPPAASWSCIQSASTESSTPAPFVCPISMDIMKDPQVASDGFTYEAEAIKCWFDRGYSRSPMTNLPLPNLNLIPNRILCSSIQEYLEQQQ >LPERR03G18760.4 pep chromosome:Lperr_V1.4:3:14871759:14889628:-1 gene:LPERR03G18760 transcript:LPERR03G18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYRIIKVARDEDFRSRIGEDGHYFDLVDFRRIEGFRLPDSLTISSLKAMIARKFGTPVQCQRLWRWTKRNNKTYRINRPLTAEEEDLSVLHPHSLQTETKTDHALVFLKLFDPEKAQLRYVGSLYVNGSSMPSEILPKLRSLAGFSASETIELYEEIKFDPSVMCEPIDIDLTFSASEKSPPQNWGIYSSVASFLQHVCDHKKKWKRRILEEEIASFKLQADTDRLKKEETMTDNAVRQVNELLDQSSYVFLDFSPEDLEQAADHFNHGRKVGDTEYGQTYKGMIHNNMVAIKLSSSQSLFLQEVSVLRQWRHPNIISFIGVCTEISVLVYEWVSNGNLEDRIVCTNDSPPLSWHIRTQIIGDICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCNIGMSNLFVQPGSCPPNLMERLPYMDPEFNFTGEITALSDVYSLGVIILRLLTGMAPYNLSKKVAESLEGNSLPLLIDKSAGDWPYIEAKQLAVLGLSCVEMTRDKRPDLLNKVWKVVQPLMRKHPAASWPYIQSTSVESSAPAPFICPIRMEIMKDPQVASDGFTYEAEAIGSWFDRGNSSRSPMTNLPLPNLHLIPNRVLCSSIQEYIQQQQHNGWRAVVVTEEDFRSRIGKHGHYFDLIDFNRIDGFNVRASTIILNFKSTDRFNFSQWNLAAVFGTPVHCQRLWLWSRRQNKTYRVIRPLTIEEEKLSVLRSHSLPIWSNRDDALLFIKYYDQEHSYGQQFFEIQFEPSVMCEPIDIHKTFSAGDIGTGDIICYRKIKKPKDLPKKYISIEYFLHRVCGQEMYEEERKVHILEEEIVALKRQAETCLLQKEEALTACDQFKHERDNAVRQVNELRDQRTHVILNFSRKDLEQATQHFSNAGKVSILGQWRHPNIITFIGVCSETSALVYEWLPNGNLEDRIVRTNDSPPLSWHNRTRIIGEICCALLFLHSNKPIALVHGDLRPCNILIDANYRSKLCNIVMYNLFLQPGSSPPNLTERLPYMEEYVTTGELTTLSDVYSLGVIILRLLTGMPPLNLLKKVAESLKDDSLHLLIDKFAGDWPYIEAKQLAVLGLSCAEMTREKRPDLLNKVWKEIQPLMRKPPAASWSCIQSASTESSTPAPFVCPISMDIMKDPQVASDGFTYEAEAIKCWFDRGYSRSPMTNLPLPNLNLIPNRILCSSIQEYLEQQQ >LPERR03G18770.1 pep chromosome:Lperr_V1.4:3:14889967:14894707:-1 gene:LPERR03G18770 transcript:LPERR03G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRSSLWIDSRRSKYRGFQVALAELETLLITHPSIADAVVDVGRKRAICSSQFAGLKQFVLLRASKIPESEFTTLSNGLKGHPKLLLKSNLKFLFRHDFYLHHVKQVQLPHHRIVPNFRSEKVHAKH >LPERR03G18780.1 pep chromosome:Lperr_V1.4:3:14892406:14899942:1 gene:LPERR03G18780 transcript:LPERR03G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVEVAP >LPERR03G18780.2 pep chromosome:Lperr_V1.4:3:14892316:14899942:1 gene:LPERR03G18780 transcript:LPERR03G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVEVAP >LPERR03G18780.3 pep chromosome:Lperr_V1.4:3:14892384:14893655:1 gene:LPERR03G18780 transcript:LPERR03G18780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFIKAYSAHLKRSGKIMGKSQVFAQSEASTKSKSTTMKK >LPERR03G18790.1 pep chromosome:Lperr_V1.4:3:14904818:14910110:1 gene:LPERR03G18790 transcript:LPERR03G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLLPPPPLARTAAGLPLPFNHVAVNFTLPASAGKPRLGPFACRCRATPPQWQLDFLGAEAEPEPEPDADLDDDDLPLPAQSNDWCVRARRAALRSIDARGLSPSLQRMVASPKKKKKPKKKKPTKTEKIVKEKAKPPRDADEDEYEEEINDDLETLLSAGGATDDLELRVAQFADGMFDEKRQRNREQFIHTLSGFSAAAPSNRGKEVSLNRSIVEARTADEVLALAADVVAAVAKGLSPSPLTPLNIATALHRIARNMEAVSMMQTHRLAFARNRDMSMLVGLAMVALPECSPQGVANISWALSKIGGDLLYLPEMDRIAQVAVTKVDDFNAQNVANVAGSFSSMRHSAPDLFSALARRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACQDALSLDCHLHGSSDREVSSMKSSTNAFALNFTRDQIGNIAWSYAVLGQMDRQFFSCIWRTLSQFEEQQISDQYRGDMMFVSQVYLANQSLKLEYQHLDMCLRGDLEEKLMKAGRSKRFNQKMTSSFQKEVGRVLCSTGHEWTREYTVDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTSFKRRYISAAGWNLVSLSHQEWEKLEGEFEQLEYLRRLLGFDAE >LPERR03G18790.2 pep chromosome:Lperr_V1.4:3:14904818:14907635:1 gene:LPERR03G18790 transcript:LPERR03G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLLPPPPLARTAAGLPLPFNHVAVNFTLPASAGKPRLGPFACRCRATPPQWQLDFLGAEAEPEPEPDADLDDDDLPLPAQSNDWCVRARRAALRSIDARGLSPSLQRMVASPKKKKKPKKKKPTKTEKIVKEKAKPPRDADEDEYEEEINDDLETLLSAGGATDDLELRVAQFADGMFDEKRQRNREQFIHTLSGFSAAAPSNRGKEVSLNRSIVEARTADEVLALAADVVAAVAKGLSPSPLTPLNIATALHRIARNMEAVSMMQTHRLAFARNRDMSMLVGLAMVALPECSPQGVANISWALSKIGGDLLYLPEMDRIAQVAVTKVDDFNAQNVANVAGSFSSMRHSAPDLFSALARRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACQDALSLDCHLHGSSDREVSSMKSSTNAFALNFTRDQIGNIAWSYAVLGQMDRQFFSCIWRTLSQFEEQQISDQYRGDMMFVSQVYLANQSLKLEYQHLDMCLRGDLEEKLMKAGRSKRFNQKMTSSFQKEVGRVLCSTGHEWTREYTVDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTSFKRRYISAAGWNLVSLSHQEWEKLEGEFEQLEYLRRLLGFDAE >LPERR03G18800.1 pep chromosome:Lperr_V1.4:3:14908982:14911481:-1 gene:LPERR03G18800 transcript:LPERR03G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVKSPPEEEKVMTKKKIIIDTDPGIGLVLLLPSLPPPSFFPFLVFFVTDDAPRACIADDAMAIFVALRSPELEVIGLTTIFGNVYTTLATRNALHLLETVGRTDIPVAEGSPVTIKKATKLRIASFVHGSDGLGNQNFPPPNGKAIDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDHSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVFSDTDRKKLEQSDSKYARYLCKILGLYYDYHMDAYSTKGVYLHDPTTVLAAVDPSLMTYTEGVVRVQTVGITKGLTIFDNTKKRYGEITEWTGKPTVKVAVTVDAPAVVELIMKRLTTDD >LPERR03G18810.1 pep chromosome:Lperr_V1.4:3:14917972:14924421:1 gene:LPERR03G18810 transcript:LPERR03G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFQGYNFLEPSTAMIFWLREQFREFIFSWYSKSLDPSEFWIPIAACFTVGLVGFFTILYFFSLWRRKISLSWMKMIARSKRKNFERNHKIPTAEHVWSVESLLRAKGLKCCVCLESMSPAQPLGKMITSETMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSEHVVHQWTVLWTDIADQSEDAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKLKSKPGGILSSITHGANEFASTVRGHLRNRSKKQKQHNRVPSDCNVGDSNDDSSCDTTSNANQRAKDLKASGDNVQRSSENEHDSSESDCKEVVPEPRRFQHDDAEGAKLKYILDDLPSDARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPETGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAVLPAGTGNDLSRILSWGGGLGAVEKQGGLYTVLHDIEHAAVTILDRWKVEIEDKQGKNALMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGSEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFEPQSIHDKMVEVVSISGTWHLGTLQAFMLKRTIEESLGHAAAIVTDVLENAESTRLITASQKRALLQEMALRLS >LPERR03G18820.1 pep chromosome:Lperr_V1.4:3:14930340:14932061:-1 gene:LPERR03G18820 transcript:LPERR03G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHNKNITQFDPLSYVNLSGLDADSQTASFTEMSSRDVRSNSHVTDVGKENMFNNPEESKIASAVLKDGSPISPENFSFSSLPGSSCHLSSLDHGKRSLSDVRPFQIACKRPKQAEDISWYPYGEDTFMSPLKTSVSDLAADTREPDHIYHNSGISACNTSSGFPYSNLEQLIGEENLYLPDWVTSFPGYLEDFWPATEPDQVEDIASPIHENVPRKAVAIGPDHQADIPEWRPRVSMTVPGGSGSCAGMSYSSVSTSGSTPRDEDSESDKWIKHCVIPMPSSSSVAWVGDCGIDCECSDDGSIRCVRRHVMESRENLRRKWGEDKFRELGLCEMGEDIAERWTDEEESLFHRVVYSSPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFILRKRAQQNRSEPLHVDSDDDEAPDEPLVTEEDEDSAVESPAHGYYTNNPMTPESDEESFPEKVAVGPSQKPRESNTDNPVGHADVQDDSCTSFEDQHNGAHGSNGVKCAEFHMMLPNAALDHYSDHGACI >LPERR03G18830.1 pep chromosome:Lperr_V1.4:3:14942194:14943636:-1 gene:LPERR03G18830 transcript:LPERR03G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDAEVVVVDISTARIDAWNSDTLPIYEPGLDDVVKQCRGRNLFFSNDLEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILAHNSNGINFQILSNPEFLAEGTAIKDLFSPDRVLIGGRETPEGRKAVQALKDVYAHWVPEEKILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVASYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAMLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMSKFDWDHPVHLQPMSPTAIKQVSVTWDAYEAAKDAHGICILTEWDEFKTLDYTRIYESMQKPAFVFDGRNIVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >LPERR03G18840.1 pep chromosome:Lperr_V1.4:3:14948842:14949135:1 gene:LPERR03G18840 transcript:LPERR03G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGDGGRRRGGGETNQRRLVAADGRRGRWREQQMTPRAGMWRKEQEQGTNARAAADDCKIRDVADESESRDTEQGMTARARAAASAIYGAIARLF >LPERR03G18850.1 pep chromosome:Lperr_V1.4:3:14951475:14952671:-1 gene:LPERR03G18850 transcript:LPERR03G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVIVLSSNSGATRHSCKVCGKGFPCGRSLGGHMRSHSLTEVETAAAAAAVADEGDAKIEHRWIHHGGGGGGGGGGGGGYGLRENPKKTRRMSVSDDVDDGDGDLLSPPSFRQPVLGRVRSSHLFDADVDAVDADDDDGDDLDRIETAAAVVMAAPRRRPRSVRVPAAASDDEPEDVARCLMMLSQDTGRPWNNSDDNSHYHHHSDDDNFELSLSYASHTEIKKKKKTSSSSKRRKKKTKFTAPTTAVIGEKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCSLVVNLAAADQPEQSIETNATSFSSASTSASLNNNVTMLRPPVKKVTKFVCPVCRKEFGSGQALGGHKRSHTIAGELGDREEGGGIVKQEHCLLTKRFLDLNLPAPGGNDG >LPERR03G18860.1 pep chromosome:Lperr_V1.4:3:14959014:14970306:-1 gene:LPERR03G18860 transcript:LPERR03G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASFNLLLVLIHVFAFLSLLKTAGPDVDVFITGDVTIITTRSEEEHADGAPSPSTTAAAAAPLAIFGFVVFPPGSTLPRPIRGGFPVVVRELDQTATTTKGDHGVVILGSSGSSSVPWWEWDNPAALCRLYAEAYCGSFCSKKKKKKRHVLLLDDDDDDNDDDEQQLQRCRLRCVAVADFPCRTTTMGRGVDTTYYSGTAQYFSTNEELDLPRGSIASVGSIDLSTVERSTGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLHYQRKIAVKKLKPLALSTKGLNDFTREVKLMSKLRHGNLSRLLAYCIESDERILVYEYMPKKSLDFYIFGTPKHRASLNWSKRLEIIRGMAQGVNYLHDGSGEIVIHRDLKPSNVLLDDELTPKISDFGTTKPLVAADETGTQTITANLYPNETKASIGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNSLRPSLLSKAWKLWDEHRIMDLMDSSMARRCSEPELQSRVRRCIQIGLLCVQESPGDRPNMSQVLMMLTSDSSWVNKPKPPAVCDDNYH >LPERR03G18860.2 pep chromosome:Lperr_V1.4:3:14959014:14970306:-1 gene:LPERR03G18860 transcript:LPERR03G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASFNLLLVLIHVFAFLSLLKTAGPDVDVFITGDVTIITTRSEEEHADGAPSPSTTAAAAAPLAIFGFVVFPPGSTLPRPIRGGFPVVVRELDQTATTTKGDHGVVILGSSGSSSVPWWEWDNPAALCRLYAEAYCGSFCSKKKKKKRHVLLLDDDDDDNDDDEQQLQRCRLRCVAVADFPCRTTTMGRGVDTTYYSGTAQYFSTNEELDLPRGSIASVGSIDLSTVERSTGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLHYQRKIAVKKLKPLALSTKGLNDFTREVKLMSKLRHGNLSRLLAYCIESDERILVYEYMPKKSLDFYIFGTPKHRASLNWSKRLEIIRGMAQGVNYLHDGSGEIVIHRDLKPSNVLLDDELTPKISDFGTTKPLVAADETGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNSLRPSLLSKAWKLWDEHRIMDLMDSSMARRCSEPELQSRVRRCIQIGLLCVQESPGDRPNMSQVLMMLTSDSSWVNKPKPPAVCDDNYH >LPERR03G18860.3 pep chromosome:Lperr_V1.4:3:14959016:14970306:-1 gene:LPERR03G18860 transcript:LPERR03G18860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASFNLLLVLIHVFAFLSLLKTAGPDVDVFITGDVTIITTRSEEEHADGAPSPSTTAAAAAPLAIFGFVVFPPGSTLPRPIRGGFPVVVRELDQTATTTKGDHGVVILGSSGSSSVPWWEWDNPAALCRLYAEAYCGSFCSKKKKKKRHVLLLDDDDDDNDDDEQQLQRCRLRCVAVADFPCRTTTMGRGVDTTYYSGTAQYFSTNEELDLPRGSIASVGSIDLSTVERSTGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLHYQRKIAVKKLKPLALSTKGLNDFTREVKLMSKLRHGNLSRLLAYCIESDERILVYEYMPKKSLDFYIFGTPKHRASLNWSKRLEIIRGMAQGVNYLHDGSGEIVIHRDLKPSNVLLDDELTPKISDFGTTKPLVAADETGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNSLRPSLLSKAWKLWDEHRIMDLMDSSMARRCSEPELQSRVRRCIQIGLLCVQESPGDRPNMSQVLMMLTSDSSWVNKPKPPAVCDDNYH >LPERR03G18880.1 pep chromosome:Lperr_V1.4:3:14982825:14988069:1 gene:LPERR03G18880 transcript:LPERR03G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRPPTSDKQSNKIAPKPKDFRCGCSIM >LPERR03G18880.2 pep chromosome:Lperr_V1.4:3:14983468:14988069:1 gene:LPERR03G18880 transcript:LPERR03G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRPPTSDKQSNKIAPKPKDFRCGCSIM >LPERR03G18890.1 pep chromosome:Lperr_V1.4:3:14990341:14999555:1 gene:LPERR03G18890 transcript:LPERR03G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPLAAGLRLPSSASARAAPVAAAARTPVVAAAWDGRRRGGASSARCRALRLSRAGWGAPVVVGRRPRTLTVRCAASNGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRAREFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQTLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRLEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQYILNMDEEGGPTDSAYENIKKRVMDAARSVFRPEFMNRVDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKTNEESAPAAEDEKFLPVV >LPERR03G18900.1 pep chromosome:Lperr_V1.4:3:14997713:15001592:-1 gene:LPERR03G18900 transcript:LPERR03G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCMCLKGHVSEDALYLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCQARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGGNVHVPGHFVLRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFASSKVKKMLIEKRAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKD >LPERR03G18910.1 pep chromosome:Lperr_V1.4:3:15001610:15002298:-1 gene:LPERR03G18910 transcript:LPERR03G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRIPPSHIAKFAIRQSHHTLASSSSSSLSAAVILNLSSRHPTPRLRDSGLLLLLRRLAGAGSPPLPSVWFLSPAPEKPISPSPSTPSRLLARRLPACLPARRAAPISVPAIGGREPAG >LPERR03G18920.1 pep chromosome:Lperr_V1.4:3:15005033:15005836:-1 gene:LPERR03G18920 transcript:LPERR03G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAWGSGLRRKSPPNGTLSNADCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFISCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSCSTIHSEQQTTWLSSGSRGSGNEGFSNPVRRPLAPPVETSSPSVNHANHGVPLLNAERVQASLRKLLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >LPERR03G18930.1 pep chromosome:Lperr_V1.4:3:15010515:15012552:1 gene:LPERR03G18930 transcript:LPERR03G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPASDSHTRHRAQSPPRPPHSHNCLSFLPRADLPSIRRSLLHALPQPRHPSCRFAICYHADPPAILIYVRRFTVDPPLPPRSAAAEASSLPISVLRSNAVVAFSSPIRRRLAISLIGRRQGILFFADPLPPRILLAEPPPSTPRWSRPLQNHLHRIKSNHTILRSLTPPTVDLPTPHAADRGWNVQKSTSPAVLHASWTLIL >LPERR03G18940.1 pep chromosome:Lperr_V1.4:3:15023796:15030974:1 gene:LPERR03G18940 transcript:LPERR03G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPAAAARPQRSPDEVEDIIMRKILLVSLTPPPSSAPNPAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDPPPGSPSPFAYLVSAFRRAADEARKISSMRDAAVRARLVESIAHLRGLILSYARIVAGNPDTFPSPPNAPHPAAELLVFLLAEAADSLDPTPAPGAPPPPGFLDEFFGAADYETVEPAMGELYERLRQTVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPKWIPKTQILVIGEGRIMEISSVLGAFFHVSAIPDREFASKPDVGQHCFSEASSRRPADLMSSFTTIKSVMNSLYDGLKDILLVLLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDQMESKKDKIDVKYLFCNDRRIDFKNLTAINASSEEVSSWIESRGYEHAEDSASVEARFVESQEATSSGNNSTISLPSKGGSLMNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLESNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGSFLQRALSFYRLMIVWSVDLVGGFKMPLPSQCPKEFACVPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTTYIKNPYLRAKMVEVLNCWTPQRSGLNSTASLFEGHQLCLNYLVKNLLNLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVEWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQDFVQLAGKAKAAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTQLKLRIEEFIRSQRSKKRSAADSEMGEPDGAVDMAD >LPERR03G18950.1 pep chromosome:Lperr_V1.4:3:15035589:15044041:-1 gene:LPERR03G18950 transcript:LPERR03G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVIAQGYRVVDEKRHAVDYRPSVWGDYFITNPTLPHNYQKSLEWMKGRHIELINKTKEAFVGSIDPFEKMKLIDALQRLGLSYHFKEEIGKSLESLSSMKLVGDDFHAISLRFRLLRQERHYISCDVFEEFTNREGNLKGILCCDTRALLALYEAAHLGTPNEEVLKKAQVQTTYLLKTKVGSIEKPLSNKVSHALETPSFRRMKWLEARLYIPLYQEDKEECNNMILELAKINFYLLQRLHREEVKEICEWYHGLESPQQLFYARHRPAEAYFWALGVYYEPQYAKARKLLAKFIATITPYDDTFDNYGIWDELQSFADVMQRWDEKDVEKLDKCYKDYARFMFGTMNEIENALPKETSRENVNVIRDITTQVCKGYLIEIGWRDRNYIPPLKEHLQITLVTCFYWAISCAAFVVFQDGVTKEIMKWMSEFPQIVKDSCIVSRLMDDIVAHAFETERNNVATAVTCYMKEYNTTKEEASEALWNDVENAWKDMNEEYLKLTSIPSSLLMLVINLARMMETMYKKIDGYTDSAILKEWISLLLVQPIPF >LPERR03G18960.1 pep chromosome:Lperr_V1.4:3:15065427:15070605:-1 gene:LPERR03G18960 transcript:LPERR03G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFQTIKDAGAAANRNSAFWSTDNKGTTAEDWSTTATTGLETAVLGTTGSDGGWPGTRGGADREGGRGDGSYGDNYRWRCSGPLYPRASDGGDPSTTGHTRAQSGGGNSSVTGSV >LPERR03G18970.1 pep chromosome:Lperr_V1.4:3:15076039:15076812:1 gene:LPERR03G18970 transcript:LPERR03G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSSPLVLYCLAVLAACAAADGGDGWSPATATFYGGSDGSGTMGGACGYGNLYDQGYGVDNAALSQALFNDGASCGQCYLIICDTGRAPRWCKPGAAVTVTATNLCPPNWALPSDGGGWCNPPRQHFDMSQTAWEQIGVYQAGIVPVLYQRVRCWREGGVRFTVSGFNYFELVLITNVAGSGSVASAWIKGTSTGWIQMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLQFLDVAPAWWQFGQTFTTYQQFDS >LPERR03G18980.1 pep chromosome:Lperr_V1.4:3:15079076:15082688:-1 gene:LPERR03G18980 transcript:LPERR03G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPIGNLCLVALATLALAWALKAALRGKKTGAKHPPGPWNLPFIGSLHHLVGAQPHLALLRLSRRHGPLMQLKLGEVSTLVVSTPEAAMEVLKAQDPLFATQPRSVTIDIVSSGGKGIILAPYGEHWRQVRKICVVELLSARQVKRLDSIRQQEVTRLVESIASTSTSAIINMSQAMESLANDIIARAVFGGRCRQQEEYLRVLKEVTTMVAGFNLADFFPSSRLVRCLTSTERSLKRSHGQMVRIVDSIVEERREEKARVSPGAAAKDDDDLLDVLLRLQKEDTLSIPLTTEIIGALITDLFGAATDTTSYALEWAMVELIKNPRAMERAKHEVRNTLGHGRSTLTGANIGELPYLRMVIKETLRLHPASALILRANKERCHAMGYDIPPGTLVMINAFAIARDPQQWDDSCEFKPERFESKGADIRAATAHLGYIPFGAGRRQCPGALFATTTMELALANLLYHFDWALPNGQSLESLDLTEVFGVTMHRRSNLYLHATLQL >LPERR03G18990.1 pep chromosome:Lperr_V1.4:3:15087066:15095042:1 gene:LPERR03G18990 transcript:LPERR03G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPALFPALKGKPTTRESNPCAAQKRIWIGPERQIYRPNTLQTLGKSLPSSYRWISTTRQRRIPPPETALRSSSRSPAAPPPPPRGRRRRHLLLLSSPPPPSPEQRCFLSSWSPAATPPPRGRRRRLSIAVIAPPPPSQIICRGWCTSRLSTRRRRISASGRSSSRPLISAATRSLARLDGLLRQGWQKVRLGSFC >LPERR03G18990.2 pep chromosome:Lperr_V1.4:3:15087066:15089871:1 gene:LPERR03G18990 transcript:LPERR03G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPALFPALKGKPTTRESNPCAAQKRIWIGPERQIYRPNTLQTLGKSLPSSYRWISTTRQRRIPPPETALRSSSRSPAAPPPPPRGRRRRHLLLLSSPPPPSPEQRCFLSSWSPAATPPPRGRRRRLSIAVIAPPPPSQIICRGWCTSRLSTRRRRISASGRSSSRPLISAATRSLARLDGLLRQGWQKVRLGSFC >LPERR03G18990.3 pep chromosome:Lperr_V1.4:3:15087066:15093418:1 gene:LPERR03G18990 transcript:LPERR03G18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPALFPALKGKPTTRESNPCAAQKRIWIGPERQIYRPNTLQTLGKSLPSSYRWISTTRQRRIPPPETALRSSSRSPAAPPPPPRGRRRRHLLLLSSPPPPSPEQRCFLSSWSPAATPPPRGRRRRLSIAVIAPPPPSQIICRGWCTSRLSTRRRRISASGRSSSRPLISAATRSLARLDGLLRQGWQKVRLGSFC >LPERR03G18990.4 pep chromosome:Lperr_V1.4:3:15087066:15092753:1 gene:LPERR03G18990 transcript:LPERR03G18990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPALFPALKGKPTTRESNPCAAQKRIWIGPERQIYRPNTLQTLGKSLPSSYRWISTTRQRRIPPPETALRSSSRSPAAPPPPPRGRRRRHLLLLSSPPPPSPEQRCFLSSWSPAATPPPRGRRRRLSIAVIAPPPPSQIICRGWCTSRLSTRRRRISASGRSSSRPLISAATRSLARLDGLLRQVNQAIQGSE >LPERR03G19000.1 pep chromosome:Lperr_V1.4:3:15098912:15110699:1 gene:LPERR03G19000 transcript:LPERR03G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIIGSFCLVALTTLAVALAIKGALRGKETRAKLPPGPWNLPIIGSLHHLVGAPPHRALLRLARRHGPLMLVRLGEVPTVVVSSPEAAMEVLKTRDPAFASHPRSVTLDIASSGGKGIILAPYGEHWRQVRKICVVELLSARQVQRLESIRQDEVRRLVESIASTSTSINLTQALEALTNDIIARAVFGGRCRQQGEYLRLLKEVTTLVAGFNLVDLFPSSRLVRWLTTTERRLRKSHDQMGRIVDSIIEERRLEKEKASLSRSAGAKDEDDFLDVLLRLHKDDTLTVPLTTEIIGAIISDLFGAATDTTASTLEWAMVELIKNPRAMMRAKQEVRNTFGHTRSTLTSADSSELPYLRMVIKETLRLHPAAALILRANEESCHLMGYDIPQERFENNGADIRATIAHLGYIPFGVGRRQCPGALFATTTMKLTLVNLLYHFDWTLPDGEIPETLDMSEDIFGAASDTTASVLEWAMVELMRNPTAMERAKQEIRNTLGHRKTAVTSTDVGEVQYLRMVIKETLRLHPASALILRANKDSCSIMGYDIPQEKLFYYGL >LPERR03G19000.2 pep chromosome:Lperr_V1.4:3:15098912:15110699:1 gene:LPERR03G19000 transcript:LPERR03G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIIGSFCLVALTTLAVALAIKGALRGKETRAKLPPGPWNLPIIGSLHHLVGAPPHRALLRLARRHGPLMLVRLGEVPTVVVSSPEAAMEVLKTRDPAFASHPRSVTLDIASSGGKGIILAPYGEHWRQVRKICVVELLSARQVQRLESIRQDEVRRLVESIASTSTSINLTQALEALTNDIIARAVFGGRCRQQGEYLRLLKEVTTLVAGFNLVDLFPSSRLVRWLTTTERRLRKSHDQMGRIVDSIIEERRLEKEKASLSRSAGAKDEDDFLDVLLRLHKDDTLTVPLTTEIIGAIISDLFGAATDTTASTLEWAMVELIKNPRAMMRAKQEVRNTFGHTRSTLTSADSSELPYLRMVIKETLRLHPAAALILRANEESCHLMGYDIPQGTPVFINTFAVARDPQHWDDADEFKPERFENNGADIRATIAHLGYIPFGVGRRQCPGALFATTTMKLTLVNLLYHFDWTLPDGEIPETLDMSEDIFGAASDTTASVLEWAMVELMRNPTAMERAKQEIRNTLGHRKTAVTSTDVGEVQYLRMVIKETLRLHPASALILRANKDSCSIMGYDIPQEKLFYYGL >LPERR03G19010.1 pep chromosome:Lperr_V1.4:3:15110794:15119870:1 gene:LPERR03G19010 transcript:LPERR03G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRISTGDQGFIPFGVGCRQCPGELFVTTTFELTLANLLYHFDWTLTDSVSSESLDMGEVLGMTLHQRTTSRELKLITMDELSVGSLCFIAVATLGLSLALKRALLSSKTQALKLPPGPWNLPVIGSIHHLLGSELPHRALLRLAHRHGPLMLLRLGEVPTVVVSSPEAAMEVMKGLDPAFAERARGTTIDIVSFGGKGIVFAPYGEHWRQVRKICVVDLLSARQVRRLETIRQEEVTRLVDSIAVTTSTSSPIDMTQTLASLTNDVIARAVFGGRCRQQEEYLRALTAVALTAGAFSLVDLFPSSRLVRWMSFGERQLRKHHAEMVRIVNSIIQERREEKASPYPAGAAAKDDDDLLDVLLRLQKEDSTFRLTAEIIGALISDIFGAATDTTASTLEWALAELIRHPRAMEKVKEELRKTLGHGRATLTGSDIGELHYLKMVIKETLRLHPAFPLIVRASQESCQVMGYDLPQGINIFINAFAVARDPRYWKDAEEFNPNRFEKVDKDMNSREFNQKGFEKIGEDINSTTAHLGFIPFGAGRRQCPGALFATTTIELTLANLLYHFDWTLHDGESPDSLDMGEVLGISMHRRSNLRLQAALSVSSGLSSHSN >LPERR03G19020.1 pep chromosome:Lperr_V1.4:3:15143056:15150252:1 gene:LPERR03G19020 transcript:LPERR03G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGPAPFAVPHGLSPTALLGSPGLLSPTGNFEMSHQQALAQVTAQAVHSPYSMINQSDYSLPFSSTTTSTLASQHVSSSANVASPQEIPTLPSHTGNSNIESTEVSHGLQTSALTVDKPDDDGYNWRKYGQKAVKGGEYPRSYYKCTHSSCPVKKKVERSSDGQITQIIYRGQHNHQRPPKRRSKDAGLLNEADVSPEKEDASTRSEQGSQDHSGKFEASNDGGPSASRGRDGGDQTSGSSDSDDQGEEEMKVKGGDTGDRNGNKRHVPAPAQRIIVQTNSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPTARNSNHSAANAKVSSSSNLSNRRRQSSLRDGLRNASSASSLQLKEESE >LPERR03G19030.1 pep chromosome:Lperr_V1.4:3:15163889:15166928:-1 gene:LPERR03G19030 transcript:LPERR03G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKAVIEQRPPARHHTRKRRRARNSSRSIMPEAPKSRLNDSRGHMTDFSMSEIVHFETASNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDWPDENEGQNKHESASRFADALSCIGDLCRGVPMTLSVEQYLKRDDGNDPDRRSQSMAMCASRCLPSSFSFKGLKEKNDADEKSKECNTPSRIRKLLHSFSFNDKMQQLTSGSPAKKKSTVIRLSYKRTSCDDYEDDGELGICNACLPLVAPSHPANVLHLNVGESKKYVVRPKVGTTILCGGEKPTPGSWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACPKKVQHIAQHIELPQVKPHDKLPSLLIVNIQMPTYPAAMFLGDSNGEGLSLVLYFKISECFDKEVSEHFKESIMQRFIENESEKVKGFASESTVAYRDRLKIMAGLVNPDDLQLSSTERKLVQAYNEKPVLSRPQHNFYEGENYFEIDLDIHRFSYIARKGLESFRERLKNGILDLGLTVQAQKQDELPEQVLCCVRLNKIDFVNHGQVPTIVTLDDK >LPERR03G19040.1 pep chromosome:Lperr_V1.4:3:15166093:15168722:1 gene:LPERR03G19040 transcript:LPERR03G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETCKMLPRKQTASRMARNCKRGGCLGGSFELQRRFFLGLHNEEDLPVEKKYMVNQVCLVLWVGVCFPLHLMRIWMLCSPGGLLVYTCIRWRSCRVNERQISEKHPCDNQQ >LPERR03G19050.1 pep chromosome:Lperr_V1.4:3:15172299:15175522:1 gene:LPERR03G19050 transcript:LPERR03G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSCISERVYVFNSCLSTDQLDADARRDHLTSTVIQLKSCHPHDASIMLLHFFAAAGIDGNGKASVDDALLRRHGVSSVAHYPCHAHAPCLPLATTRALLASCVDWLVTGAQRNVLLMHCDARAWPALALAIASLLVHTEDQPAAAPTTTLAAVYGRAPASLLAFGSPLDPHPSHLRYLQYVTRLRGSSSSIKGMMTMMMTKGNEPSLVLDCIILRSVPDFDGYGGCRPVVRVHGRHGGDDDSPEILFTTSRIKQRFRQYTQAKNAVIKANIECLVRGDVVVECAHVGENTNDDEAAMFRVMFNTCFVESNMMVLTLDDIDLPWNCTRERFQEDFKIEVFFSDGDASDDCHTAEMLGDCHDENAEEFYDFDDISIDSGFNSTYHEQHDEDGQIKSSDTSSSEEKGINGSGNEVRFIPEADITRESKDSSEEKGNLQDERTDAVQSTLLTSKGPNRDTATESQEKRIERAEEGVIHQVHEACIQEGATSRVRTEVSHNNNMAGMGALNPKTKRRTWQNLSKQSAIPIIKKKVKKPDIESNVKKPSKGKMLLKQTLQKGILIATSSCKNSTVQANTGPIPRMQHVSAIKSKQATAQATKSPTLSKTNLESSSHEATEDTAVQNDGAIDNTTTEKKPSILSVEMPPSSPSPPRHGLNQEGSKESLIRSMESATKSPAPASGNSMTGGEAKQEATTMLVEATHPKVVLKRSLSSPAISRIPTARSSSSPKRSNMKPNHPSNSVLLKVASSSSSPRARASPLPPVLLKVASISFATKKVTLGQLQSQQSSISHSARDTSGHINANKDAASPCIFGRVNLFSIVHEQALSIADSIQEFKEPTHWHERQQQKLVTSIAKIGKNTTITSRDMYSSYHSLQLQVWDQINWISTSTANKIELVIFLNMSAFVILLNLYVPQFPGNAHELVEHSSVILKHLLDVLPLLNL >LPERR03G19060.1 pep chromosome:Lperr_V1.4:3:15176404:15179547:-1 gene:LPERR03G19060 transcript:LPERR03G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGSGVPRPRVRIRGLGRRVWGPKGAAQFLAHFLPGGAEEVNRPTAAAPLRYSSRAQPALPQPPVLLDIGRRITPSPYSQRRRSRPPAPLHLEPAPLHAKDILQTPRRSCVQEGPEDEGCSPISDQGFHSQYFGASGWQKCTLPPVPHFRARRNVRGYAPLPTDDRDENDLADDRDLRFSYTPKSSRKIPWKSIALALFLLLLGSHMEGDNSQAYGLLFLGVLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >LPERR03G19060.2 pep chromosome:Lperr_V1.4:3:15176404:15179547:-1 gene:LPERR03G19060 transcript:LPERR03G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGSGVPRPRVRIRGLGRRVWGPKGAAQFLAHFLPGGAEEVNRPTAAAPLRYSSRAQPALPQPPVLLDIGRRITPSPYSQRRRSRPPAPLHLEPAPLHAKDILQTPRRSCVQEARRNVRGYAPLPTDDRDENDLADDRDLRFSYTPKSSRKIPWKSIALALFLLLLGSHMEGDNSQAYGLLFLGVLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >LPERR03G19070.1 pep chromosome:Lperr_V1.4:3:15183576:15185323:1 gene:LPERR03G19070 transcript:LPERR03G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMARPSPPALSLALVAASSLSLLLVQGSASMSGGGRVDVGDMVMMDRFHAWRGAYNRSYPSAEEALRRFEVYRRNAEYIDAANLRGGLTYELAENEFADLTEEEFLASYTGYYADDVGAHDSDVITTSAGDVDDLSASCCGDVPASVDWRAQGAVVPPKSQSSTCSSCWAFVTAATIESLNKIKTGRLVSLSEQQLVDCDSYDGGCNMGSYGRAYRWVIENGGLTTEADYPYTARRGACNRAKSAHHAAKITGFGKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKSGVYSGPCGTRLAHAVTVVGYGTDPSSGLKYWTIKNSWGQSWGERGYIRMRRNVGGPGMCGLTLDVAYPTLQ >LPERR03G19080.1 pep chromosome:Lperr_V1.4:3:15218176:15222102:-1 gene:LPERR03G19080 transcript:LPERR03G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEREEVVVAAKNEGIAGGGGGGGGNGGGGGGGNGRRRWRGGGYRRQHPIIQAYPALLPLPINGHVSLPLPPPLLLYLQPPLPPPPPQRGSPMWRQYRPWKKPPPHAITAALLPLPRDAKALQQKMCFTNERKTPEMEGNHVNTHEKSAAAPQESAIARRPDMGGVEGAEIPLSANHFLVQFDSRQTIFHYNVDISPLPSKETARMIKKKLVEENSSVFSGAQPAFDGRKNLYSPVRFQEDRIEFFVSLPVASARCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLSGEDLNKYLNEDKDGIPLPQDYLHALDVILREGAMESSILVGRSLYARSMGGAREIGGGVVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKKTRVLAEEERREVEKALKNIRVFVCHRETNQRYHVHSLTKETTENLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGIVDGAFHARSNAYADQFNLQVSKDMTKLSGRVLLPPKLKLGSGGRIKDITPDRFDRQWSLLDSHVAEGSKIKNWALISFGGTSEQHFCIPKFVNQLSNRCLQLGIFLNKKTVISPLFERIQLLNNVGILEGKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSLPCQIPRIFSEEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEHLDVMTGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGNKGTSRPTHYHVLWDENNFQSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVTTLQTVPLPKLRDNMKRLMFYC >LPERR03G19090.1 pep chromosome:Lperr_V1.4:3:15230782:15238846:-1 gene:LPERR03G19090 transcript:LPERR03G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGASSSSFSAALVAEDFPLVEREEEMGMAPDKYREVFDLAQRGARAFRDGRFDEAISFYSKAQNLRSGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIDSSSARSYVLKAYALILLERYQEAREALLAGLQVDPLSVTLSNIIQKHFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPEGSQERKELMERANAASELARTYIRRAREITRPVRRTRHLDLESMPGPQDPEKFSFWLVNLINLRPSDKLDLLRLRDTRERISRSLRLLGDAEQICRVQ >LPERR03G19090.2 pep chromosome:Lperr_V1.4:3:15230782:15238846:-1 gene:LPERR03G19090 transcript:LPERR03G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGASSSSFSAALVAEDFPLVEREEEMGMAPDKYREVFDLAQRGARAFRDGRFDEAISFYSKAQNLRSGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIDSSSARSYVLKAYALILLERYQEAREALLAGLQVDPLSVTLSNIIQKHFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILDYRVAEIEWLQDISLPEGSQERKELMERANAASELARTYIRRAREITRPVRRTRHLDLESMPGPQDPEKFSFWLVNLINLRPSDKLDLLRLRDTRERISRSLRLLGDAEQICRVQ >LPERR03G19100.1 pep chromosome:Lperr_V1.4:3:15251488:15253212:-1 gene:LPERR03G19100 transcript:LPERR03G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVWPWLTGLPPPTGPTGEPSPPPNPVVTLAASPDGATSIVLQADCAASTVEDYDGESGERETTTAQFSFSLVLSGTNGAARALWTSERFAAASNVAMRRQLLARLLDEVIALSPSVPCLSGGAAESKLDEEVVEMADDTDGSAAAFFSLALLLRLFWLCAREAPADTGFLFFQALGADIEHALSGCRPALGLFLRSVGPDVEERFMRSLGYMLAKLCLLREMQAESAQPAIPRRAALPVACLSYATEVHGLWVLKGYAPVLAMPRVTGAGAATTPITALPHEVPEEPALRYGLVHQQLEVVAQLEYAVSAREYGRFMTVGVRVDNVRVRVARLGFRKDGHGEDVDNYDYDDDEVMDGERHFPSRLRLWVGPRFGASYATGPSLGRSTGNPERDVEMTRTVKGAFAGAATKLSNGGGVTPRVKAKMRSSARTRNRSWRWEQEAEGSAGVFEGVLCDPVTGTEISAWRPGNNGGGVAGVAADPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEMTWRVGREAEGRTVPWRVGLKAWVSYLPNEVRSRHFETRCVEWAHEVELPLIVAVNGDDQ >LPERR03G19110.1 pep chromosome:Lperr_V1.4:3:15324726:15327533:1 gene:LPERR03G19110 transcript:LPERR03G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVPAATALRAPAAAVAAAGSTEKDMEKLMFIEEMTSSVDAVQERVLAEILTRNAGTEYLARCGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYQDYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRVGAVFASGLLRAIRFLQLHWEQLADDIEAGSLTQRVTDPSVREAVGGILRRADAELAAFVRAECSKGDWAGIITRIWPNTKYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCHPSEVSYTIMPNMGYFEFIPVDSASGVASGDLVDLARVEAGREYELVITTYAGLYRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGAAVAEYTSHACTKRIPGHYVIYWELLSKGPEAMPSASEDDVLERCCLEMEEALNAVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPAPPHWAPARRSD >LPERR03G19120.1 pep chromosome:Lperr_V1.4:3:15341644:15347389:-1 gene:LPERR03G19120 transcript:LPERR03G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHSMGDWCSGPHFKNRRGGKRFRRTMGLLTPRQEKWVCDYGFESFLAVSDFSVKPGLADWIMQKINPDLFEYRRGNRVIVFDKILTRAILGLWDGDLPVNPSGSSEEAKELRAFYKPYFSNDRLGTDSCETLLRTLNDEEVNEYDWSSHIVRRLMFEIKKYQSFTLEQRQTDFQIGECIPLLLIVYMDHLDLPTTGAEVHIVKYDMPRICHVTNDDFKYVEFADRRKLSTGFLCYGNRPFRPRNEVPYYTVQANVGHAVQRGDGVQGYAAKGGAGGDSSQGGAGVGAQDSASFDVWVRMPASSSQGSQVPASVQAIIVKHSSMWNDKFVSAMEAFQKSMIKMHSKMTADMLSEVTMAMGTRNKAGSTDDVVLNQASTDQTDKTAVPDVPGTSKQQSSGGIDDGAEILADLKHLHIVGEDVEVRGTGYEVRDSSGPSTHELKNRKKRAHKDVDDDDVAEVKKMRINTEVEEVYKRIMKVNITRRRAKKGKSSDE >LPERR03G19130.1 pep chromosome:Lperr_V1.4:3:15351668:15362289:-1 gene:LPERR03G19130 transcript:LPERR03G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRALLVGLARARGSFVDIGRCASSSRPSYLSSLGGHYKVAVETRWFSSTAGLPPHLVVGMPALSPTMNQGNIAKWIKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSTASKEQKVEADATKEGSIVTRISPAAKLLIKEHHLDQSVLKASGPRGTLLKGDVLAALKSGASSSSTKEKNVPATLSSQPTQAQPVTTSQKTDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNEKDQAQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSESGILAVGRGNKVVEPVLDGDGTESATVVTKMSLTLSADHRVFDGQVGGKFFTELSQNFSDIRRLLL >LPERR03G19140.1 pep chromosome:Lperr_V1.4:3:15378622:15381134:1 gene:LPERR03G19140 transcript:LPERR03G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPIPFPTYHLASFALAPWLRSHSPPNGFVSTSSSSLFLSFLFFSPLLPTPLRLHPSQTPHFSSSSQYASLLSGLRLPHLLLHHAGRSLLLALAAIHFGIEAMEKERKQGFFAALKGEVVRGLSPARSRGKTPAPRGASPARMLIPRSRKTPPPPPPPEQIGPEQLLVPRSGSLRPGGGEVLAPLIEGPDMVDGDAAVREESGRRDVFGQWVRGHLSRTPSTAGSGGGGGGGSFRRSDLRLLLGVMGAPLAPVPSSAAEALPLLSVKGTPIESSSAQYILQQYVAASGGAKLLRSARNAYAMGKVRMVASEFETATRVVKNRGGNAGAAAASAVEQGGFVLWRMAPDMWYVELAVGGSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTAGMFAEARCVGEKKVKGEDCFILKLSADAETLRQRSEGPAEIIRHVLFGYFSQRTGLLVHVEDSHLTRIQPHAGGDAVYWETTISSFLDDYRPVEGGVMIAHAGRSAVTLFRFGEMAMSHTKTRMEEAWTIDEAAFNVPGLSADCFIPPADIRCPVAGEACELPPRAKAGAVHPARVAAVHHPRVRSNAAGDGDKIVWRVQV >LPERR03G19150.1 pep chromosome:Lperr_V1.4:3:15404168:15407680:1 gene:LPERR03G19150 transcript:LPERR03G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAFVIAAVLCLLVSHSLAQSPAAAPAKAPPKSSKATPAPAATPTATPSPPADESTPAAAPTTTPAAPAPKKSSPAPAAAPTKPTTPTPAKAPATAPPKPKSKAPAPAPKSSKATAPAAAPTKATPPTVEAPAAAPPAPVAEVPATAPAPETKPTEAPAPAPAKKKKPSSSKSKKKKKSASAPAPAAEAPVSKSKTKTKTAEAPTTDSADAPGPSGDSVAADTAGAAGRTTERMAGVIVSAIAVALGAVALLA >LPERR03G19160.1 pep chromosome:Lperr_V1.4:3:15413597:15416174:-1 gene:LPERR03G19160 transcript:LPERR03G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNMRRSRAGAISAARKRHDQQNATEPPFQSVALVVGCTGIVGTSLVDILPLPDTPGGPWKVYALSRRPFPPWSPPPSPAVTHLHLDLADSAAVAEALAPLTDITHVFYVAWAPHFVEDQNREANTGMLRNLLSVVVPNCPALVHVSLQTGLKHYMGPPESIGKFAIGTPFSEDMPRLPDCPNFYYDQEDVLFDAVSRRRISWSVHRPSLIFGFSPRSAMNVVCTLCVYAAICRKEGNKLRWPGSLGAWEGFSNASDADLIAEQHIWAAVDVAAKNQAFNCSNGDIYKWKQLWPVLAGRFGLEWSGYEGEESRVGLMEAMEGKDAVLAEIVREEGLVVTELEEVASWWFVDALFMDKWEFLDTMNKSKEHGFMGFRNTVKSFQTWIDRMKLYKISPIEVFNRD >LPERR03G19170.1 pep chromosome:Lperr_V1.4:3:15430817:15432007:-1 gene:LPERR03G19170 transcript:LPERR03G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFESVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPPSPAVTHLHLDLADPAAVADALTPLTDITHVFYVAWSAHPTEAQNREVNAGMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFESIGKIPIPDPPYTEDMPRLDCPNFYYDQEDVLFEEISRRDGAVSWSVHRPTTIFGFSPRSAMNVVGSLCVYAAICRKEGSVLRWPGSRVAWEGFNDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDVYKWKLLWPMLADQFGVEWSGYQGEESSFKLADVMSGKEAVWAEIVRENGLVETELEEITNWWFVDAVFGVQGEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVVKIVP >LPERR03G19180.1 pep chromosome:Lperr_V1.4:3:15439825:15440682:1 gene:LPERR03G19180 transcript:LPERR03G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNPFHHSMSILLAILVVAAAAATKTDGLCVKSDKAALLAVKSALGNPPALSVWNSSTPCCSWDGVSCNATTGRVTELTVMALNISAPVPAAIANLTALQTLNLAYNQLYGPIPSFLGPAVLPDLTFLRLDGNRLTGAIPPTATVFNLLLVGNLLTGSLPATFGSAVFGEVDVADNQLTGDASMLFGAKKQLNALRLSRNRFVFDLGRVELPEALDLLVIDHNMVYGSIPAAAAAPGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCS >LPERR03G19190.1 pep chromosome:Lperr_V1.4:3:15450566:15451141:-1 gene:LPERR03G19190 transcript:LPERR03G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDDYVSLCLMALTQAGVGGQQQQISTVRPPACELRFRCSVCGKAFPSHQALGGHKASHRRPTAAVMAPTHDVVVADAPSSGAEDTASSTTTISGGGRHRCSVCHRSFATGQALGGHKRCHYWDGLSVVSVTASASGSGTTSSVRNLDLNLMPLPVTAASVRRWGEEEEVQSPLPFKKRRLSSPSLDLSL >LPERR03G19200.1 pep chromosome:Lperr_V1.4:3:15454569:15455213:-1 gene:LPERR03G19200 transcript:LPERR03G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALQSLLDPTALSLGLPTTAPAIKKEDYIAICLAALAGTRAGKALMASDQQVGNWCPAAPAPAEELRFLCTVCGKAFASYQALGGHKSSHRKPPPTGEHFAAQQASGGESDQYSASSAAAGSSTGPHRCTICRRSFATGQALGGHKRCHYWDGTSVSVSVSASASATSSSTVRNFDLNLIPLPESAAAGMKRWAEEEEVQSPLPVKKLRLSN >LPERR03G19210.1 pep chromosome:Lperr_V1.4:3:15465185:15469988:-1 gene:LPERR03G19210 transcript:LPERR03G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSNKHWKETSRVESDDKIIAVGVMIVPQQKNMTYGIIIFSDANVHMVMVQVEEEREMLKRRRGLPHDCRAHLAGHAQDVAVRRSAKRSAAAAKEARDGPFRRHHAELTALPLSPANSSPDFQILRRCGVAKEGDGRCGGDRGRRQRRWRNGVRGGRNNQCGGSNGERSDTVAVPTWIVDWAG >LPERR03G19210.2 pep chromosome:Lperr_V1.4:3:15465185:15469988:-1 gene:LPERR03G19210 transcript:LPERR03G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSNKHWKETSRVESDDKIIAVGVMIVPQQKNMTYGIIIFSDANREMLKRRRGLPHDCRAHLAGHAQDVAVRRSAKRSAAAAKEARDGPFRRHHAELTALPLSPANSSPDFQILRRCGVAKEGDGRCGGDRGRRQRRWRNGVRGGRNNQCGGSNGERSDTVAVPTWIVDWAG >LPERR03G19210.3 pep chromosome:Lperr_V1.4:3:15465185:15469988:-1 gene:LPERR03G19210 transcript:LPERR03G19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSNKHWKETSRVESDDKIIAVGVMIVPQQKNMTYGIIIFSDANVHMVMVQVEEEREMLKRRRGLPHDCRAHLAGHAQDVAVRRSAKRSAAAAKEARDGPFRRHHAELTALPLSPANSSPDFQILRRCGVAKEGDGRCGGDRGRRQRRWRNGVRGGRNNQCGGSNGERSDTVAVPTWIVDWAG >LPERR03G19220.1 pep chromosome:Lperr_V1.4:3:15474002:15478044:-1 gene:LPERR03G19220 transcript:LPERR03G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVSSCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEELDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >LPERR03G19230.1 pep chromosome:Lperr_V1.4:3:15490077:15491775:-1 gene:LPERR03G19230 transcript:LPERR03G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRQSAAGAAARWRVARRRTRGGSAGRAGSAATAVDAAPGSLGTVLLSTTAPGSSPPPTPVRLLSSAFPSSSSPARGRGDQIRPLRASRPPDLAATTSLSETTLGQGPHGTAAVDGIVWSGENLNLDLLFFGAAIESEMV >LPERR03G19240.1 pep chromosome:Lperr_V1.4:3:15504746:15505588:-1 gene:LPERR03G19240 transcript:LPERR03G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVKAAAPAQAGGFAKIRRPQVVAARSVGGGSCTARRSGAAVVRASLFSPKPAAPKDARGPAKVQEMYVYEINERDRESPSYLRLSAKQTENALGDLVPFTNKVYSGSLDKRLGITAGICVLIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >LPERR03G19250.1 pep chromosome:Lperr_V1.4:3:15529172:15530050:1 gene:LPERR03G19250 transcript:LPERR03G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGAGAATESSAFVAPDEFEFCVLPSGGLAIAGADEDGMCVADEVFSDGKLLPFRLSSVASADAAAFRLLRSDSCTSGFSSRSDSRSASSSSSSSSSSCVSRSASQKSASSDCVGARSSQPSKNASPQPPPSRRSLFYASPSPRPNSQRWSGGSAAAPAAARRSTGSAPPASWGLLRLGVVGAPDVYPARPAAARGGSRSARFERPSAAAKDAKKKLPLGFLGAGLVCNCSADAVEPVASAEAAAAARRKAAEKNIAKVNSGQSIVRRSRILEWMEELSISKEKIVAPR >LPERR03G19260.1 pep chromosome:Lperr_V1.4:3:15544864:15547545:1 gene:LPERR03G19260 transcript:LPERR03G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRPARSTVPARDDGDGSPDVGRRGSDTRAAESSTRNVFSAVVASAYHPLPRRGLGWAASRWRAWACSNGGTGPFLELYMFWLVVMVPTLTGVLSASHDLAFLFTAWPVHFMLFAPYSKALMRASMLGFAANHALALLKGIQQNYAPPLHPHPLFIT >LPERR03G19260.2 pep chromosome:Lperr_V1.4:3:15544864:15547689:1 gene:LPERR03G19260 transcript:LPERR03G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRPARSTVPARDDGDGSPDVGRRGSDTRAAESSTRNVFSAVVASAYHPLPRRGLGWAASRWRAWACSNGGTGPFLELYMFWLVVMVPTLTGVLSASHDLAFLFTAWPVHFMLFAPYSKALMRASMLGFAANHALALLKGIQQNYAPPLHPHPLFIT >LPERR03G19260.3 pep chromosome:Lperr_V1.4:3:15544864:15547689:1 gene:LPERR03G19260 transcript:LPERR03G19260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRPARSTVPARDDGDGSPDVGRRGSDTRAAESSTRNVFSAVVASAYHPLPRRGLGWAASRWRAWACSNGGTGPFLELYMFWLVVMVPTLTGVLSASHDLAFLFTAWPVHFMLFAPYSKALMRASMLGFAANHALALLKGIQQNYAPPLHPHPLFIT >LPERR03G19270.1 pep chromosome:Lperr_V1.4:3:15561148:15563539:-1 gene:LPERR03G19270 transcript:LPERR03G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSINKVANRDAITEEVVAVFAESDFIPERYYRPDDVLAGIVVGPDEDEAYDLPVVDMERLLDPELAEAEIAKLGSACRNWGFFQLVNHGVDEQVVNEMKDSTLRFFSMPLESKKMVEIQDNGFEGYGHHFSRLGKLDWAESLILLTQPNEDRNPDMWPTNPSSFRDALEKFSAEMTNLAMRILSFMATDLGVDQEAFVGAFRDRQQSMAIHRYPPCRHPDKVIGITPHTDGLGLTLLLQLDDTPGLQIRKDGRWFPVQPRPGSFIINVADILQVLTNGTYRSVEHRVLTNAEKGRTTIVTFYSADVDGMVKPIPEVLKLNGVEARYKSIKMLEYIKGNFAALSDGKQFIDSLRITMKPNIS >LPERR03G19280.1 pep chromosome:Lperr_V1.4:3:15571759:15573628:-1 gene:LPERR03G19280 transcript:LPERR03G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESLRVGVARCARPCVASPTSAAVRVSGASGELRCAFHPGIQRIGLHLPPLHAQFLLGKLLVEEGKAYKNGNGRFNV >LPERR03G19290.1 pep chromosome:Lperr_V1.4:3:15578418:15581923:-1 gene:LPERR03G19290 transcript:LPERR03G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSSAARRLDGGGAGRHRAGVHRRGCGIGRRSGGAQVQQVCGVRKRGPSWLPRAGEDILLSGLIVSVIQSLVVELGKKQRSMKVIIFKALHWVYIWPMVIP >LPERR03G19300.1 pep chromosome:Lperr_V1.4:3:15597583:15608184:-1 gene:LPERR03G19300 transcript:LPERR03G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASGFIKFTCNHRSAVHMALQDGKYLFAKLLISPPCNCFTKQVNQTPFSMDVPNTPSQLDAPAGNGSQGEISNQSVLDLRRKRARERYASLSQEQKQARVQMNRERRQRRKDAPTSVLCQDASPSNVPVPTPTNVVLPTLSEVPLVTPTNVSKPTSTAIEGTLGDITNLSALERRRKRARDRYASLTPDQKENMLQKNREYRQKKREESTTINLSACTATVGGIENHQPVMTPRCPITEDSSEGLKERASKYMHDVEPMSCLVQCTEPSKMTNAIQRKMAVMTPRRLPFTEKRNLTPGENSVNFHCNDEETDDDNDENYLNRGLDVHYESYQEEVQNYHKLADVMLDIIGGDK >LPERR03G19300.2 pep chromosome:Lperr_V1.4:3:15597583:15608184:-1 gene:LPERR03G19300 transcript:LPERR03G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASGFIKFTCNHRSAVHMALQDGKYLFAKLLISPPCNCFTKQVNQTPFSMDVPNTPSQLDAPAGNGSQGEISNQSVLDLRRKRARERYASLSQEQKQARVQMNRERRQRRKDAPTSVLCQDASPSNVPVPTPTNVVLPTLSEVPLVTPTNVSKPTSTAIEGTLGDITNLSALERRRKRARDRYASLTPDQKENMLQKNREYRQKKREESTTINLSACTATVGGIENHQPVMTPRCPITEDSSEGLKERASKYMHDVEPMSCLVQCTEPSKMTNAIQRKMAVMTPRRLPFTEKRNLTPGENSDVMLDIIGGDK >LPERR03G19300.3 pep chromosome:Lperr_V1.4:3:15597583:15608184:-1 gene:LPERR03G19300 transcript:LPERR03G19300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASGFIKFTCNHRSAVHMALQDGKYVNQTPFSMDVPNTPSQLDAPAGNGSQGEISNQSVLDLRRKRARERYASLSQEQKQARVQMNRERRQRRKDAPTSVLCQDASPSNVPVPTPTNVVLPTLSEVPLVTPTNVSKPTSTAIEGTLGDITNLSALERRRKRARDRYASLTPDQKENMLQKNREYRQKKREESTTINLSACTATVGGIENHQPVMTPRCPITEDSSEGLKERASKYMHDVEPMSCLVQCTEPSKMTNAIQRKMAVMTPRRLPFTEKRNLTPGENSDVMLDIIGGDK >LPERR03G19310.1 pep chromosome:Lperr_V1.4:3:15609656:15611559:-1 gene:LPERR03G19310 transcript:LPERR03G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKVVAVHPAPLLGPRVAASTTARRMARSRFTVTMALKEEPEGSRSGFAGGPSWDPGMEIEVPFEQRPVNEYSALKDSVLYSWAELSPGSFFVRLGGLWLITFTVLAAPIAAASFSPGKDPLKFALAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVVKPVINLLKQTLVGTGVLLVGAVSLFAFAAPVEDFIHSLNAPTSAAFSKPSLRREDLLRLPVEVIQDDDLAAAAAEAAEGRPVYCRDRYYRALAGGQYCKWDDLLN >LPERR03G19320.1 pep chromosome:Lperr_V1.4:3:15616297:15619123:1 gene:LPERR03G19320 transcript:LPERR03G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNMEKAKAAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >LPERR03G19320.2 pep chromosome:Lperr_V1.4:3:15616297:15619290:1 gene:LPERR03G19320 transcript:LPERR03G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNMEKAKAAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >LPERR03G19330.1 pep chromosome:Lperr_V1.4:3:15632556:15635973:1 gene:LPERR03G19330 transcript:LPERR03G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAMGAVFLVLVVASSSSSPALASNEEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLIGEIPKELGNLKNLISLDLYANRLTGPIPKSLSKLNSLRFMRLNNNKLAGSIPRELTKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNNRLNGPELQGLVPYDFGC >LPERR03G19340.1 pep chromosome:Lperr_V1.4:3:15635541:15645940:-1 gene:LPERR03G19340 transcript:LPERR03G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGIGTGTGPGGASTSAAASSSQPLDHAAGVVSQESNSIFNHNHEQWQGSVKSETANLENQQQGQKHHTDEQPSRPELVSGGVDNKHVQSNSQIEDQPPKVKKEPGNSSQQSIAGQKQPPQQVRSQQTPNTNQTNSAPTVGKTPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVTKEHFLKVVRTIVGDKLLKQAAAQYQAQAAQGQRNAQTNPSNYSLLSQVSNQQNVPSGSMSGVEHKSYPSAHSIPANQAIDSPRPPLFRPSLSGQMQTNMGYTSSESNLQKVNESNNMSDVKGGHKLRPPNIHSVPVQAMQHHVQRPQSSLPMLGTNNIHTRPFGRPVGGPMGPPRPQMAESSQRGHVQGAVTTVAGSMAARPTLQTNISSWQQANKEHKTKSFNPTEHINKGVGFPENQPSTSSTSKSLTTTNSSQPHQSHGTQAEPNMQIQPATQTPPPAASSKTPQKKTSAGQKKPLEALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARKVVQLEEEKLILQKGPLTKKLTEIMRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRFSKQRVDLEKSKHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGGDNAVVDSEKDKNDNRSTSKHAKNYKVEDDKTRTTAANAAARVAAGGDDMLSRWQNMVEQNKQSKGDGSSSSMPGNMLPLKSSPRPGKGSREQQEIEKTGGVRRPSHIKVTRSITLKDVIAALEREPQMLKSSLLFQLYGRPPTESSAK >LPERR03G19340.2 pep chromosome:Lperr_V1.4:3:15635541:15645940:-1 gene:LPERR03G19340 transcript:LPERR03G19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGIGTGTGPGGASTSAAASSSQPLDHAAGVVSQESNSIFNHNHEQWQGSVKSETANLENQQQGQKHHTDEQPSRPELVSGGVDNKHVQSNSQIEDQPPKVKKEPGNSSQQSIAGQKQPPQQVRSQQTPNTNQTNSAPTVGKTPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVTKEHFLKVVRTIVGDKLLKQAAAQYQAQAAQGQRNAQTNPSNYSLLSQVSNQQNVPSGSMSGVEHKSYPSAHSIPANQAIDSPRPPLFRPSLSGQMQTNMGYTSSESNLQKVNESNNMSDVKGGHKLRPPNIHSVPVQAMQHHVQRPQSSLPMLGTNNIHTRPFGRPVGGPMGPPRPQMAESSQRGHVQGAVTTVAGSMAARPTLQTNISSWQQANKEHKTKSFNPTEHINKGVGFPENQPSTSSTSKSLTTTNSSQPHQSHGTQAEPNMQIQPATQTPPPAASSKTPQKKTSAGQKKPLEALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARKVVQLEEEKLILQKGPLTKKLTEIMRKCNLKSIGSDVERCLSMVSDCLATPFPDLCVEERLRGFISNTIRFSKQRVDLEKSKHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGGDNAVVDSEKDKNDNRSTSKHAKNYKVEDDKTRTTAANAAARVAAGGDDMLSRWQNMVEQNKQSKGDGSSSSMPGNMLPLKSSPRPGKGSREQQEIEKTGGVRRPSHIKVTRSITLKDVIAALEREPQMLKSSLLFQLYGRPPTESSAK >LPERR03G19350.1 pep chromosome:Lperr_V1.4:3:15666889:15668357:1 gene:LPERR03G19350 transcript:LPERR03G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLKQEETFKHQVQELHRLYQVQKLLMTAGSASSATTMAGGIMISCRPEDEENEAGSSRRPWDAYNKQQAPTHTVVEESELELTLGIGSFAFGTAGEANAAKEAPSSSVDSRTSNSSSSTESGSPNCRATPHHKRPSRLGSSSVAGSGVGSSQQHLELEHDALKQPPWLHQCLNLAR >LPERR03G19360.1 pep chromosome:Lperr_V1.4:3:15685298:15685843:-1 gene:LPERR03G19360 transcript:LPERR03G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPDAQRPALFQSLLYEAAGRTINPVHGAVGLLWTSNWHLCQAAVDTVLRGGAIGPLPEANRSGAAAFSTAKRVRNPPAPSCDLGLCLLSHGSPQALRPVTPSMSSDESVTTTTGGGERDPVLLNLFL >LPERR03G19370.1 pep chromosome:Lperr_V1.4:3:15687268:15690406:1 gene:LPERR03G19370 transcript:LPERR03G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGVGTRTTHHHCGEGKENRCGGKASPPPSSPRAGGATHRGCRLVEPTRPASSAPNLGWRESVRGKGMLRRGCQRPSGRRLLSFTTAAVIVSLKVSLAGRALGHHRHRAPSRHRRTHLDRFIS >LPERR03G19380.1 pep chromosome:Lperr_V1.4:3:15691825:15704672:1 gene:LPERR03G19380 transcript:LPERR03G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMVVARLALLPAPPRLFPMQEVRNIAQEWVQETRRLFCLRTANNVTNKGSILLARNVQDDHSEDRRASKVEIPWRDYAPAEDPLLDKSNTEVILELDDEVHDGNGNIEKKFVVKKVVSPLPTKAAFSEESLKARKALASIYDKVLVVDNIESARSIVKLLTTKYKSFIHSCHTKAADFGNGKTCIWVDVLDGGRGVLMEFAPFFEDPSIKKVWHNYSSDSHVIENYGIKFAGFHADTMHLARLWDSSRKNDRPGQNSRKNDDGYSLEELTNDRRVMDTVPVDLPKVRKISMETIFGRKKVRKDGSQGKVVSNEPVKELQREDGELWICYSSLDSMSILRLYESFKSKLETRRWVLDGYPRDTMYDFYEQYWRPFGALLVDRGYISEIEKAAIAERQLAADKFRKWASKYCPDAKYMNVKNAHLVKLGHRVKLFKVLNEENVAIEGKKTSNIVEDLKTDFFTDCGWPKTSVDALRSLAGEIPKKYIYTTDDIQEDDEDTSGSKNPDGDSSYGTTYEAFGGGKNGKEACHAIEALCQICSIDSLISNFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAAGLSKDWKGSAADVVMCAMLEIERNARLKELGWRLLLQVHDEVILEGPSESAEVAKAKVVECMSKPFYGTNILKVNLAVDAKCSKSWYAAK >LPERR03G19390.1 pep chromosome:Lperr_V1.4:3:15707195:15713273:-1 gene:LPERR03G19390 transcript:LPERR03G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPPAAVGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRIYDAEANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSGKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYTQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCIRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >LPERR03G19400.1 pep chromosome:Lperr_V1.4:3:15716542:15722318:-1 gene:LPERR03G19400 transcript:LPERR03G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKRNKGGRGGGGEDQFDGGSDVDSVSSASTALSDLQLSQDTEQVNSQDYVLDKYINDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIRKGSIKEVCVACHATGLLAITLGAGSSSHEIMDESRPQLMRVLQTWSDASKMISALDCLAVVTFVGATDLAETQLSMKAIWDVIHPKSGSSIGVVRKPKPPLLAAAVSAWSLLLTTIVSSRRNADCWKESITFLSALLEAEDRAVRIAAGEALVLCFELKLLDVFSNEEDEVETREPSGSKNQLFLNMQALKAKISGLVYNLSMEAGGKGADKKNLNDQKELFQQISDFIKTGECLEESLRISGKHGILRVTSWRESIQLNYLRRFLGRGFLKHVQDNGLLHDIFDIKIDRTENMSTTEKKMYRAVEEKGRALKLNKERRLAQI >LPERR03G19410.1 pep chromosome:Lperr_V1.4:3:15729653:15730798:-1 gene:LPERR03G19410 transcript:LPERR03G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAADSNDLLPSSPAGKIHRLLRPCATETDGAITALVQELDSPASSLDDLRGAAMELRLMAKHNPDKRLHIVAAGALPPLVALLKSRADPLLQEHGVTALLNLSLCEENRVAIVDAGAVCPLVRALKSAAFPAARENAACALLRLAQLDGAAAAAIGRAGTVPLLVSLLESGSARGRKDAATALYALCSIGGGSGSENRARAVEAGAVRALLDLMAEPERGMVDKAAYVLHALVAAEAGRVAAVAEGGVPVLVDMVEAGTPRHKEMATLCLLHVCSDSAAYRTMVAREGAIPPLVALSQSSSARPKLRAKAEVLIGLLRQPASGSLVREPPSVSAA >LPERR03G19430.1 pep chromosome:Lperr_V1.4:3:15737423:15741288:1 gene:LPERR03G19430 transcript:LPERR03G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDATALRSDLTFYRPQLRLCNWAPRLRWRPLGAASCLSRDRRGHVARFAASASGAGEEAGEPSDDEAQREWEAEMARRLKEAEEMEELERTAEQLQSQAAADAPDESEEEKRDRVRRELQKVAKEQAERRATAKQMFDLGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKDQEDKNRKMMAANNQVQPQPSIFGDFSFLRPPMEWTKSAWVIVTLWIVLIGTAIYLQR >LPERR03G19440.1 pep chromosome:Lperr_V1.4:3:15748394:15750161:1 gene:LPERR03G19440 transcript:LPERR03G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVWVDGGGACCQRSRRRHAGSSSTDRGTRHSCWPLARHRRFSVELCRSRSGTCVADTQAKLQTANHHGKCLLLVCYGEVQIQVSNQFEMAALHLMINLHERLMYIWLEQYPLSHRCQPYYGARLYQICFLKAGAKIFGHGLAIYLGSERFSQLL >LPERR03G19450.1 pep chromosome:Lperr_V1.4:3:15750240:15764555:1 gene:LPERR03G19450 transcript:LPERR03G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIFILHRNRGMVKFRMIADPCRLSSSPSPTWHTRTRHPYPHARSDAHACHVLRTSPRPENSRSLLHLHSPDPNLHQTQQERSSSIRSLPQPSSSRSPPRPSAMGSLTKAEEETAAAEEWSDEAIVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMASCYDQTTKKTQHFAMNACLAPLYSVEGMHIITVEGIGNRQQGLHPIQECLAMAHGSQCGFCTPGFVMSMYALLRSSKQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYINSSPENADGRPICPSTGKPCSCGNEKDIDASESSLLAHTKNYSPCSYNEIDGSVYSEKELIFPPELQLRKVRSLKLNGFNGIKWYRPVKLKQVLHLKACHPNAKLINGNSEVGIETKFKNAQYSVLISVTHVPELHNLIMKEDGIHIGSSVSLAQLQNFLRKVILERDSHEISSCEAVLRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEILDVNNNIRTTPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIVYGGVAAVPLSASKTETFLTGKKWDYGLLDKTFDLLKEDILLAENAPGGMVEFRSSLTLSFFFKFFLHVNHEMNIKGFWKDGLPAANLSAIESYSRPVGVGTQCYELVRQGTAVGQPVVHTSSMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILCIDASLAKSSPGFVGLFLSKDVPGSNHTGPVVHDEEVFASDVVTCVGQIVGIVVADTHDNAKAAANKVNIEYAELPAILSIEEAVKAGSFHPNTKKCLVKGNVEECFVSGACDRIIEGEVRVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNDGKILALDLDLYNNGGNSLDLSLPVMERAMFHSDNVYDIPNIKVSGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRCPEEIKELNFQSEGYVLHYGQLLQNSTIRSVWDELKVSCNFIEARKSVIGFNNNNRWRKRGISMVPTKFGISFTSKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDIYGAAVLDACQQIMARMEPIASRGNHKTFSELVQACYMERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGSSINPAIDIGQIEGGFIQGLGWVALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAILFAIKDAIYAARAEEGHFDWFSLDNPATPERIRMACVDSITKKFATIYYRPKLSV >LPERR03G19460.1 pep chromosome:Lperr_V1.4:3:15768047:15774616:-1 gene:LPERR03G19460 transcript:LPERR03G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRQSGTGVVRCGGPARQSSGRVCGKGDGVAAEEQEGARFVGWFREAWPYVRGHRGSTFVVVVSGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICVVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVKVVGDEDISYARNFPVKEEKELGLIGWDFVDGHTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIQPLEESGVLVRRTDKQLLEALRSFIVVERDGSIIACAALFPYLEDKSGEVAAIAVSEECRGQGQGDKLLVAKLSSLSIEVSQLLFIMLTMEICHLDYIEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRTIRIDLSRGSKYYIKQLQAAQIGQMPVNNFAIR >LPERR03G19470.1 pep chromosome:Lperr_V1.4:3:15794486:15797923:-1 gene:LPERR03G19470 transcript:LPERR03G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDDEQPQPLVQESTARIFTDASHPTVRLVHLLLPRAAHPPALPPLPTSFDPVLLQLQVEFKGWAPESPTLWRRWVAKLRPHHEPLWQKAGILDAVLATTCRVRRDEGALLQLAAFWRPDTNTFVFPWGEATLTLQDVAVLGGLPLLGSPVRAPPQASLSADVDALEAALYLESHNGRPDRAAWANHFLERPTGKDDDRLEHSAFLAMWLSLHVFPAAPFDVVRAEVLPIAARLARGHAVALAPAALATIYSDLSALNRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPEMADPDGNGSIPREAHLHNALRQLDPVYVHAVFMSPNKFEWRPYGSSSFVLPPEMGSRRVHGQDIATNTQLLSFILCLRACELVGMRCIEHYRPHRVAKQLGFDQDVPGTLARVNSNWVAAWGTYRMEPEKFVFIVPKYKPALTIDYVQWWAPYLLGCATAVAKAEKMKQLPLLVTPRKRMIDVPPATTPKRVRNGAKEKDMEVLFEVPEGLVRTANELSCVSATKTGQGKSSQRGNDVAQDQENISSVHSEVVLQPQLVEDAVNTGSNEAFGAATAVDVHSEEVSLDVAAALVSMVDGLSCVSATKREQGKPSQQDKEEAQELSVTHDKERNRSSVVDVHSKPIAAVNTGSNRSFGPATVVDVQSEPEDIVVISDDDDDDEVNVGAMHQKLTQLNTAPPSLEEQNTEMQIVSASSNAQDSLVMINDMVQSNCDHETDTVRSDIFPTKEPLSLDVDTFQPDLNILQRPTEETHTCAVTGQIDKGNMVEKEKMAGLEGIRKENKDVSSSFQRVDSLVEEPLALDVDTFQPDLNILERTTNVIKTCTVTGQIDKADMVEKEKLAGLEGIKKANGDVSPAFEKVDSLVEDCMKANRRTNSGNSYSSGLAHADTQLGSRVLCTKTLYYLRRRWSKYGQDRDATGTIADEETFEPRWEVGTCQMIKEAFAARQVQNVELQKAIDCLKEEIVALKANQRTGTPQSHKYSYRVLEAQLRFAHLHDSHSGLCFLTHSLNTVGEILVGFGNFYSALIVLANGRN >LPERR03G19480.1 pep chromosome:Lperr_V1.4:3:15808547:15811947:1 gene:LPERR03G19480 transcript:LPERR03G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELAKLAFSRVQRVEPENVGKILGVMLLREPDEDEMVQLAYGTDAALLARIDDAKAALAVIYARCSGHGPGGGGPPVPAGARHYSPAAAATFGFHVQSQYWPDSPPATMAHQELLTAAEGPYSLRSHHHVLDDNMGGYYYPAGEDTFLNGSAGGGSPPARARRSNGLSSRRPCHYFSKGICKNGHKCQYSHHQVYQDTLGDVYQPGATPGSLETLEIEITDLLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGCDTGSVPASSHQIYLTFPAESTFTEDNYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCIDRTCVDNIKPIVPYCPPRFFEFDQEHYTEYDASRLMRKHLAEKRESLLEIERRCSTVRRLEALQPQFSYFDCSIEDFSPLHSPGRDSKQLDLMNPPIASPDTLEIVSTSQAPLTHAGNIYDDHESNQIELLPKSPFGASVPDGNSISAVI >LPERR03G19480.2 pep chromosome:Lperr_V1.4:3:15808547:15812334:1 gene:LPERR03G19480 transcript:LPERR03G19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELAKLAFSRVQRVEPENVGKILGVMLLREPDEDEMVQLAYGTDAALLARIDDAKAALAVIYARCSGHGPGGGGPPVPAGARHYSPAAAATFGFHVQSQYWPDSPPATMAHQELLTAAEGPYSLRSHHHVLDDNMGGYYYPAGEDTFLNGSAGGGSPPARARRSNGLSSRRPCHYFSKGICKNGHKCQYSHHQVYQDTLGDVYQPGATPGSLETLEIEITDLLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGCDTGSVPASSHQIYLTFPAESTFTEDNYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCIDRTCVDNIKPIVPYCPPRFFEFDQEHYTEYDASRLMRKHLAEKRESLLEIERRCSTVRRLEALQPQFSYFDCSIEDFSPLHSPGRDSKQLDLMNPPIASPDTLEIVSTSQAPLTHAGNIYDDHESNQIELLPKSPFGASVPDGNSISAVI >LPERR03G19490.1 pep chromosome:Lperr_V1.4:3:15823655:15829592:-1 gene:LPERR03G19490 transcript:LPERR03G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALALRRVASLLSRGCVADAGAPRAPCAGALVGLQCGAQSSELGRQAAAVEGGRDASKFLGIWGGLPDGGSDRSWWFRCAASSVFRPGLLVEQRFACSFAVGAAPEEVSFGTTAASAACEEGEKYADASNKNKFSLKLLSGSCYLPHPDKEETGGEDAHFIWDEQAIGIADGVGGWAGYGVDAGQYARDLMSHAVIAVQEEPKDSIDVSRVLEKAHRNTTVKGSSTACIIALTEQGIQAVNIGDSGFILIRDGCTLFRSPIQQHDFNFTYQLESGNCSDLPSAAQVFKVPVASGDIIVAGTDGLFDNLYNNDITAVVVHAIRAGLEPQATAQKIAALARQRAQDKNRQTPFSSAAQDAGYRYYGGKLDDITVVVSYITAFGTS >LPERR03G19500.1 pep chromosome:Lperr_V1.4:3:15830046:15830396:-1 gene:LPERR03G19500 transcript:LPERR03G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPVGRPSPAEATEEAIRGGGKPRFLWDEVKEDLGELSLPFIGADAEGWAWNSRPEKGMGRRLAEGSKRDGREWRRRG >LPERR03G19510.1 pep chromosome:Lperr_V1.4:3:15832633:15840360:1 gene:LPERR03G19510 transcript:LPERR03G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMTWPWRALPPLAAGLLLLLSSPAASIDTITVEAPLEGNRTLVSAGGTFALGFFDAAAGHTYLGIWYNNIPARTVVWVANRRSPVVGSVAAAELKIDSNGSLAIVQAQRSVVWVSPGAAGNATALLLDNGNLVLRFAGGGVAWQSFDYPTDTLLPGMKLGVDLRTGLDRYMSSWRLADDPSPGEYTFRIDTHGSPEFFLYRWSMRTYGSGPWNGYQFSGVPNLRTNTLLSYQFMSTAKEAYYQYDLDGGATVLTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEAYRACGAYGVCNVETSPMCGCPVGFEPRYPQAWALRDGSGGCIRRTPLNCTGDGFAVARNMKLPESANATVDMALGLEECRLRCLSNCACRAYASANVTSPGAKGCFMWTGDLLDMRRFDNGGQDLFIRLAASDLPTNSLSDNSQTAMLVSIIVPSVVALLLLLGGLVICVIKVKDNKKAIPSVLNNGQDTPFGQRNHNAAAINNRQVTPIGRRNHIAATNDAQDNNSWHPTIEQGSHQDLDLPSFDVATILYATSNFSSDNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLVYEYMHNRSLNTFLFNEEKQSMLNWAKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTTAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHNELDLNLLRYAWRLWKEGRSLEFLDQSIVGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTLMLGSESPALHEPCEPAFSTGRSLSDDTEASRSNSARSWTATAIEGR >LPERR03G19510.2 pep chromosome:Lperr_V1.4:3:15832499:15833268:1 gene:LPERR03G19510 transcript:LPERR03G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTIMVMTIRISANYVRRKTKEMKDEWSAEKKSQTCISKERQQQLMLLCSPSDRTMVDKLMHHQKYPFDEPHEETLQVTSEVQSPTKKNEAAGMDTRLKDFINDDLTSSQAQLNV >LPERR03G19520.1 pep chromosome:Lperr_V1.4:3:15844844:15845406:1 gene:LPERR03G19520 transcript:LPERR03G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHSDEDSNISFNSLCLRRREVLVSRTLICFWAVEYHLPHRVMRQLGKYQECPVEDIPMDVALHKIDRIKKRGVTNWEPEFRKEIDEWRRGGVDRHIHPIHQNSHFKVYLRWLHRNYRLSLRPAWTEADIAEDRDSDPEENFYDHAAWISDGACSCEG >LPERR03G19530.1 pep chromosome:Lperr_V1.4:3:15845517:15846028:1 gene:LPERR03G19530 transcript:LPERR03G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPLLTSRRSGNGELALTLHRDAERWPLVPGADPWRTTSMFRVTFLLHFLNWRILVQPGLPLLTKYVNMRVVHCPQEKTKVRPMLSSSSDEDEEEASSDDVAIDEDYIAFDELTSFYLEGAPQPTQPTQYELCPTCAQKTK >LPERR03G19540.1 pep chromosome:Lperr_V1.4:3:15862556:15863437:1 gene:LPERR03G19540 transcript:LPERR03G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDHLRLSYSSKQDRALQAKNLAERCFLGGDVAGAKQWCQSAQKLDPDLPGVAQASAAYDVHYAAARKSIGVAGESGPDWYAVLNLPPARSGLVTHDAVKKQYRKLCLLVHPDKNTSAAADGAFKLVQSAWDVLSARHPSTDQAVAYSTTRPMRPQDLYRTKPTAAAAAKKQPPEPPAPKTTPPPPQQSAPRRPQVVQMRRPAAPQPTRFGAKPATVQRPTMPRPPPVVRPPSPSRGKCQYCGARTVVRGAANARSFRCVSCHRSPMDDNPCYSDEEEYYDDDY >LPERR03G19550.1 pep chromosome:Lperr_V1.4:3:15880339:15884908:-1 gene:LPERR03G19550 transcript:LPERR03G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPVRPWADLQHDLLVIIMSRVGVPDLLSGGASRACSAWRAAARDPLVWRYVDLRDWGALATARRRLRVRLSGGIDASVRAAAAGHGRVPLQAVLCSVLEIAARRAAGRVETLLLPEFADEEHLLFLAERNPNLHYFSLPATCITYDQFFKAINILQSLRGMAVDESLINHNVLSHVHHCCPDLQELKVFSLYVDEEMASIICNSLPRLKKLEIPNSEMCCAAIIKFLDCLEELEYLDISGYKTSAISSVVLRKASRLNFFIWNSKFELGEFMDCSNCGEHCIDPQDPCKCDMEHRVMDWLAGPS >LPERR03G19560.1 pep chromosome:Lperr_V1.4:3:15902640:15903183:-1 gene:LPERR03G19560 transcript:LPERR03G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQRTYSALSDLEYRRDRVAEEDKHKAIQEALAGFLNDEVLDPKGEHYYDGRLEPVSVDYNIDLDDPNFD >LPERR03G19570.1 pep chromosome:Lperr_V1.4:3:15916113:15916585:-1 gene:LPERR03G19570 transcript:LPERR03G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTGVSVRRTLPAHDVYETQYTREAIFKCPNYCHQIHGGNCSFVEWLDTTRSMSQEWLNMKRSIERHNMRIGGVCRTDYLRGRNVRSVNVVIVATFFQIFFFLKGKLLVHPVV >LPERR03G19580.1 pep chromosome:Lperr_V1.4:3:15917497:15918589:1 gene:LPERR03G19580 transcript:LPERR03G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSEPDPPPPANDPPPKKSYDPVPEGMEVPMCLCVCRICTSSDDYSDTYERMIFIYNNYEYDPPKDFQRGKHRWIDIEQSKEDEQYMCSKVYKNNQYFLYYYRLEQQQKEEEKRRKAFQEEMRRQEEQRQQEEAEAREADRERKRETICRAKAAGPEAIRKGKYP >LPERR03G19590.1 pep chromosome:Lperr_V1.4:3:15919442:15928933:-1 gene:LPERR03G19590 transcript:LPERR03G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASSTLGTFTGSPAGHGQRLPARVAASRTTVTARSAPPSCCLSAVGRRRRRGGAEAVGASAGAAAGGAPLPAALLFDCDGVLVDTEKDGHRISFNETFAEKELGVGWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKTDEERKDFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKIIIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMNCIVTKSGYTAEEDFQTADAVFDCIGDPPEVRFDLEFCASLLQKQFVS >LPERR03G19600.1 pep chromosome:Lperr_V1.4:3:15939828:15943798:1 gene:LPERR03G19600 transcript:LPERR03G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGRMPKKVKRIVLADSQNSCEISLSPPQAGAGADAVKEHAQFEPSRRGRGGKRKRQAQDHPLGDARIVQPKVDLKNSGIITRCSPKLVMDAIKALSPAQRRRVERLGFKKLPGMKIESLEQPELARWLMDKTEPQTMWLNVGNDKVIRITPRVIDIVLGTGFGSKDLITPEKAVMSKSLKKLHDDLGIPHNAKITTDRLVQELKARENDPEAPSTNFYIRSKDAWIGYNLDFVATIDWSNAVFTALKDSVTHWHDLKNTPQNDQPKINACTVALVLLYIDQLETMLPTGVDPLYTPRINLYNKALVDSIVNADKDLGSDEPYPYGHLPDILGEQVAGLSADDRIDIIRVISEFDRQAKESAQQISQAICMVQIKQANACDRIVQMINSIKVRQSSGDHQQQIILSTDVEIHPSLPNLTSQQDLGIQSESIETPSSQPVDVVTPFTKSSTTPSLRCSASAKLQPMERTISSSNVIEDVLDDSFVANIQSLSIGGAVGTVSEVLQATIGSTVPVFEHCQLSADGHTPDNSVREHPPHTNDPVDIQKPTTCENIPNRQTV >LPERR03G19610.1 pep chromosome:Lperr_V1.4:3:15947385:15950102:-1 gene:LPERR03G19610 transcript:LPERR03G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSINGLRCKQLKLIILAFFMMFLLWKWERGTYYSTEILWPDSLVLSRPANSKFVDQHTSSIEDFPNADTLTESVVKVEQQVSAALPPLSIVSDSADVTDERKLPPSGKKDCNYRNGKWVSDKHRPLYSGFGCKQWLSESWACRLTQRTDFTYEKFRWQPEGCEMPEFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMTTGGRERPDVEDVGAEYGFLLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLDPSDPATSFAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVIKWLDTQLPHHPELKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGIFQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQF >LPERR03G19610.2 pep chromosome:Lperr_V1.4:3:15947387:15949156:-1 gene:LPERR03G19610 transcript:LPERR03G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSINGLRCKQLKLIILAFFMMFLLWKWERGTYYSTEILWPDSLVLSRPANSKFVDQHTSSIEDFPNADTLTESVVKVEQQVSAALPPLSIVSDSADVTDERKLPPSGKKDCNYRNGKWVSDKHRPLYSGFGCKQWLSESWACRLTQRTDFTYEKFRWQPEGCEMPEFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMTTGGRERPDVEDVGAEYGFLLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLDPSDPATSFAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVIKWLDTQLPHHPELKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGIFQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQF >LPERR03G19620.1 pep chromosome:Lperr_V1.4:3:15970735:15972865:-1 gene:LPERR03G19620 transcript:LPERR03G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAPLMKKITELKQSGLTGIWENFQLDSRPPSVANIARPAQAPMPEGPAVDFFNELDNDEEEEAIEATTAAIIPTVNITPSITASNAPAATFQAIPSLAVAVTTTVDVPSADKGKQVQGSPVAIEPSAGSDSEKTVSDEIIGRRYGLNPDQVTLMDRIEDQKNMTRLIQLMSEFSDLVLKVVKNSNAKDALLSVLAPLIEEGENVRDELAILKAEMTKSKNSEQNFKDSLRDLIKAKDSAEKRLAHTITLNVKSHEQANYYKDKLETLSKEHEDLKKNAAHELSAMKTKHNDELMKMKAELEEARKMNAELCQAAEPILDILHAATTESNTSSLQSMIEHLQSAPARLKKIIVESVSVACGQTLSVIKSLYPKLDLEPITLRYAEGTTDEKALELLNQVDGMAQVMAQDALYPEEEDNV >LPERR03G19630.1 pep chromosome:Lperr_V1.4:3:15982503:15988296:1 gene:LPERR03G19630 transcript:LPERR03G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPHHLLPLLILLATAAGVSTGADDLVAELQSLRSRSPSGVIHLTDTSVTRFLSAPAPRHYSVLVFFDAASLHSKSDLHLPQLRREFALLSASFLGNNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPDHARLAASEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQMILLVILVLVSIPFGIKRIMEGGTMLHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGSLYTLVGLMIAMVTHLLPRVDSLQTQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWRIVESQRGPGYGIVQVDHAEI >LPERR03G19640.1 pep chromosome:Lperr_V1.4:3:16020453:16021211:1 gene:LPERR03G19640 transcript:LPERR03G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILAEVAAFLLLAVATPSAAGEALPALLSSVVGEPAAALCSGSSTFAANSRFAGDLAHLLSRLEAKAPATVGFDIATVGAVHGLALCRADVARAACAGCIRAASGQARRLCPSRKDAVVWLYACMLRYSGGDPFFGEVDADHRAVAIPAPGSFERSADLDREVSRLMKRLTRMAYLSPLLFAAGESAVPVGAARRLHGMAQCTKDLSGGDCKMCLESSIAQLLSRGCAKEGGKLLGGSCSLRYDFYPFSDS >LPERR03G19660.1 pep chromosome:Lperr_V1.4:3:16037405:16041136:1 gene:LPERR03G19660 transcript:LPERR03G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSPATAAAAVSPPALFSASTSRPVSLTAASAVSARIPLSRRGFRRGRFTVCNVAAPSATEQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLVGENLPVVTAKITMVGTVRDAELRVKIVEERARFDRDPKAFRESYLHEQEKLQQQISSARSNLGAVQIDHELRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEYYW >LPERR03G19670.1 pep chromosome:Lperr_V1.4:3:16053717:16068494:1 gene:LPERR03G19670 transcript:LPERR03G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASRLLSSFRPFSLLLQLLDDAPSLAAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFDGGSGQNVVASDVPSNMQDLVMDKRRELIEVVSEVDDQLAEAFLNDEPIAANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVENYALDQNKSEEKVLLVGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYIERIRREYKVDAKVGKPRVNFRETITQRSEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTITGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYATTLRSLTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKTIKGTD >LPERR03G19680.1 pep chromosome:Lperr_V1.4:3:16072650:16076477:-1 gene:LPERR03G19680 transcript:LPERR03G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLESTLTVYDGFCNLRQIHRCSRTNIKAEHVPSNLETNLAINSVCTLQVLNQDETPLLYSLVFGGVVNDATSVVLFNAIQNFDLANFSSWTSKCLYHKKLYFGRHSTDREVSIMMLMAYLPYMLSELLDLSGILTVFFCGIVMSHHTQHNVTEFQGHNQVIIWWADLMRGAVSIVVAYNKREAEANSQQGKANQCQPGCPWPVGPCHSTCLQGYCLPRGAALDSTIP >LPERR03G19690.1 pep chromosome:Lperr_V1.4:3:16078679:16084798:-1 gene:LPERR03G19690 transcript:LPERR03G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSSNIVFTWMNTTARNLSALAGELVFGAEKGHSVYPGAPTDIVPSAGYFTCSSLNLQSD >LPERR03G19700.1 pep chromosome:Lperr_V1.4:3:16084993:16085265:-1 gene:LPERR03G19700 transcript:LPERR03G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSVVAAPGGRPCGGGKQHDRHRQPQSWSDRRRRDLREEDRREQVCSGPVDSRQPLADGDGKGRKDVWLHLALRSAKGDGGFGEGTRW >LPERR03G19710.1 pep chromosome:Lperr_V1.4:3:16106114:16113776:-1 gene:LPERR03G19710 transcript:LPERR03G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLVPSSPSSLPTALAGTPAVALALAMRGWWEEVNESPAWQDAAFFSLSAAYALVSAVALVYKAGPDEMVCILATLSSLAIACSIDQNSVRAVVFGFHAHVFLFQTRVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKSLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLVPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMVSSIKSSLSLVLPRACSNTLFSGTVNGDTSLCSCPIHSP >LPERR03G19710.2 pep chromosome:Lperr_V1.4:3:16106114:16113776:-1 gene:LPERR03G19710 transcript:LPERR03G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLVPSSPSSLPTALAGTPAVALALAMRGWWEEVNESPAWQDAAFFSLSAAYALVSAVALVQLIRIQVRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHAHVFLFQTRVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKSLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLVPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMVSSIKSSLSLVLPRACSNTLFSGTVNGDTSLCSCPIHSP >LPERR03G19720.1 pep chromosome:Lperr_V1.4:3:16131053:16135295:1 gene:LPERR03G19720 transcript:LPERR03G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPNSGMHAAAMATTRSLSLHGLPSPTADTLSSSSFHLRPPAPIPSWGALVVRAVSLAKPGCKPKNSDDTKESSRRRMLLAAGAAMFLSWSNPAASEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTNKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKESDVDGRTYYTFEFTAQAPNFTRHALGAIAVANGKFYTLTTGANERRWEKMKDRLHTVVDSFKIEARV >LPERR03G19730.1 pep chromosome:Lperr_V1.4:3:16135829:16138535:-1 gene:LPERR03G19730 transcript:LPERR03G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEEEELLVQESTLPIISDGDPSRPAVRSAHFLLPRAGGLGRVPKPLDAVLASAYRVRRNERILAQLTAFWSADTNTFAFPWGEATVTLEDVAVLAGLPLFGKPVRARMPAADVVALEAVRSALDRTTYRKPSYAGWVKHFLDRPAEAEDAGGLVEHGAFLAMWLSLFVFASPPFDVVRPQVLPVAARLARGQRVALAPAALAAIYGDLTALKRFFRSDQEAFFQVRAPMHVLLLWVWERFPQLRPDMASTPDTGTDARRALLVARWHDAHKAFDDWYVHGVFMSPDKFDWRPYGSRSIALPPRKSKAGSWVRGHCILTCPLHGACANYNPHRVATQFGFDQDVPGMVARSNSHWKEAWGTYTFGCQKFAFIVPHYKLGVTIEYARWWEPYSLACSALVSNYANTKEIPVLFTGSKQNIKELSGANSCKKRKEDPLDEIPLIERLNNIIVVRSSKQGQIADVARESVSKFIGGKEKNMIVQQDAEQYLSDSRRVLDSLADESSCVSVTKAKQRISLPRSKQEARHHALTYVVKTSNSVQVIFHHDIEGAASTGSNEANEAATTADMPPTLEDILVTSDDNIDEEAYVQEDVLGGINLKSPQMETATSTLFGLNEELNLVGAKNNGHDNPILNEATMEYSWGYELDAVLRGAALRQESTDATHFATIQIVTGKEQLAALESTEKDNEGNSESNQAAGSLIKDCVEETMAFLLTFLTEVSSKTLYYLTTFGLSKNTHERDASDTNRDQEVYEPRREVGTREVIEKSFAAREAQKVELETVIKYLKEQVGKGRVAGGKVGSSDRTGCCAAGTVEG >LPERR03G19740.1 pep chromosome:Lperr_V1.4:3:16140143:16142412:-1 gene:LPERR03G19740 transcript:LPERR03G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESHGSSGMAPATASSSGECSTPPFRLNVHAPEFVPMSPAASPMASPLAAAGYYSPFLHLPGGGGIGLGTDWSIFAEPDPTTFFLPDFGHAKVACVGVGNGQPKGASPADIAQKIIKQVEYQFSDTNLIANDFLMKIMNKDPECYVPMSVISSWKKIKAMRVTNQLLVNALRTSSKLVVSDDSKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARCSKSDTLVSNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSVIRLKRPDLDHLMVSDDEHSPRSQASSDSPMADHLAEDQHGKKSWGRGRGRPQAAPLQFNCSSSAAAGHLESLMMMSPRHAAGPRMPDGTRGFTMGRGRLRTAAPARAVVAHAHAPATVMI >LPERR03G19750.1 pep chromosome:Lperr_V1.4:3:16152020:16154698:1 gene:LPERR03G19750 transcript:LPERR03G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAAASWRRHAAAASSTSRILLLLVPVLLLLLFVLSRAPDLTLSPTATTAASSRRLPTSISTGSTCLTIPRARTRSPPPASPVIASLVEGVPRPFFYSLADMGALPDRPHKNIARLLKGKHFRKPDISETIQQLLLAGKEGVAAGSGFPGAVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEIAVERCNKVAFKEER >LPERR03G19750.2 pep chromosome:Lperr_V1.4:3:16152020:16155177:1 gene:LPERR03G19750 transcript:LPERR03G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAAASWRRHAAAASSTSRILLLLVPVLLLLLFVLSRAPDLTLSPTATTAASSRRLPTSISTGSTCLTIPRARTRSPPPASPVIASLVEGVPRPFFYSLADMGALPDRPHKNIARLLKGKHFRKPDISETIQQLLLAGKEGVAAGSGFPGAVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEIAVEVATIPLDEVILDADHVLMIKIDVQGWEYHVLRGATKLLSRRKGDAPYLIYEEDERLLQASNSSAQEIRAFLSSFGYNHCTRHGTDAHCTKD >LPERR03G19760.1 pep chromosome:Lperr_V1.4:3:16156042:16159433:-1 gene:LPERR03G19760 transcript:LPERR03G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSYLAVGGRFIQVIFDKYLSYQLRSWAADCGISHEMDRLRVALLRTQSVLHGAEVVPSLSCSSLPWMRELRGVIYDVEDLLDKLEYNRLHQQMQESNSTKSNSSPISAFMHSRFSNHGAQASGLEPNWDRSTKIKNQMVNLWERLEQVANGVSEAHSLPRKPRHSRYSIMTSSVTNGEIFGRELEIQKLASGGTAKKAALLLVLDDVWNNDKITLAIEYENWQKLLAPLKAAANGSKILLTTRSSMVAEMLQSIDTTHLEGLSEQDCWSLIKTTVFDDTNHTTNSQLENIGSEIAKTLSGLPLAAKSYENLPVHLQQCLAFCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELFSRSFFAIQKKEFVSYYVIPPINHKLTKSVSAEECFEIGDIGSLRYLQRLPIFKVTSEETNSITQLGYLQELRGSLHIRNLENIDTPDEAKEALLSCGRAPARDEVNSNKEAEMLEYLQPHPNLKRLDITGWMGIKAPSWLESKWLINLELIFLSGCNAWEQLPPLAFENAEANRPRGIWQWQFTASTTRSASICQDNLVAAFENAEANRPRGIYGNGSSQVAFQSLEELVLDDMQELNEWSWTGQEMMNLRNSVIKDCRKLKEMPPLPPSLTELTIAKKGFWVPYHHDVKMAQLTTASITVSSLCIYNCPKLLARFFSPMTNGVVASFQSLRSLIVDDTTILTCPLLRERLEHIGSLDIQDCSEITNFTADNKDVFQHLRSLQRLCISGCNSLRSLPSSLSTLESLDKLIFWNCPELESLPDEQLPLSITKLEVALCNPLLKERLGKECGVDWPKIAHIPRVDIDGEILQWLQGEN >LPERR03G19770.1 pep chromosome:Lperr_V1.4:3:16190622:16196174:1 gene:LPERR03G19770 transcript:LPERR03G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGVHHHHSSSSSSLSPRTPTHAHTHLLRIPSNRLRPAAAADHLHHPSPHHTHPSPHAASKALRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPSSSKNRAKLLLPMADSSSSSSSVPPSTGLELFELDGGRIRAWISNVGATVTSLLVPDKNGVLGDVVLGFDSLDPYLDDAQLHMTRFSPFSQAALDRKCQVCNAVQPAGVHIVGQFPMAFPFCSTLFKNGTSPYFGCIVGRVANRIKDGKFTLNDMQYSLAINNPPNTLHGGFKGFDKIIWEVAEYIKGENPSITFKYYSKDGEEGFPGDLSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHSVQIWGSQITPVDQTSIPTGEMVLVSGTPFDFLTESTIGSRIDQVPGGYDHNFVLDCGEVKSGLRHVAKVTDTSSLRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKYSHTMLFEFSTK >LPERR03G19780.1 pep chromosome:Lperr_V1.4:3:16197355:16197690:-1 gene:LPERR03G19780 transcript:LPERR03G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREIEYFRSHGHGKSKSNSNISSNKQQAATGGGVGRPQSKVRSLWKKGAGVGGAYFLPSICSSAEVTEAAAGARVRYRHLGQEDEGDSLRWE >LPERR03G19790.1 pep chromosome:Lperr_V1.4:3:16221948:16224558:-1 gene:LPERR03G19790 transcript:LPERR03G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDGGGKATDELSNRLEDILAKRDGVPWTRRVWSAAVLELRLLAPVAAPAVVVYAINNALCFSTLIFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYMQRSVPLLTGVAMPLAAVYALSRPILLLLGQSPAIADATQLFMYGMIPQIFAYAANFPIQKFLQAQSIVLPSAAISAAMLALHLVFGWLAVYRLRMGLLGASLVLSLSWWATVVAQFVYIVTSDRCRRTWTGLSWRAFSGLPGFLKLSASSAVMLCLETWYYQIIVLVAGLLPNPQLALDALTVCLTLFGWMYMVSVGLNAAASVRVGNELGAGHPKAAAFSVVAVTALSLVITTAMAVMFLIFRGYISYIFTKGEAVAHAVSDLCPLLAATIVLNGIQPVLSGVAVGCGWQRFVAFVNVGCYYIVGIPLGVVLGFEFHFGAKGIWAGMLGGTCMQALILSWNIFRADWSKEVEETKKRLKKWEGKKHEEATFD >LPERR03G19800.1 pep chromosome:Lperr_V1.4:3:16233214:16234596:-1 gene:LPERR03G19800 transcript:LPERR03G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSSTPALFLVPALTLLEDSNVLALTKQRATLILAHARRRNRSSEFTSQTPNLWLLGNHPLTAGVSVGVALLVIIVSCAYMIQQKKRLATIKRRYFNQHGGLLVFEEMKSNHGLSFTLFTEEELEEATKNFDERNVLGKGGNGTVYKGTLKDGRAVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHDGLMGNAFHSRHIAREAAEELAYLHSWASPPIIHGDVKSPNMLINENYTVKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMVTCKLTGKSDVYSFDVVLLELLTCRKALNLQALEDEKNLSSHFLLALSENRLEGILDSQIQSEQSIELIEQVADLVKQCLEMTSEKRPSMRQIAEELDRLRKLANILGDDMLVVRRWRNCLLEDRQAHILK >LPERR03G19810.1 pep chromosome:Lperr_V1.4:3:16235957:16238285:-1 gene:LPERR03G19810 transcript:LPERR03G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGDYMALCALALTYVMGQRYLETLKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >LPERR03G19820.1 pep chromosome:Lperr_V1.4:3:16244696:16246399:1 gene:LPERR03G19820 transcript:LPERR03G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQCDSHHREPGQRRPMCGVCTKPLRLCLCGRLRSPPLDTAVGVTILQHVMEANHPLNSTRVVRLGLRNLAVVQVGDVNHRATFHLTTLDSVAGNRRCSDGPGEDDGGNVSEFQMAAAQNCNGICKENVETGAVIAQGWTVKNMDKCSIAYTEKELQIDIERGVKPKIRWLSRGLLGLSAVSNGFTVTKIQMKKSKLTGEVSVFEEFTITIPPNSALLFPCQRAISIDASGCHVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKIESDGASLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDNLLDVFGSMIADQRRCKDENWKHKLESKT >LPERR03G19830.1 pep chromosome:Lperr_V1.4:3:16246853:16251536:-1 gene:LPERR03G19830 transcript:LPERR03G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSPMEERAEARRGPPMAGVLPPPPLLLLLLLLSVCSCAAAAASGAPVGEDYVRPPAAPARLCGVHRKALLSIFPWSEKKKASSSDSDPQQVHISLAGEKHMRITFVTDDNTVPSVVDYGTETGTYTSTSEGESTSYNYLMYSSGKIHHVVIGPLNDNTVYFYRCGGHGPEFQFKTPPAQFPLSLAVVGDLGQTSWTASTLDHIKQCEHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKESILFFKSGFQSYNARWKMPYEESGSTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDTMMAAMEPLLYAAHVDIVIAGHVHAYERAERVFDRGLNPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKVVNATHAHWTWHRNDDEEPVRTDDVWINSLAGSECIKGSSHEYRKILMSP >LPERR03G19840.1 pep chromosome:Lperr_V1.4:3:16254979:16256780:-1 gene:LPERR03G19840 transcript:LPERR03G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRLLNGERQDTPVMHVENGVVMKESDNTSPSKLLRDCLVIWRRLKKDNCAKAENIIQTSTNGTVQTSKMSVSRRLRNRRSASSGIDDENSSTSGGASVSSESDDESNGPSKNSEQCKGVILSCEVQNCSKGRAERESEQAFKSLSGNNLKNVPPETNPCGIMQQEEQDNLSCCWNGGSYTSKEPVALSHPESSVQEKVQHGTKNDHLDARRKYELVVGCEIEKTLEADGAKSGEQIASSSTVPKLLDKKDAAHCSMDDDGMKVNVSVCSNQDSEIAQFSATKLDEGTADKFLDKAVNLSMGSDCRDIQWGATDCNVLRTKQEHSQHPDSEQDMHHMEREEDYVSSQALAVASNQQVPCQFDSDRDNPYTTRQADWNSCSLIPDLNSLPSMNTGEEPMPFEKVNHLLNGDGTKPQNDSKSLLAASCEPTLKEPNRQPEPSELIGGICEKEGANRFQSPNSHSGPSQQSIVEKSSMAIDAFKCALCEFIKNSIKPLWEDGLLSREVHKIIVRKAVDKVTTVLGSKVPLTDIAIFRFLSDESQNLDKLVQDYLDLYLGKEVLKKKNFQ >LPERR03G19850.1 pep chromosome:Lperr_V1.4:3:16256833:16257076:-1 gene:LPERR03G19850 transcript:LPERR03G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSENQSKESCYPKKDWQSYPHGDVSHSGFDLLRNDDREGNTKKMTKGGQNGESEVKPSCHQMDQNKVKEVTT >LPERR03G19860.1 pep chromosome:Lperr_V1.4:3:16257164:16257777:-1 gene:LPERR03G19860 transcript:LPERR03G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSFTWSCSDKLPVTKGVVPSLDRVRKYAKGTDGHMLPTERLEYFSSNQWNSTQIRNYQDLNLPNAYFSATDRAMHRSYMEKFDTYNKREGYSLKDLMFNISDNTSAGGTCKRAEEGRAHPKKSIDEFHHERLHRSPCGNFIIDHLVSNRNHRNAHKGKMSRRQCAKDDL >LPERR03G19870.1 pep chromosome:Lperr_V1.4:3:16258188:16259324:-1 gene:LPERR03G19870 transcript:LPERR03G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSLPFGLVFGREQASGGYRACSEQYEWQQLALREREQATREQQHCRHDLQSHGETLNDRSCRRSFMGTETKDLMSFSRNDVETEESFAEWD >LPERR03G19880.1 pep chromosome:Lperr_V1.4:3:16268908:16269284:-1 gene:LPERR03G19880 transcript:LPERR03G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPDMDIRCSMLLTVNEMGHALSSPHGVPEIENSFQNYIEVL >LPERR03G19890.1 pep chromosome:Lperr_V1.4:3:16274359:16276041:1 gene:LPERR03G19890 transcript:LPERR03G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYCRAGQLAAARRLAAAVPVPPNAYTYFPVVRGLCARGRIGDALAVLDEMSLRGCAPIPPMYHVILEAACRSGGFRSSVKVLEALHAKGCTLDTGNCNLVLNAICEQGCVDEAVELLRNLPLFGCQADIVSYNAVLKGLCMAKRWGDVEDLMDKMVRVDSPPNIVTFNTLIGYLCRNGLFEQVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEELVSEMFRKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLGHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCLPNPVTFNTLINFLCKKGLVEQAIELLKQMLLNGCSPDLISYSTVIDGLGKAGKTDEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDSIEDATIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTILIKGLTSEGLVKEAQELMSELCSRGALRKHLMRHFGIV >LPERR03G19900.1 pep chromosome:Lperr_V1.4:3:16279661:16283807:-1 gene:LPERR03G19900 transcript:LPERR03G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDPCLGQLSTVKPAKPLPCLSLRSSHPRGRAAAAAPPFLLLSPRLAPTPMMQPPPQQWAMGPPPPRYFQGGPPPPPHYFQGPPPPAAMWGQPPPPPQAAAPAPAAGGGGAGGDEGVKTLWIGDLQYWMDESYLYNCFSQAGEVTTAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGTQVFKLNWATSGGAADKRGDDGSGHTIFVGDLASDVTDVILQDTFKSHYPSVKGAKVVIDRSTGRSKGYGFVEFGDSDEQTRAMTEMNGQYCSSRPMRIGAASNKKNIGGQQQPSAMYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQTFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSVRLSWGRSPGNKQPQQDQNQWNGGYYGYPPQGYNPYGYSRPSQDPAMYAYAAYPGYGNYQQAPPQQPPQQS >LPERR03G19900.2 pep chromosome:Lperr_V1.4:3:16279659:16283807:-1 gene:LPERR03G19900 transcript:LPERR03G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDPCLGQLSTVKPAKPLPCLSLRSSHPRGRAAAAAPPFLLLSPRLAPTPMMQPPPQQWAMGPPPPRYFQGGPPPPPHYFQGPPPPAAMWGQPPPPPQAAAPAPAAGGGGAGGDEGVKTLWIGDLQYWMDESYLYNCFSQAGEVTTAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGTQVFKLNWATSGGAADKRGDDGSGHTIFVGDLASDVTDVILQDTFKSHYPSVKGAKVVIDRSTGRSKGYGFVEFGDSDEQTRAMTEMNGQYCSSRPMRIGAASNKKNIGGQQQPSAMYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQTFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSVRLSWGRSPGNKQPQQDQNQWNGGYYGYPPQGYNPYGYSRPSQDPAMYAYAAYPGYGNYQQAPPQQPPQQVKAFLHFTLDMELMLITDKVTILCFLILFCSKS >LPERR03G19910.1 pep chromosome:Lperr_V1.4:3:16289419:16292599:-1 gene:LPERR03G19910 transcript:LPERR03G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMPPMSTPALAAASMLLPALLLFVVALLRPHRTSRQGSLPPGPAGLPVIGNMHQMLLNKPVFRWMHRLLAEHGGGEGEIVCVRLGPVHVIAVSSPEMAREVLRKNDAVFADRPRTFAAEAFSVGYRSASISPYGDQWRKMRRVLTAEILSPFTEHRLRAARDDEADHLLRHVYLLATAPVVDVRHVARHFCGNVIRRLTFGRRHFRQPAPADGAPRREEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVVRSIMATLTRLHDPIVEERVEEWRLLRKAGETRDVADFLDVLASLDNDAGQPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMVKKPEVMRKAMDELDAVVGRERLVQERDVRGLNYLKACLREAFRLHPYHPFNPPRVAMADATIAGYTVPRGSQVVLSRVGLGRNPRVWGHDALVFRPERHLDDADANVSLTEAELRFVSFSTGRRGCPGVSLGTLITVMLFARLLQGFEWSESEADGTGVELREAEESLVLARPLLLRAKPRLPAHLYAYAGVN >LPERR03G19920.1 pep chromosome:Lperr_V1.4:3:16296495:16298921:1 gene:LPERR03G19920 transcript:LPERR03G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCPRHRRAQRSQDRSKESHRGVKKFHQIRCGRAAAVPPRHPFTDEPRRRAEFTDYIAADPEEAEGVGPEDEIVYMEMLWVIWKVKDYKPLMAI >LPERR03G19930.1 pep chromosome:Lperr_V1.4:3:16323164:16329995:1 gene:LPERR03G19930 transcript:LPERR03G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVKTSVNCREVITEAAAAALAKSNLIPERYLRPDEVQAGTIIANDSDDDAHELPVVDMGRLLDPGLSEMEVSKLGSACRHWGFFQLVNNGVHEQVVSEMRDSIVKFFSLPLKSKKTVEIQENGYEGWASANTHRSYMQFVSDFDQLMSDRWWKTTHHLVFDVYVEPHRAHRVEFVDTFSRDSEELVESQPPRASPAQLAYYGAYEDVPWICFVVSLVEAHLISSSRHHVEDRCPTIFQAHPSSHVSLTWGEQATVGLLASPAWGALLTVGLLLTYNISQKNLNFSGSSTGKLDWSENLLLLTQRTQDRTLQLWPTNPSPFRYEHTCINNPLFVSVPLS >LPERR03G19930.2 pep chromosome:Lperr_V1.4:3:16330002:16331061:1 gene:LPERR03G19930 transcript:LPERR03G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNICIDSDALDRYSVETTNLEMRILRFMAADLGIEQGLLLGAFRGERQSASIHQYPPCRHADKVIGITPHTDGLALTILLQVDDTPGLQISRDDGGGRTWFPVRPRPGTFVVNVGDMLEVLTNGMYRSVEHRVVITDAEKCRTTIGMFHEACVDGMVRSIPELLGGAEARYKSTNRIEFARSLKIWIILNLGIRPSGPKFTLPGAGA >LPERR03G19940.1 pep chromosome:Lperr_V1.4:3:16357258:16357601:-1 gene:LPERR03G19940 transcript:LPERR03G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSDDQYPPLLSSAADAAACAGGGRGAHGGSEELERVLGDETVPAARRLARAARVELRMLVALAAPAVAVYMINYAMSMSTRIMCGHLGTLELAAASLGNVGIRSSPTASW >LPERR03G19950.1 pep chromosome:Lperr_V1.4:3:16370279:16375909:-1 gene:LPERR03G19950 transcript:LPERR03G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGKIDDATAEISTDIIDSNHELSEPKPNQTEASLEGASQLVFFLPWPPNAHRRAIDMGSSGEVEEKLQRPLLGDGGEGHGVSGQLESILSDESLPWARRMWAATAVEMRLLLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGIPLAVLYAFSRPILVLLGESPEIARAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLVVHLVLSYLVVYQFGLGLLGASLMLSASWWVIVAAQFVYIVTSRRCRLTWTGFSVLAFSGLPEFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMMSVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVMSFVLSVIISLIILLCRDYISYIFTDGEDVAAAVSKLTPLLVLTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWNREVEEAMKRLNKWEDKTPLLAD >LPERR03G19960.1 pep chromosome:Lperr_V1.4:3:16387264:16391267:-1 gene:LPERR03G19960 transcript:LPERR03G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSQQQQPDPNFQDNPMQPWYPPFVVGSSSHPSTPSSSSVSPHQRDSDNPQSSLRGQPSPAEAARIIAHLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLLDELRKETMQLARVNLEKEQRISELRNQCTIIRTTELAAAQDRLADLERQKDEIMKFYSPAALLNKLQKSMAKLDEESEELHQKFLEKDIDLPPFVQKYKKLRTAYHRQALLYLAGKTSLR >LPERR03G19960.2 pep chromosome:Lperr_V1.4:3:16387264:16391267:-1 gene:LPERR03G19960 transcript:LPERR03G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWYPPFVVGSSSHPSTPSSSSVSPHQRDSDNPQSSLRGQPSPAEAARIIAHLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLLDELRKETMQLARVNLEKEQRISELRNQCTIIRTTELAAAQDRLADLERQKDEIMKFYSPAALLNKLQKSMAKLDEESEELHQKFLEKDIDLPPFVQKYKKLRTAYHRQALLYLAGKTSLR >LPERR03G19960.3 pep chromosome:Lperr_V1.4:3:16387264:16391267:-1 gene:LPERR03G19960 transcript:LPERR03G19960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARVNLEKEQRISELRNQCTIIRTTELAAAQDRLADLERQKDEIMKFYSPAALLNKLQKSMAKLDEESEELHQKFLEKDIDLPPFVQKYKKLRTAYHRQALLYLAGKTSLR >LPERR03G19960.4 pep chromosome:Lperr_V1.4:3:16387264:16391253:-1 gene:LPERR03G19960 transcript:LPERR03G19960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARVNLEKEQRISELRNQCTIIRTTELAAAQDRLADLERQKDEIMKFYSPAALLNKLQKSMAKLDEESEELHQKFLEKDIDLPPFVQKYKKLRTAYHRQALLYLAGKTSLR >LPERR03G19960.5 pep chromosome:Lperr_V1.4:3:16387264:16391253:-1 gene:LPERR03G19960 transcript:LPERR03G19960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWYPPFVVGSSSHPSTPSSSSVSPHQRDSDNPQSSLRGQPSPAEAARIIAHLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLLDELRKETMQLARVNLEKEQRISELRNQCTIIRTTELAAAQDRLADLERQKDEIMKFYSPAALLNKLQKSMAKLDEESEELHQKFLEKDIDLPPFVQKYKKLRTAYHRQALLYLAGKTSLR >LPERR03G19970.1 pep chromosome:Lperr_V1.4:3:16403943:16409370:-1 gene:LPERR03G19970 transcript:LPERR03G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGHGASGRLESILSDASMPLGQRAWAATSIELGLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLQLAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAYGAHKYEMLGVYLQRSAVLLTITGIPLAVIYAFSEPILVFMGQSPDIAHAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLVLHMLLSWLVVYKVGLGLLGAALVLSFSWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESWYFQVLVLIAGLLPNPELSLDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTGSCTIVSTILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVLKLGVKGIWGGMLGGTCMQTAILLWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLAGIRDNN >LPERR03G19980.1 pep chromosome:Lperr_V1.4:3:16420895:16421431:-1 gene:LPERR03G19980 transcript:LPERR03G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRGLLELMAAVDAGLVSVDDNDSAHKFTGHRRGRHGGRQRVREPAPPPASLTEDDASFEFSAAVSYSSSSPASMVFSDGQLRAHQFPAVRSSSTNAAAGSQVVAKAGISKKKRVSFKNGDDGTAGQTTASKAGEQQRAKGGGLLGCMGSTCGSSRNEVVEPGKMNANRKVIVAVA >LPERR03G19990.1 pep chromosome:Lperr_V1.4:3:16433639:16439141:1 gene:LPERR03G19990 transcript:LPERR03G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMPPMSTPALAAASMLLPALLLFVVALLRPHRTSRQGSLPPGPAGLPVIGNMHQMLLNKPVFRWMHRLLAEHGGGEGEIVCVRLGPVHVIAVSSPEMAREVLRKNDAVFADRPRTFAAEAFSVGYRSASISPYGDQWRKMRRVLTAEILSPFTEHRLRAARDDEADHLLRHVYLLATAPVVDVRHVARHFCGNVIRRLTFGRRHFRQPAPADGAPRREEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVVRSIMATLTRLHDPIVEERVEEWRLLRKAGETRDVADFLDVLASLDNDAGQPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMVKKPEVMRNAMDELDAVVGRDRLVQERDVRGLHYLKACLREAFRLHPYHPFNPPRVAMADATIAGYSVPQGSQVVLSRVGLGRNPRVWGHDSLVFRPERHVDDADADVSLTEAELRFVSFSTGRRGCPGVSLGTLITVMLFARLLQGFEWSESEADGGVELREAEGSLVLARPLLLRAKPRLPTHLYAYASAN >LPERR03G20000.1 pep chromosome:Lperr_V1.4:3:16455109:16458909:1 gene:LPERR03G20000 transcript:LPERR03G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHQLSTFGWPASAFVAAVLARLISKGLTLIADLDDTAVGHLRRLEALLAAVWRVLDAADAGAIDVSQRPLHDLLDAAYSADDALDDLMARGGAGEDHLTVDDGTGAAAAARKPRSPLRFLFCFSPTRNSVAGSSSGGGGHGKSSKGKKISSSSSSSLDLRGLEIAFEMMAQAAYRCTTAYEHVVPGKNYATMVSVSAQAETETDAADQDQFQGDIFGRETEVEQIMEMVRFSDEDPHYRLGIGVLPIVGVEGVGKTALARFVFDHEIVEADFPVRMWVHVSGEVRVKEELMVQMIHGVVRDGHGPEVEDIRELLLQELTGKRFLLVLDNVSDADNIQWNDLIRLLQPAARRSLIMVTTQSEVAAKSVGTMPALMLTPLDLEVYERMFKHLAFDSTDESEECTPLGGEWDDIEEDGDEEEKSPMETIASELAKKMGGLPLPATAIARALFFRQEDEDHWKDVVEDKIWEQQDVAGISPALWLSYRHLDPRLKQCFVYSAVFPGSHVFSKDELVQMWVAQGLIYSEDAAARPEDIGSVFFDGLVERCFFQHVGGSRYVMHDSMRKLAQAVSTDQFFMVTESSGKVPVEVRHLTITTNNLSKLKSDLALNIPHSSGSDQHLLYRVRTIMFFADFSNSDEFIELLADIFYTAKSVRVLGLIYANIKYLPAEISLLQQLRYLNLFGNQIADLPDSVCNLYLLQVLDVRCSSPYLSPPNGITNLIHLRHLHASAPFLSGITKIQNLSHLQALEGYNVSSNSSINNLRGMTQLRGTLCLKDLHHVDLSEIRKDILKGMQHLKRLELSWCSDGKSREISIDEDTLECLQPHQNIKDLRITGYGGIKCPSWMLKTTCSLLNVTSMFLTNSVNMKNLPPFHILPCLEILELRRMHSVNKVNIVPQRADQIMFPKLKRLVFEDMFNCTEWSTGSLKTKNIVFHCLCEIHIRNCPKLRNFPDLPLALKTLIVQNVGLETLPRIHDRHSSSSTSDAMETSKEGRWTSRLTTLQIHQCDSLNSLGSSLFQQQNLLRSLEVLSIKNCDNITCDLSDGFQDLTALTDLSLYDCPKLLIDKFHTSLRKLEISECFIAQGAWVDDYPFLFSVWTLKITSCSHVSTDQESRIEPLDWLNCLFNVCNLQLENTLHLKLSMFDRLRSLEILEIDGSQSFFDDLVQEFEWLVKLQAMSIRNCKELCSLPENFCALPALEELCIENCPALEALPESGLPTSLKRLSISKCSPRLTQQCLDDELNRPKIEKIAVIYIDGKCISIQQK >LPERR03G20010.1 pep chromosome:Lperr_V1.4:3:16464846:16465148:-1 gene:LPERR03G20010 transcript:LPERR03G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFLFIFLLQLQHHLHFMASKNNAPTWADQWGAGSFKKGGDGSGSNEKKTTVAGNVKAAASESLVKAKAAALVGAQKVKSGTSSGIKWVKDQYQKRASK >LPERR03G20020.1 pep chromosome:Lperr_V1.4:3:16473616:16481439:-1 gene:LPERR03G20020 transcript:LPERR03G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVVGRESREEIILVDVNDDDDVAPAVRRQDSLYVDATRAPGANQRGGQESWARTVRLAFQCVGILYGDIGTSPLFVYSSTFKDGIHHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHARVSLIPNQQAEDELVSKYNTGKPQATLRRARWMKDLLETKRAIKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVAILVLLFAIQRFGTDKIGYLFAPIVLLWLLLIGAVGIYNTIKFDTGVLRAFNLKYIFDYFRRNKKEAWISLGGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVVFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTIGFKTTVIIGEAHAICVVLVMIITTLLLTIVMLLVWKISIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSLVLMIVMCVWHYVHVKRYEFEVKHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDKSERFIFRHVDKEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYAMGGDEQIFRSTSYASSIADSFASYEKHSGNAVYAEEMLTPAESFSEHTKQHSGRSKHFKQFQLENMNMEKMEKIQQEQHAILREMENGVVYIIGESDMVARPYSSLINKIIVNYIYSFLRKNCRNGEKMLSIPRSQVLKVGITYEI >LPERR03G20030.1 pep chromosome:Lperr_V1.4:3:16495268:16500148:-1 gene:LPERR03G20030 transcript:LPERR03G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGSARSAGSRQLEIVAVRSGSGGGAGDVEAPPLDVLRQDSLYRDATRPAHGFHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKKGITHDDDILGVLSLIIYSFILFTMVKIVFIALHANDEGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPSATLRRAQWMKHQLEKKAAKITIFFLTIFATALAISDCVLNPSISVLSAVNGLKLRAPHLTRDEVVWITVGILVVFFAVQGFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDIGVLRAFNPKYIIDYFRRNKKEGWVSLGEILLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHTGQEFIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYAGQLYSPEVNFFLCLLSCVITISFRTTGFIVKAHEICVVLVMIITTLLMTVVMLLVWKVNIWWIVVFFIVFMSTETIYLSAVLYKFTHGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELAHTVSPNKVKELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISVKHLPIPYVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADNFVTTLVEYLQYYIRDLNLFNNADEPQKMSCPSIRMDSFTWDRRPSGHGIHAEEMLTPIQSFSELTMHPVGMSSRLAQFQTAKMNLEEMLRIEEDQKLIQREVDNGVVYILGETEVVAKPHSNILKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >LPERR03G20040.1 pep chromosome:Lperr_V1.4:3:16567216:16569650:-1 gene:LPERR03G20040 transcript:LPERR03G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYLARGASKVVRRITSETSVELKILSEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPILQDLGFMILPELGKERGYISETLFTFIFVSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQLLRIVTFYSTQLPGPNYHCREGSPLAKLPQPQNVAEVLLINFPRGVIYGCGSTSVLPVSMKEKDSKIKEDKTRLLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >LPERR03G20050.1 pep chromosome:Lperr_V1.4:3:16576629:16580862:-1 gene:LPERR03G20050 transcript:LPERR03G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCRVDEKELVVVDLENGRPDDVVRQDSLFREVVRAEHGGHWDQEDSWRRTMMLAFQCIGILYGDIGTSPLYVYSSTFEHGIGHPDDIVGVLSLIIYSFLLFTVIKIVFIALHANDHGDGGTFALYSLISRYAKVSLIPNHQAEDDLICGYSNDGKPSATLRRAHWLKKLVETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKAPYLTTDQIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLTGATGLYNLIKHDISVLRALNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFGLLPSVLLTYIGQAAFLSKNPDSVANTFFAATPKICVILVMIITTVLMTLVMLLVWKINIMWIALFFVIFTSTEAVYLSSVLYKFTHGPYVPVAMSVVLMTVMIVWHYVHVKRYKYESEHTTSTDKVRQMLESSDLKTVPGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFVSIKHLPVPHVDTSERFLFRLVELKEYKVFRCVARYGYRDSLEEAKDFIIALLENLKHYIRDVNLYTLDEPHNISSHSSCNHSFSRDKPSGRYGVHAEEMLTPIESFSEITTLSNCGSIRLPQLKTSKMNMEELVKIEQEQLFIEKEMEKGVVYILGETEVVARPHSSLLKKIVVNYIYSFLRKNFVQGQKMLFIPQRQLLKVGITYEI >LPERR03G20070.1 pep chromosome:Lperr_V1.4:3:16606754:16611326:-1 gene:LPERR03G20070 transcript:LPERR03G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGSAAAAALGGGDEPLVFRGTISRKWTFLLCLGSFCIGLIFTNRMWTMPEPKEIIRRSALEVNKMNLVSGDCAQKSIMEQKDIVGEVPRTQDALQALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSVRATWMPQGERRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKAFFVTALSTWDAEYYIKVDDDVHVNIAILGNILVKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENDDTIWSAKF >LPERR03G20080.1 pep chromosome:Lperr_V1.4:3:16626824:16627648:-1 gene:LPERR03G20080 transcript:LPERR03G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQSLLLSKYSLEPGHLGAPWPHTTSRWSPSNPFRRKGRFEKKSPPFLSPDQNPIAGTSLLEDLQCDHEVSYRYKYKFIRATTSNFPSGIMKENRGSKGSIGNGGMLRIQHLVSVASPCRTVGVFNTSVMLMEGLNS >LPERR03G20090.1 pep chromosome:Lperr_V1.4:3:16630917:16635124:-1 gene:LPERR03G20090 transcript:LPERR03G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVSTWTGAFALGAAACVHHHASALASNIKSLPNYWQDMTMMLDQKRWKKILEKKQQQA >LPERR03G20100.1 pep chromosome:Lperr_V1.4:3:16637343:16639555:1 gene:LPERR03G20100 transcript:LPERR03G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSLLASIFTCLASTVTSNWRGGQQRRYQQSAIHRRHRHLSIIEATPHRNYAIVIRFSQPLNAIAPDEISLGEDDVCTQPQERFSNFFSLSHQC >LPERR03G20110.1 pep chromosome:Lperr_V1.4:3:16667059:16671062:1 gene:LPERR03G20110 transcript:LPERR03G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAPEEKGEEMGEEEEEVALKKGPWTTAEDAELVQHVRQHGEGNWNSVQRMTSLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRAKRRQRAGLPIYPPEVQLNHHHLNLNFANANAKRRRYDDFFSSSSLSPPQSASASNVLLSPDDAAAAAGYTSARPAPLDLAGQLAMGGNTSGGALQFLAATPFSAPSSPWAKPFARNAHFFRFPHSSPVSPTTPPTPTTGLSLGGYGLGLYHAGDRTARLFPPVSQSLGARVELPSTQFRPAMTPAAATGGLAGGGGGALMQDHPNAASFEAMMQELHDVIKTESPVPAANGGGETGGVISGDSKLEVELKDDIETLFDIIIPATFATAAPESLTATTAASAPNNSGSVSQHSSDDQDHSNGADVTIDLPVLTGGGGDGDGSSEQEWCIDGATCQWNNMSGGIC >LPERR03G20120.1 pep chromosome:Lperr_V1.4:3:16676595:16677863:-1 gene:LPERR03G20120 transcript:LPERR03G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTHLSPTRLKPPPPPPSSSSPIHHLPLLRRNRHHSNSKPISTPAAAASPSDLLASVESVASAASVLAAIVLVHESGHFVAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFSPDDPDLLRNRPVPDRLLVVSAGVAANLLFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVTVSRFGKEAGDRRSIDLTLVPDTSVDGTGRIGVQLSPNFRVTRVHPNNLAEATVLALREYTALSGTVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >LPERR03G20130.1 pep chromosome:Lperr_V1.4:3:16686663:16688307:1 gene:LPERR03G20130 transcript:LPERR03G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQRTLAATDVHSTRYASERLRLSELQQFACDAQDAIDEYKFELLRRRMDDPNNHGHGSSNRKRKHKGDKKEPETDPDEVSIPDELAVHVRKILEKFKEITKAWGDLRMDDIDTSTQDEEDIIFPVPTTPHVDEPTIFGRDEDKEKIIKMLLSVYGANGGDVSVLPIVGMGGVGKTALVQLVYNDQRTLNRFDLMGWVHVSENFDLKTIMSKIIMSFTKKPCQVTEMDQLQYMLIEQVVGRKFLLVLDDVWNERKDLWDVVLSAMSPAQSSIILVTTRNTSVSTMVQTMHPYMVSCLPFEESLQLFKQMAFSHQGESMEIDFEDIGRKIVKKCAGLPLAVKANGSALRFEKNEEKWNYI >LPERR03G20140.1 pep chromosome:Lperr_V1.4:3:16690011:16700030:1 gene:LPERR03G20140 transcript:LPERR03G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILKVCFSKKLVHIEINNKNLPMLEALAISGCRGLSSVAGLCSLESLKLLNIKDCPNMQFPLQPLPQQLRQCIISNCPQLHEWAEWQQSLIDGEDKLQEFDKESYDQETLDALSDDTDDDFEIFNEDEDDNFYDEMLDMAGLLASGIIKWTANKLSSLLSPPIGSSSTDEQSASFRDLRELQRTLARTQRSLNALDERNIRGEAERLRLWELQQFAYDAQDAVDEYRYQLLRRRIDDQNNQGQSRRSRKRKLKGDKKEPEPPPIKIPVPDDLAARVRELLKKFNEITKEWDDLRLSEFDAPIREEDYDIKISTTSHIGDFNIVGREEDKENIVRILLSPGAAQRHMSVLPVVGMGGLGKTTLAQMVYNNERVSKYFKLKGWVDVSEDHFDIKAIARKILMSFTGKTCDIEDVENLQNMIMAQVEDVKFFLVLDNVWNVEKKNWEALLSFMECARLGMILVTTRNEAVSKMIGTMKSYDLNLLPFEESWQLFKQIAFVFIDQNMHEQFEGFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSDSDQWELPPTEKDPVLPALKLSYDRMPAQLKRCFVLLSLLPKGYYFWKEDMMNFWMCLGLLKQYSSCRHENIGRLYFDDLIQRAMVQRAESDEKLECFVTHDLIHDLAIFVSQGDLLRINTQYFQEAPKKFRYLSVVVNSSDHRNVDLNSLTIPRGVRILKFVNAQDNQRCSSKLFSSSINIYIPADTWPNLIQLRALDFSHTAVTQLPNSIGDLKLLRYLSLFQARITTIPESISDLHYLRVLDARTDSLREIPQGIKKLVNLQHLNLDLWSPLCMPCGIGALRKLQTLPRFSIGGGSWHSNVAELHHLVNIHGELCITGLRKIRNVDDAQTANLVSKKHLQILRLDWSDGVCINNCSHSSSQNDVATPELEEEIFESLRPNKNIEELEVVNYNGYKYPSWFGASSFMNLGKIILCQQSCKFLPPLGELPQLRMLSIIYMTAVEHVRQEFRGSVTTKAFPALEELEFEEILKWIEWSHVFQDDFPSIRLLKIKDSNELRYLPERLSSSLTKFVIKDCSKLASLPAIPNLTTLVLKSKINEQLLNDLHFPHLRSLKLLLSRSIEHILLDNQNHPLLEVLVISVCPRLHSIMGLSTLGSLKILKINRCPYLQLSFDKPLPPQLRRLTITKCPLLADWLEIQSSRHQYQLHEPEDGWCEEQQALAELNDASEDEQREEFGLLSEDENGEDNDEQDHEQSEDEELQYGSDGSTDEDE >LPERR03G20150.1 pep chromosome:Lperr_V1.4:3:16714012:16717562:1 gene:LPERR03G20150 transcript:LPERR03G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGETPGIGYGGLDQNSKAYPFPNLDYYENVLQPDGLGLPSIRAGSRSGGGAARPPHQPRERKTRMSRTGQGQRGFVHLGGGWGDSSPWALHPATSVTLGVNEVLAGGATPARGKEEEVVAGGVHPPLKLRLMTRSTKKRMRKRSTTMDNA >LPERR03G20160.1 pep chromosome:Lperr_V1.4:3:16720858:16721241:-1 gene:LPERR03G20160 transcript:LPERR03G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCKSSILLFLAMILLLLASASCQTTESPPPLMTPPPAPAPPPSMGCTKGIISSLRNCTVSLLQRLGNAEGCCPFISELPNKVAARCVCSSLRTIGITFGIENIIASQILLVCTKAPTVTIDCNKA >LPERR03G20170.1 pep chromosome:Lperr_V1.4:3:16747478:16748011:-1 gene:LPERR03G20170 transcript:LPERR03G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAALVILATTVVALSSAVHADVLGTCKAAVGIDNRINKDLCVSALGSYRHSEDENTWSLAKIAAIIGIRYAKNTNADIMALLAKPGTDAKMKAALGKCQELYYSIRVSFAQGHANIEQRDYARGKAKVQEAIILAHECNNVFAKIAIIPSPLVHHSWYSVHMAIICTAITNLIK >LPERR03G20180.1 pep chromosome:Lperr_V1.4:3:16754786:16756623:-1 gene:LPERR03G20180 transcript:LPERR03G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRIGAVLLRSCSPHRLPRTSPLAAPASISVVAGYLCVPGPGRPNLVVAATGQLGGSGNERRQQCGNIGRGVSCVFREPMFLSTEVMTIII >LPERR03G20190.1 pep chromosome:Lperr_V1.4:3:16777167:16780218:-1 gene:LPERR03G20190 transcript:LPERR03G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAENLGLATSPPYLAISSSSNANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSRVYASLVQSLGEAQAANHLAKSLFAITIGSNDIIHYTRSSSATKATNPQQQFVDTLIQSLTGQLQKLYNLGARKILFLGTGPVGCCPSLRELSKTKDCSAEANGASARYNEAAASLLRGMGERHADLRYAVFDSGAALLRYIDRPAAYGFTEARAACCGLGDMNAKIGCTPVSLYCANRTGYVFWDFYHPTEATARMLTATAFDGAPPLIFPVNIRQLSAM >LPERR03G20190.2 pep chromosome:Lperr_V1.4:3:16777167:16781124:-1 gene:LPERR03G20190 transcript:LPERR03G20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADNKVVLTSPRSRLPMTNAVAVVVAAAWLLLLLAPKSSAAATTVPAVYVLGDSLADVGNNNHLVTLLRADFPHNGIDYPGGKSTGRFSNGKNFPDFLAENLGLATSPPYLAISSSSNANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSRVYASLVQSLGEAQAANHLAKSLFAITIGSNDIIHYTRSSSATKATNPQQQFVDTLIQSLTGQLQKLYNLGARKILFLGTGPVGCCPSLRELSKTKDCSAEANGASARYNEAAASLLRGMGERHADLRYAVFDSGAALLRYIDRPAAYGFTEARAACCGLGDMNAKIGCTPVSLYCANRTGYVFWDFYHPTEATARMLTATAFDGAPPLIFPVNIRQLSAM >LPERR03G20200.1 pep chromosome:Lperr_V1.4:3:16787243:16789439:-1 gene:LPERR03G20200 transcript:LPERR03G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAKSSAATGASPRSKPVPSSAGKAAAAEEKRDSAPVEDAPAVKVVVRVRPAVSLRTDMFFVHKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGVPMIENVLAGFNSSLVCYGQSGTGKTYTMRGALAAMVHSSSDHADRGVVPWVFQNLFAQIQGREENSPEKQTSYQCQCSFLEIRENAGNGIHVDNLTDGMQTKFKPFTRLLPEFNFWSVLSPMMDLEAVTLSPSVAFETLP >LPERR03G20210.1 pep chromosome:Lperr_V1.4:3:16792467:16795216:1 gene:LPERR03G20210 transcript:LPERR03G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSKRWTSAPTAALSPVRPTARRQSPVSRPEPVTRTLVWPSSNTSTKSSARKASPSPSPLSTAPPSSSVQATTLADHLIRDSRSRDGALSRQRSCTDADAEARKVAKSTVNGGRHAATTVGRSMRFVPSTRPAGVTLTPGRVAPSDLRRALDADVASSGSECSDAASKQLKPAPLIARTNSTRLLGSSNTQWALSPGRRSGSGSPLMEATVPELKGKRSLMGGLGWGHLFSRRKAAADTAETPLTRSGGGGNREIGRHQMRMAHCRLLQWRFANAKAEAVNHKKLSVCEVEFMGTWARMSELQGKVARKRVLVEKEKLKIKLGSILSSQMRNLESWGQLENRHAAALASTIGCTQAAVCKLPLINGAKVSLPSVATILQQAHDTTLLISELLTVVREEHDLLQECIELLGRVSALQVDLKLICYLKLIRR >LPERR03G20220.1 pep chromosome:Lperr_V1.4:3:16802565:16808214:1 gene:LPERR03G20220 transcript:LPERR03G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVKSAPVQQDGSQQGDREKAVNAEIERVNKLPSNSSYAIHRLKVLTKLRHLMSIKRTTSQDEELELLFASLSI >LPERR03G20220.2 pep chromosome:Lperr_V1.4:3:16802565:16808214:1 gene:LPERR03G20220 transcript:LPERR03G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVKSAPVQQDGSQQGDREKAVNAEIERVNKLPSNSSYAIHRLKVLTKLRHLMSIKRTTSQDEELELLFASLSI >LPERR03G20230.1 pep chromosome:Lperr_V1.4:3:16808625:16813812:1 gene:LPERR03G20230 transcript:LPERR03G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSSSSPLARLISRRGLAAEHHGPAKVNMWQDPLNPANWKEEHFVLASLAMWGGIFYGVSRLFSGMKKDKTTERLVSVNPTFYLWRINLVQKAVGRSVFQAGSRSTVISMSVCTGPGLQETKLHRRRRELPSDFLAEIIALLKAVCKFSRGGSVREHSGRTTAQPGKAWTATAHSGTGVDGDCAIGVRHGQRWQGNIWPP >LPERR03G20240.1 pep chromosome:Lperr_V1.4:3:16818896:16823851:1 gene:LPERR03G20240 transcript:LPERR03G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKNTDKAKAKLGILKQKIICCKLYISESQNTKVVDAISRVGQKDPEVVLLSKFEDDHYNRVRYTLASYIINDSTTGEVFSPMRRVLLEMIKMAFSTINLEMHTGTHPRIGVIDDMAFHPLNQATMEDAARLAKMVATDIGNGLQVPVFLYGAAHPTSKSVTAVRRELGYYRPNYMGIQWTGQVLPDTLPMKPDEGPNHVSRERGAIMIGAAPLPLSYNVPVLSKDIPTVRRITRRVTGRGGGFPTVQALALSHGDDCTEIACFMDPDYVSADQVQRQVEEIAAEQGIEVDKGYLTDFTKDMMLEKYFKIVLVID >LPERR03G20250.1 pep chromosome:Lperr_V1.4:3:16822246:16824207:-1 gene:LPERR03G20250 transcript:LPERR03G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPPPPTPPCAATSASSRRDPLDAIPRRSRRRRGGATTTASRTRSALSAAAVRDLLADDPTPRAFSALLKAAAASPRHRPSLLGEQLHAQAVVRGFLGGEEDSIILATAVLSFYASRREPGLARRVFDGMPRRNAVTWNALIKGYAQAGRGEEAILLFRDMKRQVGGDAAPDKYTFPALLSCIGREGGAGCTQVLGGALHAHVIKAGLEKDPFVGASLVSMYAARGTLEDAKFAFDQVDSLDPIVLSSMISAYVNCEEEEGALLLFLSMLCQGIKPTEFVYSSVFSVCGRMSILEMGKQVHAHSLKSDTEKDAAMRNALLTMYSDCGCIDDAKKVFSSNDNLNVVSYNSMISALGQHGYLKEAVEHYRQMKLAGLMPDDVTLLNLLSAFNHAGLVHEGLQIFNSMVDTEGIKPMYQHYACIVDMLARSGQIGEAMKVINVMPFEAEAPLWRIVLGACSKHRDIETGEHIAEMLFEIEPYEATNYILLGNIYARLGRWTEAEKVRNLMDGRGVDKDDAFSWIEMGQRTHRFGVDDRSHPISREIYGNLDRLISAIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLVAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTRREIILRDNKRFHHFKRGSCSCGDYW >LPERR03G20260.1 pep chromosome:Lperr_V1.4:3:16826096:16833249:-1 gene:LPERR03G20260 transcript:LPERR03G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPQDLKKKERELLAKEAELNKREQDLKRREEALARAGVFIEPKNWPPFLPIIHVDISNDIPVHLQRVQYIAFASLLGLVICLFWNIICVTAISIMWGDPKAWFLAAIYFITGCPGAYYSWYRPLYRAMRKESAFRYGWFFLFYFFHISFCIYAAISPSIYFVGRSLTGIFQAINVIGYNGPVGILFFLGFAMFVLEALLSIWVIQAERSQEKGKEEIEQRRGVIHGWQVEDKASKGGSAGQSSYSGGAFYTTQSRPSAPPSSHLSPLPPEPADFYNDFSTPVDIPMDSSKDMKTRERELHAKEAELNKREKEIKRREEAAARAGIVLEEKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKTVIVGVFYFLGFAMFCMESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >LPERR03G20270.1 pep chromosome:Lperr_V1.4:3:16843687:16844040:1 gene:LPERR03G20270 transcript:LPERR03G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADRRSQEFNDRVHDFIRVAKANKQNERGVMMEEYEEEDDVANKHPFSEYGPFDDMDAEEDVSSSNEATPYPN >LPERR03G20280.1 pep chromosome:Lperr_V1.4:3:16848326:16849288:1 gene:LPERR03G20280 transcript:LPERR03G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKSSRAGAAVAKEAAPLRQPEKEVPPPPPPVVVEEEVKEVLSETAVPASRTRPAPEPDDKEMVKRTPIDRRKEEEEEEEEASESSSVAAEKTKAKAKGGGDQEVEQQTKVVRAARRRTPEKRKPTNKEGNGRTRSPSPRRQLGQAGGEHPAPPRPPRREQQAPAVSGIGCRSGRFSPSAARRAAESAAVRRTNSARMDGSDMTSAKRSLNGGGAAKRGDAGERSGRRAESPTSKRSSIPPTSPAANGSVIHRQASFNGGSATRKAAAVEQTKPRCKARDGSDESGLEDKQAAAAAEGGALGQNPSVAMECFIFL >LPERR03G20290.1 pep chromosome:Lperr_V1.4:3:16856079:16857938:-1 gene:LPERR03G20290 transcript:LPERR03G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWSLSSWIPLLVLPSRSAATRLPLHSTVESPSRSSPPESSYLTGQRTERPRSTILCLGLRLLTCLIEF >LPERR03G20300.1 pep chromosome:Lperr_V1.4:3:16865474:16868722:1 gene:LPERR03G20300 transcript:LPERR03G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAAAAVASSPSPTSSRLLLRGGGHHPLPSLLRLTRSSRRALLLRVAAEEADVLPGPGVEGEMVAAGRLEEQPEGPPIGGSQLDIGGLAFQGDMGSGGFAGGSGGAGAGGEDGRKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVAFDQTAWSAMWNSIYFVVLGFLRLDSPITTFSELRSTFWPMLTAGWKLWPFAHLVTYGLIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTTNTSNFTL >LPERR03G20310.1 pep chromosome:Lperr_V1.4:3:16885569:16898188:-1 gene:LPERR03G20310 transcript:LPERR03G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALERAVQGNTLAFLETGAGKTLIAVMLLRAYAHRVRRPASRRFAVFLVPTVVLVGQQARVVEAHTDLVVKQFYGDMGVDFWDADTWRAVLEDGEVLIMTPQILLDNLRHSFFRLQDIALLIFDECHHAKGNSPYACIFKEFYHPQLNSSASDSLPRIFGMSASLIYSKGLDRQSYSKQISDIENLMNSKVYTVDSESALSEYIPFASTKIVQYDDSSISPELHNNILSCLYKLTEEHIEALEGKLHDSSLENAKQKISKLSQTFVYCVDNLGVWLAAKAAEVQSYKENSLSFWGEILDKNVESFIRNYSEEVHRSLSCFLKKGRIGDNFQSGLEDGLLTSKVHCLIQSLLQYRNVQDLRCIVFVERVITSIVLEPLLSSIHQMSGWVVKHMAGNRSGLQSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLQLYAALYSPVVELGWKTLTIFYLGDAEAHLKAEKFLASGQVMREESLRLGSVSCQPLEDTLCEETYYRVKSTGAIVTLNSSVQLIYFFCSKLPSDEYFKPLPRFNINKALGTCTLHLPKSSPLQTVSVEGEASILKETVCLKACQELHAIGALTDYLLPELSVPCDEEPDIVVESYQHEQSAYFPEEFVDNWCSFSRLGIYYCYKISLEGCPKTASPTDILLAVKCDMGSDFNSSSFNLSGVHDNASVTIKYVGIIHLNEEQVIIARRFQTTILSLLITDKHLEVSNTTKYFHEMQVSIGVVYLLLPLVSGKIDWCSMKFSASPLYEATNKHKRHCHSCKDIDLLQTKDGPFCRCILQNSIVVTPHNSRIFAVTGFLDLNANSILRRHDGSVVTYKDHFKTRHDLTLTCENQPLMAACKLVKVRNFLRKCYSKKEKEPGDKYTIELPPELCRIVMSPVSPNTLHSFSYVPSIMFRIQCMLLSMKLKTQLSPTMQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQMACNSNLVGYIHAEEFNPKDWFIPGLDYDDRGNSKISFLTTNGMYSLRKMSIKSKRIADLIEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIAIERKISVKPEEFINVRSLEMLLDYQFNDSSLLLEALTHGSYQTSGPAACYQRLEFLGDAILDHLFTVYYYSKYPECTPELLTDLRSASVNNNCYAHAAVKSELNKHILHSSSELHRKMSYYLENFGQSFTGPSHGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKALQEFCDHGPYSINYEKDIVDGVSSVVAQVKAGGITYAATKSGPNKFVAKKLAAKEVLKHLKAILNDPKASAV >LPERR03G20320.1 pep chromosome:Lperr_V1.4:3:16902362:16903354:1 gene:LPERR03G20320 transcript:LPERR03G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDEGRKGAAPDGTPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAASRLSLNLQSVVDAADPAARLGFHTPPLGGAAADFQQQGGGGGGGGVGVGMLPMPRLQHSPGGGVGQYVAFGEWPTAGDIGGGGGNAVSSTIASSIESLSFINQDLHWKLQQQRLATMFLGPPASANDTGGGGGQAPPHAAAVGGGGAGFQLHMAGVPISSGSCIEAAAAVPPSVTSWFMDTTCSSYGLPSPPPPSAAGAVATNNTSSINNGGRSSGDDNNAAASNCGSAISAWGDISTFAMLP >LPERR03G20330.1 pep chromosome:Lperr_V1.4:3:16917400:16923265:-1 gene:LPERR03G20330 transcript:LPERR03G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWCVPGESTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPLPPAGAGAGNLSAAAAAGQPETMYNRPIWKPPPRGERMPPPGAFRLTREMVGARARGGVIVVTFGNHAFLDFILTWVRHLTGIGVDGLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGTPTFHRMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLSSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLVLSDDKLWDQNAFNDLIRKNFGNPVVGQDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIAYLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVLEVHVMLNDLPEAEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTANRTNKGTTVLLPRNSTEKTLLDTFTSYKNIKILKFSSMVDAFRGCGHEISESSKEAGSPFLHRLRRRTIHLGPKLEVQE >LPERR03G20330.2 pep chromosome:Lperr_V1.4:3:16917421:16923265:-1 gene:LPERR03G20330 transcript:LPERR03G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWCVPGESTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPLPPAGAGAGNLSAAAAAGQPETMYNRPIWKPPPRGERMPPPGAFRLTREMVGARARGGVIVVTFGNHAFLDFILTWVRHLTGIGVDGLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGTPTFHRMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLSSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLVLSDDKLWDQNAFNDLIRKNFGNPVVGQDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIAYLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVLEVHVMLNDLPEAEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTANRTNKGTTVLLPRNSTEKTLLDTFTSYKNIKILKFSSMVDAFRGFSDAAVDMKFRNRVKRYVGLWCCVQFREIGHIYYDMYWDEKPGWKPLPPQTKEEDHPPWT >LPERR03G20330.3 pep chromosome:Lperr_V1.4:3:16917421:16923265:-1 gene:LPERR03G20330 transcript:LPERR03G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWCVPGESTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPLPPAGAGAGNLSAAAAAGQPETMYNRPIWKPPPRGERMPPPGAFRLTREMVGARARGGVIVVTFGNHAFLDFILTWVRHLTGIGVDGLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGTPTFHRMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLSSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLVLSDDKLWDQNAFNDLIRKNFGNPVVGQDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIAYLLKRTLVHVMLNDLPEAEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTANRTNKGTTVLLPRNSTEKTLLDTFTSYKNIKILKFSSMVDAFRGFSDAAVDMKFRNRVKRYVGLWCCVQFREIGHIYYDMYWDEKPGWKPLPPQTKEEDHPPWT >LPERR03G20340.1 pep chromosome:Lperr_V1.4:3:16925572:16926716:-1 gene:LPERR03G20340 transcript:LPERR03G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVHLSFESFLWKPRCIIAHLPEPRPGSCLPVYVALMHTTANTRNNTILKGKDKLQDRAQPYKLRRSSSAIKDYVTVDPKGAEGVGSEFAADAHAVAAVGGQEWYDYAEFEGKSCTFDYD >LPERR03G20350.1 pep chromosome:Lperr_V1.4:3:16930998:16933537:1 gene:LPERR03G20350 transcript:LPERR03G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRVSTARGGGTAIQRRPRRPASASVITCHRSSSSGRVVRTGAAAAPAAATTASPAVPQNKECSLPTWAEFELGKAPVYWKTMTGLPPSAGEGLTLFYNPAASKLTPNDQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRILVPQHAMTLIFSFTNGVDWDGPYSLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENIVITSCEMGSYYEEGGDRCKLDIVSGCMDPDSHLYDPFATVDDGSCPMESDSEE >LPERR03G20350.2 pep chromosome:Lperr_V1.4:3:16931032:16933537:1 gene:LPERR03G20350 transcript:LPERR03G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRVSTARGGGTAIQRRPRRPASASVITCHRSSSSGRVVRTGAAAAPAAATTASPAVPQNKECSLPTWAEFELGKAPVYWKTMTGLPPSAGEGLTLFYNPAASKLTPNDQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRILVPQHAMTLIFSFTNGVDWDGPYSLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENIVITSCEMGSYYEEGGDRCKLDIVSGCMDPDSHLYDPFATVDDGSCPMESDSEE >LPERR03G20360.1 pep chromosome:Lperr_V1.4:3:16934843:16938004:-1 gene:LPERR03G20360 transcript:LPERR03G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEELENNNEDATDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >LPERR03G20370.1 pep chromosome:Lperr_V1.4:3:16941321:16953406:-1 gene:LPERR03G20370 transcript:LPERR03G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAVPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTHVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGSVFEFSYFEVCRVLFTAGIPRTSSIGKVFHSGNDVDDHMPSSEEAILRGEYSVIRSLVRVLERGHFVIAFDQGGVEGKRQVDKVIDKCDSMQNLREAIATYRSNILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSVQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKAPLAKIVESADGRPHEMDMVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTNKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYGGAIMVIQETDNGEIFDAWENVNNKAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPACEFNHEDLNELGYSSGEETADQNGHLNPSSPRPHTMTEQHPRLGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHKRPEIQSMKWSIRLRPGRFFTVHDESKASFQSPQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSSINFCGAPQVFKVDGYPVYSMATPTIDGAKEVLSYLGSKDTERGIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEIKQQGGRLLLHQEEFNSSTSQCSVVGFWEHIGSEDVMTPAEVYSVLRDQGYCIDYKRIPLTREREALAADVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIVEQTAETHFVSTSLSKSVSIKTSADIALRQGDYRDILNLTRVLVHGPKCKDEVDTVIDRCVGAGHLREDIMHYRKALQDCSPDDDEAWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >LPERR03G20380.1 pep chromosome:Lperr_V1.4:3:16959892:16967073:-1 gene:LPERR03G20380 transcript:LPERR03G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQYYENRLKALDSLKAAGVNPYPHKFPADTSIADFIEKYKSLNDGDRLSDVTECLAGRIMTKRAASSKLLFYDLYGGGLKVQVFAEARTSDLEESEFIKLHASGVKRGDIVGIVGYPGKSIKGELSIFPKKFVVLSPCLHMMPRQKREGSAVPTPWTPGMGRNIEKYVLKDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDQEFLEVETPMMNMIAGGAAARPFVTHHNELNMTLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMSLTEAMLSGMVKELTGGYKIKYHANGVENPPIEIDFTPPFRRIDMIEELEAMAKLNIPKDLSSDETNKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKFTHLAFVLLRSEKCSFYGNSGGSSIPGYETSRLDLQSRKEPVRRIKAANWRISIGRRDGWFGGNL >LPERR03G20390.1 pep chromosome:Lperr_V1.4:3:16967983:16981211:1 gene:LPERR03G20390 transcript:LPERR03G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGSSGMSGTGKMVSLQEFVSSMAPLIDLEKAAEISAESATSSKSLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGEAPPMSSKDAAKFSPFNKNLDESQKEAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGTTFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITFMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAEHMLYDLEEVKRSSSTEPTIILIDTTGCDMEEVKDEESTMNEGEAAVSIAHAKLLVESCVGASDIGIITPYAAQVTCLKMMRNKDNKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >LPERR03G20390.2 pep chromosome:Lperr_V1.4:3:16967983:16981211:1 gene:LPERR03G20390 transcript:LPERR03G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGSSGMSGTGKMVSLQEFVSSMAPLIDLEKAAEISAESATSSKSLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGEAPPMSSKDAAKFSPFNKNLDESQKEAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGTTFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITFMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAEHMLYDLEEVKRSSSTEPTIILIDTTGCDMEEVKDEESTMNEGEAAVSIAHAKLLVESCVGASDIGIITPYAAQVTCLKMMRNKDNKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >LPERR03G20400.1 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVHSSSDHADRGVVPRVFQNLFAQIQGREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGINVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.2 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVHSSSDHADRGVVPRVFQNLFAQIQGREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGINVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.3 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVHSSSDHADRGVVPRVFQNLFAQIQGREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGINVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSQLPLLIWLVLIMMNLMEAADTVQEKKDICRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.4 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVHSSSDHADRGVVPRVFQNLFAQIQGREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.5 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGINVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.6 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVHSSSDHADRGVVPRVFQNLFAQIQGREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.7 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDNDELDGGSRHCTREERYDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20400.8 pep chromosome:Lperr_V1.4:3:16980128:16985533:-1 gene:LPERR03G20400 transcript:LPERR03G20400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRNLNRQASRSLSTFAVASPRGGGGGAAAADQENLHPNLAAASPPMSPVAKSSSAPGASPRSKPVPSSAAKAAAAEEERDSAPVDDAPAVKVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGMPMIESALAGFNSSLVCYGQREESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSQLPLLIWLVLIMMNLMEAADTVQEKKDICRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDAGACKNGYFSAKNARESLHNLRVSLNRSLILPHIEVDPEEEMDVDEEDVQELRDQIRKLHSSSEDTFEDFMDAESGDDDTPCSKGNPKTSEEDDQPVMDDCEGLIQEEHEVSSTKADHDQASDQQSFLSISASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDCPSDSNVEVSRKSAVRSSLQSSKLSPTDSLAASLKRGLHIMEYHEQNAAPRKSFVGLSFDHFALNPRQSVAKVSSGVPASPERKGATSALCSSCKKVIDNDGNPKDKINTEKQIVIATSAISSESASASVKDDNTTLTIASKREAELEALCEEQAAKIKELSNLVDQYKKGSEDAQTSGGIKPIKLADEANVDEEHANDREKLLSEIQKLRDQLKRQADESANDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMLAEKTEMELSNEKKCTEELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALQQENDKLKKQLEKIKKKHEVEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHGTQACDDDQSWRAAFTSAYE >LPERR03G20410.1 pep chromosome:Lperr_V1.4:3:16997725:17008765:1 gene:LPERR03G20410 transcript:LPERR03G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKTTAEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWADLDTYISSLQSSIDRRFGQLRVLEAARPAPGGGEKGEKQEEGSGEDDDEEDEEEEEEEEEEEEEEEEEIEEEEVEEEEVEEVEEEVEEEEEEVEEEKVEEVEEEEEEDNEEMKKVEEEMKEVDEEMQKTKEGEDEASKKAISVRNQEEAAGNKTVEAKDEEHHGNKLASQEHHIGDDDDKEDAQWEQQVADDEAMEAESKEQNEAKVASLEQDIEVEDEEHKKASRGGNPALPSCSKDFKRACGRMDARRLLILVCKNRCLCLWHEFPAIMRHASDAAALVLQVVQGFLFSKKIKTTKVWGNCVGLIRCIPSVNALLSTDTMTQAKQLAKDWKEMIDNPGSSTDVLSLSSWGLLYFLISFNIVSEFSVDEIFSLFDNRKGMELNCARVLGLSIGSLSPTISKEVDDLMVAQNIVQQQITDFSVRGGMLAEIMKLLDQYAMKRRPRDAWATSTSNSQQQQEDTSKKRKRAQQEQQQHRGREIEQQKQQIMQRGKKGQQQTKPEEKLEHQQKANKPQEKQQQKQQIKPLGEKGNQQTKPEEKQQQQETTRKPQEQQKQPQKQQQRQSKPQEKRLRPCTTKLPIPSIHASGVLPMVPHIVQNGCFGHSPYAAMPGSHTYAAQLGYTSLIIPSTVIHRSIPVYSRKAATMAEVEAAIATLTGKRQRLREAYDSVVECSPFPIPFRWEDIDVHFSSILSSIEARFRQLHPAAAAAPGTSAVAVPNTAGSVEHPVEHLVGEAQEVHVEQQEDGGNGGDYGGNGGDEGGMDMVVDMDISDEENGMVEEVVESAARRGLEDVEAENEKLGEPINVPPVEEEISPIPEFTEGGGAAEASVMRSLAAACADMDSSAMARILCLSGSRAIARPHFLPALLGAAKPHALVVGAVRELLAGTEPVKDGAWESCVALLECVPKLAVAMPEDTLHQANCLAEDWKEMIGRTEYCGMNLGRLAVWGLFSFLVAYKIVLELDAEEIIHFFGILPDDKKSSCISLCNCLGLIDKMADSVDHLIERGQQLVAVRLASTLNLTDKYPPLPIMEEYIHNAKETSQEILSNEVNSESLKQAMSKQVNALILSWRVVGECNIDVHCDRIKAEITQLLHKYANKRHRLEGLSSDTSSPHQKHHQMSQEQNHWQQRRHEEQYQLLPNQLKEQEQEQEQEGRMQTLRERKRKRNKRTQRRKQKRAQEMKQHRFDKWQKLYHGGSFTHSQSYFRPEIRHQLSQHLLGAIGPTGPSCILLQTQPNYL >LPERR03G20410.2 pep chromosome:Lperr_V1.4:3:16997253:17008765:1 gene:LPERR03G20410 transcript:LPERR03G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAARSAVGGGGDGGSRNAEPPPALSLPGVAMGMKTTAEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWADLDTYISSLQSSIDRRFGQLRVLEAARPAPGGGEKGEKQEEGSGEDDDEEDEEEEEEEEEEEEEEEEEIEEEEVEEEEVEEVEEEVEEEEEEVEEEKVEEVEEEEEEDNEEMKKVEEEMKEVDEEMQKTKEGEDEASKKAISVRNQEEAAGNKTVEAKDEEHHGNKLASQEHHIGDDDDKEDAQWEQQVADDEAMEAESKEQNEAKVASLEQDIEVEDEEHKKASRGGNPALPSCSKDFKRACGRMDARRLLILVCKNRCLCLWHEFPAIMRHASDAAALVLQVVQGFLFSKKIKTTKVWGNCVGLIRCIPSVNALLSTDTMTQAKQLAKDWKEMIDNPGSSTDVLSLSSWGLLYFLISFNIVSEFSVDEIFSLFGILPRRQQKRNGIELCKGLGLVDRITDLIDYLIGNGQQLDALLLTKAFNLMYKYTPLSLLKGYVERAKQNALDIINMNGLRKSLSPTISKEVDDLMVAQNIVQQQITDFSVRGGMLAEIMKLLDQYAMKRRPRDAWATSTSNSQQQQEDTSKKRKRAQQEQQQHRGREIEQQKQQIMQRGKKGQQQTKPEEKLEHQQKANKPQEKQQQKQQIKPLGEKGNQQTKPEEKQQQQETTRKPQEQQKQPQKQQQRQSKPQEKRLRPCTTKLPIPSIHASGVLPMVPHIVQNGCFGHSPYAAMPGSHTYAAQLGYTSLIIPSTVIHRSIPVYSRKAATMAEVEAAIATLTGKRQRLREAYDSVVECSPFPIPFRWEDIDVHFSSILSSIEARFRQLHPAAAAAPGTSAVAVPNTAGSVEHPVEHLVGEAQEVHVEQQEDGGNGGDYGGNGGDEGGMDMVVDMDISDEENGMVEEVVESAARRGLEDVEAENEKLGEPINVPPVEEEISPIPEFTEGGGAAEASVMRSLAAACADMDSSAMARILCLSGSRAIARPHFLPALLGAAKPHALVVGAVRELLAGTEPVKDGAWESCVALLECVPKLAVAMPEDTLHQANCLAEDWKEMIGRTEYCGMNLGRLAVWGLFSFLVAYKIVLELDAEEIIHFFGILPDDKKSSCISLCNCLGLIDKMADSVDHLIERGQQLVAVRLASTLNLTDKYPPLPIMEEYIHNAKETSQEILSNEVNSESLKQAMSKQVNALILSWRVVGECNIDVHCDRIKAEITQLLHKYANKRHRLEGLSSDTSSPHQKHHQMSQEQNHWQQRRHEEQYQLLPNQLKEQEQEQEQEGRMQTLRERKRKRNKRTQRRKQKRAQEMKQHRFDKWQKLYHGGSFTHSQSYFRPEIRHQLSQHLLGAIGPTGPSCILLQTQPNYL >LPERR03G20410.3 pep chromosome:Lperr_V1.4:3:16997253:17008684:1 gene:LPERR03G20410 transcript:LPERR03G20410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAARSAVGGGGDGGSRNAEPPPALSLPGVAMGMKTTAEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWADLDTYISSLQSSIDRRFGQLRVLEAARPAPGGGEKGEKQEEGSGEDDDEEDEEEEEEEEEEEEEEEEEIEEEEVEEEEVEEVEEEVEEEEEEVEEEKVEEVEEEEEEDNEEMKKVEEEMKEVDEEMQKTKEGEDEASKKAISVRNQEEAAGNKTVEAKDEEHHGNKLASQEHHIGDDDDKEDAQWEQQVADDEAMEAESKEQNEAKVASLEQDIEVEDEEHKKASRGGNPALPSCSKDFKRACGRMDARRLLILVCKNRCLCLWHEFPAIMRHASDAAALVLQVVQGFLFSKKIKTTKVWGNCVGLIRCIPSVNALLSTDTMTQAKQLAKDWKEMIDNPGSSTDVLSLSSWGLLYFLISFNIVSEFSVDEIFSLFGILPRRQQKRNGIELCKGLGLVDRITDLIDYLIGNGQQLDALLLTKAFNLMYKYTPLSLLKGYVERAKQNALDIINMNGLRKSLSPTISKEVDDLMVAQNIVQQQITDFSVRGGMLAEIMKLLDQYAMKRRPRDAWATSTSNSQQQQEDTSKKRKRAQQEQQQHRGREIEQQKQQIMQRGKKGQQQTKPEEKLEHQQKANKPQEKQQQKQQIKPLGEKGNQQTKPEEKQQQQETTRKPQEQQKQPQKQQQRQSKPQEKRLRPCTTKLPIPSIHASGVLPMVPHIVQNGCFGHSPYAAMPGSHTYAAQLGYTSLIIPSTVIHRSIPVYSRKAATMAEVEAAIATLTGKRQRLREAYDSVVECSPFPIPFRWEDIDVHFSSILSSIEARFRQLHPAAAAAPGTSAVAVPNTAGSVEHPVEHLVGEAQEVHVEQQEDGGNGGDYGGNGGDEGGMDMVVDMDISDEENGMVEEVVESAARRGLEDVEAENEKLGEPINVPPVEEEISPIPEFTEGGGAAEASVMRSLAAACADMDSSAMARILCLSGSRAIARPHFLPALLGAAKPHALVVGAVRELLAGTEPVKDGAWESCVALLECVPKLAVAMPEDTLHQANCLAEDWKEMIGRTEYCGMNLGRLAVWGLFSFLVAYKIVLELDAEEIIHFFGILPDDKKSSCISLCNCLGLIDKMADSVDHLIERGQQLVAVRLASTLNLTDKYPPLPIMEEYIHNAKETSQEILSNEVNSESLKQAMSKQVNALILSWRVVGECNIDVHCDRIKAEITQLLHKYANKRHRLEGLSSDTSSPHQKHHQMSQEQNHWQQRRHEEQYQLLPNQLKEQEQEQEQEGRMQTLRERKRKRNKRTQRRKQKRAQEMKQHRFDKWQKLYHGGSFTHSQSYFRPEIRHQLSQHLLGAIGPTGPSW >LPERR03G20410.4 pep chromosome:Lperr_V1.4:3:16997666:17008765:1 gene:LPERR03G20410 transcript:LPERR03G20410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKTTAEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWADLDTYISSLQSSIDRRFGQLRVLEAARPAPGGGEKGEKQEEGSGEDDDEEDEEEEEEEEEEEEEEEEEIEEEEVEEEEVEEVEEEVEEEEEEVEEEKVEEVEEEEEEDNEEMKKVEEEMKEVDEEMQKTKEGEDEASKKAISVRNQEEAAGNKTVEAKDEEHHGNKLASQEHHIGDDDDKEDAQWEQQVADDEAMEAESKEQNEAKVASLEQDIEVEDEEHKKASRGGNPALPSCSKDFKRACGRMDARRLLILSPTISKEVDDLMVAQNIVQQQITDFSVRGGMLAEIMKLLDQYAMKRRPRDAWATSTSNSQQQQEDTSKKRKRAQQEQQQHRGREIEQQKQQIMQRGKKGQQQTKPEEKLEHQQKANKPQEKQQQKQQIKPLGEKGNQQTKPEEKQQQQETTRKPQEQQKQPQKQQQRQSKPQEKRLRPCTTKLPIPSIHASGVLPMVPHIVQNGCFGHSPYAAMPGSHTYAAQLGYTSLIIPSTVIHRSIPVYSRKAATMAEVEAAIATLTGKRQRLREAYDSVVECSPFPIPFRWEDIDVHFSSILSSIEARFRQLHPAAAAAPGTSAVAVPNTAGSVEHPVEHLVGEAQEVHVEQQEDGGNGGDYGGNGGDEGGMDMVVDMDISDEENGMVEEVVESAARRGLEDVEAENEKLGEPINVPPVEEEISPIPEFTEGGGAAEASVMRSLAAACADMDSSAMARILCLSGSRAIARPHFLPALLGAAKPHALVVGAVRELLAGTEPVKDGAWESCVALLECVPKLAVAMPEDTLHQANCLAEDWKEMIGRTEYCGMNLGRLAVWGLFSFLVAYKIVLELDAEEIIHFFGILPDDKKSSCISLCNCLGLIDKMADSVDHLIERGQQLVAVRLASTLNLTDKYPPLPIMEEYIHNAKETSQEILSNEVNSESLKQAMSKQVNALILSWRVVGECNIDVHCDRIKAEITQLLHKYANKRHRLEGLSSDTSSPHQKHHQMSQEQNHWQQRRHEEQYQLLPNQLKEQEQEQEQEGRMQTLRERKRKRNKRTQRRKQKRAQEMKQHRFDKWQKLYHGGSFTHSQSYFRPEIRHQLSQHLLGAIGPTGPSCILLQTQPNYL >LPERR03G20410.5 pep chromosome:Lperr_V1.4:3:16992610:16997937:1 gene:LPERR03G20410 transcript:LPERR03G20410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQPFASAAAAATATPRCPSLPTTAELEASIAALRGRKQRLREAYEGLLLSSPIPLPFRWSDLDAHISSLQSSIDARFTQLRALEASRAAAAVSPTRDAARLVEGSSCDEDVEMEDVQQQVVEEEMAAPSSTVQVKEEEEAALIAAAMAAKMAPPPSSMVKVKEEPMEVSLNPLSVGAASGLAAAEACATTGALADPAGVGQVGFAPHGVTQPHPVPAGCRPVLQQQHMASPSHHPALRQEPHAAASAVNAGDPVFRPQQHMGKPRDDACHVPTAPNANLTRPLPPPPAQRIVGLANANVTCPLPPRSVVVGTSIPPPPQRVGVFVPSGTNTSPTQMVGSSPSPPPKIVDYSPPTIVASSPPPPPPPPKMVGFSLLPQSQAGVDVSANEANTLPPSPPQQKQQLTAADCQLQKQHQQPLVGVITDPMNAGERPFQEQRQDQPTVVAGDQLLPQQQKQPFTANAPNAGQHSLQERHQQQFVTAAKPTNAVRNLPPRRLNTAASFAINPVLRQKRMQQWKMAQRPPNASNLSQPKQELLQQQKMAPRPPNAINLPKQEQQQSKADDGANPQHLAFGMAANPANSGDLPMEEESQQQFMANKHSVPVESAMPMKKIQDSGMAGSQPATAVAGDAAPKPAGDQQQQGQRKGGANRRGGRGHGNKNNYIANTNISNMSKSKC >LPERR03G20420.1 pep chromosome:Lperr_V1.4:3:17030700:17035863:1 gene:LPERR03G20420 transcript:LPERR03G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNQSPPPPAAAVAEPRVATIPDLNFPSLESVMLSSLEEAMAAMPWRAEILRRSYERAIQGAPITWDDIKSTQEDLSLGLADLHAAASEGMEGGGTAEVDEKDGADGAAKEESPDDEEEMKAVAKEEIEQDGGEVAAMEMKVENEAMPMDASLQFHADAASDLVGMDIKGKRKCHDFDEGEEVAVVDRKGKGKIADMEHLLEQVEYKPVVETMEEALEGRISCAFDEIDKVALKSAVETLRLKKRMRIPSIDWTEEEEEAAYFEEVTTDDKANEEAAIDQVTPDPDDQANEEEDAIDVPIQEDVVKEEEMESDEEEEGEFKEAKQASPQHNISKVAAGKKKVTMDFDAAKEKNTKTRSSRVEDGKVANGGESAGAKRKDRRRDPAPRRELAVACDRMDSFELAELVITAGRDIAGEFPPALRRAPHPPALALHAAAFVVSSASVPRGDVDSGSWDNLAELLRAVRDIVARRPRLARRQRGFGARPREASTDVAKRWCAIIAGEATMGREPAAAAWCRSASWALLQFVAAYGIAGNIPSSSRRHLIKGFGGGVVGEEKGGYRGGEGGGVPQLRMITGTAATRWWAHNHGIVLATGEKKGGGPVVAAGELEFGVDWRNEPWWQLINRLLQNAKHIDAVKVARAFSLVDRFPPVAIIKAYVEKVKEAAQDMDRAMEEDIDALRSAKEAIEVHDRGSNYRYSIMQEVHKLMGDYEKKKRSLSIGSNSNSRRRSNERQ >LPERR03G20430.1 pep chromosome:Lperr_V1.4:3:17040082:17042392:1 gene:LPERR03G20430 transcript:LPERR03G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQQTTGFLGTAPRRDELVRRVADSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFIEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >LPERR03G20440.1 pep chromosome:Lperr_V1.4:3:17055132:17066176:-1 gene:LPERR03G20440 transcript:LPERR03G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSASAPASASTASLLLASGRHPLLQFQSLRAHPTKPPFHHFPHLLLPVRSPLSLRSASRRCAARSPVPLRVTPPPGLEAEGAGGWAAAVRRVAVALACGALAAAWCHRALAVAGTGAAGASGAVEAAAGFGGMALRGSWPRVLQILQLLREQGIILALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATDVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDHQDDRERTQAYELEILEANARKVGKVRFKPISSECVDVDCKGVNRMISKKIIKRKKQNPDDSSDSDDEECDDISENGCPADLLYYSDDNNSAQLEDAGNSAATRSMASESPRSWKVLNSQAVVVVAGTAGSKVAAVMSRRGLHGYNSSGWKNHFTGGASFGPAAVATTTAAPPWDRSERGASIWRSLSSRSSLILSSSLNLCCRSAMEENMTAPSLLCIPIKSSDDDWLQKDNCMIKRTRSLLSSLSFRLSGYFAVCARWWGCVV >LPERR03G20440.2 pep chromosome:Lperr_V1.4:3:17055883:17066176:-1 gene:LPERR03G20440 transcript:LPERR03G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSASAPASASTASLLLASGRHPLLQFQSLRAHPTKPPFHHFPHLLLPVRSPLSLRSASRRCAARSPVPLRVTPPPGLEAEGAGGWAAAVRRVAVALACGALAAAWCHRALAVAGTGAAGASGAVEAAAGFGGMALRGSWPRVLQILQLLREQGIILALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATDVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDHQDDRERTQAYELEILEANARKVGKVRFKPISSECVDVDCKGVNRMISKKIIKRKKQNPDDSSDSDDEECDDISENGCPADLLYYSDDNNSAQLEDAGNSAATR >LPERR03G20450.1 pep chromosome:Lperr_V1.4:3:17055270:17055689:1 gene:LPERR03G20450 transcript:LPERR03G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSNDGAVMFSSIALLQQRFRELERIKEEREERLLQMLAPRSDRSHGGAAVVVATAAGPKEAPPVKWFFHPELLYPCRPLRDMTAATLLPAVPATTTTACEFKTFQLRGDSLAMDLWPSKAYKHVSSEVDVDTSLHL >LPERR03G20460.1 pep chromosome:Lperr_V1.4:3:17120919:17121383:1 gene:LPERR03G20460 transcript:LPERR03G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICPTAAQVATSSDIIPTATAAAITPATTTEATPSQSQRSRLLLMRRVLIKGSKYKDLLQLLGRLLALTARGQSVKSPDSNQVALMDRVED >LPERR03G20480.1 pep chromosome:Lperr_V1.4:3:17145664:17146438:-1 gene:LPERR03G20480 transcript:LPERR03G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNEEDEKLQLLKQESTKIYDVILKDLREINEHNASGRYPVSVLWNYKDDREATLPEAVDYVLSGYQRRKRKWV >LPERR03G20490.1 pep chromosome:Lperr_V1.4:3:17185022:17186633:-1 gene:LPERR03G20490 transcript:LPERR03G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSQIQRLMMDLPSPCAGSDTGLLRPPRADMRRRSQSRRSCAGYGARLTNPPSGSDRCAGSEALLPSFHGSDEAIATANQLRRCSGGPKWRDWANMLPSELVEEIARRLLTVDVSEYLRFRSVCKPWRKCTDYPSLLDPRFRPHHWIALSLCGSLSRRRLVNIRTGARAEVDRPDLSTHHCFGIVDGLLLLCDKAATGAVRLLNPLTGAVAHFPAITDVRATKPTPAAGLKVFFRSSEDEVIDTHKIQVPNPSLINGAAIDDSTFPPTIMLALRNKIICAKPGDQYWVSVHYGEQREPRYNLNGKIYFYTLLSFRGHCYVTTFSGHVMRVDVRGPPRMVYLSRDMAVSKQTAAYSYLVRSQDHQKMLMVRFLSHISFAHDFYQPEEIFTSKHGVYSRMEVFEVDVAARRLIPLNGIGNFAAFLGHTYSVMLSTDKFPKLVSNAVYLNHFHQKWSHLGIYCFDNKRITPPREFRKYARGRYLCACHWELSDYLIHDIQRL >LPERR03G20500.1 pep chromosome:Lperr_V1.4:3:17197158:17204070:-1 gene:LPERR03G20500 transcript:LPERR03G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGLLNGERQDTPVIHVENGVVMKESDNTSPSELLHDCLIIWRRLKKDNCAKAENIIQTSTNGTVQTSKMSVSRRLRNRRPSSSGIDDENSRTSGGASVSSENDDESNGPCENSEQCKGVILSCEVQNCSKGRAERESEQAFKSRSGNNLKNVSPETYPCGIMQQEEQDNLHVVGMAALILPRNQLLFLILKSSVQEKVTQHGTNNDHLDARRKYELVVGCEIEKTLEADGAKSGEQIASSSTVLKLLDKKAAAHCSMDDDGMKVNVSVCSNQDSEIAQFSATKLDEGTTGKFLDKAVNLSMGSDCRDTQWGATDCNVLRTKQEHTQHPDSEQDMHHMEREEDYVSSQALAVASNQQVPRQFDSDRDNPCTTRQADWNSCSLIPDLNSLPSMNTGEEPMPFEKVNHLLNGDGTKPQTDSKSLLAASCEPTLKEPNRKPEPSELIGGICEKEGANRFQSPNSHSGPSQQSIVEESSMPIDAFKCALSSKMQDANKPAKHSMDCSSHRDHKNLSQAHSKEEAQLVEVYKIIVRKTVDKVTTVLGSKVPLTDIDICRFLSDESQNLDKLVQDYLDLYLGKEVLKRKHSQ >LPERR03G20510.1 pep chromosome:Lperr_V1.4:3:17204114:17206026:-1 gene:LPERR03G20510 transcript:LPERR03G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRNDAETEESFAEWYRPLNRTGSLESKRQRTEGVVPSLDRVWKYAKGTDGHTRPTERFEYFSSNQWSSTQIRNYQDLNLPNAYFSATDRAMHRSYKEKFETYNKREGYSLKDLMFNISDKTLAGGTCKRVDEGRTHPKKSIDEFHHERLHRSPCGNFIIDHKKNSNGIFVRNSNRKRHGDHLNGQRAKRNMPSENQSKESCYPKKDWQSYPHGNVSHSGFDLLRNDDKEGNTKKLAKGGQNGESGMLSSKYSSKTIMSPNGPKQSEGSNNIKLRV >LPERR03G20520.1 pep chromosome:Lperr_V1.4:3:17214501:17216908:1 gene:LPERR03G20520 transcript:LPERR03G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTALLLVTSISIFFLVKLIGRYATSPSGGKSTTREQLPLIGSLHHLLLSPFRDLPHWAMRELSETHGPLMMLRLGSVPTLVVSSAEAAAEVMRAHDAAFSGRHLSATIDILSRGGTDIIFGHYTERWRELRKVCVVELLNLRRVLSFRHVREDEVARLLRSVAETRGELVCISERLSRMTNDTVVRAAFGARCEQRVRDEFLRALDDAVRLTGGVNLADLYPSSRLARLLSAATRDMERCQSCVYRIAESIVRGRDIDACEEDLLAVLLRLQKGGGLKFALTTDIISSVIFDIFSAGSETSSTTLDWAMLELMKNPRIMHKAQSEVRETFKGQDVITEEDVAKLSYLQLVIKETLRLHPLAPLLIPRECRETCRVMGYDVPKGTKVFVNVWKIGRNDKYWGDGGVFRPERFENNTIDFRGADFEFLPFGAVGLANTELALASLLYHFDWQLPGGIKSEELDMSEVFGITVRRKSKLWLHAIPRVPVCSI >LPERR03G20530.1 pep chromosome:Lperr_V1.4:3:17234466:17235697:1 gene:LPERR03G20530 transcript:LPERR03G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWSKRLYARRPSRRRWLRRGGGGSSQALEQAAAAAPERSSRRRLLGGNDSGGDGSQALARLLPGARPGRRRWLLHGNAAGSGTQVAEFARQCVGY >LPERR03G20550.1 pep chromosome:Lperr_V1.4:3:17297302:17301583:1 gene:LPERR03G20550 transcript:LPERR03G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLRCFKRDDDGGDHYPYYQPTSTPHYQLQSRYSDQLAAPARPLQLQPVGLHGVTPSTLEHNILNFKSTYMVPERLSKQVESCRKAQDEWCQNMLEAYEDATPPPKTPADDAQLIARALDMIERADLEGILENHQASSLPKGVQFVLNTLPVYVKCIGDGDGFTAYVSTTDPRESANVPSEVHDLMKARSQARRCRNYKRAGTLLRSLHKAGYKGIDAPELKMPYGEESQNALVKLIGGKSVKIYVYDLDRFGRYVGDIYCNNLFIQEQMLKNGHVWHFKTYDKRPEFARWEREARAANRGLWASGNPEKPWDWRRDQRNARQDLIQVY >LPERR03G20570.1 pep chromosome:Lperr_V1.4:3:17321324:17323654:1 gene:LPERR03G20570 transcript:LPERR03G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALIGAILFAPMLFFFFLFLLKKYSSSSLEGDKRLRLPPGPWRLPLVGSLHHVILLSRHGDLPHRALRDLSRRHGPLMLLRFGAVPTLVVSSAEAAREVLRSRDASFASRHLTPTLAVFSRGGRDILFSPYGDLWRQLRRICVLELFSPRRVRSFRHVREDEAWRLVRAVSDACAAGEGGAGVVPVGEMVSRMVNDAVVRSAVGGRSGCARRDELLRELEASVRLTGGFNLADLYPSSALARRLSRALRETELCNRNVRAIVHDIIRDRVTVSGTGGGDSEEDDDDLLGVLLRLQKNGGAQCPLTTDMVATVIMEIFAAGSETSSTTLEWALSELVRNPRVMAKAKAEMRETFKGQDKLTEDDMDKVSYLHLVIRETLRLHAPAPFLLPRECRETCKVMGYDIPEGTRVLVNAWAIGRDDRYWEDAEEFKPERFETCLVDFKGNDFQYIPFGSGRRICPGMAFGLTSMELALANLLYHFDWKLPGGKRSEEIDMSEAFGIAVRRKAKLVLHATPCIPYLN >LPERR03G20580.1 pep chromosome:Lperr_V1.4:3:17327987:17331358:1 gene:LPERR03G20580 transcript:LPERR03G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPRPAEAVPPPEDAAAAAVAAVEEEEDEWDADGFVIPNLTTHEDEFTEHSAPKPKDPEPLKFEQEKEDKIYLGPHGAPPSQAKQQELNTVGRKQRFKNRLKEADSKFTGSAQENKVETLQELMGARPDSKGMPKSSARDWLDPHCHESEFDRKPH >LPERR03G20590.1 pep chromosome:Lperr_V1.4:3:17330481:17331111:-1 gene:LPERR03G20590 transcript:LPERR03G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPSSLLAVGGSAAFAALPSKSSTGLFRNHAVTVRRPRISAKLGGDGEAKPAAAGKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPIR >LPERR03G20600.1 pep chromosome:Lperr_V1.4:3:17331320:17331854:-1 gene:LPERR03G20600 transcript:LPERR03G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEQEFSVEIPDDKADKLSCCADVAKYIISESQSNTTAVAVGAKFQKDKENLTLDAKL >LPERR03G20610.1 pep chromosome:Lperr_V1.4:3:17340321:17341960:-1 gene:LPERR03G20610 transcript:LPERR03G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQAASLAPMDQLTTTLLLVTFASIFFLIKRLITRYASPEKLRLPPGPWQLPIIGSLHHLLLSRFRDLPHRAMRELSETHGPLMLLRLGAVPTLVVSSAEAAAEVMRTHDVAFSGRHLSATIDILTRGGTDIIFGPYTERWRELRKVCVVELFNPRRVLSFRHVREDEVARLLRSVAETRGELVCISERLSRTTNDTVVRAAFGARCERRLRDEFLRALDDAVRLTGGVNLADLYPSSRLARLLSAATRDMARCESCFYRIAEIIIRGRDVDACEEDLLAVLLRLQKGRGLKFALTTDIISCVILDIFAAGSETSSTTLDWAMSELMKNPRIMHKVQSEVRETFKEQDMITEEDVAKLSYLQLVIKETLRLHPPAPFLIPRECRETCRVMGYDVSKGTKVFVNVWKIGRDDKYWGDGDVFRPERFENNTVDFRGADFEFLPFGAGRRMCPGITLGLANMELALASLLYHFDWELPGGIKSEELDMSEVFGITVRRKSKLWLHAIPRFPVCNI >LPERR03G20620.1 pep chromosome:Lperr_V1.4:3:17349257:17352361:-1 gene:LPERR03G20620 transcript:LPERR03G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSGEESYVSDSDIGVYEDKTFNQLKAGKLKVKHGNKTFRCPFCPGKKKQDYNLKDLLQHASGIGAASKRKVKVRATHLALARYLKVDLAGSLESPLQLAMVEYKPPENEEKYVWPWMGILVNLPTELKEKGSVGEAEERLRAEFSRFRPRQVIILWNSNEQVGYAIIKFSENWNGLKDTLAFEKHFNVEKYGKMDWNKRNCRRDDIYGWVARTDDYNSLGPTGEYLRKNGELKGVCDLEHEGHQRTGRRVDYFARKIEEKNKHLEELMFMNNQNGMKLHRIMEENNQLVEEHNKNIKELQKDAFQDSKRIRSENLKLYAELQTKKHEIDQRCKELDYLSTKTNVDRAKLITEKLKNAKENDLLNLANLKQKKADEELLRLVEKHKREKEDALKKQFELEKKLDSKQKLELEREQLKGKLEVMKQMGSEEDATLKKKFNELREQLEEMESMKSLNEALVMKDKRANDELEDAKKELIIGFETTAGAGSNIGVKKVGTLDETAFYNACKKKMPKCDLRAKPALCSKWQDELRKPGWYPFNVIETDGQKKKKINEDDEKLHALRMEFGDEAYNVVVKALVEMNEYNPSGNVRFPIPELWNFKENRIAPIPEVVGYMVKQWKTHKNKKT >LPERR03G20630.1 pep chromosome:Lperr_V1.4:3:17363544:17369339:-1 gene:LPERR03G20630 transcript:LPERR03G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKRAKWDEDEDEEEEALLFAIGAEVEVGSTDDPGFAGSFYEGTVEAHLSGGYVVAYSTLESDDDADGGSGGGRPLREEVRAAEVRPRPTGLDPPAEGFAMHEMVEAFHNEGWWSGVVTALPLPLDVGPARQRRRVYTVAFPTSREVMEFDEAALRPLQVFRRRRWIPAAHLQDDGSSAFQEGSLVEVSRSPESFGESWNPATVLKVIGSTNFLVQYRHVGGDGELVTEIVDYQYIRPARAVFCMDSKYRFSVSSHVEVFYKGSWWPAIVLEASNGEFGKIYTVKLKNCRTGMDDVEFVDKLTVENTVLRPQCDWDGRKWMRCVTKAKKPVNKRPPLTSRKKPITADSALSNDSDEIRDKTISYFDKMLKTADVVPRPINPLMSVCDGCDKIKDLSSYPKGTMKQQNAVLALASQTSLPLQSSITGSGRLKYDSLLTLDNHTELSSQMDIMPSVPQSGDFQASLFGMFGQLRPIPQGPLSGMQSPRPNVCRFEGSKKASTDQEKQSIDEGCSLISSARNSFNFGSFAGIDMSRKRKECVSFQAPKELGMDPKTTKKSRVDKRTEGTHNIAAVSDECPQERCIADIFNLSGIDDLCPEENQILPATSASDNYGDVNLLSADSSTEKENKTNKSDECEISLEEDSGEEFCRRFLVMPDDTKVDEFPSAKIGEANRHDDLVCMENFGAIVECVTNCTIPTENFPVLCPAMFDDVVLNQSSVNVNCQNKKNDGLYKVGHEANVLGLASTNESGQSMDDSTITRLSSFDTSQYIDAEHDNSLIISNNVQDTPISKHGTRIPDSCHPLIQKFLQVHENIMADQPSESLATTVELPFVKTSPLWSQIESMEIFSNVLQRPNFRQLQQHLPELREGMALGLMLSFTNLAESIKKLNVDDDNAVFEDKMKCISLLEADGFDVRHLRSRMETLLGLRNSWSEIQDMINHSEKKVAQEQIDNQQRCTEINMLSMVVHQLELHAHLFRCIKQRAISQQMSHAVENSRLKVEASELKQSSMSTEQQFSSVVAAPCLHHRWARQQLDKHERPV >LPERR03G20640.1 pep chromosome:Lperr_V1.4:3:17372300:17376043:-1 gene:LPERR03G20640 transcript:LPERR03G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSGGESEISDSDIGMYEDKTFSQLKAGKLKVKHGDNTFRCPFCPGKKKQDYNSKDLLQHASGIGAASKCTAKVRATHLALARYLKVDLAGSLESPLQLAIIEYKPPENEEKYVWPWMGILVNLPTELKGKAFVGEAEERLRAEFSRFRPFQVTILWDPKDQVDYAVMKFAKNWNGLKDALAFEKHFNVEQYGKTDWNKRKCRRDDIFGWVARTDDYNSLGPTGDYLRKNGELKGVRELDNESLQERSRRVDYYTRQIEEKNKHLDELKLINNRISMKLDRMMEEKDKMVEEHNKNIKELQQDACKDSRRIIDENLKLSKELQARKFEIDKRCKELDYLSTKTDVDKEKLIAEKEKAINAKENMLLNLANLKQKKADEELLQLVEKHKQEKEDALRKQVEMEKRLNSEHKLELEIEQLRGKVEVMMRMGSEDDTTLKKELDELRAKLEDKDDDMESMESLNQTLIIKERRTNDELKEAKKALMIELQKLTGVRASIGVKRMGELDQKAFHNACKKKIPNDNLKVALVCSKWEAELRKPEWHPFKVIESDGQTKEIIKEDDEKLQALRAQLGDEAYNVVVKALVEMNEYNPSGRYPEPELWNLKENRRASMPEAAHFLVKQWKTHKKRKT >LPERR03G20650.1 pep chromosome:Lperr_V1.4:3:17393276:17393962:1 gene:LPERR03G20650 transcript:LPERR03G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEFNDLKHCNPGSVRIRSSGGVSQVAFIFAAIGFISLVSPSSMQYTSLSSTLSAQLLAAGSFVMNKKALFVLSNAIFLFLAADYYRYFFRLSPFTSEFTSCGHSGVIDKQDQHDHQVGVEPSVTESCVPHSEIHYRDNDDAPEDYPHDERRDVESSMRNVRTPDHEDIAMSSQPELNSLEMVVVEEPTCGTAAQELEKLGIDELNKKFDEFIKSRRTKWEEEAYLQ >LPERR03G20660.1 pep chromosome:Lperr_V1.4:3:17395846:17401946:-1 gene:LPERR03G20660 transcript:LPERR03G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDPAPAVEETAVANGGAAAEVAAPGKDNDSKEKAGKGTDVAVENKTAEEPNKGTENGTKGPSDGDVEMAEAEGAKEADVGAAKQLDTEDAKMGDAKQLDTEDAKMGDAKDADASEAKETKDAEGEDVKMAEAEAETENSDVKDRVEKEDKDENTNVEKEELNDAKESGSEKQEESKDQDKSGSSEHEEKKAEESAAEKQGEEETDEKGSADDGKEEEDKNANTDDENLKKDGVKEGNKDEAEEEEVSEADKKAEENKEETPKNKKARSARDRSQGKDKKQDGSKSREGTKSREAKSLLNTPSPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPAPKANIRKGSNSRKRKRGGTPKSSNKKFDDDITPSKKRNKALEYDTDEDEDDEDPMKSDSEEDDYDSEKEKAKKKSSDAKDTASKKKAATGSSHKTSTPKTISKSPSKTSSSKVSKDKESPEDSAKVFSRKKKSVEPKRPSNSEKEIKDKKSSGKKVAKGKGESAEAGLPSKDELRKTITEILKKVDFNTATFSDILKKLEGRYKIDLTPRKSAIKIMIQEELTRLSKEDDEDEDGNEDADKKETQHQAKEVVA >LPERR03G20680.1 pep chromosome:Lperr_V1.4:3:17412945:17415149:1 gene:LPERR03G20680 transcript:LPERR03G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRAKVNNFHVVMANGPGNDAYSNEEPVNLASRSNDSELYLYPACPMNYYAPLSVVSEKDDHVASRTSFSQINHSQLYEDNRHTKANMCQTSVGDYPEKSIINSDITLSYIDKMLMQEDIDDRGNEDTALQAMEEPFYELLGQNYPVLPQQQPLYGCSHLHNFSVNTDSSNGQSCNICSVTRMTNTSSSINFSENFQGFKFPWSLSAITRGTEQISHHSNRTDVGLKVDDLSLSEKPRQDNCSLHLNMRYIRKQPLFEVRDRKTYPCIEDLDLLEGRSNKQYAIYSDEPIRDEMFDKVLLCSENKPLDGGISLSKTTTNNPIKSSQIGQGNTSARQKTRDKRTQKRDVVDLRTLLIHCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDVRLAGTGSQIYHKFITKRRNAVDILKVFHLCITKCPFMRASHYFSNRTILDASKGKSQVHIIDFGICFGFQWPSLFEQLANIEDRPGKLRITGIELPEAGFRPYAMSKNTGLRLSDYAKMFNIPFEYQHIASDKWETLSPEDFNIEKDEMLIVNCINRMKDLGDETISINSARNRVLNNIRMMKPKVFVQGVLSGSYGVPFFLTRFKEVMYHYASLFDMLDKTIPRDNETRTIIERDIYQYIMLNVIACEGPERIERPESYKKWKVRNVKAGLVQLPLNLVIAKETQDMVRKGYHKDFLVEEEDQWLVLGWKGRITYAISTWKLNDSDHGD >LPERR03G20690.1 pep chromosome:Lperr_V1.4:3:17427554:17430856:1 gene:LPERR03G20690 transcript:LPERR03G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWFMDVFNITDKEGQKLKDKATILRIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGLAVTDTDRLEKIKERLSYLLRGGNVSRGAAMAVSTGTSSTHTDRRLHQMMLDDGDHEQLHRHAPNQSQKPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTEADRLRVIQCLEAAIERRASEGVKLELCTNDKIGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEHEKKESPTWFLFANLFRPRSLYSFGMFMR >LPERR03G20700.1 pep chromosome:Lperr_V1.4:3:17432067:17437795:-1 gene:LPERR03G20700 transcript:LPERR03G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAAGATDNKKNKGKGKKNKGKNGPSTVAMKARGAAAAAAGSSSNPFEAIWSRRKFDVLGKKRKGEERRVARSRSEAIHKRENTLLKEFEQSAKSSVFHDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDEEEDDVDVHRSHSLPGKDDFDEEVPLDGYSDEEGQMIRSKKRIPLQSGGVPSEPDPEEINVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQALLSLTRSARMDANKNSLNTTQKDSFGLTAKEISNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTAESSDEDDDDDRGDRRKKLDSSKPISGDDLGDSFSLDEPARKEKGWVDEIYEREGKDMGEDAVGSDDEESDDDGEDENDDDAEDDEDDEDAGDEDDLSDNDFGNMSARDWEQSDDDEVAVEEDEMEDLKEKEQKIGGKMVKKDVQNLKKESIAKPRVKDDGLPFVIEAPSNLKDLCSLLDGRSETEIVEIISRIRTCNSIKLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVPGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGQDVAIGSFLCSMVLVATKESKKFCPEAVIFLQSLLVTSLRGNMGSHLQKQINDQFLELKTMKPWLHIHEKVHEINQVNILEVMSMDSDAPYFSSDIFKAGVLLSVAECLRGFVIIHNEICSFPEIFLPISSLMQEIMDKSDLSGLLQDIFNEVIELIKNRSDEIHASREPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKKGAMRELRKDNYFLSAVKEKERMKQEQERAEKYGKAMAFLQEQENAFKSGQLGKGRKRRR >LPERR03G20710.1 pep chromosome:Lperr_V1.4:3:17438812:17440295:-1 gene:LPERR03G20710 transcript:LPERR03G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLPAFCRGSRATATVMRRRVQRVVAHGGYHSPASKLLLPAAAASFADKQQEQQPGARWWHAGGEDMVAGGGGGGRRVMVVADGRAEAGGALQWALSQAVRCNDAVLLLAVVKPPPPAAFDGGDGEHSSCVSMSRTRCYQQLEAMRGMCESARPEVKVEVCVVEAAGGRERAPAVVEAARRHGASLLVLGQRRRRRARAVVARWLLALWRRRGTWTTTVEYCIEHAPCVALGVRRRSSGGYLVSSKRQKDFWLLA >LPERR03G20720.1 pep chromosome:Lperr_V1.4:3:17444918:17449602:-1 gene:LPERR03G20720 transcript:LPERR03G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVALSLKLGGKLMDMATDRVEMALGVPGEITKLETTLGDIQSILADAETHPDRRRRTGASILKSDIVGKKIEQDTKKLVDLLVNEADAASRSSNDENVVVVAITGVGGIGKTTLARMVYNDKALDRHFQKIWLSVNQDVNEIDLLQHALANFGVNYLDCAKDKTLLEGALKNAVKHKRFLLVMDDVWSDKEWNDLLREPFKSGASGSRVLVTTRDDKVAYGMKAHHIHQVNKLERFDAWSLLKKQAFGNTTDESEIIALEDIGMKIVDRCDGLPLTIKVIGGLLRQKKNTRNRWMRIYNHPAWSMDITNDLNKAICLSYEELPSQLKPCFLYCSLFPKDEPIRRADIVQMWIAEGKEEGILLSEGQSTSIPTLKTLRLRQLSVSKKEVKLGALQKQVSLRALMLHKNSMADSNDFLNNLSSLRVLNLHIVDIVELPQSICHLKHLRYLGVAGTSITTIPQDIGDLRFLQAIDLSDCTHISQIPQSILKLKKLRLEDLVTLAGFPTHSADGWCSLEELGTLQKLKILEITGLEKASSGSIAAKANLSMKSHLRELYLMCASSLGDNGEVEGNFSGEEQDRIEKVLSNLCPPEYTELLTIGGYFGVELPQWMKNISALINLTRLEIKDYACCRLPNGMGQLPFLDYFFIERAPSIQHIGHEFLVPPLLHGDSVAFPQLKTLVFKKMLKWEKWDWEEQVRAMPVLECLSISNCELKYIPLGLAWQARALKSMFLESIRHLVSIENFPLLAELQLIENPKLEKITNNPNLKNVYVWDCPRLKVLEELPSLDSIYWLDLKAETLPEYFRVPIFKSLFLHCNQRLLRLISLQDTTSEWGKIQHVSQLQAYGCTLRVDLSGCTLPIGLSRFDFLREITGLSGFVSYTKEPYSFETRTYETSEQAQREEDVARDQGQTLFFRA >LPERR03G20730.1 pep chromosome:Lperr_V1.4:3:17465440:17468325:1 gene:LPERR03G20730 transcript:LPERR03G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILCCLADDGRAVCCFCLPWPAFNDAPRPHNSGSVGRQRADTRVAPDQGRINLTAPTHQDSMDTFRCPPRPLPYDDPRFSHHETEHHPLVGRHEKASTAFDKSSNFAESKDDDKRSNSAAAKDDDGSSVKQHSKGLDVGTAAALIDPFEYEDDCPICLEDYNYENPNITLQCNHNFHLSCIYEWMERSQACPVCAKVMLFHENA >LPERR03G20740.1 pep chromosome:Lperr_V1.4:3:17471266:17475512:1 gene:LPERR03G20740 transcript:LPERR03G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAKKLIQIDVSSDTVCPWCFVGKKNLEKAIEQTKDKFDFEVRWHPFFLNPDAPKEGIKKSDFYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGFDKQNALVEELFQSYFCQGKFIGDWQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSTISGVPYYVINGKYQLSGGQPPNTFIRAFEAAAEDLAQ >LPERR03G20750.1 pep chromosome:Lperr_V1.4:3:17475928:17477896:1 gene:LPERR03G20750 transcript:LPERR03G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >LPERR03G20770.1 pep chromosome:Lperr_V1.4:3:17489667:17494859:1 gene:LPERR03G20770 transcript:LPERR03G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQACPCLSHTRDRTRESGHAAAAVSSTLPCVSSSPSSSSFPSATSPLFQALLYTSPSSLFPFLARKRERALPLPSADLRCRRRQPPEKTTMRPHARAPESSHERTATRISSADAAVDHSVLWCYLSTAVSSSGEQHFKNHFNQSLTGSEQPTSSSAFCSARYRDAVAAVSFSPLPTRRRRFYFAAGVLTAPDCPACTSRIASTSSTFSLLGSTAAAPPIVDADAVFATVPCPADPACEREGKQKKRNREGIDC >LPERR03G20770.2 pep chromosome:Lperr_V1.4:3:17489946:17494859:1 gene:LPERR03G20770 transcript:LPERR03G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHARSPLLQYLLRSLITSSYPTYCKNFRQIHVANFRERADLSRAPESSHERTATRISSADAAVDHSVLWCYLSTAVSSSGEQHFKNHFNQSLTGSEQPTSSSAFCSARYRDAVAAVSFSPLPTRRRRFYFAAGVLTAPDCPACTSRIASTSSTFSLLGSTAAAPPIVDADAVFATVPCPADPACEREGKQKKRNREGIDC >LPERR03G20780.1 pep chromosome:Lperr_V1.4:3:17500849:17502081:1 gene:LPERR03G20780 transcript:LPERR03G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAGPCSWSDLPIDILILILERLELPHALAFASVCTTWGSAATAAGVPRSRAPWIMSWGNNLDKMLLTQDERCSSSDVKCCNLYHPVENTPDKIYSVSFPKGCFAACCGASHGWLILANRLSNLVLYNPVTSAKIHLPPVADFTCVSAIYDNSGNLKHYRWLGSLYEPICLGRWFYQKAVLSRSPSKGGHDYIVMIIHQESEWLSFVKAGQNKWQVASTLSGSGDRYLDCAHHKGSFYAVTLQGMVEKWDILDGPKRELIVSARPHPGCILTRHLVSTPWGDLLQVRVVLAVYNSDGIAFQICKIDPDGLKKVVQENVLMDHALFLGLNHSACLPTKNLPGIRPHCIYFSAPVITHAFDWLLQLRVWGGVRTYDLETGKFELSFPSCDDHKGLFYGCLPSEVWITQNL >LPERR03G20790.1 pep chromosome:Lperr_V1.4:3:17508490:17515052:1 gene:LPERR03G20790 transcript:LPERR03G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMFGGLRVAGVPMKSAIYVWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGREHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGGHCTAAVAEPRENDDTISRSRLWVWGQNQGSDYPRLFWGAFAPNTVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHIAAISENGEVYTWGLGSMGQLGHCSLQSADKELIPRRVVALDQTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVKFVTCGHSHTLVSMKDGCIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDIASRTGGDALARLCEKLREHLVEQGECEPLENQMIEEVEAKA >LPERR03G20790.2 pep chromosome:Lperr_V1.4:3:17508490:17515052:1 gene:LPERR03G20790 transcript:LPERR03G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMFGGLRVAGVPMKSAIYVWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGREHTAAVASDGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGGHCTAAVAEPRENDDTISRSRLWVWGQNQGSDYPRLFWGAFAPNTVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHIAAISENGEVYTWGLGSMGQLGHCSLQSADKELIPRRVVALDQTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVKFVTCGHSHTLVSMKDGCIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDIASRTGGDALARLCEKLREHLVEQGECEPLENQMIEEVEAKA >LPERR03G20790.3 pep chromosome:Lperr_V1.4:3:17508490:17515052:1 gene:LPERR03G20790 transcript:LPERR03G20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMFGGLRVAGVPMKSAIYVWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGREHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGGHCTAAVAEPRENDDTISRSRLWVWGQNQGSDYPRLFWGAFAPNTVSCGAVHVMALSEDGLLQAWDILGDWEAWDSLGIALFNKELIPRRVVALDQTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVKFVTCGHSHTLVSMKDGCIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDIASRTGGDALARLCEKLREHLVEQGECEPLENQMIEEVEAKA >LPERR03G20790.4 pep chromosome:Lperr_V1.4:3:17508490:17515052:1 gene:LPERR03G20790 transcript:LPERR03G20790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMFGGLRVAGVPMKSAIYVWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGREHTAAVASDGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGGHCTAAVAEPRENDDTISRSRLWVWGQNQGSDYPRLFWGAFAPNTVSCGAVHVMALSEDGLLQAWDILGDWEAWDSLGIALFNKELIPRRVVALDQTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVKFVTCGHSHTLVSMKDGCIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDIASRTGGDALARLCEKLREHLVEQGECEPLENQMIEEVEAKA >LPERR03G20800.1 pep chromosome:Lperr_V1.4:3:17528585:17529735:-1 gene:LPERR03G20800 transcript:LPERR03G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFEAQGLIGCGNLKNYRWIGGFYEPNCLGRWFYQKAVLSRSPSKGGDGDDYIVMIIHQESKCLSFVKAGQSKWQVASTLSGSGDTYLDCAHHKGRFYAVTLQGMVEKWDVLDGPTRELIVSARPHPGCILTRHLVSTPWGDLLQVRVILAVHNPDGIAFQICKIDPDGLKKVVQEKVLMDHALFLGLNHSACLPTKNLPGIRPHCIYFSAPVIAHAFDWLLQLRVWGGVRTYDLVTGKFELAVPSCDHKGLFYGCMPSEVWITQNL >LPERR03G20810.1 pep chromosome:Lperr_V1.4:3:17553416:17558370:1 gene:LPERR03G20810 transcript:LPERR03G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEAASEAANGAKNLAAETQNLAAQAHANAEAAANAAQANSEAAANAAQNNANAAAEASNRSEQMARTANLNAQASGDSATEAQEMARGAREAMIAACAEASSSETRINEKLTKQAEAMLEALAWSAEQVSSSATLATGAADAIQDMLSRLREIEGGEETVLGSLAARLGRLADEFGNRAGYTRTIADQSLMALEGIQSALSEAMQHSNLIDLSPEATTEPQDAEEQHPTEELQPRPRTQSCRYFGGPWINK >LPERR03G20820.1 pep chromosome:Lperr_V1.4:3:17559038:17560099:-1 gene:LPERR03G20820 transcript:LPERR03G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSEGDAATKLDAYGFLEEIFPQPDSNAEWPSSNWAVISTESPWSVAPKKEDGRGKN >LPERR03G20820.2 pep chromosome:Lperr_V1.4:3:17560107:17560418:-1 gene:LPERR03G20820 transcript:LPERR03G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPQSSSTSPGSQNKWNGGTIAAAAGRDNEVTTGKMQMAAAGSLPPCYQGPELEQMGFSCD >LPERR03G20830.1 pep chromosome:Lperr_V1.4:3:17561564:17564940:-1 gene:LPERR03G20830 transcript:LPERR03G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPKRLDGPGGARVLSSTAFARLSAAPTLLASTPLLLAPLPRRRPTHQEDDAMGVGAAEAESMSATLGTGPRGGGYIVAIGTTTTVGGGERVFPPMRRRGDTSTAKQQQQKRKKASSYSWSPSPAASARPRADGGMRIVVPLQGVVQGRGGLFLGSLIPCVRDDDSLYYAGLRRCADNPYHLAHNPDGIIQLGLANNYGLGTGGVILMVSSLKHSRKQFFHSFMTITLFGAVGTLTSFTVISLALGAIFSATDSVCTLRVLNQDETPLLYSLVFGGVVNDATSAVLYSMQSRTLILQISAVLNSCNLLAISFFCLPRAPFLEWLHSTDREVSIMMLMACLSYMLAEVLDLSGILTIFFCGIVMSHYTRHNVTESSRVTTKYLYGLCRVIIWWSGLMRGVVSIALAYNKADRRQERQATASLAAPGRLAPATTASPAAPGRLAPATLHVYKATVCRGLLYI >LPERR03G20830.2 pep chromosome:Lperr_V1.4:3:17561864:17564940:-1 gene:LPERR03G20830 transcript:LPERR03G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPKRLDGPGGARVLSSTAFARLSAAPTLLASTPLLLAPLPRRRPTHQEDDAMGVGAAEAESMSATLGTGPRGGGYIVAIGTTTTVGGGERVFPPMRRRGDTSTAKQQQQKRKKASSYSWSPSPAASARPRADGGMRIVVPLQGVVQGRGGLFLGSLIPCVRDDDSLYYAGLRRCADNPYHLAHNPDGIIQLGLANNYGLGTGGVILMVSSLKHSRKQFFHSFMTITLFGAVGTLTSFTVISLALGAIFSATDSVCTLRVLNQDETPLLYSLVFGGVVNDATSAVLYSMQSRTLILQISAVLNSCNLLAISFFCLPRAPFLEWLHSTDREVSIMMLMACLSYMLAEVLDLSGILTIFFCGIVMSHYTRHNVTESSRVTTKYLYGLCRVIIWWSGLMRGVVSIALAYNKRPTDGRKDKPLLAWLPLAGWPLPLLLARLPLAGWPLPLYMSTRLPSAAECDP >LPERR03G20840.1 pep chromosome:Lperr_V1.4:3:17565926:17567272:1 gene:LPERR03G20840 transcript:LPERR03G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLMALEVVARRDASFWPATGTTGERSLHTGKRGLCIPKNGPYEVHDLGVLGEGRGGDGGAGEEEARGAGEEGRPGEWWGEEEGEDEDKEKRESPENEEMLQPLPLIWLGLIFASGRYNGPLATLILASYQACDPGFYPYTATEDFPEGMEEGARRRIADAVESIMVGFDGTLAAFQLAYREDPPAEDNAEDSPNDPPAA >LPERR03G20850.1 pep chromosome:Lperr_V1.4:3:17585305:17586063:-1 gene:LPERR03G20850 transcript:LPERR03G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSSFHAEFHLYATRLSEFRYGTLNVLVNQTNSIEDIDWILPNLTGRKGTITLAFRADNLYLVGFRNKYGQWYCFIGREDLIPGCTVLVIEERYGAKGMGGLKDVVAELLLSRDHTLDAIDDLYECHPTTIPKDVLQRAMGTLLLVIPEPARFTEVFEAISADWESKEGIRLKDKINMKMLYHWGDLSAIAMLGLENDKATPYINKLKTDKIKKINGNDDLMGRLRVLLMVNSVREYDLPGLERIREPHS >LPERR03G20860.1 pep chromosome:Lperr_V1.4:3:17596694:17598946:1 gene:LPERR03G20860 transcript:LPERR03G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEPAARTNELPEDVLAEILRRLPPRSLAVSRCVCAAWRSAIDTRRMLRTDLLPLSLAGIFIDFLDLRFPELFSRNSRSSPTPINGKLDFLPHDEGMYFIMDHCNGLLLLPGLMVANPATRRWARLPPLPSHFAGQKGVKGFYDQEVFLVFDPTISHHYQVFMIPSVNIGRYSTDRMNMASEWPSSRLLVRVFSSMTGEWEERPLVRQGDAAGTVTDVAERMSRLASACIDGNVFWRGALYINSHCVIRISMSDRKYQVIKHPMIYNSKQQPDIYIGKSEKGVYLASLLGTECCLSVWILHESGGHFEWILKHQNNLKPLLRCLNQRKQVHGPWISQDVNYHLYSQKFPGEWEIYDSNYDPSHFQSPNDIDKTPVENNFEWDSDDDSVVGTQGDIEEGNVGGHIQFLGFHPFKEVVFFSSLYGWKGLAYHLNSSKLQYLGNLQPKHYEYFSKHEDICRSSTYTPCWMEQFPGISYYSTR >LPERR03G20870.1 pep chromosome:Lperr_V1.4:3:17604847:17608101:1 gene:LPERR03G20870 transcript:LPERR03G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYKASISTWLRYFYGPLRVCVTGQLWISTPTINQRVAVCQMSGRLKLWVTCPL >LPERR03G20870.2 pep chromosome:Lperr_V1.4:3:17604768:17608101:1 gene:LPERR03G20870 transcript:LPERR03G20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYKASISTWLRYFYGPLRVCVTGQLWISTPTINQRVAVCQMSGRLKLWVTCPL >LPERR03G20870.3 pep chromosome:Lperr_V1.4:3:17604768:17608101:1 gene:LPERR03G20870 transcript:LPERR03G20870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYKGILFLSHVYSFLFVFFPSLEYCSHVAINNTMANFTTIENCVLIHTLLYDAIHRNR >LPERR03G20880.1 pep chromosome:Lperr_V1.4:3:17610129:17617108:1 gene:LPERR03G20880 transcript:LPERR03G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDDMLGGLRVAGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGGHCTAAVAEPRENDGTISRSRLWVWGQNQGSDYPRLFWGAFAPNTVIRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGYTSEGLQGAHVLNAYARFLDEAPELVKIIRVSCGEYHTSAISENGEVYTWGLGSMGQLGHCSLQSADKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSEMLLRNIPVLVIPSGVKLVTCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECEPLENQMIEEVRAKA >LPERR03G20890.1 pep chromosome:Lperr_V1.4:3:17618906:17621067:-1 gene:LPERR03G20890 transcript:LPERR03G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPHFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNTRYVDAVMTIPTKTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQKATIPKDCDTVQKCYLALAEQVKAELGKIDPYFIKLADAMVTWIEAWDELNPSTTAVENGKAK >LPERR03G20900.1 pep chromosome:Lperr_V1.4:3:17639669:17645350:-1 gene:LPERR03G20900 transcript:LPERR03G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEEIDTKAEAQALKQSS >LPERR03G20910.1 pep chromosome:Lperr_V1.4:3:17657360:17658510:1 gene:LPERR03G20910 transcript:LPERR03G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGLVKDKEGAAHPPRSTTALQCGIREKVDWVNRHVSTQNQQIDIMSLKDVSSGLDSQQRSSVEVQLVDHGNSNSVVLDVGSEAVAPFGTISEATTSIQLPHPASQALTDIMSPHAPALMKAVMWASETVLEVKRREGARRCRRAPLGPSVHHPVLVR >LPERR03G20920.1 pep chromosome:Lperr_V1.4:3:17688364:17690844:1 gene:LPERR03G20920 transcript:LPERR03G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSHHHHLLFIVALLALIGPAVAELCETHDLANEVYLYCSKTRKKPPELPCCEVLENIFRITIDSDPNCICSLDVDSIFLLIGYGLNHLVRLYAICGGPATIEAQKIVDGCESGRWIPPIG >LPERR03G20930.1 pep chromosome:Lperr_V1.4:3:17709776:17710273:1 gene:LPERR03G20930 transcript:LPERR03G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGIFLTPYVAMEGGHCQDGSRHRAIFPATPSRSSPTSCHHHVISLAFVATSSLHPAERRGGRCSIRGRLSSRSSLERGLFITTSPATWRSCHFLLHAWRLSSSILADVVRMHHRNTFIRSEMPFVSDGPLQDGLLKTTSHALPDVVKHLLFLTLSHFTRDTI >LPERR03G20940.1 pep chromosome:Lperr_V1.4:3:17714127:17714717:1 gene:LPERR03G20940 transcript:LPERR03G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLLEHCVRWAQQSPPRSLVFVRVAAEIRLVHRQGAEHCIGSAWRGRSRTRDGAGPQCHLQHPDGGGVKGWGGIWEAVDGGHQIEAGEMSSPATTANLCRQRRLR >LPERR03G20950.1 pep chromosome:Lperr_V1.4:3:17728213:17728903:1 gene:LPERR03G20950 transcript:LPERR03G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTHLVVLLGLLAFAAWVPAAAAAAAATGGAVERAQVATGATTAEASCEPTILATQVALFCAPDMPTAQCCEPVVASVDLGGGVPCFCRVAAEPQLIVSGLNATHLLTLYAACGGLRPGGARLAAACEVHPLLI >LPERR03G20960.1 pep chromosome:Lperr_V1.4:3:17731872:17732364:1 gene:LPERR03G20960 transcript:LPERR03G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSADQEPFPTPRAKHSHGSRTVLWIVLLLAFIALVAVASGGIYALREGQQNRDTHAGEDITEVDEMEVDDQVAGQTMELPLKLATSSSEAKRGGRKKKRQSEG >LPERR03G20980.1 pep chromosome:Lperr_V1.4:3:17795121:17795333:-1 gene:LPERR03G20980 transcript:LPERR03G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLVWTQVGSIAFLVCITTTFTPGPPAVMNPVSAEGKFNQKSTTLPAQAEDRAPAITRTKDQPLEATF >LPERR03G20990.1 pep chromosome:Lperr_V1.4:3:17833043:17834522:-1 gene:LPERR03G20990 transcript:LPERR03G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQIAAIVVLRRWAVASSAALQPLRRRRFPPSRAAIEHRRREPPLKRRWAAASSAAVERRVQVGGGLERRRRSSGGALQPRRQPKSSIRPGIQQLQPLL >LPERR03G21010.1 pep chromosome:Lperr_V1.4:3:17925251:17925438:-1 gene:LPERR03G21010 transcript:LPERR03G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYLSNNPIVMPRQRIGDTIDMGNLPVHYQRRPSPASKAETRNNTRLTGLDLQRYPTTVS >LPERR03G21020.1 pep chromosome:Lperr_V1.4:3:17946704:17947340:-1 gene:LPERR03G21020 transcript:LPERR03G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGPRGTHSPVAVALPGQVLALANDKGLQIPLPPSVRSTSSRGDFGELTQRMDTLDLTTEEMSQNLTEHVAQTQYWQHHANAQFSNFNNMMQCGTPQLRCCQILGTFAIFVEWDPYMQNSRSTSRNRGNAEYLSNNPIVMPGQRIGDTIDMGNLPVHYQTRPSPASKVETRNDTRLMGLDLEHYPTTVSQTLSPSDARLAPGNELV >LPERR03G21030.1 pep chromosome:Lperr_V1.4:3:18022946:18023355:-1 gene:LPERR03G21030 transcript:LPERR03G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYPRAAVAFTSPPDCVILAGAAAASGDCRRRPDRLRQPSLHQQGRLNVLYLFSIGIDSSHAADRRHRSRLRQRCSAEREEERNRGGIETSESL >LPERR03G21040.1 pep chromosome:Lperr_V1.4:3:18034144:18035303:1 gene:LPERR03G21040 transcript:LPERR03G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRNGHAARRPSLLSPSPTLSSPSRPRPLFLNVVGHRPLPTPDLHPSHLNHPTQCHRGVIVVLLPSPAPLSPLPVLTPKQGRRRKGGDEESDMWARVSSLVSPPSALCLGWPTRPSFVCPACPRKIEFDSGPSTSPSPGFLLPTKISPVWVLLSLHPSVPSPVTQKE >LPERR03G21050.1 pep chromosome:Lperr_V1.4:3:18045816:18046460:-1 gene:LPERR03G21050 transcript:LPERR03G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDFIGREGRKIRACYRTDNWYMIGFANGRGDWFAFKGLKHLIPGSTELDIIDSYSANGIGDLKYLQKLPLSRRHALDAVDNLFPYDRFDTPRDVLQMSVSTLILLTSETGRFRRLYNPVAAEWDNEDGIIIEDLQFLRFFGKISCELIVGWDTIFSGDIVQEIGLILNINSKQEAMEYLHLVVLRGRYCEDDEDFVGFEPLNPPNHEPGPQN >LPERR03G21060.1 pep chromosome:Lperr_V1.4:3:18052966:18055544:1 gene:LPERR03G21060 transcript:LPERR03G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLMAAMMLATGMVVFQLGRNVCTNPEVKISKRNRRNVVPENATEAERYSMHGFRRFFGQRRPEVMPSINRFFSNSDQVNCDKSKDEE >LPERR03G21070.1 pep chromosome:Lperr_V1.4:3:18100039:18104644:1 gene:LPERR03G21070 transcript:LPERR03G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTAIAVAVVLVVVVSSLLLRWNEVRYSRKSGLPPGTMGWPLVGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMEPELNRRALAASEGRGLVPGYPQSMLDILGRNNIAAVQGSLHRSMRGAMLSLVRPAIIRSSLLPKIDSFMRSHLAGWSESNGGLLDIQSKTKEMALLSALRQIAGVSAGPLSDALMKELYTLVLGTISLPINLPGTNYYQGFKARKKFVAMLEQMIAERRSSGQVHDDMLDALLTGDEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIREGKGPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMAFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >LPERR03G21080.1 pep chromosome:Lperr_V1.4:3:18102740:18108753:-1 gene:LPERR03G21080 transcript:LPERR03G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSQQRRYLFFPNPRLRRRLAPPRRIPAVSAFAVRRQMKLLLISDDYIKPIGSFDSRELQYCVVTFFLPSAREDQHQSVCTLSCSRALGWSDRYLTAIIDVVDMVSYPEYMRVMIKSMICSSVSFSLVPSSPVKRASSMSSWT >LPERR03G21090.1 pep chromosome:Lperr_V1.4:3:18108819:18113161:1 gene:LPERR03G21090 transcript:LPERR03G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLSPRLPCSLPCYCIRNHVHYKPHILGNIMTKPKAKMRLHNRNVSFMAKKSSEDVAEGSSDEEGDDETTKTKKRAPRRGRRKATIEASEGETQDGQVNTEDGSPEETKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRKKVKAVEELSDNEGEDLGEDLVPSNDIQEHISSNDLESKIAALLLEDDDEIANVMPLLCCFGPAKYSFIPSGRPANRLIDHEIHDGMKDMFWSPDEFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSILHHLNINGVQTRAIKMDPSAYTAMSLMKVTGRGGMKMSCAKPCAEDCFVQNDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKRFGGVIFFDVNLPLPLWLSSKETKSLVKEAWEAANIIEITKQELEFLCGIKPSEEFGTKDNDKSKFTHYSPEVVMKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGEMSQSGDAIVAALMKMLAINPHLVTDKDYLHSAIKHAITCGVIDQWLLARERGFLPRETEDPTSEHFEARFVTEKEYRTLPGSIQSEDSTQSDLLYVE >LPERR03G21120.1 pep chromosome:Lperr_V1.4:3:18125365:18126495:-1 gene:LPERR03G21120 transcript:LPERR03G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGGGGRGGKVGGGGGAAAAGGGGGGGGGDFGRAVARAAVARMLEAAGFACAHRSAVDALVDVLLRYICQLGRAATFHANLAGRAAPNECDVIQFLEECGAAYYGFAGAASSSARCLANSAVVKDMVMFVSNSPFGAGRPLPRFPVQRAPMQPMSSFAALGRESGMSHVPEWLPAFPEPHTYLRTELWSEEVPKAGADEVERARQRRKAEKSLLSLQRRLAVAGADGFRPAMLVDGAVKANELDVVESNKANPFLERALPYGEKVSEVSMPGVGTKFSVIEAFAPAFEESKGGQPDEGMDQDQDQSQKRVVPKERPPVYFRIGIDKKSMVMSLNSRALGDQKDPWFLKEEKEQRAELILREAMENPHQLTQL >LPERR03G21130.1 pep chromosome:Lperr_V1.4:3:18133831:18140810:-1 gene:LPERR03G21130 transcript:LPERR03G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPQRRLVLHFVLLTAAASISTPIELTVASHPLSPVRLPPAAPFAGEGGGSGGPFCTRVHVRGRPSRLRDPSRFFHALRVRANATRPAGLELCFHRNATVGPCKCAASQWHKMPKSGLWVQAISPYDTRILDFRMPSDPSRSVLVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGIFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLVAFSALVFCTVTKAVSRIEGKSRFLWCLSRGFSNGIMIDRTSYEDLGEEYSSINGSHQDGRFSKLHGEHRRYTPKRNSPGTGQRKTLSQGLATDSYYSTFHTNPERRKFSEEEYEAFTREETNKAMKQLISSPDFNRWALANVDRISVTPQRTRPNSSNQQRRRLFGLF >LPERR03G21140.1 pep chromosome:Lperr_V1.4:3:18146978:18151242:1 gene:LPERR03G21140 transcript:LPERR03G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWHISIIVLVLLYRESYAIPVAPQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVTLDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLGELKSLRVKQRYSFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVETLLKYGYKGEYKSKEWLKQPLFIQSFAPSSLIYMSNMTNSPKILLVDDTTVRTQDTNQSYYEITSDGYLAFIRKYVVGIGPWKDTIVPAINNYLGPPTDLVARAHALNLQVHPYTFRNEYMFLHFDFHQDPYLEYEYWLSEIGVDGLFTDFTGTLHRFQECTTPYPKNDKNAEALLQKITYMLKDSGY >LPERR03G21150.1 pep chromosome:Lperr_V1.4:3:18155731:18162721:1 gene:LPERR03G21150 transcript:LPERR03G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGEGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINGMCKTGMMHETREILSRMHKSGVLPNNVLYTTLVFYCCKAGYVKEAVKYFVDIYRSGLVANSFIHNALLCAFCRDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21150.2 pep chromosome:Lperr_V1.4:3:18155731:18162721:1 gene:LPERR03G21150 transcript:LPERR03G21150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGEGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINGMCKTGMMHETREILSRMHKSGVLPNNVLYTTLVFYCCKAGYVKEAVKYFVDIYRSGLVANSFIHNALLCAFCRDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21150.3 pep chromosome:Lperr_V1.4:3:18155731:18162721:1 gene:LPERR03G21150 transcript:LPERR03G21150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGEGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINGMCKTGMMHETREILSRMHKSGVLPNNVLYTTLVFYCCKAGYVKEAVKYFVDIYRSGLVANSFIHNALLCAFCRDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21150.4 pep chromosome:Lperr_V1.4:3:18155731:18162721:1 gene:LPERR03G21150 transcript:LPERR03G21150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGEGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINGMCKTGMMHETREILSRMHKSGVLPNNVLYTTLVFYCCKAGYVKEAVKYFVDIYRSGLVANSFIHNALLCAFCRDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21150.5 pep chromosome:Lperr_V1.4:3:18154909:18158960:1 gene:LPERR03G21150 transcript:LPERR03G21150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPSLASSSYRRRILQLRHAASHPAHWSRLPARARPPAHARIGAGVLPAAATSAHGVESSVITVLAMQRWEALSQMAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21150.6 pep chromosome:Lperr_V1.4:3:18155731:18162721:1 gene:LPERR03G21150 transcript:LPERR03G21150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSGKLDKAHGNLALKILDSIMHRSGLDRITHIYCMAVHILVQAKMPLQAMSVLSHLAVTGFSCSAIFSSFLRTISRCDSTNLCFVDLLVNAYVKEGKVLDAALAIFFMDDCGFKASSSSCNNILNALAEEGESEYVWLFLKESLDRKFSLDITTCNIVLNSLCTQGRLRKAESMLQKMKGCCLPNAVTYNTILNWYVKKGRCKAALRILDDMEKNGIEADLYTYNIMIDKLCKIKRSARAYLLLKRMREDNLTPDECSYNTLINGFFGEGKVYLAVYIFNQMLRQSLKPTVATYTALIHGYCRNGRTDEALSVLCEMHITGVRPSELTYSALLNGYCKHSKLGPALDLIKCLRSRGISLNRTMYTILIDGFCQLGEVSKAKQILKSMLVYGVHPDVITYSALINGMCKTGMMHETREILSRMHKSGVLPNNVLYTTLVFYCCKAGYVKEAVKYFVDIYRSGLVANSFIHNALLCAFCRDGMISEAEKFKQYMSRMKIPFDVASFNCLIDSYCNKGNVLEAFSVYDDMVRHGMTPNISTYGSLLRGLCQGGHLVQAKEFMTYLFEKPCPIGENTLNALLIGICKHGTLDDALDLCEKMVTRNILPDTYTYTILLNGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYVFQEIICKEGLYADCIAYNSIMNGYLKGGQINELERLMCDMHENKVYPSSASYNILMHGYIKKGQLLRTLYLYRDMVKEGIKPDNVTYRLLILGLCKYGLIDIAVKFLEKMVVEGVFPDKLAFDILIEALSEKSKMSNALQLFSYMKCLRMSPSSKTYVAMVNGLIRKKWLQQSYEILHEMVENGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVADSSIVRGLCRCGKVEEAIIVFSSIMREGMVPTIATFTTLMHGLCKEFKIDDAFHIKKLMELCGLKVDVVTYNVLITGLCNSKCVCDAFDLYEEMKSKGLRPNVTTYITLAGAMYATGTMQEGEKLLKDIEDRGIVPSYKHHESLGRRMENTIERLNTIRNCKKGMPFKNEVDLLLVDHEAANG >LPERR03G21160.1 pep chromosome:Lperr_V1.4:3:18166089:18167480:1 gene:LPERR03G21160 transcript:LPERR03G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADAAAAAATIAGEPSSSSMLDSLGEDITRIVTPVSTCMLLVVVLVSLLSSSSSPSPFTVAGGGSAGAGAGDEITSALITAVTFVVAVTVATFLLVLLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRFPLDAVSFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMALYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGQNWRLWRERQQPTAGGDFDSNSTVEVIGEVLGSNLGANSAGDLGISAFRSDEQVDDLSGDARNLRFGGTSMPNLSSDSVRAQVEVLQALPETRVSVAEMRVPLIQPQPDRTRVEEEDDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLLMF >LPERR03G21170.1 pep chromosome:Lperr_V1.4:3:18169527:18171519:1 gene:LPERR03G21170 transcript:LPERR03G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEKKHWCRICNKSFPSYNSLGGHMNMHGTRRKKKPPPSPRKAPSTAASGRYGFRERQQRQVVCLSDSTSSDDEPEPWTLAPKTECQLCFRVFHSRDALSMHMKAHANHGGKMVMVEQRASRKLSPLSSADGDHGFSAVCYVPVKKARSRRIRMDIFPTTPVTMTHGREVVDAACVLVMLSEDAYKNSDSVDEDLEMDGSLECSPQKTEMEPSSYCLDVIGDTELIKLENYSSDEETKFVSLSDVLKATASHECRICGKVLPSGAALGGHMKSHSVTPAHEKVATFSKTSVTPSRKQHLGVENELYELNLPALSYRDCSGTRTESERNPCCVLALQAAFRVSE >LPERR03G21180.1 pep chromosome:Lperr_V1.4:3:18175804:18177732:-1 gene:LPERR03G21180 transcript:LPERR03G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISAPRIDGWNSSDRLPIYEPGLDDVVRRCRGRNLLFSTDVERHVAEAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKDGIRYQILSNPEFLAEGTAVDDLFKPDRVLIGGRSETPEGRAAVAALKSVYARWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVASYWRQVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLAMNKFDWDHPRHLQPMSPSVVARHVSVSWDAYEAAKGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDRLREIGFVVYSIGKPLDGWLKDMPAVA >LPERR03G21190.1 pep chromosome:Lperr_V1.4:3:18178117:18180335:1 gene:LPERR03G21190 transcript:LPERR03G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANLSMELTGGSDEEFPLRRPRQQPPLPAFSRADVAAPPIGDRFSIAVYDSTSLKPSSSTTTSIASNGEGHRRGSPTWRWGRSPARLPDHKILEI >LPERR03G21200.1 pep chromosome:Lperr_V1.4:3:18188094:18204104:1 gene:LPERR03G21200 transcript:LPERR03G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGNPNALLSSNGSSGALYMLHFNGNLEFSLLFPSLQLEGTYFAPKDQTTFVSSSKVRISPQSKHIVTLDLNGSVNILVLANDKYSASLHPPRNGTQLIGVKDISWWTDNILMVVKENGSISMYNITGNMVVSEYDPLSTPQLEKARSIEGYTFILQSSRYEGNTTSEKEVDSDSKPSLHNVSRSNQHSEMDKIFWSLDEVLKAQWLCSDGDIHDIESYLSNIKDQVFVLSECLNKVGPTEIALKALLSFGLCITNHFKFSEFDNSSEGSAWDSRIIRLRLLRYNGLLETFLGINMGRFSAVEYSKFRLMPLVETSVALAESGKIGALNLLLKRHPYTTSSDILCILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECKQMASYINTCPDQLGKSFSWPSIAELCDWYRNRARDIDCLSGQLENCLAMIELGCQKGIVELELFLDDIKCLYQVVYYDELSEFIMNLATWEDLPNYEKFKIILKGAKEKSVVQRLEEKAIPFVKKRLHLFSSSNEEKLGESYLIRWLKEVASKSELSICLAVFENGCGESPIRGLFNDIAEMIETAREKSLLASEEDCNFKDAKQALRTSAVSFDDMQHVCTRILSGLSSSGDSHDSRDYQLDSIEALDMLEKKLKVAEGHLEVGRLFAYYQVPKPVHFFLSAHLDEKNVKQIIRLLLSKFGRRQPVRSDNEWTNMWRDLKHIQEKAFPFLDSEFMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEVIRMGHGAVWDLCAAIARGPQLDNMDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDINHDREINHVEVVRQMLSKVCIDLSNDDAYNWESTLSKNRKLLSFSALELPWLLKLSNDEEHDGKKQSLKTDHRIRRSQFSTKVKATNCIIHWLAVNGFSPNDDLVMSLAKSVIEPPVDEEDYIFGCSILLNLIDPFNGVKIIEEELKKRECFQEISSIMNVGMTYGSLNSLKKECSTPEQRRNLLLEKFHEKFTSIDSDELDQIDEANATFWREWKTKLEERRLADQARMLKQMLPGIDTSRFLSGDVNYIKNVVFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCSLVSECWDNNNILSEISEFRDIIVSSAKGVIDMIYSDVYPEIDGYNKQRLSYLYDILSACHSYLKRTSEIELTYPEHVHTHKFEPFPYYKVLAEECKKVSFIDDLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVSMYVDVLAKGLISRQNVYKHYVLGLLASLEGRTEARSNNIDCEKLQTLLSDIELNYDSCKEYIQGLPATDVSYIIRSNLARNHPQEPSWKKPLDALVMLWIKLIDDIPVESMDACSYGRTEYLDSNSLSHCMGAFRQLLVDDKITVCQGCAFESVAQVYHEGQIQLENESVDPRNPLDLLELYNATLDDCLSDLMKGSSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKVISFSENMQLESQLRVYALQLMQCIIGRNLKNLPNELVSQAEPWEFASIADDSNNSSSSITGTLVALRSTQMVARVLPDANITPENLGTLDSAVSCFLHLSESASSVETIAVLEAVLEEWDQLFSTKDESVPPLQESPKETSDWNDDWDDDGWEALPEELGSP >LPERR03G21200.2 pep chromosome:Lperr_V1.4:3:18188027:18204104:1 gene:LPERR03G21200 transcript:LPERR03G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGNPNALLSSNGSSGALYMLHFNGNLEFSLLFPSLQLEGTYFAPKDQTTFVSSSKVRISPQSKHIVTLDLNGSVNILVLANDKYSASLHPPRNGTQLIGVKDISWWTDNILMVVKENGSISMYNITGNMVVSEYDPLSTPQLEKARSIEGYTFILQSSRYEGNTTSEKEVDSDSKPSLHNVSRSNQHSEMDKIFWSLDEVLKAQWLCSDGDIHDIESYLSNIKDQVFVLSECLNKVGPTEIALKALLSFGLCITNHFKFSEFDNSSEGSAWDSRIIRLRLLRYNGLLETFLGINMGRFSAVEYSKFRLMPLVETSVALAESGKIGALNLLLKRHPYTTSSDILCILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECKQMASYINTCPDQLGKSFSWPSIAELCDWYRNRARDIDCLSGQLENCLAMIELGCQKGIVELELFLDDIKCLYQVVYYDELSEFIMNLATWEDLPNYEKFKIILKGAKEKSVVQRLEEKAIPFVKKRLHLFSSSNEEKLGESYLIRWLKEVASKSELSICLAVFENGCGESPIRGLFNDIAEMIETAREKSLLASEEDCNFKDAKQALRTSAVSFDDMQHVCTRILSGLSSSGDSHDSRDYQLDSIEALDMLEKKLKVAEGHLEVGRLFAYYQVPKPVHFFLSAHLDEKNVKQIIRLLLSKFGRRQPVRSDNEWTNMWRDLKHIQEKAFPFLDSEFMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEVIRMGHGAVWDLCAAIARGPQLDNMDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDINHDREINHVEVVRQMLSKVCIDLSNDDAYNWESTLSKNRKLLSFSALELPWLLKLSNDEEHDGKKQSLKTDHRIRRSQFSTKVKATNCIIHWLAVNGFSPNDDLVMSLAKSVIEPPVDEEDYIFGCSILLNLIDPFNGVKIIEEELKKRECFQEISSIMNVGMTYGSLNSLKKECSTPEQRRNLLLEKFHEKFTSIDSDELDQIDEANATFWREWKTKLEERRLADQARMLKQMLPGIDTSRFLSGDVNYIKNVVFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCSLVSECWDNNNILSEISEFRDIIVSSAKGVIDMIYSDVYPEIDGYNKQRLSYLYDILSACHSYLKRTSEIELTYPEHVHTHKFEPFPYYKVLAEECKKVSFIDDLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVSMYVDVLAKGLISRQNVYKHYVLGLLASLEGRTEARSNNIDCEKLQTLLSDIELNYDSCKEYIQGLPATDVSYIIRSNLARNHPQEPSWKKPLDALVMLWIKLIDDIPVESMDACSYGRTEYLDSNSLSHCMGAFRQLLVDDKITVCQGCAFESVAQVYHEGQIQLENESVDPRNPLDLLELYNATLDDCLSDLMKGSSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKVISFSENMQLESQLRVYALQLMQCIIGRNLKNLPNELVSQAEPWEFASIADDSNNSSSSITGTLVALRSTQMVARVLPDANITPENLGTLDSAVSCFLHLSESASSVETIAVLEAVLEEWDQLFSTKDESVPPLQESPKETSDWNDDWDDDGWEALPEELGSP >LPERR03G21200.3 pep chromosome:Lperr_V1.4:3:18188094:18203049:1 gene:LPERR03G21200 transcript:LPERR03G21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDINHDREINHVEVVRQMLSKVCIDLSNDDAYNWESTLSKNRKLLSFSALELPWLLKLSNDEEHDGKKQSLKTDHRIRRSQFSTKVKATNCIIHWLAVNGFSPNDDLVMSLAKSVIEPPVDEEDYIFGCSILLNLIDPFNGVKIIEEELKKRECFQEISSIMNVGMTYGSLNSLKKECSTPEQRRNLLLEKFHEKFTSIDSDELDQIDEANATFWREWKTKLEERRLADQARMLKQMLPGIDTSRFLSGDVNYIKNVVFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCSLVSECWDNNNILSEISEFRDIIVSSAKGVIDMIYSDVYPEIDGYNKQRLSYLYDILSACHSYLKRTSEIELTYPEHVHTHKFEPFPYYKVLAEECKKVSFIDDLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVSMYVDVLAKGLISRQNVYKHYVLGLLASLEGRTEARSNNIDCEKLQTLLSDIELNYDSCKEYIQGLPATDVSYIIRRYCMLCFPSNLARNHPQEPSWKKPLDALVMLWIKLIDDIPVESMDACSYGRTEYLDSNSLSHCMGAFRQLLVDDKITVCQGWDVISVYVKIGLRC >LPERR03G21200.4 pep chromosome:Lperr_V1.4:3:18188094:18196630:1 gene:LPERR03G21200 transcript:LPERR03G21200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVCTRILSGLSSSGDSHDSRDYQLDSIEALDMLEKKLKVAEGHLEVGRLFAYYQVPKPVHFFLSAHLDEKNVKQIIRLLLSKFGRRQPVRSDNEWTNMWRDLKHIQEKAFPFLDSEFMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEVIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEYQRMRERRTLPVRGCVLI >LPERR03G21210.1 pep chromosome:Lperr_V1.4:3:18220848:18222125:1 gene:LPERR03G21210 transcript:LPERR03G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVHELHRLYRVQKQLMSDLNRSSPPELTCRRRLSRRKQQPRRRALNLQLPADEYIVVADAGETDDLALTLAVGGGSGRRNNKRRESSPFTSNCSAGSLTTTTTSSSSIDSDGSLRPPPPPPRAMALDLHDGTTTATAATTQPPWLLQRLSLKMA >LPERR03G21210.2 pep chromosome:Lperr_V1.4:3:18220997:18222125:1 gene:LPERR03G21210 transcript:LPERR03G21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVHELHRLYRVQKQLMSDLNRSSPPELTCRRRLSRRKQQPRRRALNLQLPADEYIVVADAGETDDLALTLAVGGGSGRRNNKRRESSPFTSNCSAGSLTTTTTSSSSIDSDGSLRPPPPPPRAMALDLHDGTTTATAATTQPPWLLQRLSLKMA >LPERR03G21220.1 pep chromosome:Lperr_V1.4:3:18223726:18227372:-1 gene:LPERR03G21220 transcript:LPERR03G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPPPIGDGEDGGGEARSCGSPSPTPSVLRRMRKVSPQPQRRKRRPQVEEVGLPYLLVPSEEDQIESDGMIESLHETLGNANPHYTRMAREKIAARKAATKAMEARKSAMVEAAWCRILHAARIQNKDAEENMEKAKLRATEAFQEATEIGVMMYGRPDCSNQQYEVESSHTGGQSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSDSSKKEEFKELLWKISQNPDATEIDANTEDEQCHGDCNNENKRNLKFNKQTLRAGIFPSDLDSTNVQQSYDLVSIMLERLKALHEDELASLAVIVATSGLNAALQRGKYQETESVNNISAAPQRSHSRRYSTAASFVDILEPRKEGTSELPSLDKFLVKHLSKLQKEVEEARKASRKAYSGNSCAQGQTTGRNPKSQDSASDLGSILVKHVSKLEKEILEAKENNSHIQPLEESCMNIELHVKEDASKEESEVYKAQSESCNSNSMGGLIPGHHMKKASTAKIVHKTNRIKLYFHINYHHLVQRINSDEAAKLEALKSFCTKDGNTFDVGLDKIFVKPVHRLEREKKKALEHGQTNVQKDPQKIDDNTTVTGSLDEILVKHECTGEGLINVSHDQILAKHVPRLEKEKMEHEKSGDMISSVQRSQDDCFCCGRALRSSFNPVEERGRAKEKELMDAWGGVGLGNVMKPHVSKIERDKIEWRKAEEEQRQMCAPGTREL >LPERR03G21220.2 pep chromosome:Lperr_V1.4:3:18223726:18226097:-1 gene:LPERR03G21220 transcript:LPERR03G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLHETLGNANPHYTRMAREKIAARKAATKAMEARKSAMVEAAWCRILHAARIQNKDAEENMEKAKLRATEAFQEATEIGVMMYGRPDCSNQQYEVESSHTGGQSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSDSSKKEEFKELLWKISQNPDATEIDANTEDEQCHGDCNNENKRNLKFNKQTLRAGIFPSDLDSTNVQQSYDLVSIMLERLKALHEDELASLAVIVATSGLNAALQRGKYQETESVNNISAAPQRSHSRRYSTAASFVDILEPRKEGTSELPSLDKFLVKHLSKLQKEVEEARKASRKAYSGNSCAQGQTTGRNPKSQDSASDLGSILVKHVSKLEKEILEAKENNSHIQPLEESCMNIELHVKEDASKEESEVYKAQSESCNSNSMGGLIPGHHMKKASTAKIVHKTNRIKLYFHINYHHLVQRINSDEAAKLEALKSFCTKDGNTFDVGLDKIFVKPVHRLEREKKKALEHGQTNVQKDPQKIDDNTTVTGSLDEILVKHECTGEGLINVSHDQILAKHVPRLEKEKMEHEKSGDMISSVQRSQDDCFCCGRALRSSFNPVEERGRAKEKELMDAWGGVGLGNVMKPHVSKIERDKIEWRKAEEEQRQMCAPGTREL >LPERR03G21240.1 pep chromosome:Lperr_V1.4:3:18241621:18244002:1 gene:LPERR03G21240 transcript:LPERR03G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVRGGWRRVATEEVSTCEVHAKAEEHRKVLGGAAARLHLQMLKQRTVGFAAAGSRSNQQTCGAHALGDSCTNESDDDPGDMHRLTEEDIKSDEELQAVYEYLCKIQNKKCDHAEMTRWLKMVKGCVGMQYYYRNGEFRLLLPPSLNICNFILYGFRDTTLTNNIYKNMLF >LPERR03G21240.2 pep chromosome:Lperr_V1.4:3:18241595:18244002:1 gene:LPERR03G21240 transcript:LPERR03G21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLTEEDIKSDEELQAVYEYLCKIQNKKCDHAEMTRWLKMVKGCVGMQYYYRNGEFRLLLPPSLNICNFILYGFRDTTLTNNIYKNMLF >LPERR03G21250.1 pep chromosome:Lperr_V1.4:3:18251101:18253377:1 gene:LPERR03G21250 transcript:LPERR03G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIMRLLLLVAAAAAEEERATYIVHMAKSAMPAEYGDDHGEWYGASLRSVSTGTSTNMLYAYDTVVHGFAARLTESEARDMASVDGVLAVNPETRYELHTTRTPEFLGIAGNEGMFPQSGTAGDVVVGVLDTGVWPESKSYDDSGLGEIPASWKGECVGFNSSACNRKLVGARFFNRGYEAAMGPMDTSRESRSPRDDDGHGTHTSSTAAGSAVSDASLFGFAAGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGSADYARDSVAIGAFAATEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNFTGVSLYAGKAVPTTPLPIVYAANASNSTAGNLCMPGTLNPEKVSGKIVICDRGVSARVQKGFVVRDAGGAGMVLSNTAANGQELVADAHLLPAAGVGAKEGAAIKAYAASEPNPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDVIAPGVNILAAWTGKAGPTGLAADTRRVGFNIISGTSMSCPHVSGLAALLRSARPDWSPAAVRSALMTTAYSTYTGGDGSGSLLDAATGAPATPFDYGAGHVDPARAVDPGLVYDLDTVDYVDFLCSLNYTSTMIAAVARSRSYGCADNKTYSVYNLNYPSFSVAYSTAAESSGAATTTTVTHRRTLTNVGSAGTYKVSTSAMSGVTVDVEPTELAFASAGEKKSYTVKFMAKSQPSGTAGFGRLVWSDGKHSVASPIAFTWT >LPERR03G21260.1 pep chromosome:Lperr_V1.4:3:18258439:18258621:1 gene:LPERR03G21260 transcript:LPERR03G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFFEPFDPWPVFFRREFKRCWPFLTGFAITGAVIVKMTAGFTEEDLKNSKFVQEHKKR >LPERR03G21270.1 pep chromosome:Lperr_V1.4:3:18264113:18265825:-1 gene:LPERR03G21270 transcript:LPERR03G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVLFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWNIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELSHGEKSKVKNRLKKDLEFLEPNVLCV >LPERR03G21280.1 pep chromosome:Lperr_V1.4:3:18288668:18300809:-1 gene:LPERR03G21280 transcript:LPERR03G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGQRADPASPAEAAEERALLRRPSFFSSAYSDEDLVERAFEPAQKVVVSISGDPDADDEHLYCLGGVPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWAGVVITALDCFIFLSLENYGVRKLEAVFAVLLATMAWSFAWMFIKTKPNGKDIIIGVYHFLLSTIVRELTMMLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESSMALVVPFMINLFVTTVFAKGFYGTKEASNIGLENAGHFLQEKFGEGFFPILYIWGVGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRGFAIVPTITVALYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQILTWAVASVPIIINGCFLLDFFSSELKGLLSGLVLLAALSYSYKQPSALPSPEPGPELHCIFLSLPFLLVPILLELSPKLSAWPSCKSWEKIRSSFKTMKKVTVLLLLLLVASASWLQACDAASGFCASKCAVRCGHTRQGSACLKSCGLCCEECNCVPTGGPRDECPCYRDMLTAGPRKRPNDRRSSFFTAVYADDDDDDDSAFEPLIVSGADDDDGAWPSQAPRFSWRRLWVFTGPGLLMSVAFLDPGNLEGDLQAGAAAGDTLLWLLLWTTAMGLLVQLLSARLGVATGRHLAELCRHEYPAPWARRALWLMAELAMVSADIQEVIGSAVAIRILTNGFLPLWAGVLITGFDCFIFLSLENYGVRKLEGLFAVLIATMAWSFAWMFIDTKPNGKDLIIGMLVPKLSSRTIRQAVGLVGCVITPHNVFLHSAPVPSRKIDPDNEYRVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHYLQENLGDGSSQSSTFGVLGFWPLAQFIMSGFLNWRLKKWIRALITRSFAIVPTIAVALCFNTSDSALDVLNEWFNVLQSIQIPFALIPLITLVSKEEVMGVFKIGLRTQIATWIIASVPIIVNGYLLIDFFSSEIRGVLSGSVICVAVLGYVSFILYLILRGMKLPTCIYRINSLFAHMKITEP >LPERR03G21280.2 pep chromosome:Lperr_V1.4:3:18293388:18300809:-1 gene:LPERR03G21280 transcript:LPERR03G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGQRADPASPAEAAEERALLRRPSFFSSAYSDEDLVERAFEPAQKVVVSISGDPDADDEHLYCLGGVPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWAGVVITALDCFIFLSLENYGVRKLEAVFAVLLATMAWSFAWMFIKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALSNIGLENAGHFLQEKFGEGFFPILYIWGVGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRGFAIVPTITVALYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQILTWAVASVPIIINGCFLLDFFSSELKGLLSGLVLLAALSYSYKQPSALPSPEPGPELHCIFLSLPFLLVPILLELSPKLSAWPSCKSWEKIRSSFKTMKKVTVLLLLLLVASASWLQACDAASGFCASKCAVRCGHTRQGSACLKSCGLCCEECNCVPTGGPRDECPCYRDMLTAGPRKRPKCP >LPERR03G21280.3 pep chromosome:Lperr_V1.4:3:18293388:18300809:-1 gene:LPERR03G21280 transcript:LPERR03G21280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGQRADPASPAEAAEERALLRRPSFFSSAYSDEDLVERAFEPAQKVVVSISGDPDADDEHLYCLGGVPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWAGVVITALDCFIFLSLENYGVRKLEAVFAVLLATMAWSFAWMFIKTKPNGKDIIIGVYHFLLSTIVRELTMMLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESSMALVVPFMINLFVTTVFAKGFYGTKEASNIGLENAGHFLQEKFGEGFFPILYIWGVGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRGFAIVPTITVALYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQILTWAVASVPIIINGCFLLDFFSSELKGLLSGLVLLAALSYSYKQPSALPSPEPGPELHCIFLSLPFLLVPILLELSPKLSAWPSCKSWEKIRSSFKTMKKVTVLLLLLLVASASWLQACDAASGFCASKCAVRCGHTRQGSACLKSCGLCCEECNCVPTGGPRDECPCYRDMLTAGPRKRPKCP >LPERR03G21280.4 pep chromosome:Lperr_V1.4:3:18288668:18293147:-1 gene:LPERR03G21280 transcript:LPERR03G21280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDRRSSFFTAVYADDDDDDDSAFEPLIVSGADDDDGAWPSQAPRFSWRRLWVFTGPGLLMSVAFLDPGNLEGDLQAGAAAGDTLLWLLLWTTAMGLLVQLLSARLGVATGRHLAELCRHEYPAPWARRALWLMAELAMVSADIQEVIGSAVAIRILTNGFLPLWAGVLITGFDCFIFLSLENYGVRKLEGLFAVLIATMAWSFAWMFIDTKPNGKDLIIGMLVPKLSSRTIRQAVGLVGCVITPHNVFLHSAPVPSRKIDPDNEYRVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHYLQENLGDGSSQSSTFGVLGFWPLAQFIMSGFLNWRLKKWIRALITRSFAIVPTIAVALCFNTSDSALDVLNEWFNVLQSIQIPFALIPLITLVSKEEVMGVFKIGLRTQIATWIIASVPIIVNGYLLIDFFSSEIRGVLSGSVICVAVLGYVSFILYLILRGMKLPTCIYRINSLFAHMKITEP >LPERR03G21290.1 pep chromosome:Lperr_V1.4:3:18301219:18307363:1 gene:LPERR03G21290 transcript:LPERR03G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHTSSAAAAAQRLRVPFPPPPAPQQLFQAALRLPRRRLAGVGVTAASASPFDELYARGRPTHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGEKRDISEDNHHNVNLGSPVTIFRLSEEDMLQDSEKNEELTCETTGQDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNAPGGALNLRGEDDDCPELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFHNGDDLFV >LPERR03G21300.1 pep chromosome:Lperr_V1.4:3:18312561:18314561:1 gene:LPERR03G21300 transcript:LPERR03G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDVKGGVATSAMAASYNEQIRPLLDAVDRLRQLNVSQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDKDDDAGDEAASLHLEYNGCVVATSEAEVADAIIVATREIAGCGKGISGAPITLVVRKRGVPDLTLVDLPGITRVPVHGQPEDIYDQIARIIKAYIAPSESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKSDKAPEGLLEKVNADDVHIGLGYVCVRNRIGDEETYEAAREAEARLFADHRLLSKIDKSMVGVPTLARRLTQIQATIIARSLPDIVKQINDKLKRSSDELGQMPPELCTVADAVREFFHIVKKVRASLEKVLVRGEFDEYPNDRHFHGTARLAEMIESFARTLPAQAQAQAPDGCCFLEEEMRVLEETKGINLPNFLSRSALHVLLNRKVESIAHVPHDLVSQVWDYVEDLVMKVLHRHSCSYPQVHPSCRTAVQNLMDKARKRSELHVNELIDMEMVANYTSSPEYMKAWTSMMDGQSRLRKALDDHSKSTAVVALPGFGGTDVSHLRQDPNKAMEAFDLKARLAAYWNSVLLRLVDGLALHVLYSIKRLAEKDLEDELAAQVVGNNMEGVKQMLVPTPAAASKRERLRKSISLLRESRQVVANIMDRINGATTDHVLS >LPERR03G21310.1 pep chromosome:Lperr_V1.4:3:18318891:18319544:-1 gene:LPERR03G21310 transcript:LPERR03G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPARRPSRRSTQSSASDPCIAVLPRHRFSAPAHSIQARRLRLRIRRRRRFIKTDFLSNDIRRGGIIQSTAVEYISVIKVTTF >LPERR03G21320.1 pep chromosome:Lperr_V1.4:3:18324553:18326091:1 gene:LPERR03G21320 transcript:LPERR03G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCMYRCKKIDGSGRENTCHPRRAYIINFVHSVIRGGSWRSDEQQYHLQAIDTSRHKLELNPVSYSLPSSAAMLNMWSSPTLQWARGKQFQALLYIQERAAHRQAS >LPERR03G21330.1 pep chromosome:Lperr_V1.4:3:18331799:18334266:1 gene:LPERR03G21330 transcript:LPERR03G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENNAVAAAAAPTRMTRAAAKRAAAVTAVSVAAKRKRVALSELPTLSSNAVLKPQPHSRAAKKASHAADPKKPPAPAAAPPAPAPAADDDEVGDPQLCAPYASDINSYLRSMEVEAKRRPAADYIETVQVDVTANMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSSKSINRQKLQLLGVSAMLIASKYEEITPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFLRSSQEDDKYPSLFLEFMGSYLSELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGASTLLPPVEIPASYFEDQNE >LPERR03G21340.1 pep chromosome:Lperr_V1.4:3:18336921:18337376:-1 gene:LPERR03G21340 transcript:LPERR03G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQANKEWLQLRLGVNGGEVVEAASITAGDSSASSDTDPPPAPATTTQQQQQSCSPSSDPSTPASASAASSKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTMAPPSYHHRMMMAGAGRHARLRRRAELVVGAGVVARELQVQDAA >LPERR03G21350.1 pep chromosome:Lperr_V1.4:3:18342688:18349233:-1 gene:LPERR03G21350 transcript:LPERR03G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQGKPERSRERDLPGQEKVSSIVSACSQRHRSRRRVELPAVVGHHDPHRIAIFPYAPRTSPSRAVTEEIAGLPLQLRRDVAVAYLVSPGTRRDCSFLKRSFYTTVDSTQFQPLSPREDLLVDLMAVRKTWDSTETSKYKMEESYNPASFMKMLEGDQDLCKEHMDIESLKDSYFKSVRPALIARCLLSPHSINHEEARALYREYKRILGSVPKFITSYYLMVNLFNMTEENRYHFLNFFKGECGACVKKDGTADLTIIILKGNQVVKCKVFHDIPCQEKEKDRAEAIAVYLLLKTGIDLKIECLQVCTNSDMADKVLRGAHNFTGKENDLELYKLLKYMGRYYKKLISQWEPREKLTMIDSLMRAADFQRPLQISHISKKWAHLLNGYPVFNFAQVKNRKLKKFDDQFSKLKNIEEFCHLEVEHQNKLNALWHITNALRPSKVVLALKDIESVPNIEEYIAEFFGDPVVDVTKTVTSKTCQVTFSMRSKFHQTSSKDLVVFDSTVPKKTYYTENALTVLLTTPDERDI >LPERR03G21350.2 pep chromosome:Lperr_V1.4:3:18342688:18345491:-1 gene:LPERR03G21350 transcript:LPERR03G21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGDGVGGSLKQGSPAGTRRDCSFLKRSFYTTVDSTQFQPLSPREDLLVDLMAVRKTWDSTETSKYKMEESYNPASFMKMLEGDQDLCKEHMDIESLKDSYFKSVRPALIARCLLSPHSINHEEARALYREYKRILGSVPKFITSYYLMVNLFNMTEENRYHFLNFFKGECGACVKKDGTADLTIIILKGNQVVKCKVFHDIPCQEKEKDRAEAIAVYLLLKTGIDLKIECLQVCTNSDMADKVLRGAHNFTGKENDLELYKLLKYMGRYYKKLISQWEPREKLTMIDSLMRAADFQRPLQISHISKKWAHLLNGYPVFNFAQVKNRKLKKFDDQFSKLKNIEEFCHLEVEHQNKLNALWHITNALRPSKVVLALKDIESVPNIEEYIAEFFGDPVVDVTKTVTSKTCQVTFSMRSKFHQTSSKDLVVFDSTVPKKTYYTENALTVLLTTPDERDI >LPERR03G21360.1 pep chromosome:Lperr_V1.4:3:18358799:18380718:-1 gene:LPERR03G21360 transcript:LPERR03G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPFPANIEAMRRTPQFKLIQAVFHGDLRAFKRQAKVLDVGRGRLRKAVEEVRVEGVPGEEGVGVLQLAASQGNIEICKYLIDIIKVDVDDADDKGKTSLFNTVTSGHREVAKYLLDHGANPDQAMRCGLSPLHVAAGLGDCESVKVLLAKGAYVDPISIFGTPLHLAAKEGKDGTMKILLDHNADCNKMVNGMTPFLLATKAASAKYMELLVEAGADGTLSDVFLNCMSTAFMDDGDSVSSDSEPEEAGANHHVPVNAVQPRCWEEAVAHDMGRRHVQHRRKRSGWWVGTGGARRRELGLDFIRWADGLDNPVNRRKIIEFKSLGLGAVEKKDYRSAAGFYSKAMELDPDDATLLSNRSLCWLYMGDGGKALLDAHEYRKKGPDWSKACYRQGTALMLLKDYASACEPLLDGFKLDPGNIEIENALRIWKASGIHNGSFGVLEDISKHLTQLYGFSWQNMLRVYISLLDKGRGCLRDSVMAARIGRSAGRCTSPLAASHGSMEVCMYLVERHKVDVNDIDMEEKIRPYSVMKYIQDNFEGWLTCLQKGGSKVRLQVRSLLLMKMKREDLENTSYLAYPNPVPPLIFPLSSTDQSSPRAMEDDLPFPANIEAMHNTPQFKLAQAVFNGDLRGFKRQAKVLDMGRGRLRKAVEEVRVEGVPGEEGVGMLQLAASQGHMEICKYLVDTLKVDVDDADDEGKTPLLKAVHSGHQGIAKYLLDHGANPDQAMRCGLAPLHSAARLRDCESVKQLLAKGAYVDPVRTHGTPLHLAALEGQDGTMKILLDHNADFNKIVNGITPLLLATRSASAKCMELLVEAGADRTLSDAFVNYMSTAFVDDGDGGSSDSELEEAGANHHVSVNGSFGVLEGIAKHVHVLPFRKATWIWTRMSGGKMNQGGVRSKEGGERCKEWMRISTGTAMGKKDISSRS >LPERR03G21370.1 pep chromosome:Lperr_V1.4:3:18400246:18406680:1 gene:LPERR03G21370 transcript:LPERR03G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDMGRGRLRKAVEEVRVEGVPGEEGVGMLQLAASQGHMEICKYLVDTLKVDVDDADDEGRTSLLKAIHSGHQGIAMYLLDHDANPDQAMRCGLAPLHSAAGLGDYESVKQLLAKGAFVNPVCTYGARLRLAAHEGQDGTMKILLDHNADCNKIVNGITPLLLATRSASEKCMELLVEEAGADRTLSDAFVNYMSIAFVDDGDSASSDSEPEEAGANHHVSVNDNHVSRRKIAEFKSLGLEAVEKKDYRFAAGFYSKDYASSCEALYDGFKLDPGNIEIENSLREALESLKVSQST >LPERR03G21380.1 pep chromosome:Lperr_V1.4:3:18422190:18424010:1 gene:LPERR03G21380 transcript:LPERR03G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASFFLRRTIPHKFAQNFGDKIQGMIKLKACNGNTCSVLVAKCSEKLVLTAGWAAFVRSHDIKIGDFLVFRYISNSQFKVKIFGPSGCVKASSHNAAKVAHDVQNMQGDLIGISSSSDNNLLKQWLTTERQNELEMDGTDNCNEKMKTENAFSSKDDQETPAASGYVLSRTF >LPERR03G21390.1 pep chromosome:Lperr_V1.4:3:18426356:18433360:1 gene:LPERR03G21390 transcript:LPERR03G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNTRSTERVEYYLCNRMDNQEKHFFKVMIGDFHNRMIIPENFEYYFKGVITKTIKLETCNGTFDVQITKKLNRMVLGSGWEPFVSAHGLKMGEYSPSEHESVESADLQTSQEPYILLHFSYLSDVQKERVDALIQKIQPKITVFVAIMRYAALHFPHESRNVTVQRPCKSKKWHPTFYKRKDGSINILRGYWSYFVQDNRVQEHDICVFVPTKHAGNFTFTVHLLCTSATCSMGGTCVDRIGSSVGTTHAVNSSIVMEEPIEADDVSLENCRNGVSDESEDSEDSEGPADPPYIIVKEKVRSIQSKVPLYVALMKKTNIELTGCHCQLEFGACYSATVHLPDRRQTVLLERLGKIWATMMHVKNGRSMRRFLINGWNRFVRENRLRIGDICLLELKTHETKKLTIAVHAISSNQR >LPERR03G21400.1 pep chromosome:Lperr_V1.4:3:18450873:18453439:-1 gene:LPERR03G21400 transcript:LPERR03G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNPGELTAKDLNAVVYRYLTDSGFVHTAFNFYNEARLDSDEIEVKKIPQGALINVVYKGLRHIEFEVNNEVADDGNFHHFSALDLLTKDVSELAIIARAKPDSVETAEEKKNDTEEKKNDTVKTVEEQKSDDAEDTIPLRIQPARKVKDDAINRVNIRKLMDKANTTEVPNTSAEHSVLPDLAAAAEPGPGDHGPLPGLRRLLTTYVSRD >LPERR03G21400.2 pep chromosome:Lperr_V1.4:3:18450873:18453439:-1 gene:LPERR03G21400 transcript:LPERR03G21400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNPGELTAKDLNAVVYRYLTDSAFNFYNEARLDSDEIEVKKIPQGALINVVYKGLRHIEFEVNNEVADDGNFHHFSALDLLTKDVSELAIIARAKPDSVETAEEKKNDTEEKKNDTVKTVEEQKSDDAEDTIPLRIQPARKVKDDAINRVNIRKLMDKANTTEVPNTSAEHSVLPDLAAAAEPGPGDHGPLPGLRRLLTTYVSRD >LPERR03G21400.3 pep chromosome:Lperr_V1.4:3:18450873:18451691:-1 gene:LPERR03G21400 transcript:LPERR03G21400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKANTTEVPNTSAEHSVLPDLAAAAEPGPGDHGPLPGLRRLLTTYVSRD >LPERR03G21410.1 pep chromosome:Lperr_V1.4:3:18465398:18469180:1 gene:LPERR03G21410 transcript:LPERR03G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAGADSSEPPKKQRAIDPIAPTDHPWNLPAEVGLLHCSCRPENKVLVIPREANLPMNAEARRVVARVSQAVVGVASIDVDGDQLWRASGFVIEFDKSSMIGTVISSATIAFPDPGFPDIEKIKIYLFDGVSYDATIIACDHHWNLLALSVLFDRVIKPIKMVEISESRDKMDAYHGVAMLQPHSTSYKLCPGDTIIGLGRKSEEPFGLQGNRGVYSVERWADLPLICQEMQRATFINTYTAVGGPAINKKGNAIGMLFHSLSFTPFLPSNIILKWLEYFKTTGKYCRPVIKFVGCNLHNARSSRWVKVPASLHEGLDGILVELASREVLSAGLQEKDLIIECNRKRVATNLQLFEVLAENIGKMVEVTIIKAENSNRHSVYLPVEEVEEKCFYEFLDLMG >LPERR03G21420.1 pep chromosome:Lperr_V1.4:3:18479736:18484000:1 gene:LPERR03G21420 transcript:LPERR03G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIRVTRRLGGGRARAKRLLVGHAIARSDGRTPTARRYDGRAPTAWGWDGRHLPPGGVAVGTLPPGGVTQVLEENLIPRQKYRRPPYSELDNQNQQNVKGVYISEGLCINGYSASNNFADVSYYTTFGDSNLNLGPMWHMACKILEGVQMNESLNQDQRQQFSKQISSVVSMIKDDSSSIKEDDPATKDHAYVAGVCITPLGHILTSSHIVKPGVRYVATCTSWKAGWTSVRLVKISMAYGLCLLQLEHKSRKKCDYINLAEAGILSVNQHVYGFGHPQLFGIECENTFVRGSVEYPCEDIPELPSFSEIDKVAYEKLIKKGLKINAREARALTPRKAKHLMCLIDSNFQPETIYLMNQDIPMIQIKNFHFGYCGSPVFVASGHIVGIVLFNFHEINFAVHLSAIKKFLEDIDLMSRHVGAPSSSTTPSTELVPPTVTTTETVTGDSDQGAVLEATPPLHSPS >LPERR03G21430.1 pep chromosome:Lperr_V1.4:3:18486120:18487435:-1 gene:LPERR03G21430 transcript:LPERR03G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPSVYPVCVMVFFQKSPCCRRLRTTIEPPSPSSRGDAVVNGDVVEGGRFTVKKMKWDACDELKILQKVFSKQKVEPVVKDKTPEVAKPAVETH >LPERR03G21440.1 pep chromosome:Lperr_V1.4:3:18505198:18507017:-1 gene:LPERR03G21440 transcript:LPERR03G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGGIGLMWTGNWDLCQAAADAVLRGDSLRALSAIPAAFTDQDMAGLYGNVGSSSSNSAADDNSSASAPARKKRPRNGVVGQQQQPPPALLQSFELDLCLTPVSSPTTTLLASGGASDEHSTTTCEEGGGGGEADAAAPMLLNLFN >LPERR03G21450.1 pep chromosome:Lperr_V1.4:3:18513880:18518235:-1 gene:LPERR03G21450 transcript:LPERR03G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKLGSKPDLFQTEGGNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQKLVASSNEESNNELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIILLQSTKSLLTWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEVDLYKRVIVTIKAKGRTPAIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKHRAALETIIYLLPTEKGSVSSGFLLKLLRAACLLEAEEYYHNILIKRIGTQLDGASVSDLLIPANTSENMLYNVDLIIAIVEEFISQHSDTGKMNFEDDEEIVEVDNHTSVSSTSNLAVANLIDGYLAEIAKDANLPLSKFIALAEMVLPASRKMHDGLYRAIDMYLKEHPSLSKSEKKIICRLMDCKKLSQDACMHAVQNERLPLRVVVQVLFFEQIRVSVASGRTDTATELSSAVHSLLPRENGNSYGSSRSAATTTTDEDGTGVPTSSDINSFRSLKLANNSGGSERSSGSSDINNKSSDDKSKSKGSLMPKKILSKLWSGKTNVDENSSDTSDSPGSLNPEDMKSTTSRNTRRSVT >LPERR03G21460.1 pep chromosome:Lperr_V1.4:3:18518535:18519370:-1 gene:LPERR03G21460 transcript:LPERR03G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPTTFFYWSR >LPERR03G21470.1 pep chromosome:Lperr_V1.4:3:18528396:18529695:-1 gene:LPERR03G21470 transcript:LPERR03G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGGSKSSHGSHHDSDEEEVTMPIFDANLPTHVKDGLEVACRSLRGVLLRSLIVCRLHVGHMLDMIDADGHHLNLVSMMPRMRAQDCTLMGVYIIVAAHTLLVEVLLTWRGLLMMYIMIIGFVELLGSVSFKPTSSVFKAQSKVESFAASGSKTRSVECYTCGGRGHYMRDCPNQKKVLMTKGGYISESLSEKFEGECIDYTHATGYPDVDDLTITTRFLAFRIGKKMWQ >LPERR03G21480.1 pep chromosome:Lperr_V1.4:3:18548504:18549112:-1 gene:LPERR03G21480 transcript:LPERR03G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIMMSPPASGEEGQMGWSKRRRSRRHGRAPAPPTEEEHLALSLLMLARGHRDPASPEQQHRCSVCGKAFSSYQALGGHKTSHRPKTPPTATTAPAIVVDAPAAATASPAASSSNSGGNRVHECSVCKKTFPTGQALGGHKRCHYEGPIGAAAAVAGRVFDLNLPAVEVMTDRCLLPAAAGEEDEVLSPLAFKKPRLMIPA >LPERR03G21490.1 pep chromosome:Lperr_V1.4:3:18551855:18554037:1 gene:LPERR03G21490 transcript:LPERR03G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIALAHQTSFGLRLAAALTSPTQPQGGAGRRNVAFSPLSLHVALSLVAAGAGGATHEQLASVLGGHAGSAGDLHALAEQLVQVVLSDASGAGGPRLAFANGVFVDASMPLKRSFRDVAVGRYKAEAHSVDFQHKAAEVTNQVNSWVEKATSGLIDQILPLGSVDNNTRLVLGNALYFKGAWAQKFDTSRTKDGEFHLLDGNSVQAPFMSTSKKQYLSSYDNVKVLKLPYHQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVAVGEFKLPKFKISFEFEASDLLKGLGLHLPFSSEANLTEMVNSPMGQNLFISAVFHKSFVEVNEEGTEAAAASGTASGLSAPIPVDFVADHPFLFLIREDKTGALLFVGHVVNPLLAA >LPERR03G21500.1 pep chromosome:Lperr_V1.4:3:18555983:18558779:1 gene:LPERR03G21500 transcript:LPERR03G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAADLRVSIAHQTSFALRLAAALSSPSHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPAGAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDSSLQLKQAFKDVSVGKYKAETHSVDFQTKAAEVAAQVNSWVEKVTSGLINEILPPGSVDHMTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYLSSYENLKVLKLPYQQGGDKRQFSMYILLPEAHDGLWSLAEKLNSEPEFLEKHIPTRQVTVGDFKLPKFKISFGFEASDLLKGLGLHLPFSSEADLTEMVDSPEGQKLFVSSVFHKSFVEVNEEGTEAAAASAAVITLRSAPMSVDFVADHPFVFLIREDMTGVVMFVGHVVNPMLPA >LPERR03G21510.1 pep chromosome:Lperr_V1.4:3:18574569:18576432:-1 gene:LPERR03G21510 transcript:LPERR03G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRNRGDGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVSDPTTRISIPEIRNHPWFLKNLPADLMDDSTMSKQYEEPEQPMQSMDEIMQILAEATIPPAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >LPERR03G21520.1 pep chromosome:Lperr_V1.4:3:18589926:18598512:-1 gene:LPERR03G21520 transcript:LPERR03G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAYERAIALLLLLFAVCSPPSCLAAEIVAELITWKCSNNHTPYAANSTYESNVRALVASLAANANASSNNNASVGFATGAVGQQQQQQGTDASAVWGVALCRGDTTGSACASCLALAAPGVAFDKCRGARDVSAFYDRCLLRFSDEDFLASRDNTEVQMTGVSKDSVIGGANKAARFDALVVYLLGALSDLAASNSKSNNGTRYAVGVVTSEEGFPATTEQVIYNISGMVQCTPDQAPPACRSCLQGLMDNMPARNGSIGGQMNAVWCRLRFEVRKFYDGEPMLRLAAPPQTSSSSTDKGTTRRGRNVATAIVIVLGVVVILLSVFTIYLWRNTKAKRAYIPDNEDDHLAGSSLFFDLATLRYATSDFAEENKLGHGGFGAVYKGILPDGREIAVKRLDKTSQQGEKELKNELLLVVKLRHNNLAKLYGVCLNGDEKLLVYEYLSNRSLDILLFERQDAPMNSEPLDWNTRYKIIYGVARGLLYLHEDSQAKIIHRDLKASNILLDGDMTPKISDFGLARLFNSEKTTTVTSRVVGTLGYMAPEYAVLGQLSVKLDVYSFGVLILEIVTGKRNTDLFESGEEEPKTLLSYVWDHWSKGTPLESMDGWLWRRAPESEVLKCIHIGLLCVQENPADRPTMLTVLVMLHGEASGFDSPSRPAFAYASGGVESSFTASSGDQRVTATHHSGSVNGVSVSEFHPR >LPERR03G21530.1 pep chromosome:Lperr_V1.4:3:18608478:18612923:-1 gene:LPERR03G21530 transcript:LPERR03G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMICSAVVGETLNRVVSRRIASGSSSSSHGEEDGTQERLEMARGRMEAVLELSTRWPVTDVSLLRWRRRLKRAAEDCDGALLLLRRRKHRAVEADEAASRFPRRVARATRSLVSALVGGRGSESKDDSSPTSAAVVRRFERLADGASEFLKLVELGGARGPRQHAFADPLVGRLLAGETLDYVAARGKGRMCRLTVRPMSFEERGVEAFVGFTVQDFMAPARSFSVGFVMRLSESTDVLGIMIDCVRSSTSQFRVAGEEITRELAQLPTKDLTWIAHTPYGLKKYWEDVHDNITRLSRPNPLCCTDHHHHHRSRNDGTAAAPSPPPPATTPLSTTYPEHVICMYLQFYIVPAQPQPQPQPALKMVVLYLPHDTPDEEDGVHNVNFPAASQSYALEVIDDEAVAVARHAAACQLQDVDERLLPEAMDRLRRSAAGSGTTHQVYVRSSHGTAHILLEKTRRTRGGRGRRRASTSGSAAGAAARAMRVADVQDEIEMAAKRLRRDGVERWRELARELLKLRRRRRQWHGGDMVAAGGPGALLGKDGQQQGTERWCPLASWGDGLRRRPRWIETATRSIQLRVDTHILNLEMHSLARQLILRYRCLLLDSHGRRTTMSNCREVRKWSTFNFNTCYTLLPNLKRTESLNTVELKVLHFDGK >LPERR03G21540.1 pep chromosome:Lperr_V1.4:3:18620138:18624955:1 gene:LPERR03G21540 transcript:LPERR03G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIMDAIVILLVIIMRSATAPPLCLAAGAELMTWKCSNNRTSYSPNSTYESNVRGLIASLAANASSKSPIGFATGTVVVGSGTDTLWGAALCRGDTAGAACASCLALSAPDVALGKCRGSRDASAFYDRCLVRVSGEEDFLSSRDNTQVQIMGSSKDSVVGDGGTKAERFGALVAYLLGALSDVAAFDNNGTRYAVGVVSSDGDGEGFVATTTEVVRRVNGMVQCTPDQAPAACRGCLQGLVRDMAAAANGSIGGQINAVWCRLRYEVWQFYDGSPMLRLVAPPLSSGDPKGDGTRGRTNAVTAMAIAIVLGVVVIVLSVFTILLWRKTKPKREQLHTKMKRMCITNVSAQANEEDDHAGSSLLFDLSTLRCATSDFAEENKLGHGGFGAVYKGLLPDGREIAVKRLDKASRQGLKELKNELLLVVKLRHNNLAKLLGVCLDGQEKLLVYEYLPNRSLDIFLFKRHEDLMSELLDWHTRYRIIYGVARGLLYLHEDTQARIIHRDLKASNILLDGDMTPKISDFGLARLFDSEKTTTITSQVVGTLGYMAPEYAVLGQISVKLDVYSFGVLTLEIITGRRNTDVFDSVEEEPNTLLSYVWDHWSKGTPSKVINRWLQQQAPENEVLKCIHLGLLCVQENPSDRPTMLSVLVMLHGEASSFESPSKPAFIYKSSGIDSSKSYSKGLGVQRVVANDQGSTNSISVSDFNPR >LPERR03G21560.1 pep chromosome:Lperr_V1.4:3:18631001:18634829:-1 gene:LPERR03G21560 transcript:LPERR03G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAAAARSPASLRRFLHAAGGGGGEEVESVAYRMSMLRAPPVVRKAGLPTSSCSLIGRVRAPVRPFADSSDELPRAFTFLSVPCSSLRSSTSSHFCVTLHFKGEMANVGLKHLKQNDLVYVSGTLDSYHKVDPSGEKHIFYKIHVTDLNYVLDQNRRHQDKENSSDKSSTISTSDEMLLEKEYVDRLHLWQVFFANPYEWWDNRQSKPYFRYPDFKHKDTREKLWLRADDPPWVRRQLKLHDLEKAENGFRDGSRIQKNHTWKSQDFDCSDDDELLYSSGV >LPERR03G21560.2 pep chromosome:Lperr_V1.4:3:18631001:18632455:-1 gene:LPERR03G21560 transcript:LPERR03G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPSPIHVTDLNYVLDQNRRHQDKENSSDKSSTISTSDEMLLEKEYVDRLHLWQVFFANPYEWWDNRQSKPYFRYPDFKHKDTREKLWLRADDPPWVRRQLKLHDLEKAENGFRDGSRIQKNHTWKSQDFDCSDDDELLYSSGV >LPERR03G21560.3 pep chromosome:Lperr_V1.4:3:18632999:18634829:-1 gene:LPERR03G21560 transcript:LPERR03G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAAAARSPASLRRFLHAAGGGGGEEVESVAYRMSMLRAPPVVRKAGLPTSSCSLIGRVRAPVRPFADSSDELPRAFTFLSVPCSSLRSSTSSHFCVTLHFKGEMANVGLKHLKQNDLVYVSGTLDSYHKVDPSGEKHIFYKNAADRIMRQASHQRKYNE >LPERR03G21560.4 pep chromosome:Lperr_V1.4:3:18631001:18632352:-1 gene:LPERR03G21560 transcript:LPERR03G21560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKIAIPIHVTDLNYVLDQNRRHQDKENSSDKSSTISTSDEMLLEKEYVDRLHLWQVFFANPYEWWDNRQSKPYFRYPDFKHKDTREKLWLRADDPPWVRRQLKLHDLEKAENGFRDGSRIQKNHTWKSQDFDCSDDDELLYSSGV >LPERR03G21570.1 pep chromosome:Lperr_V1.4:3:18636696:18641569:-1 gene:LPERR03G21570 transcript:LPERR03G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQPVALGTSFAGRLSLQRWKKSHGTRTPPSMLSMSLSRPVKMAAFVGLRSVHSFSVTPATNFRSTTVASYRSRRGRRARFITRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDDALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHKRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVDRLKVKDIDLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAISV >LPERR03G21580.1 pep chromosome:Lperr_V1.4:3:18651335:18652179:-1 gene:LPERR03G21580 transcript:LPERR03G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSRKMTYPTRLRLAIRSWRYLLQNVSVILYGYAAIYLYIVGIWNGPHIKTNSCIRFIADIFKTEEREENEQFINKICLEFPEVPQQNADECGIYVL >LPERR03G21590.1 pep chromosome:Lperr_V1.4:3:18670797:18672614:1 gene:LPERR03G21590 transcript:LPERR03G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLSPEQREDAVNSLAYEAEARLRDPVYGCVAYISVLQLRIKQVRDQLVDARKELSNYIGPNAAFLPAAAPHPYLAGVAAADPHHHYHQAGLVAAGYAPQMQHQMAGLHVQQQQQIAAIGVARDHQEMMRARHAAAAAAYAQVQAATVAVEAETFDGGPAFLLHQQQPPSSEPAMALPYRMEPSPPQPSSGHSHDEVSQQHHRHHPHADGSDEGSGGGAPPG >LPERR03G21600.1 pep chromosome:Lperr_V1.4:3:18678673:18684389:-1 gene:LPERR03G21600 transcript:LPERR03G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCLRRAAAAATAAGEAVSSPPWRRAASASYHHTIQAVPRETAGPRAAARERRHGRVPAVLLTLAGAGPGEGVAHRKLLTADRKQLGEMLKQSPYFLSTPVGLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVMVQADEGTMLKVNLPVEFKGEDSCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPFAEMQRLKASNCNKTRGEESKTIPSAFGEPDGRGNNSQTDSRNNNDNN >LPERR03G21610.1 pep chromosome:Lperr_V1.4:3:18687183:18688469:1 gene:LPERR03G21610 transcript:LPERR03G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDHHDEQFLPHDVVLEIAARISCPATVVRCAALSKPIRRAVLRRQFLRRLVRRRAIAGAAANNRRRFVPSLLLGVYHRPIRHASADRPLEFSPASASAARVAASLALPVDGEAGDGVFGPYTALSSRRSLVVLRRRRHGGGEITVCNAATGERWVIPPPHAGVAEEQSLVLLDVAHRHGRAGTRSSFSLLAAQLPLTTPTTLTFQVFSSSSGEWGPPVGCAVSRRCELHCPPGTNPVVLRGGGGAAVHWLCATGSGNRVLKLSLRQPKASLMRLPPPCESGVDDVIDTCLALSPATDGRRRSLSVIALRRDGITVWVRAGDGEGRRGGWGWERRHVIREDGVARPVDLGEGWMGRMRRLEWFGEGSGAVLMEGNGGGGGGALVLDMGGMAVKKLGEMIDGRRQHFELYCPYEVDLISYMMFVMNPF >LPERR03G21620.1 pep chromosome:Lperr_V1.4:3:18692021:18702611:1 gene:LPERR03G21620 transcript:LPERR03G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFGSLFSCYAALGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIEHLRAQCAKLTDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLVFVRPNFERYTYYSDLTRKVFHRYDPNFVATSLDEAYLNITKVCIDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKAAFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRSTDDCSLLFEKLDNLAENLADDMQKECLKGRTLTLKLKTAAFEVRTRATTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSHLCDEKDDLSTQTQKTLHRFFCPPDNSTDNGANSLNITNTTGGDDYCTNVMTKVDYLEHDARTDDCMDDQALFSRENNLWVQEGRSSDNYSNDVASSSPLTFDGVGGKELDDDSSLKGKQTVEFDSHATRSNATTSASKPDQIFWKNGYICSLCGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSTTPTPKKQKLKSSKEGKHIPIDAFFHKEKLQGAALPSKATLEFEHGVSLRSAYVVPEDVQAAGFQIDADELASIVETRDTNKLILHGQLDGIADKLATSLTNGIVTDKDLLNQRQHIYGVNKFAETEIRSFWEFVWDALQDTTLIILTACAMISLVVGITTEGWPQGAHDGIGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDVVHLVAGDQVPADGIFISGFSALVDESSLTGESEPVFVIKDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLIAVLTDGGDEETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKAFVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTMQVNKPQTPSSISSNFPEVAVETLLESIFNNTSGDVVTNQDGKYQILGTPTETALLEFALLLGGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEMVLASCDKFIDERGCIVPLDDKTSSKLKDIIKTFSSEALRTLCLAYREMEETFSTQEEIPLQGYTCIGIVGIKDPVRPGVRQSVATCKSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKTSEELLDLIPKMQVLARSSPLDKYTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRKGKFITNVMWRNTVGQSLYQFAVMWYLQTQGKHLFGLEDYHTDIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAENSIFLGVLAGTIFFQFILVQFLGDFANTMPLTQQQWLISVLFGFLGMPIAAAIKLIPIESHEKPDTHRTP >LPERR03G21620.2 pep chromosome:Lperr_V1.4:3:18692021:18702895:1 gene:LPERR03G21620 transcript:LPERR03G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFGSLFSCYAALGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIEHLRAQCAKLTDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLVFVRPNFERYTYYSDLTRKVFHRYDPNFVATSLDEAYLNITKVCIDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKAAFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRSTDDCSLLFEKLDNLAENLADDMQKECLKGRTLTLKLKTAAFEVRTRATTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSHLCDEKDDLSTQTQKTLHRFFCPPDNSTDNGANSLNITNTTGGDDYCTNVMTKVDYLEHDARTDDCMDDQALFSRENNLWVQEGRSSDNYSNDVASSSPLTFDGVGGKELDDDSSLKGKQTVEFDSHATRSNATTSASKPDQIFWKNGYICSLCGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSTTPTPKKQKLKSSKEGKHIPIDAFFHKEKLQGAALPSKATLEFEHGVSLRSAYVVPEDVQAAGFQIDADELASIVETRDTNKLILHGQLDGIADKLATSLTNGIVTDKDLLNQRQHIYGVNKFAETEIRSFWEFVWDALQDTTLIILTACAMISLVVGITTEGWPQGAHDGIGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDVVHLVAGDQVPADGIFISGFSALVDESSLTGESEPVFVIKDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLIAVLTDGGDEETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKAFVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTMQVNKPQTPSSISSNFPEVAVETLLESIFNNTSGDVVTNQDGKYQILGTPTETALLEFALLLGGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEMVLASCDKFIDERGCIVPLDDKTSSKLKDIIKTFSSEALRTLCLAYREMEETFSTQEEIPLQGYTCIGIVGIKDPVRPGVRQSVATCKSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKTSEELLDLIPKMQVLARSSPLDKYTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRKGKFITNVMWRNTVGQSLYQFAVMWYLQTQGKHLFGLEDYHTDIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAENSIFLGVLAGTIFFQFILVQFLGDFANTMPLTQQQWLISVLFGFLGMPIAAAIKLIPIESHEKPDTHRTP >LPERR03G21630.1 pep chromosome:Lperr_V1.4:3:18703576:18708684:-1 gene:LPERR03G21630 transcript:LPERR03G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRCFVSPLFLKAEEGRKLLAIVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVFARSKELAKAARKLLCVFVEQRTVAGVEKLIFRLAEPVLFRSLQVANSNVRHNGLHLLLDLFPLEDPEVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSWTEVRLSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSAAVDLLLAIRDLRSFQFNKVVGLGTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSSPRSLVELIKVSITLALSPTGMTSEQTDGLVTASANLIKSLSDEHSSLASLREFFANTKLKLLFKTVVSEEARSALLSMGPVVSPDDLSALHNQCMNIVMNAAGISEQEGCQKAVQAAHKLVFSSGWSDEMFEALTNILQSKASCFAEIYGIEPPMCPVASSKRKKGKLLKKTSAKPSHDVGKGSSSSPALDNEDFDIVAGTSWQIDDMLKDEEKRAAFLQSSYSDVALSSLKVISQVYIEQCLQFDSLDVVPLLAYLNLAAQSALQDVNQTDISMSESATISHSLNHLLDCFGKFLNESFAGSTNSSKLKHNKKSAQQKDHHREAPKGNAVKGTVNLCMLGTSILKFIVDTTTMNLINDNKVGCLNFALSFTKYASSTVKLHQEQSLSFKGNDLKDIFMLTRSSFTYAAKLLHLVLSNSTETPPEEAFFLANNLLDLVPSVESASGSKYALSLISIVKQWLPVLILGLGCRWLIGPQAEANNMHALVESDLPLWVAALAKNELLVAEAPREDGQSEQGSEDSKSSRKLAEMTIDKHVRDDLVDSEESKQQLESAKALIRSILSDV >LPERR03G21630.2 pep chromosome:Lperr_V1.4:3:18703576:18708684:-1 gene:LPERR03G21630 transcript:LPERR03G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRCFVSPLFLKAEEGRKLLAIVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVFARSKELAKAARKLLCVFVEQRTVAGVEKLIFRLAEPVLFRSLQVANSNVRHNGLHLLLDLFPLEDPEVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSWTEVRLSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSAAVDLLLAIRDLRSFQFNKVVGLGTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSSPRSLVELIKVSITLALSPTGMTSEQTDGLVTASANLIKSLSDEHSSLASLREFFANTKLKLLFKTVVSEEARSALLSMGPVVSPDDLSALHNQCMNIVMNAAGISEQEGCQKAVQAAHKLVFSSGWSDEMFEALTNILQSKASCFAEIYGIEPPMCPVASSKRKKGKLLKKTSAKPSHDVGKGSSSSPALDNEDFDIVAGTSWQIDDMLKDEEKRAAFLQSSYSDVALSSLKVISQVYIEQCLQFDSLDVVPLLAYLNLAAQSALQDVNQTDISMSESATISHSLNHLLDCFGKFLNESFAGSTNSSKLKHNKKSAQQKDHHREAPKGNAVKGTVNLCMLGTSILKFIVDTTTMNLINDNKVGCLNFALSFTKYASSTVKLHQEQSLSFKGNDLKDIFMLTRSSFTYAAKLLHLVLSNSTETPPEEAFFLANNLLDLVPSVESASGSKYALSLISIVKQWLPVLILGLGCRWLIGPQAEANNMHALVESDLPLWVAALAKNELLVAEAPREDGQSEQGSEDSKSSRKLAEMTVILLKKGNPKILDSVVGVVLSNLKLALQRAEYGFVLGLTRFVCVRLLGSDSSASEKLQLTHDSLRENFFQIDKHVRDDLVDSEESKQQLESAKALIRSILSDV >LPERR03G21640.1 pep chromosome:Lperr_V1.4:3:18719679:18721082:-1 gene:LPERR03G21640 transcript:LPERR03G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEDECSFSLMCQEDGTDLDDDVAGGCCFAGDGLFLVYNAAADEDEDDDEVEEYMDHLFSKESSFCSSSSSSSSSSSSCFSDAAGESTPPPMATVDWFHRSRRASVKWILETRACFGFSHRTAYLAVAYFDRFCLRRCIDRSVMPWAARLLAVACVSVAAKMEEYRAPPLPEFRATGAGADDDGGGGYEFSSVSIRRMELLVLSTLGWRMAAVTPFDYLPCLLRRSNDSGAGGGGGGALAAVKAAALIFSATEAASVLDHRPSTVAAAAVLAATASHGAVTMTMTREAFDAKMSSLSTSFLLDKDDVYACYSLMLSSSKPPASKTTTAGKKRSSSSSCSDSTDAGSTYDSLDAAAAASFATAASGESKRMRLDMPGGILR >LPERR03G21650.1 pep chromosome:Lperr_V1.4:3:18740685:18741998:-1 gene:LPERR03G21650 transcript:LPERR03G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGVITEVGWSSLELSSQAEESEMMAQLLGTCFPANGEDDHQELPWSVEASNAYYLNCNASSSAYSSTSSNSAGSFTLIAPSEYEGYYLSESNEALGITAAMDLNRIQEQGAAQFMDAILNRNGNSSFEDLADSSVNLLDNIGTSNKRKVQEQGRLDDQTKSRKSAKKAGSKRAKKMMQCEGDDGVIAVTNRQSLSCCTSENDSIASQESPVTAKSNGKAQSGHRSATDPQSLYARKRRERINERLKVLQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQQ >LPERR03G21660.1 pep chromosome:Lperr_V1.4:3:18745897:18749363:-1 gene:LPERR03G21660 transcript:LPERR03G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGGATAPAPVGLAPKNGVFGSNLKQCSAFMPKTAPKVGSSSVRVRASVASSPQIQHSPKTSGVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFRIKVMTADRKAGEQFGFVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQQLKIVDLSADFRLRDIGEYAEWYGHSHRAPELQQEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSDAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTVNDLYQHLKSTYEDEEFVKLLNGSNVPHTRHVVGSNYCFMNVFEDRISGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >LPERR03G21670.1 pep chromosome:Lperr_V1.4:3:18756172:18757038:1 gene:LPERR03G21670 transcript:LPERR03G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLTFCPRDHELITKFLRPRIADATVKFPNIHDADAVLDAGGRRVGHLRKLSYGVREKGDSRRKLTRLGWCMTEFGVDDRDAGGGGGGMVLCKMYRSPRAGAAARGAEARQSATAAAIMGSKRKAAADGDVLTAAAARLPSHWTNHAAGVKEEEETFVPAAPAQACGGGIVPAAPCPATPTTAPAPASVGGGDVAMELPAPPEGEFTWDKELTWIQQVLLS >LPERR03G21680.1 pep chromosome:Lperr_V1.4:3:18759635:18762225:-1 gene:LPERR03G21680 transcript:LPERR03G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSMDEAFVQAPEHRPKPSVAEADNIRIIDLSPLLAVTDGDETVFDALAAEVGRASQEWGFFMVTNHGVPPGAVARAAEAQRAFFALPPERKAAVARSEAAQMGYYASEHTKDVRDWKELFDLVPCQPSPPSATVDGELVFDNKWPEEMPEFREALEVYGEAVKDLAFKLLELIARSLGLRRDRLHGFFKGQTTTFIRLNHYPPCSSPDLTLGVGCHKDAGALTILYQDNVGGLDVLRRSDGEWVRVKPVPNSFVINVGDIIQVWSNDRYESVEHRVAVNMEKERFSIPFFFYPASSTMVEPLEELVSEESPARYNPYNWGDFFSTRKNSNFKKLEVENVQIAHFRKNVPGHVQ >LPERR03G21690.1 pep chromosome:Lperr_V1.4:3:18772344:18773560:-1 gene:LPERR03G21690 transcript:LPERR03G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDEAFVQAPEHRPKPSITEADGIPVIDLSPLLDGGEAAVDALAAEVGRASREWGFFVVTRHGVPPGAVARAAEAQRAFFALPPERKAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPNQPSPPPADGDLVFDNKWPDDIPGFREAIEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPHSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPASSTMVEPLEELVSEGSPARYNPYNWGDFFSTRKNSNFKKLDVENVQITHFRKN >LPERR03G21700.1 pep chromosome:Lperr_V1.4:3:18776664:18777449:-1 gene:LPERR03G21700 transcript:LPERR03G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGALLLPPPPPPHHSFKHDVTDMQMQAATGSCLQPPASSGGGGGREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPPLRRPPVHFAATVGAREYPQAAPAPAPSVATASQQQAGLLGSLFALGAAPLLEGARVGFDLGLGLPGPGGANAVADVAAAGFHGLGGQSRGGGILPTTMTTSSAMLWPTGLLDGTTTTNNVETWKLAAGGGAAMWPEFSAAAAQQGGLMHGGAQLL >LPERR03G21710.1 pep chromosome:Lperr_V1.4:3:18783633:18788472:1 gene:LPERR03G21710 transcript:LPERR03G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVDMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >LPERR03G21720.1 pep chromosome:Lperr_V1.4:3:18790403:18805902:1 gene:LPERR03G21720 transcript:LPERR03G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGSLSITRQWGPRVSPLLSSSSSSTLPPNLSVCLGGVAITGCRRRRPSVAADAAVEWDAPRTPHGRHGLVRRLNHPLFRVGNSVEMAGSGSRMKKSCDCCQTYLGHLGGKMNCFLRRMTADSRRSMILPDKFANHFGGNISGTIKLQAPNCILYVVEVTVCMNKTVLKCGWEAFVAAHRIEENDYLFFSHLGNSRFEVLILDSDGCEKVFSCTGIKNNCSMKEKSVDAVSISSSSCDDTVQSSESEGFARYQKGSFSRKDSPSEHESVDSGDLQTSQEPYTLSRFSYLSDVQKERVDALIQKIQPKITVFVAIMRKSNVQLPAPLLVIASRYAALHFPHESRNVTLQRPCKSKKWHPTFYKRNEKSINILRGYWSYFVQDNRVQENDICVFVPTKDAGNFIFTVHLLRAAATYSMGGTGVDRIGSSVGITHAMKSSSDIIVKEEPIEADDVSLENSRNGVSEDSEDSKGPADPFYIVPCKSRLSSLQKKIVKDKVRSIQSKVPLYVALMKKTNVELTGRRCQLEFGARYAAVVHLPDRRQTVLLERSGKIWATVMHVKNGRSTRRFLINGWSRFVRDNRLRVGDICLFELKTHETKKLTMAVHAISSSNQFEMAGSGSRMKKSCDCCQRYLEHLDGKMNCFLRRMTANSRRSMIMPDSFVNHFGGNISGTIKLESPNGILYVVEFTEFMNKTVLKCGWEAFVDAHHIEENDSLLFRHIENSRFKVLILGSDDCEKVFSCAGIKNNCSIEEKSADPVSISSSSCDDINTAQSSESEGSARYQKGNFSHRRKISKLASSSSSYGDSGEDSPSEHDNVRSGDLQTSQEPYVLSRFHHLSESQKERVDELIKEIQPEITVFVAVMRKFNVQLPTPFLVISSAYAAVHFPHESRSVTLRRPYKSKKWHPKFYKRKDGSMNILRGYWSDFVKENRLLEQDLCVFVPTKDASNFAFTVYVLRAAATYSRGGTGVDRIGSSVRTIHVKSASDVIIREEPIEADDVSLENSRNGVSDESVESEDTEGPADPPCIVPSASKSRLSSLQKNIVNEKVKSIQSKVPIYVAVMNKTNIGLSSCRSQLEFGAQYAAAVHLPDRRQTVLLERSGKRWATVMHVNNARSTRRFLINGWSRFVRDNRLRVGDICLFELKTRERKKLTMAVHTISSKQSLAPVAKNTWNIWGGKMSYFLRQMTADSMRSMILPSRYVNHFGGKFPGTIKLESPNGILYVVEVIEYMNKTVLQCGWEAFVDAHRIKQNNSLLFRHIENSRFEVLILDTDDCEKVFSCAGIKNSSCAHDKAVDPADISGSSRDDTEQSSRSERSTRCKMDIFNDHKNNVNWTEVSSSSEELAQKERVVAHIKDIQPQITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRESATITLQMPSKRKKWHPKFYKRKDKTDHMLRGQWKNFVHDNCLQEEDICLFAPTKGGRNFTFTVHLLRAAATHSTGGTDICKIGSNHNGMNANMASQVHLKEEPDDGENVSSESDKHGVSHESQESKDSASPSEPPYILSRARHQLSQWQKKKVEAKVRAIQSKFPIYVAIIGRISGGDGYGRICQLELGSRYAAAHLPDTNHQTVVLQCKGMIWQIKYDGWRKFVHDNRLHVGDFCLFELKEKKLTMEVHIIFNLRR >LPERR03G21720.2 pep chromosome:Lperr_V1.4:3:18790403:18805902:1 gene:LPERR03G21720 transcript:LPERR03G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGSLSITRQWGPRVSPLLSSSSSSTLPPNLSVCLGGVAITGCRRRRPSVAADAAVEWDAPRTPHGRHGLVRRLNHPLFRVGNSVEMAGSGSRMKKSCDCCQTYLGHLGGKMNCFLRRMTADSRRSMILPDKFANHFGGNISGTIKLQAPNCILYVVEVTVCMNKTVLKCGWEAFVAAHRIEENDYLFFSHLGNSRFEVLILDSDGCEKVFSCTGIKNNCSMKEKSVDAVSISSSSCDDTVQSSESEGFARYQKGSFSRKDSPSEHESVDSGDLQTSQEPYTLSRFSYLSDVQKERVDALIQKIQPKITVFVAIMRKSNVQLPAPLLVIASRYAALHFPHESRNVTLQRPCKSKKWHPTFYKRNEKSINILRGYWSYFVQDNRVQENDICVFVPTKDAGNFIFTVHLLRAAATYSMGGTGVDRIGSSVGITHAMKSSSDIIVKEEPIEADDVSLENSRNGVSDESVESEDTEGPADPPCIVPSASKSRLSSLQKNIVNEKVKSIQSKVPIYVAVMNKTNIGLSSCRSQLEFGAQYAAAVHLPDRRQTVLLERSGKRWATVMHVNNARSTRRFLINGWSRFVRDNRLRVGDICLFELKTRERKKLTMAVHTISSKQSLAPVAKNTWNIWGGKMSYFLRQMTADSMRSMILPSRYVNHFGGKFPGTIKLESPNGILYVVEVIEYMNKTVLQCGWEAFVDAHRIKQNNSLLFRHIENSRFEVLILDTDDCEKVFSCAGIKNSSCAHDKAVDPADISGSSRDDTEQSSRSERSTRCKMDIFNDHKNNVNWTEVSSSSEELAQKERVVAHIKDIQPQITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRESATITLQMPSKRKKWHPKFYKRKDKTDHMLRGQWKNFVHDNCLQEEDICLFAPTKGGRNFTFTVHLLRAAATHSTGGTDICKIGSNHNGMNANMASQVHLKEEPDDGENVSSESDKHGVSHESQESKDSASPSEPPYILSRARHQLSQWQKKKVEAKVRAIQSKFPIYVAIIGRISGGDGYGRICQLELGSRYAAAHLPDTNHQTVVLQCKGMIWQIKYDGWRKFVHDNRLHVGDFCLFELKEKKLTMEVHIIFNLRR >LPERR03G21720.3 pep chromosome:Lperr_V1.4:3:18790403:18805902:1 gene:LPERR03G21720 transcript:LPERR03G21720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGSLSITRQWGPRVSPLLSSSSSSTLPPNLSVCLGGVAITGCRRRRPSVAADAAVEWDAPRTPHGRHGLVRRLNHPLFRVGNSVEMAGSGSRMKKSCDCCQTYLGHLGGKMNCFLRRMTADSRRSMILPDKFANHFGGNISGTIKLQAPNCILYVVEVTVCMNKTVLKCGWEAFVAAHRIEENDYLFFSHLGNSRFEVLILDSDGCEKVFSCTGIKNNCSMKEKSVDAVSISSSSCDDTVQSSESEGFARYQKGSFSREDSPSEHDNVRSGDLQTSQEPYVLSRFHHLSESQKERVDELIKEIQPEITVFVAVMRKFNVQLPTPFLVISSAYAAVHFPHESRSVTLRRPYKSKKWHPKFYKRKDGSMNILRGYWSDFVKENRLLEQDLCVFVPTKDASNFAFTVYVLRAAATYSRGGTGVDRIGSSVRTIHVKSASDVIIREEPIEDDVSLENSRNGVSDESVESEDTEGPADPPCIVPSASKSRLSSLQKNIVNEKVKSIQSKVPIYVAVMNKTNIGLSSCRSQLEFGAQYAAAVHLPDRRQTVLLERSGKRWATVMHVNNARSTRRFLINGWSRFVRDNRLRVGDICLFELKTRERKKLTMAVHTISSKQSLAPVAKNTWNIWGGKMSYFLRQMTADSMRSMILPSRYVNHFGGKFPGTIKLESPNGILYVVEVIEYMNKTVLQCGWEAFVDAHRIKQNNSLLFRHIENSRFEVLILDTDDCEKVFSCAGIKNSSCAHDKAVDPADISGSSRDDTEQSSRSERSTRCKMDIFNDHKNNVNWTEVSSSSEELAQKERVVAHIKDIQPQITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRESATITLQMPSKRKKWHPKFYKRKDKTDHMLRGQWKNFVHDNCLQEEDICLFAPTKGGRNFTFTVHLLRAAATHSTGGTDICKIGSNHNGMNANMASQVHLKEEPDDGENVSSESDKHGVSHESQESKDSASPSEPPYILSRARHQLSQWQKKKVEAKVRAIQSKFPIYVAIIGRISGGDGYGRICQLELGSRYAAAHLPDTNHQTVVLQCKGMIWQIKYDGWRKFVHDNRLHVGDFCLFELKEKKLTMEVHIIFNLRR >LPERR03G21730.1 pep chromosome:Lperr_V1.4:3:18833179:18834049:-1 gene:LPERR03G21730 transcript:LPERR03G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNDDFFGFDVSKYLEGDEDEDIPNESPPISAELRQKLEDIANQLDITIDDIANQLVNNTDPIQARVQEIKDQLPDDVIIALALAACLQSHSIQVALTRQCMADRRGFQLCQAKLESARTLVQEEKSGLIQ >LPERR03G21740.1 pep chromosome:Lperr_V1.4:3:18846267:18847271:1 gene:LPERR03G21740 transcript:LPERR03G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRKEGVEHNEEDDTLSKLQLIAQQRHAMEEFWKRSQEEIDESRGNHELILPVESVKNIIHAEEEGMMLSDDTPTFVTKLCELFVQELILRAWVCANSQNRDTILDIDIAQAIATTKSFHFLSNVVSSHRAQGGTIPDTDVSIWKSQKLDQTDTICHPLQAKQVSHLPGYPPHIPACPPSGQIGTLNTPCPFEFAMQAESLLSGNKEKSPLNEVLVLSNKVSMNNSNAATTGCGDSSSDVAIVAQEQGEYAHPSSVQYPCPSLEHNIGGLTPVGHGHSISASIDANIKKQQQEEQNIDLQDAFLGEEIMYNESLEGSQMDVDLVFPNKVRPQ >LPERR03G21750.1 pep chromosome:Lperr_V1.4:3:18858153:18861460:1 gene:LPERR03G21750 transcript:LPERR03G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSHMKKPSDSSKRHADHIDGKMKCFHMQMNANFQHSMIIPNKFVDHFGGKMSRTIELESPKGIVYIVKVTKHKNKTVLQCGWEAFVDAHHIEENDSLLFHHIENSRFEVLILDSDGCEKVFTCAGIKRTYSLEERNADSIDIASNTQDDTMESSESHSGSDSQRSKIAEFAATTYSSGGSGEDVTESSSSEDESSYELDDPQTPLEPILSSGTKLSDAQKEKVAKLIRDIQPEIPLYAAVMKHSNVNSAHSSLVIAKHYASAHFPNTSQTITLQRQGKNKKWHPRFHVRKDGAGYILHGRFWIDFVLDNRLKEEDICIFVLTKSTGRNFRATVHVLREKKPHSGPLLAPKRFDSRDVRTKSKVTDARRLSSTEGKRGTRGTSTTSVKKELDDDQRNNREGKHQEPHKFNDSERSSEPYLLSDRASLNEVQISKVIEIAYSYQYEVPIYVTVMSKSNVGTDGQYIIIFVKQFARRYLPEGKSKTWQVQMCPQIGDAQKLTVGWRDFVHDNHLQMKDICLFQLMNNERRLTMIVHIIRNNEKS >LPERR03G21760.1 pep chromosome:Lperr_V1.4:3:18877341:18882275:1 gene:LPERR03G21760 transcript:LPERR03G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRQRQWAELRSSRGLAASSFSNGVASASARPRGSAGFFSPGLITTRHQLAELLCVKKPCDCSNRYGDHLNVKMKCFHIQMSANFRHSMIIPNKFLDHFGGEILATIELESPRVIVYIVKVTKHMNRTVLNCGWGAFVDANQIEENDSLFFRHIENSRFEVLILDSDGCEKIFSRSGIKRAYNVQERNADPIDIASSTHDNDTMDSSSSGESGEEFTESGSSEHESCHELDDPQTPLVPVLSHGTSLSEAQEEKIAMLIQEIRPEIPVYVAVMKYSNVKLRGSLVIAKHYASAHFPNTSQTITLESRDKNKKWHPKFYIRKDRSGYILYGRWTEFVHDNRLKEGDICIFELTKFTGRNFRATVHLLRETKSHSFGAFCSSPKRVDSGGRTRPRVSLTEGRCGTKGISTNSVKKEPDDGQCNKGEGKHQEPLSSDDSGGSSESYLISQKASLTKAQKRKVKEIACSYESEVPIYASVMNKSNVGTDGSYTIRFGKEFATRYLPRGEQTLTLLMKGKSKAWQIKMCPRSGDAQMFTMDWRAFVHDNCLRIKDICLFQLMNNERRLTMTVHIIRHNDKN >LPERR03G21760.2 pep chromosome:Lperr_V1.4:3:18877270:18882275:1 gene:LPERR03G21760 transcript:LPERR03G21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSSHTKKPCDCSNRYGDHLNVKMKCFHIQMSANFRHSMIIPNKFLDHFGGEILATIELESPRVIVYIVKVTKHMNRTVLNCGWGAFVDANQIEENDSLFFRHIENSRFEVLILDSDGCEKIFSRSGIKRAYNVQERNADPIDIASSTHDNDTMDSSSSGESGEEFTESGSSEHESCHELDDPQTPLVPVLSHGTSLSEAQEEKIAMLIQEIRPEIPVYVAVMKYSNVKLRGSLVIAKHYASAHFPNTSQTITLESRDKNKKWHPKFYIRKDRSGYILYGRWTEFVHDNRLKEGDICIFELTKFTGRNFRATVHLLRETKSHSFGAFCSSPKRVDSGGRTRPRVSLTEGRCGTKGISTNSVKKEPDDGQCNKGEGKHQEPLSSDDSGGSSESYLISQKASLTKAQKRKVKEIACSYESEVPIYASVMNKSNVGTDGSYTIRFGKEFATRYLPRGEQTLTLLMKGKSKAWQIKMCPRSGDAQMFTMDWRAFVHDNCLRIKDICLFQLMNNERRLTMTVHIIRHNDKN >LPERR03G21760.3 pep chromosome:Lperr_V1.4:3:18877341:18882275:1 gene:LPERR03G21760 transcript:LPERR03G21760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRQRQWAELRSSRGLAASSFSNGVASASARPRGSAGFFSPGLITTRHQLAELLCVKIIPNKFLDHFGGEILATIELESPRVIVYIVKVTKHMNRTVLNCGWGAFVDANQIEENDSLFFRHIENSRFEVLILDSDGCEKIFSRSGIKRAYNVQERNADPIDIASSTHDNDTMDSSSSGESGEEFTESGSSEHESCHELDDPQTPLVPVLSHGTSLSEAQEEKIAMLIQEIRPEIPVYVAVMKYSNVKLRGSLVIAKHYASAHFPNTSQTITLESRDKNKKWHPKFYIRKDRSGYILYGRWTEFVHDNRLKEGDICIFELTKFTGRNFRATVHLLRETKSHSFGAFCSSPKRVDSGGRTRPRVSLTEGRCGTKGISTNSVKKEPDDGQCNKGEGKHQEPLSSDDSGGSSESYLISQKASLTKAQKRKVKEIACSYESEVPIYASVMNKSNVGTDGSYTIRFGKEFATRYLPRGEQTLTLLMKGKSKAWQIKMCPRSGDAQMFTMDWRAFVHDNCLRIKDICLFQLMNNERRLTMTVHIIRHNDKN >LPERR03G21760.4 pep chromosome:Lperr_V1.4:3:18878425:18882275:1 gene:LPERR03G21760 transcript:LPERR03G21760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSSHTKKPCDCSNRYGDHLNVKMKCFHIQMSANFRHSMIIPNKFLDHFGGEILATIELESPRVIVYIVKVTKHMNRTVLNCGWGAFVDANQIEENDSLFFRHIENSRFEVLILDSDGCEKIFSRSGIKRAYNVQERNADPIDIASSTHDNDTMDSSSSGESGEEFTESGSSEHESCHELDDPQTPLVPVLSHGTSLSEAQEEKIAMLIQEIRPEIPVYVAVMKYSNVKLRGSLVIAKHYASAHFPNTSQTITLESRDKNKKWHPKFYIRKDRSGYILYGRWTEFVHDNRLKEGDICIFELTKFTGRNFRATVHLLRETKSHSFGAFCSSPKRVDSGGRTRPRVSLTEGRCGTKGISTNSVKKEPDDGQCNKGEGKHQEPLSSDDSGGSSESYLISQKASLTKAQKRKVKEIACSYESEVPIYASVMNKSNVGTDGSYTIRFGKEFATRYLPRGEQTLTLLMKGKSKAWQIKMCPRSGDAQMFTMDWRAFVHDNCLRIKDICLFQLMNNERRLTMTVHIIRHNDKN >LPERR03G21760.5 pep chromosome:Lperr_V1.4:3:18877341:18878702:1 gene:LPERR03G21760 transcript:LPERR03G21760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRQRQWAELRSSRGLAASSFSNGVASASARPRGSAGFFSPGLITTRHQLAELLCVKVAQQECHL >LPERR03G21770.1 pep chromosome:Lperr_V1.4:3:18883764:18900611:1 gene:LPERR03G21770 transcript:LPERR03G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPQFKLIQAAFHGDLRALSVCLFCCGQAKVLDMGRGRLRKAVEEVRVEGVLGEEGVGVLQLAASQGHMEICKYLVDTLQVDVDDADDKGKTSLFNAVTSGHRGIAEYLLDRGANPDQAMRCGLSPLHVAAGLGDCESVKLLLAKGAYVDPISTFGTPLHLAAKEGKDGTMKILLDHNADCNKMVNGMTPFLLATKAASAKCTELLVEAGADGTLSDVFLNCMSTAFMDDGDSVSSDSELEEAGANHHVPVNDNPMDRRKIMEFKSLGLEAVEKKDYLSAAGFYSKAMDLDPDDATLLSNRSLCWLYMGDGGKALLDAHECRKKWLDWSKACYRQGTTLMLPKDYASACEPLLDGFKLDPGNIEIENALSFGVLEDIPEHLLDKGRGCLRDSVMAARIGRSAGCLEGTGPLHVAASHGSMEVCRFLVERLKVDVNDIDMEGCSPLVAAIHGKHANSVKYLLDHGANQDKANHAGYNKMVYGVTPIFVAINHASEKCVQLLIEAGVDVKRDYVRSALADAEKSDSGVPANKKSAIELKTLGSMAFKRKNYHHAAGYYSKAMNLDPDDATLFSNRSLCWLRLGRGGNALLDAHECCKRRPDWPMAYFRLAQHCCHGRTMGVQGKYSLMDSSWSQGTLK >LPERR03G21770.2 pep chromosome:Lperr_V1.4:3:18900615:18908006:1 gene:LPERR03G21770 transcript:LPERR03G21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGRTSLLKAVHSGHQEIAKYLLDHGANPDQAMRCGLAPLHSAAGLGDCESVKQLLAKGAYVDPVCTHGTPLHLAAHEGQNGTMKILLDHNADCNKIINRITPLLLATRSASAKCMELLVEILELNIGHVKSYDYLGATFFVLTFSTTDRTLSDTFVNYMSTASVDDGDSGSSDSGPREVGANHHVSVNNKIAEFKSLGLEAVEKKDYRSAAGFYSKAMELDPDDATLLSNRSLCWLYMVMEARLCWMLMNAEKSSLTGLRAATDYAIAYEALYDGFTLDPGNIEIENALR >LPERR03G21790.1 pep chromosome:Lperr_V1.4:3:18914611:18919659:1 gene:LPERR03G21790 transcript:LPERR03G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGERTETWDKKTKLGRDGRVFLFGPGPLDTIPHKFAQNFGDKIQGMIKLKACNGNTFSVLVAKCSDKLVLTAGWAAFVSSHDIKIGDFLVFRYIGNSQFEVKIFGPSGCVKASSHNAAKVAHDVQNMQGDLIGISSRSDNNLLKQWLTTERQNQLEMDGTDKCNEKMKMKTENAFSSKDDQETPAASGYVLSCSQIPLTEVHRMKVKEKVRAVQSHHPVFVTVMKKSNITRLPCHLIFSTKYANKYLPREDQMLTLQRQGKRWQIGDTCLFEQLRNENPFTMNKMRKPNTRSTERVEYYHCNRTDNQEKHFFKVMIDDFHKRMIIPEKFERHFKGVITKTIKLETCNDTLDVQITKKLNRLVLGSGWESFVSAHGLKMGDFLVFKYNGNLLLQVLIFDPSGCEKSTSCCMKNAIDHVEQRWKEPTDVSTTCHDQPKKSPQNRNKHWMQKDSTGKGHKIINIRSSSTPSNVSEHVETHFVAGCILPRRTFIPEVQENKMKETIQAINSKIPMYGNAITKYNIFGSSCALEISRQYDDAYLPYHGQELILRHHDKSWKVQFCRYNHKSRKLTQGWKRFAHDNNLRIGDLCLFETLKKN >LPERR03G21800.1 pep chromosome:Lperr_V1.4:3:18919804:18920442:1 gene:LPERR03G21800 transcript:LPERR03G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKEDVNIHFVPGCILPRRTILPEVQEKKMKQKIKAINSKTPMFGNVMKKYNIFGTSCVLEISQQYADAYLPHNSQELMFRHGGKSWKVRFCRYKN >LPERR03G21810.1 pep chromosome:Lperr_V1.4:3:18924084:18927623:1 gene:LPERR03G21810 transcript:LPERR03G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICTCCSLTGMSGRKMNRGGVRSKEGGERCKEGDTIPNGFLYNFGGKIPKSVTLETSNGLTFDVQVNKNSDEVFLQSGWTSYARAHDLKRGDLLVFKYCGNSLFKTLIFNPNGCEKACSYLLKKNVTQECDLVPGCITPAGYRLTRSQKKIMNKKTKAINSENPIYGYVIIKSSIYGRPCTVEFSRKYADVYLPFEDETLVLQRRGKRWNVRCKITKKKSRRFLKGWMQFARDNNLCLGDICLFELLENKTKYVMKVHILRKK >LPERR03G21820.1 pep chromosome:Lperr_V1.4:3:18933685:18934047:-1 gene:LPERR03G21820 transcript:LPERR03G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGAASRSFLAAVRGRAAASSSAPRVRAAPLPSSAPRRRAFSPFAAARPMAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRTCQDR >LPERR03G21820.2 pep chromosome:Lperr_V1.4:3:18933685:18934047:-1 gene:LPERR03G21820 transcript:LPERR03G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGAASRSFLAAVRGRAAASSSAPRVRAAPLPSSAPRRRAFSPFAAARPMAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRTCQDR >LPERR03G21830.1 pep chromosome:Lperr_V1.4:3:18934672:18935121:-1 gene:LPERR03G21830 transcript:LPERR03G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHMPPHRRSSFYPSVSVSTRKINPDRGLVATKKRSSSIAPPSDSSEEITAGTTVSVRTRVGKLRGGKTLVLWLSAVVVSATDEEGYLTVLYNGNFPPEDLFKTVRVARQETKRMAVPAAGDNAAEAPRPTTAGKSVAVLKRVYPEAF >LPERR03G21840.1 pep chromosome:Lperr_V1.4:3:18942487:18942826:-1 gene:LPERR03G21840 transcript:LPERR03G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSQPLQATKKKLAVVTAKKRSPAPINKPSSPPLSITRFSIRTTRRRSGQGTVSVGSCFAEGRCWCYGSQWWSSRPPRKATSPSCIMETSL >LPERR03G21850.1 pep chromosome:Lperr_V1.4:3:18944726:18945588:1 gene:LPERR03G21850 transcript:LPERR03G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVSHQHQPAAGSVMARMDRLDLVVGYLEEMRGGHSGRRSHGGGSPSSTTVSTESGTSASSVGSTPRGSGCRPAKEALEEARAKGSLVDRIAFLETRVLKMEEEMEVTSSDVRSTGSVGGDKQQQQQQRRGKAEKGKRLTRLVKSCVRGKLNTKE >LPERR03G21860.1 pep chromosome:Lperr_V1.4:3:18974117:18981948:1 gene:LPERR03G21860 transcript:LPERR03G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATAPDLSLHISPPSAADMAGRSGGGGEMEQLAEPKLCLGFDMVATQHNGGCSLQQQQQRLHQPSQIQRFKKSAIGSPVLSGGGGNGGAARSGNGGGGKRSSRAPRMRWTTALHAHFVQAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQASHGYMRDMGFLRTGGGEMDGFDVLGNTSSIAITNIRSSHQERAIRNKERRIVGLNHQ >LPERR03G21870.1 pep chromosome:Lperr_V1.4:3:18984772:18985353:-1 gene:LPERR03G21870 transcript:LPERR03G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAKRVIHITVSHEFYPVTEEVLQVFDAYGAEKIYVHQMGSVLESSVQFQSRSSAEDARRSFRGRNIYDGCCDMDIQLNSSSLDVTSGKTAPVAPEAMLQKMLVKEEERRIEQKATVEEMARTSSPAAAPLPHPVPSEVIFLVEQAVASPTQLLPAKAIAQHHHPSHLRPRQLNAFKQHRPAEKDEEAARF >LPERR03G21880.1 pep chromosome:Lperr_V1.4:3:19003848:19005417:1 gene:LPERR03G21880 transcript:LPERR03G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENINVPVSPGANDGRQDEDEVCLQAQQLMFAYNVSLVLRAAIQLGLLDALCSSAAPLNAAELAERINAADKAEAAASVDRILGYLACFNVVRCSGLPRRRYTPAPVCRWLTKNNGEGSLGSFAVFLGDPDHMLPWHHMAEAVASGGPASAFKRTKGMMLYDYLATKNQRLGTLYDNAMAEHSVILVTKMLERFKGFDHVLVLVDVGGGTGNTLKMITSRYKHIMGINYDLPHVISQAPSIQGVEHIAGDMYESVPSGDAVLLQWILLMQTDEQCLKTLKNCYKALPEGGKVIIIDGLLPETPDANSPAARDAYTLDMCMFVLHKGKERTEREFTKLARESGFTGEVRTTYIFLNFYAIEFTK >LPERR03G21890.1 pep chromosome:Lperr_V1.4:3:19022260:19022526:-1 gene:LPERR03G21890 transcript:LPERR03G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASQPPQSPSSIGAWFCQISSGLRQSGRAEIDVAGKLKEVESSKLAGTVGKGTNARALTSSSCRGGAGATMPEATVCLLLDRFAPS >LPERR03G21900.1 pep chromosome:Lperr_V1.4:3:19034535:19036556:1 gene:LPERR03G21900 transcript:LPERR03G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFGFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFQSGSGALVGMKKTLVFYRGRAPKGGKTSWVMHEYRLQSKFPYKPSKDEWVVCRVFKKCHPLIKPRPSLHDDEDIEHGMMGSTASELMGHDHHDSPSAAAVGELGEIDVSSMLGVGSFASSGSTGGVICHGVGGDGFGAYMSWLQAAAASQGAAAMLPWPATTQGLLGTVFAANKAALPFGAAGGCSQPGGVSLANVGGDHALFGGGAALGKVVEMEMECGGGGEQAAQLDMEESSWRAF >LPERR03G21910.1 pep chromosome:Lperr_V1.4:3:19050690:19052177:1 gene:LPERR03G21910 transcript:LPERR03G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHMKLIQLLRADQAAAAAQSSPSFSPKPFSSSSNSDDDGYSTTSSWQTNDGCSSPSRSTPPKSPWSHLPGLGATGGEASASGATGLIASLVKEEGHVYSVAAAGDLLYTGTDSETVRVWRDRREVAAFRTGSGLVKSIVVAADGRIFTGHQDGKIRVWRRDADADGGAASHRRVGSLPRLADYVITSVNPSSYVRQRRKRREVWLRHSDAVSCLSLDERAGILYSGSWDATFKAWRVSDSRCLGSVNAHDDAVNAVAAAGFDAVVFTGSADGTVKAWRRRVDENTTPHHVLEAVVRRGESAVTAIAVAVEDRVVYVGSSDGDVTCWRWVDGEARYGGVLGGGHGMAVMCLAVAGNVVVSGSADRTLRVWRRDGGSGGGEHARVAVLAGHAGPVKCVAVDEEEYTPSSEEDDGERRFVVYSGSLDGSVKVWRVAAADEPTTNSPAAAAAAASDRTLTATPQQIPSRVWRREDQTPAWAAAAYRTSEMNSVAAA >LPERR03G21920.1 pep chromosome:Lperr_V1.4:3:19055743:19057519:-1 gene:LPERR03G21920 transcript:LPERR03G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDCFDDLSVWASQPSTSGTKLKDLTRIEDNRLDWADIVCELCYLLGQMNLSSITDKRMSMSGVRYKWRNLFEAKTEKQKEFADKMGQRLAKKYGAAKAARKEATPNLARKEPND >LPERR03G21930.1 pep chromosome:Lperr_V1.4:3:19063792:19076129:-1 gene:LPERR03G21930 transcript:LPERR03G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSTKAEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAAGATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLVEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVQRASEFLRNYFSSLVDFMISDKSYFSQSLDKSCLGSLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSTDTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNVKSRLGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISLQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVGTMFTTLDKIAQSDPKTADIVLIENYAAFQNSLYDLANVVQTLAKFYHEASEAYEQACTRHISSLIYIQFERLFQFSRKVDELTYTIAPEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYDSFVQMVTRIYVNEPIMSVAEMKDVLANF >LPERR03G21940.1 pep chromosome:Lperr_V1.4:3:19078365:19092144:1 gene:LPERR03G21940 transcript:LPERR03G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDEQCYYDCDDDDSEEEDAEWIDGLESEDDDDDLGLVEEADLLLPEDRRADCWAITQESLPAAQQQDLSIVMNLLNIKQHQARTLLIHHRWNMHSIYDSLDRKGRDRMLWEACIILQKTSKPAASGSRIPTKGVVTCNVCFEDFSVANVSTMDCGHGFCNDCWTEHFFTAIKSVNNQIRCMEVKCKAICDEDIVQRLLDLRYPAASKRFNQLLLVSYLDDNDSVKFCPSAPHCGRAIQVSSGERHGEVTCPCGVSFCFSCAGEVHSPCPCAMWDKWKAKAHGDSDSVKWILKNTKSCPKCLKPIEKIDGCNQVRCKCGHWLCGGPTGAEHTWTSISGHSCNRYKEEEQGSTAADKGRRQMQRYTHYWDRYNIHAGSHKVEQGELARAVAERARRLESDLSRPRVHRDGAWLGAAHARLLSSRQVLSRSYAFAYYMFGGGDGDVVGRMTSERASQLAVAQNLFEDQQEVLENHVEHLSKELADVAADVAEEGIVAAKMKVVSIVKVVQTICEKMYGCIQDELLPLLQQDLSMVMNLLCIKQHQARALLIHHRWNVDSILDYLDRKGPERMLKEAGIVIQEEKKSSSTAMPRRSHRRSVTCNVCFEDVSPFAVSTMDCGHGFCNDCWTEHFFTSVNGGQKQIRCMEVKCPAICDEEVVQRLLGAKYPAAASRLDGFLVQSYVEDNDAARWCPSAPHCGSAVRVDGGREACEDVVCPCGVAFCFGCGASPPHSPCPCAMWERWGAYRNGGELANLKWIVANTKSCPKCSKPIQKIDGCNHVVCTCGQHLCYACGAATGMLYMHECNRYKEGDGGGCKVEMTANGRELLRYKHYYDRFELHTDSHSKEQHQLGPAVTNLTAQLNKAAAAADTDVTVRDAEWPAAAAVLPRSYVLAYYMFGGGTAATADADEAASLAAAQNRFEDLQG >LPERR03G21950.1 pep chromosome:Lperr_V1.4:3:19092155:19092421:1 gene:LPERR03G21950 transcript:LPERR03G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGFPKTLATAAAAAAAAAVERMMDREAVVTAKHAADNLAGLVEGLCAGMYRCVQDELLPLLVELVNIASYHPDGPTKAEEFPVTGV >LPERR03G21960.1 pep chromosome:Lperr_V1.4:3:19098870:19102239:1 gene:LPERR03G21960 transcript:LPERR03G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSFTLPKWRLSELHSNGMVSIDDDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVIGLQEVPKCDVAQVLQETMTAMQSLQMFLFGAKSSEKYIRELKADKHPVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAGGEEELRMPAHSHSLFSKNDTQYTKSADITVWLGDLNYRLEGISSIPARKLIEENRQSKPRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHSSGLDAILSTYEALDCIRSSDHKPVRAHLCLKVHDDSA >LPERR03G21960.2 pep chromosome:Lperr_V1.4:3:19098870:19102239:1 gene:LPERR03G21960 transcript:LPERR03G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSFTLPKWRLSELHSNGMVSIDDDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVIGLQEVPKCDVAQVLQETMVETHILLCQTAMQSLQMFLFGAKSSEKYIRELKADKHPVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAGGEEELRMPAHSHSLFSKNDTQYTKSADITVWLGDLNYRLEGISSIPARKLIEENRQSKPRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKVSQIIHL >LPERR03G21960.3 pep chromosome:Lperr_V1.4:3:19098861:19102239:1 gene:LPERR03G21960 transcript:LPERR03G21960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSFTLPKWRLSELHSNGMVSIDDDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVIGLQEVPKCDVAQVLQETMVETHILLCQTAMQSLQMFLFGAKSSEKYIRELKADKHPVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAGGEEELRMPAHSHSLFSKNDTQYTKSADITVWLGDLNYRLEGISSIPARKLIEENRQSKPRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHSSGLDAILSTYEALDCIRSSDHKPVRAHLCLKVHDDSA >LPERR03G21960.4 pep chromosome:Lperr_V1.4:3:19098861:19102239:1 gene:LPERR03G21960 transcript:LPERR03G21960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSFTLPKWRLSELHSNGMVSIDDDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVIGLQEVPKCDVAQVLQETMTAMQSLQMFLFGAKSSEKYIRELKADKHPVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAGGEEELRMPAHSHSLFSKNDTQYTKSADITVWLGDLNYRLEGISSIPARKLIEENRQSKPRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHSSGLDAILSTYEALDCIRSSDHKPVRAHLCLKVHDDSA >LPERR03G21970.1 pep chromosome:Lperr_V1.4:3:19103829:19111591:1 gene:LPERR03G21970 transcript:LPERR03G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSFLFPLALRGTGGGVEVAATATRAGGRGECGVVFLSQETNGGGEEETSAELHIQLDFRLESGDQVGYTNFQLPILENMGWLSKIFKGSVDRVSRGHYNGNPHEGYSAWHTKAYGHDSDHEDIDRAIALSLSEEDQRKGKAIDEPDINHHLHEDEQLARALQESLNNEPRQNVPAKDVHLESTPATFMPPYIFPSTGFRVCAGCKTPIGQGRFLSCMDSVWHPQCFKCFACNRPISEYEFMKITHTIDPAIRSFSIRNIPTNKNGLIEYRAHPFWMQKYCPAHENDGTPRCCSCERMEPKDSRYITLDDGRKLCLECLNTAIMDTNECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKSGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPHKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIASSSSSSSSSSSTPSSKKGVQTDFEKKLGEFFKHQIETDSSDAYGDGFREGIKAVERYGLRKTLDHMKLAGAFPC >LPERR03G21980.1 pep chromosome:Lperr_V1.4:3:19112503:19112745:-1 gene:LPERR03G21980 transcript:LPERR03G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSPPTTASAADGGVRDEVVLFSTPLLDDPATSWWQSTLAKPRSIADLGGEVATSAGTAVEEVDVRLLMPMSSPLPTL >LPERR03G22000.1 pep chromosome:Lperr_V1.4:3:19119891:19120091:-1 gene:LPERR03G22000 transcript:LPERR03G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAKTDVTKEGTEMREEDARVEQAVFRGSKATHKSDAGSGTMVLFGGAPTEQEDEEDVLEIDLDG >LPERR03G22010.1 pep chromosome:Lperr_V1.4:3:19123586:19128592:-1 gene:LPERR03G22010 transcript:LPERR03G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVSKSWQESKLMWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVDMLGVYIQRSWIICGATAMILTPTYAFTAPILRGLHQPSDISDVAGRYARWAIPQLFAYAANFPLQKFFQSQSKVWVMTIISGVGLAFHVALNYVFLTRLGHGIVAAAIVGNVTWWIIIFAQFGYLVSGCFPEAWKGFSVLAFKNLAAFVRLSLASAVMLCLELWYYTAVLILVGLLKNAQLQVDVMSVCVRVSNELGAKRPKAAKFAVVMAVSTSAFIGAIFMAVFFIWRKELPRFFSDDADVLREAAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGLPLGVLFGFKLKLNAMGIWVGMSLGTLLQTLILAFISYRTEWERQAMLAEERIREWGGRNDDALPSTTSTADDNVDR >LPERR03G22020.1 pep chromosome:Lperr_V1.4:3:19139904:19143999:1 gene:LPERR03G22020 transcript:LPERR03G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLRCCDLDLKQPKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGLINKVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLK >LPERR03G22030.1 pep chromosome:Lperr_V1.4:3:19153749:19154030:-1 gene:LPERR03G22030 transcript:LPERR03G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSINNLVRAFVMAIMLVIFLAATSPAFCRAGVVAARPLMHDDGGAAAAAAAALQIGHDQQQAGGRRLVDVDESKAGGSSHSNHSNNPNNPP >LPERR03G22050.1 pep chromosome:Lperr_V1.4:3:19169444:19177522:1 gene:LPERR03G22050 transcript:LPERR03G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVENFEAHDLGGMPQNHYNEEQLNPCSDVAHPYNEEPDNLHNVEEGDQYIQNVSLYTEEPGNQYNEEPSNLFQEESENAYNGDVNQHGGLQVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAVDGLLRVYKRITDGSDGDSGQPQRNIGNLGPTRLLVPASQAGSLIGKQGATIKSIQESSQAVVRILENLPLVALNDDRVVEIQGEPIGVHKAVELIASHLRKFLVDRSVLPLFEMQAKMHNVQREQAMPAPQPWGPPQPWGPPPSHFPPGPGYGGNPQFMPPRPQDNYYTPPGAPHLEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGASGEMTVEIIGSASQVQTAQQLVQNFMAEATPQGPPPPASNPPAPPVDPSYGSYPPPYGASSYGSAAGAGPAPHNGGSYGGATYSSYGY >LPERR03G22050.2 pep chromosome:Lperr_V1.4:3:19169444:19178291:1 gene:LPERR03G22050 transcript:LPERR03G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVENFEAHDLGGMPQNHYNEEQLNPCSDVAHPYNEEPDNLHNVEEGDQYIQNVSLYTEEPGNQYNEEPSNLFQEESENAYNGDVNQHGGLQVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAVDGLLRVYKRITDGSDGDSGQPQRNIGNLGPTRLLVPASQAGSLIGKQGATIKSIQESSQAVVRILENLPLVALNDDRVVEIQGEPIGVHKAVELIASHLRKFLVDRSVLPLFEMQAKMHNVQREQAMPAPQPWGPPQPWGPPPSHFPPGPGYGGNPQFMPPRPQDNYYTPPGAPHLEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGASGEMTVEIIGSASQVQTAQQLVQNFMAEATPQGPPPPASNPPAPPVDPSYGSYPPPYGASSYGSAAGAGPAPHNGGSYGGATYSSYGY >LPERR03G22050.3 pep chromosome:Lperr_V1.4:3:19170273:19177522:1 gene:LPERR03G22050 transcript:LPERR03G22050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVENFEAHDLGGMPQNHYNEEQLNPCSDVAHPYNEEPDNLHNVEEGDQYIQNVSLYTEEPGNQYNEEPSNLFQEESENAYNGDVNQHGGLQVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAVDGLLRVYKRITDGSDGDSGQPQRNIGNLGPTRLLVPASQAGSLIGKQGATIKSIQESSQAVVRILENLPLVALNDDRVVEIQGEPIGVHKAVELIASHLRKFLVDRSVLPLFEMQAKMHNVQREQAMPAPQPWGPPQPWGPPPSHFPPGPGYGGNPQFMPPRPQDNYYTPPGAPHLEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGASGEMTVEIIGSASQVQTAQQLVQNFMAEATPQGPPPPASNPPAPPVDPSYGSYPPPYGASSYGSAAGAGPAPHNGGSYGGATYSSYGY >LPERR03G22050.4 pep chromosome:Lperr_V1.4:3:19170273:19178291:1 gene:LPERR03G22050 transcript:LPERR03G22050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVENFEAHDLGGMPQNHYNEEQLNPCSDVAHPYNEEPDNLHNVEEGDQYIQNVSLYTEEPGNQYNEEPSNLFQEESENAYNGDVNQHGGLQVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAVDGLLRVYKRITDGSDGDSGQPQRNIGNLGPTRLLVPASQAGSLIGKQGATIKSIQESSQAVVRILENLPLVALNDDRVVEIQGEPIGVHKAVELIASHLRKFLVDRSVLPLFEMQAKMHNVQREQAMPAPQPWGPPQPWGPPPSHFPPGPGYGGNPQFMPPRPQDNYYTPPGAPHLEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGASGEMTVEIIGSASQVQTAQQLVQNFMAEATPQGPPPPASNPPAPPVDPSYGSYPPPYGASSYGSAAGAGPAPHNGGSYGGATYSSYGY >LPERR03G22060.1 pep chromosome:Lperr_V1.4:3:19208844:19216191:1 gene:LPERR03G22060 transcript:LPERR03G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGMQLIKDDGYSARQLQLLVAALSTAGAVAAAAVVRRRHGKKAAAAAPAPAPVVMKEMPRVEMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKKTKSCMDDIDDFFANTPDSESLYVKFIEELDKCILGYFAFHWNHATTLISQALTVDCGTSKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPTAAMNGDADESQCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEGNAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVNELKCITTAP >LPERR03G22070.1 pep chromosome:Lperr_V1.4:3:19215385:19219493:-1 gene:LPERR03G22070 transcript:LPERR03G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELDDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLEKLEEEKESIVSQMAELKKILYGKFKDAINLEED >LPERR03G22080.1 pep chromosome:Lperr_V1.4:3:19220907:19223188:-1 gene:LPERR03G22080 transcript:LPERR03G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGVVGSLFSLLCCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQLKPLINPILSGE >LPERR03G22090.1 pep chromosome:Lperr_V1.4:3:19223563:19223868:1 gene:LPERR03G22090 transcript:LPERR03G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKQEATEAEEEGIEKYEEFKSSLACLGVFLAVSVVCALVTFLWPGLSPIHKNYGLWYSVLFLIAAVFIACMHLRQYGFSVPKIEEPDHQLNIIGDDLC >LPERR03G22100.1 pep chromosome:Lperr_V1.4:3:19226574:19231213:-1 gene:LPERR03G22100 transcript:LPERR03G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPPPAAAAAVVKEEEEQQQPHHEEDEDGAASPAVGEEEHKEVEAEAEEEEEEEQEVEVEKRVRRGRGRKRGRRSGGGGGSSSSAAASRGVVMVKREVLARCMTCPLCNRLLRDATTVSECLHTFCRKCIYEKFNDEEVESCPVCKIDLGCTPVEKLRADHNIQDVRSKIFPFKRKKIRAEEVAAPILLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGLGIDDPVKKEIDNSEKHAQNSSLPTNSGKVPQTRRQMSSNAEASNRSSNKDTEGGRKEVADKTDELWRPLNCLVEAANRTKSLRSSSQSQIVKKEQLSDSPGSTSFNKTKSREHVHKSQIEDDKKDVPLLKRKNQRTGRRRELHAPSDSKPDAAATQNERKFTSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMKKLGLPSEAEVEINCCGQPVSPTQSLCNLVELWLRRQSTETTQTMIGTPAKEFVMVLTYGRPKAITP >LPERR03G22110.1 pep chromosome:Lperr_V1.4:3:19234492:19236646:1 gene:LPERR03G22110 transcript:LPERR03G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPINKKYYRADMASSLPDFLTQLSHPSQSLTRAAGGDQSGWRRRRHDGGGGAAASMAGEAPPPLLGKRCGSDE >LPERR03G22110.2 pep chromosome:Lperr_V1.4:3:19234686:19236646:1 gene:LPERR03G22110 transcript:LPERR03G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAEELQRQWPARLHLHYWSFSLPLSFFPPPLPLLLSREAWWRSRWQSSGAAEGGQQGATEGGECGAWLCRVASSASMGEGEAEEKGMASSSNASLHGGDEGGGVGLARRRCRHRHPELHVRACRPYLYVLMPRARRSPPDLELPRWYTVVDVTNVDGFDGVLLDDV >LPERR03G22120.1 pep chromosome:Lperr_V1.4:3:19237596:19244256:1 gene:LPERR03G22120 transcript:LPERR03G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAPKPPPPRPKSRGSYNCGRCGLPKKGHVCPAGGPAPTPSPSSSASAGGGGGGGEGTNLRRALSFDDASASAAAPSSPEKKARVLVDSAAGGSGEVVTAAAGDGDLEEEGLEVGGRAVPREGAAEELRLRAAGVSLVGALLPRCVALARLYLRMESDVDATMLACLAFSCPSLETLEISMSDNAVNRMTGEELSQFVSEKHSLSVLKIGGCSSLGFLNLNSSSLSIIWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLIDCGMTLCASLQNEKQGPYLGEINGSIRFCPKLATSKKQPVNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPNLKNVHASGCHDMLIGAIRNQVLNEFAAAQPHLPCKRLADGSKRVQLPQFSQQEPSEDEKGIGLRQNPCTVHRD >LPERR03G22130.1 pep chromosome:Lperr_V1.4:3:19252784:19253237:1 gene:LPERR03G22130 transcript:LPERR03G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALERSQKRYTILVKTGIYDEIVRIKRSTWNLTLAGYGARMTVTAGNRSADDGFTTCRVHNEGSNSPEHNMCLQASSGGTLIVIR >LPERR03G22140.1 pep chromosome:Lperr_V1.4:3:19254689:19255302:1 gene:LPERR03G22140 transcript:LPERR03G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVIIICCLAIIFAVCAQPPVDLSSIVGQDSSDNFSTMATAPERSRKRDTILVKAGIYDEIVCVKRLTWNLTLVGDGVGMTVITGNRSADDGFITHEMEFSSTRSAESQFCTVTSNVCLGNTFSETYLSRSEKIYSVVEGLRPLLCGDASPSG >LPERR03G22150.1 pep chromosome:Lperr_V1.4:3:19255479:19255705:1 gene:LPERR03G22150 transcript:LPERR03G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYLDGIINPARYLPWNGSFGWLGYHGLLSTNDARNFSAENAIHGSSWIPATGIPYTPGL >LPERR03G22160.1 pep chromosome:Lperr_V1.4:3:19257040:19258714:-1 gene:LPERR03G22160 transcript:LPERR03G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFEETELRLGLPGGGGNDAGEAARSSGKRGFADTIDLKLKLQPASAAPAASSDDDGVEEEEKEAVAAAAVTPAGDGKMKSQSSVVTAQAQPDPDKPRAPKAQAVGWPPVRSFRKNVLAVKSEKVSLVKVSMDGAPYLRKIDLAMYKTYQDLSMALHNMFGSSKIKDENNDDEMVHTYEDKDGDWMLVGDVPWEMFVESCKRLRMMKGSEAIGLAPRAMEKRKTRS >LPERR03G22170.1 pep chromosome:Lperr_V1.4:3:19265826:19267269:1 gene:LPERR03G22170 transcript:LPERR03G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGYADNCLKATELRLGLPGTSSDDHHLRGKKRAAADDNNASAADEHDAVEAAPPAAKAQVVGWPPVRSYRKSCFQAAAAKTSKPTAVTTTNKVDEPIISKNSAAPAPAAASLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFSGAAAEVNPSEFAITYQDKDGDLMLVGDVPFDMFTSTCKKLRIMKRSEATGLGSPRQMN >LPERR03G22180.1 pep chromosome:Lperr_V1.4:3:19267138:19280199:-1 gene:LPERR03G22180 transcript:LPERR03G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPLKTLNPSSRGRRLHSPAIRLPHPLPRVGRLRCSAEYREASAPRPAAPTTTTTTTRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGGAVARGRLAVWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVVVQEFNFIESFQPVQLYEPEAGQDTLGGKRGNYAGSTAGSTEDSRGHLDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSIQGSERKPVAFMYADDFMTPDTDTKAHLESCGRRGHRLQAAGSRHLPTRDGGGAQQQQPPARPWPVSEIPKSRIYSSIHASDRTHCSQVTADTAHKSLSNNRNATMVGSSRSPPVASNFNHADSEMFQPTERKGRLNGSKPQGTLGNSGMGSVLVPVKATQLKLGNDVTHTKGIPEPAGGVSSAIVGTDAPCPKKVSQLKDISEQTNIEKLGCSSAKLGDHTDSQIPVKVPQIHLVTDITLQMVTGKPASAVTSASHGTSVPVSRQVPWVKLVKDVTPQVFTSRLGSAAVKVDYRTAVAIPQKLSQLRLVKDIAPHTAIQKPATIAEKAIQQKKRKANNGTDESPVARHKPNISDMPPSLFSGSSESSTPCHFSKAMLVDNLRSLGKLYLPDEMPGTLTTLTKNDDMHLTRTELMGNLRFLAKNQNFSNVTLPAYGPEGAAASLANNLRLMGGTIRVGLFLSWQFWTREWVLFKAVKENQLPLCYAVDS >LPERR03G22180.2 pep chromosome:Lperr_V1.4:3:19266993:19280199:-1 gene:LPERR03G22180 transcript:LPERR03G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPLKTLNPSSRGRRLHSPAIRLPHPLPRVGRLRCSAEYREASAPRPAAPTTTTTTTRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGGAVARGRLAVWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVVVQEFNFIESFQPVQLYEPEAGQDTLGGKRGNYAGSTAGSTEDSRGHLDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSIQGSERKPVAFMYADDFMTPDTDTKAHLESCGRRGHRLQAAGSRHLPTRDGGGAQQQQPPARPWPVSEIPKSRIYSSIHASDRTHCSQVTADTAHKSLSNNRNATMDKELKPRTHGGHSRLCGGLVNGESTNKAKCLNNRVQKHNGANKDHEATIVKGKRGYKFLFPLCVDMI >LPERR03G22180.3 pep chromosome:Lperr_V1.4:3:19266993:19280199:-1 gene:LPERR03G22180 transcript:LPERR03G22180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPLKTLNPSSRGRRLHSPAIRLPHPLPRVGRLRCSAEYREASAPRPAAPTTTTTTTRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGGAVARGRLAVWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVVVQEFNFIESFQPVQLYEPEAGQDTLGGKRGNYAGSTAGSTEDSRGHLDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWLAILDSRMGSIQGGERKPVTFMLCGGLVNGESTNKAKCLNNRVQKHNGANKDHEATIVKGKRGYKFLFPLCVDMI >LPERR03G22180.4 pep chromosome:Lperr_V1.4:3:19271751:19280199:-1 gene:LPERR03G22180 transcript:LPERR03G22180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPLKTLNPSSRGRRLHSPAIRLPHPLPRVGRLRCSAEYREASAPRPAAPTTTTTTTRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGGAVARGRLAVWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVVVQEFNFIESFQPVQLYEPEAGQDTLGGKRGNYAGSTAGSTEDSRGHLDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSIQGSERKPVAFMYADDFMTPDTDTKAHLESCGRRGHRLQAAGSRHLPTRDGGGAQQQQPPARPWPVSEIPKSRIYSSIHASDRTHCSQVTADTAHKSLSNNRNATMVGSSRSPPVASNFNHADSEMFQPTERKGRLNGSKPQGTLGNSGMGSVLVPVKATQLKLGNDVTHTKGIPEPAGGVSSAIVGTDAPCPKKVSQLKDISEQTNIEKLGCSSAKLGDHTDSQIPVKVPQIHLVTDITLQMVTGKPASAVTSASHGTSVPVSRQVPWVKLVKDVTPQVFTSRLGSAAVKVDYRTAVAIPQKLSQLRLVKDIAPHTAIQKPATIAEKAIQQKKRKANNGTDESPVARHKPNISDMPPSLFSGSSESSTPCHFSKAMLVDNLRSLGKLYLPDEMPGTLTTLTKNDDMHLTRTELMGNLRFLAKNQNFSNVTVNDGN >LPERR03G22190.1 pep chromosome:Lperr_V1.4:3:19287618:19291568:-1 gene:LPERR03G22190 transcript:LPERR03G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEHNKMVISPLPPLVLAMVVALAPAAASPPADPVQCSSSASGGGGGCTVSSAYGVFPDRSTCRAAAAAYPSSEEELIRAVANATASRTKMKVATRYSHSIPQLACPGAGDGEGLAISTRRMDRVVAVDAGRSEATVESGVSLRELVAEAAKAGLALPYAPYWWGLTVGGMLATGAHGSSLWGEGSAVHEYVVGMRIVTPAPAAEGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFTERDDNDLAEQVTKFGYQHEFADIAWYPGLGRAVYRVDDRLPMNASGDGLLDFIGFRATPRVLIQANRLAEELFERAGNGSGKCLTSRVTHAALSSAGYGLVRRSGGLFTGYPVVGLQHRMQASGGCITGPEDALLTACPWDPRVRASSFFHQTTFSLPLDRAGAFVDEVRRLRDMNPKALCGVELYDGILMRYVKASTAHLGKPAARNGESSGDMVDFDMTYYRSRDPGRARLFEDVLEEIEQMGLFKYGGLPHWGKNRNLAFVGVASKYPRIGEFLRVKDAFDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCICSQDAHCSPEQGYVCRPGKVYKDARVCTKV >LPERR03G22200.1 pep chromosome:Lperr_V1.4:3:19308215:19310357:-1 gene:LPERR03G22200 transcript:LPERR03G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETKTGMLRIEVETVTSDEEKMKEATTSKSKVEVDADSDSENFFPAGLGTKLGNGGIILVLFETPSGFALFAYDGVLLLLPNAIENIWIDFVFFERNMALNLRKFLEFKDKAAAINPVTGVNKALTRMILDNHVNDQKLAVGKPEYKEIIEKNLGILCLYDKTVMELMWGLKHCMNDLVPEEKMGLTKEDRLHMSEGLKIVLDRHGLSVEPEMVNQSIIEMACAVHCYDIAINKHSQNLRFAGEKLKKISEIDTDRWNLPTLATALRILCYPQEILPGNPLKAVFNRMVNAHRLRSWVLPELIHFVKEARNAYEAD >LPERR03G22210.1 pep chromosome:Lperr_V1.4:3:19315628:19317344:-1 gene:LPERR03G22210 transcript:LPERR03G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPVATYPSSSSSLARPLPAASRAHVAAFGMSSRASSFVAGGGGFALVAAARPRRTKEGGSSRGVGGAMGCKCLFGLGVPELAVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKEGEDGGDQPPPPTQTAVSGGGEEKELKASSIKEST >LPERR03G22220.1 pep chromosome:Lperr_V1.4:3:19320869:19322227:-1 gene:LPERR03G22220 transcript:LPERR03G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTKAANKNGGEILLGKYELGPLLGRGTFAKVYHARSLAGGEHAAIKVLDKPTLAATPGMATRVLHEVTAMRRLRHPNILRLHEVLATRSKVYLVMELATGGDLMSRLAALPSRRLPEHAARRVFVQLASALAYCHARGVSHRDVKPQNVLVDGEGNLKVSDFGLAALPDTRRDDGRLHTACGTPAFAAPEVLRRKAYDGAVADAWSCGVILYVLLAGRLPFDDANIAEMCRRASRREYAPLPPRSVSQPARRLVARLLDPNPATRLAVAELASHPWFKRSLSLDSQLGGLLGGETERELAFQAPALNAFDIISMSPGLDLSGLFFVKRCCREKRFVTTASPEKTVARLGEAGAKLGYFMVGKKGIERLPLGGVSGLVAMSMEMSEVSPSMMLVELKLEGGGGGGDGDGDDGGEAAFGWDELRAELGDDMVMSWHSCDGRKDREKGILL >LPERR03G22230.1 pep chromosome:Lperr_V1.4:3:19339444:19341039:-1 gene:LPERR03G22230 transcript:LPERR03G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQASSPTSPSPATASSSSNRPPLLPSSASISAFIASHPALTLLHTRCASMAHLRQLHAALVKSGLAKDPIAASRAVAFCAGEGRDAAYAARLVRHHPSPNSFMWNTAIRALADAAGPDAAVALFVDMLGSMTPPDRRTFPSLFAAHARAGAGEGAGLALHGMAVKLGLVAGDAYVRNSVMAMYASRGAADEAVALLSRCEAFDAVACNGAIVALARAGRVDEAREVFDGMPSRTAATWSAMVSAYSRASRFHDAVDLFSAMQEEAEVEPNANVLVSVLGCCAGIGALEQGAWVHAYIDKRGVSVNALVVTALVVMYCKCGALHRAREVFDAWRSRGLAKLSSWNAMMLGHATHGQWREAAALFSELKHHGLRPDNVTFIAILMAYGHAGKAEEAKAAFATMASEHGVAPGIEHYGCLVDALARAGRLREAEEAIAAMPVEPDAAVWGALLSGCRLHGDAEAAARAAREAVRCDPRDSGAYVMAASALASGGEARRGAGVRGRMREEGVGKVPGCSLIEVDGVVHEFVS >LPERR03G22240.1 pep chromosome:Lperr_V1.4:3:19341601:19345047:-1 gene:LPERR03G22240 transcript:LPERR03G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGGAGGGDGVDTRGRHRIQAELKKLEQEARFLEFWMKRMRKKPQDLPVSLGTDGLKVHKICADANAGSYDSYQAAPRGNVCCKL >LPERR03G22250.1 pep chromosome:Lperr_V1.4:3:19356474:19361862:-1 gene:LPERR03G22250 transcript:LPERR03G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRGCSRHRQQQAAGELRPPEPPLDPLEFLSRSWSASSVDVARPPPPQPTAAALAVGPIAEDAACEVDEVGGGGAFASAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSLTDSPPVSPEIDDAKYCRAASTPKPMYRGNNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTVGGGHHHKQNVQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVALKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSIANERKRAM >LPERR03G22250.2 pep chromosome:Lperr_V1.4:3:19356476:19361862:-1 gene:LPERR03G22250 transcript:LPERR03G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRGCSRHRQQQAAGELRPPEPPLDPLEFLSRSWSASSVDVARPPPPQPTAAALAVGPIAEDAACEVDEVGGGGAFASAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSLTDSPPVSPEIDDAKYCRAASTPKPMYRGNNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTVGGGHHHKQNVQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVALKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSIANERKRAM >LPERR03G22250.3 pep chromosome:Lperr_V1.4:3:19356476:19361862:-1 gene:LPERR03G22250 transcript:LPERR03G22250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRGCSRHRQQQAAGELRPPEPPLDPLEFLSRSWSASSVDVARPPPPQPTAAALAVGPIAEDAACEVDEVGGGGAFASAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSLTDSPPVSPEIDDAKYCRAASTPKPMYRGNNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTVGGGHHHKQNVQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVALKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSIANERKRAM >LPERR03G22260.1 pep chromosome:Lperr_V1.4:3:19400757:19402177:1 gene:LPERR03G22260 transcript:LPERR03G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRWLRSFLPGKKARSSSAPAPAAETEQAVLVTTPASTPGAKEKRRWSFRRPAAKDGHGQVAFQLEPRVDPDQHAVAVAIATAAAAEAAVAAKQAAAAVVRFAAGSRRVPAVVGIEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQLRARAARLRLGDGDGDEHHKPHHAARTPRTTTPTRRSSPHHPRYPRHDAAAEENVKIVEVDTGFAAGAAVSSSRRSSCYATPLCRTPSKAEMYSQYQKVSPTPSSLTDASASARSYSGRYRYDAAAVDFSFSTARNSPWHHNYNHNAAAAAAPCKPPSPQPAYMANTESSRAKARSQSAPRQRASVSSSAGDVVVVERQASGRRRASLEGQGGGGGVRRVQRCPSQAASCPWGSRLDHDDSECGSTSTVLTAATTTYCWSLATDNAAAMA >LPERR03G22270.1 pep chromosome:Lperr_V1.4:3:19404401:19409630:-1 gene:LPERR03G22270 transcript:LPERR03G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRVNGAYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQGIRLGDFGLAKILTSDDLACSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARSMIPSHQSPIDKVKKMTFPTEPMSRSKVRRSSLGNERIVNYSKPSPEREFISSIQRIKDYTTTCSVKDVSIDGSLVEDVSTKTLTTRTSSIVKTPKSTPTKTITILQLEPPKASYNRVNQSELLSRTPVNRSTRVARRASLPFLTFETPKRNIDILDQLESPDVSVNSPRIDRMAEFPLASSEEPLFSIQKRSSINDSYNTPAQFIDEPIPKDKCMVEAFHIDGENGSDSPGHIATAASSHGSSDSRQRRFDTSSHQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >LPERR03G22270.2 pep chromosome:Lperr_V1.4:3:19404401:19409630:-1 gene:LPERR03G22270 transcript:LPERR03G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRVNGAYFSEEKLCKWLVQLLMALDYLHANHILHRDVKVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARSMIPSHQSPIDKVKKMTFPTEPMSRSKVRRSSLGNERIVNYSKPSPEREFISSIQRIKDYTTTCSVKDVSIDGSLVEDVSTKTLTTRTSSIVKTPKSTPTKTITILQLEPPKASYNRVNQSELLSRTPVNRSTRVARRASLPFLTFETPKRNIDILDQLESPDVSVNSPRIDRMAEFPLASSEEPLFSIQKRSSINDSYNTPAQFIDEPIPKDKCMVEAFHIDGENGSDSPGHIATAASSHGSSDSRQRRFDTSSHQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >LPERR03G22270.3 pep chromosome:Lperr_V1.4:3:19404401:19409630:-1 gene:LPERR03G22270 transcript:LPERR03G22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRVNGAYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQGIRLGDFGLAKILTSDDLACSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFAAELLKHPHLQPYVLQVHLKSSPARSMIPSHQSPIDKVKKMTFPTEPMSRSKVRRSSLGNERIVNYSKPSPEREFISSIQRIKDYTTTCSVKDVSIDGSLVEDVSTKTLTTRTSSIVKTPKSTPTKTITILQLEPPKASYNRVNQSELLSRTPVNRSTRVARRASLPFLTFETPKRNIDILDQLESPDVSVNSPRIDRMAEFPLASSEEPLFSIQKRSSINDSYNTPAQFIDEPIPKDKCMVEAFHIDGENGSDSPGHIATAASSHGSSDSRQRRFDTSSHQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >LPERR03G22290.1 pep chromosome:Lperr_V1.4:3:19427868:19432519:1 gene:LPERR03G22290 transcript:LPERR03G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGVRSCCPPARVLIGAVHPRARRWALRLRRRLSLAARASPRRVLETEQAKAARLRLQERHLRCTPVIPSHDSSPANVGTEAELELDRSPVGAMLHLDSSRSVSVDTTLELNFFFSFLVVICMISNSGAMRVSHCCTGGGYSTCSPVACMEQRAPGEESKVDIEEQILTG >LPERR03G22300.1 pep chromosome:Lperr_V1.4:3:19435313:19436464:-1 gene:LPERR03G22300 transcript:LPERR03G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMIPKQTRALFLCLLILPCLPQPLPSPSPSPAPAPPSPPLSPFNERLEAAYVAFQAWKHAITEDPKNLTADWCGPLVCNYTGVYCAAAPDDPHTLTVAGVDLNHGDIAGCLPDHLGLLADLALLHLNSNRFHGTLPPSMHHMRLLFELDVSNNLLSGEFPSFLTSLPNLKFLDLRFNNFSGDLPSAVFGRGLSLDALFANDNKFKFSLSAASLTNSTASVIVLANTRLAGCIPASIGDMAETLVELILLNTSIASCIPPEIGRLRKLRVLDLSHNQLAGELPETIGDMESLEVLNVGYNELAGEVPEAICELPRLRNLTVAGNFFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPAHCAADGCIAAHLSTP >LPERR03G22310.1 pep chromosome:Lperr_V1.4:3:19447165:19451551:1 gene:LPERR03G22310 transcript:LPERR03G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEQDLILCRPPSKIQEMDNVLVVITSLCCLLLRPSWTYGLGSMASIAVSYGEDGPVFCGLDSDGSHLVTCFGADASVVYGAPSRIPFVGLTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGIHSANADNSVIDCWGYNMTATHTVTGAVSAISAGSVFNCGLFAHNRTVFCWGDESTSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGKSLEIQQLSTMSTIAADAGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTMAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICATDSCSRGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYELSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAIILVLSVTAIACLYVRHKLRRCQCAKNEMRLAKNTAYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATAGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDLKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDSNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISAILDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNQSCSENELADGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >LPERR03G22320.1 pep chromosome:Lperr_V1.4:3:19452591:19454604:-1 gene:LPERR03G22320 transcript:LPERR03G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAFQLQKCRKPPAAHAFVESKGTQSGKLKFYQLLLALLVLQLKAFKAKEGKQEQKSSKKSNLSLTIGKLQS >LPERR03G22330.1 pep chromosome:Lperr_V1.4:3:19454638:19462844:-1 gene:LPERR03G22330 transcript:LPERR03G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWISIGDEGTKTSPTNTRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKNQAKDNQYNEQLQKELASLLEENQDLKSRISSMLEQTNKAESEVVCLQEALAQQKEEKEAAVLQCQQSTARLQNLKSEILRTQEKFNRLKEDMQSGLQPLTTADERSILLEKANQEMHLELNKMKHILKHKHEELNEKQTELEKLNISTEEEHLKCMQAEMAQLSLEKQLIFTQDKLRLLALEKQIEVSKAKDIETEKVIFEKELEKIQKENTSLNDQIHSSSSVIIRLQDEIITMKNAQRRLEEDVCRHIDEKKTLQNELYHIKEDRSDFEKKHLSIKEQIQAVDLNVESLQALVHELKDGNAELKGIIGNHESTELLHIENLRRMERMSEKNAYLEKSLSVATTELEVLREKKAELEESCYHLSSKISSHQSERAVIVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRVKLKELEESSEALHSQNTALQNEKSSLSCQVDRISDTLLNLEVHYTELERRHSDLQQEKDSVLDEVIKLQEQIRHERKEHNDLEHYRNSQFDALHKKINLLSQEGRNREEQLEEEEQNIVKAQIEIFIWKQCLEDIAEANSNFSAQLQMKQEVCQVLEKKMEFLTENNQKLTKWIGSVLKVLHLEDKYESLDQMKLDSVVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNILKQEQQAKSEELLQLQRENQELVSVTDEFWEEVETRNRKVDELRAEAKFLVGQLSELQESRRSLQSEIIKLIQENSLLSNELYDSREKEKAFEDDFSILISEVVSKDILAVVFRSLHEERTLQFESLHSDFAQLQAAGSVLYQDIKMMNMKLGDLEIESNQCNKELSRTISICNWSSSENALGRGHSVRRDTNLLNSGRRSQEGYHVNMEMDHIEVDMAGLEKSNEMLQEEVHKLQSEMEFLRSKDNSATDIKSCDEDIKRLLANMQMAIMNAALFKEKVLDLIITCESFEISTMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFSALGSLQNEVSALEKQTLSLANECLQSNKLRMEENASSTQVLKTNMRSSDDQNAVRTVKDMELQKLHGTIKALQKVVTDTAVLLEQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKEIQHDLIQISSGGKTGSHGQADKTVAQVDNKMLDYHGIIGASSSHIHDDMRPPQSESFERNNCKRPPSELMVVKELSIDKQELPRSTSTEPHKEWKNKVVERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFIMQLIDSNSKLSKKAEEFTSEDVLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQISTRTSKTTQRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMRAKTMDD >LPERR03G22330.2 pep chromosome:Lperr_V1.4:3:19454636:19461045:-1 gene:LPERR03G22330 transcript:LPERR03G22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSPTNTRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKNQAKDNQYNEQLQKELASLLEENQDLKSRISSMLEQTNKAESEVVCLQEALAQQKEEKEAAVLQCQQSTARLQNLKSEILRTQEKFNRLKEDMQSGLQPLTTADERSILLEKANQEMHLELNKMKHILKHKHEELNEKQTELEKLNISTEEEHLKCMQAEMAQLSLEKQLIFTQDKLRLLALEKQIEVSKAKDIETEKVIFEKELEKIQKENTSLNDQIHSSSSVIIRLQDEIITMKNAQRRLEEDVCRHIDEKKTLQNELYHIKEDRSDFEKKHLSIKEQIQAVDLNVESLQALVHELKDGNAELKGIIGNHESTELLHIENLRRMERMSEKNAYLEKSLSVATTELEVLREKKAELEESCYHLSSKISSHQSERAVIVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRVKLKELEESSEALHSQNTALQNEKSSLSCQVDRISDTLLNLEVHYTELERRHSDLQQEKDSVLDEVIKLQEQIRHERKEHNDLEHYRNSQFDALHKKINLLSQEGRNREEQLEEEEQNIVKAQIEIFIWKQCLEDIAEANSNFSAQLQMKQEVCQVLEKKMEFLTENNQKLTKWIGSVLKVLHLEDKYESLDQMKLDSVVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNILKQEQQAKSEELLQLQRENQELVSVTDEFWEEVETRNRKVDELRAEAKFLVGQLSELQESRRSLQSEIIKLIQENSLLSNELYDSREKEKAFEDDFSILISEVVSKDILAVVFRSLHEERTLQFESLHSDFAQLQAAGSVLYQDIKMMNMKLGDLEIESNQCNKELSRTISICNWSSSENALGRGHSVRRDTNLLNSGRRSQEGYHVNMEMDHIEVDMAGLEKSNEMLQEEVHKLQSEMEFLRSKDNSATDIKSCDEDIKRLLANMQMAIMNAALFKEKVLDLIITCESFEISTMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFSALGSLQNEVSALEKQTLSLANECLQSNKLRMEENASSTQVLKTNMRSSDDQNAVRTVKDMELQKLHGTIKALQKVVTDTAVLLEQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKEIQHDLIQISSGGKTGSHGQADKTVAQVDNKMLDYHGIIGASSSHIHDDMRPPQSESFERNNCKRPPSELMVVKELSIDKQELPRSTSTEPHKEWKNKVVERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFIMQLIDSNSKLSKKAEEFTSEDVLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQISTRTSKTTQRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMRAKTMDD >LPERR03G22340.1 pep chromosome:Lperr_V1.4:3:19491478:19491720:-1 gene:LPERR03G22340 transcript:LPERR03G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAELPRTDAPPSPLLPRTRRRCCCHHGRAAATAAAAVAAADAQTSPLLPPRTRCSRRRYVASEEVRRDRERRER >LPERR03G22350.1 pep chromosome:Lperr_V1.4:3:19498971:19499630:-1 gene:LPERR03G22350 transcript:LPERR03G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSPYSSSSSSFLAMVELDVCEQWLVDDAWASHSPVSSDPAAAAAKTTRRRGRKAAAGGPIIGHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAVAYIADLRRRIDCLEAEAKKGAAAHVAVAPDGLEIMMVGQEAASVRLTTTASQMQHAPARMMCALRALGLAVQHASVTRVASGATVQDVMVDVPSALQDEGCLRAALLLMLRHDV >LPERR03G22360.1 pep chromosome:Lperr_V1.4:3:19517216:19517566:1 gene:LPERR03G22360 transcript:LPERR03G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVFIDDAVDPVVAIVAVIENWSIVAIVDKASYRLYLSEDVVSILVDEVAAAAGYHVDENLGDIVIDDDLVADVVVQSMKRIVGAVKWSWSRSRCQCRAWRRCRYAGMGDTNRS >LPERR03G22370.1 pep chromosome:Lperr_V1.4:3:19579341:19580013:1 gene:LPERR03G22370 transcript:LPERR03G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHPNPSTRRVHHGILPPPFAAPSPAPTAGADEPPTINGRAPKQSPHFGFPLQPTFGVAAATPLVAGAGAGAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRRDAANDKVVGRAAATVRVLNFQKSL >LPERR03G22380.1 pep chromosome:Lperr_V1.4:3:19583965:19588034:1 gene:LPERR03G22380 transcript:LPERR03G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLASPISGVEARNHPSAFVGRRSGRIWAPGGQIWPPTGGSAGCVKAARRERGRPTTRGWRQHRRSGNDARRRPMGEWPELAEAAAASSGIWCRRERIWLPRASDGGTTRWSRWCGVRNGVVEAPACGRCGEHMEPGRQRRQCARRPWRAGGTDAVTAARRPIFIPLVGPGLRRLVVGAQGGVEASFLLIYCAMTVLVRRLDGMDALVFSWQPTAWWSCDRWIDGASVCRRRQRGDNDARVIRGDNGSVVRWALRAYGGL >LPERR03G22390.1 pep chromosome:Lperr_V1.4:3:19589090:19593888:1 gene:LPERR03G22390 transcript:LPERR03G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFLLPTSINLRHLLGSSLLPSRKRPRHGATAVNRRVVYAAAATLRDPRPASFTTRLHKADTVNMETFTTDEALELMGFGKFQILVLIYAGMGWAAEAMEIMLLSFVGPLVREEWNVSAENESLLSSVDWLNIFNPVYQWNGFSFTSGMGFLSAFSPNYSCLLALRFLVGVRVGGGHVFTSWFLEFAPAQNRGTWMVIYSFFWTIGTVLEASLAWIVISALSWRWLLALTALPCFLLIPLFGITPESPRYLCVQNRISDAMLVLERIARTNQASLPSGVLTYHPERKGDHNGLTSEKEDLLPVSEKECTCDSAMNSKYGGSIAALLRLLSRKLLRSTLLLWFSFFANSFAYYGLVLLTTQLSDANTSCASGLTNVVQQKDTNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVILFGALAVAMGSFTVLCLYAPEVYPTPVRSTGVGIATAIGRIGGVVCPLVAVAMLRSCHQMEAILVFELILFLAGVACFLFPIETKGREMD >LPERR03G22400.1 pep chromosome:Lperr_V1.4:3:19596670:19603148:-1 gene:LPERR03G22400 transcript:LPERR03G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGTPPAPPPAAGAGAAADATSKDSPVFNFIENLPPIAASKRLDAIPNGQLFKSSDLAQTSSIFTSPQLNSTKGSKISIRNTSAQLSQEGMSPYYHMTPIGTSSHIKLSGPATIASENCSIDRSLSQANNYSPVNASVFPNSFPQHIETSTDTLVSDKRQNTTGKADHAAAQKHAKVSCFDHNGLDNMEQSISGTELYIDENIACNQNYLSTQCGSIIVPTSDLTFQTEALLADTPKTNNVMPRVSLLPITEANLENSRSRLFHGSADCYVNSAVDIAHDYCTSQGKGVAANYVSGIPFYQPQSQLVPDHQFCDTLEVPIDYMAMNHNHLASNTNKMNTHPQNDCSSQATMPTNAGSSGEENPKRKRYCACFAAKVYCSGSCSCRGCFNNHSHEETVLSTRIQIESRNPLAFAPKVTRAFGPGMEFGSGVGCSMSCRCESCKNDFGIRKDTKEIEQVGQKKKAHPKEEQPEMGKHHAFAETSVVLPTISLSTTPSIESIRTLPLPASECFNRLLSSPRTSQLYPPSNTDGWYPSGTYTEMILGNDQSDMQHGDSSCIASFKLLTPNKKRVSPLRTGNGLSPTCRSDKLRKYMPFRSLASDFNSELQ >LPERR03G22410.1 pep chromosome:Lperr_V1.4:3:19609550:19614257:1 gene:LPERR03G22410 transcript:LPERR03G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGKEKLKKSGSLGSSDTYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAEAETAALRTSFKTEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNSSNTGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVKLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >LPERR03G22420.1 pep chromosome:Lperr_V1.4:3:19619342:19620211:-1 gene:LPERR03G22420 transcript:LPERR03G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAPWAELETDCLVEVFRRLELDEVATAVPSLVCRGWRRAAGDPSLYRSLDLRRDHLARFMPWSPLAAAFARLHAVRRFTFSGFLRLCLSRSSSSLSSLSLPPLLSSSDLDLVAASCPSLRRLSLPKLSPADESRLPDLIPMWPRLEHLELESKPASSFPALAAAMAVHCPGLVGIRIASGSIKPEDAAAMAASMRRLRWICLDRCYLPRRELLAILAGCGELREFTARGCVGFDEKDEEVIRRGARIERFDIGGSRLLDEADAVVIDGGYCDGDGEDDSYVDVM >LPERR03G22430.1 pep chromosome:Lperr_V1.4:3:19632002:19637308:1 gene:LPERR03G22430 transcript:LPERR03G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSGDHREGSGAGSTATKSPISKPSPTGLILTEKEDAGIVIGDPDGIPHFCFECGLLYHQGEECQAERSEGGQQWGEWLRAQPVKSRKSNSQSGKPVHTGSSLSSRSGSPDYAFRGHAVIRDLPRKQLFPQKKSVKDRLSGGEYGGENQREEQVLSPVRERRREATEADNVDLNAKICSFRRIPRSDYYEREGDSYPPKGSREQCEHSQDSPINRRESLKRVWRRKDGMDMEEEIDGARNEARFDNIREDPVVVANRTYYQLEEWANLAEDKTNTVQRPATIWQPPQVGWTKVNADGAYCSAKGEGSLGVIIRDDHGQFIAASSQFLSDVADATMAEIYANIRAAQLAAEMGITKAIFETDSMEVLRMVSAREKGHSVYATVIQEFNLKLRVFQEVKVV >LPERR03G22440.1 pep chromosome:Lperr_V1.4:3:19652668:19653292:1 gene:LPERR03G22440 transcript:LPERR03G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPMAAGEPSTVTAHHLFVVVADGVESAIHEGTLHATLGGTVTVTSPGNLTATGVRAVSVRGGGGGIVAFAQCGDASAEGVDAASFARLGEVRLSRCRAARVDWCGGVEVEMCRAVDASRCGAVTGARCRVVNAAGCGSVDVARAVVNILPEGNQTESQQLPVSPSHSASSPSSDSE >LPERR03G22450.1 pep chromosome:Lperr_V1.4:3:19655517:19661799:1 gene:LPERR03G22450 transcript:LPERR03G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSEEQDHG >LPERR03G22450.2 pep chromosome:Lperr_V1.4:3:19655517:19659702:1 gene:LPERR03G22450 transcript:LPERR03G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSGQKGTTKTGAEVQ >LPERR03G22450.3 pep chromosome:Lperr_V1.4:3:19655517:19661143:1 gene:LPERR03G22450 transcript:LPERR03G22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSVKKSKTT >LPERR03G22450.4 pep chromosome:Lperr_V1.4:3:19655517:19659161:1 gene:LPERR03G22450 transcript:LPERR03G22450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSAT >LPERR03G22450.5 pep chromosome:Lperr_V1.4:3:19655517:19660011:1 gene:LPERR03G22450 transcript:LPERR03G22450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSGMGSFSSLYT >LPERR03G22450.6 pep chromosome:Lperr_V1.4:3:19656082:19660011:1 gene:LPERR03G22450 transcript:LPERR03G22450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGDDDSLIELLWCNGHVVMQSQNHRKLPPRPPEKTPPPPPEDDAGLWFPFAFADSLEKDIFSDLFYEGPVAAPAATPATGDGKPMAAGDEDDDDKRGLMPPPKSTHASCSRQQQQQTMSLVADAGGGLSGLVRKRNSALGGGGGGASSSMVSAIGSSICGSNQVQAQVHHHPSAVGSANAVPTVASSSGRSSCRFGVTATAMETTTTEPASGSNRSSRSKRKRVLLLDTTTEDYSESLSDDGGESESVAAAAAGLLARKPPPKLTTAGRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMAPPVMFPGVHQYLPRMGVGIGAAMPRMPFMAAPQTVVPTPPVNPIQLCAPPPPPPQGTSRRRTAANTCQRRRTHAHYLGVNHLQPPPSQGVGYYPLGAKALQQNPAAIHVPTAPAMLPENEPNRGSGMGSFSSLYT >LPERR03G22460.1 pep chromosome:Lperr_V1.4:3:19669641:19674376:-1 gene:LPERR03G22460 transcript:LPERR03G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPKHPPLDSSTRILLAAAAAPSPATSAAAKVFAEPRFPCAGRETYESEVYGSRLLLASPGMQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVLEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTMAPTPSPMPTSSGSSYNAPSTSVPTSRSQNVTVVVENPMTVDEKGKLVSPPKTRLYTTNISSLLN >LPERR03G22460.2 pep chromosome:Lperr_V1.4:3:19669641:19673670:-1 gene:LPERR03G22460 transcript:LPERR03G22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPEKSPLIFNSISSNPDSSHIFYSIGNGSSNMWWLPNIADVYSQCRHLNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTMAPTPSPMPTSSGSSYNAPSTSVPTSRSQNVTVVVENPMTVDEKGKLVSPPKTRLYTTNISSLLN >LPERR03G22460.3 pep chromosome:Lperr_V1.4:3:19669641:19673670:-1 gene:LPERR03G22460 transcript:LPERR03G22460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVLEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTMAPTPSPMPTSSGSSYNAPSTSVPTSRSQNVTVVVENPMTVDEKGKLVSPPKTRLYTTNISSLLN >LPERR03G22470.1 pep chromosome:Lperr_V1.4:3:19681627:19687115:1 gene:LPERR03G22470 transcript:LPERR03G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASRFAPSHLLPRSRRRAPAPRGTTACGAVGRARRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDMRLGQGREKALQYLRESPTVCDEIEKAVRAMIPEGTRHMSLLAFGQSSLTEEEQIRQKTSSGHSDAAKGCQSPLQVVNFSRGFRFHVISWGSSISAGTAFASGKLAQIKKEGAERSRIHLLTLKKLYIPCLVALNPSVTRPNTTFRS >LPERR03G22470.2 pep chromosome:Lperr_V1.4:3:19681627:19686379:1 gene:LPERR03G22470 transcript:LPERR03G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASRFAPSHLLPRSRRRAPAPRGTTACGAVGRARRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDMRLGQGREKALQYLRESPTVCDEIEKAVRAMIPEGTRHMSLLAFGQSSLTEEEQVDDE >LPERR03G22470.3 pep chromosome:Lperr_V1.4:3:19681627:19687077:1 gene:LPERR03G22470 transcript:LPERR03G22470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASRFAPSHLLPRSRRRAPAPRGTTACGAVGRARRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDMRLGQGREKALQYLRESPTVCDEIEKAVRAMIPEGTRHMSLLAFGQSSLTEEEQVDDE >LPERR03G22480.1 pep chromosome:Lperr_V1.4:3:19686859:19690150:-1 gene:LPERR03G22480 transcript:LPERR03G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKVDPKEALRTSKREMAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGASNRRVESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >LPERR03G22480.2 pep chromosome:Lperr_V1.4:3:19686859:19690150:-1 gene:LPERR03G22480 transcript:LPERR03G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKRLSGPARGRWQSLHGAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGASNRRVESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >LPERR03G22490.1 pep chromosome:Lperr_V1.4:3:19698099:19699388:-1 gene:LPERR03G22490 transcript:LPERR03G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPIIAMDADKLSYEIFSLLESKFLFGGGAGCLSSGACTPARPFHEGRVRVLSIDGCGSGAGDALLAAAALARLEAGLRKRTGDPDARVADFFDVAAGAGAGGVLAAMLFVRGADGRPRYTAEEALEFVASNVGKDWDGRRRGGRWSRLFRLGGGARKAFRRVFGDATLKDTVAPLLVPCYDLATSAPFMFSRADAVETDAFDFPLRDVCAATCATFATPVRSLDGRTTLAAASAGVAAMGNPAAAAITHVLHNKQEFPLATTLDDILLLSIASTSSTPTPAWNTPMHATRSPSPRELARVTAEGVAEMVDESVAMAFAHTGGSNYVRIQASTAAKEVMAQRSVEAALFRGRRMSERTNGEKVDDVAGELVKEHERRRRCSAMMLPNVVIKQVATPRMSSATTSSSGSTARSVASTLASPASYGSRQ >LPERR03G22500.1 pep chromosome:Lperr_V1.4:3:19705932:19711053:-1 gene:LPERR03G22500 transcript:LPERR03G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPAAAEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRSEPAGKDHSIQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPAPTKPVQSVMAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDSHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >LPERR03G22510.1 pep chromosome:Lperr_V1.4:3:19713552:19717009:-1 gene:LPERR03G22510 transcript:LPERR03G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPVASPETAAAAVEVAARFRSLVNNEDVGTIKQTQHLILGRLQDSNAVLTHFNEYSEQCFSEVSSDFASKTRLLKSMKADLDHIFLKLRSMKSRLAATYPDAFPDGAMAKSMDQRPDLESPLD >LPERR03G22520.1 pep chromosome:Lperr_V1.4:3:19718058:19718832:-1 gene:LPERR03G22520 transcript:LPERR03G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPPSPSLVVRSPRQTLSLLRNRRPGQLPPTSTSASLAGGPKPSEVYGFVGSITTVIATTVYLVWAYTPEHFLRSLGITYYPSRYWALAVPSFVIVAMALCMVVYMGLNFLATPPPTSFNTIFDEYSRERAFDPANANANATEEDAEEKGEVERPIEPISDISIDQINNLMFGGPQMRAQQS >LPERR03G22530.1 pep chromosome:Lperr_V1.4:3:19722751:19728072:-1 gene:LPERR03G22530 transcript:LPERR03G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGGRQQQQEMRERASPGSGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRLSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWVLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDAPSATRTLDLASTLEVGSGGTTRTSSDTSSSSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTESQSTDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCSEFPKIMQQGFAYLPGGVCVSSMGRPVSYDQAVAWKVLSDDDTPHCLAFMFVNWSFV >LPERR03G22540.1 pep chromosome:Lperr_V1.4:3:19760200:19766239:-1 gene:LPERR03G22540 transcript:LPERR03G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPRKRVSRPKPRSTTRGGGGDEDPFFESEPKRRRGGGRDEDIESEDSDLEGLAAGGVGEDGDDAGEEDEEETAGEKKMRLAKELLKKVTDAARRREEDDEDDDEDEEVGGRRVADLLLKKQLEESGRKRMELASRVLQPDPEDGFKMLVKHRQPVTAVALSKDSDKGFSTSKDGVIVHWDVETGKSEKYLWPSEKVLVSHHAKAPLSAKRSKHVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSSELFSGSYDRKIMQWNAEDRTYMNCLYGHQNEVLTMDAFSKDRVLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSIELWSIMRKKPTYIIRNAHPVLHNNLNSPDNNVVENGINKPESVSSAQSWVSAVATRKGSDLAASGAANGSVRLWAIEPDSKGIRPLFNLRLDGFVNSIAIAKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEEDEDLMMNGLPSQAMGIWMVLLII >LPERR03G22540.2 pep chromosome:Lperr_V1.4:3:19760371:19766239:-1 gene:LPERR03G22540 transcript:LPERR03G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPRKRVSRPKPRSTTRGGGGDEDPFFESEPKRRRGGGRDEDIESEDSDLEGLAAGGVGEDGDDAGEEDEEETAGEKKMRLAKELLKKVTDAARRREEDDEDDDEDEEVGGRRVADLLLKKQLEESGRKRMELASRVLQPDPEDGFKMLVKHRQPVTAVALSKDSDKGFSTSKDGVIVHWDVETGKSEKYLWPSEKVLVSHHAKAPLSAKRSKHVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSSELFSGSYDRKIMQWNAEDRTYMNCLYGHQNEVLTMDAFSKDRVLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSIELWSIMRKKPTYIIRNAHPVLHNNLNSPDNNVVENGINKPESVSSAQSWVSAVATRKGSDLAASGAANGSVRLWAIEPDSKGIRPLFNLRLDGFVNSIAIAKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEEDEDL >LPERR03G22550.1 pep chromosome:Lperr_V1.4:3:19781615:19785412:-1 gene:LPERR03G22550 transcript:LPERR03G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGVRRRGCGCSKADFFPEESFASWEAYGRALRSTGARLVDRLTARSADATELHEVRRRSGADMRRDLTWWDLAWFGVGAVIGAGIFVLTGQEARNAVGPAVVVSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRVHAASLSADYSRLDPIAVAVIAAICLLAVLSTKASSRLNYALSIVHVAVILFIIVAGLTKADSSNLTRDFMPFGPRGIFAASAVLFFAYIGFDAVSTMAEETRNPARDIPIGLVGAMALTTLLYCVLALTLCLMRPYGDIDPDAPFSVAFSDVGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMMPPWLARVDAKTGTPVNATVAMMAATAVIAFFTDLNVLSNLLSISTLFIFMLVAVALLVRRYYVSGETSDGDRNALAACVAAILASSVATASCWGLDVGGGTWVPYAVTVPAWLAATAWLAAFVPRAREPKLWGVPMVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAAEASAAKVEDGDAAKPKPSAPPM >LPERR03G22560.1 pep chromosome:Lperr_V1.4:3:19787880:19792507:-1 gene:LPERR03G22560 transcript:LPERR03G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSHQEECVIRLNDIPGGAMSFELVARFCYGVKIDLSSENVVYLRCASEYLQMTEEIAEDNLIAQSEIFLNQVVIRSWKDSLKALETCEDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASLLCFPMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASSTCIANLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGGASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLLELEKECSNMRQEIQKLGRGKSSGWTSRVPKKFNLKLKSQMCSAQEGSVSEQQKSMSAKLDKLQAKATCVECSGLSSLRWSFPMFECSENEIVYLRMRV >LPERR03G22570.1 pep chromosome:Lperr_V1.4:3:19799733:19800790:1 gene:LPERR03G22570 transcript:LPERR03G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVGEFLRMVTKDQTRRVAGETHQWRCPGGDMLKINVDGAYKAHEQTGGWGYLIRDRGA >LPERR03G22580.1 pep chromosome:Lperr_V1.4:3:19800848:19801183:1 gene:LPERR03G22580 transcript:LPERR03G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLKGVSAATKLGIGHVHMESDSTRQYNLSALGGLLYEIKSIVAASFISFRISFCPRACNEAAHVVVAIGNRCNLNASLSWDDVPPGVEDAIAGDFATSLV >LPERR03G22590.1 pep chromosome:Lperr_V1.4:3:19803422:19810613:-1 gene:LPERR03G22590 transcript:LPERR03G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLVATLRWSLEEEAALSAGIAKHGVGKWQAILEDSEFSSTLWYRSNVNLKDKWRNMNATVITSLGHVKGKVTPKKIQAIPKNAHKRLMNVIMEAIVNLNEPTGSQKIAIANYIEEEYYIPSDFEHILSIIYACNPTLQVNRKYTIAPSSSYWEEQNPKVLKLEDTQRESQNIGSNDVEILTKAQVDAELARMASMTAEEAEAAAARAVEEAEAVMAEAKEAAREAEAAEADAQAAIAFAEATLLEWKNRNVAHAKLDVASCYPKLLQPSIAADAAFPPQTVAISICLTAI >LPERR03G22600.1 pep chromosome:Lperr_V1.4:3:19811583:19817706:1 gene:LPERR03G22600 transcript:LPERR03G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNRMGSDGNFGRGPRELTGAVDLISRYQLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPVDLSSAEKGTPTISGKPKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKDKDKEKEKEKEKEKKKDKSVHHDLGGDRSKKHHEKKRKHEGVEDLASGGHNHKKATSNGNFTKLATSKMIVVSMDNVQQFW >LPERR03G22610.1 pep chromosome:Lperr_V1.4:3:19828197:19829423:1 gene:LPERR03G22610 transcript:LPERR03G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSLLDHHDMDAGDVAWAPIRAAAAPLGPRDFTLVRRVGAGDIGTVYLCRLLDIEGDQSPCEYAMKVVDRRALARKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGTDYSCVVMEFCPGGDLHSLRHRMPGRRFPVSSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRGDGHIMLTDFDLSLESTASPALDEEDDEVVTTPTCIPEVQLFRLMRWRRRAARSPARPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAGVDWWAYGVFLYELLYGRTPFVGATNEATLRNIVRRPLEFPTAGAGGALHVDAAARDLIARLLDKDPRTRLGSRRGAADVKSHSFFKGLNFALLRSSAPPVVSTASSAAISSRPVVPPAAAAATNKGNKAAAAADVPQLFDLF >LPERR03G22620.1 pep chromosome:Lperr_V1.4:3:19834068:19837873:-1 gene:LPERR03G22620 transcript:LPERR03G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGCPDKCGNVSIPYPFGIGDRCAAVGLSPYFNLTCDASRSPPVPMLGNPGAQADVIDISPDRSEPRLCTSPSYVCYDDGASSSGTSPSANATFAFSLVGTPFRVSPSRNRLTVVGCSALGLVVGTASPGLGADGDDGFYATGCYTYCGSLDGTCGQVAISADVPYLGAALRVVNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLGYYNETVEAGGTAEEKVRRKYACVSGNSDGVNSTNFGCQPVLPMAAKVVVGLSPCAILAMTLSSFLVIRLQRRKHKQEKQQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHCHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRWPVSLGLRLNIAAQSAEALAYLHSSVSRAILHGDVKSLNILLDGELDAKASEFGASALKSMDEGGNSSSTFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGTSVKRSLSTALLAALRHGELWSVLDRDLIVVRDDKAATASVVRELAELAARCMGPSGEERPAMKEVAERLQVLRRAETQAAVAGVGRESGNDGKVDHWNMYGGGSVGRGHLDTAASYPSTEADKLTLSIDLARLIYGI >LPERR03G22630.1 pep chromosome:Lperr_V1.4:3:19842719:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALFTGMTHELEHYKENQKLAEWSSREGYLYNYLTMASACSSTYNLPPAGDNASPPSSSVIFLGTGCSAALPDTRCLIRPSATPCPVCSLGISLPPEQNPNYRCNTSLLIDYCDDDGTHKYIIIDVGKTFREQVLRWFVHHKIPWVDSIILTHEHADAVLGLDDVWMVQPKGCSNDVCQVPIFLTQFSMDSVASRFPYLVKHKVDEGDEISQVAHLDWRIIEDDIDKPFVSSGLEFVPLPVMHGVGYVCLGFLFGRKARVAYLSDVSRFLPETEYAISKSGGGQLDLLILEANTLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22630.2 pep chromosome:Lperr_V1.4:3:19842719:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGEPPAGDNASPPSSSVIFLGTGCSAALPDTRCLIRPSATPCPVCSLGISLPPEQNPNYRCNTSLLIDYCDDDGTHKYIIIDVGKTFREQVLRWFVHHKIPWVDSIILTHEHADAVLGLDDVWMVQPKGCSNDVCQVPIFLTQFSMDSVASRFPYLVKHKVDEGDEISQVAHLDWRIIEDDIDKPFVSSGLEFVPLPVMHGVGYVCLGFLFGRKARVAYLSDVSRFLPETEYAISKSGGGQLDLLILEANTLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22630.3 pep chromosome:Lperr_V1.4:3:19842719:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALFTGMTHELEHYKENQKLAEWSSRCNTSLLIDYCDDDGTHKYIIIDVGKTFREQVLRWFVHHKIPWVDSIILTHEHADAVLGLDDVWMVQPKGCSNDVCQVPIFLTQFSMDSVASRFPYLVKHKVDEGDEISQVAHLDWRIIEDDIDKPFVSSGLEFVPLPVMHGVGYVCLGFLFGRKARVAYLSDVSRFLPETEYAISKSGGGQLDLLILEANTLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22630.4 pep chromosome:Lperr_V1.4:3:19842719:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGEPPAGDNASPPSSSVIFLGTGCSAALPDTRCLIRPSATPCPVCSLGISLPPEQNPNYRCNTSLLIDYCDDDGTHKYIIIDVGKTFREQVLRWFVHHKIPWVDSVLTTFGWFNQRVAAMMSANVASRFPYLVKHKVDEGDEISQVAHLDWRIIEDDIDKPFVSSGLEFVPLPVMHGVGYVCLGFLFGRKARVAYLSDVSRFLPETEYAISKSGGGQLDLLILEANTLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22630.5 pep chromosome:Lperr_V1.4:3:19847164:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALFTGMTHELEHYKENQKLAEWSSREGYLYNYLTMASACSSTYNLE >LPERR03G22630.6 pep chromosome:Lperr_V1.4:3:19842719:19846918:-1 gene:LPERR03G22630 transcript:LPERR03G22630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDNASPPSSSVIFLGTGCSAALPDTRCLIRPSATPCPVCSLGISLPPEQNPNYRCNTSLLIDYCDDDGTHKYIIIDVGKTFREQVLRWFVHHKIPWVDSIILTHEHADAVLGLDDVWMVQPKGCSNDVCQVPIFLTQFSMDSVASRFPYLVKHKVDEGDEISQVAHLDWRIIEDDIDKPFVSSGLEFVPLPVMHGVGYVCLGFLFGRKARVAYLSDVSRFLPETEYAISKSGGGQLDLLILEANTLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22630.7 pep chromosome:Lperr_V1.4:3:19842719:19850581:-1 gene:LPERR03G22630 transcript:LPERR03G22630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAPLPSSSVIFLGTGCSTVVPDTRCLVTPSAPPCPVCSQSLSLPPDQNPNYRCNNSLLIDYCNDDGAHKYIIIDVGKTFREQVLRWFVHRKIPWINSIILTHDHADAILGLDDIWIIQPSDYKSDFGKFPVYLTQFTMGRIIEGDTDKPFVSSGLEFVPLPVRAIVASYLGGKQKLHIYPTSRDFYLKLSMLMPSFLAAISKSGAGQLDLLILEANSLHGETLDAVKRISPKRALLIGMRHEFEHYTENKNLAKWSSREGIPVELAHDGLRVFIDL >LPERR03G22640.1 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSMTLLTRFRTMQIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVRATVVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHVLLDALIMQSSPAAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.2 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVRATVVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHVLLDALIMQSSPAAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.3 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSMTLLTRFRTMQIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVRATVVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.4 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHVLLDALIMQSSPAAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.5 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVRATVVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.6 pep chromosome:Lperr_V1.4:3:19854081:19879695:1 gene:LPERR03G22640 transcript:LPERR03G22640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAAASSSSLIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLQPERNPNYRCNTSLLIDYCQDDGTHKYILIDVGKTFREQVLRWFIYHKIPYVDSIILTHEHADAVLGLADVWLVQPSNEFGQVPIFLTQFTMDSVAARFPYLMKNKLEEDDEASQVPQFNWKIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKERIAYLSDVSRILPRTEHAISKSGGQLDLLILETNMLHGEGDAGSCHLTLSQTLNAVKRISPRKALLIGMNHEFEHQKENQTLAEWSSRTPATTMQEAQSPRFFLLVVFLATATTSSSSSVSPAAAGRALSLPGCPDKCGNVSIPYPFGIGDRCAAVGLNPYFNLTCDGSRSPPVPKVGDPGMQAVILDIELDRGELRLNGYVNYVCYSSNTTTSLTAPPGGLGVRDTELRLSPSRNQLTVIGCNALGLAGGTHMDRLGIVDDYATGCYTHCAGVNSTDPDGAPCAGAGCCQLPISPDLASVVTGFPPNWTNTAWEFNPCLYAVIAEVGWYSFRRRHLAGVLGFFNETRRTRIPIVLDWAVRDGSYCPVTPEEKVRRMYACKSANSYCVNSTNGIGYSYVDECALRRQGRQYEDMHPCKHGICINTPGSYHCKCKAGTKLDGTNFGCQQVLPVAAKVIIGLSACSIVVMALSCLLVIQLQRRKHITEKQEYFRRNGGLRLYDEMMSRQVDTVRVLTLDEIKKATDNFSDDRVLGRGGHGTVYHGTLDDLRQVAIKRSKAAAIDDGDDDGGCIKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGRNGAGVRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDVLDAKVADFGASALRSMDEGEFVEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELIARKKAVYDDGVSGEKRSLSSTFLAVVSARGELWRVVDREIMDGISDDAATASVVRELAELAARCMGPSGEERPAMKEVAERLEVLRRLEMQVDVRRKSNGGEEVDAGFYGGGGVGQHGHLDMTTSSYYQSMETDKLQLDDLDLQTVTMQEAWFGFLLLLLISTATSSTSAVSPTAGRVVTLPGCPDKCGNVTIPYPFGVGDRCAAVGLNPYFNLTCNGSRSPPVPMWGDPGLQVEVIDISLDRGELRLYALPSYVCYASANNLSTNQTFFLSLEGSPFRVSSSRNRLTVIGCSTLGMAAGTGGAARGDDDVYATGCYTYCGSLNVTGGDGAPCAGTGCCQVAISADVPYLGAVVQVDNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLEGVLRYYNETVEAGGVPVVIDWAVRDGWCPATEEKYACVSANSHCVNSTNGIGYTCHCSQGYQGNPYLNDGCQDINECALREQDPKYEEMYPCRHGMCVNTPGSYRCKCKAGRKKDGTNFGCQPVLPMAAKVVVGLSACAILAMTVSSFLVIRLERRKHKQEKLQYFKQNGGLKLFEEMVSRQVDTVRVLTEDELKKATNNFKDDQVIGCGGHGTVYRGTLDDHRQVAIKKSKAAAIDVDGDDGSGCKDEFINEIIVLSQINHRHIVRLLGCCLEVHVPMLVYEFVPNGTLFNLLHGGNGAGVRRPVSLGLRLKIAAQSAEALSYLHSSASTAILHGDVKSLNILLDGELDAKVADFGASALKSMDEGEFIEYVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLAELATRKKAVYDDGNGVKRSLSTVFPAALRHGELWSVLDRDLLVGAAAADEDDKATAAGVVRELAELAARCMGPSGEERPSMKEVAERLQVLRRAEMQATVAGAGRGSSSDGQVDQWNMYGGESGGRGHLDTTASYQSTEVDRLTLSVDLAR >LPERR03G22640.7 pep chromosome:Lperr_V1.4:3:19854081:19861741:1 gene:LPERR03G22640 transcript:LPERR03G22640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGGAGVALALARRGMCSAPAPAAERAAAALSSEDLMRMESERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALTEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKNIPKNEALIVSCCGCFHGRTIGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGDRICGFLFEPIQGEAGVVLPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKIVKDEGLVERAAKLGQEFRDQLQKVQKRFPQIIREVRGRGLLNAVDLSNEALSPASAYDFCIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQMQKQIKKTESAAEKHVCDRCGRDLYG >LPERR03G22640.8 pep chromosome:Lperr_V1.4:3:19854081:19861741:1 gene:LPERR03G22640 transcript:LPERR03G22640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGGAGVALALARRGMCSAPAPAAERAAAALSSEDLMRMESERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALTEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKNIPKNEALIVSCCGCFHGRTIGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGDRICGFLFEPIQGEAGVVLPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKIVKDEGLVERAAKLGQEFRDQLQKVQKRFPQIIREVRGRGLLNAVDLSNEALSPASAYDFCIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQMQKQIKKTESAAEKHVCDRCGRDLYG >LPERR03G22650.1 pep chromosome:Lperr_V1.4:3:19880193:19883861:-1 gene:LPERR03G22650 transcript:LPERR03G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDVCVVIGLGGTERWTTDPLRLLHPGLTDGERRRVILFHDDNLTAMFWELQFFTNTKGGSGREIKQSHINKKY >LPERR03G22660.1 pep chromosome:Lperr_V1.4:3:19893561:19894241:-1 gene:LPERR03G22660 transcript:LPERR03G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKKQPSVVLLNCSASMFGCRVRIALARKGIAYEEKPENLGAKSPLLLSSNPVHGKIPVLIVDGKPICESLVILEFIDEAFPCEPSLLPSDPFARAHDRFWASFVDSKIAPPAMKVWASPAPAVEAARGELVAAMRTLEAELGEKRYFGGDAVGFVDVALVPFTAWFATYERFGGFSVAEECPTLAAWAARCRDENECVAASLPETEFVYQFACGMRKHFGLDG >LPERR03G22670.1 pep chromosome:Lperr_V1.4:3:19909673:19918114:1 gene:LPERR03G22670 transcript:LPERR03G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAPSGGEKGGGVDPSLPRFKCQECNRALVVVGVEAFTDRLPAHAVSGMNASSVQGSVMGASRMDNSYVVLSKQNRSHGHGIPPRPPSASAVSRTEPNQQTRPMEGSYIVLPPAAASIYKTPTSEGGGAHLSPTSMNSSSPSPGNNFHASVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMDKEIEDVNADIKAYDACLQRLEQETYSILSEADFQKEKQKIEEEEKKLKAAIEEAEKQYSEICSEMKSLELKSKQFEELEERYCHDLNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKYRIKIHPMGSYPKVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKQNNVPPEKSLKLPYKIESDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLNVAESSKG >LPERR03G22670.2 pep chromosome:Lperr_V1.4:3:19909673:19916563:1 gene:LPERR03G22670 transcript:LPERR03G22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAPSGGEKGGGVDPSLPRFKCQECNRALVVVGVEAFTDRLPAHAVSGMNASSVQGSVMGASRMDNSYVVLSKQNRSHGHGIPPRPPSASAVSRTEPNQQTRPMEGSYIVLPPAAASIYKTPTSEGGGAHLSPTSMNSSSPSPGNNFHASVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMDKEIEDVNADIKAYDACLQRLEQETYSILSEADFQKEKQKIEEEEKKLKAAIEEAEKQYSEICSEMKSLELKSKQFEELEERYCHDLNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKYRIKIHPMGSYPKVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKQNNVPPEKSLKLPYKIESDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLNLYRSNSFFAIRELI >LPERR03G22670.3 pep chromosome:Lperr_V1.4:3:19909673:19915740:1 gene:LPERR03G22670 transcript:LPERR03G22670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAPSGGEKGGGVDPSLPRFKCQECNRALVVVGVEAFTDRLPAHAVSGMNASSVQGSVMGASRMDNSYVVLSKQNRSHGHGIPPRPPSASAVSRTEPNQQTRPMEGSYIVLPPAAASIYKTPTSEGGGAHLSPTSMNSSSPSPGNNFHASVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMDKEIEDVNADIKAYDACLQRLEQETYSILSEADFQKEKQKIEEEEKKLKAAIEEAEKQYSEICSEMKSLELKSKQFEELEERYCHDLNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKYRIKIHPMGSYPKVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKQNNVPPEKSLKLPYKIESDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLNVAESSKG >LPERR03G22670.4 pep chromosome:Lperr_V1.4:3:19909673:19918225:1 gene:LPERR03G22670 transcript:LPERR03G22670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAPSGGEKGGGVDPSLPRFKCQECNRALVVVGVEAFTDRLPAHAVSGMNASSVQGSVMGASRMDNSYVVLSKQNRSHGHGIPPRPPSASAVSRTEPNQQTRPMEGSYIVLPPAAASIYKTPTSEGGGAHLSPTSMNSSSPSPGNNFHASVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMDKEIEDVNADIKAYDACLQRLEQETYSILSEADFQKEKQKIEEEEKKLKAAIEEAEKQYSEICSEMKSLELKSKQFEELEERYCHDLNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKYRIKIHPMGSYPKVTDINNNTYELYPFIIAVHDTTLLSVISSNTFLASQTSSNLAYAVIRLLATNVPRPSSDRTATACTRRTPSALPQSRSQDARASASGRWDGADESPPSRCSTDS >LPERR03G22680.1 pep chromosome:Lperr_V1.4:3:19916560:19919113:-1 gene:LPERR03G22680 transcript:LPERR03G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDSLSPSIRGVGERGSSKSFPAKNRSLRARSHLAEGGGYESVEQREGGDSSAPSHLPDAEALASWLRDCGSADGVRRVHAVAVRSLDGLGTFVANNLITAYARFDEVWDARKVFDEITERSVVSWTAMMNGYLKLGHYGEVVRLFFDMVGSGVQGNSLTFVCLLRSCGERCDAKLGQQVHCCVVKGGWSNVIVDSAVAHFYAQCGDVASASVIFDRMVSRDVISWTTMITAYVQHGHGDQALRMFSEMVSEGFRPNEFTVCSVLKACAEEKAVRFGKQLHCAVVKKMYKNDIHVGSALVTMYARCGEVFDAQTVFDMMPRRNTITWTSMISGYAQSGHGEKAILLFRKMKMRRVFVNNLTIVGLLSACGSLQSLYLGKELHAQIIKNSMEDNLQIGSTLVWFYCKCGEYTYSARILEAMPDRDAISWTALISGYNNLGHNVEALKSLDDMLWDGVKPNTYTYSSALKACAKLEALQYGRKIHGFVNKTQDFSNVFVGSSLIDMYMRCGKVHEARRVFDAMPEHNLVTWKVIVTGFAQNGLCEEALKYMYLMQQEGHEVDDFVLSTVLTSCGDLQWKSIPFSDSVAGTVSARH >LPERR03G22690.1 pep chromosome:Lperr_V1.4:3:19920830:19924923:-1 gene:LPERR03G22690 transcript:LPERR03G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQHDEEDQRAALFHSSVPSSSSAGHGEGEKETAPLLSYKMADDKADDSIQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKAIYVLFRDDGILKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTLFSLIYIVIAFVLSLKDGITAPAKDYTIPGTHSDKIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVNNMEKALWFQFTIGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFALHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKMPIFQKCWHWLNVVGFSLLSAAAAVAALRLITVDSSTYHLFADL >LPERR03G22700.1 pep chromosome:Lperr_V1.4:3:19929283:19930086:-1 gene:LPERR03G22700 transcript:LPERR03G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKKRMWQAAAQQKKKVASALVLSLLPILYAYASLLRLPPAALARDTTFWFLLSNSIIAIIAATTTASSSSTHHDELMSSTILATAPVLAVDPLPAATPVEATIGRDDDAPSPCSDDQPPVVASDDDVTTDGERPPDRQPQPREAAADAETTATTTTNNNHEVAAKGGYEAAAVASETTTNKSLAEEEKNLAVVSPSDEEEEELAIVKDDDAMIVAEEDEGAIVPWGTSSKQYWQMTDDELNRRVEEFITRFNREMRLQVLQEVGV >LPERR03G22710.1 pep chromosome:Lperr_V1.4:3:19939235:19942959:1 gene:LPERR03G22710 transcript:LPERR03G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGHCVGSACHGSGSAARGTVRVAPDGGGGRRLVERTAVAVAVKVDSPRMSGSSESHRVQHMASRFQLLSFIAITVFASVLQPCTSSELRRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCMEELVDGQCMNEAAHFDMSGTAFGAMAKDGQAEQLRGAGLLQIQYTRVECDWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMQINAGASASWIPMQQSWGAVWRLNSGSALEGPFSVRLTFSSGQMFVASNAIPAGWNPGVAYRPGGVAVGSRRRSGGHRGYESVGMLGSLCHLMLLMLLMVFQL >LPERR03G22710.2 pep chromosome:Lperr_V1.4:3:19939235:19942959:1 gene:LPERR03G22710 transcript:LPERR03G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGHCVGSACHGSGSAARGTVRVAPDGGGGRRLVERTAVAVAVKVDSPRMSGSSESHRFQLLSFIAITVFASVLQPCTSSELRRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCMEELVDGQCMNEAAHFDMSGTAFGAMAKDGQAEQLRGAGLLQIQYTRVECDWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMQINAGASASWIPMQQSWGAVWRLNSGSALEGPFSVRLTFSSGQMFVASNAIPAGWNPGVAYRPGGVAVGSRRRSGGHRGYESVGMLGSLCHLMLLMLLMVFQL >LPERR03G22720.1 pep chromosome:Lperr_V1.4:3:19944569:19948401:1 gene:LPERR03G22720 transcript:LPERR03G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHATAAPVAAAAAGSRSVSAARSVRVAGSGAARAGGRMVARAATKADSPASAASSKSDGHEVLLFEALREALIEEMKVDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVIEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >LPERR03G22730.1 pep chromosome:Lperr_V1.4:3:19948339:19954053:-1 gene:LPERR03G22730 transcript:LPERR03G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFVTPDVSSEPPAPAVPAAAAAAAQPAASSAGSPPASDLSPGSLSPVMIPPPRHADHLAPGSPSPAASASELGDDESWSRAPSAAELEANKGDLAEIRNDNVSASIPQKQKTSKAERRAIQEAQRAAKAAAKETGLSGKSAGTVSGANPAMSKQAKSSKASQKKDVPQAASTAASEKKVTERPPERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFKEAIKDYSTPPKKNLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKSSLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDIILKVPGKADLGHLKNMADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >LPERR03G22730.2 pep chromosome:Lperr_V1.4:3:19949316:19954053:-1 gene:LPERR03G22730 transcript:LPERR03G22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFVTPDVSSEPPAPAVPAAAAAAAQPAASSAGSPPASDLSPGSLSPVMIPPPRHADHLAPGSPSPAASASELGDDESWSRAPSAAELEANKGDLAEIRNDNVSASIPQKQKTSKAERRAIQEAQRAAKAAAKETGLSGKSAGTVSGANPAMSKQAKSSKASQKKDVPQAASTAASEKKVTERPPERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFKEAIKDYSTPPKKNLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKSSLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDIILKVPGKADLGHLKNMADNENLQLLNLTYDATPSDYVSMIITDYGMVKYLAPLIAVFYLSYLGIFI >LPERR03G22740.1 pep chromosome:Lperr_V1.4:3:19982740:19984563:1 gene:LPERR03G22740 transcript:LPERR03G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPGYAHIQRQHGRCSKAGRRGAAANSVRFSARAVSSVPHAAAASPAFLPVPFVPGADAPSPSGKTAIGVPKTKQRKEEQRSLNFFQRAAAMALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGETPPARSLPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHAVSIRNGAAESYACRFTETARLRQERAMGRPMFPKAIGELHGHSGIARLALFYARAACGLVDPSRGTGVANAGLVYFNGRLLAMSEDDLPYQVRVGADDGDLETVGRYDFDGQLDCAMIAHPKLDPVTGELHALSYDVIKKPYLKYFYFSPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHASDASEMVWVDVPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNESDQHLESVLTEIRLNTRTGESTRRAILPPSRQVNLEVGMVNRNMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGKFGGEPCFVPMDAAAATPRGEDDGYILSFVHDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFITAGELSSQA >LPERR03G22750.1 pep chromosome:Lperr_V1.4:3:20006519:20011362:1 gene:LPERR03G22750 transcript:LPERR03G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLPSCTRLAPPCPGLGNEAIKAASGRALARTVGSSRFRCCAGAGPRSASSFQKKESFLELHPEVTLLRGERGDEVVGPRKGGSSNGSPLEGLGVPPEQGGYEGARIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVHPQNRLQIGQELTRGLGAGGNPDIGMNAAKESVESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMENAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGADMTLFEVNSAAEIIYDLVDPNANLIFGAVIDPSLNGQVSITLIATGFKRQDEPEGRTSKGGQQLQGDNGRRPSSAEGSMVEIPEFLRRRGPSRFPRV >LPERR03G22760.1 pep chromosome:Lperr_V1.4:3:20012393:20013521:-1 gene:LPERR03G22760 transcript:LPERR03G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGWMAQLGMPARMCTTHLLAAALAPDGIGRHIVSNNRWKHNWLSRPSVHMIQHGEARHKVHIWVPVYCLVRLNVLDDDGLTGLHIKSFLVVLRMTVS >LPERR03G22780.1 pep chromosome:Lperr_V1.4:3:20019824:20021110:-1 gene:LPERR03G22780 transcript:LPERR03G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLPRLLPPRRRRPAPELHVPTRGLLEARVPWVRDRALDHVVERERHLVPFLLAKDALFSASPAPPHAVPIHSLPSEIPFPFRPLRFLRLYPSAFALSPHPVAVSPTPRLESLHAAEAQALDATRADAADRLLRFLMLAPSRALPLRLVARLRLDLGLAPDFPRSLLPDYPDYFALSPDGALLELVCYRKDLAVSATQSYAQRTGGYKVGDALAFPLSFPRGFELDKKVRKWLDDWQKLPYISPYEDGSHLTPRSDITEKRTVAVLHEALSLTVGKKMEKEVLVKLGEALWLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFQRHMLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKDRKTTRGDQMIGEEFGAEGENDEDEEEFDDDDEEEEGEEENMEAGVASGDEDSDDEDANDTDHAAKG >LPERR03G22790.1 pep chromosome:Lperr_V1.4:3:20024035:20027355:1 gene:LPERR03G22790 transcript:LPERR03G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGAGGVAGERGAKAAPRSAFHIGYGGGTSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFLVSCIVSIAVDPVFFYVPQVTANGGNLCVGIGRDLAISASAVRTFVDLFFAARIALQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLMSILPLPQIVIWKFLHRSKGAAVLSTKDALLFIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYLLASHIVGAFWYLLSIERVSDCWKRACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVKSEVIKSDDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEARVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVGNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPTLYTERTYIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGVSLPSSTRTVRALSEVEAFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYVQAAWRRHLKRRAAEARRREEEEEEARMSASASAAGLRTTVLVSRFAANAMRGVHRQRSRRAGELLMPVPKPSEPDFGVDD >LPERR03G22800.1 pep chromosome:Lperr_V1.4:3:20028977:20030622:-1 gene:LPERR03G22800 transcript:LPERR03G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAATADAVVALFSLIVAVAAPLFDSQIVLPGRLFAALLVDIFRWFVAEFGHYLVADPPPFFRGLVWLSLAFLWVCVANLYGILARRPWSAATSLMAGGFMLTYLSVIFGEMVGSGRLTLKLIQLYVPLALFAITAILRGFSTCSARGTAVSSHAPTALDLDLWNTIEVVQPYYDYEVAMLNPKAGGVTWAMVPLSIGSFLRRPTSPHQDWPLSVCSYVADAQPPLGDLRCLDHI >LPERR03G22810.1 pep chromosome:Lperr_V1.4:3:20032588:20041398:1 gene:LPERR03G22810 transcript:LPERR03G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMEMGGGHHHGGGYGADEYGGGGGEEMEDDEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGSIESMLIHLLCRYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLVNARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTNDIKTGKDQKKR >LPERR03G22810.2 pep chromosome:Lperr_V1.4:3:20032588:20041398:1 gene:LPERR03G22810 transcript:LPERR03G22810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMEMGGGHHHGGGYGADEYGGGGGEEMEDDEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGSIESMLIHLLCRYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLVNARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTNDIKTGKDQKKR >LPERR03G22820.1 pep chromosome:Lperr_V1.4:3:20042410:20050721:-1 gene:LPERR03G22820 transcript:LPERR03G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAASGAQGGGGLTTSETSSSAPSTPGTPTVAPAPAAAGATGPRPAPGYTVVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPTSAGSAGIRLAGATADVHCYDVLSNKWSRLTPIGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAVTNIQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVNGEMEQLPDKEESPDSASTSKHSSLIKPDTALSNNMTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMALLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQSKVSCVCEMVARSYAKKENI >LPERR03G22820.2 pep chromosome:Lperr_V1.4:3:20042558:20050721:-1 gene:LPERR03G22820 transcript:LPERR03G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAASGAQGGGGLTTSETSSSAPSTPGTPTVAPAPAAAGATGPRPAPGYTVVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPTSAGSAGIRLAGATADVHCYDVLSNKWSRLTPIGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAVTNIQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVNGEMEQLPDKEESPDSASTSKHSSLIKPDTALSNNMTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMALLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAASNDRGSLAWI >LPERR03G22830.1 pep chromosome:Lperr_V1.4:3:20058083:20058385:1 gene:LPERR03G22830 transcript:LPERR03G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGCKEEFEGWEKCVQEAEEAGGDVAESCFQVTATLHKCMEAHFDYYKPILMAERAMLADLEAEKASAVEEGAADAGGKKAAEEDAAAGVPEKEDAAA >LPERR03G22840.1 pep chromosome:Lperr_V1.4:3:20059606:20068802:-1 gene:LPERR03G22840 transcript:LPERR03G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAMLSFKQSHERFGHGGAGHQQQHQPWWAGSQLLYGEAPPEEALRGDGGQFQVVPGGRAALDPAAAPPPEPEKTAVPAMPKRGEVLKFSVFSGNLEPGDTGEKNREHSATIAMQSPLPEYNGRFELGLGQSMVSPNYPCIDQCYGLVTTYAMKSMSGGRMLLPVNAAADAPIYVNAKQYEGILRRRRARAKAQSENRLVKGRKGSPSPHRSSRPFNWRRRRDCSSSLLPLRPCLLVAMAGGGGGGGGRKGQGEGLGRALVRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEADLLLADGDDDAHETLSAAPGSGLIDLDGAVETEERRWMREEQEALHAGSLKVPRRPPWSPQMTVEELDANERRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMEDWNTPDLDTKIYGRVELLVRLQGEAEYIVNQKGALRAAENHESSPPDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRHVLEQIYKITLPKPKAYEQSSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDTETDPEETTDLEGSSTEVAATTDHCASDEQDEEISQADPNISHVLSDLESFDLGSGVSKNSTKKKEPSYKHHKKPQRKKDRSWRVGNDDADGSGVVRVFQKPAVNFATVTG >LPERR03G22840.2 pep chromosome:Lperr_V1.4:3:20059604:20065049:-1 gene:LPERR03G22840 transcript:LPERR03G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPNATHKTHRICSSRARPPRTAARGPSIGAAAEIALPLCYHSVRGGGGGGGRKGQGEGLGRALVRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEADLLLADGDDDAHETLSAAPGSGLIDLDGAVETEERRWMREEQEALHAGSLKVPRRPPWSPQMTVEELDANERRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMEDWNTPDLDTKIYGRVELLDEEISQADPNISHVLSDLESFDLGSGVSKNSTKKKEPSYKHHKKPQRKKDRSWRVGNDDADGSGVVRVFQKPAVNFATVTG >LPERR03G22840.3 pep chromosome:Lperr_V1.4:3:20059606:20065049:-1 gene:LPERR03G22840 transcript:LPERR03G22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPNATHKTHRICSSRARPPRTAARGPSIGAAAEIALPLCYHSVRGGGGGGGRKGQGEGLGRALVRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEADLLLADGDDDAHETLSAAPGSGLIDLDGAVETEERRWMREEQEALHAGSLKVPRRPPWSPQMTVEELDANERRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMEDWNTPDLDTKIYGRVELLVRLQGEAEYIVNQKGALRAAENHESSPPDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRHVLEQIYKITLPKPKAYEQSSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDTETDPEETTDLEGSSTEVAATTDHCASDEQDEEISQADPNISHVLSDLESFDLGSGVSKNSTKKKEPSYKHHKKPQRKKDRSWRVGNDDADGSGVVRVFQKPAVNFATVTG >LPERR03G22840.4 pep chromosome:Lperr_V1.4:3:20065247:20068802:-1 gene:LPERR03G22840 transcript:LPERR03G22840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAMLSFKQSHERFGHGGAGHQQQHQPWWAGSQLLYGEAPPEEALRGDGGQFQVVPGGRAALDPAAAPPPEPEKTAVPAMPKRGEVLKFSVFSGNLEPGDTGEKNREHSATIAMQSPLPEYNGRFELGLGQSMVSPNYPCIDQCYGLVTTYAMKSMSGGRMLLPVNAAADAPIYVNAKQYEGILRRRRARAKAQSENRLVKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEEGNKPAPATAARCGSLSGSDVSSPGAIYDHNAAADAVDDAADHYSSCIDHHLRTPFFTPLPIIMDGGGGDHHASHAHAAAAAAHFRWATAAGDGCCELLKA >LPERR03G22850.1 pep chromosome:Lperr_V1.4:3:20081493:20084958:-1 gene:LPERR03G22850 transcript:LPERR03G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAPSHSPLLLVVAVAVAAVALAALPLAAAGDGNGVYDPCSDARIQRGDGFSFGIAFASAAAFYSGSVQLSPCDRRLGLPSSGQLAVFRPKVDEISLLTINTTTGFNPASAGGYMVAFAGRKYAARSIPTFVSNSSFTVSSFTLVLEFNKGRLQNLHWKKDGCGACSKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >LPERR03G22860.1 pep chromosome:Lperr_V1.4:3:20088690:20089766:-1 gene:LPERR03G22860 transcript:LPERR03G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAATTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESEDLALFRRAILSSSSASATPTPSYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHSHLFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGRHRSFIEIMDNASTLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRKHAIMVVRDMKLWRKFKIPCLMKRRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDQVEGHPHTYRPGEVSASLIRELRKSNGTYSYMFARKFAPECLEPLMEIADSVILRD >LPERR03G22870.1 pep chromosome:Lperr_V1.4:3:20094386:20100988:-1 gene:LPERR03G22870 transcript:LPERR03G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPRLGWQARPSSPRPRLLARTAAAGGHGVRRLASSFRRPLGPISGSRLEAFTHLWFKSLVGIRKQEKAQNAEKQEKSQNAESSITRTPAAQLLHKRKHSLDTGPATIVEELAVQNEPLTDDRSTQTVSNSISSDSKLLGVHVSQPEELKAKEDLAATVIQSAFRAFLARRALRALKGIVMLQALVRGHIIRKQTAETLQCMQALVRAQARVRARQVCVSVESQVARKKVPEQDDHDNHVREIEGGWCGGIGSVEEVQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTLQGLELDNNHWGSNWLERWMAVRPWENRLLDSNTKEAVSVCDDKQDMETKSQVTPKGKVQVSSTLSNGSNKKKGVGHKKSYSDVTCTTFGRSANIPSTSLGSCKQKPKLSDECFEEVSSHPTDLASLSMRNPKERTAQANTPVKKRLSLPTNVSGGAAKGATNSNSISKSTSTKSDPKQRENASNQVRKQIELQA >LPERR03G22880.1 pep chromosome:Lperr_V1.4:3:20101644:20105350:1 gene:LPERR03G22880 transcript:LPERR03G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGRSHADPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGVLYLQPDTVTGDIVFVLQQKEHSKFVRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGRLYIHFTVDFPDSLGPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVHNIDDEMRRKAAQAAQEAYEEDDDEMPGGAQRVQCAQQ >LPERR03G22880.2 pep chromosome:Lperr_V1.4:3:20101670:20105350:1 gene:LPERR03G22880 transcript:LPERR03G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGRSHADPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGVLYLQPDTVTGDIVFVLQQKEHSKFVRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGRLYIHFTVDFPDSLGPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVHNIDDEMRRKAAQAAQEAYEEDDDEMPGGAQRVQCAQQ >LPERR03G22890.1 pep chromosome:Lperr_V1.4:3:20107172:20107696:1 gene:LPERR03G22890 transcript:LPERR03G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDFGGMDDEAAAHIEIVALVVLGAIVVAVAAVLSGACRRGGDALSRATRSVRVVDDDDDDVERALGEATRMTYEQAAAAAKKKRAAAAGEEEEDTCAICWSEYDAGAGEATVRVVRCGHFYHADCVDRWLKDNRHCPLCRGGLSSPLPSLPNPACPPLPPRTNTRLAAVVY >LPERR03G22900.1 pep chromosome:Lperr_V1.4:3:20112830:20114344:-1 gene:LPERR03G22900 transcript:LPERR03G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILCTLQSFPNAQPGLLLPSPPSPSPPACRPEEETPGAERPEPVVVVSDGGDDDKENVSPEVAPRKAKKMRVSLGGGGAEASCCYRRPDPGTATLFDPDLLAAFRGAVDAYARALEEAQRRDDALLEECEEEDALAGLERRCPPGGEHAVVLYTTSLRGVRKTFEDCATVRRLLEGLRVAFLERDEFRMGGNSLIVYMWRDTLTKVS >LPERR03G22910.1 pep chromosome:Lperr_V1.4:3:20115716:20126540:1 gene:LPERR03G22910 transcript:LPERR03G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLSTSPRPNLFEMRPMTSSPLLSPSSSSSSRLLLRLLLSRRRRSPTPPSPPLRRCLPLLVAAMSSSSAASTRNPGSVVADADGLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLKQGNEEFDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKAHALLIKGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKVVSNRMVFNEEGCLVAFKAPVHDSLGDPNGATDDSSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIDTSLKNYSEAFDIVYLIPSDFIPAVCSIPLDRRPPPAPPTHASRPPRPCTQHPNPIRAPFTPLLTSPPALYLYLYPRTAAQSFAVGCYWRERRRRLLLAQPAGTAIRATGPATTAVKDLGAGFGSAGLGRRRRGAWWAASGGVLLLLHKDFAVDAATGSGKTLSIISVASRLSSSRSSPRDGRLLPQPAAAVPYLWPTPIPASLRRPVSYHRTTPIPASAALSGQTNDILANKEVISIHQDPLGIQEKKVRMEGSHEVMPYSRLQDCTLKLRLFEAALDYRMVPDPATCLPATCLQALLMHIGLKVAPSYRF >LPERR03G22910.2 pep chromosome:Lperr_V1.4:3:20115716:20126529:1 gene:LPERR03G22910 transcript:LPERR03G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLSTSPRPNLFEMRPMTSSPLLSPSSSSSSRLLLRLLLSRRRRSPTPPSPPLRRCLPLLVAAMSSSSAASTRNPGSVVADADGLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLKQGNEEFDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKAHALLIKGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKVVSNRMVFNEEGCLVAFKAPVHDSLGDPNGATDDSSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIDTSLKNYSEAFDIVYLIPSDFIPAVCSIPLDRRPPPAPPTHASRPPRPCTQHPNPIRAPFTPLLTSPPALYLYLYPRTAAQSFAVGCYWRERRRRLLLAQPAGTAIRATGPATTAVKDLGAGFGSAGLGRRRRGAWWAASGGVLLLLHKDFAVDAATGSGKTLSIISVASRLSSSRSSPRDGRLLPQPAAAVPYLWPTPIPASLRRPVSYHRTTPIPASAALSGQTNDILANKEVISIHQDPLGIQEKKVRMEGSHEVMPYSRLQDCTLKLRLFEAALDYRMVPDPATCLPATCLQALLMHIGLKVAPSYRF >LPERR03G22910.3 pep chromosome:Lperr_V1.4:3:20115716:20126540:1 gene:LPERR03G22910 transcript:LPERR03G22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLSTSPRPNLFEMRPMTSSPLLSPSSSSSSRLLLRLLLSRRRRSPTPPSPPLRRCLPLLVAAMSSSSAASTRNPGSVVADADGLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLKQGNEEFDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKAHALLIKGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKVVSNRMVFNEEGCLVAFKAPVHDSLGDPNGATDDSSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIDTSLKNYSEAFDIVYLIPSDFIPAVCSIPLDRRPPPAPPTHASRPPRPCTQHPNPIRAPFTPLLTSPPALYLYLYPRTAAQSFAVGCYWRERRRRLLLAQPAGTAIRATGPATTAVKDLGAGFGSAGLGRRRRGAWWAASGGVLLLLHKDFAVDAATGSGKTLSIISVASRLSSSRSSPRDGRLLPQPAAAVPYLWPTPIPASLRRPVSYHRTTPIPASAALSGQAPFIIVRDMRHIRLQDCTLKLRLFEAALDYRMVPDPATCLPATCLQALLMHIGLKVAPSYRF >LPERR03G22910.4 pep chromosome:Lperr_V1.4:3:20115716:20126541:1 gene:LPERR03G22910 transcript:LPERR03G22910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLSTSPRPNLFEMRPMTSSPLLSPSSSSSSRLLLRLLLSRRRRSPTPPSPPLRRCLPLLVAAMSSSSAASTRNPGSVVADADGLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLKQGNEEFDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKAHALLIKGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKVVSNRMVFNEEGCLVAFKAPVHDSLGDPNGATDDSSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIDTSLKNYSEAFDIVYLIPSDFIPAVCSIPLDRRPPPAPPTHASRPPRPCTQHPNPIRAPFTPLLTSPPALYLYLYPRTAAQSFAVGCYWRERRRRLLLAQPAGTAIRATGPATTAVKDLGAGFGSAGLGRRRRGAWWAASGGVLLLLHKDFAVDAATGSGKTLSIISVASRLSSSRSSPRDGRLLPQPAAAVPYLWPTPIPASLRRPVSYHRTTPIPASAALSGQTNDILANKEVISIHQDPLGIQEKKVRMEGSHEVMPYSRLQDCTLKLRLFEAALDYRMVPDPATCLPATCLQALLMHIGLKVAPSYRF >LPERR03G22910.5 pep chromosome:Lperr_V1.4:3:20115716:20126541:1 gene:LPERR03G22910 transcript:LPERR03G22910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLSTSPRPNLFEMRPMTSSPLLSPSSSSSSRLLLRLLLSRRRRSPTPPSPPLRRCLPLLVAAMSSSSAASTRNPGSVVADADGLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLKQGNEEFDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKAHALLIKGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKVVSNRMVFNEEGCLVAFKAPVHDSLGDPNGATDDSSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIDTSLKNYSEAFDIVYLIPSDFIPAVCSIPLDRRPPPAPPTHASRPPRPCTQHPNPIRAPFTPLLTSPPALYLYLYPRTAAQSFAVGCYWRERRRRLLLAQPAGTAIRATGPATTAVKDLGAGFGSAGLGRRRRGAWWAASGGVLLLLHKDFAVDAATGSGKTLSIISVASRLSSSRSSPRDGRLLPQPAAAVPYLWPTPIPASLRRPVSYHRTTPIPASAALSGQAPFIIVRDMRHIRLQDCTLKLRLFEAALDYRMVPDPATCLPATCLQALLMHIGLKVAPSYRF >LPERR03G22920.1 pep chromosome:Lperr_V1.4:3:20122854:20123222:-1 gene:LPERR03G22920 transcript:LPERR03G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVIHLDWQPVKRRPKLRRGPRDGARTSRAGKDGCKGEVQMEEKGRGVGKRAHCSGGGRQDLSNSCGTHGARGEQLGEAAPKMCVGRAKLWRWRRELAVARRRRQRVRGDGGADFSIWSR >LPERR03G22940.1 pep chromosome:Lperr_V1.4:3:20149567:20151356:-1 gene:LPERR03G22940 transcript:LPERR03G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEDLLAEILCRLPPRSLAASQCVCKEWRSRRLLRADLLPLSLAGLFIHYNDLRFPDFFSRPSLTPTISGKFDFLPVEEELHSIYAITDHCNGLLMLPNVVVNPVTRRWTHLPPLPFAKLDEGFFEEQFIVFDPTLSSHYDVFNIPFVSIRQYVVNRMDPVLKESEWPPSPLVLRVFSSMTGRWEERPFIRKGDAAGTVADAQNRWRGGNRYGNVYWRGALYVNTNCTMRISLSDGKYQVIQHPVIYTSDRRPNVFIGKSEKGVYLASIGGIDCCLSIWVLNESCGHFEWVLKHKNNIMPLLLRLNRKQLHGPWILQDTNYHLYSQKFPGDWDLDDWDYDPSNFNSPNGNVEPLVENNFEWDSDDDDDDDDDGISDNHDGIAYYKNELKFLGFHPYKEVVFFSSLTIKGLAYHLNSSKLQPLGNLSPKDYEHFSRDEDILRAFPYTPC >LPERR03G22950.1 pep chromosome:Lperr_V1.4:3:20154623:20158002:-1 gene:LPERR03G22950 transcript:LPERR03G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVAETAARVAAEVRWKGPKASALTSLRRAAVRRNRTREAAAGGDADGAAAVAWEEEFESTVTLAAASHREPAAFQPWELAFSVFTAANKGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPSGSPESAPSLHLTLGMVELRVYQETSDASQSQRSAMATPLSPSSGDSVPVGKDEVSVIRAGLRKVKILTDLMSTRRSKKTSQDDESSEDKCYVNSDGAEYPCDIESLDDDLDDRATQDEVGESTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDVGYHVEEKQSSTVEETMLPTAKRSILPWRKRKLNLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTTSDGSVSEGSRGEDGSVNGMVSEFGDDNFVVGNWELKEIVSRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENATYQERFPDKHFDLETVLQAKIRPLAVSSSKSFVGFFLPEGADDMSGFDFLDGAMSFDSIWDEISQAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNTTTIHKLPEKTPSSPNSSGPLKDSSRSSSAEQDIEDDTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFQYTASAPKEITSAPQILTIEAPFEFSWPEPPPAMGIALPPAVAVV >LPERR03G22960.1 pep chromosome:Lperr_V1.4:3:20220902:20228443:1 gene:LPERR03G22960 transcript:LPERR03G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIALPAPEHVCYVHCNFCNTILAYRYPRVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLIQSLPAVEDPFQESLKMHNISFRENYSEYGSSSKYGRVPMMFSRNDPGHMLHVRTPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKIQRLY >LPERR03G22960.2 pep chromosome:Lperr_V1.4:3:20220902:20228443:1 gene:LPERR03G22960 transcript:LPERR03G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIALPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLIQSLPAVEDPFQESLKMHNISFRENYSEYGSSSKYGRVPMMFSRNDPGHMLHVRTPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKIQRLY >LPERR03G22970.1 pep chromosome:Lperr_V1.4:3:20238747:20240372:-1 gene:LPERR03G22970 transcript:LPERR03G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPTLSLTIAMSLVVAFVAVLLSSVTASSSRGCRRPGNKQLNLPPGPRGWPVFGSLGALTGAAPQHRALAALAARHGPLMHLRLGSFDAVVASSADAARLVLKTHDAAFADRPLTTAGEFIMYNYKGILHTPYGAYWRMARKLCATELFSPRRVDSYERIRVEEVGALVRDVFGMGGVEFAVREHVAGATLRNVLRMSVGERWSAAYGSAEGEAFRRTLDEAFEVAGVVSNVGDWVPLLGWLDVQGYRRRMARLSKVYDRFLEQIIVEHEADNNKPTSSASSCECDLVDVLLQLSEEQAAAGVEPDARLTRDGVKAFVQDIIAGGTESSAVTVEWAMAELLRRPESLSSAAAELDRVVGRSRWVTERDLPDLTYIDAIIKETMRLHPVGPLLVPHHAREDTSVAAGYDVPAGARVLVNVWAIARDPESWPDRPDAFVPERFVSGGGAEGVDVRGQHYELLPFGSGRRVCPAVNLAMKVVALALASLLQGFAWRLPDGVAAEDVSMEEFVGLSTRKKAPLVAVAEPRLPAHLYAEDSAAA >LPERR03G22980.1 pep chromosome:Lperr_V1.4:3:20250860:20251427:-1 gene:LPERR03G22980 transcript:LPERR03G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDMPLPLRRESGW >LPERR03G22990.1 pep chromosome:Lperr_V1.4:3:20254061:20255433:-1 gene:LPERR03G22990 transcript:LPERR03G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSKPHRHHNHNHHHHHHHQRRRSDDASAPATSLAVSAARAQHRHEEPQPGGSSAALRRSAAFPPRRQQQQQQRMHQRCDSEQIRQPRCGEVAGGTAAGCAAVCCCFPCAVVEVVVLATVRAPAALCRRATCKGVCSGRLELIGIMSCAAAELSL >LPERR03G23000.1 pep chromosome:Lperr_V1.4:3:20260078:20266962:1 gene:LPERR03G23000 transcript:LPERR03G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEMAVAAHLLDSPMCSEVSLYYSKKRASSSNRNGKDDANHDESKNQTPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLSLRSFFSSSPRPRTGCPELDERFVMSSNHAARILRRRVAEEELAGGEVMHQDSFWLVKPCLYDFSASTSSPHDALQLTPSSPPAPPAAACLLDTLKCDGAGWGVRRRVRYIGRHQHDVNYKEASLDLDDHDTEVSVQEEVQQQQRQRPRRTSQEDSKRKREEEAEDRRRRGRDAAARKKKKAKTHRSPKKRVVEAKDGDPRRGKERWSAERYAAAERSLLDIMRARGARFGAPVMRPALREEARKHIGDTGLLDHLLKHMAGRVPEGCADRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSSVAGDLLVKKKVEELAEEVDGVKRGIEQLSSNLVQLGKETKSEAERSYSSRKEKYQKLVKANEKLEKQVISMKDMYEKLVQKRGKLKKEVLSLKDKYKLVLEKNDKLEEQMANLSSSFLSLKEQLLLPENGDNLNMDRERVEVTVGKQETVVPGKPLYADGGDQTSQQADATVVQVGEKRTARKSGFRICKPQGTFLWPNMASGTSMAISGGASSSCPIDAGREKKLPRSNSFPGILPPDERAVFRGGFNTPPSASSTNAAKLPPLPSPTSPLQTRALFSAGFTVPPLHNFSGLALRHVDSPSPSSAPCGAREKMAMFDGDGRGINTVGTELALATPSYC >LPERR03G23010.1 pep chromosome:Lperr_V1.4:3:20267817:20270912:1 gene:LPERR03G23010 transcript:LPERR03G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHAGHRVNLIDSPGHIDFCSEVSSAARLSDSALVLVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIICEVNSIYSALRSHSYFSLLSSIEDQPSSASSSSPDELPEEVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPSINANALLKGLWGPRYFNKKKKMIVGKKGMEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFNLHVPQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVVSEDAASCREVVEDAERVRSCVEACDMSEDAPVVVYVSKMFAVPYKMLPFKGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPTKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVGAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSHRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGDGLALMESLKAPQEFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTSKRNGVLDPHLSQDDGDSAATLRQRLISAIDSELEAFSEQVDKEKIERYRNTWLGYLRRIWSLGPWQVGPNLLLLPDVKSSDSVITTQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDNEPSAHTHESLHLESVALRNCILSGFQLATNAGPLCDEPMWGLVFVVEPYVYSDHSDVANHSEQYNIFSGQVITAVKEACREAVLQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIAEDPFFIPKTQEEIEEFGDGSSIGPNLAKKLMNTVRRRKGLHVEEKVVEHGTKQRTLAKKV >LPERR03G23020.1 pep chromosome:Lperr_V1.4:3:20274302:20275285:1 gene:LPERR03G23020 transcript:LPERR03G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRGHGVVDPRFHPRRWMMFPEGHGLHPGHGKLRGYERFINLDTGALVRDHLPLFLLLQRDHDTAICLLHPFTGDIVDLPPLATLVPQVVRRGDYDCLPPKCMWLPMMRWLRASVAPTNGARPGVITVMLLLLNMQRLAFATTEDRQWTMTTSWYLPFLSASQHLSFRGKLYGMHQTTTGSMEVLEMDPPPVRRPHELHGPSSSSNILLPPPPPKVIATWPGHSFCKPYCLVECDSNILVLGHSNTSLSQILVYKLEDLIMGSFHPVKSIGGKGIFFLQQSICVSYKVLVTDHVNGRDSIFYMRPEQRDLVEYHLDKGTWEPAVD >LPERR03G23030.1 pep chromosome:Lperr_V1.4:3:20283832:20287322:-1 gene:LPERR03G23030 transcript:LPERR03G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRWERRLQIGSAAAAASPAPSAAARRPCPRRRRSSRLIAPTHGVAARCVLRLAGSAALRYLPIRENPPVLFIPNTARPPNRSPALPFVRRRRLRRRTERWGGMAKKNRRIDGLAVVGI >LPERR03G23040.1 pep chromosome:Lperr_V1.4:3:20295774:20297573:-1 gene:LPERR03G23040 transcript:LPERR03G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSKAMDDRSTPPSMAACTRKRRRTAEAAAAADSSSASPWASLLPGDMIELVAWRLLAGDMRDYIRFRARDHDTAIRLLHPFTGDIVDLPPLATIVPQLDPTGYWQDLDPPRTNFMWVRLRSYVCPSVSFRDGVLAVTLALPLAARIAIATPQDKQWTLSGWELLGACSPLSFQGKLYVAHSSPGRFTRVYQIDPPVPLLQQDSSSSLSMPSQELIATCPTEKLDFPITLVEHNSEILVVGNTDRSHIVVYRLADIILENFVAIPSIGDNALFIGQRTLSVSSQACPTIVGSIVVYDNDDVRERSYVPYHLSSDTWLSPIDECSTDGCNPGPCSLSNHILSCCTTRFWNKGLILSRKQYHKSGFLWRTKRKFRIGVSLYSYLGHVQWLRQRCM >LPERR03G23050.1 pep chromosome:Lperr_V1.4:3:20303198:20307795:1 gene:LPERR03G23050 transcript:LPERR03G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAEEEAAALREAVAAAQDTAAALRAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRALLARRARSLARLRPALREYRQTCLRLGIPLPEGDEAEEFVLEGEDGDGGGGYYPELRCYDGAYYYEDGREEMEEGEDDPVVVDLERRIYLLEHGHESHGDEQCLEEEEGTPLCADEALPDSSEQELIGVYADVVLPEETVQERNNSYDDDGDELPESPAASNGSEEGGSDTDGGGSGTGSDRVYTIDKVHQGATAPAARVLENYQDEGVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAHQLAKDATPANPGGIGVVPIVHHFPGKEDGFRDQRFRENRKMVITKRLSMVALCKWVLALFRSQKRNPSQSRYTFGLSGNNVGLLVLLDKCPRIQKTLTRR >LPERR03G23060.1 pep chromosome:Lperr_V1.4:3:20308459:20313096:-1 gene:LPERR03G23060 transcript:LPERR03G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLNLPNLKMKSSKMKFGAIYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVGRSLQEDCINSDQQEEGSNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYVWRVRQCFIDDQQIMVQEGRILLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYSLSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRAVGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >LPERR03G23060.2 pep chromosome:Lperr_V1.4:3:20308459:20313096:-1 gene:LPERR03G23060 transcript:LPERR03G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKFGAIYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVGRSLQEDCINSDQQEEGSNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYVWRVRQCFIDDQQIMVQEGRILLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYSLSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRAVGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >LPERR03G23070.1 pep chromosome:Lperr_V1.4:3:20317651:20320227:1 gene:LPERR03G23070 transcript:LPERR03G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAASSSTIPRLRWAAPRRSQSTGNQWLLLLLRRRCSVSSSFSPPLVTPAAAAAAGSGALEPPDVPRLANAARISLSPEEAEEFAPKIRQVVGWFGQLQAVDLASVEPSLRAGTAAGSSLREDRPETFANRDAIIEAVPSYDDPYIKVPRVLNKE >LPERR03G23070.2 pep chromosome:Lperr_V1.4:3:20317651:20320256:1 gene:LPERR03G23070 transcript:LPERR03G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAASSSTIPRLRWAAPRRSQSTGNQWLLLLLRRRCSVSSSFSPPLVTPAAAAAAGSGALEPPDVPRLANAARISLSPEEAEEFAPKIRQVVGWFGQLQAVDLASVEPSLRAGTAAGSSLREDRPETFANRDAIIEAVPSYDDPYIKVPRVLNKE >LPERR03G23080.1 pep chromosome:Lperr_V1.4:3:20318084:20318434:-1 gene:LPERR03G23080 transcript:LPERR03G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALARRGTSGGSRAPLPAAAAAAGVTSGGENEEETEHRRRSSSSNHWFPVDWLRRGAAQRSLGMVEEEAAESMAVVAAAAAEEGGFEEQTAADGGFCGLGFGPERIIHCFHHSV >LPERR03G23090.1 pep chromosome:Lperr_V1.4:3:20321145:20326868:-1 gene:LPERR03G23090 transcript:LPERR03G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIITEAGISTRLNQWWSSIPFITSGVVLICGVIYLVCLLSGYDSFLEICFLPSAVASRFQVYRFYTSIVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLVTYNPLHPVSYLVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSSIEGLSALSVCVRRPGFILCTGGTTYGQLPTYSNTSTAPSALINGNFLRNISSWMPSRQTTTTQAQEQDPRFPGRARTLGSAGTEPTAREANVTVKEHVKHPAANTVRADATVAADQVDTFDDELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >LPERR03G23090.2 pep chromosome:Lperr_V1.4:3:20321145:20326868:-1 gene:LPERR03G23090 transcript:LPERR03G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIITEAGISTRLNQWWSSIPFITSGVVLICGVIYLVCLLSGYDSFLEICFLPSAVASRFQVYRFYTSIVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLVTYNPLHPVSYLVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSSIEGLSALSVCVRRPGFILCTGGTTYGQLPTYSNTSTAPSALINGNFLRNISSWMPSRQTTTTQAQEQDPRFPGRARTLGSAGTEPTAREASANLHASLLDNTTPSDLLTSSQHPAANTVRADATVAADQVDTFDDELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >LPERR03G23100.1 pep chromosome:Lperr_V1.4:3:20348331:20352344:-1 gene:LPERR03G23100 transcript:LPERR03G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVVVVGEITQVSAAGGGGSIAGSATTPAASPYQVVVEMDEQIYSPAFGNIAVPDSRGCCSGFTSSATKVVFILHLVAFIALTIFLGIEASSRQNPTYRPFANFIPLASSVILSIIAGCFWVILAVINPMKAIKTSLWAAPVLSLACAVVILYIGNGAELGIGVLIVVVAIAMALYSCWATGPRLQHATAVLATSLSAAHLPPTASCLVVFVILAAFGYMSFWTVAISCIAASEGHFMNFKMAYVAALLVSMAWTMQVFRYFVYVAVAKLAHARFVYGVRMPGGTVEAFCGTLMGPSFGDICMGSVAVPMIAAVRSFARAINAVTEGNDEFCQGCCLAVSDKLMGRVNRWGFVHVGVRGKAFCVASRHVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGSLASLVAGSWALAMDKEHKELALPVAIYSFLISYYMCRMIIAWPQACVATYHVAYAENPQNPHLGTLIPDHLRELQALAAD >LPERR03G23110.1 pep chromosome:Lperr_V1.4:3:20357654:20360782:-1 gene:LPERR03G23110 transcript:LPERR03G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGARRRVVVEVCNARNLMPKDGQGTASAYAVVDFDGQRRRTATKPRDLNPQWGEKLEFLVHDPDAMTAETLELNLYNDKKAIAAAAAGRRGGTFLGKVKVAGASFAKAGDEVLVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPPPPPPAAAADGKADAAAEKKEAADGGKEEKAAAAPAAAEEKKPAAPAEEKKAEEAKKDEKKPEADKKDEKDDKKKSPEKGKKDGEKPKEEGKAKEENKKDVVPPSPSKAPPPSPSKMELAAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVDRVPYLFVRLLKAKHHGGGDRQPLYAQLSIGTHTVKTRAATNAGEWDQVFAFHKDSLTATSLEVTVHEEAKKPTAEGESTPPDANLGYVSFDLHEVPKRSPPDSALAPQWYTLDGGHSGGDVTTAAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPDAKAKPMGPAFPELYVRAQLGAQVFKTCRVALGSAAAGTSNPSWNEDLLFVAAEPFDPFLTVVVEDVFSGQPVGQARVPLSTVHRRSDDRAEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIALFDNARYKNGDASKDARIGKLRIRLSTLDTNRVYVNTFPLTAVHPVGVRKMGELELAIRFTSPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHAWSMRRSKANWFRVVGCLSHVATAVKWAHRVRTWTHPTTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRSRPREPTGMDPRLSHVDSVSPDELDEEFDGLPSGRPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATAVFAVVCLLAALVMYAVPFKVLLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSNSDRVL >LPERR03G23120.1 pep chromosome:Lperr_V1.4:3:20364915:20374903:1 gene:LPERR03G23120 transcript:LPERR03G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEIEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVPTVSTTAVVSAKSSVATSPTQATASATTSLSASSQDQVEETASQESNSESAPQTPPSKGGNLGPSVQVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASITARSAPENIPVVTSTPANSTSTLKDDDNMSFPPRRSSPALTETGLGRGITRGLTSQALGSAPISIGSVPGNGSVSALADLSKRNMLNPDERINSGDLSQQLISPLGNKAQPQQVLRTTDTISIDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGSQTQSEIGQFRGRPEISADQREKYLQRLQQVQQQGSLLSVSHITGINQKQFPSQQSNPLLQQFNSQSPSISSQVGIGLGQVQGPDATLTKSEEQQSFAEDISVESVATSGANKHTNEDDTKISFSNPSASISEGTQLSRDPDLPAGQPLQPGMTSSGVGVIGRRSVSDLGAIGDNLSVASASTGHDLLYNLQMLEAAYHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGSDSLSTDMLFFAFYYQQNTYQQFLTARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVADDGSGWCQRIKNDFTFEYNFLEDELSVQTN >LPERR03G23130.1 pep chromosome:Lperr_V1.4:3:20378619:20381095:1 gene:LPERR03G23130 transcript:LPERR03G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAASRSRSESRPARSPPERRRFSSSPSSTLAPGESRAARAAPLALGGGETEVRERDAAAPEWGALVRRVSLGVALGCAVLGCCGGAAVAAEDSIKASGFGLRVAASLRRLGLPDEAVVFTLATLPVVELRGAIPVGYWMRLDPIRLTVLSVLGNMVPVPFIILYLKKLATFLSQRSASATRIMDLLFERAWRKAAPVEEFQWLGLMLFVSVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIITGLVLFFVSTVMWGALRSLKKSLNAN >LPERR03G23140.1 pep chromosome:Lperr_V1.4:3:20392527:20395307:1 gene:LPERR03G23140 transcript:LPERR03G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTFLVSINPESKSRATNREVLSELINLHGKTSLSGKLPAYDGRKSLYTVGSLPFGSEEFVVKLIDPEKKEKERAEREYKIAIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHQGDIGDEFECWRGYYQNLSPTQMGLSLNIDISSTSFLKPVTIPQFVEEFLNICDTSRPLSDRDCVKNLPIEGTQLSREHDRVVGPRSVSDKFCTFGDKLSVASASTGQRKGLKRAKNYIPQHCADIPHSAPTIQAQGHISLRLVSLPWIFEFGAHCLQLCFDVAWFYLYSYDYLNLSCPFLIF >LPERR03G23160.1 pep chromosome:Lperr_V1.4:3:20413406:20413657:-1 gene:LPERR03G23160 transcript:LPERR03G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPTPWKLVKHTWDHQDNEQALNPDCLIGAIISDLEASITPDGEDSYSASEPNNFRAVYVVDGGNDTASTSATPAQRLAAMQ >LPERR03G23180.1 pep chromosome:Lperr_V1.4:3:20432488:20433186:-1 gene:LPERR03G23180 transcript:LPERR03G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHDVLDTQVCKENFLVCVRPCLLPSGISLGCKSKNTTYEFYHPSVTARQLGLGYRSSFTSWIGFVQENWWIHNCYMDDSLISRSLPMTHLIPFRCMLLHQHHGKRGGTTGAVTCSIKQCPNTVSNFALTSPSKKR >LPERR03G23190.1 pep chromosome:Lperr_V1.4:3:20439466:20440991:-1 gene:LPERR03G23190 transcript:LPERR03G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPEDALAEILRRLPPRSLAASRCVCKDWRSTVDSRRLLRTDLLPLPLAGLFINYNDLRFPDFFSRSRPSPSSSTTAAISGKLDFLPLDIFCEIASHCNGLLLLLCDIVVNPATRRWARLPPLPRQFKLFDKKVIVFDPTVSLHYEVFRIPFPQIYLDMTEYDTVSKDSEWPPSLLVTRVFSSATGRWEERSFARQGDAAGTVSDALRPWVSIQGGYVYWQGALYVNSYYLMRISMSDGKYQVIKHPMIYSGKDCFKPNFYIGKSEKGVYLISLGETGYFLSIWVLNESSGHFEWLLKHQNNLQPLLLRSNCGKQVHGPWILRDINYHLYRQKFPGEWNLYDWHYDPSNFDSPNDNAETLVENNFEWDSDDDNVVDTQGCFERCISDGLGFLGFHPYKEVIFLNCARMKGLAYHLNSTKLEHLGNLQPKDYSYFTVYGFIECSFPYTPCGIDVFPKTIISPDNLY >LPERR03G23200.1 pep chromosome:Lperr_V1.4:3:20441784:20446239:-1 gene:LPERR03G23200 transcript:LPERR03G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGGGGKVARRRGLGGGFPSLMRRKQVDSDRVRDAGQPQLAKELNIPALVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILSRHQLPWFDVIVDPCAAALVFVVTVLLCVGIKESSAVQGLVTVLNACVMLFVIVAGSYIGFQIGWVGYKVTEGYFPYGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSVVIVGLVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFADVNERTQVPVKSTVVTGVCAATLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSQQESFRLSQEYDEERVNVTLGDERCKTSQIEDLIVAQSMQDPLIEKEIDSSKMDEIKRRKVASFSIGSVCVGVLVLTSAASATWLSFLPICIGCIVGAVFLVSGLGLLCWIDQDDGRHSFGQSGGFTCPFVPLLPVMCILINTYMLINLGGGTWLRVGIWLLIGVLVYILYGRTHSSLMDVVYVPVAQAEIYRTSSGYVP >LPERR03G23210.1 pep chromosome:Lperr_V1.4:3:20454316:20458491:-1 gene:LPERR03G23210 transcript:LPERR03G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADYSSSEETTGSSAGSAPPAATATSTPQSSSSSYRRTAPPLLLLASLAALLIISTGDDTAAFDSAPVERPLKDVSLENPEVTFVPPSLGGQFCERVRLSGIPKLHIRSYANQIQVKMNVSRSMPEKFHWKIEICFHGNASMGLCQCETGEWQALQNGMWNAVKSPYGNKYVDVKVADKTSTSFSLSIQEEFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIILTGAGFGYWMVRRFILSKDGTVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYKSPKSMTLKQKQSKASTQPMHTKGSPNPRQIQFLSPSKKDIGRSTSNSSATQYGWSNLANGGLVSPTLTKRVVPNHQDEDHYSTFHNIQPRKYSKEEWDDFTRKSTRKALTECTATPEFAKWVADNAHRLRVEQQDDVSEDEIIESSSNSSEETAQEADTGLFRWY >LPERR03G23220.1 pep chromosome:Lperr_V1.4:3:20459162:20471094:-1 gene:LPERR03G23220 transcript:LPERR03G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHLPLRAPAQAGLAPLRPSSCSAARARFSLRPAVRRIAARGGRKLVGIRAEALPGGGWVARREPMVPPYNVLITGSTKGIGYALAKEFLKAGDNVIICSRSAERVESAVNDLKMEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHVFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPNVVADYLVPNIRAIPANQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSKSAQDNDASYMSSILASRIIWAMQPTRSYLRWSDDLHKIFVEAVAYQGEAKPTAVKETMEAMGVTGLKTQNIKSHLQIPFEARRNPCRRRLAAAHVASSSQPTSPARRRWQISATSGCLCTTSGCLCLCYSSLVGPKIQLGLAVATTATLAAGDAVAPPGHWSRTSEMVRDNDAVMAEMEMVNNLLMDDDIEMVETNFSVDDVQTMEKELMNELKAMEHNSEISDSALDEYMDNLANYAFDLTMTSSAA >LPERR03G23230.1 pep chromosome:Lperr_V1.4:3:20474196:20476745:-1 gene:LPERR03G23230 transcript:LPERR03G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSASLPAVTEMDVAFSPEAPAVTEMDLALNQEVAAIEGQVAVENEAEAMMPAEEKEEQETGRKRKWDTVDVGDDDIMDTDDNGEGGSDEEEEAVLPVVPAVPQAMMQVEVEPPLQESPLQRLVDECRVLLAGRSQHSVPPSPLTVSRIIALFNGISPDDIRLDRDFNISRVMKAAAFLHPMTVIGAKYIYDCEDFTVAIFYLPAGTVMPLHDHPGMTVFSKLIAGTAHVESFDWVSPAIYGPGKHCMYSHHYIYTSLIPSLPVFFSAASTMRLAKRVRDHDVSAEEHRTWVLYPSTGGNLHRFIAARDEPCAFLDVLTPPYSTGNHRQCTFYDDYSFNLHRNHEYGRKMSTRKKRGLIWMKPVSADIPRDARIVPMKYNGPPVV >LPERR03G23240.1 pep chromosome:Lperr_V1.4:3:20482529:20485183:1 gene:LPERR03G23240 transcript:LPERR03G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAADQGMSNGGSGAKRRRDAKEKGRRRSGGGGGGGGVVRRTRKRVLLRTPEPAPLLQRLFAACRDVFRGPGTVPAPDDVALIRAILDEMGPGDVNLSAEQKFFKATDDDAALQRPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAQPAVFAAGSAPDDRPARLAEVVLDRGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSEDRDCTYYQDFPYDSHCAGDDIAQLRHGGMEMEDIESINGRRRLEWLKETAMPEDLEMYEMQYRGPPIL >LPERR03G23250.1 pep chromosome:Lperr_V1.4:3:20486722:20489761:-1 gene:LPERR03G23250 transcript:LPERR03G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGATLSEMHQNARRLLMSAREGVAHVERLASAPTSSSYSSAPLVGGGGGVVDSAAAEKVRREVAQIQGLCAQMDGLWRSIPAKSQRDIWKRKVEQLSEEVDSLKETLDKYSFRQKKRVREAKERAELFERANGESSHVLQIFDDESQALKSAHSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVFKLIERRHRVDKWIAYAGMIITVVVMFVFWRWTH >LPERR03G23260.1 pep chromosome:Lperr_V1.4:3:20490174:20494516:1 gene:LPERR03G23260 transcript:LPERR03G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSEKIYLTIALPDAKDVVLKTEPQGVFNFSAVANGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKNGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPADSDDDFDEGNDRDESDDDDDDGMLYLPDLEKLRGK >LPERR03G23270.1 pep chromosome:Lperr_V1.4:3:20496015:20496317:1 gene:LPERR03G23270 transcript:LPERR03G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGGEHKKEDEHKKGEEHKKAEEHKKEGEHKEGMVEKIKDKISGDHSGEGEHKDKDKDKKKDKKKKDKKKDGEGHDGHSSSSSDSD >LPERR03G23280.1 pep chromosome:Lperr_V1.4:3:20500578:20502147:1 gene:LPERR03G23280 transcript:LPERR03G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRGRFSVRPHTGILAPLAAVTVEVVYLPAAAPDGPGGGVGSGEDAFLLHSVVAPGAAVREPVTALDSVNPEWFSARRKQVFVDSGIRACFVGAAVASRLVEAGAVEALREVLDRSEPEWRAADAVDESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGSDALHVAAAAGHDDVLKLLLGKPASASPSPSVASSASSFSSSFTSIDAAGRDGKTPLRVAAEAGRRDAGRDAHGWTPLMRAAFKGRADAARDLVARGADVEAADAEGYTALHCAAEAGRADVVDLLLKSGANAKATTAKGRSAAEVAAAAGRSKVVRLLEKAGGVGCKEIAEKASPAAVGKTGSLDRRRRGRKGSSGAIRFGGGKEGFETAAVTVGWSH >LPERR03G23300.1 pep chromosome:Lperr_V1.4:3:20515759:20527818:1 gene:LPERR03G23300 transcript:LPERR03G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSPEDLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNASVPAMEMTKWFDTNYHFIVPELAPNTKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVDKSFSLLSLLDSILPVYKEVVTELKAAGASWIQFDEPTLVMDLDAHQLAAFSSAYAELESAFSGLNVLIETYFADIPAESYKTLTSLSGVMAYGFDLVRGTKTLDLVKSEGFPSGKYLFAGVVDGRNIWADDLVASLSSLRSLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFVANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSVRLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYVSAIKEEIRKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVDAAKLIRTQLASAK >LPERR03G23310.1 pep chromosome:Lperr_V1.4:3:20528689:20529612:-1 gene:LPERR03G23310 transcript:LPERR03G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAPAAPPPPRRRVYTAVDPQCEWLRTEDEDTLVVDVSGFRKEELKVLYNTNRKLKISGERQADGGQWARFLKMFPVPKSCNTGAIRAVMDKEEALLYVILPKGSSASSFSSSSPSQDKKKDEQNVSSQPEGGAAIAPIADGSSSSSGSLYSAQEDEEMGRVGGQNLGEKEDDIVTTQDAPRTHGDVNDGNKRWWQRVRRMDALGIALILAVAAVGVYVLCLMFL >LPERR03G23320.1 pep chromosome:Lperr_V1.4:3:20532745:20533758:-1 gene:LPERR03G23320 transcript:LPERR03G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIGRTFEEYDPAVEWSRGAEADAVKISLPGKKLMINATVNSPREEIRVLVDNHGHLRTRGERPVAGNRWSRFQKDFMLPADCNVDGIRAKFENDALTITLPKKNPSPPPSTPAPAPALPSPPKPSLPLPPRRTPAPPPALPTAPSQRMPPPPPAPAPATERKKSDLAALLKPKEEKVEEAAAATKAAAAEAAVKAEEEKKRMEMEARGKMAEDKKSPVEEEEKEKEEKGNGAATATATTTTTTGMGQLGKTASASRRQLVNVVVAVVVLLGITVYVWNTLKNAATGGDHGHGHGHGGLLGQRYNDEM >LPERR03G23330.1 pep chromosome:Lperr_V1.4:3:20534351:20536900:-1 gene:LPERR03G23330 transcript:LPERR03G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSARLRPLPASPAPLRGRGSLPPGFASTRPASRAVSAKNIGTPAGLDLEKKNVGKNPPCLSCETKGAVLCATCAGSGLYIDSILESQGIIVKAVEALGTLCVQSAEAVDTYKRLQEARSSA >LPERR03G23340.1 pep chromosome:Lperr_V1.4:3:20539685:20541415:1 gene:LPERR03G23340 transcript:LPERR03G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRGYSAAVPSACFLLLLLVLSVSHLFPTRRGHGGGVLEGVALRGIASGSDSSSSSDQEQGNCQELQSIEGGEARCQYLRTHPPCSPAGYVDYLRLFYCGFAHAPAAGYAAALLWLLLLFYLLGDTASEYFCASLEGLSAELRLPPAIAGVTLLSLGNGAPDVFSSVVSFAAGDGGGVGLNSALGGALFVSTIVAGVVALAAASRAGSGGVVVELRGFVRDICFLLLALCSLLAILVTGTVTVWVAASFLSLYVAYVLLVWTSHCCSEPGKPPQADLAAPFLLLDNDDDDGVTILPFYSSKDSASSTTRSYLHCILSAMRMPLYLPRRLTIPDIAEHRWSRPCAVASVALAPVLLAATWANSSRRHGLAVLLGGALLGLLLAALAAATTERASPPRGRWRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVKASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSGCYAGPLFNTVVGLGISLTLAAGSQYPAPFAIPADGAVYEAVGFLGAGLAWALLVVPARGMRLDRVYGLGLIAIYLAFFAIRVFDSLGVWTYSWNGS >LPERR03G23350.1 pep chromosome:Lperr_V1.4:3:20548178:20554326:1 gene:LPERR03G23350 transcript:LPERR03G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTSSPVVDNGIQYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHASAELAQRFYAGLLRKLASNAGTPLRPNTYVEVYLFSLVDEDAKNVAPGNFERHWGILRYDGQPKYAMDLTGQGRNTALVAARGVSYLPRVWCVLNPGAASQDGVGSRLGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQAQDQAEEACGFQGLAVRTLKDPSTNTCNFTIQIETSSATGTPVVAMAMAVLVSVLAVMVTP >LPERR03G23350.2 pep chromosome:Lperr_V1.4:3:20547987:20551122:1 gene:LPERR03G23350 transcript:LPERR03G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPLPASSVVRMLQDNGINKVKLFDADAVTMEALAGSGIEVMVAIPNNLLDLLTDYDAARDWVHQNVSRYSFDGGVNIKYVAVGNEPFLTTLNGTFLNVTFPALQNIQRALDDAGHGDSIKATVPLNADVYNSPKDNQVPSAGLFRSDIADLMTAIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTSSPVVDNGIQYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHASAELAQRFYAGLLRKLASNAGTPLRPNTYVEVYLFSLVDEDAKNVAPGNFERHWGILRYDGQPKYAMDLTGQGRNTALVAARGVSYLPRVWCVLNPGAASQDGVGSRLGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQAQDQAEEACGFQGLAVRTLKDPSTNTCNFTIQIETSSATGTPVVAMAMAVLVSVLAVMVTP >LPERR03G23350.3 pep chromosome:Lperr_V1.4:3:20548275:20551122:1 gene:LPERR03G23350 transcript:LPERR03G23350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSDRRLAVVVFAAAVLGFSWFLPAAEGLGVNWGTMATHPLPASSVVRMLQDNGINKVKLFDADAVTMEALAGSGIEVMVAIPNNLLDLLTDYDAARDWVHQNVSRYSFDGGVNIKYVAVGNEPFLTTLNGTFLNVTFPALQNIQRALDDAGHGDSIKATVPLNADVYNSPKDNQVPSAGLFRSDIADLMTAIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTSSPVVDNGIQYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHASAELAQRFYAGLLRKLASNAGTPLRPNTYVEVYLFSLVDEDAKNVAPGNFERHWGILRYDGQPKYAMDLTGQGRNTALVAARGVSYLPRVWCVLNPGAASQDGVGSRLGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQAQDQAEEACGFQGLAVRTLKDPSTNTCNFTIQIETSSATGTPVVAMAMAVLVSVLAVMVTP >LPERR03G23360.1 pep chromosome:Lperr_V1.4:3:20556906:20566161:1 gene:LPERR03G23360 transcript:LPERR03G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATARRTPRRRTMSLLASLLWHSWDTYTMARSAASSSSSPAAAWWAAALRREAEARSRSFSSLRARHSPMTLRSATLGVTSVVRSSRWVSGQVGRRPLSRHSRNHLRIASRSNVCPVSIVTGSLITCFVSGHTNASNAPSSSDQTSIPSPAMESIDRIAQGWPHRSLVCSRESVVAGVA >LPERR03G23370.1 pep chromosome:Lperr_V1.4:3:20562681:20565228:-1 gene:LPERR03G23370 transcript:LPERR03G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGMEVWSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRTTDVTPSVALRSVIGEWRARNEEKDLDRASASLLNAAAHHAAAGEEEEEAALRAMVYVSQLCQRSEASKDIVRRRGVLRAVADMLKSGSRRLRLKSLQVLRVLVDDNDDNKEELGKGDTIRTIIKFLSNEHVQERELAVTLLHELSGHEATCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLHGEPETRVAMADYLGELALANDDKAAVAEQAGPLLVGMLTAGATPAREATLKALREISSSEASAKLLLNHAGVLRPLVNGVLFSTGHLPMRLKEIAATILANLVAVSGADFRSIPLNDDDDGRRQRTLLSEDVVHSLLHLISNTGPTIGCKLLSVLAGLTSSPTTVAEIVAAVKSSGATISLIQFIEAAHREIRVESLKLLRNLAPYMGVELADALGGSLGSLLRAISSDGGSVVTEEQSAAVGLLGDLPEGDTSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRLTCALDEDPDYIEFAREAALAPLFVELLHTNGLDTVQLYSAMALEKLSQQSSRLTVVPPPPSPPTGHVCACFGRKPAAASSSARPVGICRVHGGFCSLRDTFCLAQEDGCKAVERLVACLDHLDGKVVEAALAALSTLVSDGVDAREGVVVIGEADGLRPVVDILVESRTEALQRRAVWAVERILRVEEIAGEVAADQTVASALVEAYRNGDPRTRQTAERALRHLDRIPNFSAAFQSKRS >LPERR03G23380.1 pep chromosome:Lperr_V1.4:3:20568055:20568799:-1 gene:LPERR03G23380 transcript:LPERR03G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPNTTAAGGGRGQGDEEKQTPPPETAASAGGGGDDDAVQLVMPEDGYEWKKYGQKFIKNIQKNRSYFRCRDQRCGAKKKVEWHPHDPTLNLRVVYDGAHHHGSPSSSSAAAANQYDLSTQYFGGARSQ >LPERR03G23390.1 pep chromosome:Lperr_V1.4:3:20579205:20579528:1 gene:LPERR03G23390 transcript:LPERR03G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTILFAAVAVAVFATVASAALEPVNDAHVQELGKWAVEEFQRERHLTGLTFNKVTFGQKAEADGGVYYYLQLEASSIYASFARYKAAVFEKGSTRTLLSFDITH >LPERR03G23400.1 pep chromosome:Lperr_V1.4:3:20583511:20597544:1 gene:LPERR03G23400 transcript:LPERR03G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAGEPSMAEAKAKPTTTSTKKARKKAKKAEKAAAAASSEAGENMDELKSDVASFASSLGFLAGAGAATTTSGFDDSDFRKSGPMIKPSSKTPNPPQTAAPDDAPQKPKPTKKPHPLQIHAPNATAKSVAATTNYPLVKAAALSGQWYTDADELEAAFLGARKQVPPPVGLQEMQRIVEQKRELAEKLVAQYAAEYDTVKRGKGDLKLLEISAKSGTSADKVSAFTCLVEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRLLPDRKLKSLIQHRLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKTVFILLKEKAEQERRLLTALVNKLGDPERKAASSASYLLTSLLSAHPNMKVVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSKGDGPKIAKRLVDVYIALFKVLMSSSHATEGVKHSKSGKKTTENGKTKGKKEKGNDFNSHEDHYAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQIKIYLCKTHPCMVAHQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDDIEHFEDIVENPDGPTITSTTTDKCNDRLATLEKYNSDADDGCDTIERASPITSGEKNGKDHASWWELTTLAKHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRITEGKWHGGSQIAPAKKLDENHHLIGEELLELAEKEVPPEDVVFHRFYMNKTGPIKPKTKKKTSVLDEDTGELFANDVDDANDESDDEIQELDESDDEMQELGIGVTEDEEYNYDNLDATTFEEEGDLLRYDSDVELHDISDDSSSEADFDIEALKSANDSDDDSDEESVPRQKRKHAAKSGASPFASLEDYEHLMDGEAEKLTVKKRRKNRVTGDSPSIKEKSGSRKKRSKRSK >LPERR03G23410.1 pep chromosome:Lperr_V1.4:3:20598083:20606782:-1 gene:LPERR03G23410 transcript:LPERR03G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENRIEDVYRRRTGAARRRSPPGTGGHHARLVGRDERTAKNGKTGATAASIQVRSNFQDQHDLIVSLDPELNNYVFQQEERLFQIWYPDSFMRIIGADSIITTLGPSHKHIRNLVLRLFGPENLRRSMLQEVQKTAQASLLSWLDRPSIEVKETISSGRKNVMKMLKELLDERKRAPGHQGSSIDFIDVLMEELNEEKPTMSENAALDLVFLLLFASFETTASAITAVLTFLTHNPEVLQELTEEHNYIQKRRVDPNSEVTWEEYKSMKFTSHVIYEALRLANIAPVMFRKATEDIHMKGFTIPKGSKIMICPSTVHLNPVVYTDPNIFNPWRWKDTVEPTGGASKDFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLSPGLQFPEGFHIQLFPKA >LPERR03G23410.2 pep chromosome:Lperr_V1.4:3:20598083:20603620:-1 gene:LPERR03G23410 transcript:LPERR03G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSWRTNMVGEDLIVSLDPELNNYVFQQEERLFQIWYPDSFMRIIGADSIITTLGPSHKHIRNLVLRLFGPENLRRSMLQEVQKTAQASLLSWLDRPSIEVKETISSGRKNVMKMLKELLDERKRAPGHQGSSIDFIDVLMEELNEEKPTMSENAALDLVFLLLFASFETTASAITAVLTFLTHNPEVLQELTEEHNYIQKRRVDPNSEVTWEEYKSMKFTSHVIYEALRLANIAPVMFRKATEDIHMKGFTIPKGSKIMICPSTVHLNPVVYTDPNIFNPWRWKDTVEPTGGASKDFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLSPGLQFPEGFHIQLFPKA >LPERR03G23410.3 pep chromosome:Lperr_V1.4:3:20598083:20603620:-1 gene:LPERR03G23410 transcript:LPERR03G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSWRTNMVGEDLIVSLDPELNNYVFQQEERLFQIWYPDSFMRIIGADSIITTLGPSHKHIRNLVLRLFGPENLRRSMLQEVQKTAQASLLSWLDRPSIEVKETISSGRKNVMKMLKELLDERKRAPGHQGSSIDFIDVLMEELNEEKPTMSENAALDLVFLLLFASFETTASAITAVLTFLTHNPEEEHNYIQKRRVDPNSEVTWEEYKSMKFTSHVIYEALRLANIAPVMFRKATEDIHMKGFTIPKGSKIMICPSTVHLNPVVYTDPNIFNPWRWKDTVEPTGGASKDFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLSPGLQFPEGFHIQLFPKA >LPERR03G23410.4 pep chromosome:Lperr_V1.4:3:20604057:20606782:-1 gene:LPERR03G23410 transcript:LPERR03G23410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATENRIEDVYRRRTGAARRRSPPGTGGHHARLVGRDERTAKNGKTGATAASIQCRIWHPRAKIRPPRAWICGLRRWCVASASASSAVRRPWRRGSAKELQRAVPSP >LPERR03G23420.1 pep chromosome:Lperr_V1.4:3:20630766:20631203:1 gene:LPERR03G23420 transcript:LPERR03G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAASLIHLAATACSPPRVRLRRGATGLRRPRAAALAARAYKVTIEHGGESRVVEVEEDETILSRALDEGIDVPHDCKLGVCMTCPARLVAGEVDQGDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEEELLQVQLATADD >LPERR03G23430.1 pep chromosome:Lperr_V1.4:3:20631827:20634181:-1 gene:LPERR03G23430 transcript:LPERR03G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETDDVRRLAAFYEEVLGFERAASPTYSGFQVAWLRLPGTPGVALHLIERNPAAAPTAVGPGAAGAPPAQLPRRHHLAFSVADYDGFLAGLKARGTDVFEKTQPDGTTRQVFFFDPDGIGHGLANMEI >LPERR03G23440.1 pep chromosome:Lperr_V1.4:3:20635715:20640141:-1 gene:LPERR03G23440 transcript:LPERR03G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVYYKYKSANETFSVPVPYSSISVSELKKLILTSNKHGRGRTRGRGPREDIVIYNDKNGEEFDESTMIPQNSTVVIRRTAGQLSENIVLLSSRKVIEDGTIPSNMSVVTESTSKSCSSAEVQDEDAAIASVMDRLKGRHLHQAMCVAAVEFQAISFNIANRKERHLHLAISATDAEFQGILSSIALPLAIQNLMTTESAPNAGLQVVAEDHASHLEHKLTTTGVDLEVKDEGNSAGLSVEKAVPTADARLEDGSKSISKVNIISGTLEPKTSKTDQPKKKRKKGDSTKIVHPSNANYDYSIPFDPAYYNPYVGGYPWLTEPYMYGSMGMPYGGYPMGPYDVNSIVNMPLQFPSAMQGNLSNTQSWETQSMLHRPSDDAARPRMAMKPKEPANQSRSSERNVHLGSSHGTDSRKTSRSSSDRREHRRASDYAEDHRSSDYADDHRSNKRDHRSSDYAEDHRSNKRMRASSPPTERDRHSRASSRHSSRSRTYEDSSDDERNFKRRWGGRR >LPERR03G23450.1 pep chromosome:Lperr_V1.4:3:20654936:20658404:1 gene:LPERR03G23450 transcript:LPERR03G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMVAVSGGGKAASCRQAQGGGGGGGGATASATAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPIYGCVGAISSLQHQVENLQTQLALAQAEMVRLRMSNDYITRRLRVRRGGGGGGGSTTTGSPSSMTSPAKTAEPEMLCKPNPELDMVVDQPDFGFWSY >LPERR03G23460.1 pep chromosome:Lperr_V1.4:3:20657889:20660491:-1 gene:LPERR03G23460 transcript:LPERR03G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEGEFALPDEVLAVMPRDPYEQLDLARRITALAVAGRVTGLEREAARLRESAAERDRESGELRERVALLDRALQETNARLRAALEDNIKLNKERDSLAQTAKKQARDLQKLESFKRHLMQSLRDDSPSPQETVDITTCDQSVSSKASTCGDGDSVTHTTNLLSASLDVGSTVQEGAALKPPIHKYALSSHISSRLTPEATPKIMSTSASPRRMSSTATPKLMSGTTSPSKTRIEGYMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREDTLKKAEEIFGPDNKDLYLSFQDNVKLFDQINLLHLEL >LPERR03G23470.1 pep chromosome:Lperr_V1.4:3:20661580:20667985:-1 gene:LPERR03G23470 transcript:LPERR03G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAVDTAVTTTTSGVSELKATVEGSAYHPLSAKESFKAAVMHLFRKWYVRAVLFWRNIKQLSDNAFQLMFRSNWNDFLHTIKGIQLPSMDNLISAIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKLRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRNPGTMNEGLGAAREADALLVPDEPNRNQQEGQPIENVELAANDPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDFTDPPRNENDQVA >LPERR03G23480.1 pep chromosome:Lperr_V1.4:3:20673697:20674041:-1 gene:LPERR03G23480 transcript:LPERR03G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDYAAASSPSSSTGKRRGGAAKTTPEGHVPVDVVGEGGEEAPERFLVRAELLGRPAMAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALAGAGRAGGDHDDDG >LPERR03G23490.1 pep chromosome:Lperr_V1.4:3:20678805:20679155:1 gene:LPERR03G23490 transcript:LPERR03G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRTKSSGGGSPAGGASPCRDGDESKVPRGHIPMITGGCCGDDGGERVLVPVSLLGDPCIAELLDMAAQQYGFCQPGVLRVPCDAGHFRRVVVECARRKGGGQPQALRQHEAFF >LPERR03G23500.1 pep chromosome:Lperr_V1.4:3:20694154:20695441:-1 gene:LPERR03G23500 transcript:LPERR03G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAADGGRAAELVTRDFLGGCTVADDARDAAAVRHDAVSGKLSLQKQACPATPRDLNLFPFPGAAAASKPSPSSTPAAAAAAGGTTTYHSVCTIEKVKTALERFERGKQHHQQHSAGASPSSSSVTTSSVKRRGGGGAVEQGDGCDSPSAGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPLPPSPAPKKKPRIDLNVGFLGT >LPERR03G23520.1 pep chromosome:Lperr_V1.4:3:20726219:20730711:1 gene:LPERR03G23520 transcript:LPERR03G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDATGSYTGTSPQQLERINVYFNEASGGRHVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDFDDEEEAAADEDHA >LPERR03G23530.1 pep chromosome:Lperr_V1.4:3:20741454:20742764:1 gene:LPERR03G23530 transcript:LPERR03G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPTGRLGRLLAALRRPARAGHPHLPVQTGFPTSLADLVVKNHGRLKKPSSSSAAAVAASASRRKKRGDVAEAASSPSPSPSPSPSPSPPQPSSPPLPPAVATDVSAYSPSIQPRPDLPPVEAVRRRRRESNGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTAASFSLFLLESALSRRRRPAATTKKLDLGGRGYGYVSPIQEMEASFSDSARRSESSILTIDERSEVGGGDDSVVAIEDKIEVVVGDEDSTNSKAKTKKRSWRKLIPHKLQRGKKSKEADSPDSLVSGEGNRADSTVVGNAKAMGSSDSRRGDAVAAKSSESSGSWRRNGDEMDAEVDSNARRVEIEAPADDLAGDGGDVGGIRFPVMVVVAVVLVGLVAGKIPAVAFTVICAVLFTSGSSVQRLPDGDRSFRWWFRNPKNLVVN >LPERR03G23540.1 pep chromosome:Lperr_V1.4:3:20743089:20743376:-1 gene:LPERR03G23540 transcript:LPERR03G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLRAGCSLVVHPVGGRIPWSSWLGSGGPKGHRCNCSAVPLRARLGKCRCIIPSVNLNRAQA >LPERR03G23550.1 pep chromosome:Lperr_V1.4:3:20749020:20761690:-1 gene:LPERR03G23550 transcript:LPERR03G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTATESQKPMASLPYSSVLLLAILAAAGAADAVTFTVINKCGYTVWPAALPSGDGTQLDPGQSWATHVPAGTKGARVWGRTGCGFISGGKLGQCQTGDCGGTLRCTAVGAPPITVAEFSLGGSGGEDYFDISLVDGFNAPMAIVPGRRCPRGRPQCAAEITLQCPVELRAKAGCNNPCRGNSTCGPTKDTEFFKRLCPETITYAKDNLGTIFTCPAGTDYQIVPSGSVTRVGEAEAAASDEDRKSPPLSTTSLEPIASPSLTGGGSPTMLPTSSPAPTGGSTSIRSDSQSLPKKKRTSDDSAYSSPLREPKAPLVHMYTSSSGEVVYGITGDPKAAQDYHWAMREYEEKLARQGQLATLKSPSSISSGNKECYSPKQKEILLNASKSVVSLSAYQDDSKINECTGIIVEWDALKKSAIILTSAWIICTKKPFDDWSYKNYAPEAKVILHMLNNTTSECRLLYFSKHFDIAFFEIVGGQIVRPQLGLKFRTLDFLEMSHIELLSRKYNITSGLIVVHVSAQCAAEKHGIRVGDVILSCQGERISSVTEIYCWVLVREILRRVMASSNSKVDVEKCNRRLLTLSLELDHNAMAPSIATTTSILPLFLLLIAVTDAATFTITNRCQYTVWPAAVPSGGGTKLDPGQTWTINVPAGTQGGRVWARTGCGFDGSGNGQCQTGDCGGKLRCTAYGSAPNTLAEFALNQWNNLDFFDISLIDGFNVPMAFLPAGSGAGCPKGGPRCATVITPQCPGELRAAGGCNNACTVFREDRYCCTGSAKDNCGPTDYSRFFKRLCPDAYSYPKDDATSTYTCPGGTNYQVVFCP >LPERR03G23560.1 pep chromosome:Lperr_V1.4:3:20761842:20762528:-1 gene:LPERR03G23560 transcript:LPERR03G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILHFLPLLILAATANAATFTITNKCPYTVWAAAVPGGGKQLDPGQPWVIDVPAGTTGGRVWARTGCSFDGSGNGRCETGDCGGVLQCTAYGQPPNTLAEFGLNQWNNLDFFDMSLIDGFNVPMDFLPDGDGAGCPKGGPRCDVDVTAQCPNELRAPGGCNNACTVFKQDQYCCTGSAEDNCGPTDYSRFFKGLCPDAYSYPKDDATSTYTCPGGTNYQVVFCP >LPERR03G23570.1 pep chromosome:Lperr_V1.4:3:20791751:20796647:1 gene:LPERR03G23570 transcript:LPERR03G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSNTTKKLSWSKTFVRKWFNIKTKAKDFHSDYVVEEVGLHWRTSFSERDVCKAKKSRTERLPRKNVDRDSRVGNGFDRAYITNTQDYRLQEIVPLNAGNVLLTEDNAPAKKWVALVRKTLDNLDLQGSVVDNYHTPSPVSDPIVELNVDFERSSRRPRNSSFFHRRSFQYFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGSSDDENIDEESPPMPCGDGAPLCYDDNRRQLINTSKYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILKKTRFPHVHGAGDERSPETILNHDRIIWLGDLNYRIALSYRSVKALVEMHNWQQLLEKDQLQIEQRYGRVFSGWNEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGSGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFSSFGRSTSLMGVDELSYYPTYPRNILQSYRIHQMNFIYPKIPAIGIFSPNSVAWFMLGKK >LPERR03G23580.1 pep chromosome:Lperr_V1.4:3:20798488:20800545:-1 gene:LPERR03G23580 transcript:LPERR03G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATTLLLAAILFTAAAAAVASREDRRGETSLGRCMQRCEEDRPSYERARCLQQCKEQQRQQQQEEERRREHGRHDDDRSSSRDRRGEGSSEEEDERREQGTSGRRPYVFGRRSFRQVVRSEQGSVELLLPFNQASSLLRGIKNYRVAVLEANPRSFVVPSHIDAHCICYVAQGVGVVATIENGEKRSYTIRQGDVFVAPAGTITYLANTDGRRKLIVTKILHTVSVPGQIQFFFGAGGRNPESFLSSFSKRVQRAAFKARISEERLEKLLGRQDKGVIIRASEEQVSELRRQASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVSLVNISAGSMNAPFFNTRSVKVAYVLDGEGEAEIVCPHMSRGEGGEREGRRREQGKGRWHEEEEEEEEEQQVGKGYETIRARLSRGTVFVVPSGHPVVASASRDATLQIVCFDVHAENNERMYLAGTNSVLKKLDPQAKELAFASSAREVDEMLNAQQEEAFLAGPEQQSGRRGEEEEDEGRRRRHRGRGDEAVETLLRMAVGAA >LPERR03G23590.1 pep chromosome:Lperr_V1.4:3:20802095:20803083:-1 gene:LPERR03G23590 transcript:LPERR03G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAEQRAARPAPPPPVVIAPPPPAALRRKPPAREAPPPPPATEKLSPPPQQHDGPDHHKRMVPEPRVSPTPSIVPSAVIVPAAAPPPPRSDASESRLRVHIIATISIIALSYLAAAVTIF >LPERR03G23600.1 pep chromosome:Lperr_V1.4:3:20804307:20804974:-1 gene:LPERR03G23600 transcript:LPERR03G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHLAVLLGLLAFTAWVPAAAPATGGAVERAQVATGATTAEASCEPTILATQVALFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYTACGGLRPGGARLAAACEVSNEYTAFAVSYISRVLTCQHLRFTPFYSVWNFGCYTSEI >LPERR03G23610.1 pep chromosome:Lperr_V1.4:3:20806076:20806719:-1 gene:LPERR03G23610 transcript:LPERR03G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYWRHFHHRLLAPIALLAVVIGLGVVEPCDTSDFVVGLDVYCRRPKISNVKLCCEEVENIFNVSMPTDDLRCLCNLDYMKFGRASGRLGGGLAALVDFYTMCGGSSPRAAQLLVQVCKLRGKEPAASSERLHTTRLHVVILSRSTSTAVSKTRRTLFGTPSVA >LPERR03G23620.1 pep chromosome:Lperr_V1.4:3:20808273:20808836:-1 gene:LPERR03G23620 transcript:LPERR03G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSHHAILLGLLAFAAAAATDVYPEPQFPCEAHSLANQVQLHCGGAPPSELCCAAVVVTVETYGGVPCVCRVAASPKLRYSGLDDAAYLLELYAACGGDRLTATCKGEKEPAPAPSGARLLHTGVTRRHLGQQHAGGGIEDEDIPADAALLATPSLE >LPERR03G23630.1 pep chromosome:Lperr_V1.4:3:20810872:20811529:-1 gene:LPERR03G23630 transcript:LPERR03G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHIAVLLGFLVTFTAGTMNPFPAVALTNGGEGCDASSLAVGLNRYCSSTEAPPTAACCHVAGDVFRATMGGDPDCICNIHRDWAFIDIGITLEHLAHILGSSDLKPDDQVLADIYTDCGGPNPAGARLAVRACESRGTEPAELPLPPPSSDTPL >LPERR03G23640.1 pep chromosome:Lperr_V1.4:3:20811239:20813729:1 gene:LPERR03G23640 transcript:LPERR03G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFECDPDIYEGPVPVDVADAVGVTPHGRAEDVSRHVAASGGRRRLRGRAVSVQSHGQARGIASLAAVGEGHGWEWVHRPGSEGDEEAEQDSDVGALHGVFARTAANNDLLALPDDVLTDILRRLPPRSLAAARCVCAPWRFAIDSRCLLRADLLPLSLAGIFLWFDGLRFPEFFSRPLSTTPTRPAISGKLDYMPNKKMLYRILDHCNGLLLLTTHVVNPATRRCVTLPIPRSPRARDIFGRRYIVFDPTVSPHYDVIQIPSITRSMEQDPIMRESEWPPSPFAMLVFSSVSKKWEDRLFLREGEAAGTVGELARFSGENRAVYWHGALYLHAYRYVTRLSLSDGKYRVIKHPPGIDMSRRFTVYLGKSEKGVYLASLDHDLDYALSVWILNETLGKAEWVLKHQNTLKPLLQRREYSQQVHGPWILQDVNYDLYRSNFGGRWCLNVKYDDLSLEGNSEAPVADKFEWHSDDDDDDDVVDTQDGVEENNNNIRISILGFHPYREIIFLNLTCRRGLCYHLNSSKMEDLGSLFPKNYNEFTEQWGEFINDSFPYTPCWIGEFPEIPSEDHLYRN >LPERR03G23640.2 pep chromosome:Lperr_V1.4:3:20813223:20815140:1 gene:LPERR03G23640 transcript:LPERR03G23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSSFTASCLLGLAVVAAVIAAPSSAQQLPPPQQQPPLPPQQPPGQPANATPSCPPVQASMSPCVSYFIGNSSSPSDACCVQMREMFRSQAPCLCAAVSSAPSQLAPVLGGVQSLLPTSCNLPPNACADASAGSSTAPPSSGTTAAAPATEPAGTDPATAAAGGGSKTVPTIPASAGVGGHGHGASAVGVAVLMSSLLAYGCMI >LPERR03G23650.1 pep chromosome:Lperr_V1.4:3:20816080:20818148:1 gene:LPERR03G23650 transcript:LPERR03G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVHGVRLALAIVAVVVVAATPCAGQRPRGTPPLALPSCPAAPISLSPCIGYVFGAGSATLSSCCSELRTFFLSQGPCLCAASKLATAGPFGLLLGQAQVLKPLIPNVCNLPSNPCDDVVVESSEPASKTPLAPAAAPDTPAMTPSEEPDDDPEESEAPPVPVDHSPAAVTAPGQVVPGDAGSSAGSQIGTKLPELLHSAGVASSRNTGAGAVLITLSLAYVSAMYI >LPERR03G23660.1 pep chromosome:Lperr_V1.4:3:20818913:20820776:-1 gene:LPERR03G23660 transcript:LPERR03G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGQNSLPTDPLLPSALPSFAPEPQPQLPEEPEGDKASGAYIRSLERTLKDRNALLDARGRDFLAVLQNAVRREEERRRNKDSAPSSARHEPSAAAVAMAKPKVERSFGEGFVPIILVPSASQTLITIYNVREFLEDGVFMPSDERMRAMKGSGKPECVMVQKKLIRAERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNVKIISVSV >LPERR03G23670.1 pep chromosome:Lperr_V1.4:3:20821094:20821645:1 gene:LPERR03G23670 transcript:LPERR03G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAGITLREFTEDDAEALFVWASDPRVVRFQRREAYSHVDEARRYIVDKILPHPWYRAICVSGSNDDRPVGSISVNPAAENDGCCCCCRASVGYRVAQAYWGRGVATGAVRAAAEAVFAEWPWLERLEAVADVENPASQRVLEKAGFVREGVLRRYVLLKGRPRDMVMFSRVREETTPR >LPERR03G23680.1 pep chromosome:Lperr_V1.4:3:20824971:20825960:1 gene:LPERR03G23680 transcript:LPERR03G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDVDGAHQWPDAADTSPEVTLRPLGLADADDFMAWASDDRVMRFLPRRPMCATREQAVQHIRDTVLPHPWFRAICVDAGGGDRPVGQVSVWPYADEGGHRANLGYALAHGVWGRGIASKAIKMVVARVFDEVAGLERLEAITDVDNVRSQRVLEKAGFKREGVLRRYIVLRNGEVTDAVIYSFLASDRP >LPERR03G23690.1 pep chromosome:Lperr_V1.4:3:20827928:20830159:-1 gene:LPERR03G23690 transcript:LPERR03G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEPMARKSLSSPRPFMALPQAGFFDGGAGAGEGPSASMSPTSILEAKQFCCSAAMPPFLSERSLMRAHMEMTPPEPASAGGLADVLRDHRDTKAVVGGGKVVFGSQLRIQVPTGARAVELVSSPIEFGVKNRDAHLAVAAMSPARRFLPEVVSSPSARVFAGGAVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKMDGAGDDGDAVRANGFLTTCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >LPERR03G23700.1 pep chromosome:Lperr_V1.4:3:20857361:20858343:-1 gene:LPERR03G23700 transcript:LPERR03G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPLSNTHVKQTLEDKSTIFHPPTSKKSAAKDPSCTQIKGLSYKAYFEFLSLTSGPYLPYAVEHVQGPSLASTAPLSQANGWRGNAMNTQPPPLSPSPHSTLPFSPNQSTSEHGIIHSLRSHRETEHWLPEQPRNNPSSPAPAASPPSPFLYDFGGRKPGDAVPIAAGLPAAPPPLRSPFPTLWSTTGRATSPPTSPFHPRGSARSNRPLVPEPGDRRRSSFPAVHRPTPSISLISGATHRARAVDPTLSAAAGSTSSSPAPSEPRRRTQNAR >LPERR03G23700.2 pep chromosome:Lperr_V1.4:3:20857345:20858343:-1 gene:LPERR03G23700 transcript:LPERR03G23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPLSNTHVKQTLEDKSTIFHPPTSKKSAAKDPSCTQIKGLSYKAYFEFLSLTSGPYLPYAVEHVQGPSLASTAPLSQANGWRGNAMNTQPPPLSPSPHSTLPFSPNQSTSEHGIIHSLRSHRETEHWLPEQPRNNPSSPAPAASPPSPFLYDFGGRKPGDAVPIAAGLPAAPPPLRSPFPTLWSTTGRATSPPTSPFHPRGSARSNRPLVPEPGDRRRSSFPAVHRPTPSISLISKPRTDSSRTEHLWDLANLPVAPLLQVEPRIGPAPLTRRFPPPPGQLPRRRRHPNLAAAHKMLGEPPGS >LPERR03G23710.1 pep chromosome:Lperr_V1.4:3:20866183:20866530:1 gene:LPERR03G23710 transcript:LPERR03G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVNCECCGLREDCTQDYIAGVRASFYGQWLCGLCCEAVRDEACRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSGDLSKPDTSKNFSTVQVVDESSVSLY >LPERR03G23720.1 pep chromosome:Lperr_V1.4:3:20869163:20872746:1 gene:LPERR03G23720 transcript:LPERR03G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLSQLALRRLLSPPPAAARRRPGPVAAEAVSGGGGATALLRRGGGSGVAASGWSGGPGLRLARRFCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDARRMKVVEMGGAQELLNVLEGAKDDKTRKQVLKALVALSKSEKAAGFLDKAGAYAIVSSTPNSPDPEYSEIETYKISILKAFDELKS >LPERR03G23730.1 pep chromosome:Lperr_V1.4:3:20874961:20881102:1 gene:LPERR03G23730 transcript:LPERR03G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPGAAGIDPIAEEPPHSSAAADGGADPAGLACAISAEASAVLAVMRRSLRHPRAAADDAAADHPLVSSLKALRRLVFSPSPSLPAAVLRPFLDAVRSEDAGAAVTSASLAALHEVMSLMGASLPGAALREVVDAVASCRFEAGAEAAAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAAAKGELLQRFSRHAMHELIRCVFARLPQIGSGDGVDGSVKPEMGGMDKNHPFGVGQMENGNGSYASGAVASDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGADEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFACIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELSNLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNTTTRPELMPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQAPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEVVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETIQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEHITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSASSLARWSQDTKGTGEEADKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLIATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILVAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPCQEYEQQSSAGSPRGPNGVESRD >LPERR03G23740.1 pep chromosome:Lperr_V1.4:3:20881279:20884840:-1 gene:LPERR03G23740 transcript:LPERR03G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWIILDPTSGKMEYKIRRNETAIKGTILFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPSTLGTVATAVANANATALEATKEIEAAMKVSMRAALGLVTNNSNEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRVLCSEIERLRQAMERQMEQSMFKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAVRIKEAADKLHAVEKEKEELLSLVSILQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >LPERR03G23750.1 pep chromosome:Lperr_V1.4:3:20889288:20890378:1 gene:LPERR03G23750 transcript:LPERR03G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGALLGQEECHEAMNAMVVDQREHRRGNWTVPETMVLIEAKKRVHEGRRAAADQGMARWRWVEDFCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHDLAAAAGGGEGRESYWAMCRAERKERGLPANLLREIYDAMGEIVERRMSSGGGGGGGGGVFLGSSPSSAAAAAAAVQASPLAQLLPRPLETTTAAAANCRSGSPERKRRRPSLDNERQQQPASGRHHQQQEHHDDGEYDHHGDEDEESSEEEGLGGAMGRCAAILSVALEKREAAEERRHREVVAVEERRGRARQARREAGEQCVAGLAAAVSQLAGSMLALAAKRRGPASPK >LPERR03G23760.1 pep chromosome:Lperr_V1.4:3:20898764:20902790:1 gene:LPERR03G23760 transcript:LPERR03G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLIQGQPAQNPQAGMVLSQRPNERLVSSSSCCS >LPERR03G23760.2 pep chromosome:Lperr_V1.4:3:20898764:20904270:1 gene:LPERR03G23760 transcript:LPERR03G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLIQGQPAQNPQAGMVLSQRPNERLVSSSSCCS >LPERR03G23770.1 pep chromosome:Lperr_V1.4:3:20903328:20905813:-1 gene:LPERR03G23770 transcript:LPERR03G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGYKYQAGLMRDYLLADPVVPYTSVLIGIVLCKMAYDLTRLLSSFYFKCYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVVSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYVLHHTLALVAIAYTMLSGEGQFYTYMILISETTTPEINLRWFLDTAGMKKSSAYLVNGILMFVAWLVVQMHAFGYYLTFVVPAALFVMNTMWFMKILKGVKKTLGKWS >LPERR03G23780.1 pep chromosome:Lperr_V1.4:3:20915921:20918557:-1 gene:LPERR03G23780 transcript:LPERR03G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPADLDAVSLGRLSKNLELLLDPTFLNCADAEIVLATGGGDPGDGAAAVGVHRCILAARSRFFLDHFSSAAATATATATAGGGGEKPRLELDGLVPGGCHIGRDALVAVLGYLYTGRLRPPPTEAAVCLDEGVDGCNHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILLVASTCQLPDLLNQCIQRVANSNLDNRYLEKRLPDDIYVKVKEARVPDESHSSILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAVHYAAAYCEPKVLAELLKLDSANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGILQQEIKRRSIILEDQMSADESIATPLIYDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGLTSANFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRRYFPHCSDVLDKFLNEESTDLFFLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYERKVTHSYRKGKLSR >LPERR03G23790.1 pep chromosome:Lperr_V1.4:3:20923699:20933167:-1 gene:LPERR03G23790 transcript:LPERR03G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTLALLSVTATLLAVAGAQNTTTMSPPVAGGADQCAAPDEADGACHNVARALRLKLIAIPTILASSVIGVCLPLFSRSVPALRPDRNLFVVVKAFASGVILATGYMHVLPDSFNNLTSPCLPKRPWSEFPFTAFVAMLAAVFTLMVDSLMLTYYNRTKRGEVAAVVADDESPEQGHWHGHGHGHGMAVAKPDDVEASQVQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGVRMKSVLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLAAFLAVLLGAGGMSIMAKWAAARRSRDAVTRPPRGRRVRSAALFQLPCALTPSLAGAVRSAAGRFCVSPSRARERSSSRQPQQKKKNDTTRARIMSSKSQAPLLAVLFLIILTSSSVAVATTDAPPPPATTRHGECGGPAVGGQCHSVPRALRLKLIAIPAILVASVVGVCLPLFSRSVPALRPGSNLFVVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPKKPWREFPFTAFVAMLAAVFTLMVDSLMMMFHTRASRAAVANHDHDETVVPVVAHGHGHGHCHALGQTDVVAALSTTEADKAGDVETATATKTQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAEYGGRMRSVLVFFFSTTTPFGIALGLALTKVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLVAFLAVVLGAGGMSVMAKWA >LPERR03G23800.1 pep chromosome:Lperr_V1.4:3:20926183:20929103:1 gene:LPERR03G23800 transcript:LPERR03G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSALVVSFFFFCCGWRLLLRSRARDGETQKRPAALRTAPASDGVRAHGSWKRAAERTRRPRGGRVTASRERRAALPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTGSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGTASREPISVTLTEKARKISSEETQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPKNFRHNLIPKYPEFFSVRDVKGLDNLCLESWDSSLAVTAREEKLNFDGFQMDYRGIPKDGNIVGPFSFRLKYPDGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRAVGVLHEILSLTMDRRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGSRSTVFLKEAYDDTYLVEKCPLLKFHDRFASLIGRTCSDSNDLVTA >LPERR03G23800.2 pep chromosome:Lperr_V1.4:3:20926346:20928847:1 gene:LPERR03G23800 transcript:LPERR03G23800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGKDRALPLIQLKAMSSYSAGHDRRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTGSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGTASREPISVTLTEKARKISSEETQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPKNFRHNLIPKYPEFFSVRDVKGLDNLCLESWDSSLAVTAREEKLNFDGFQMDYRGIPKDGNIVGPFSFRLKYPDGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRAVGVLHEILSLTMDRRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGSRSTVFLKEAYDDTYLVEKCPLLKFHDRFASLIGRTCSDSNDLVTA >LPERR03G23810.1 pep chromosome:Lperr_V1.4:3:20937166:20942149:-1 gene:LPERR03G23810 transcript:LPERR03G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGAKRAATSDPTASELPSKRVMDGPSFDVHRAESSHQHMMAGPATLDPQRAEAASKHVRALNTQFASWVQSQLQNHPAELWEDGMKDYISHASEIMEKFKDVVNWLRQNKTGSAVVSSPSPPNDEKKTASPAAVDNKFMMAQPSSDNGQKSPVTAASSPLFQNSSSPNLFSFSSQQKTPAFIGIFGDKKNLPGDSNKPTFQFGANNGFTTPSTPSIFSASATQNFSMPTQTMFSVNQQPFISGNKNTTEASGDADEDAEPEQPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDASKGWKDIGVGQLKIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNIQKNTVASIFHTSDGQSSESGSDTVVARTYLFRLKNEDEATKLSAAIKENAPSE >LPERR03G23820.1 pep chromosome:Lperr_V1.4:3:20942808:20943364:1 gene:LPERR03G23820 transcript:LPERR03G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKGEEEEGMEPQEEGPTLLPLPDDTVAEVLRRLPSRDLAVSRCVCTAWRDIVDGHRILLPRAPHDELTYLQNKNYDPIIRDHCNGLLLLYNGVVNPATCQWAPLPQRPSPFVGKGNNNYFCYENYLAFDPAMSPHYQVFSVPRILHKSQPGDIFFTIVTVTL >LPERR03G23830.1 pep chromosome:Lperr_V1.4:3:20960506:20964798:1 gene:LPERR03G23830 transcript:LPERR03G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCHAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVVNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLIQDIASRIYVLE >LPERR03G23840.1 pep chromosome:Lperr_V1.4:3:20963998:20964682:-1 gene:LPERR03G23840 transcript:LPERR03G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSRGFGFVTFSSEQSMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGFGGGGGGYGGQRQGGYGGGGGGYGRREGGGGGYGGGGNRGYGGGGGYGGGGGGSGGNWRN >LPERR03G23850.1 pep chromosome:Lperr_V1.4:3:20976404:20981229:1 gene:LPERR03G23850 transcript:LPERR03G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVPVDSEAPVPPRRRRRPSVPRLAVAVTVTGETAKSLHLSAPLHPRARFLVDPPPDATINSTTGPSKKQQLKSKQKLEKKLSFYTKVKDTVTSLNATKTISKKTKQRSRKKKLKAYDLSAFTEFLPETDASKQDTETKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSAIKESDSAKQGKNPKDKKRKKSKKKKNASSTSEAMDI >LPERR03G23860.1 pep chromosome:Lperr_V1.4:3:20981711:20984228:-1 gene:LPERR03G23860 transcript:LPERR03G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAAAMAAEKERAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMSELGWGPGVAAMILSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVAPAGAPEIRTTYFIVIFASLHLVLSQLPNFNSITGVSFAAAVMSLSYSTIAWVASVHKGRGSAAVGGVVDYSLTASTATGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSSPDKPSKKPMWRGVVLAYIVVAICYFPVAFLGYYIFGNAVDDNILITLEKPRWLIAAANMFVVVHVIGSYQIYAMPVFDMLETLLVKKMRFKPGLPLRLIARSLYVLFTMFVGIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIMKPRRFGMSWCMNWFCIIVGVLLSVLAPIGGLRSIIVNAQSYKFFS >LPERR03G23870.1 pep chromosome:Lperr_V1.4:3:20986941:20989652:-1 gene:LPERR03G23870 transcript:LPERR03G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTLPRVMLASAALRVALLVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLLPNTLVHPAWGKVLFSAADLLVGMFIDIILKLRGIPDRTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGAAFWYGLIVHFRIYPIIYAIPFVIVLGKSYAGPAGKPILTQWTSKQHLQSDKSSPSVEGATSSFTNLWSFLRSLVTRNTIMFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALIVRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMKLNWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIQLWAAGLMFLAANIFVMLMVINHHRSTPLFSSSSVKFAGKVASKKE >LPERR03G23880.1 pep chromosome:Lperr_V1.4:3:20989867:20994540:1 gene:LPERR03G23880 transcript:LPERR03G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPTALRYPHHAAAAACLGLLRSSGGGDPCPPPCTLSFRLGGISLRKGHQCAGAVSATRSPGLGNAENLRESPNLSRSWGVNGQIDGDRDVLIECRDVHKSFGDKKVLNGVSFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDMSGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIAALVTETLGAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGNIASYVVVTHQHSTIKRAVDRLLFLHQGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIRYF >LPERR03G23890.1 pep chromosome:Lperr_V1.4:3:20997061:20997432:-1 gene:LPERR03G23890 transcript:LPERR03G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSRLLLLRLGKPPPAIPIPLLSHLTPQIAEAAAPAAAPCPGAIPSESPAPNLRDVLCSFHPGLQIYPSLDPRGEGIEEEGDGDGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >LPERR03G23900.1 pep chromosome:Lperr_V1.4:3:20997511:20997827:1 gene:LPERR03G23900 transcript:LPERR03G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPIRPIVQTRLRIRPVGLRIQLFKIQRLPQEYRDLKNSAWPPLQLVLDVFSSRTGKWEKRSFFREGDAVGTVASV >LPERR03G23910.1 pep chromosome:Lperr_V1.4:3:20998177:20999828:1 gene:LPERR03G23910 transcript:LPERR03G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPDDVLTDVLRRLDPRSLAACRCVCKPWRDLIHARRRRNLSPALLPRSLAGIYVGGQPTRRPSQISGTLHFLPSTAAGDDDNKPRQPRRHEIQDHCNGLLLLGDPDNDNRAVAVVNPATRWHSSPLPPRPPPWMGESTFPADFLVYDPAVSSDYEILSVPCFRRNCSGCCCSPSSGDAHRVLLDEFAEWPPLLHTLDVYSSSTGRWEERTFHRQGKAVRPICDMRFDFSGHKCKAVYWRGALYVHYKTYFIMRISLSDDKYQVIRMPEVKGISFPIFCLGRSEKGMYLALLIQPCTLRVWVIDESSDKMEWVLKHDKELNLVFPHQNHRGWILQDLDKETKYHKEEKDLEWRSDDENNVIPTVYRGYRGRINVLGFHPYKEIVFLCKAFQTGLAYHLNTSKIDILGNLKLATSYDEVLSDKNFIAIKYQFKLS >LPERR03G23920.1 pep chromosome:Lperr_V1.4:3:21002306:21004949:-1 gene:LPERR03G23920 transcript:LPERR03G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRATDAEGEVGSLVALDASIKLDPCGAGHRGREDLPRGRGVFVPLRPERLFPRLPPDPPRAGDPEATRRSRVYRRKEGEQLSAAAAAAGAVAKASSSAAAAAARVSAMITRSKLVEQLRDYQIRSQHKRDIIGAVSWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >LPERR03G23930.1 pep chromosome:Lperr_V1.4:3:21005221:21005988:-1 gene:LPERR03G23930 transcript:LPERR03G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCHHHHLLLGVLLLAAGAAEGIAEAQAAPQQQPRETAAVVDARCELYAVATQLALHCLPAADADTDATPAAPVDAQCCSTVSYAVHLDSGFRCLCAAAAQQQLVIGGLSSARLLALYASCGGRRPVDSRLAAACAAMARSGDVSAEACDPANLADQVTRYCGRSPDAPPTAQCCEPVVATVDLAGGGEPSCLCRELAEPRLVVAGAAMNATGLLAMYTACGGLRAVGADVTDGCIHPRTRSTTAAKMISAAYP >LPERR03G23940.1 pep chromosome:Lperr_V1.4:3:21009620:21012557:1 gene:LPERR03G23940 transcript:LPERR03G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSVSWLVAVVVIVIVITADPRLTLSSFPGSVVSHLSASLLPLPLFIFPFLLDSLTTQLESMEAESRKLLLALAVAVSLCCFVGVSNAQSYIGVNYGEVADNLPAPEETAKLLKSTTISKVRLYGVDAGMIRALAGTGISIVVGVANGDIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAASAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDITPQLAQILGFLSKTSAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDGGSKIKYTNMFDAQLDAVKSALGRAGYGGVEIVVAETGWPTKGDSSEAGATPENARAYVSNLVAHLRSGAGTPLMPGKSVDTYLFALYDEDLKPGPASERSFGLYHTDLTMAYDAGLTSTSSPSGSGAAQQPRGSGGWCVASGGATEAELQADLDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRNPWNCDFRSSATLTSDNPSYGSCVYTGGQ >LPERR03G23950.1 pep chromosome:Lperr_V1.4:3:21015701:21022936:1 gene:LPERR03G23950 transcript:LPERR03G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVELKEKHAVATASVNSLRERLRQRRQMLLDTDVERYSKAQGRTPVSFNSTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSVSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >LPERR03G23960.1 pep chromosome:Lperr_V1.4:3:21024415:21025793:1 gene:LPERR03G23960 transcript:LPERR03G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGAICSRLVAPLFNHDCRLRLFNPISSPPPFLPIFLHHHLRPRPLSAVAMATNGNATAAADSAAVHEPPHKIAKVSPLLKVKKLSENAVLPSRGSAHAAGYDLSSAAEAVVPARGKAMVPTDLSIAIPDGTYARIAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDVDFVVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >LPERR03G23970.1 pep chromosome:Lperr_V1.4:3:21026498:21033886:1 gene:LPERR03G23970 transcript:LPERR03G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKELEVKQLEDEEVTELEEEEVEAAEGGEAQEAVEPEPPVRRASTFVELGICPELVSACDAMGWKEPTGIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAIQIGQQFEALGSAISLRCSVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKAIDDILNVIPKERRTYLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCYLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVNVVINYDIPINSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLEKEIPDRKVDNAEIMILRERISDAKRIALTTMKESGGHKKKRRKTDDDDDDEEERNAPVSRKSKYKKSGRR >LPERR03G23980.1 pep chromosome:Lperr_V1.4:3:21033462:21035244:-1 gene:LPERR03G23980 transcript:LPERR03G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLARYPSLEELSVAGARLSSLAEGGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVDELAPLAPHGIESLDLYQCPVTKVKGYRGKVFALIPSLKYLDGMDAEGNDRLDSDEEEDDEEDEEEDEEGAEGEGEGDEEEEEGGEEEEEGEEDDEVEGDEEEDEEEDGDDEAEDEEDEAADKPDVDAEDKSKVANGSKGSSGSAQSNKRKRDNEDDANGDN >LPERR03G23990.1 pep chromosome:Lperr_V1.4:3:21040909:21041912:-1 gene:LPERR03G23990 transcript:LPERR03G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAHHLPPIQPSAAVVAGESMFSPRQACFVGAGEVVPGAVMGGICQEQQQVMQAPYAAAAPGAVEVMRQYSQMCAAAESGITFGGAGGGAPAPSRKRKIAEQQPTTLDMSVAAQARQQVVDVDRLVLHHAAKMWAELAEQRRRHARQMVAAVEAAAARRLRAKDEEIERIGRLNWALEERLKGMYVEAQVWRDLAQSNEAAANALRADLDHLLNSQTRHAVAANSHSDAASCCYGDNDVTADDEAASTSACRGCGEAAAAVLMLPCRHLSACEACAAAASACPACGCAKNGSVCVNFS >LPERR03G24000.1 pep chromosome:Lperr_V1.4:3:21062684:21065560:-1 gene:LPERR03G24000 transcript:LPERR03G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLQKRLALLPHLQDPILSQKKIYILVHDLEKEAGFVQKWNFLSVIERHPSIFCVSGKVGNEKGRPTGA >LPERR03G24010.1 pep chromosome:Lperr_V1.4:3:21067844:21069309:-1 gene:LPERR03G24010 transcript:LPERR03G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDQRTVASLPDDVLAAVFRRLVPRCLAASRCVCKPWRDLVDSRRLLRADLLPRSLAGIFINYYDLPCSEFFARPSTTTGSTAISGHLDEFIPDKYHRHQVEDHCNVLLLIRHTGYVVNPATRWWTRLPPYPPPRKEMDYFHIAYLVFDPVVSSHYEVFLMPLFHHKCRPHFFHNGQDPMEAWEWPPASYAVPTFSSREGVWQDRSFVREGEAACTIADMRSDWPFDRRNGVYWRGAIYVHCQTNFFIRISLNDDKYRVIKPPENSDGRYLAYFYLGRSEKGVYLASSGDGCLKVWTIDETYSEIRWELKYSNKVKHVLRGRNNRQGLGPWILQDINFHTYPEIYEDDIMDKLDQNKVKWDPSEEAALEKFEWTSDDESVPDNEDRVTGGYHNIIGFHPYKEIIFLGESLERALAYHLSSSKAESIGYLYPSTYNLETCNNQSITASFPYTPCLM >LPERR03G24020.1 pep chromosome:Lperr_V1.4:3:21080848:21082290:-1 gene:LPERR03G24020 transcript:LPERR03G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFVKHVHSNKGHLRRLAPRCLAVSRCVCKPWRDLVDERRLLRVADLLPHSLAGFFVNFHNLFDSEFFARPSITGTAASGIFSFLPDTEEFSSSLVEDHCNGLLLFWLSDCVVNPAMGWWARLPPRPPPCKKMDYSHDPYLVFDPAVSPHYEVFLIQTFHLPCDPRYSKRRDHVPDLAVETSEWPPTSYALPVFSSKEGLWQERSFHREGEAACTITDMRLGRNRDQKRNAVYWRGALYIHCQTDFLIRISLNDDSYQVIKTPEYSGSYYDFYLGRSQNGVYLALYEGGCLQVWILDETCSKIRWELKHNKDIKHILLGRNNRQGLGPWILQDIYEDDIMEMLEQNKVKCDPDKEAALEKFEWISDDENALDNDDRVMRGSHGHIDIIGFHPYKEILFLGESLKTGLAYHLNSSKIEDIGDLYPPYCDLELINEQFITASFPYTPCLM >LPERR03G24030.1 pep chromosome:Lperr_V1.4:3:21081633:21082357:1 gene:LPERR03G24030 transcript:LPERR03G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAIRKSPLQGPETEDTRGGGASYGWTGEEFRVKKVVEVDEEAGERVREEIGHAQQAALVNEVAPWLADAAGHGEAARRETAEVSLVGVYMLHKLAHLLY >LPERR03G24040.1 pep chromosome:Lperr_V1.4:3:21100348:21101594:1 gene:LPERR03G24040 transcript:LPERR03G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKREGVYDVGFIDPYVVYSTNVKDKAEETERNFLRFLWKQAHKKRIIFPYVFSAWVRFTSKEPGEWKVPLRQKEGTNLCAFYVAESIMSRGQRRLSDLSDLEYRRDQVAKEDQHKAIQEALAGFLNDEVLDRKGEHYYDGRLGPTSVDCNIDLDDPNFY >LPERR03G24050.1 pep chromosome:Lperr_V1.4:3:21156780:21160290:1 gene:LPERR03G24050 transcript:LPERR03G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMEWAMETLQHLHPPPPPPSAGGYGGGGADTFPSLQALRESASQNGMAPPEPAAHEGHRATNSWSSGDTDSPSNEVATARNVPAARAPAVSPPTRRASAKGGAGGGGGGTGSSSSAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDAVRYVKELQEKLSELEDQNGRSVESAVLVKKPCIAAASDGRPSSPATVAGSSSSSGSCRRSLPEIEAKISHGNLMVRIHCENGKGLLVRLLAEVEGLHLAITHTNVMPFPACTAIITIMAKVEDGFSVTAEDIVGRLNAVLQQHHSRNSAEEKTSCQQQEAATC >LPERR03G24060.1 pep chromosome:Lperr_V1.4:3:21160732:21160983:1 gene:LPERR03G24060 transcript:LPERR03G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVHQENVAHLVIACAFAKQGDFLSWWVAARGRILQDQRKLFDGTISSTWLVFVVTKEHQSF >LPERR03G24070.1 pep chromosome:Lperr_V1.4:3:21168847:21172608:-1 gene:LPERR03G24070 transcript:LPERR03G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAATGTFPYLVAAASASSSARPRRGSVAYRIRASSSAAAVEGAAMDVVSEAEVREKGFMGLRKTKLVCTVGPACVGELPALARGGMGVARVNLCHGGRDWHRAVMREVRRLNDEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKRTDETHPFTMHVNFDKFSEGILVGDELVIDGGMATFEVTEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWDDIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVELCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFKQTIKLMKSKGSVKSGDTVLVVADSDLNRPCAATSVFQSIQVRIVD >LPERR03G24080.1 pep chromosome:Lperr_V1.4:3:21173732:21176312:-1 gene:LPERR03G24080 transcript:LPERR03G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATARSPSPGPAARPAVRRSADSSPLRAASPFDSPLKNSSAICRNASSPSPRASWCGAEKENGGDAAAGRTPKHGRPIQGGVVKNFMAPTISAASKASPRKKVLGDRNEQQQQLHSAPPVELPQKPTGRLRLSFDGAPAALPPPASNPMAAATAAARHSFGGEEERRVANPAEAEAHDAAVPYDPKTNYLSPRPRFLHYKPNPRIDLYRQGGGVRRLEDGFASSESSEETVTTTSSSEEEAELVEEEQEQQPQQLPSELGDDADVPAADAYAQLLKSASGSPRPPVLTPEPATRSPRARVQRSGPRANSPRARVLTPEPEPTASPARVRAKKRSMMRLLVAPLALVLFMAAALVCVPPPPDSPVMPRTALAKVSEYLSVQELHPVELAAWLKQWSSSSLNLVTSYWESHVWTQEQEFFGPHFAANLSAAAASADEGVDLYCNFVETRPVLMEDIGVSALEQDLKILEAVSASDSELISEISDVEQEAIPEEGNENGDAFLVEELNVEMTKEDVEMTEEVSGSHGEEMASFSQDLEHSQPAGEPEQIENIEATTASFEQDIQTDDSEGDRADAVKDSDAYHGTKSELGMWPSYLDKISKAATVGVALAAVLVPAALAFLLTRKKQTTQVAVNTAGAPAEQAEPTVEALSGSGSSEGHLCVKGSQLQTPMADEPERFVGGSGASMYSSSLSSGHGRRRNVKEDETMSLEPTSRRDSVATSSYGSFTTYEKIPAKKKSKEDEAMTPVRRSSRLRNQVKSPEA >LPERR03G24090.1 pep chromosome:Lperr_V1.4:3:21183351:21188220:-1 gene:LPERR03G24090 transcript:LPERR03G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTIGVLSSLLSKLATMADQKYAKVKSVRREITFLTDELSSMNALLAKLSDMEEEVADDAQLREWRNKVRELAYDIEDRVDAFFAAAAADDDDQHPGLIRRAARKIRNLRASYQVADQIHELKARVMEVSDRRLRYRLDDCVAAASAASLAIDPRLPALFSESKGLVGIEGPRNNLVSWLMDGEFELKVISIVGFGGLGKTTLAKEVNQRVGSQFQLKAFVSVSRSFNPKKLIRDVLSQIMDPKKYGTTSGMDVEQLIPILRKQLADKRYLVIIDDIWKIQAWDLVKSALPDNNCQSRIITTTRIITVAESCCSTIKDRIYHIEPLNEVESRKLFFKRIFPTEYGCPPHLEEVSNQILKKCGGLPLAILSIASSLANKPNIKEQWEIVKKSIGFALEGTPTLEGMNKILLFSYYDLPSHLKTCLLYLSIFPEDYVIESDKLVWRWIAEGLIVREMGKNLEQAGQICFNELINRSMIEPVGVRYDGKVLACQVHDMVLDMIISLSAQENFVTILLQGYEEEVMGEKIRRLSLRCNLPDAEVVQVTSKKFAHVRSMSLFGYKETLDLYGFQALRMLDLGQTVLFKQVKNIGKCYQLKHLDLSFTDIVELPEEIGTVQSLETLDIRYCKRLRMASTVGGLRKLVRLLVDYTVVLPDDIAGLVSLQVLSCASYNSIKFMQGLGQLAELRSLAFKCWNPDWDCDAVMYKKVSVASLRDLGKHKLQHLDISDDDAILDTLMCSSSDCLFPHLQKLVLSNHNIQRIPTWIGSLVNLSHLEIVVKTTRQNDLFTLGMNLPCLLYLKICRLYAPVERLIVQNQGFRCLKELYFQCWCPLGLAFAPGSMPWVQTIRLWFMPCYKSCDHGVVGIEHLTQIRLVDVETNMQVKSFEAVITAAVANHPSGPELVLRNSFERAAAQKKNWTEVETASGTLADPLQAPRQKHL >LPERR03G24100.1 pep chromosome:Lperr_V1.4:3:21197913:21198387:-1 gene:LPERR03G24100 transcript:LPERR03G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVLLLLALLFLLASNVHAKPVGFTEEKGIAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPISPQKLQNKSGQGDGRKKKLTHGNNRAATATATAGGRTMPDSYDDHSNYKPLSWRCKCGGLILNP >LPERR03G24110.1 pep chromosome:Lperr_V1.4:3:21202209:21204629:1 gene:LPERR03G24110 transcript:LPERR03G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGKVIGDGAGDDNIILNPEFDNGLDNWSGSGCKIELHDSLDDGKVVPVNGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSCAAAAPSLPCDVRTTLAVQTPDGRQQYISVAKCQASDKEWVQLQGKFLLNSNVAKAGIYIEGPPAGVDLLLDSLVVKHAQKATPAPAPDFQNVEYGANVLQNSDLDDGLNGWFGLGSCTLSVHDGAPRVLPFMARESLSPLGDDDDAPPLNGKHIHVTNRAQTWMGPAQIITDKLALYATYQVSAWVRVGATATTPQNINVAVAVDSQWLNGGQVLARDGRWYEIGGSFRVESKPSSRVMLYVQGPDPGVDLMVAGLQVFAVDRKSRVKHLRKLTDKVRKRDVVVKVTASSSAGAAAAGETEVRVRQVSNSFPLGACIMRTNMDNEDYVDFFTKHFNWAVFGNELKWYWTEPQKGQLNYADADDLLKLCDDHNMCVRGHCIFWEVDNAVQQWVKTLSTDDLTAAVTSRINGLLTRYKGRFRHYDVNNEMLHGSFYQDKLGKEIRAEMFRTAGQLDPDALLFVNDYNVESMCDVRATPEAYIEQITGLQQQGAPVGGVGLQGHVSNPVGPVVRSVLDRLAVLGLPLWFTELDVSSANEHVRADDLEVMLREAYAHPAVDGVVLWGFWELFMSRDDAHLVDAEGEVNEAGKRLLQLKKEWLTRAHGHADGNGEFRFRGYHGAYRVDVVTPAGGKVSQEFTVDKDDSPLVLNITV >LPERR03G24120.1 pep chromosome:Lperr_V1.4:3:21207589:21220917:-1 gene:LPERR03G24120 transcript:LPERR03G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVPLLAPDSKAATSPLCLTLDNPTSTSTSPATAPAASPAALEPSRQSYHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSPSEDRRHEQSSDPELDEFMETYIDMLVSYRQDLTRPIQEADQFFRNMEAQIDSFTLDDQETTAGDMSVGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYRSLQLPAANYGAGASTSSSSAAVRGMEGQHFTGGGSVYPRGAP >LPERR03G24130.1 pep chromosome:Lperr_V1.4:3:21249201:21249425:1 gene:LPERR03G24130 transcript:LPERR03G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQVKCGTCGKSTWAGCGRHVASVHSQIPEGQHCACRDWPGVAAAAGEKKPDAAADAGKTAAAAAAGEQSSST >LPERR03G24140.1 pep chromosome:Lperr_V1.4:3:21251833:21256888:-1 gene:LPERR03G24140 transcript:LPERR03G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHPLVSGSNPNSAIPFSQPRDCTFSSPPLSESRPSMPAPPASDQSETIIKAKIMSHPLYPSLLRAFVDCRKVGAPVDVVGRLSSLTNELESFSGDWHPAEQPIADPELDQFMETYCYMLTRYGQELASPIQEAEEFFRGMEAQIASLVIDDGVSCEGVDYAPSEDDENAGDAFGNDKPTKSHLLNKYSGYLSSLLREISKKKKNNKGHLPRDARQKLLQWWHLHYRWPYPSEVEKAALAESTGLDKKQITNWFINQRKRHWNPVSPMAPAAMDDLSFLHPQLYCANSSNSSAAALQYK >LPERR03G24150.1 pep chromosome:Lperr_V1.4:3:21267732:21268171:-1 gene:LPERR03G24150 transcript:LPERR03G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRRDLREAGYGRGDPGEAGSNRVDLSGGRGDDDDQFFFFGTKNSFLGRWIIIFLQEGGEPCGSKRFIAGAPSTKTIFGCTDLQIRAVGWRCMGRGGTGRGLHAKVTHIPAEQR >LPERR03G24160.1 pep chromosome:Lperr_V1.4:3:21273236:21281559:-1 gene:LPERR03G24160 transcript:LPERR03G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDSSPTPNSSSRFPFSFPAFLPIETSTSNPTNPLSPSPSIANQAPLLSRSIASDRLSDPPTKIPIGERSPGSFSSAALIGGLPSGRDSHRSVLRRRVALVDFGARRRMRVEATGFTRPAAIATLMFSSEFPMDMKVAALLTSAGINIGFCVLFWSLYSILRKQPQNVKVYFGRRIAEEDSRLREAFILERFVPSTRWIMRSLRCTEDELLATAGLDAVVFNRILVFSIRIFCVAAFLCVLGVLPLNYFGQDMLHVQIPAASLETFTIGNMQEKSRWLWVHCVVLYIISGAACLFLYLEYKHIAKLRLLHVSRAATNPSHFTVLVRGIPKSTKESFSCTVDSFFTKYHASSYLSHQIIYKVGKVQKIVTGAKKAYRKFKHFKGTTVDQRCRPITYRCGLCGASSDSFQPLPIEHEQDSEKPSVDDLDLSIPDKDCGAAFVFFKTRYAALIVAEILQTSNPMKWVTSLAPERDDVYWSNLWLPYRQLWIRRIATLLGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLKPILEKKYITQLVTGYLPSVILQIFLYTVPPVMMLFATLEGPVSHSERKKSACCKVLYFTIWNVFFANVLSGSAISQVNALSSPKDIPMQLARAVPVQATFFTTYVLTSGWASLSAEIMQLFGLTWNFIMKYVFRRKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQVISLGVFGLKESPVAAGFTVPLIILTLLFNQYCRGRLLPLFKTLPAQDLIDMDREDEQSGRMDDIHHHLHSAYCQFAGTADIPLKEVHVDKDEDGSASSGESSCKEDSNQPTTSDISHPTLDGLPVSRLRHAVRSLGFIIRLQKRGLSQ >LPERR03G24160.2 pep chromosome:Lperr_V1.4:3:21273236:21279114:-1 gene:LPERR03G24160 transcript:LPERR03G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAALLTSAGINIGFCVLFWSLYSILRKQPQNVKVYFGRRIAEEDSRLREAFILERFVPSTRWIMRSLRCTEDELLATAGLDAVVFNRILVFSIRIFCVAAFLCVLGVLPLNYFGQDMLHVQIPAASLETFTIGNMQEKSRWLWVHCVVLYIISGAACLFLYLEYKHIAKLRLLHVSRAATNPSHFTVLVRGIPKSTKESFSCTVDSFFTKYHASSYLSHQIIYKVGKVQKIVTGAKKAYRKFKHFKGTTVDQRCRPITYRCGLCGASSDSFQPLPIEHEQDSEKPSVDDLDLSIPDKDCGAAFVFFKTRYAALIVAEILQTSNPMKWVTSLAPERDDVYWSNLWLPYRQLWIRRIATLLGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLKPILEKKYITQLVTGYLPSVILQIFLYTVPPVMMLFATLEGPVSHSERKKSACCKVLYFTIWNVFFANVLSGSAISQVNALSSPKDIPMQLARAVPVQATFFTTYVLTSGWASLSAEIMQLFGLTWNFIMKYVFRRKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQVISLGVFGLKESPVAAGFTVPLIILTLLFNQYCRGRLLPLFKTLPAQDLIDMDREDEQSGRMDDIHHHLHSAYCQFAGTADIPLKEVHVDKDEDGSASSGESSCKEDSNQPTTSDISHPTLDGLPVSRLRHAVRSLGFIIRLQKRGLSQ >LPERR03G24160.3 pep chromosome:Lperr_V1.4:3:21279188:21281559:-1 gene:LPERR03G24160 transcript:LPERR03G24160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDSSPTPNSSSRFPFSFPAFLPIETSTSNPTNPLSPSPSIANQAPLLSRSIASDRLSDPPTKIPIGERSPGSFSSAALIGGLPSGRDSHRSVLRRRVALVDFGARRRMRVEATGN >LPERR03G24170.1 pep chromosome:Lperr_V1.4:3:21282875:21285502:-1 gene:LPERR03G24170 transcript:LPERR03G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLQIHLAVANSGAPPPVAVSSHRFPASEVAGRLPSSLSPSPRREERRPPLPPSSRLGTPAHRHQLYSLSF >LPERR03G24180.1 pep chromosome:Lperr_V1.4:3:21294896:21300670:1 gene:LPERR03G24180 transcript:LPERR03G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAAFFEHIVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLLLDQVLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTSLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKRVTIRKIPRVLEDMPLYDILNEFQKGHSHMAVVIRQTNPSYAAEPPANDGGTLEVAIAIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRSRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >LPERR03G24180.2 pep chromosome:Lperr_V1.4:3:21294896:21300670:1 gene:LPERR03G24180 transcript:LPERR03G24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAAFFEHIVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLLLDQVLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTSLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKRVTIRKIPRVLEDMPLYDILNEFQKGHSHMAVVIRQTNPSYAAEPPANDGGTLGELQGSFPWYLACGIYNNFLSYHFSLFSFFLTMWNKEVAIAIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRSRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >LPERR03G24190.1 pep chromosome:Lperr_V1.4:3:21302401:21303154:1 gene:LPERR03G24190 transcript:LPERR03G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSRKPRTAGRAYYVCRDKYDSECPCYFFKWIDGQDKYDPRIRLFPYDEKELKPYNEFRRWVPPPNPAPMTMEEKSEASCIRVKNPPLCHYGYPCKLQCPNIGVPAKFTPFFRCKLSTHDEWPMCDFQEYIYGPKSFWPTDKEVRLFKTGKTHWPCERRPHPRCKCGILATVGVVTSELGYGYYCGNAYGKY >LPERR03G24200.1 pep chromosome:Lperr_V1.4:3:21316523:21320739:1 gene:LPERR03G24200 transcript:LPERR03G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLLFKGHPPSLSLVSQRATIHISPHFLPPRKHTTTHHSLSPLKAEAVKPQCPQQCSPPPPVLLPSCPPKMFADFSAAMELGEVLGLHGLTVPSTKESDLSIIKRATSFTQAAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFKSSVNRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLTSPVVQGSFKKAKVNEMKPGSVSCWTDSLNRTMASKEKGNKAAEENNAPLMNLTNQQPTLSLLSQLKQQNKAEKFLDSEPISSNTMLKPWESSNQQSNKNIPFTKVHDRGCLQSVLQNFSPPKDEKMGYQKTKDSNAIPVPSNFYSTPEGPCVSCHTSNMVQMQEDSISSSWEMPQGGPLGEILTNSKNTDDSTMKPEARPYGWLLNLEDHAM >LPERR03G24210.1 pep chromosome:Lperr_V1.4:3:21317168:21320688:-1 gene:LPERR03G24210 transcript:LPERR03G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRTNVDEIYECLKPEPKRAEILKDGLKASTIMHLGERNVFIALLVAAFPRLQHDMNRKLYPSFVAGKLRSKAMHASFASTTQYMEMYVAVMSKGQT >LPERR03G24210.2 pep chromosome:Lperr_V1.4:3:21317168:21320688:-1 gene:LPERR03G24210 transcript:LPERR03G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRTNVDEIYECLKPEPKRAEILKDGLKASTIMHLGERNVFIALLVAAFPRLQHGKLRSKAMHASFASTTQYMEMYVAVMSKGQT >LPERR03G24210.3 pep chromosome:Lperr_V1.4:3:21317633:21320688:-1 gene:LPERR03G24210 transcript:LPERR03G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRTNVDEIYECLKPEPKRAEILKDGLKASTIMHLGERNVFIALLVAAFPRLQHAPSTVRE >LPERR03G24220.1 pep chromosome:Lperr_V1.4:3:21323323:21323745:1 gene:LPERR03G24220 transcript:LPERR03G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGAVVAFAWEQEPGVSKLSPPPPAASATKKPTRATAEEKAALLAPQPHRIRVRPPPGAPARGGGGGVVRPEEDPFLAAFLACTERGKKKGGGQKQLGLGFGLGVGLGFGSGLSCKGPGGVVESVVRLAKMPHAMRDDD >LPERR03G24230.1 pep chromosome:Lperr_V1.4:3:21332274:21333509:-1 gene:LPERR03G24230 transcript:LPERR03G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLIDAAKIRRLRRARLGLTAGAGACCPVAACRRLLRLCSHGFKKRRGAAGGGVGGVNGVRSALSKALPGGKAMRRVFRSWDSLGCIPGGGGGGEFRRLRRSTGYSGECDPRAMGFAAAGDDGDERSARWKGGIDIDIDMEVDESSRQLSPVSVLDLHSDDDDDSLPVHCRWEDEKPSTSGSSPPSEDFIGNTSPCFTYNVHGKICPMEVEEDDEEMSRTGKSIEEQISSWEKIAEDISNIPRMVEMDFSESIQQQRSELKLEAMEIGTRIETLIFDEIRRETVCDMLESHCTLASTSC >LPERR03G24240.1 pep chromosome:Lperr_V1.4:3:21344482:21345532:-1 gene:LPERR03G24240 transcript:LPERR03G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGITSPGVSSPRCAPFCGAGAPRTRRRRPPSSSAGQGGGCGRPLDRVASWVGGGIAAAFFASLERCSCVNVRTHDELDDEMRDSEAPLIVCDDGNVVNSVAGERRRRRGSRRNERSTRSGGGK >LPERR03G24250.1 pep chromosome:Lperr_V1.4:3:21348592:21349478:1 gene:LPERR03G24250 transcript:LPERR03G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFIVICLAALLSVHITNGGRIGPVEHIKASTKAVDRGNNVDKVEGCEEDDCLVERLLAAHVDYIYTQETP >LPERR03G24260.1 pep chromosome:Lperr_V1.4:3:21350376:21352475:1 gene:LPERR03G24260 transcript:LPERR03G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGHLFRSTGQSSSSSNNNSKRQEKGGNLERRNRSIHSFAESTAAKHTRSQTIRTLKLLQWENRKTTTMLFVSIFQVSCTMI >LPERR03G24270.1 pep chromosome:Lperr_V1.4:3:21352649:21353749:1 gene:LPERR03G24270 transcript:LPERR03G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKATPAVQPAAAGKYWLLKTEPGEWSWSDQAGAPGGGVAPWDGVRNRQAINSLRAMRRGDRCLFYHSGAGAASRRVVGVVEVAREWYEAEGEGGGGAVDVRAAGEFRRPVALGDIKKAAGEAEVEGMREFALLRQPRLSVMPVPAKVWDWICEMGGGCCYVEGISPGGRWKIFVVYFGLQERAIGKNTSEVL >LPERR03G24280.1 pep chromosome:Lperr_V1.4:3:21355187:21355687:1 gene:LPERR03G24280 transcript:LPERR03G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYAREIAALVVLLLLGLSATASLAARDVANGAVPKKQPPRGTGKPSVQPGTNPGVGGNIGGGIPTIPGMGSIPGMGGGGMGGFNMPGMGGGWGGGYGGPSGGYSRGGVVVPTVVCSEKGPCYRKKVTCPKKCFSSYRSSGKGYGGGGGGGGCTIDCKTKCTAYC >LPERR03G24290.1 pep chromosome:Lperr_V1.4:3:21360160:21360597:-1 gene:LPERR03G24290 transcript:LPERR03G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGERRISGGYTSSHEAALRAVQRPAAKPWRGAAGAMAPSAPAPPKVYRVAPRDFRELVQRLTGAGGTAPTVSVAMGPRQVMPPAAAAAQPYTVASTHGQQLGENEMFDYASWLSVPLLSPASMPAGGYVDGHLHGNGALL >LPERR03G24300.1 pep chromosome:Lperr_V1.4:3:21361391:21362433:1 gene:LPERR03G24300 transcript:LPERR03G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLFSSPLFGYRRPPRRATRELTARRQHPSSSFALPPRRRLSGCRQSRAAVRTAAARLCSPAIPAAWRTVGRRRWFSGGYERDDDEVGLGSATSSVVCLFGFATCCGASAAWWRRRGRLSPPHGVAARAPVARSDAGGAGSGGPAPGSVAPTGARARWWLRVRLVAAAVRARADGGCGRRGRVGPTTEVAAARAHGCSGGGYSGSEGAGRLPVGRRLPASWPMAGRRRRRLKVRSASSLEGQIYGRHAWIWSWRGWFLRCGRSAGRWCRSADGGLHGVWVAL >LPERR03G24320.1 pep chromosome:Lperr_V1.4:3:21363942:21365707:1 gene:LPERR03G24320 transcript:LPERR03G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEQYGRNSKSRGVVRAATRALRGARDLYVRGAKGLGKFIVAANPRAGVAGRPTSRVFGRVGELNSEQELRELVRDTQLARCDVGAGAGGKKAETAAPAAWRHGNKPLGRIDEDGALVHDPTK >LPERR03G24330.1 pep chromosome:Lperr_V1.4:3:21366629:21368283:-1 gene:LPERR03G24330 transcript:LPERR03G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHGDVTHFLPDDVLADALGRLAPRWLAASRCVCKAWRDVIDTRRLLRADLFPLTVGGIYFNFYGVYHSLFLSRPSTRPAISGMFTDYTPNDNLVEDHCNGLLLLMSGVANPATRQWMPLPDPPPPPCTDIKGINYCHKYLVYDPTISPHYEVFQIPRVYGRELNELDPTTKSLEWPPSPSMLHVFSSKTGQWEKRSFSIANGALYVLCQADFVMRISLSDKKYQVTKLPASTDVYNFKTHFFGRSKEGLYYALLDNDQHLLVWFLNESHGQIKWELKHDKDISFLMKCQEHSIQNHGPWTLHYYDYHEDSNEISDYLRQYQGYNQNEIDAHYEGYQYEDYDHIGIDDTYEDDRYEDYNQNEIDNPYEDYYNKNMQDYIEFEAASNEESYRDDVLRTIAPIDKFEWDSDNDNIIDTEKKNGGHLSSYLSILGFHPHKEVIFLRERMDGCLAYHFNNSKVQYLVYDTMHIQGMSASFVYTPCWIG >LPERR03G24340.1 pep chromosome:Lperr_V1.4:3:21378237:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDFMPTASEARKETSRAQSVRPQKKPPQKKVAVKHKINTDTNIGCSKRANVVNVRCEPATILKLVGGHGSRELCEYLMDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKNVFPSLRRELAPVVEQLVGIRKEQALAALEKYNKDVEGAMERHHKEMIAAKDMLVEQISLLCKPNDTRFAYSRKAKKRRVEPDQEEPAVTAQPDGSVDQLPRDTPAPNGDDIERFLDVMDVIYKGKFTKVRNWKRCIEKVPHLTQNGDSGFLAMKYMQFWDGNKLAKDVNPLEVGRYRQEQLYYILFHPMNSAPLPSVLDVFRPNLVKA >LPERR03G24340.2 pep chromosome:Lperr_V1.4:3:21378345:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDFMPTASEARKETSRAQSVRPQKKPPQKKVAVKHKINTDTNIGCSKRANVVNVRCEPATILKLVGGHGSRELCEYLMDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKNVFPSLRRELAPVVEQLVGIRKEQALAALEKYNKDVEGAMERHHKEMIAAKDMLVEQISLLCKPNDTRFAYSRKAKKRRVEPDQEEPAVTAQPDGSVDQLPRDTPAPNGDDIERFLDVMDVIYKGKFTKVRNWKRCIEKVPHLTQNGDSGFLAMKYMQFWDGNKLAKDVNPLEVGRYRQEQLYYILFHPMNSAPLPSVLDVFRPNLVKA >LPERR03G24340.3 pep chromosome:Lperr_V1.4:3:21378237:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDFMPTASEARKETSRAQSVRPQKKPPQKKVAVKHKINTDTNIGCSKRANVVNVRCEPATILKLVGGHGSRELCEYLMDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKNVFPSLRRELAPVVEQLVGIRKEQALAALEKYNKDVEGAMERHHKEMIAAKDMLVEQISLLCKPNDTRFAYSRKAKKRRVEPDQEEPAVTAQPDGSVDQLPRDTPAPNGDDIERFLDVMDVIYKGKFTKVRNWKRCIEKVPHLTQNGDSGFLAMKYMQFWDGNKLAKDVNPLEVGRYRQEQLYYILFHPMNSAPLPSVLDVFRPNLVKA >LPERR03G24340.4 pep chromosome:Lperr_V1.4:3:21388274:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDFMPTASEARKETSRAQSVRPQKKPPQKKVAVKHKINTDTNIGCSKRANVVNVRCEPATILKLVGGHGSRELCEYLMDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKNVFPSLRRELAPVVEQLVGIRKEQALAALEKYNKDVEGAMERHHKEMIAAKDMLVEQISLLCKPNDTRFAYSRKAKKRRVEPDQEEPAVTAQPDGSVDQLPRDTPAPNGDDIERFLDVMDVIYKGKFTKVRNWKRCIEKVPHLTQNGDSGFLAMKYMQFWDGNKLAKDVNPLEVGRYRQEQLYYILFHPMNSAPLPSVLDVFRPNLVKA >LPERR03G24340.5 pep chromosome:Lperr_V1.4:3:21378812:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKK >LPERR03G24340.6 pep chromosome:Lperr_V1.4:3:21380055:21392504:1 gene:LPERR03G24340 transcript:LPERR03G24340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKK >LPERR03G24340.7 pep chromosome:Lperr_V1.4:3:21378812:21391461:1 gene:LPERR03G24340 transcript:LPERR03G24340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDPQTMVLDLGGLGKLPVTSHAVHCVLNLQNGQVDPPLPSEAADLDSVRNIVGSYDKGRIKPTHILSWIEKGGTDDFTMRCILMIIFAKLLAPDSSNNISKQDVTFANMPLNDYKQMDLCKLVVDYVRISAQSWRTGKKSTIQGCTIFPVVYFLDNLQWDGMITRTAIPCAQFFDSKLVNELENMARMKSNDGTTTYDKLHLRKFENTCYCVSEGKKAASASKNTKK >LPERR03G24350.1 pep chromosome:Lperr_V1.4:3:21403467:21404896:1 gene:LPERR03G24350 transcript:LPERR03G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELDQDDLMRILPDDVLAHVLAHLEPRWLAASRCVCKAWRVVIDSYRLLRPDLLPLSLGGIYINFNEMYHSLFLSRPSTGPSISGLFTDYTPDNNMVHDHCNGLLLLSSGIANPATRQWVPLPPPPPQNDVYLFDSYLVFDPTISSYFEIFEIPRIPYITLDMLDPMSKSLQWPPSPIVLTVFSSRTRQWEERLFVRRSEAACTVADMALAFPYEKYDGVYWQGALYVYCRGDFFMRMSLSDNTYQVIRPPITTEISVPKSHFLGRSKKGVYYALIDGHNKHRLRVWFLNESRGHITWELKHDKDISFMLKCQGNYMQNDGPWTAHFFDYVQDYHPNDNQREITPMNKFEWDSDDDNILDTENKNEGWCGRYPTILGFHPFKEVIFMNQEMDRGFAYHFNSSKVQYLGSTFPESYKELHIEYICGSFMYTPYRGAF >LPERR03G24360.1 pep chromosome:Lperr_V1.4:3:21411694:21413355:1 gene:LPERR03G24360 transcript:LPERR03G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSGGDNATSYPLDAGSYRLVCKIGSGVSAVVYKALCLPLGASPSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGLPEQCIAVVLKDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETTQPSSSASATSSAFSGPISATQAMAASSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVVRLDDTDSSTTATKKKRRFSKAFKDMVSSCLSQEPARRPSAEKLLRHPFFRSAAAGRSGRDYLVRNVLAAVPTVEERCRDDVGDCGCAGCGAAARCVSPCRHADNHNNNVVAAKNRRISGWNFNEENFELDPTDQQPTADQPPFFLFHDDDTKSTGIDGVDGDDQQQVGEEGLRDVVVPHLVNTILGSLEMQRGMVMQVLESGETGGGYHDHRSSNGCDGDSSETTARREEREGMLIGYVRQLEQRVQELSTEVEEEMARNAQLQEMLHERTCGNQTDSSQTSGSR >LPERR03G24370.1 pep chromosome:Lperr_V1.4:3:21415842:21418080:1 gene:LPERR03G24370 transcript:LPERR03G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGSNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHVHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKDIPEADIPNRPTGQRPATAPQADPNNNFANAIPNANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPPGFHYGYGHGHGFHGGHMGHAHGVPRQGPLGQQQQADIYLKALLVMVGVLVIASLLAF >LPERR03G24380.1 pep chromosome:Lperr_V1.4:3:21426723:21427781:1 gene:LPERR03G24380 transcript:LPERR03G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLSQTLALLLLCARVAATAVPEYREAPHFTNSASARCPPPLPHTDADAACSPHAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESVHFHFLASPTSPISRLRDTVRASFPSLAFRVYAFDDEPRVAGLISTSIRGALDRPLNYARSYLATTLPSCVRRVVYLDSDVILTDDIASLAATPLPSDETTAVAAPEYCGANFTAYFTPTFWSSPSLSNTFSAGDRRRPCYFNTGVMVIDLARWRRADYTAQIEEWMELQKRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGMPCPIDAVWAKYDLLRPAAAIESS >LPERR03G24390.1 pep chromosome:Lperr_V1.4:3:21440664:21446734:1 gene:LPERR03G24390 transcript:LPERR03G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.2 pep chromosome:Lperr_V1.4:3:21440664:21446734:1 gene:LPERR03G24390 transcript:LPERR03G24390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.3 pep chromosome:Lperr_V1.4:3:21440664:21446903:1 gene:LPERR03G24390 transcript:LPERR03G24390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.4 pep chromosome:Lperr_V1.4:3:21440664:21446903:1 gene:LPERR03G24390 transcript:LPERR03G24390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.5 pep chromosome:Lperr_V1.4:3:21440664:21446903:1 gene:LPERR03G24390 transcript:LPERR03G24390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.6 pep chromosome:Lperr_V1.4:3:21439592:21446701:1 gene:LPERR03G24390 transcript:LPERR03G24390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYY >LPERR03G24390.7 pep chromosome:Lperr_V1.4:3:21440664:21443892:1 gene:LPERR03G24390 transcript:LPERR03G24390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >LPERR03G24390.8 pep chromosome:Lperr_V1.4:3:21440660:21446701:1 gene:LPERR03G24390 transcript:LPERR03G24390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMKEVPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGMISYKIAAHAADLAKGHPYAQVWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCSQKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYY >LPERR03G24400.1 pep chromosome:Lperr_V1.4:3:21451556:21472061:1 gene:LPERR03G24400 transcript:LPERR03G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRGGGGGAPGRRRVVGEEERARNVVAEIGDIASRLGFGVSINTSGEFPPQVRFLMACEDGDVARLKEVVDIMDEDDRESLASVRMQGYGPLFEAARLGKIDICKYLVEELGFDVNSETTGDSVMTPLYCAVMDGQEITVKYFLDKGADPNKKDLDGFAPLHEASKNGHKEIVRLLLSKGANVDESSFNGTPLHVAASNGKSSIVEILLEHHADPNKVSGNSVTPLAAVLSATPERLNESECLKCMKLLVKAGADVNSAIPDTPLAIATRKGLTERIAYLSEDKGGDGDRKAKLKLHGGKAFEDKDYAGAAIFYTEAIKLDPADATLYSNRSLCHLKSGRAQEALLDADHCIKLKPEWTKGYYRKGSALMSLKDYKEACSAFLAGVKHDPLNDDMQNAFWPRGPGAASADNFSAQLIAAQLGMQCRMGPAAAEQMRRIIASSDCNPSHLMGMFGGSDDSDSDSDDSEEVKKVGPLHEAASAGKMDTCKQLVEQLGFDIDAEANDELGMTPLACAVSNGKAIAVRYFLDKGADPDKSDIIGFTPLHYATKEGTALHLAASSRKSGIMVILLEHNANVTAKHVSPDSETPLTAMLIASDGLNDSAALKCIKLLVKAGADLNCTTPDTPLVIATSKGFVECVEYLLEAGANANIPIKNGRKTPIEIAAKAGKRKLVEILLPFTSRIQGVSNWTVEGIVAHVKSKKSMKKVSGEDDKAELKSFGAKAVERKDYAGASKFYSEAIQLDPADATLHSNRSLCYLKSGEAREALLDAKTCIRLRPDWPKGYYRKGAALMSLKEYKEACDAFMDGVKLDPASAELHDAFWEAAAALKKEHSAGKTSFIIS >LPERR03G24410.1 pep chromosome:Lperr_V1.4:3:21472095:21485417:1 gene:LPERR03G24410 transcript:LPERR03G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMDEKDRAKLADMNIDGIGLLQVAAHMGKIEVIRYFVEELGFDVDAGSLCGGSTALGSATLFGEVDTVRYLLAHGYEEVVRLLLSSGARVDIAVAYGTPLHIAVSYGKTGAVKILLDHHADPNSTSGVLGTPILTALHSTKHGLAESDSLECVKLLVKAGADVNYACPNTSLVIATTNGLTDCIKYLLEVHTDPNIPDKQSGRTPIEIAASFGRRDHVEILFPFTSPVKAVINWTVEEIIAHGKSRRSMPKDEARSKINDKKAKLKSLGEKAVKRKDYFGASKIYSEALELDYFDATLYSNRSLCYLQIGEAQKALLDAQRCVELRPDWVKGHYREGGALMLLKEHKKAFEAFLNALKLDPANEEIEKVLWEAVEAMKKDHFDDFGFRVGLALRRGLPYLNDAGPQSEFFDAATRGDVRRLRELASGKDAEGKAWLADTPLSEVGPLQAAARLGEVDACRCMVEELGLDINATGLAGHDEVARLLLSRGASVDIAYFHGTPLHIAAAYGKDSVMKVLLEHHADPNKVSEVLGTPLVATLHSTSQGLAESISLKCVKLLVEAGADVNYSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHDKQDHQNEKAQLKLHGEKAVKRKDYCGASNFYTEAIELDSTDATLYSNRSLCHLQMTDAVAALFDADTCIGLRPEWLKGYYRKGAALMEAVEAMKKHHLETKGFK >LPERR03G24410.2 pep chromosome:Lperr_V1.4:3:21472095:21485417:1 gene:LPERR03G24410 transcript:LPERR03G24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMDEKDRAKLADMNIDGIGLLQVAAHMGKIEVIRYFVEELGFDVDAGSLCGGSTALGSATLFGEVDTVRYLLAHGYEEVVRLLLSSGARVDIAVAYGTPLHIAVSYGKTGAVKILLDHHADAGADVNYACPNTSLVIATTNGLTDCIKYLLEVHTDPNIPDKQSGRTPIEIAASFGRRDHVEILFPFTSPVKAVINWTVEEIIAHGKSRRSMPKDEARSKINDKKAKLKSLGEKAVKRKDYFGASKIYSEALELDYFDATLYSNRSLCYLQIGEAQKALLDAQRCVELRPDWVKGHYREGGALMLLKEHKKAFEAFLNALKLDPANEEIEKVLWEAVEAMKKDHFDDFGFRVGLALRRGLPYLNDAGPQSEFFDAATRGDVRRLRELASGKDAEGKAWLADTPLSEVGPLQAAARLGEVDACRCMVEELGLDINATGLAGHDEVARLLLSRGASVDIAYFHGTPLHIAAAYGKDSVMKVLLEHHADPNKVSEVLGTPLVATLHSTSQGLAESISLKCVKLLVEAGADVNYSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHDKQDHQNEKAQLKLHGEKAVKRKDYCGASNFYTEAIELDSTDATLYSNRSLCHLQMTDAVAALFDADTCIGLRPEWLKGYYRKGAALMEAVEAMKKHHLETKGFK >LPERR03G24410.3 pep chromosome:Lperr_V1.4:3:21472095:21485417:1 gene:LPERR03G24410 transcript:LPERR03G24410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMDEKDRAKLADMNIDGIGLLQVAAHMGKIEVIRYFVEELGFDVDAGSLCGGSTALGSATLFGEVDTVRYLLAHGYEEVVRLLLSSGARVDIAVAYGTPLHIAVSYGKTGAVKILLDHHADPNSTSGVLGTPILTALHSTKHGLAESDSLECVKLLVKAGADVNYACPNTSLVIATTNGLTDCIKYLLEVHTDPNIPDKQSGRTPIEIAASFGRRDHVEILFPFTSPVKAVINWTVEEIIAHGKSRRSMPKDEARSKINDKKAKLKSLGEKAVKRKDYFGASKIYSEALELDYFDATLYSNRSLCYLQIGEAQKALLDAQRCVELRPDWVKGHYREGGALMLLKEHKKAFEAFLNALKLDPANEEIEKVLWEAVEAMKKHHLETKGFK >LPERR03G24420.1 pep chromosome:Lperr_V1.4:3:21487349:21489068:-1 gene:LPERR03G24420 transcript:LPERR03G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKQLHSHHQLCGDAQCHLRHRPVIAAGAESCCGAGPLVLLTLASGGAAAVEDGNGHGGGCCCSCAGAAAAAAPQPATMVSALRSSRYLLPAQELLREAVSMEEVAAADDDDGHDEAMREAGDRARAAANTAGGVQAKLLSLLSELESRHEHYFGELCRVSAVFEPALGGAATAAYTALMARAMSRHFANLRRAILRKLTLHAAASRRPAFLRLAQVEDDEDDGEEEEDEDEDEVVDRVVRRTKQAAWRPLRGLPEDSVAVLRAWLFDHFLHPYPNDNEKLMLAVTTGLSRTQISNWFINARVRLWKPMVEEMYNDEFSDDSADIGGGGGASSS >LPERR03G24430.1 pep chromosome:Lperr_V1.4:3:21496576:21499917:-1 gene:LPERR03G24430 transcript:LPERR03G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVTSYTEQRSFGPAKDMRNEMLMHLMDGAHSAGADLIHNDTHNSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKPELLTPHSYQGDDNRMKSMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDQKEADGGSKGEGVSSNPQESTANAAPELSAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADVDSNSSSDNVPRSKEKMATSEEKEDLKSSMSQSCQPNQLGESKANIGMMSLGGVPASFHNEGNQDDSFMNLMLKDQRPGEAEGSIFHDAVAHHSDESARFMAYHLSGIGRYGNNNVSLTLGLQHAENRLSVQNTHQPGFAGAGEEIYNSAASLGVADATSSDYESTNQIDQRQRFEPSPLMHDFVA >LPERR03G24440.1 pep chromosome:Lperr_V1.4:3:21512352:21512936:1 gene:LPERR03G24440 transcript:LPERR03G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLASTPPVVEQQISGVAGAAGDGLGTRGDRAAAGSGFDGGAAGGDSRWRRRSVWRWQRPEEEGGDEADGGDADRRGGGGGRREEAFAEAAGWRGPGEDGASISGVDRSVAGRIEQRRRGSASSSAGELEVRARLELLRELRAPTPPATGAAAGRRTTSRRRSSLAIAICFLPSLSTLPTFSLTTDKETVL >LPERR03G24450.1 pep chromosome:Lperr_V1.4:3:21519039:21541339:1 gene:LPERR03G24450 transcript:LPERR03G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLRASVGFRVAGFNCLRDVTIKFTKGSVESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSQDKKSSKPGRSRSTGKGKWLVTSSVARLLSISVTDLLIKVPNGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTVDPLGNYNQSEAFQSDQISLVNSERVVAPFVCEDLFITCDFGHEKEKGVKIVNFEVKCGIITANIDERLFHKKHTKSEGSNGSEIGGDAAPDASAMRQTSKSKSILPSLKKKILAVPDKISFSVPKLDVKFTHLGEGLSVDNTIMGVHFASAKSLPQDDLEEASPHFDVQIDLSEIHLVREGSSSLLEVLKVAAVASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKKRGMKIAKDNSHRGISQTKEIKLIMWTCTVSAPEMSIMLYNLNGLVLYHACSQSSHLYANNIASKGIQIHTELGELQMHMEDEYKEFIKGNIFGVDTYSGSLMHIARHLESVVLNLMSFRTLFKSLPSSRGSSKEKTLEHREKKRKKGVEILKLSVQKFSITYCGDANVVNMPVADPKRVNYGSQGGRVLVSMSADGTPRLASITSELPDRSCNLQFSASVAISHLSVCINKEKKSTEAELERVKAIYEEGLNPDVKVTLLDMQNARIVRRSGGLPDVPACSLFRATDINLRWEPDVHLAILETFIRIKCFLHYNKPINTEVGNISENEPDRISTGSGKPQKSGKRSSIFAVDVEMLRVSAELADGVEANMHVQSIFTEDIKIGLLSEGLSLSLNGARVMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVIQGLDVHICMPYRLPLRAIEDAVEDMTRALKLISTAKKNILFPDGKENPKKVKSGTTNFRSVKFVLRKLTAEVEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGNADPNHCSSEGKFLYDGIEVDMHDTAALQRMRDEIHKQAFQSYYTSCQKMVCAEGSGACSEGFQAGFKPSSRRDSLLSLSASELDVTLTRIDGGDVAMIEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVVQLRDYTSPLFSATSGKCQGRVVLAQQRGEISFGVGYEPSFADISYAFQIALKRVNLSIREKSSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKLDRLQIVSEYMEIKQTDGHVDISAKEFKMYISSLASIRKNCSLKVPSGTPRPFIYAPLFSLNVVIDWQCESGSPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLRPLQGKCDNDTLSSNYGNSSMQCGVFSDNHSKLTDVEYPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCRIRHATLAEDDPALVEDDPAKGLTFKMSRLKYELCYSRGKQKYTFDCKREPLDLVYRGLDLYKPEVCLMRDTNLSSAETVSNLKTTAQLGKVVYDKGSMGSFQDKHEDGFLLSCDYFTIRRHSPKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDEGFNVVLADNCQRIFVWDLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYYQRKMIEERQATEGSKLTQDATSSIHVGSPSGQHAEALGSTSPLHRKHGPFDGLDKGGKFQFMVNVINPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEILEQALGASSVQIPELQPEMTWQRLDYYVILDKVQAHVAPTDVDPGAGLQWLPRILGSTEIVKRTGALLERVFMPCEMYFSYTRHKGGTADLKVKPLKELRFNSPNITATMTSRQFQVMPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKINLEKREREMKLLLDDIRFLRGTGDNHTSNILLVERDDCLWMINSGKTLLVERLKRDLVNLKESRKSASSTLRKALQKAAHLRLMEKEKNKTPSCAKRISMEISKVVWSMIADGNTFAEAEISEMVCNFDRDYKDIGVGRFTTKCFEVRNSIPNAKCAALLSAWNTPPEKGHMLRVDLRQGAPKDVNSPVDLFELLQVEIHPLKIYLSETMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSSSTNVSSWQGGDNSQVSKLQSVKTNVVSGSHPELRRTSSFEMTLEESAVDSIRNNDVVSLVNLNVSSKGDTNNFMVENSGTAAEMLRNRRTKDSKPAKSGRLAQDEKKVGKSHDEKRTRARRLIEFHDIKISQVELNITYEGSRLAISTWRRLFSRVKKHIIWSVLKSMAGMQANSKQGKKFKAHNQRETHDGTLPDHGLNLSDSDGDHHGKSDQFPVSWLKRPDDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDGDTECRDEWSDSDGEYPFARQLTITKKLLQRHTRKLRPRGQKNTGTITLQDSLPSSPRETTPYQSDSDSSSESPYEDFHEYKSNEG >LPERR03G24450.2 pep chromosome:Lperr_V1.4:3:21519039:21541339:1 gene:LPERR03G24450 transcript:LPERR03G24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLRASVGFRVAGFNCLRDVTIKFTKGSVESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSQDKKSSKPGRSRSTGKGKWLVTSSVARLLSISVTDLLIKVPNGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTVDPLGNYNQSEAFQSDQISLVNSERVVAPFVCEDLFITCDFGHEKEKGVKIVNFEVKCGIITANIDERLFHKKHTKSEGSNGSEIGGDAAPDASAMRQTSKSKSILPSLKKKILAVPDKISFSVPKLDVKFTHLGEGLSVDNTIMGVHFASAKSLPQDDLEEASPHFDVQIDLSEIHLVREGSSSLLEVLKVAAVASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKKRGMKIAKDNSHRGISQTKEIKLIMWTCTVSAPEMSIMLYNLNGLVLYHACSQSSHLYANNIASKGIQIHTELGELQMHMEDEYKEFIKGNIFGVDTYSGSLMHIARVSLDWGYRGPEIHDMVETSKLTLVFSVDISGICVKFGFKHLESVVLNLMSFRTLFKSLPSSRGSSKEKTLEHREKKRKKGVEILKLSVQKFSITYCGDANVVNMPVADPKRVNYGSQGGRVLVSMSADGTPRLASITSELPDRSCNLQFSASVAISHLSVCINKEKKSTEAELERVKAIYEEGLNPDVKVTLLDMQNARIVRRSGGLPDVPACSLFRATDINLRWEPDVHLAILETFIRIKCFLHYNKPINTEVGNISENEPDRISTGSGKPQKSGKRSSIFAVDVEMLRVSAELADGVEANMHVQSIFTEDIKIGLLSEGLSLSLNGARVMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVIQGLDVHICMPYRLPLRAIEDAVEDMTRALKLISTAKKNILFPDGKENPKKVKSGTTNFRSVKFVLRKLTAEVEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGNADPNHCSSEGKFLYDGIEVDMHDTAALQRMRDEIHKQAFQSYYTSCQKMVCAEGSGACSEGFQAGFKPSSRRDSLLSLSASELDVTLTRIDGGDVAMIEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVVQLRDYTSPLFSATSGKCQGRVVLAQQRGEISFGVGYEPSFADISYAFQIALKRVNLSIREKSSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKLDRLQIVSEYMEIKQTDGHVDISAKEFKMYISSLASIRKNCSLKVPSGTPRPFIYAPLFSLNVVIDWQCESGSPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLRPLQGKCDNDTLSSNYGNSSMQCGVFSDNHSKLTDVEYPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCRIRHATLAEDDPALVEDDPAKGLTFKMSRLKYELCYSRGKQKYTFDCKREPLDLVYRGLDLYKPEVCLMRDTNLSSAETVSNLKTTAQLGKVVYDKGSMGSFQDKHEDGFLLSCDYFTIRRHSPKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDEGFNVVLADNCQRIFVWDLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYYQRKMIEERQATEGSKLTQDATSSIHVGSPSGQHAEALGSTSPLHRKHGPFDGLDKGGKFQFMVNVINPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEILEQALGASSVQIPELQPEMTWQRLDYYVILDKVQAHVAPTDVDPGAGLQWLPRILGSTEIVKRTGALLERVFMPCEMYFSYTRHKGGTADLKVKPLKELRFNSPNITATMTSRQFQVMPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKINLEKREREMKLLLDDIRFLRGTGDNHTSNILLVERDDCLWMINSGKTLLVERLKRDLVNLKESRKSASSTLRKALQKAAHLRLMEKEKNKTPSCAKRISMEISKVVWSMIADGNTFAEAEISEMVCNFDRDYKDIGVGRFTTKCFEVRNSIPNAKCAALLSAWNTPPEKGHMLRVDLRQGAPKDVNSPVDLFELLQVEIHPLKIYLSETMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSSSTNVSSWQGGDNSQVSKLQSVKTNVVSGSHPELRRTSSFEMTLEESAVDSIRNNDVVSLVNLNVSSKGDTNNFMVENSGTAAEMLRNRRTKDSKPAKSGRLAQDEKKVGKSHDEKRTRARRLIEFHDIKISQVELNITYEGSRLAISTWRRLFSRVKKHIIWSVLKSMAGMQANSKQGKKFKAHNQRETHDGTLPDHGLNLSDSDGDHHGKSDQFPVSWLKRPDDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDGDTECRDEWSDSDGEYPFARQLTITKKLLQRHTRKLRPRGQKNTGTITLQDSLPSSPRETTPYQSDSDSSSESPYEDFHEYKSNEG >LPERR03G24450.3 pep chromosome:Lperr_V1.4:3:21519039:21541340:1 gene:LPERR03G24450 transcript:LPERR03G24450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLRASVGFRVAGFNCLRDVTIKFTKGSVESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSQDKKSSKPGRSRSTGKGKWLVTSSVARLLSISVTDLLIKVPNGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTVDPLGNYNQSEAFQSDQISLVNSERVVAPFVCEDLFITCDFGHEKEKGVKIVNFEVKCGIITANIDERLFHKKHTKSEGSNGSEIGGDAAPDASAMRQTSKSKSILPSLKKKILAVPDKISFSVPKLDVKFTHLGEGLSVDNTIMGVHFASAKSLPQDDLEEASPHFDVQIDLSEIHLVREGSSSLLEVLKVAAVASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKKRGMKIAKDNSHRGISQTKEIKLIMWTCTVSAPEMSIMLYNLNGLVLYHACSQSSHLYANNIASKGIQIHTELGELQMHMEDEYKEFIKGNIFGVDTYSGSLMHIARVSLDWGYRGPEIHDMVETSKLTLVFSVDISGICVKFGFKHLESVVLNLMSFRTLFKSLPSSRGSSKEKTLEHREKKRKKGVEILKLSVQKFSITYCGDANVVNMPVADPKRVNYGSQGGRVLVSMSADGTPRLASITSELPDRSCNLQFSASVAISHLSVCINKEKKSTEAELERVKAIYEEGLNPDVKVTLLDMQNARIVRRSGGLPDVPACSLFRATDINLRWEPDVHLAILETFIRIKCFLHYNKPINTEVGNISENEPDRISTGSGKPQKSGKRSSIFAVDVEMLRVSAELADGVEANMHVQSIFTEDIKIGLLSEGLSLSLNGARVMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVIQGLDVHICMPYRLPLRAIEDAVEDMTRALKLISTAKKNILFPDGKENPKKVKSGTTNFRSVKFVLRKLTAEVEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGNADPNHCSSEGKFLYDGIEVDMHDTAALQRMRDEIHKQAFQSYYTSCQKMVCAEGSGACSEGFQAGFKPSSRRDSLLSLSASELDVTLTRIDGGDVAMIEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVVQLRDYTSPLFSATSGKCQGRVVLAQQRGEISFGVGYEPSFADISYAFQIALKRVNLSIREKSSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKLDRLQIVSEYMEIKQTDGHVDISAKEFKMYISSLASIRKNCSLKVPSGTPRPFIYAPLFSLNVVIDWQCESGSPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLRPLQGKCDNDTLSSNYGNSSMQCGVFSDNHSKLTDVEYPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCRIRHATLAEDDPALVEDDPAKGLTFKMSRLKYELCYSRGKQKYTFDCKREPLDLVYRGLDLYKPEVCLMRDTNLSSAETVSNLKTTAQLGKVVYDKGSMGSFQDKHEDGFLLSCDYFTIRRHSPKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDEGFNVVLADNCQRIFVWDLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYYQRKMIEERQATEGSKLTQDATSSIHVGSPSGQHAEALGSTSPLHRKHGPFDGLDKGGKFQFMVNVINPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEILEQALGASSVQIPELQPEMTWQRLDYYVILDKVQAHVAPTDVDPGAGLQWLPRILGSTEIVKRTGALLERVFMPCEMYFSYTRHKGGTADLKVKPLKELRFNSPNITATMTSRQFQVMPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKINLEKREREMKLLLDDIRFLRGTGDNHTSNILLVERDDCLWMINSGKTLLVERLKRDLVNLKESRKSASSTLRKALQKAAHLRLMEKEKNKTPSCAKRISMEISKVVWSMIADGNTFAEAEISEMVCNFDRDYKDIGVGRFTTKCFEVRNSIPNAKCAALLSAWNTPPEKGHMLRVDLRQGAPKDVNSPVDLFELLQVEIHPLKIYLSETMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSSSTNVSSWQGGDNSQVSKLQSVKTNVVSGSHPELRRTSSFEMTLEESAVDSIRNNDVVSLVNLNVSSKGDTNNFMVENSGTAAEMLRNRRTKDSKPAKSGRLAQDEKKVGKSHDEKRTRARRLIEFHDIKISQVELNITYEGSRLAISDLRLLMDTFERRAFTGTWRRLFSRGKKFKAHNQRETHDGTLPDHGLNLSDSDGDHHGKSDQFPVSWLKRPDDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDGDTECRDEWSDSDGEYPFARQLTITKKLLQRHTRKLRPRGQKNTGTITLQDSLPSSPRETTPYQSDSDSSSESPYEDFHEYKSNEG >LPERR03G24460.1 pep chromosome:Lperr_V1.4:3:21544060:21549115:-1 gene:LPERR03G24460 transcript:LPERR03G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHICIGQTEIHPYAKGNPCLSILPDDVFAVDGIGLVAGGDREGPDAASETWEVAVAIGSVKRNLEAINDKGSDLEPVNLSTQKPRAEAKKGKGKEF >LPERR03G24470.1 pep chromosome:Lperr_V1.4:3:21549643:21550080:-1 gene:LPERR03G24470 transcript:LPERR03G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTLVQKNTATAVHNEPAIEVKKDEENLERKRKKVNKMVEVVVNGGDLDYVLSFEEEEDQFSSLFEKSTELMLERQEMFRRQIENFGYAFVHGKREVILTDDEGEEEGDIVTDDEDDEGEEEGDIVTDDEEEEDLFTYDEDAH >LPERR03G24480.1 pep chromosome:Lperr_V1.4:3:21554152:21556190:-1 gene:LPERR03G24480 transcript:LPERR03G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALIGGRVVISSPSSMGAFTLDGVGNGDDVPAPRAPSPPGERVRASTDGEVVRLLNVSMFLQEDDVFFSCEEDDVAPCHNPKNRPPKNHQSNGLNPSKDILHDGDGFLSCEDDDDDVAPHHQAMAFAGGDDVLVEHMSEEELGKEAALGAVAVSKAAVLDFNGNNEAAVLESIENVKSFEARVVVCNDLGEGGKGGEAAVTLGNGAVVVPVTGGDREGPDAASKGALEGIACSVLGPDDNPTVCIFNNGFKIRSPKRRPRKIRKKGCNGINLWSKDGNKRIPVKEIKQDACVCELNDLCLQLYERGFLLKEIIEMCDFADSNRQKFFDRAWRVVEDYDSMDFLLIAEGSEDFFKTMSKNCKLVFHSIRKTATEIRYEVTKMSGRHINDPFCA >LPERR03G24490.1 pep chromosome:Lperr_V1.4:3:21560562:21560741:-1 gene:LPERR03G24490 transcript:LPERR03G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRFVTSVGVSFSLVVGPVYNAGFNTRRPFPLLDVRIDPSLAAYPVACVAVFDHMLSS >LPERR03G24500.1 pep chromosome:Lperr_V1.4:3:21561578:21562337:-1 gene:LPERR03G24500 transcript:LPERR03G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFMLVSILAAVLGRRGTVLLANAFLMAGALAMPLGGNYSAFRHQRRRQARPCTRSASTRGVLASLLDMFINVGILLSYVSNYALARLPVHLGWRVMFGLGVVPPVLFAAGCSPCAAATATRTRCWCAPPTLSRRPMAVTGMIGLQFFQQASDIDAIVLYSLPLVFKHAGISSNTSVLAATVAIGVVKRCFIRRHAPLRPPQPSPASPL >LPERR03G24500.2 pep chromosome:Lperr_V1.4:3:21562346:21562646:-1 gene:LPERR03G24500 transcript:LPERR03G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTRRRCRRRSAPRVVRRQSPVRQHVCLRLRHARLHDHNPHGLQYVYKLAASSLASRRDTTMSSSSCYCVFLSRVDERRAAVHEGGHRAKRPSRSRC >LPERR03G24510.1 pep chromosome:Lperr_V1.4:3:21565837:21570611:-1 gene:LPERR03G24510 transcript:LPERR03G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQCRSYFCIRHQCIRTFTAMAISQRPADNDRYVRNCRNGRSPKETRWWFHDDKVIHI >LPERR03G24510.2 pep chromosome:Lperr_V1.4:3:21565837:21570611:-1 gene:LPERR03G24510 transcript:LPERR03G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQQRPADNDRYVRNCRNGRSPKETRWWFHDDKVIHI >LPERR03G24520.1 pep chromosome:Lperr_V1.4:3:21573219:21579350:1 gene:LPERR03G24520 transcript:LPERR03G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRRGAVGQRGPAEPHGPTRMKHRRRRRGEAAAAAAAAACPATGTPSPFTPLPPRGGRGSLPPLLSERRFEMAQPSVILATASYDHSIKFWEAKSGRCYRTIQYLDSQINRLEITPDKRFLAAAGNPHIRLFDVNSNTQQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVASSDNTARLWTMSGGEAIRVYTGHHKPVVCCALHDGAESTPS >LPERR03G24520.2 pep chromosome:Lperr_V1.4:3:21573378:21579350:1 gene:LPERR03G24520 transcript:LPERR03G24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTIQYLDSQINRLEITPDKRFLAAAGNPHIRLFDVNSNTQQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVASSDNTARLWTMSGGEAIRVYTGHHKPVVCCALHDGAESTPS >LPERR03G24520.3 pep chromosome:Lperr_V1.4:3:21570610:21573288:1 gene:LPERR03G24520 transcript:LPERR03G24520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAVDGGGGKVGYPGGEGEGEEKLQKGRPTRWVLLFLVCVCKPWGCCGVAPWGNAGQPSRMDPPG >LPERR03G24530.1 pep chromosome:Lperr_V1.4:3:21588894:21592679:-1 gene:LPERR03G24530 transcript:LPERR03G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQIMLERHTQRHRGFGFVTFADPEAVESAIKDMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGDYRGGRGDGPPPGNCFECGHAGHWARDCPNPGSGGGRSARFSSKFSSGGRGDRFSGSDRFGDRYSGSDRLGDRYMDDRHDGGRYGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVSDRYGGSDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDVPRGGIGGGYDRDGPRGGGADHYGGGGPARYDGGSYRDRPGPYDRPSRGGRFDDRF >LPERR03G24540.1 pep chromosome:Lperr_V1.4:3:21596768:21596953:1 gene:LPERR03G24540 transcript:LPERR03G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDQFTAQRGDLELCRFFISIDNLILRLRRYGAKGMAMCVPSFWRCSVKGFINSHQRYLI >LPERR03G24550.1 pep chromosome:Lperr_V1.4:3:21597590:21599404:-1 gene:LPERR03G24550 transcript:LPERR03G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGEKMAAAATTQFALHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLAAGAGMNLAGYLMIYLAVSGKTSRPPVWLMCLYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQIYRAVYGVGDDGASLVLLMAWLPAAISIVFIPTIRIMPRGGEVGTAARRRERKVFFYFLYASIVLAVYLLVMNVVELEVVRFPKPAYYVTAAALLLLIFFPLVIVIGQSLGYTQQTITTFVSLISIWNYAGRVVAGFASEYVLARYKLPRPLALTGVLLLACAGHVLIALGVDNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVAGRLYDREAERQMAASGRARVTRDLTCVGVRCFRVSFLIISAVTLLGAAVSLVLAWRTREFYRGDLYGKFREVAVDGGGGGGDGAEQRQRQQGKPVDEGGDKDGGSKV >LPERR03G24560.1 pep chromosome:Lperr_V1.4:3:21603434:21627039:-1 gene:LPERR03G24560 transcript:LPERR03G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGRGFAGGEQIGGGGRGGGRGRGGGGGGAHRGGLGQGQGRGGAERGGHRDARRGRGGGAGSGPTGGRGAPPAPRHAEMDVLSGEVEAKMAVAEEMASPAQAAAARREDNYEAVPSSSQAAAAASSSGGLPPASSKAVVFPARPGLGTVGGRCRVRANHFVVHLADKAIYHHDVAITPESKSRERNRTIIKELVRLHQQYMDGRSPVYDGRKGLFTAGPLPFTNREFVVKIANPDRGNQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPLDTIQALDIALRECPSSRYISISRSFFSQGFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNIRDASRRLYDQDRLKLKKALKGVRVVATHRSDKSMRYKITGITSAPFDQDGTRVSVIQYFKRQYNYSLKYTNRPCLQAGSDNRPIYLPMEHTRRRTGILRLACERPAQREGSILEIANRNSYGSDNHAKEFGINVTNQLALIDARVLPAPRLKYHDSGREKVCNPSVGQWNMTNKRMLNGGSIKYWACLTFASRMHLDEVRLFCNNLVGVCNNIGMQINGRPCVDIRQARQDNLDAAVRTIHRQSTELLSQQGVIGQQPELLIIVLPDTNASVFYGRIKRLCETEIGVMSQCCLPKNVQKGGQQYLLNLSLKINVKVGGRNTVLEDALYRRIPLLTDLPTMILGADVVALMDWPEVSKYICLVSSQSHREEIIADLFTEMKDSQKGLVYGGMIRELLLSFYRANGGHKPGRIIFYRDGVSEGQFSQVLLYEMDAIHKACASLEGGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPGTVVDTKICHPSEFDFYLCSHSCIQGTSRPTHYHVLFEESHFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGHSDQGSSSSASGSRLHDHAVPVKPLPKVKENVKQFMFYCGSQKMKDPTSYIEMEESSNTLGGAAVAAGMEPAADVEAAVEEPGEEGARAGAISPLPAAAEAAPPSAGRGGGRGAVGVPAVGDLSGEMKGKMVVSPSSGGPRAGEGSSPSPVAAVAAQGQATVKMEKEPSQAAAPAATLPPASSKAVTFPARPGFGTVGRRCSIRANHFLVQVVEKLPVYDGRKSIYTAGPLPFQAKEFVVKHTNPGKGNARVDEYKVIIKQASKIDLYTLQQFLAGRQRELPQDTIQALDIALRERPTTKYVSISRSFFSQSFGHGGDIGSGTECWRGYYQSLRPTQMGLSLNIDISVTAFYKAQSVMDFAVQYLNIRDISRRLSDQDRLKLKKALKGVRVVATHRKEKSIRYKITGIPSAPLNELMFDLDGTRISVVQYFKKQYNYSLKYVNWPCLQTGSDSRPRYLPMEVCSILEGQRYSKKLTENQVTSILKLTCERPAQRESSIIEIVKWNSYGNDDCAKEFGIKVANQLALVDARVLPTPRLKYHDSGREKVCNPSIGQWNMINKRMVNGGSINHWACLTFASRMHPNDIRMFCNDLVGMCNNIGMQINTRPCVDIVKAEQRNIEAAIRNIHRQSAEVLGKQGLTGQQLQLLIIILPDVAGTIKRLCDTEVGVITQCCRPRNVQKGGKQYLENLALKINVKVGGRNTVLEDALHRRIPLLTDYPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVCAQRHRQEIIADLFTEVKDPVKGIVRGGMIRELLVSFYKATGSKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPRVTFVVVQKRHHTRLFPENHRDLMDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPTHYHVLFDENGFGADTLQTLTYNLCYTYSRCTRAVSIVPPAYYAHLGAFRARYYMEEDQSDQGSSSSAMTRMHERSMKSLPEVKENVKQFMFYC >LPERR03G24560.2 pep chromosome:Lperr_V1.4:3:21603434:21627039:-1 gene:LPERR03G24560 transcript:LPERR03G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGRGFAGGEQIGGGGRGGGRGRGGGGGGAHRGGLGQGQGRGGAERGGHRDARRGRGGGAGSGPTGGRGAPPAPRHAEMDVLSGEVEAKMAVAEEMASPAQAAAARREDNYEAVPSSSQAAAAASSSGGLPPASSKAVVFPARPGLGTVGGRCRVRANHFVVHLADKAIYHHDVAITPESKSRERNRTIIKELVRLHQQYMDGRSPVYDGRKGLFTAGPLPFTNREFVVKIANPDRGNQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPLDTIQALDIALRECPSSRYISISRSFFSQGFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNIRDASRRLYDQDRLKLKKALKGVRVVATHRSDKSMRYKITGITSAPFDQDGTRVSVIQYFKRQYNYSLKYTNRPCLQAGSDNRPIYLPMEHTRRRTGILRLACERPAQREGSILEIANRNSYGSDNHAKEFGINVTNQLALIDARVLPAPRLKYHDSGREKVCNPSVGQWNMTNKRMLNGGSIKYWACLTFASRMHLDEVRLFCNNLVGVCNNIGMQINGRPCVDIRQARQDNLDAAVRTIHRQSTELLSQQGVIGQQPELLIIVLPDTNASVFYGRIKRLCETEIGVMSQCCLPKNVQKGGQQYLLNLSLKINVKVVALMDWPEVSKYICLVSSQSHREEIIADLFTEMKDSQKGLVYGGMIRELLLSFYRANGGHKPGRIIFYRDGVSEGQFSQVLLYEMDAIHKACASLEGGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPGTVVDTKICHPSEFDFYLCSHSCIQGTSRPTHYHVLFEESHFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGHSDQGSSSSASGSRLHDHAVPVKPLPKVKENVKQFMFYCGSQKMKDPTSYIEMEESSNTLGGAAVAAGMEPAADVEAAVEEPGEEGARAGAISPLPAAAEAAPPSAGRGGGRGAVGVPAVGDLSGEMKGKMVVSPSSGGPRAGEGSSPSPVAAVAAQGQATVKMEKEPSQAAAPAATLPPASSKAVTFPARPGFGTVGRRCSIRANHFLVQVVEKLPVYDGRKSIYTAGPLPFQAKEFVVKHTNPGKGNARVDEYKVIIKQASKIDLYTLQQFLAGRQRELPQDTIQALDIALRERPTTKYVSISRSFFSQSFGHGGDIGSGTECWRGYYQSLRPTQMGLSLNIDISVTAFYKAQSVMDFAVQYLNIRDISRRLSDQDRLKLKKALKGVRVVATHRKEKSIRYKITGIPSAPLNELMFDLDGTRISVVQYFKKQYNYSLKYVNWPCLQTGSDSRPRYLPMEVCSILEGQRYSKKLTENQVTSILKLTCERPAQRESSIIEIVKWNSYGNDDCAKEFGIKVANQLALVDARVLPTPRLKYHDSGREKVCNPSIGQWNMINKRMVNGGSINHWACLTFASRMHPNDIRMFCNDLVGMCNNIGMQINTRPCVDIVKAEQRNIEAAIRNIHRQSAEVLGKQGLTGQQLQLLIIILPDVAGTIKRLCDTEVGVITQCCRPRNVQKGGKQYLENLALKINVKVGGRNTVLEDALHRRIPLLTDYPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVCAQRHRQEIIADLFTEVKDPVKGIVRGGMIRELLVSFYKATGSKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPRVTFVVVQKRHHTRLFPENHRDLMDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPTHYHVLFDENGFGADTLQTLTYNLCYTYSRCTRAVSIVPPAYYAHLGAFRARYYMEEDQSDQGSSSSAMTRMHERSMKSLPEVKENVKQFMFYC >LPERR03G24560.3 pep chromosome:Lperr_V1.4:3:21603436:21627039:-1 gene:LPERR03G24560 transcript:LPERR03G24560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGRGFAGGEQIGGGGRGGGRGRGGGGGGAHRGGLGQGQGRGGAERGGHRDARRGRGGGAGSGPTGGRGAPPAPRHAEMDVLSGEVEAKMAVAEEMASPAQAAAARREDNYEAVPSSSQAAAAASSSGGLPPASSKAVVFPARPGLGTVGGRCRVRANHFVVHLADKAIYHHDVAITPESKSRERNRTIIKELVRLHQQYMDGRSPVYDGRKGLFTAGPLPFTNREFVVKIANPDRGNQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPLDTIQALDIALRECPSSRYISISRSFFSQGFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNIRDASRRLYDQDRLKLKKALKGVRVVATHRSDKSMRYKITGITSAPFDQDGTRVSVIQYFKRQYNYSLKYTNRPCLQAGSDNRPIYLPMEHTRRRTGILRLACERPAQREGSILEIANRNSYGSDNHAKEFGINVTNQLALIDARVLPAPRLKYHDSGREKVCNPSVGQWNMTNKRMLNGGSIKYWACLTFASRMHLDEVRLFCNNLVGVCNNIGMQINGRPCVDIRQARQDNLDAAVRTIHRQSTELLSQQGVIGQQPELLIIVLPDTNASVFYGRIKRLCETEIGVMSQCCLPKNVQKGGQQYLLNLSLKINVKVVALMDWPEVSKYICLVSSQSHREEIIADLFTEMKDSQKGLVYGGMIRDGVSEGQFSQVLLYEMDAIHKACASLEGGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPGTVVDTKICHPSEFDFYLCSHSCIQGTSRPTHYHVLFEESHFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGHSDQGSSSSASGSRLHDHAVPVKPLPKVKENVKQFMFYCGSQKMKDPTSYIEMEESSNTLGGAAVAAGMEPAADVEAAVEEPGEEGARAGAISPLPAAAEAAPPSAGRGGGRGAVGVPAVGDLSGEMKGKMVVSPSSGGPRAGEGSSPSPVAAVAAQGQATVKMEKEPSQAAAPAATLPPASSKAVTFPARPGFGTVGRRCSIRANHFLVQVVEKLPVYDGRKSIYTAGPLPFQAKEFVVKHTNPGKGNARVDEYKVIIKQASKIDLYTLQQFLAGRQRELPQDTIQALDIALRERPTTKYVSISRSFFSQSFGHGGDIGSGTECWRGYYQSLRPTQMGLSLNIDISVTAFYKAQSVMDFAVQYLNIRDISRRLSDQDRLKLKKALKGVRVVATHRKEKSIRYKITGIPSAPLNELMFDLDGTRISVVQYFKKQYNYSLKYVNWPCLQTGSDSRPRYLPMEVCSILEGQRYSKKLTENQVTSILKLTCERPAQRESSIIEIVKWNSYGNDDCAKEFGIKVANQLALVDARVLPTPRLKYHDSGREKVCNPSIGQWNMINKRMVNGGSINHWACLTFASRMHPNDIRMFCNDLVGMCNNIGMQINTRPCVDIVKAEQRNIEAAIRNIHRQSAEVLGKQGLTGQQLQLLIIILPDVAGTIKRLCDTEVGVITQCCRPRNVQKGGKQYLENLALKINVKVGGRNTVLEDALHRRIPLLTDYPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVCAQRHRQEIIADLFTEVKDPVKGIVRGGMIRELLVSFYKATGSKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPRVTFVVVQKRHHTRLFPENHRDLMDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPTHYHVLFDENGFGADTLQTLTYNLCYTYSRCTRAVSIVPPAYYAHLGAFRARYYMEEDQSDQGSSSSAMTRMHERSMKSLPEVKENVKQFMFYC >LPERR03G24560.4 pep chromosome:Lperr_V1.4:3:21603434:21627039:-1 gene:LPERR03G24560 transcript:LPERR03G24560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGRGFAGGEQIGGGGRGGGRGRGGGGGGAHRGGLGQGQGRGGAERGGHRDARRGRGGGAGSGPTGGRGAPPAPRHAEMDVLSGEVEAKMAVAEEMASPAQAAAARREDNYEAVPSSSQAAAAASSSGGLPPASSKAVVFPARPGLGTVGGRCRVRANHFVVHLADKAIYHHDVAITPESKSRERNRTIIKELVRLHQQYMDGRSPVYDGRKGLFTAGPLPFTNREFVVKIANPDRGNQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPLDTIQALDIALRECPSSRYISISRSFFSQGFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNIRDASRRLYDQDRLKLKKALKGVRVVATHRSDKSMRYKITGITSAPFDQDGTRVSVIQYFKRQYNYSLKYTNRPCLQAGSDNRPIYLPMEHTRRRTGILRLACERPAQREGSILEIANRNSYGSDNHAKEFGINVTNQLALIDARVLPAPRLKYHDSGREKVCNPSVGQWNMTNKRMLNGGSIKYWACLTFASRMHLDEVRLFCNNLVGVCNNIGMQINGRPCVDIRQARQDNLDAAVRTIHRQSTELLSQQGVIGQQPELLIIVLPDTNASVFYGRIKRLCETEIGVMSQCCLPKNVQKGGQQYLLNLSLKINVKVVALMDWPEVSKYICLVSSQSHREEIIADLFTEMKDSQKGLVYGGMIRDGVSEGQFSQVLLYEMDAIHKACASLEGGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPGTVVDTKICHPSEFDFYLCSHSCIQGTSRPTHYHVLFEESHFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGHSDQGSSSSASGSRLHDHAVPVKPLPKVKENVKQFMFYCGSQKMKDPTSYIEMEESSNTLGGAAVAAGMEPAADVEAAVEEPGEEGARAGAISPLPAAAEAAPPSAGRGGGRGAVGVPAVGDLSGEMKGKMVVSPSSGGPRAGEGSSPSPVAAVAAQGQATVKMEKEPSQAAAPAATLPPASSKAVTFPARPGFGTVGRRCSIRANHFLVQVVEKLPVYDGRKSIYTAGPLPFQAKEFVVKHTNPGKGNARVDEYKVIIKQASKIDLYTLQQFLAGRQRELPQDTIQALDIALRERPTTKYVSISRSFFSQSFGHGGDIGSGTECWRGYYQSLRPTQMGLSLNIDISVTAFYKAQSVMDFAVQYLNIRDISRRLSDQDRLKLKKALKGVRVVATHRKEKSIRYKITGIPSAPLNELMFDLDGTRISVVQYFKKQYNYSLKYVNWPCLQTGSDSRPRYLPMEVCSILEGQRYSKKLTENQVTSILKLTCERPAQRESSIIEIVKWNSYGNDDCAKEFGIKVANQLALVDARVLPTPRLKYHDSGREKVCNPSIGQWNMINKRMVNGGSINHWACLTFASRMHPNDIRMFCNDLVGMCNNIGMQINTRPCVDIVKAEQRNIEAAIRNIHRQSAEVLGKQGLTGQQLQLLIIILPDVAGTIKRLCDTEVGVITQCCRPRNVQKGGKQYLENLALKINVKVGGRNTVLEDALHRRIPLLTDYPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVCAQRHRQEIIADLFTEVKDPVKGIVRGGMIRELLVSFYKATGSKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPRVTFVVVQKRHHTRLFPENHRDLMDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPTHYHVLFDENGFGADTLQTLTYNLCYTYSRCTRAVSIVPPAYYAHLGAFRARYYMEEDQSDQGSSSSAMTRMHERSMKSLPEVKENVKQFMFYC >LPERR03G24560.5 pep chromosome:Lperr_V1.4:3:21603434:21627039:-1 gene:LPERR03G24560 transcript:LPERR03G24560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGRGFAGGEQIGGGGRGGGRGRGGGGGGAHRGGLGQGQGRGGAERGGHRDARRGRGGGAGSGPTGGRGAPPAPRHAEMDVLSGEVEAKMAVAEEMASPAQAAAARREDNYEAVPSSSQAAAAASSSGGLPPASSKAVVFPARPGLGTVGGRCRVRANHFVVHLADKAIYHHDVAITPESKSRERNRTIIKELVRLHQQYMDGRSPVYDGRKGLFTAGPLPFTNREFVVKIANPDRGNQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPLDTIQALDIALRECPSSRYISISRSFFSQGFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNIRDASRRLYDQDRLKLKKALKGVRVVATHRSDKSMRYKITGITSAPFDQDGTRVSVIQYFKRQYNYSLKYTNRPCLQAGSDNRPIYLPMEHTRRRTGILRLACERPAQREGSILEIANRNSYGSDNHAKEFGINVTNQLALIDARVLPAPRLKYHDSGREKVCNPSVGQWNMTNKRMLNGGSIKYWACLTFASRMHLDEVRLFCNNLVGVCNNIGMQINGRPCVDIRQARQDNLDAAVRTIHRQSTELLSQQGVIGQQPELLIIVLPDTNASVFYGRIKRLCETEIGVMSQCCLPKNVQKGGQQYLLNLSLKINVKVGGRNTVLEDALYRRIPLLTDLPTMILGADVVALMDWPEVSKYICLVSSQSHREEIIADLFTEMKDSQKGLVYGGMIRELLLSFYRANGGHKPGRIIFYRDGVSEGQFSQVLLYEMDAIHKACASLEGGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPGTVVDTKICHPIPPAYYAHLAAFRARHYLDEGHSDQGSSSSASGSRLHDHAVPVKPLPKVKENVKQFMFYCGSQKMKDPTSYIEMEESSNTLGGAAVAAGMEPAADVEAAVEEPGEEGARAGAISPLPAAAEAAPPSAGRGGGRGAVGVPAVGDLSGEMKGKMVVSPSSGGPRAGEGSSPSPVAAVAAQGQATVKMEKEPSQAAAPAATLPPASSKAVTFPARPGFGTVGRRCSIRANHFLVQVVEKLPVYDGRKSIYTAGPLPFQAKEFVVKHTNPGKGNARVDEYKVIIKQASKIDLYTLQQFLAGRQRELPQDTIQALDIALRERPTTKYVSISRSFFSQSFGHGGDIGSGTECWRGYYQSLRPTQMGLSLNIDISVTAFYKAQSVMDFAVQYLNIRDISRRLSDQDRLKLKKALKGVRVVATHRKEKSIRYKITGIPSAPLNELMFDLDGTRISVVQYFKKQYNYSLKYVNWPCLQTGSDSRPRYLPMEVCSILEGQRYSKKLTENQVTSILKLTCERPAQRESSIIEIVKWNSYGNDDCAKEFGIKVANQLALVDARVLPTPRLKYHDSGREKVCNPSIGQWNMINKRMVNGGSINHWACLTFASRMHPNDIRMFCNDLVGMCNNIGMQINTRPCVDIVKAEQRNIEAAIRNIHRQSAEVLGKQGLTGQQLQLLIIILPDVAGTIKRLCDTEVGVITQCCRPRNVQKGGKQYLENLALKINVKVGGRNTVLEDALHRRIPLLTDYPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVCAQRHRQEIIADLFTEVKDPVKGIVRGGMIRELLVSFYKATGSKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPRVTFVVVQKRHHTRLFPENHRDLMDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPTHYHVLFDENGFGADTLQTLTYNLCYTYSRCTRAVSIVPPAYYAHLGAFRARYYMEEDQSDQGSSSSAMTRMHERSMKSLPEVKENVKQFMFYC >LPERR03G24570.1 pep chromosome:Lperr_V1.4:3:21647002:21647418:1 gene:LPERR03G24570 transcript:LPERR03G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPGDVLAASTGDDMPLTNTASKTPPPRVCSSRSSASTPSVTIAAADNIEARHLAGFDDMHISINGVLEPGFADANVTYTNWGHTHHLHGRRRCRRARVLRHFSRATVPQISTTYINYMLFKANEAERHGWAESIRR >LPERR03G24580.1 pep chromosome:Lperr_V1.4:3:21654474:21655826:1 gene:LPERR03G24580 transcript:LPERR03G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIQSSRIVKPLYDAGAPPPATEWVPLSVFDTVTYDENIAVIYAFRPPNPPTAALELGLARALASYREYAGRLGVAPDGRRSILLNDAGARFVDASVDAPLAVSVPFRPSPEVKRLHPCIDGAPVDELLQVQVTRFSCGSMVLGYASHHSVTDGQATATFLAAWGLATRRATPPPRTLPVHDRSTRFSPRDPPLVRFPHRDTEYKPAPTRVAAGDSDGDDVGAAAHDKIKVHKAHFTADFVSRLKAVSSSPPTTPRRGRGYTTFETLVAHLWRAVTAARGIAAGETTKVRISVNGRSRMRPPAPRDYFGNLVLWAFPRCDAGELVSRPLHHAAELIHRAVAGVDDGYFQSFVDFASSGAVEAEGLVPTADTNKVVLCPDMELDSWLGINFYDLDFGCGCPFYFMPSYLPMEGTLFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >LPERR03G24590.1 pep chromosome:Lperr_V1.4:3:21661605:21661937:1 gene:LPERR03G24590 transcript:LPERR03G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPFFSLLRLLLPLLAGNLPAAVLGKQARVEMTDCGGDDPQLYIAGFANGKGQWFPLQGNIGYGADDALAGLGRLFEKVMLGDDGVSGSRRRRRRAASATATGYSDL >LPERR03G24600.1 pep chromosome:Lperr_V1.4:3:21666987:21670682:1 gene:LPERR03G24600 transcript:LPERR03G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAWVVVVAMLHVSAAWVGAAGQALVPGVMIFGDSVVDAGNNNRLPTLVRADFPPYGRDFPSTHGAPTGRFCNGKLATDYTVDNLGLSTYPPAYLGQEAQSDNHSLLHGANFASGASGYLDATAALYSAIPLSRQLDYFREFKTKVGSVAGGKKAAALTSESIYVVSAGTSDYVQNYYVNPMLAAAYTPEQFADVLMQPFTTFIQGLYGLGARRIGVTSLPPMGCLPASVTLFGGGSNGGCVERLNNDSRIFNTKLEAASDAIRKQHSDLKLVVFDIYNPLFDLSRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >LPERR03G24610.1 pep chromosome:Lperr_V1.4:3:21677478:21687024:-1 gene:LPERR03G24610 transcript:LPERR03G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPAGHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYLAVRIVSEQLHEEWVLLINKPDINPTNQWISSKMLRPFLFFITQPSSWYNGQQYKTLNSISMCDHSFCKDGIMVEITAIAMRLAVSLTDCKTWKIFKSEDTEAVDASVECLVKFIGAKQSGTYSCLRRYIASLGSHASVEKKNSSRSEDDQLLITASAITIALRPFHSMRAWKGADLNGAAKEYFTLILTIPELCKRLPPLLLPAVKHISLLQPSLNILLISKGKIFEEITKLEKSEVSHVGSSTIPYCGWALGNLVTLATEHDDLSNTGSFVQGLDCCLYVDAINCISQNLLKFFEENKEILHHFGDSADTSFIKENDTCDSSTRTLFMGLLKPIYQQWHLRKLLVLAKEDAVSKRRNNHDLVLQMHSQSLKLLDIVCFYYHMLRIFSLLNPFIGSLPILNMLSFTPGFLVDLWRALELSIFGQDVHKLQELKHDIESATSSSSEQISSIRQRRNTKDTSNKWSNVLQKITKKSSDAVDTDLIDSSLNSDQNGEALILWDIEAMRQGSECIGKNIMQMLYLFCATYGHLLLVLDDIEFYEKQNGGSNSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATLPGNNFSGIPIQSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPDLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSAVFVQKLLGRYNFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLQYVHAMADYKLNRQILPFANAFYRGLSDLISPSWLRLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCMILKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >LPERR03G24620.1 pep chromosome:Lperr_V1.4:3:21688674:21691143:-1 gene:LPERR03G24620 transcript:LPERR03G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLANLVSPNQLENRGYDDLLQRTDIPAKRVASLIRFREKRKERNFDKKIRHAVRKEVALRMQRRKCQFAGTANMEGESLSPGCDPASRGSGQDFPSRDSECQNCGTSEKMTPAMRRGPAGPRTLYNACGLMWANKGTLRSYPKAKVESSVGATEQSIIDNKVLVVPNTGNITANNVEA >LPERR03G24630.1 pep chromosome:Lperr_V1.4:3:21693587:21699265:-1 gene:LPERR03G24630 transcript:LPERR03G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPASPSLLASPTTKPAPMALGSSSSPEVEFPAEGGEAGKEDEPTPSPRRVASPAAATSDHAGEGVLSVGGDAGKGDEGAASPGTRTTTDLDPLAAGSYSGGEDTRVGECGEARNEDEAPSPAATTTTSDNARTESDSSHGDGEAEGEQEGEGEEEEEEDDDEEDAPTHLPFAPASESEIPDDTSTVDPSYTISLIRKLVPQGPDVDKEFRAKQGRTDEGDASSDGGEQTQPDDKDLWDKEGCELWDLSVIEPQAELMVNNLVLEVLLANLHVRKSLRAKEICIGIIGNLACHKSLVNAITLHNGLIATVMAQLYLDDAGCLTEIFRLLSTIFQSNVSMSWAEALLPDGILSRIVWIIGNTVNSTLLQKILEFLSALVDDQDVITILIEPLIKVGLVDTAISLLIAELEKSMDGNNLARSDSLDSILRLIEELSAIDNCSKEMSSNDQLIKALNSIVKLPDKFEVESYYASVVIILANLLSDGKHLAPTLSHDLPLLESLFDILTLVSDDNEARNAFWCILTRLLEQVEAQETIMNSSKIEQFVSIFLAKFTLIKDDIERHGIQTKADSSVEGVSLKNGLCTSLMAICSITERWIADKSSLGKEDASLTKNTIQNARGMLSFCGLAMGTDGP >LPERR03G24630.2 pep chromosome:Lperr_V1.4:3:21693587:21699265:-1 gene:LPERR03G24630 transcript:LPERR03G24630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPASPSLLASPTTKPAPMALGSSSSPEVEFPAEGGEAGKEDEPTPSPRRVASPAAATSDHAGEGVLSVGGDAGKGDEGAASPGTRTTTDLDPLAAGSYSGGEDTRVGECGEARNEDEAPSPAATTTTSDNARTESDSSHGDGEAEGEQEGEGEEEEEEDDDEEDAPTHLPFAPASESEIPDDTSTVDPSYTISLIRKLVPQGPDVDKEFRAKQGRTDEGDASSDGGEQTQPDDKDLWDKEGCELWDLSVIEPQAELMVNNLVLEVLLANLHVRKSLRAKEICIGIIGNLACHKSLVNAITLHNGLIATVMAQLYLDDAGCLTEIFRLLSTIFQSNVSMSWAEALLPDGILSRIVWIIGNTVNSTLLQKILEFLSALVDDQDVITILIEPLIKVGLVDTAISLLIAELEKSMDGNNLARSDSLDSILRLIEELSAIDNCSKEMSSNDQLIKALNNLPLLESLFDILTLVSDDNEARNAFWCILTRLLEQVEAQETIMNSSKIEQFVSIFLAKFTLIKDDIERHGIQTKADSSVEGVSLKNGLCTSLMAICSITERWIADKSSLGKEDASLTKNTIQNARGMLSFCGLAMGTDGP >LPERR03G24640.1 pep chromosome:Lperr_V1.4:3:21699483:21703138:1 gene:LPERR03G24640 transcript:LPERR03G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGTQPSGGAAAAAPKRNNMDYSLAALKVFGCQLAGATYPASSEPESIPQAQMLYGIRFQRVWLQGVVVLTDYGVDGGIILVDDGSCVAEITLTPKETEGQPWREGMYVLVIGSYDGKISLPRANRPLIKVHKLVDLSAQPDREAMWYMEVAEAYNFFYLQSSAANP >LPERR03G24650.1 pep chromosome:Lperr_V1.4:3:21703618:21710534:1 gene:LPERR03G24650 transcript:LPERR03G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPSSPSQAVLLLQTQLPLQTGGACLRYRRARRREPAALAPPLGLSSSSSAAAGRSLLLPLPALRAAVGKDGRAVTKEDEAASAAVEEEEVEVRREEDNKAGDDGAREAAARGSGRFSADYISLGIREPVYEVIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMHIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRPSLCITVRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKARKIL >LPERR03G24650.2 pep chromosome:Lperr_V1.4:3:21703618:21710534:1 gene:LPERR03G24650 transcript:LPERR03G24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPSSPSQAVLLLQTQLPLQTGGACLRYRRARRREPAALAPPLGLSSSSSAAAGRSLLLPLPALRAAVGKDGRAVTKEDEAASAAVEEEEVEVRREEDNKAGDDGAREAAARGSGRFSADYISLGIREPVYEVIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMHIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKARKIL >LPERR03G24650.3 pep chromosome:Lperr_V1.4:3:21703618:21710655:1 gene:LPERR03G24650 transcript:LPERR03G24650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPSSPSQAVLLLQTQLPLQTGGACLRYRRARRREPAALAPPLGLSSSSSAAAGRSLLLPLPALRAAVGKDGRAVTKEDEAASAAVEEEEVEVRREEDNKAGDDGAREAAARGSGRFSADYISLGIREPVYEVIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMHIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKARKIL >LPERR03G24650.4 pep chromosome:Lperr_V1.4:3:21703618:21710534:1 gene:LPERR03G24650 transcript:LPERR03G24650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPSSPSQAVLLLQTQLPLQTGGACLRYRRARRREPAALAPPLGLSSSSSAAAGRSLLLPLPALRAAVGKDGRAVTKEDEAASAAVEEEEVEVRREEDNKAGDDGAREAAARGSGRFSADYISLGIREPVYEVIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMHIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEDLHCVLLSDVNQSMGKQRDSLILQEKWKIQLRSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKARKIL >LPERR03G24660.1 pep chromosome:Lperr_V1.4:3:21713043:21715893:1 gene:LPERR03G24660 transcript:LPERR03G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPRPAAAQRRRIQPAPSALPYLSAAAALLVLAAVAFSRAGPRFPNPPATRRCRPDAEGSWSVGVFLGDSPFSLEPIEHWGISKAGGAAWPVANPVVTCADVEEAGFPSSFVANPFLFLQGDAIYMFFETKNSITSQGDIAAAVSKDAGATWQQLGVVLDEEWHLSYPYVFSDKNEVYMMPESSNNGDLRLYRALDFPLKWKLEKVLLEKPLVDSVIINFQDSYWLLGSDLSSYGAKQNRELSIWYSDSPAGPWIPHKKNPIHNTNNKSSARNGGRPFIYNGNLYRVGKGQGVRSGHSVQVFKVEVLNSNEYKEVEVPFVMNKLRKGRNAWNGARSHHLDVQQLPSGQLWIGIMDGDRVPLGDPVHRLIIGYMFYGIVLVLVLLLGGLIGVVNCSLPPRWCPPHTEKRSRIFNAEQRFFLYHKLRFLISNLNKLGSLIGGTINYKTWKGRVYVVVVMLILVVLTCVGTHYIYGGSGAEESYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGKPPAQGELNSVVPVRIRVEDKNSLNNRFNIDSEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYAQQQGGYNMVLTGAAFMDHGLAFKRYWRKEAEVGRQIVDSYFNCEDVLLNFLYANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAEFSEMYGNLTAKRLFNSRGDGWDV >LPERR03G24670.1 pep chromosome:Lperr_V1.4:3:21716454:21718325:-1 gene:LPERR03G24670 transcript:LPERR03G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQPGMLGIGCSNILARARMQCLAAASHHMPCLPVLLHVNGNKKQGSSFPPSQKIGFSRRRRRAVVAEASAVTPAAAPGGVSVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSFTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLLGPGWLARGAALSASIAFMTITGASHPPARGGDLLEEKFQVLIQAVHDL >LPERR03G24680.1 pep chromosome:Lperr_V1.4:3:21721587:21724162:1 gene:LPERR03G24680 transcript:LPERR03G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERAHHPATPARRPSAFPLSAPSHSRGLAFPFASRDDPRFHSPRHFPSSRSSHHHHRGNKHKLLHSRSPAMAACPAATTARIGAPFATVRPSRGTTTKARAASGLRQVEGPVSERAWASSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDISLPFACRHGCCTSCAVRIKSGEIRQPEALGISAELKDKGYALLSTGSSLGDISHEDLLKETIMHWSSQWEMNKLCFKSGIVCGRHLMLS >LPERR03G24690.1 pep chromosome:Lperr_V1.4:3:21724631:21726241:1 gene:LPERR03G24690 transcript:LPERR03G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFELSSIENLLLLDGAEVPEELYEFSGNAVYVSSAVMKKVSGMQSVDSTEAIAVIHMPKYFCDRDSDKGGAVLDELFGSAKRILVLDGIQDPGNLGTLIRSACAFKWDGMFLLPDCGDPFNEKALRAARGASLQLPIVSGHPESSFNGSERTHTLSKELADSPISESLCLVLGSEGNGLSAETLQACRLVNIPMEGGIFLFMLQIKQQKIAEL >LPERR03G24700.1 pep chromosome:Lperr_V1.4:3:21728861:21734288:1 gene:LPERR03G24700 transcript:LPERR03G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERCKQGLCSNCDEPYVRGHNKTCMTSCVIYIMSIMPMRDAARAACISRAFLSSWRCHPNLTLDWETLCPKAHRGKLRRKIDSILRNHSSTMKILNLNLADEYSTYPDIDRWLQVAVTQGIEELTLTLHKEYSFPCSLLSGGVRDSIRSLRLRSCTFHPMAELGPWRRLTILDLCGVRITGDEVECLLSNSLALEQLSLYDCSKISFLKIPCVQQQLRCLFVCSCWRLKVIACEAPNLSSITLLRRDEALAWRSFDN >LPERR03G24710.1 pep chromosome:Lperr_V1.4:3:21734299:21736531:1 gene:LPERR03G24710 transcript:LPERR03G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLTAVCYARAELPSIMPNLETMKISSGSEVAQEDMAHESVVEGSCPHLRQLPELSHDCLKSVEIVGFNSAKSLIELTCCIMKTAVSLERLVLDTLRGDDRCSGKSNKSCWPVSKAVLKEASRVVVAVVSYIEDKLPELSHDCLKSVEIVGTAKSLIELTCCIMKTAVSLERLVLDTLRGDDRCPGESNKRCWPVSKAVLKEASRAVVAIGSYIEDKVAPTTNLTLLGPCSRCHSIE >LPERR03G24720.1 pep chromosome:Lperr_V1.4:3:21737617:21737847:-1 gene:LPERR03G24720 transcript:LPERR03G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRMVVRGLGSALRQITVVPSAPGFVREVVMERLIVSDANAMLELFKVAGEAWIVFNKKRVKRLTRTSNLLNML >LPERR03G24730.1 pep chromosome:Lperr_V1.4:3:21751924:21754130:1 gene:LPERR03G24730 transcript:LPERR03G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLARAPPPPALTSAASSPPQREGTVRPRRVPSPRAVVSTAAAAKAAHFRGRQNHKQVASVANPLVKHCVKLRLSAAYRRSCRRILLVGLAPILEMCRFELSFIENLLLLDNVEVPEELYEFSGNVVYVSSAVMKKVSGMQSVDSTEAIAVIHMPKYFCDRDSDQGGAVLDELFSSAKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPACCDPFNEKALRAARGASLQLPIVSGNWSDLHALMAKYDMKMLAGHPESSSNGSERTHALSEELTDSLISESLCLVLGNEGNGLSAETLQACQLVNIPMEGTFESLNVSVAGGIFLFMLQIKQQKMAEL >LPERR03G24740.1 pep chromosome:Lperr_V1.4:3:21770544:21774435:1 gene:LPERR03G24740 transcript:LPERR03G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPIENAAAASAVEAAAEAVAVAAVTGVVVGGGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAALDGFVISGSCSDAHGDEPWILALVDLIRRQHAAGKRILGVCFGHQVLCRALGGKTGRAKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSNMTGIEMFRLGDRAMGVQGHPEYSKDILMSIADRLLQNNLILDSQVDVAKASFDRRQPDKDMWKKVCRCFLKGRLQTQQQQKTAQLL >LPERR03G24750.1 pep chromosome:Lperr_V1.4:3:21780487:21783401:-1 gene:LPERR03G24750 transcript:LPERR03G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSARSAPRPAPRAAPVRNPPQPARQAPPPAPQQSGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPMANATASDSCSIHSRAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >LPERR03G24760.1 pep chromosome:Lperr_V1.4:3:21784338:21787882:-1 gene:LPERR03G24760 transcript:LPERR03G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGEVPGEGSDGEEVFINEEDIINEIQIDEEDLPDRDDEDDDGMGDLQEDDSQYAFRAHTGKLAQKNEITVSCPTDASLVASGGKDDRGFLWKIGSAENVLELAGHTDTVCTVAFSSDGNLLACGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLICLSITSDSQTIVSGSKDNSVHVVSINSGQVVGSLDGHTGSIECIGISPSYNWVATGSMDQKLIIWDLARQSIRCTCDHDEGVTSLAWLGSSRFLASGCIDGMVRIWDSLSGECVRVFAGHGDVVQSLAVSADGNFIVSASTDGSALVFDISMFK >LPERR03G24770.1 pep chromosome:Lperr_V1.4:3:21786623:21799742:1 gene:LPERR03G24770 transcript:LPERR03G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIDQQAVPGNHLLTVRAFTWDLARDAHDPHRLGRGEDGAAAAAAASRPQVRGRRDAQSPESCGELGFCGLCSPESPPPVASAHKTQEKSKARPIESRKMKRGKENTVANSGTRTWSRGGDGDQRWLEETSSPAKPSAAAAAPPLPAQAAMFSIAAINESDTGGQWEPLAPTKEAQESALSQKYHEGLLKLQEKNYVKAREVLEDVLKDPIISKIQADNIGSDQHLLQLRFLTLKNLASVFLQQGSEFYDNALRCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAIRDEVACLSVAKLILRSWPSHHRALHVKKTIENAEPVPFAPRGIDILEPKHAKLKFCKKRKSGDDETHRGTVTKKSRRNAELQLTEARWMALLDGILSFLCSNAKANEGHGASTESPCPDTKRVVNGFSYNMMDISLSMETPKTTESAGGNGNELYHDVETAISQDCRTAVKEKDINSDREHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKHTSIPEKIDCSGSGDTSNPEGLTYTPDHEASDVKRFLCKISKNCGPLHIGSMLLEEIAHTKIPFQDYFVKFIELDKVTRGWAQDRSAHCSLFLAELYFDQALCSGSPLTSSELSNSSYHLCKVIESVALELPFRTSGEAAKSTNLDLNMESRIAEMFSSDKTEKKSSNMSVNPDKSLSSNMFCDETYECDSTSNTDCVFWIRFFWLSGCLSLSSDCKEKAYEEFNIALSLLRSSNEAKSNTEFVLLPHNKLVKLLTADRIRREINLIKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGSAYGPPRESEKVISLELGALDVLISACENAKPMNIQVYLDSHRRKMQVLTVAAGMVGSVTTHEGKNSSDLELMEAMNRNRLESVVEAVKDVSRNASKAKAFIDQCDNSGGQDGFCSLVSIVGDFQSLLLTIMCAAVKMILSRKHACTGSSYQADQLESSCLVDLIVAVHDLLAEYGLCCAGRDGEGEEGTFLKFAIKHLMALDVKLKSQLNPNGMEEDAAENDRAEDIMTDETPACDDKHNSEDEEESELEEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNSLDNFLDGSDSCEKTLCEIYETNGSKEGILNVLFPGESGYEAFKKLSNASSEPYSDVYGNLYHYIAQVEDISAYDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFETWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLTQRVEMGRRRSRRCLLMSLALAKTASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCQNSMKHFEKALELKAEWLYAFYLGKLCEKLGHSPAEAFSYYSKAVMLNPTAVDPVYRMHASRMKLLYTKGKHNLDAIQVVADYTYKQSTKENVLSMLQSINNAQNSSSDHNDKCIVDSTEEKKSVDPDLLDKVWHILYDDCLCALVTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNKDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDSSVEHLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMETTEQPAPLSNGLRLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVAQSQAPIEGLQQAPDVVDNLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGTSTSTSSPQTVQPTSHNAVSPQVGSKEKSTHIDPGEAHEATTPTAPAAVSAPPQQDVAIASTQLSTEAQKTVTAAASQLTRSSSSRAMETPQDVSRGNDGTS >LPERR03G24780.1 pep chromosome:Lperr_V1.4:3:21802280:21805333:1 gene:LPERR03G24780 transcript:LPERR03G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENMILRMMEDPEKRDAAQREHVYRMKERCERTKAAWSLPLRPYGFWTFERFNSQLSWDPQISQQAGRRDPYDDLIHRHSNPPPSSSCRS >LPERR03G24790.1 pep chromosome:Lperr_V1.4:3:21809308:21822739:-1 gene:LPERR03G24790 transcript:LPERR03G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPPGTPVNIIVGSHVWVEDPTLAWIDGEVVSLNGNEVHVHTSNGKKVTTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCNNLEKALITRVIVTPEEIITRTLDPASAVVSRDALAKTIYSRLIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGARFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKTACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIARKQFVLLRRSATLLQSFVRGTLARKVYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIGKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGIPPYGEVKPLPDVIPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGIRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSRYFLTPTSTKSITPYNFVL >LPERR03G24800.1 pep chromosome:Lperr_V1.4:3:21835537:21845656:1 gene:LPERR03G24800 transcript:LPERR03G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLPPLTPPLSLTLTLAASRPAAIAAPRRPVRLVLAAAAAPDSSSSRPPPPHNRRVYRRQRAPPTQPPPRGRAPSSSNVGRGEEELEEAIFEFMRRSDKPGAFPTRAELVAAGRADLAAAVDASGGWLSLGWSSSDAPAAERGRSLPSSSSSLGVHPDYPPETGGASDLAQGPASVSASSREAEASPSGRQPETEEEEETETKFGTGLEGMLTRLQKERERVRPLPRTGAGGGGQGDNVALLSHSGAPSHSTTGGRYTPKGPENGNIHTSHPQNGTLENNKASRSSTNDAWRTWSLDKGGFSDFQAAEIHSTNSGKSFGHDVLDNLLAQDDVHGPSNGVAVHDYDSSGLDSERDDIHARLQNLELDLTTTLHTLRSRFDKVITDMSGGDRANGPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGIFFLNLRLYPGRYEIKFIVDGVWKNDPLRPLVNNHGHENNLLTVVTFVTRCDYALCNVMQTQAPEITRGLSLLRYERREVICFITIRWTPMDCNTA >LPERR03G24800.2 pep chromosome:Lperr_V1.4:3:21835537:21845476:1 gene:LPERR03G24800 transcript:LPERR03G24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLPPLTPPLSLTLTLAASRPAAIAAPRRPVRLVLAAAAAPDSSSSRPPPPHNRRVYRRQRAPPTQPPPRGRAPSSSNVGRGEEELEEAIFEFMRRSDKPGAFPTRAELVAAGRADLAAAVDASGGWLSLGWSSSDAPAAERGRSLPSSSSSLGVHPDYPPETGGASDLAQGPASVSASSREAEASPSGRQPETEEEEETETKFGTGLEGMLTRLQKERERVRPLPRTGAGGGGQGDNVALLSHSGAPSHSTTGGRYTPKGPENGNIHTSHPQNGTLENNKASRSSTNDAWRTWSLDKGGFSDFQAAEIHSTNSGKSFGHDVLDNLLAQDDVHGPSNGVAVHDYDSSGLDSERDDIHARLQNLELDLTTTLHTLRSRFDKVITDMSGGDRANGPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGIFFLNLRLYPGRYEIKFIVDGVWKNDPLRPLVNNHGHENNLLTVVTFVTRCDYALCNVMQTQAPEITRGLSLLRYERRELTMREAMEAMARAR >LPERR03G24800.3 pep chromosome:Lperr_V1.4:3:21835537:21843211:1 gene:LPERR03G24800 transcript:LPERR03G24800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLPPLTPPLSLTLTLAASRPAAIAAPRRPVRLVLAAAAAPDSSSSRPPPPHNRRVYRRQRAPPTQPPPRGRAPSSSNVGRGEEELEEAIFEFMRRSDKPGAFPTRAELVAAGRADLAAAVDASGGWLSLGWSSSDAPAAERGRSLPSSSSSLGVHPDYPPETGGASDLAQGPASVSASSREAEASPSGRQPETEEEEETETKFGTGLEGMLTRLQKERERVRPLPRTGAGGGGQGDNVALLSHSGAPSHSTTGGRYTPKGPENGNIHTSHPQNGTLENNKASRSSTNDAWRTWSLDKGGFSDFQAAEIHSTNSGKSFGHDVLDNLLAQDDVHGPSNGVAVHDYDSSGLDSERDDIHARLQNLELDLTTTLHTLRSRFDKVITDMSGGDRANGPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGIFFLNLRLYPGRYEIKFIVDGVWKNDPLRPLVNNHGHENNLLTVVTFVTRCDYALCNVMQTQAPEITRGLSLLRYERREVICFITIRWTPMDCNTA >LPERR03G24810.1 pep chromosome:Lperr_V1.4:3:21841263:21845892:-1 gene:LPERR03G24810 transcript:LPERR03G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGGGREEDEVGQKLKSMDVEKLENGVNGGAGDTPPRPAVKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASIASLIGMFFLTMTAGADSLHPRECGVGETCEKATSGQFAVLFISFAFLVIGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTAAMLVSATVIIYVQSNVSWAIGLGIPTALMFLACVLFFMGTRLYVRLTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVAGEDEVRPGGAAPTNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGGKGFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRKVTGKDEGLTLLQRQGIGIALSTVAMVMSAIVEDRRRHIALTQPTVGMTTAGGAISAMNSLWMVPQLLVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVNRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICARWYRFKGQAN >LPERR03G24820.1 pep chromosome:Lperr_V1.4:3:21864506:21868646:-1 gene:LPERR03G24820 transcript:LPERR03G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPPYHHRGLPPPQHADPLNSSRTPPPPPPATPLRSPGFGPFRWSPRPLRGAPHGAWDAVNAAAASPSHSGGGPPMLSPFFRLPAPSPPVTDIGEFSPTMRLIEVGSSSGSGSGSSGFPGLSSRMIAGGSTHATPFAMGVAGAAYPSRAVDMVPIRTLHDGFSHWNMGRFRRNTATSSISPIGVEPGNFGKKRNANSNNFLPLKFRKMNLWKFRCHYEVPIFGRAGAWVFGGVAYDCVQDLGTGQQCSMAVTVVF >LPERR03G24820.2 pep chromosome:Lperr_V1.4:3:21865196:21868646:-1 gene:LPERR03G24820 transcript:LPERR03G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPPYHHRGLPPPQHADPLNSSRTPPPPPPATPLRSPGFGPFRWSPRPLRGAPHGAWDAVNAAAASPSHSGGGPPMLSPFFRLPAPSPPVTDIGEFSPTMRLIEVGSSSGSGSGSSGFPGLSSRMIAGGSTHATPFAMGVAGAAYPSRAVDMVPIRTLHDGFSHWNMGRFRRNTATSSISPIGVEPGNFGKKRNANSNNFLPLKFRKMNLWKVT >LPERR03G24820.3 pep chromosome:Lperr_V1.4:3:21864506:21868646:-1 gene:LPERR03G24820 transcript:LPERR03G24820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPPYHHRGLPPPQHADPLNSVWIRRLHLIPNHLPPPRPPPPPPPPLPQAPHHHQHQDAVSTDESRTPPPPPPATPLRSPGFGPFRWSPRPLRGAPHGAWDAVNAAAASPSHSGGGPPMLSPFFRLPAPSPPVTDIGEFSPTMRLIEVGSSSGSGSGSSGFPGLSSRMIAGGSTHATPFAMGVAGAAYPSRAVDMVPIRTLHDGFSHWNMGRFRRNTATSSISPIGVEPGNFGKKRNANSNNFLPLKFRKMNLWKFRCHYEVPIFGRAGAWVFGGVAYDCVQDLGTGQQCSMAVTVVF >LPERR03G24820.4 pep chromosome:Lperr_V1.4:3:21865646:21868646:-1 gene:LPERR03G24820 transcript:LPERR03G24820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPPYHHRGLPPPQHADPLNSVWIRRLHLIPNHLPPPRPPPPPPPPLPQAPHHHQHQDAVSTDESRTPPPPPPATPLRSPGFGPFRWSPRPLRGAPHGAWDAVNAAAASPSHSGGGPPMLSPFFRLPAPSPPVTDIGEFSPTMRLIEVGSSSGSGSGSSGFPGLSSRMIAGGSTHATPFAMGVAGAAYPSRAVDMVPIRTLHDGFSHWNMGRFRRNTATSSISPIGVEPGNFGKKRNANSNNFLPLKFRKMSGAI >LPERR03G24830.1 pep chromosome:Lperr_V1.4:3:21892373:21895402:1 gene:LPERR03G24830 transcript:LPERR03G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDKHDEEDDFDGVDRSSRDVIRSVSEVIAENLAHKKLLMTFLNGSDDEVNFSRFGISPSYLDHVIIWTFRRRVLTLKWLQDKITSKLRYTDLFISDGVRDAFSTKLKAEAARIIDRHPCMQGIGLKMVEDCCLYGLFLYYSFHSNMANIGYFLWKRNIGFDWTAQASSFWMCDGIIKEDSTREVINTLHQEIRWKCNADTLKNVLQTNPILKDQFLVLEDDMVGKMRPCRWISITSKPVTPQDYMKRLQATIKSASSLFIALDQYPQGLPGGLFNECNNLGVLILFCCIFSFVSPPFLQCHQLRFLGLYHCSDDKKSGEKDTVWACLGRLWVLDLRHTEWDDILSEEKMDLMVYLRELNIEGVSCWQYTSTLQGRLPYLERLRITKPTHRAVTSIDCNNSFMGKTRLEILDLSDNIDMKNLPPSLSMASNLQVLVLDGCDGLENVVLSEGLPASLRSISFDAYGPANRWSWESTNELPPEDSADDENTEGVINSVRLAGLPHPGLKKKLFSTVNFYKKNHSAQPFLPHLIQPNRTIITSKISLQGLMQLENLFVRGLPNLVELDLSRTAIKVFDLGTMVVNVPGLKRIFLLGCEHLRAIRCGSEDSMEEPKLELLSIDTRTGRTPEYTWPSLAHQNPSPCFQLYAVLSDARLARSLCHLLSRQSKIWFHEPYFNIHLTSSDEYGGIVERLKETCRESTDQVQACNNHAPYGDVFTLIGDAPTFPAFPQPPTTQQMESHIEIAGDGSHNWESELAMDSRDGLGVLVRRRAQSLHMHDTSSNTSVPDGGHLRCCRVERCPNLETVLSHDVNIGTDKMEIIWASELLMARSICSKVQHWPNLLRSFRNLQHLHVRSCPSLQFVLPVPWTSTICYLPNLETLHIIHCVNLMHVFVWQPHEYVPGWQYHLCFPKLTTIHLYDLPKLQQISEDKMIAPALDTIRIRGCWSMRRLPVVSDAKKPTVEMEKDVWDTLEWDDSHQQSLFKPVHSRYYKRRLPRGTVLGYALISYI >LPERR03G24840.1 pep chromosome:Lperr_V1.4:3:21912554:21918500:1 gene:LPERR03G24840 transcript:LPERR03G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPTGSSSAAAAEATDGPVLSVVSKRLRALRKKHNRILQMEESLAGGKKLNKEQEEVLRSKPVVVALIDELERMRAPLASAVAEELSSRPAPSAAAAAASSSSGAADSDSPIEDLLSLVYFGSLFDVKPHDEFVATMVARTHERSCCITYDYVTDDAADLLQESDLDSVSALAALAAARPATAVGVSHRDALQACAQHARLWLRRSDEPIHPESSITYAAVRAKLDKIMASDYYTAQTEMGADLSAAVGSYGTGAGVQESMVVSPEAPAVEEGLMVEGHKDEKEVSQATEVYNDNQPNVADAQNVDDEAPVNPSEEFSSAEVEQEKFEGDVEGQDQNADQQFTSRRPYQNQRGGGGRGGGRRNYQNGGRGGRGGRGMGGGGGYQNGRGGGGGGYQNGRGGGGGYYYESGGYYQQRGYSNRGRGGRSGGGGGNSYYNNQGGGSQGGGHRVELGANA >LPERR03G24850.1 pep chromosome:Lperr_V1.4:3:21921882:21927592:1 gene:LPERR03G24850 transcript:LPERR03G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACCATLPSEEAKPRRAETPRRDHAHAAKKKPSTPPPPRQRHGGHEPNPKPKPKPRAKAKPNPYDWVPPPPMRGAGAATVRVLEGVVPHHPRLRVTDKYELGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDAEAVHLVMELCDGGELFDRIVARGRYTERAAASAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAVDFDREPWPRISRSAKSLVRAMLEMDPRKRPTAQQVLDHPWLHNASRAPNVPLGDVVRARLKQFSLMNRFKKKAMRVIAEHLSVEEVEVIRDMFALMDTDNNGKVTLQELKAGLVKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGNGYIDRHELADALADESGHADDAVLDHILQEVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLMKDGSLTMAR >LPERR03G24850.2 pep chromosome:Lperr_V1.4:3:21921882:21929317:1 gene:LPERR03G24850 transcript:LPERR03G24850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACCATLPSEEAKPRRAETPRRDHAHAAKKKPSTPPPPRQRHGGHEPNPKPKPKPRAKAKPNPYDWVPPPPMRGAGAATVRVLEGVVPHHPRLRVTDKYELGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDAEAVHLVMELCDGGELFDRIVARGRYTERAAASAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAVDFDREPWPRISRSAKSLVRAMLEMDPRKRPTAQQVLDHPWLHNASRAPNVPLGDVVRARLKQFSLMNRFKKKAMRVIAEHLSVEEVEVIRDMFALMDTDNNGKVTLQELKAGLVKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGNGYIDRHELADALADESGHADDAVLDHILQEVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLMKDGSLTMAR >LPERR03G24860.1 pep chromosome:Lperr_V1.4:3:21945362:21961871:1 gene:LPERR03G24860 transcript:LPERR03G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADTITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPNLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDADDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRGGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNARAKNTDESVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTSSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDETIFLPTKESEYQQKLQARNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDERRFRIEMTFSRGADLSPLEDKTSENSSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFSKGAGVLERLASLWPFHKGATNGNK >LPERR03G24860.2 pep chromosome:Lperr_V1.4:3:21945459:21961871:1 gene:LPERR03G24860 transcript:LPERR03G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADTITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPNLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDADDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRGGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNARAKNTDESVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTSSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDETIFLPTKESEYQQKLQARNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDERRFRIEMTFSRGADLSPLEDKTSENSSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFSKGAGVLERLASLWPFHKGATNGNK >LPERR03G24870.1 pep chromosome:Lperr_V1.4:3:21967403:21975327:1 gene:LPERR03G24870 transcript:LPERR03G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEGGLDAVLKESVDLENVPLEEVFQNLRCNRQGLTSEQAQIRLDLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARLRNLPSVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWGFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFYWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLFFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVPLFPEKSGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >LPERR03G24870.2 pep chromosome:Lperr_V1.4:3:21967403:21975327:1 gene:LPERR03G24870 transcript:LPERR03G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEGGLDAVLKESVDLENVPLEEVFQNLRCNRQGLTSEQAQIRLDLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWGFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFYWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLFFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVPLFPEKSGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >LPERR03G24870.3 pep chromosome:Lperr_V1.4:3:21967388:21975327:1 gene:LPERR03G24870 transcript:LPERR03G24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEGGLDAVLKESVDLENVPLEEVFQNLRCNRQGLTSEQAQIRLDLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARLRNLPSVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWGFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFYWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLFFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVPLFPEKSGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >LPERR03G24870.4 pep chromosome:Lperr_V1.4:3:21967388:21975327:1 gene:LPERR03G24870 transcript:LPERR03G24870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEGGLDAVLKESVDLENVPLEEVFQNLRCNRQGLTSEQAQIRLDLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWGFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFYWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLFFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVPLFPEKSGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >LPERR03G24870.5 pep chromosome:Lperr_V1.4:3:21967403:21975327:1 gene:LPERR03G24870 transcript:LPERR03G24870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEGGLDAVLKESVDLENVPLEEVFQNLRCNRQGLTSEQAQIRLDLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARLRNLPSVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFMLLALIWGFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFYWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLFFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVPLFPEKSGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >LPERR03G24880.1 pep chromosome:Lperr_V1.4:3:21974715:21983284:-1 gene:LPERR03G24880 transcript:LPERR03G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEAFAAKLANVLVGMAKEEAELLLGVPGAITRLETTLGDLSSILADAERRRVHDSAVDRWVAELRDAMYDADDVLDLFRVTEDGGDATGEAAPACWKMMLLCLRGPGATHKIGRRIQAINERVEEIARRSSRFGFVAISQVINSSSLPLADKPACSTSWHENSRKTGPSIVRSDVVGEKIDLHARKLVNLLVDKAGQAHAGSDNVVAFAITGAGGIGKTTLARMVFNDGVAENHFDKKIWLSVNQEVNEINLLHSVIAAFGGNYHCCACDRALLEDTLKQAVRQERFLLVMDDVWSDKVWSNLLRAPLSVGAPGSRLLVTTRNDGVARAMKAQHLHRVILNEGDEYEINGLEDIGLKIVERCDGLPLAIKVVGGLFLNKGKTRDAWVNISNHFAWSITRSNDDINKAVYLSYEELPPHLKQCFLFSSLIPKDELIKRGVIVRMWIAEGYGQHDKMGSTLPEDLGCEYYNELVSRNLLEPDKGSYGLSACTMHDVVRSFAQQMMKDEGRLVSDRQNVNSSLGASKLRHLSVSKNATERVALHKQVSLRTLMLFGRSITELMDFSSNISCLRVLHLHGVDIIDLPDYICHLKHLRYLGLANTSISAIPRCIGGLKFLQFIDLMGCQNLFQLPDSILKLQNIRFLDFRGTRLTSIPEGMRNLEDLVHLLGFPTYLDDNRHAWSSLEELRSLSNLKWLDLRGLELASSGSIAARAMLSSKKHLKILDLAFASRLTNNRMIEGISNTNEEQERAKDVLSNLCPPPCIECLTINGYFGYQLAQWMKMMSAFPNLRRLELKDYSCCKQLPVGLGQLHFLDFLWVEHAPSIVSIGHDLLFPSFSADGEYTARTRIDRKLQRHCLSHGAGFAFPKLETLGLKGMLGWRTWNWDQHAPAMPSLDALTITDCKLCYLPLGLAHQATALRVLDLRNAVHLVSLENFPSLVELRSVDNTKLERITNSPRLRYIVIIRCPGLKVMKDLQSLRSVIWKDLDADALPEYLRENMLNKLEIQHIQLLKAYGKSSVEDKVDRHIFYTKDPLARILAETAKEEVEALLGVPGEITRLEATLGDLRAVLSDAERRRARDRGDAAVDRWVRDLRDAMYDADDILDECQSPPTAACCFFFPGDPVRARGIGKRIRALNRRLEAIERRSSRFGFVSDRIIPFSSPSHRGGGCQRADAAGDYGRRTAPGLIRSDVVGEKIAEDTRILADILVSKMDLDDDDGGGGNVVIPAIAITGAGGIGKTTLARMVFGDATVQENFDIRIWLFVGQDADEVAMLRSAIAHAGGPSYNDEGLAAAVAGDKAVLERALERAVRHRKVLLVMDDAWGDAAWNELLRVPLSHAAAPGSRMLVTTRNEGVAHRMKARFLHRVDKLRRQDAWSLLKKQIILNKSDEAELDELEDIGMQIIDSCDGLPLAIKMIGGLLLSKSRTRGSWMEITRHSAWSKHEVNDEVNKVVRLSYEELPSHLKQCFVYCSLFPRGEVIESRTIVRMWIAEGFAQDCTGSRLPETIAAQYYKELILRNLLDPSDGYYDQLGCIMHDVVRSFAQYVAKDEGLSMNEMQKQTISDALGALKIRRLCISNKKVEWDALQTQASLRTLILFRSIITKQKNFLNNLPCLRVLHLEDTNLIALPDSICYLKHLRYLGLKGTYTSALPKLIGNLMFLQHIDLCGCINVSELPESIVRLRNLRSLDIRYTMVSSVPRGFRKLENLAEMLGFPTNLDDDKRDWCNLEELGYLPNLSALHLEGLEKATFGSMAARAKLSSKQHLTQLELRCTSRISANGEVQDEVSKEDCERIENVFDHLCPPPSIDRLTIAGYFGHRLPQWMETVAAFRSLRRLVLEDYACCDRLPIGLGQLPYLDYLWIEHAPSIEHVSLDFITAVTKTEGAGVAFPKLKRLGFQGMLRWAVWDWEEHFQAMPALESLTVENCKLRLLPQGLAYHASALKTLVLTNAMSLASVDNFHSLAELYLADNPKLERVVNCPSLTKIEILRCPQLKVFDRLPAVRSIVWEDLDADTLPVYLQEAKVNRLHINCNLGLLNMISLQDAISEWRNVQHVQQLKVFGFKPQSETSDFHFLYTKEPHRVETNIDWA >LPERR03G24890.1 pep chromosome:Lperr_V1.4:3:21983715:21987879:-1 gene:LPERR03G24890 transcript:LPERR03G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGDGIGEVRKDMWGQEYRTASAECAAALDEHYAHTMGFGRGRVAAVMRAAAADPSCALASALAAHCVAPRDPARAAALLAAAADNLSTATEYERAVFRALSALIGEEKDEQVAIERHFELLKEFPRDLMSLKRAQLVCFYMGRPDTSLKFVEQVLPENQDQNYIYGLLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWEECSSFMLTHNWWHVAVCYLEGEFPTSKVVEIYDQNIMTELEKSDCEAAEVYLNALGLLLRLYTRGQVDLAKERLAALLDALKNEKIWHVEWLLDLLVLWALSNMDEITRADNLLESLRSRVDSMDTKRQQEMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSYKMIGASDEQVDVFNEVWYTVLINTGESSKAIEVLDKQTRKRGGAPFLWRLLEKAYSLDGRAEDASVASEKANALQTAYSQ >LPERR03G24890.2 pep chromosome:Lperr_V1.4:3:21983715:21987879:-1 gene:LPERR03G24890 transcript:LPERR03G24890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGDGIGEVRKDMWGQEYRTASAECAAALDEHYAHTMGFGRGRVAAVMRAAAADPSCALASALAAHCVAPRDPARAAALLAAAADNLSTATEYERAVFRALSALIGEEKDEQVAIERHFELLKEFPRDLMSLKRAQLVCFYMGRPDTSLKFVEQVLPENQDQNYIYGLLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWEECSSFMLTHNWWHVAVCYLEGEFPTSKVVEIYDQNIMTELEKSDCEAAEVDLAKERLAALLDALKNEKIWHVEWLLDLLVLWALSNMDEITRADNLLESLRSRVDSMDTKRQQEMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSYKMIGASDEQVDVFNEVWYTVLINTGESSKAIEVLDKQTRKRGGAPFLWRLLEKAYSLDGRAEDASVASEKANALQTAYSQ >LPERR03G24900.1 pep chromosome:Lperr_V1.4:3:21987179:21991051:1 gene:LPERR03G24900 transcript:LPERR03G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREVTIVSVMQRLGNLARRLHQSHPFISDRTTHAPLKPLQLLSSSISPCSRFFPRTHPPKNIKELADRSGERVRRTGVGAMNVEEEVGKLKEEIQRLGQKQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKILTYDGELLLQGVHDNVEIILLPPPAVAAA >LPERR03G24910.1 pep chromosome:Lperr_V1.4:3:21997228:21998382:-1 gene:LPERR03G24910 transcript:LPERR03G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSASAMSSDGYDRLRELKAFDETKAGVKGFVDAGVTTIPRIFHHPPDPTPVASPSKSAAIPVIDLAFPDRDSIVSQVSSAAESVGFFQVVNHGVPAQLMESTLAAVRSFNEQPTAAKREIYTRDTSRRVRFNSNFDLYESPAANWRDTLFCQAAPDPPRPEEFGLPFAASAAAAVLLEYAEHARRLALAVLELISSALGLDRDRLGKTMGCAEGVSLVSNYYPPCPEPDLTVGTGRHSDPAFLTVLLQDGMGGLQALLDGQWVDVPPVNGALVVNVGDLLELVSNGRLRSVEHRVVANRSRETARVSVAAFCNVDLGSENSWSRLYGPIAELISGEDGGERPQYRSITVAEFLAHYDGKGLDGCPALHHFRLPDAAGTTPLH >LPERR03G24920.1 pep chromosome:Lperr_V1.4:3:22004499:22006694:-1 gene:LPERR03G24920 transcript:LPERR03G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYSEHSSQSDYFRFADPQPTIVPQMDAGPSSNASSTVSRVTINSGADNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKYPPSPDHQLVAWPLDSPSESSTSSCPQSFASSVTSSNIGGAVNSNQRHNVGHSEYRSLREHTSQQPLGLLSDVCKATEALEDPLITTGRIPDYLVESFPTWDFRRGVEEAQKFLPGSDKLVIDLETGGIARRQEAGKGVSSNGNKAEALKAKKNKQSEDLDLIEGRNIKQSAFCSDEPDWIQMFDDLLRQTEKKATDLLQMMKTEASKNSQVTQTKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKQNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAKKIGVPFEYQGIASKWETIRVEDLNVKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPEIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNK >LPERR03G24930.1 pep chromosome:Lperr_V1.4:3:22018690:22022864:-1 gene:LPERR03G24930 transcript:LPERR03G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSSSSSRRGQQQQQQAAHGGEAKTKELDAPLHALGFEIDVVSPRELTGRLPVTPICCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPVHVGRTTQVWEVKLWKMDPSTKEKGAQISESRVTLLCNLPVPESVRDAGDALKKYSKL >LPERR03G24940.1 pep chromosome:Lperr_V1.4:3:22024133:22027930:1 gene:LPERR03G24940 transcript:LPERR03G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPTCSSLKVEMEMCKEMDDLRSEVEALTAECRAKSELADGLKRASAEQAARLREARAEVERQAREIAARDEEISSSGEARREVEARLAEKEQALLNLRAAHEGLKSSARERNEGLEAEKRGLVVALEESESRRLEQEAAARSSSEEVARLRRLLSEKDKKCSEAEQRALAPREVMMRDDMLLKLEEEKAAVQGKLKWKSEQFRHLEEALKKVQDEFRAAKKEWASDRSMLVDQIGTLEANLDSKTRMAEDFRSRLEMCSQALAHEEGRRKRLEAEMSELKHMYGSVVSDYEEARSTIELFTAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRTLLKEYQEAQIGGADAVVSLKGLREKFRTLEQTHRSCTEKLRDKEAEWKTQMEKLVSDLDGCLSQLDSRDTLIRQLQNELLSSYSSLEMQMLQNWEASIVLNIVESKLCDSCLYFETTKVDMQKKCAQLEHNFTAAKEQVEEKNCAIAQSQAEWEQQAEVIATLHKKLEHLEYIEKEHEEMQRQLDIYKVENASRNVHCLKAEASEEESSMHEKLRKALRDLEEAHSALSEQKSELSQIEINLHRQKQAVEHLEEFNRAMGNELKGCKDENSVLKRDLIAKVEIEESLREEKEKLQGALNEANFVLSEKKSELRQSEINLHQQKQALEHLEELRVNMDTEIKGYIDENCALKRNLDVTHIAKMEAEKTCSEEKEKLLCALDEANCSLSDKKNELDQVRINLHQQMQAVERFEKLRVDMETELRSYLDENCVLKSDLVAALNAKMNGEDSFREEKDKLYSIIDGRCRDIEELQQHIAMLEEENLEKKLDVVGLIKSEVDRSVQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQELMEMFDQEEADWYTLIAEKENTISEIQVIVESAQVDIKLLLEAAAEKLAEVQVEVKQLYGFAENLNSLNLVQEHDNSFKEMLIVECEKEVEALQMNLELEKEQSSNLKNALEQLKAKATAEMLEKEKEHLEVANKLKSYEERKEILEEHVGALKSRTKDMCNAFVQERRHLFDEFTGLADTIGAAVHVDEDLATSLTKIMAKVNNEAFQNSSSKEMLTSENKNVRSSPLVRNKSVHLPDRRSPLKEHNY >LPERR03G24950.1 pep chromosome:Lperr_V1.4:3:22031747:22032460:-1 gene:LPERR03G24950 transcript:LPERR03G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEKIRLLCSHGGRLMPCGPNGSVRYVGGETRALVVPREVTFAELTARLAEKAGATEISSVRYRLADGGLDDDLLVSVTCDEELAHMVDEYDRLKATRPTASFRVFVSTTTARRSPPMMMMRRARSEQDLAAWNAVAAPMRRVHSAQELAGCNLISCPCFYDHRRRQSCRCCCCHRRRDHCAPVRPMPFMSKNVTGAAQPACGREAALAAKATDPVAFTVSMELDNPRACWELE >LPERR03G24960.1 pep chromosome:Lperr_V1.4:3:22038038:22043704:1 gene:LPERR03G24960 transcript:LPERR03G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCSLAASWCSLLVLLLLLTAPARALPLCTDSRAPVALNGTLGFCGGGVGGSSCCGAADDAALRKQFEAMNVSDAACAGVVKSVLCAKCNPYSAELFNSSSNIRMVPVLCNGSSSANSAQSKDSTQDYCKLVWETCKNVTIVNSPFQPPLQGGAGLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTIEPSPSPKGICLERIGNGSYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGTLQLDEASPFLDLTDEVHFDSQFGLMGIAFHPKFATNGRFFVSYNCDRTQSPNCAGRCSCNSDINCDPSKLGTDNGAQPCQYQVVVSEYSAKVSSSDVSKATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKKSLLGKIMRLDVDDVQSKSQIVNQSLWGNYSIPKDNPFTDDSDLQPEIWALGLRNPWRCSFDSEKPSYFYCADVGQDLYEEVDLISKGGNYGWRTYEGPYIYHPEWSPGGNTSLKSINAIFPVMGYNHSVLNNIGSASITGGYVYRGSSDPCLYGRYMYADLYASAMWTGTETPENSGNYTSTLAPFSCSKNSPIPCESASGSTLPSLGYIFSFGEDNNKDAFLLTYKGVYRVVRPSLCGYTCATEKPATNNGTSPSGSTSLASGKRIGKFAVVIMAFVLCALCF >LPERR03G24970.1 pep chromosome:Lperr_V1.4:3:22045150:22049215:1 gene:LPERR03G24970 transcript:LPERR03G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHAVPRAAAAIDLRWLLSVAAGAVTALLLLLATSSLQLRPASLFLTPPHSTPRRALPPLFVESTLSSSSSPSPPPPRFAYLISGSAGDASMMRRCLLALYHPRNSYILHLDAEAPDTDRAELAAFVAAHPVLSASGNVRVIEKANLVTYRGPTMVTTTLHAAATFLWGQGGGRGADWDWFINLSASDYPLVTQDDLMHVFSTLPRDLNFIDHTSDIGWKAFARAMPMIVDPGLYMKTKGELFWTPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNADAFKNTTVNHDLHFISWDNPPMQHPHFLADADWDPMLASGAPFARKFRRDEPVLDRVDAEILSRRPGMVAPGAWCGAAASAVAVDGNGTTNAGEVDPCAAAVVNGGETALMLRPGPGAERLQRLVTSLLSEENFRPRQCNVTEAN >LPERR03G24980.1 pep chromosome:Lperr_V1.4:3:22049963:22050705:1 gene:LPERR03G24980 transcript:LPERR03G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNSHVVLLLFGFVLNLTGHQGEVLSAGQRKHPSRDDLIILKLDNGDGDWTKLAMHRHDVSFAGFTNRTNHWHAFRGVGDDVIPNATRLPFRNTYRDLIGGLDNVPDLPLGKASSRGGDDGDEAVGGGAFGDVHRYTAAEADQGDGVGGVAGWEGEAHVKAEHLPYIEHWDTMSFEVIRWDRTGVWDGPFTELLRKRANTRSAEEALAITSLLANRTFPQLLLAHSHSA >LPERR03G25000.1 pep chromosome:Lperr_V1.4:3:22096741:22098852:1 gene:LPERR03G25000 transcript:LPERR03G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLDEHVSRAQFNDTIPSSTDYVEIHDESNMNVKRVSADNYNYESNENNKSRARKFTKATKDRSRASLSDKSAMKTWNDVMKQNTAQKED >LPERR03G25020.1 pep chromosome:Lperr_V1.4:3:22108619:22109423:-1 gene:LPERR03G25020 transcript:LPERR03G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGFSGRRWRTGAGGGQAVIFLLVCVVLVSSAAMAGAARTVPDVAGTGNNAPGPAVVTPGGDSAGATTMTTAPPPVAATAASATSATTITADPYKDSRRKVPNGPDPIHNSISVTVSMVTDNLAV >LPERR03G25030.1 pep chromosome:Lperr_V1.4:3:22112467:22113978:-1 gene:LPERR03G25030 transcript:LPERR03G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRLLDVVRPFLPLLPEVREPDRRVPFQKKLACTAASLFAFLACSQLPLYGLVRPAAGADPFYWVRAILASNRGTVMELGITPIVTAGTFVQLLVGSNLIRADSSNRQDRALLSAAQKLLSIVITAGEATAYVLSGAYGSVGDLGAGNAILIVLQLMFGGMVVIFLDELLQKGYGFGSGISLFTAANTCESIVTKAFSPALLDRGRGPEFVGAVTATAHLLATRANKLSAAREAFFRAGLPNLCGLAATCAVFLAAVYLQSLHVTLPVRPRNAPRGHRGGGYNIRLLYTSGMPVVLLSSAVSSLYLVSQALYRRFGGGYLVGLLGKWSSSDGVPVGGIAYYVTAPASLASAVGDPFHIVIYVAFMLAACAMLSKAWVMVSGSSSRDVARQLREQQMVMPGMREASMKRELDRYIPPAAALGGVLIGALTVGADMMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >LPERR03G25040.1 pep chromosome:Lperr_V1.4:3:22117850:22118050:1 gene:LPERR03G25040 transcript:LPERR03G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRNNTPALSRRDIAVDLAPFLRVYNDGRTKIFVRHTTVPPPSSRTRKTEVGSSRRTSSRRASSS >LPERR03G25050.1 pep chromosome:Lperr_V1.4:3:22126798:22128083:1 gene:LPERR03G25050 transcript:LPERR03G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPARSRSDIAVDLFPFLRVYNDGRTKIFVRHTTVPASSSYEKSRSGVITKDIIIDGDTGVYVRVFLPVDAAASAAAAGRRLPLVVYRVLXLVVYVHGGGFCTGSASSKIFHRYAESLSSRAAAVVVSVDYRLAPEFPIPAAYDDAWLALRWAASAASSSEDDWVETYADRSCVFLAGESSGANIVHNVALRSNDIDIEGIILLQPCFWGTERLPCETPDATWLTSPPMLLPERIDNLYPYMTAGAAGNDDPRINPPAEAIASLPCRRALVAVATEDTLRGRGRLYFQPLRDAPAWGGVEKEATLVESKGVDHCFHLLPKFSSHNETKLLMDHVAKFIAKGKTPPISMLMMEERDGVTKKMTSIAVPPRCMAAQTAVLGVGRGVGLGMGNMRPLSKAQKYHFCSAALGRTVFKSYL >LPERR03G25060.1 pep chromosome:Lperr_V1.4:3:22128807:22132172:-1 gene:LPERR03G25060 transcript:LPERR03G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRLRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVINHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSPGQFYQGANGSQKQQGRVKEGARLPLPRDASYSSSPPSVIIREAKPRRSPSVPSLEEDDSPVQCRVTSLEAMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHVSVVREITEYQQSHPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNTLNSFRILEEDDIVVPTCPKLRPGNVLMQLITCGSLSVKDHENIGLVEGYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKTQRDVPADRYSALKRSSSYNAERSNETLDCPRPDEDTVNTSRTRCLPRTPILSSFLHPKNDTMKSPVSDCRRSSSAGPDCSLASSVDGSKRFTDASGAPGSRIDSFRKEEKLVKIEESCRPELAL >LPERR03G25070.1 pep chromosome:Lperr_V1.4:3:22132224:22133389:-1 gene:LPERR03G25070 transcript:LPERR03G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLLRRCHDTPAPCSIKVARDFGGTRPVVSVSIAQVLERSHLTSLRFFSLPVVALLLFSRANTPN >LPERR03G25080.1 pep chromosome:Lperr_V1.4:3:22142087:22146796:-1 gene:LPERR03G25080 transcript:LPERR03G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAGCGGRLRPLLLLLPFAALLSVATFSLHSSGGGLGFAVPATVTVATSTDTDTDSAHRRRLLAAVSRLDIRALNATPPLHAAAVRAFRSGGRLLREAALLPAAAVPALGGALRRGECPSPALRPGAGVARHGGGLAAAGNGAVAQFAVEVRGAGEGDEAARIFHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWSGNYGSKLSDLKKMWLLNRVAGQRTRGSIDWPYPFVEDEMFVLTLSTGLEGYHVQVDGRHVASFPYRIGYTLEDAAILSVNGDVDIQSIVAGSLPITHPSNAQRNLESLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRSSSITVARFFVALNERRKVNEDLKKEAEFFGDIVIVPFADSYDLVVLKTVAICEYATRVVSAKYIMKCDDDTFVRLDSVMSDVKKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVYDYLTAHYQSPGQMACLWDKLAQGRPQCCNAI >LPERR03G25090.1 pep chromosome:Lperr_V1.4:3:22151370:22151879:-1 gene:LPERR03G25090 transcript:LPERR03G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLALLLLAASAAVIAAAPLASAAAQPNGSANDLLPKYGLPKGLIPDPVASYTFDEGTGDFEIHLAGTCYVWFGSHLVYYEKTIRGRLSYGSITDLSGIQAKKLFLWVSVTGIVAHPDKGTIEFQVGFVSEALPASQFDKVPACGSGAQLRGAAGVIRELGLLPVAEV >LPERR03G25100.1 pep chromosome:Lperr_V1.4:3:22153741:22154253:-1 gene:LPERR03G25100 transcript:LPERR03G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSILLLAVAAAAAAAPLAANAQLHGVANDLLPEYGLPRGLIPETISSYTFDNATGDFEIQLASTCYIWFGSHLAYFEDTIAGRISYGTINGLTGIQAKKFFLWVSITTIIAHPDEGTVEFQAGFISEALPESDFANVPICGSGAGAQLRGAAGLVRQLGLPLPVAEV >LPERR03G25110.1 pep chromosome:Lperr_V1.4:3:22156978:22161695:-1 gene:LPERR03G25110 transcript:LPERR03G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDGEEDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSAHSSEMHYRKPRSSELPRGNLENGVRPSVSHEDVASVSAEDSAHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFIERPLAGSQVQLCLMEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAGYYPFGVDVYLSPQKLNHISRYVQLPDIQISSKLPTLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSETYSKELPPSFIEHIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYKQLMTHGA >LPERR03G25120.1 pep chromosome:Lperr_V1.4:3:22169639:22173388:1 gene:LPERR03G25120 transcript:LPERR03G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISMYRGNLHRAGADADHRWPAPAPTITAARFRRLLRGRSLSLARVEGAGRADSAGSSSTSRLADGDAAGEEGADHEEEHEEGEEGEQDGEEGEQEGEEGEEDEQQPEEAGEEQEEGPVVDADMDDAGEVLVGGEDADGNGDAGDAQEGQGESEGFDPDPEGSCIDGIEERKRELNDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTAMPQPSENAADGSSVSRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPFSTASPSRFAAAGHQGHPTGHPTISLPGVNFVASSPSPAASGGSSSVFRDYRPPNST >LPERR03G25120.2 pep chromosome:Lperr_V1.4:3:22169639:22173559:1 gene:LPERR03G25120 transcript:LPERR03G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISMYRGNLHRAGADADHRWPAPAPTITAARFRRLLRGRSLSLARVEGAGRADSAGSSSTSRLADGDAAGEEGADHEEEHEEGEEGEQDGEEGEQEGEEGEEDEQQPEEAGEEQEEGPVVDADMDDAGEVLVGGEDADGNGDAGDAQEGQGESEGFDPDPEGSCIDGIEERKRELNDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTAMPQPSENAADGSSVSRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPFSTASPSRFAAAGHQGHPTGHPTISLPGVNFVASSPSPAASGGSSSVFRDYRPPNST >LPERR03G25130.1 pep chromosome:Lperr_V1.4:3:22174472:22176697:1 gene:LPERR03G25130 transcript:LPERR03G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVACDVCREDQLQMECCSGGLLRGGGGSTTALPDAAADGGEEEQQPDRISALPDDLLLRILTCLGCARAAAHTALLARRWRGLWARLPELSFHCIAPAPLQAALAMVVPTPALLDIDLCHYSHHALGSSGVASLLGAAAAVAPAEFVFHVAVTVRGNPVVLPCFDRTASIKLDVRSVDFTLPPPPAGGFPALESLHLDYCSIDLADMIPRCPRLRKLSIPSWNSDTLTVCSPSLEELGVYAIVQISSINIVTPVLKRLYLNAHCGISTNFGFAFSAPAVEDLTWKCECKIISYSFGVLWRMWSMSFELMQLSNNSECATLQPQHHPRVGVLSLILETSVFIGVSTRSFEQEISRFQVIANLSVLELNVSSQGHVYGAIVLHLLGLCPHVQRLRVMPYDFGVENACFANYRCDQPNNWRSQSISLTDLIEVEIGGFRGQDHEVDLLKVILRCAPVLEIVTVRFSRKVSLSDSGCMGINGILKAYPSVQFNIYY >LPERR03G25140.1 pep chromosome:Lperr_V1.4:3:22176871:22180314:-1 gene:LPERR03G25140 transcript:LPERR03G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLLLLLLLLAGAGAATAAEEPRAATSSSSPPPPHKNATLYEILPLYGLPPGVFPSNVTAFSLADNGSLTVDLPGPCYAHYEYLTYFDPRVTGVLRYGSLTDLSGVKVRRFLVWFEVVRVKVDLPPPPRFVYLDIGWISRKLPADEFESPHGCEPSKKCRLSSALATAAAWFQGMEKGYDLVTASHEE >LPERR03G25140.2 pep chromosome:Lperr_V1.4:3:22177376:22180314:-1 gene:LPERR03G25140 transcript:LPERR03G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLLLLLLLLAGAGAATAAEEPRAATSSSSPPPPHKNATLYEILPLYGLPPGVFPSNVTAFSLADNGSLTVDLPGPCYAHYEYLTYFDPRVTGVLRYGSLTDLSGVKVRRFLVWFEVVRVKVDLPPPPRFVYLDIGWISRKLPADEFESPHGCEPSKKCRLSSALATAAAWFQGMEKGYDLVTASHEFIYGNLD >LPERR03G25150.1 pep chromosome:Lperr_V1.4:3:22183775:22187141:1 gene:LPERR03G25150 transcript:LPERR03G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHVLVVPYPSQGHMNPMVQFARKLASKDGVAGTVVTTRFIARTTAGGRLDAGPGVRVEAISDGHDEGGVESAASLEAYLATLDAAGAASLAALVAAEASASSFTCVVYDTFAPWAGRVAREAGLPAVAFSTQSCAVSAVYHYVHEGKLAVPPRAEAEAEAAEVVRSAALAGLPEMERWELPSFVLDDVPYPTLAVFAVGQFADTGKDDWVLFNSFDELESEVLAGLSTQWKARAIGPCVPLPAAGGAAGSLTYGANLIDPEDTCMPWLDTKPPRSVAYVSFGSFASLGAEQTEELARGLLASGKPFLWVVRATEESRLPRHLLDAATASGDALVVRWSPQLDVLAHQATGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPTNALLVDRAWGAGVRARRDDAAGMFLRGEIERCVRAVMDGREDEEQGRAARVRDEARRWSGLARAAVAPGGSSDRSLDEFVDFLRGGAGEKWKALVREGSEAAPPEM >LPERR03G25160.1 pep chromosome:Lperr_V1.4:3:22191829:22192462:-1 gene:LPERR03G25160 transcript:LPERR03G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFKTLVAWAVLMALLLLQAPVLMATDADPLQDFCVADLDNWLQKEELEACSPVGRRKGSPPQAPPILCAI >LPERR03G25170.1 pep chromosome:Lperr_V1.4:3:22197727:22198400:-1 gene:LPERR03G25170 transcript:LPERR03G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSFKTLIVGAVLMVLLLQQAPVLMATDADPLQDFCVADLDSEVTVVNGHPCKPASAAGDEFLFSSRIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNREAPTRRTLDSGNRLYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >LPERR03G25180.1 pep chromosome:Lperr_V1.4:3:22201201:22201890:-1 gene:LPERR03G25180 transcript:LPERR03G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFKTLTVWVMFAVLLLQQAPVLIRATDPDPLQDFCVADLNSEVTVNGHPCKPASAAGDEFLFSSRTSSGGDVNANPNGSNVTKLDVARWPGVNTLGVSMNRIDFAPDGTNPPHVHPRASEIGVVLCGELLVGIIGSLDSGNRLYSRVVRAGETFVIPRGLMHFQFNVGKTEATMIVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >LPERR03G25190.1 pep chromosome:Lperr_V1.4:3:22211828:22215048:1 gene:LPERR03G25190 transcript:LPERR03G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFTPKEQYDGVDYCITSPPPWLTTVLVAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLIQVHVGTRLPAVMGASLTYIYPSVAIILSPRFLVIIDPFERFVYTMRCLQGALIIAGVVQAVFGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFAEYAAHFFARGSFLFGRCSVLVTVLIVWIYAEILTAAGAYNERSHVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFYADDIFVMIVASFVSMIESTGTLMAVTRYAGATFCPPSVLARGIGLLALTRVGSRRVIKISALFMIFFSLFAAAGLSFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKDYRHDPRSEEFYSLPYGFSKYFPSF >LPERR03G25190.2 pep chromosome:Lperr_V1.4:3:22211828:22215314:1 gene:LPERR03G25190 transcript:LPERR03G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFTPKEQYDGVDYCITSPPPWLTTVLVAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLIQVHVGTRLPAVMGASLTYIYPSVAIILSPRFLVIIDPFERFVYTMRCLQGALIIAGVVQAVFGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFAEYAAHFFARGSFLFGRCSVLVTVLIVWIYAEILTAAGAYNERSHVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFYADDIFVMIVASFVSMIESTGTLMAVTRYAGATFCPPSVLARGIGLLALTRVGSRRVIKISALFMIFFSLFAAAGLSFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKDYRHDPRSEEFYSLPYGFSKKSVQWW >LPERR03G25190.3 pep chromosome:Lperr_V1.4:3:22211828:22216667:1 gene:LPERR03G25190 transcript:LPERR03G25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFTPKEQYDGVDYCITSPPPWLTTVLVAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLIQVHVGTRLPAVMGASLTYIYPSVAIILSPRFLVIIDPFERFVYTMRCLQGALIIAGVVQAVFGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFAEYAAHFFARGSFLFGRCSVLVTVLIVWIYAEILTAAGAYNERSHVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFYADDIFVMIVASFVSMIESTGTLMAVTRYAGATFCPPSVLARGIGLLALTRVGSRRVIKISALFMIFFSLFAAAGLSFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKDYRHDPRSEEFYSLPYGFSKYFPSF >LPERR03G25200.1 pep chromosome:Lperr_V1.4:3:22215341:22216579:-1 gene:LPERR03G25200 transcript:LPERR03G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATSEKPKREWPRIPPNYVSLRDLQELRRREKEEQERLQRQREVEAAANTEKGRGSSEKKPWGGGQRSRGGKQWAPVAHRAMPPPTPAEGATEKVEVMAVAIRVAPSSSPQGVAKKTDPAIGVLAVARPEAPPPPPPRWPDAAKKREGEIGGRAIKKGPDESAASAFQAGAKLDRKGKGNQPIALAETATVSSPGGSPDDKKGKGKRKGKASADQETAPVTTPVAPAEVIRAPSSQGRGKPANNRNRKKSGVGMSTVWAAPIEATDASPPCAFEPQDKGKKKPSDGRRAGAAPIGNSPDGKATQAAQIRNSSELKGAQPSPALAADLSSNRRIWTPEEMKSECVVAKPPLVEGEAPARAAKIVVRSARPPFFGGRRQQHAGEQNGGGVWVPKVVAPATPQNSGWIRKNN >LPERR03G25210.1 pep chromosome:Lperr_V1.4:3:22217933:22221387:-1 gene:LPERR03G25210 transcript:LPERR03G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARAARGVLPAARPAAAGQVQPARGIMVQVRDGNLERALALMDRKMRSSGMERLIRRRSQANHHVKDSEKRVLARKALMQRIRSQELGKRLRDILVKKIRSGERVNVNSHI >LPERR03G25220.1 pep chromosome:Lperr_V1.4:3:22221594:22224369:1 gene:LPERR03G25220 transcript:LPERR03G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPARTPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSISMSREQMYHGKVKAVIDSLRAAGVKLL >LPERR03G25230.1 pep chromosome:Lperr_V1.4:3:22224395:22225572:-1 gene:LPERR03G25230 transcript:LPERR03G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASIDYMHRLVAVTGVELLLGWEADSDPSNDNWIWVTCDTYDGNNKIFQIDVNANMNGMLQVFLRNISKFLGLVQLHLDYNHFPSPFPADLSGFNVLSVITVAHNRLTGVIPPLLAQLSCISWVSSSNNLFQGPLLELPSSVKTNFAMAAIRGSAYSSGTSRRKVTGVNLSQHRLTQADRGEPVMPWPQRHH >LPERR03G25240.1 pep chromosome:Lperr_V1.4:3:22228290:22229096:1 gene:LPERR03G25240 transcript:LPERR03G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGNHRCDRSAVENAVNSKQMYGDNMGFVSPPCAVNDSKQMYGDNTGFVSPPCAVGTCPYTPFFYVPAGVATTTTTVRNNVTFANGTAVATPPAPATKRARGEGQLQIPGLDGRAKQRKLINVVDPHVGVDQRWLRHAMRDQGADQVAERQRRRHAMALLVDAARQVAAKNAEIERTRSLVRALEARLRGMHAQALAWRGVALSSQAEAAALRSDLERALQRPPSPGETADAESCCYGDNGVDVLGGGEEEVGSDCCLIPQSW >LPERR03G25250.1 pep chromosome:Lperr_V1.4:3:22232494:22233354:1 gene:LPERR03G25250 transcript:LPERR03G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVPSEAAAMQAIVKSIHADTTLGWRSTSHVTSISASRAGLVGHLLGTDLARLMSLSELDPSFNRLSGDLPILPLPLCSLTTLHLRSNVFLNIPDGFFGAFPALETFSLDDNNMPMRQIPADVIGCSHLRSISANNASINSPFPEFFGNATLFPVLESLLLARNELCCGLSTQFGQNSKIKFLDMSGQLHVDDSAKFSSPVRFLAGMTSLVEIHMGPNDLYGPLPDVSGLVNLKVFDATDNDLCGPVNFPPGVAVNVAGNPRIGKDCSS >LPERR03G25260.1 pep chromosome:Lperr_V1.4:3:22240654:22245261:1 gene:LPERR03G25260 transcript:LPERR03G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSVLLLITMLAVGGAARHLAAVKTDPSDAAAMQAIAKSTRADEAVGWGKKSADPCDGTWAGVRCDMGRVTSINASRGGLIGRLNGTDLSKLAFLTDLDLSFNGLRNDVPVLPTPLPRLVSLNLRSNSFSDIPVGFFAGFPALETFAVDDNDMIFPTISRDDVLKCSKLRSFSANNASIFGVLPNYFGNTTLFPALETLSLARNQFTGVVLAGFGYKSNIKYLDIGGQHDSDGIGRSTLIGSLDLFIPDMENLVEARFDHNALIGPALNATKLVNLRVFDASYNDLCGVPKFAEGIMLYFNSGSVTFGGGALLSDRQGGDLHSGAFPFSPRPASGHVVCLLRTGFDGQCGSLLSDGQVAATHIDGHIPATARRISARRRPLPGDIVCPLLPRLSLVK >LPERR03G25260.2 pep chromosome:Lperr_V1.4:3:22240654:22242917:1 gene:LPERR03G25260 transcript:LPERR03G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSVLLLITMLAVGGAARHLAAVKTDPSDAAAMQAIAKSTRADEAVGWGKKSADPCDGTWAGVRCDMGRVTSINASRGGLIGRLNGTDLSKLAFLTDLDLSFNGLRNDVPVLPTPLPRLVSLNLRSNSFSDIPVGFFAGFPALETFAVDDNDMIFPTISRDDVLKCSKLRSFSANNASIFGVLPNYFGNTTLFPALETLSLARNQFTGVVLAGFGYKSNIKYLDIGGQHDSDGIGRSTLIGSLDLFIPDMENLVEARFDHNALIGPALNATKLVNLRVFDASYNDLCGVPKFAEGIMLYFNSGSVTFGGGALLSDRQGGDLHSGAFPFSPRPASGHVVCLLRTGFDGQCGSLLSDGQVGALHSGGQAWPPPPSTPPSACARTRTRLWPSALHAAQTRLS >LPERR03G25270.1 pep chromosome:Lperr_V1.4:3:22246743:22248227:1 gene:LPERR03G25270 transcript:LPERR03G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTMSLLPIVVIFLLQCASGLTAVETDAADADYMHRLAAATGAELLLGWKANSDPCNDNWIGVSCDTYNSRNKIFQIDVRGLLAGGTLPEFDQQIGSLSQLERLDLGFNNLTGPVPAFILDRLRRLLLDGNVFSQLPHEFFRGMPQLQYFSIDDNPMLEEWGLGSDVLSLSKLTVCNASNANINGTLQVLLSNSSAFLGLAQVSFANNRLTGVVPETFITQTITKLDLRNNRLAGSINFINNFQLSIIELRLDYNHFSGPFPTDLSGFNVLSVITVAHNRLTGVVPPSLAQLSYLSWVSVSDNLLQGPLPELPSSVKTDFDVAAIRGIFCRLDGHGPCSEETGVLLSIAAAFHYPEILAMSWRRNDPCDGWLGIHCGGAGQRRVTGVNLSRFGLNGTIDQAFASLLSLEVIILSGNNISGTIPPSVAQMPSLRVLDVSNNALEGTVLRIRDDALIWVEGNNHLNVTISGTSLLEGTTPFLVFVAVIVSVFGW >LPERR03G25280.1 pep chromosome:Lperr_V1.4:3:22250358:22250537:1 gene:LPERR03G25280 transcript:LPERR03G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMKGYSFHKSSPEVSNYPPAPAAPRHPSSLGRAGWRANPPVGRRMRRGGRCFSGGGE >LPERR03G25290.1 pep chromosome:Lperr_V1.4:3:22251142:22255315:1 gene:LPERR03G25290 transcript:LPERR03G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSLLLEATPRFLSLPRRPLTSRGGLFLLKPPPFPALRAQPARRVSLTAAAEQPAPAPEPPRPRALLEVLKRSLLDSLAALKKPALALLLAGALVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINSSGDLKTALQKLGAIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >LPERR03G25300.1 pep chromosome:Lperr_V1.4:3:22254856:22256837:-1 gene:LPERR03G25300 transcript:LPERR03G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLGVVGRDFAVVAADTSAVQSILVHKSDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYSVNILLAGYDADVGASLYYIDYIATFHKIEKGAFGYGAYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQKFIIKIVDKDGAREYARRAYTDSPSEAATVTA >LPERR03G25310.1 pep chromosome:Lperr_V1.4:3:22257879:22266292:-1 gene:LPERR03G25310 transcript:LPERR03G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGDQDLPDGIGMARLAWTRLPTADGAPPEGSVPASPTAAWDELFAGGVESLDYEVIENYAYREEQAQRGKFWVPYYIVLKWVFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFVVYIIFNLVLVFSSVYIVTHFAPAAAGSGIPEIKGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYVVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEDNGNNVTTELQSTSVRGQLNGKMLSGNTHLGHPLLDSIVVEE >LPERR03G25320.1 pep chromosome:Lperr_V1.4:3:22270975:22275012:1 gene:LPERR03G25320 transcript:LPERR03G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSPAGAAGDPLLPSSASPPPYLDAHPDAADADSYVLFVPVRLRRLRPRGGCRCIGPLLATLVLLSLAGFLLWPADPDVSVARLHLAHVSVSARPSVSVTISAALKVRVRNPDLFALDYSRLDVDIGYRGAHLGRVTSAGGRVRARAVSYIDADLRLNGISVVEDAFYLLEDLARGSIPFDTVAEVEGHVRLFFLSIPVKVDPVKTFNIHKDINIRSAYF >LPERR03G25330.1 pep chromosome:Lperr_V1.4:3:22275368:22275700:-1 gene:LPERR03G25330 transcript:LPERR03G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPWVSAARRSVQEAAGAVSYAQQGSPAPPARSTARSVETLVVIVAAIVLVAVLAGVVARVCGGRHVVPSGDRDIEGWVERRCRSCLDSGLPPPGQQPQGSSKASEAK >LPERR03G25340.1 pep chromosome:Lperr_V1.4:3:22283506:22287489:1 gene:LPERR03G25340 transcript:LPERR03G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEQRGHGALPSGIPIQQPWWTTSAGIGAVSPAVVAPGSGGGATKVAQGRDGDDATGESSEDSRRSGEPKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMSLVGPYGPQAMSAQTHMQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVLKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNETGAPNEKGEPNKEEQYCGHHRVPSDLQLLQKET >LPERR03G25340.2 pep chromosome:Lperr_V1.4:3:22284158:22287489:1 gene:LPERR03G25340 transcript:LPERR03G25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMESRPGGTNLVEQRGHGALPSGIPIQQPWWTTSAGIGAVSPAVVAPGSGGGATKVAQGRDGDDATGESSEDSRRSGEPKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMSLVGPYGPQAMSAQTHMQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVLKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNETGAPNEKGEPNKEEQYCGHHRVPSDLQLLQKET >LPERR03G25340.3 pep chromosome:Lperr_V1.4:3:22283506:22287489:1 gene:LPERR03G25340 transcript:LPERR03G25340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEQRGHGALPSGIPIQQPWWTTSAGIGAVSPAVVAPGSGGGATKVAQGRDGDDATGESSEDSRRSGEPKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMSLVGPYGPQAMSAQTHMQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVLKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNETGAPNEKGEPNKEEQYCGHHRVPSDLQLLQKET >LPERR03G25350.1 pep chromosome:Lperr_V1.4:3:22315535:22317362:1 gene:LPERR03G25350 transcript:LPERR03G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDANHEKKVVESSGTGAGGRGPVRAICVFCGSRPGNRPSFSAAALDLGKQLVERQLDLVYGGGSGGLMGLVSKAVHDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLALFDKGVEEGFIDVAARNIFVLADNAGKLLTKLMAAAVAVHEDTGDEDDGAAAAVGLKRKRS >LPERR03G25360.1 pep chromosome:Lperr_V1.4:3:22329415:22336189:1 gene:LPERR03G25360 transcript:LPERR03G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRRSGAARRPLAERPYTYGREEHIVRNRSSLLDAINGFYAAALDRLPVEEMPSLIPRLLKAGLCAGFSDPVSNIIVNTVSYMSRLPDRKPIGETAARRRRKAALSQIVADTSNVSSYPPKHRRLREMRIPVKSLESLVAFLVSCFPYLPTWEALQYLRLAKADLLAAVRLVKEDRNSNTFSFASRTTKTAIRCATLAAWHPKPERFVTRSYSLASQMEPICRILATEGRLSCVDVENLHGLLVRRAGYPDYAGVTAPQFLRKKIKQLPFVNTKSLKHVLVDKIYRLYLQVLALLSREDLQQQQRYHRGLLKAGHCYGPLMNPAHNIVLNMVWYDTMFPAEEELKTGMMICSRSLVYVASRSLRGLVAYLRACFGTVSKYQAIRYLILAEINLWGAIEIARQEGHTIKNKFDREIGFKTAATAANHPDRDALVNFYVSTVPSMHLAEMAQPLPLKPCGSFDVQLLSLMLSQEPLPIVQLRQFQYFPRGRPDFEAERTFISRKVHAALKKYTERTNGPEYVLHVICGLNPYVVKSGVSPTHYGSRSVKLRYKSMYCHVNFLASPRGLHSSSEVPTLFFAECYSDSDTIDEQLCWPVTGHPGRCFHCEYEGVKVVHPGRKKYHGRDIDFEEMACDKSNGIINEDLVNSAKHVTYSVCISQEDCIYFDFHRDVKSARPWCRDNPMRVVRRNRSTLLASIKGFYAAALDRLPVKAMPALVPRGPASSRLASASASWTPALSRIAVDTSNVVVWRARYCLLRDMSIAVRSLEALVEFLTSYFPYPGTYDALEYLRLENADLFAAVRLIEHDRGTGAASSFSFASLTTETALTCAALAGWHPNPKSLVERSCSLASHMGEASRLLSIEGCLSCKAVKKINRLVKRRREPADLVGVALPRSLEIKDNQPPFVVAKSLKSILLHKIYGFYLDALAILPMYELRKRYHRGLLKAGHCYGPFENPVHNIVLNTVWYDTMFPPQEEVSVQMICSRSLVRAAFRSLRGLVAYLCACFCTISEDQAVCYLN >LPERR03G25370.1 pep chromosome:Lperr_V1.4:3:22336219:22338602:1 gene:LPERR03G25370 transcript:LPERR03G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDHAERSMLGLDRAYMVAATAAYHPDPNALVKFFLSAFPIESPPPQTHPFMFQAGGILDVQLLVDNLMRYCPSSGGSVQTVPVLSEEASMLLSCIQEEFKSEQSFICGKVNDALKKYNQQTTVCRVMDLNMAGIDSDLSILTSTSWRVQEICILLREFPYFFFAECRNKEDVMELTCCPVMGHPGRCFHCEREGAKIVHPDLEKYTGRINFLEMACDKSSGITAEGLLGYCEYVLAAVDICEDDCVCFDASRDAKCAEFLNFRGACKARLG >LPERR03G25380.1 pep chromosome:Lperr_V1.4:3:22339038:22340195:1 gene:LPERR03G25380 transcript:LPERR03G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSRDDEEVVVVVGEDYPYCSDSDSDDDVDRYVFLARQPAPVDNNRDNADEEEEVPDRSGCHKRKRSDGSQADEEHEASSESDEDDDSMPGGDQAGGGRGIRKTMGAQRHAPLSRKKARFVDVRSPLNLPSGVGRNKIGSDEEAAAHAKSKKRRGFVDGGEEETPVRGKRSRGCPDSEPGSQPAAVEEEEERAPNKRFACSICGRGFGSYQALGGHVLGHKKKKAKNAAIAVAARDATTTATVAVAPPVSQGQNFFFTDAYGQGERGSDDDGSFVEKNLVIVDDIAANHDAAVNESCGHSKAETASAIDAAADADHKVGVVGSSHNGDSDVIMQYRCEVCGKGCLTGQALGGHMGKHRRTRLANGGEGEVKPPRSAPVASGGS >LPERR03G25390.1 pep chromosome:Lperr_V1.4:3:22341050:22346119:-1 gene:LPERR03G25390 transcript:LPERR03G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAAAAALALGAGAGATSIAYSDDPAATLKICTHLPPRLLRDTATAATIAFDYQYSLWGLDPGTPAWLSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCQVFGKDMGESPETVFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGFIVNTLHYIFPTFDYRWLVDEIRESAPKELDFLNEAKNSEKCIRNFRRLSPHIAECIYAPKVYWTLSSSRILTMEFMDAKEVTDVNGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRSLPQDSRKTFGWKRPQLILLDHGLYKELDYATRISYASLWKALVFADAKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPSVDHLVLDGNNTDRSEVQMYASLYFPQISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSLESFVIIGRISSEAVLEAKKTSSRSFLNKLIIWLEEILLEARFFSLKLVLCFMQLKKLLSS >LPERR03G25400.1 pep chromosome:Lperr_V1.4:3:22347958:22351306:1 gene:LPERR03G25400 transcript:LPERR03G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVMHLEGKVYSCKHCHTHLGLATDIISKSFHCKHGKAYLFNKVVNVNSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYWVTHDAQVGGSDVDDV >LPERR03G25400.2 pep chromosome:Lperr_V1.4:3:22347958:22351306:1 gene:LPERR03G25400 transcript:LPERR03G25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVMHLEGKVYSCKHCHTHLGLATDIISKEAAHEKSQRYKEGKFILERYKVSGPDGSHYWVTHDAQVGGSDVDDV >LPERR03G25410.1 pep chromosome:Lperr_V1.4:3:22356461:22357540:1 gene:LPERR03G25410 transcript:LPERR03G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDIDSQLINDLCYSRLGSSTGNHTSRTKSLNPSNLDELFSAEMVSPRYSNADQGAMFSPSHKAAILNQFQQQQQALLSPINTFSPKVVDSQQLPSHSSLLQASLGMSSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGLVGSPLSSSWSKWGSPSGTPDWGVNGEELAKLRRSSSFELRSGGDDPDLSWVHTLVKESPPDKQVTTAESINSVGPSPLMPSNVSNGEGSSLNTQLDGHDQAAVIGALLEQMQLDQHIGSLAT >LPERR03G25420.1 pep chromosome:Lperr_V1.4:3:22361629:22363767:-1 gene:LPERR03G25420 transcript:LPERR03G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMVSSFWGPVTSTIELCEENYAHSSYIAEFYNTISNVPCILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYIGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLCLATLCWLCDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPQITHLFGFLPYVKIQKPQKRE >LPERR03G25430.1 pep chromosome:Lperr_V1.4:3:22367355:22367897:-1 gene:LPERR03G25430 transcript:LPERR03G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRGSAQYYQQQRGGAQHQLGDTVKGILPDKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLLFSPVLVPAALLIGLAVTGFVTSGALGLGGLSSLTCLANTARQAFQRTPDYVEQARRRMAEAAAQAGHKTSQAGHAIQSRAEQASAGGGGTGAGGGAGAKASS >LPERR03G25440.1 pep chromosome:Lperr_V1.4:3:22369112:22372362:-1 gene:LPERR03G25440 transcript:LPERR03G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRPSPSFFGGLRSRELSGGRLPRASAARLPYLADLSSDPGGRGSGVISVEHAGDQAIPFAISFCKAERVSHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMADWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFAIWDLRCDPKTPNGHREACLMSSIVVKQAHSPMQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAGIQPLEGVKHGISCLSQDSYGAHIAASCMDNSVLHMDKGPIKVYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPESGPIILEGHEGEATSVDWCASEIGKIATSSDDSKVRVWNTERRVSPNISSPTVLRKRITAPNTGCRSASHELASTSRDVVPCTSADGVLPAGSRSPLQPRVLEFGTPESAKKRPFGLFQEDSLDIRKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSAS >LPERR03G25440.2 pep chromosome:Lperr_V1.4:3:22369110:22372362:-1 gene:LPERR03G25440 transcript:LPERR03G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRPSPSFFGGLRSRELSGGRLPRASAARLPYLADLSSDPGGRGSGVISVEHAGDQAIPFAISFCKAERVSHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMADWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFAIWDLRCDPKTPNGHREACLMSSIVVKQAHSPMQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAGIQPLEGVKHGISCLSQDSYGAHIAASCMDNSVLHMDKGPIKVYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPESGPIILEGHEGEATSVDWCASEIGKIATSSDDSKVRVWNTERRVSPNISSPTVLRKRITAPNTGCRSASHELASTSRDVVPCTSADGVLPAGSRSPLQPRVLEFGTPESAKKRPFGLFQEDSLDIRKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSAS >LPERR03G25450.1 pep chromosome:Lperr_V1.4:3:22375704:22381644:1 gene:LPERR03G25450 transcript:LPERR03G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLFHDPLIAKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDREDENNKESSKADESELRLAQLQHQLPTNEPGTLMHLVQESTGVDAEDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIFDCVNARIILPTEGYVVGRVPPPHLSPFVDNDAEGYVPEYAETIKRLQAAARSQVLPLPSIGDEDMENSLVEAIIDRSESNEVAEKKRKLEMLEKQYHDELKMECEGMTFSNRTVDNPPDVVDKNDSKSDDNHVEDSHKQAEKDAAGISKSLMSRRERGLLEAIEIHHERKREKVNLLKKRKKNADSSASAKGRR >LPERR03G25460.1 pep chromosome:Lperr_V1.4:3:22381700:22385011:1 gene:LPERR03G25460 transcript:LPERR03G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTLFLDPAASLSFLPAAAGARPPAPCAVAPRPRPRRAMRVVVASVATAAAEESASAAAQGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFKGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYCTEDGKEIESFPADLDLLEKIKVKYEVLPGWKDDISSVRNYSDLPDTARMYVERIEELIGIPVHYIGVGPGRDALIYK >LPERR03G25470.1 pep chromosome:Lperr_V1.4:3:22386650:22391246:1 gene:LPERR03G25470 transcript:LPERR03G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVDWIRSQLARYDAVQVQITKPAMKLTVPSQHWVRSNKALPNN >LPERR03G25480.1 pep chromosome:Lperr_V1.4:3:22393155:22395639:-1 gene:LPERR03G25480 transcript:LPERR03G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFTSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >LPERR03G25490.1 pep chromosome:Lperr_V1.4:3:22396128:22399024:1 gene:LPERR03G25490 transcript:LPERR03G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDFPGDLLRAVLQRLPPPDLARAACVCRLWRTAASDRAVLEAAFASPWGVRRVVGEPATRAFWRAASLGRFALSHTVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPIGNPDILLGSTCYIEMDYNARREVAVFYPEGHPSGKAESLLSTAAAERRNRRIIESVKRSLHTDDGTAAYYLSVTDGDPRAAMMEYSQDLRWEQQQTGQ >LPERR03G25500.1 pep chromosome:Lperr_V1.4:3:22398737:22399024:-1 gene:LPERR03G25500 transcript:LPERR03G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSPRMVAAVVCVVALILLSAVAPLEAATKPIRCSKCDHACKKSCKGYGRDSSCSAPCGDPSNKAGCKSCLQAYHGKCLNYCGQDCRHVCVP >LPERR03G25510.1 pep chromosome:Lperr_V1.4:3:22403323:22403574:-1 gene:LPERR03G25510 transcript:LPERR03G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSPAASVAVAVCVLLVLSTAVEQAAAEWCCGQCDEMCTDSCANAGAEPCSYACGSCEGCMREYFYKCYTRCNNYCHSNCV >LPERR03G25520.1 pep chromosome:Lperr_V1.4:3:22406837:22407121:-1 gene:LPERR03G25520 transcript:LPERR03G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRATATAAVAAVFLVLLLSSAMEQAAAQVPCSKCDDACKKSCKGYGRDTDCSAPCSDPSNKAGCEGCLDAYYSKCLNYCGQSCRVTCTSG >LPERR03G25530.1 pep chromosome:Lperr_V1.4:3:22413136:22417947:1 gene:LPERR03G25530 transcript:LPERR03G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIDSLTGSSKQRLKGTVVLMRKNVLDLNDFGATIIDGLGEFIGKGVTCQLISSTAVDPNNGNRGKVGAEANLEQWLTSSLPSITTGESKFGVTFDWEVEKLGVPGAVIVKNHHSNEFFLKTITLDEVPGRAGPVVFVANSWVYPVDKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGEPDSGNPRPILGGSSDTPYPRRGRTGRKPTNTDANSESRLSLVQQIYVPRDERFGHLKMADFLGYSIKAITEGIVPAIRTYVDTTPGEFDSFQDIINLYEGGLKLPDVPALEELRKRFPLQLIKDLLPAAGDYILKLPIPQIIKESKDAWRTDEEFSREVLAGVNPMMITRLTEFPPKSTLDPSKFGDHTSTITAAHIENKLEGLTVQQALDSNRLYILDHHDRFMPFLIDINNLEGNFIYATRTLFFLQGDSTLTPLAIELSEPLLQGGVTAAKSTVYTPASTGVEAWVWQLAKAYVGVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMNINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQALPAELLKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVSEYLAIYYPDDGVLRGDTELQAWWKEVREVGHGDLKDAAWWPKMGTVSELRDACATIIWTASALHAAVNFGQYPYAGYLPNRPTVSRRRMPVPGTEAYDELRRDPERAFIHTITSQVQTIIGISLIEVLSKHSSDEVYLGQRDTPEWTSDAKALEAFRRFRDRLVEIEGRVVGMNGDAELKNRVGPADFPYMLLYPNTSDITGAAAGITAKGIPNSISI >LPERR03G25540.1 pep chromosome:Lperr_V1.4:3:22420953:22424311:-1 gene:LPERR03G25540 transcript:LPERR03G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPDESANLTPCFEEFLPHINASITTPVSTPSGTEVDSDEDDDVSNAEDEEMSDNDSSSVSTASIFDFPAEDEVLEVMDQLSGE >LPERR03G25550.1 pep chromosome:Lperr_V1.4:3:22432909:22438094:1 gene:LPERR03G25550 transcript:LPERR03G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVLPMTVPCIALTAGLIVFVTAWCLVLIKRNITTTPPCNKADARLPPGSRGLPIIGETLAFFARSPSLDLHAFFERRRRRTNIILEDLIVSLDPDVNNFVFQHEGKLFQMWYPDSAMKIIGADSIVTTLGSLYKHIRNLVLRFFGLENLREALLHEVQKTAQASLLSWLNQPSIEFKEAVSSMIFSVTAKKIISYDSATSDGKMWKHYDAFTRGLLSFPLCIPGTAFYKCIQGRKNVMKMLKELLDERRTKAGGCPESIDFIDVLVDELKEEKPAMNESTALDLVFLLLFTSFETTASAITVVLTFLTNNPKALQELTEEHEHIQKRKADPNSEITWEEYKSMKFTSHIIHEALRLANIAPGMFRKATEDVQIKGFSIPKGSKIMICPSAIHLDPVVYKDPNIFNPWRWKDIAELVGSASRDFMAFGGGLRLCVGADFAKLQMSIFLHCLVTKYSWKAIKGGTMVLSPVLLFPEGFHIQLLPKA >LPERR03G25560.1 pep chromosome:Lperr_V1.4:3:22454641:22455545:1 gene:LPERR03G25560 transcript:LPERR03G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAMCDERFLIKDRAMGGEVFCPERGDDGDYCRHEAVLAVIHEALCHLLEGIALCALSEKGVYFGSLQEFWLWVWTLDESCGKMEWVWKHHMDLEPILPHINYNYYRYGNRDGITEAPVEEKYEWNSDDDNILDNEDMVDDPYRGYINFLGFHPYKEVVFFSQSLRKGLAFHLNSSKIQDLGYLYPKKYSAGQHALIWASLLYTPCWMGEFPKYN >LPERR03G25570.1 pep chromosome:Lperr_V1.4:3:22456249:22460250:-1 gene:LPERR03G25570 transcript:LPERR03G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLQRPPPPASGADSPGSGGLQKGWIAENRAGLPIPELGLGGSRWLCPSLHTIYAIRASDYAMEGMYDGMQKQRSDHSKQGEEDAPVVGASAVRCGLPRMSGSAVLLHHVLASPTRLCL >LPERR03G25580.1 pep chromosome:Lperr_V1.4:3:22460369:22463703:1 gene:LPERR03G25580 transcript:LPERR03G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGLDLNSQADPFPDLDYYQNVLQPDGLGLPPNRSGSRSGGGAARPPHQPRERKTRRKRRARQRKGSGDSSPRALHPATGRGRGFGRGSYAGMGERGGGGGRGSSPSFGASVHDEVNEEDEEAIDYNGQRMKLAAKM >LPERR03G25590.1 pep chromosome:Lperr_V1.4:3:22477396:22484286:1 gene:LPERR03G25590 transcript:LPERR03G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHGLFDRLTGKNKEAWNEGRIRGTAVLVKKDVLDLGDFHASLLDGIHNILGHKEGVSFRLVSATARDPSNGGRGKMGKPAHLEELVVTMKSKAAGESVFKVAFEWDESQGIPGAVIVTNSNRSEFFLKTLTLEGVPGKGTVVFVANSWIYPADDYHYERVFFANDTYLPSKMPAPLIPYRQDELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSDQARPVLGGSQEHPYPRRGRTGREPTQADPNSESRLPLLNLNIYVPRDERFGHLKMSDFLGYSLKAIIEGVLPIIRTYVDTTPEEFDSFEDIMKLYEGGLKVTDASALAEIKKRIPIDLIKSLVPVAGDHLLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVNIECLTVFPARSTLDPNVYGDHTSKITEAHIKHNMEGLTVQHALKNNRLFILDHHDHFMPFLDKINKLEGNFIYATRTLLLLKDDGALKPLAIELSLPHPDGQQHGAFSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINAGGIFEQTVFPGKYALEMSSAVYKNWKFTEQALPVDLVKRGMAVPDSTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRADEELQAWWKEVREAGHGDLKDRDWWPKMDTVQELARSCTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRKPMPEPGTEEYAKLERGGAEADLVFIHTITSQFQTILGISLIEILSKHSSDEIYLGQRDTPEWTSDFRALDAFKRFGSRLVEIENRIKTMNVDPALKNRNGPVKMPYMLLYPNTSDVNGEKGQGLTAMGIPNSISI >LPERR03G25600.1 pep chromosome:Lperr_V1.4:3:22488952:22496741:1 gene:LPERR03G25600 transcript:LPERR03G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRSIESLAAGDGRHHLTRTLGPVLLISMGYIDLGKWVATIDAGARFGYDLVILVLLFNFSAILCQYLSICISMVTGKNLAEICCKEYSQSICFILGLQAGLSLVTAELTMLSGISVGFNLVFEYDDPIAGLCFASVVVNLLPYTMSYLGKRMAGTLNACIAGFTLLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYALMALLGGNVIAHNFYVHSSVVQGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNDSLGAFQDAVDLMNQMFLNPMAPIVFLVILLFSSHVISLTSIIGSHAIMKNFFGVNLPHSAHHLLLKFIAMVPTMYYAKVAGSEGIYQLLIICPVIQAMLLPSSVIPVFRVSSSRVIMGRYRISLYIEILAFLAFLLMLFTNIIFAAEILFGDSTWTNNLKGHTGSPVVLPHAIVVLISCASITFALLLAVTPLKSVSNEAEAQELSEHSQREDPDSSYHREASNEPETPELPEQSQREDPDTRYHREASNEPQTQELVEHTQREDPDTTYHREGPSLENFEQKEVHTSSTINAIRSISLESYQTSELDHNDFPDIPVESGPGTQQLTTFVPTIPEVLPSIKNDKPKPVHVVDWREPVAKVCTATVLEQNTAENIKMKSTAPKDVKEVEAGIEYDTEASYNAEFNKSAGNKAPPSASHGPSSLTLSKGRDSDAGYRGGNHSRLPGLGRAARRQLAAILDEFWGYFFDYHGKLTQEANAEGFSFLLGPYSRAVRTDNQAIEPSKSPLMGDAMQGSTTIPKAWHSVSHDKEVSSPAFNIGPQMGPVGSSNWSETMHLSDASVPRSTSTLFEQNAQFCSNYNVPSYPDNQFYQPATIHGYQLSTSLKGINASRSAHSGIPLDPRRLPKSSESSVYNYRGSVLYARNQDVTGSLGASSLQSTAMNRINTMRVERSSYSPASVNEIEEVGSYAYSKKYHSSPDISALIATSRNYLPNEINLGGAAGSRPYLSNLACERSQYVNLGTRSTAQFALSEHSQPDFCRDASSMQLSVKPSTESLWAQQPFEQLLGVPRVDLSKGEANTDRRSSGVTKDDFSTTKYEAKLLQSLRFCIMKLLKLEGSGWLFDQNGGCDENLVDQVAAAERVSQNTIENQLLDHNRDANCMHSLPKCGDDCVWQVPLVVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILEPAFSKPRKLVKGCACLQKVAKPISGTFTTAVMILEMIKEVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >LPERR03G25610.1 pep chromosome:Lperr_V1.4:3:22502255:22511990:1 gene:LPERR03G25610 transcript:LPERR03G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAAEAVLGADPAGLTALLGDLTSPANEARSRAEGTFHALRASHPDALALRLAHLLLSPSHPSAPMAAVLLRRLISPGSQAFVYPALSPATQSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAADLFPSFFGAEEWERRHAALVTMAQIAEGCARVMIKNLEQAHAASAILNFSENCKPDIFTPYLDGIVGKLLLLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYTSVVMPPLLQSAQLEPDVSVTSAGPEDENGESDDDGVETITVGDKRIGIRTSLLEEKATACNMLYCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKNQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICATMLESLNESIQVSGTLLEEGQVRSIVDGIKGVITASDLRRRERTERAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTSMLAKDKTVEERRIAICVFDDVAEHCREAAVRYYDAYLPSLLEACTSENPDIRQAAVYGIGVCAEFGDSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQYHRDDIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIISIFAEILCAGKDLATEQTFSKVVNLLKQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >LPERR03G25620.1 pep chromosome:Lperr_V1.4:3:22514637:22517061:-1 gene:LPERR03G25620 transcript:LPERR03G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKRKLQRKRQLLESDFGGRKLLRRAEIERREIERIRESERQRLLQKQLRCSASHRAASSPSAPPDSAADDASQGGEEDKDELPREEVIRRLRLLRQPAMLFGEDDAARLRRLHAVLEDPAAALADVDAEEIGEGQTNDFLRDIQALRAKVAASASAAKPKAAAAERRAEEEAREVPFEELCDEEKIMAFFRRLMSEWSQEVDEMPEAERRSAKGKAAVATCKQCARYLEPLFKLCKKKALPQDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >LPERR03G25630.1 pep chromosome:Lperr_V1.4:3:22517690:22521153:1 gene:LPERR03G25630 transcript:LPERR03G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASPFDCVLLDLDDTLYPSSAGIGLATKRNIDEFLMARCGVTAERAAALRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLAGLLRSIPQRKVLFTNSDRAHMRKALQRLGVDEGCFDAVVCFETMNPHLFGDAPCASGEGHPGVILKPSPDAIVAGLRAAGTSPHRTLFLDDSERNIAAGKALGLRTVLVGKRVRSKEADYALESISSLRRAIPEIWGGVAVAVAGEQLDHGVEKGMRSDLDSIIQPTSVLA >LPERR03G25640.1 pep chromosome:Lperr_V1.4:3:22522922:22525384:1 gene:LPERR03G25640 transcript:LPERR03G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSAPPSCSCGGAGSPSRTPRLARAAPLASSSSGAASDGRSQLACRRRRRAGVARAGGSGGKGEGSVGGGAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPSWYSFLIHAYTEMIAERRRKVASGAEDTYGMEKIQ >LPERR03G25650.1 pep chromosome:Lperr_V1.4:3:22525743:22528103:1 gene:LPERR03G25650 transcript:LPERR03G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVRTKQLPAPPPRRRPPPAQPASESKPASARPPVTNLLESLKSFKSRLAGGPPLAPTPKAFKSYAETCVSVLRLCSAAAAPSASASSNAKLPLVLSIHAHALVSGLAADGSVASHILTAYAATPRAADRDRAFRECVSAGVASSFAYDFMVREHVKAGDIVSARKVFYGMPERSIVSYTTMIDALMKRGSVRDAVDLYRQCPRCSVPFFTAMIAGFVHNELHKYALGVFHEMLSCGVSPNEITLVSVIKACIGAREFDLAMSIVGLAMKSNLLEKNLGVRNSLITLYLRKGDTDAARRVFDEMKVRDVISWTALLDVYAELGDLEGARLVLDEMPERNEVSWGTLIARHEQKGNANEAVSLYSQMLGDGCRPNLSCFSSVLSACASLQDLRNGTRIHAQALKAAFNSNIYVSSALIDMYCKCKQLSDAQRIFYSLPQKNVVCWNSLISGCAHNANMVEAEKLFKTLPARNTASWNSIISGYAQNRQFVDALKAFHAMLALGQTPGEITFSSVLLACANLCSLEMGKIAHAKIIKLGIEESVFVGTALSDMYAKSGDLDSSKRVFYEMPNRNDIAWTAMIQGFAENGFAEESILLFEDMMATGISPNEHTFLAILFACSHSGLVERAMHYFDMMQVYGISPKAKHYTCMVDVLARAGRLTEAEDLLMRIESKSEANSWAALLSACNIYRNKEIGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLLDVYEMLDLLTWELTA >LPERR03G25660.1 pep chromosome:Lperr_V1.4:3:22530111:22530281:1 gene:LPERR03G25660 transcript:LPERR03G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >LPERR03G25670.1 pep chromosome:Lperr_V1.4:3:22532552:22533391:-1 gene:LPERR03G25670 transcript:LPERR03G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRRVRWALVDHPVVASFEWQPGRTLGATPSFAAAVVCAYLATVFLLHRRVLPLPTPTPRALRAVSALHNAILLALSAAMAAGCVLSVAATSPSRWWAFCFPPRGETATSGPVFFWAHVFYLSKVYELGDTLLIILGRRPLTLLHVYHHAVVIAMCYLWLATRQSLMPVALATNATVHVVMYGYYLCCSVGLRWPPRWKRAVTELQIVQFLFSFAASVVMLWRHFAAGGCEGMAGWVFNAVFNASLLALFLDFHGAAYAAAKGKRSRGEVGKAE >LPERR03G25680.1 pep chromosome:Lperr_V1.4:3:22536178:22536645:-1 gene:LPERR03G25680 transcript:LPERR03G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKRPSASNPSTDAKATSSDQKPKPPKPTEEQEAAAADKPKKKKATNEIDEIFQATKSSGKKRKPQQQEGEGSVGTKKPKVRPEGDKKSKKGKKGSKGKDTDDDDELEEKRPRRRTADGLKIYSAEELGFGKNDAGGTPLCPFDCDCCF >LPERR03G25690.1 pep chromosome:Lperr_V1.4:3:22538058:22540414:-1 gene:LPERR03G25690 transcript:LPERR03G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAHKIPLEVAHTLVEIAEVARYAIEHRRGHGAAHDGALPSPAGGEEAERLRAENAILRARLAEDLSLLRELQGEPCVSQECPADLHNRLVAAVNNASFLTQLEKVRDESHQQTELSPDIPYTEGGGKNGTWVLVACDNPGANMEEISGIDNENYVLVNDDDVIDGMTTFIARCIVEDPKSKSISPVELQKAVAMALSTLKDKKKWISIWEAGKVLYILATWGITIAGLYRSRHVLKIAAKGAAVSAKFVMKAL >LPERR03G25690.2 pep chromosome:Lperr_V1.4:3:22538060:22540346:-1 gene:LPERR03G25690 transcript:LPERR03G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGAAHDGALPSPAGGEEAERLRAENAILRARLAEDLSLLRELQGEPCVSQECPADLHNRLVAAVNNASFLTQLEKVRDESHQQTELSPELDVGDIPYTEGGGKNGTWVLVACDNPGANMEEISGIDNENYVLVNDDDVIDGMTTFIARCIVEDPKSKSISPVELQKAVAMALSTLKDKKKWISIWEAGKVLYILATWGITIAGLYRSRHVLKIAAKGAAVSAKFVMKAL >LPERR03G25700.1 pep chromosome:Lperr_V1.4:3:22542097:22545863:-1 gene:LPERR03G25700 transcript:LPERR03G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARRDAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRSPDFHPAASDDNFYLKVQIKDTGCGISPQDLPHVFTKFAQPQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDVDFVGPMPHAPSEEKGPASLKSRYQRSI >LPERR03G25710.1 pep chromosome:Lperr_V1.4:3:22548868:22556824:1 gene:LPERR03G25710 transcript:LPERR03G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRVVSFLGVLQLRANTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGIFKGVDGSVYKGSWRMNKKHGMGTMVYPNSDIYEGLWNEGLPDGSGKYTWSDGNVYTGTWKSGKMNGRGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGIFYQPGSKISCNLEVSDCLTINDGTSASSSSNEKITLGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGNHVCQDVPSKSFSADDQSPQDSEVDKDLVYEREYVQGVLIMEQPKNEDSRISDSGIAQENTWEKQARGPMETIYMGHRSYYLMLNLQLGIRYTVGKITPVPLREVRLNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSYQSTLESSGISAEMDYSVALQHEEKISSKGFLLVAANEPGPTVRGSHIRGSMVRAAEGGYEEVDIVLPGTGRFRVQLGVNMPARAQKVHEDVNVELENVDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYLRRFVSFLEKVFPERD >LPERR03G25710.2 pep chromosome:Lperr_V1.4:3:22548868:22556824:1 gene:LPERR03G25710 transcript:LPERR03G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAESSGSKRKKNIWRETNGEGWKKKGESWMCVAVWGLLVGASKASARAPPQRGAWGARGGGSGDGMRGGRRVVSFLGVLQLRANTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGIFKGVDGSVYKGSWRMNKKHGMGTMVYPNSDIYEGLWNEGLPDGSGKYTWSDGNVYTGTWKSGKMNGRGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGIFYQPGSKISCNLEVSDCLTINDGTSASSSSNEKITLGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGNHVCQDVPSKSFSADDQSPQDSEVDKDLVYEREYVQGVLIMEQPKNEDSRISDSGIAQENTWEKQARGPMETIYMGHRSYYLMLNLQLGIRYTVGKITPVPLREVRLNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSYQSTLESSGISAEMDYSVALQHEEKISSKGFLLVAANEPGPTVRGSHIRGSMVRAAEGGYEEVDIVLPGTGRFRVQLGVNMPARAQKVHEDVNVELENVDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYLRRFVSFLEKVFPERD >LPERR03G25710.3 pep chromosome:Lperr_V1.4:3:22548913:22556824:1 gene:LPERR03G25710 transcript:LPERR03G25710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRVVSFLGVLQLRANTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGIFKGVDGSVYKGSWRMNKKHGMGTMVYPNSDIYEGLWNEGLPDGSGKYTWSDGNVYTGTWKSGKMNGRGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGIFYQPGSKISCNLEVSDCLTINDGTSASSSSNEKITLGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGNHVCQDVPSKSFSADDQSPQDSEVDKDLVYEREYVQGVLIMEQPKNEDSRISDSGIAQENTWEKQARGPMETIYMGHRSYYLMLNLQLGIRYTVGKITPVPLREVRLNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSYQSTLESSGISAEMDYSVALQHEEKISSKGFLLVAANEPGPTVRGSHIRGSMVRAAEGGYEEVDIVLPGTGRFRVQLGVNMPARAQKVHEDVNVELENVDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYLRRFVSFLEKVFPERD >LPERR03G25720.1 pep chromosome:Lperr_V1.4:3:22555434:22558891:-1 gene:LPERR03G25720 transcript:LPERR03G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEKTPEELRREIQELQRQHREISERLRDPRGLRRGAPAAAGPGGPRPLRGFPRPGVDLTEQPSTKRRILSAVVKVEDTETKEDVEKDAEAEGREDGLLSGEGGERRGASNGGFRRDGSQRVPRRNELDMPLPEPLPREFPKDEDPSLVKRNRRMLGKLLVGTLEKFQQEDKKLSSTEAYMRRSETQRKADQKAREESEKLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLFMQWAEHHKRLSNFLRTNAEPPIYYIPAKPLTDDPAIANENKEKAFQEWKAVRRAELTQFQKQVEEHYMSNVERQLERMQNARNARRGNGPSNMQEMDKELETHRAEHGPKTRRVPEDGNDDEEDIEDMAVEDELMDEVLGINEPINDDPTKPSEEAADGAPVSEKAH >LPERR03G25730.1 pep chromosome:Lperr_V1.4:3:22559659:22561616:1 gene:LPERR03G25730 transcript:LPERR03G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGMHIVICPWLAFGHMLPCLDLAQRLASRGHRVSFVCTPRNISRLPPVRPALAPLVNFVALPLPRVEGLPDGAESTNDVPYEKFELHRIAFDGLATPFSEFLATACADWVIVDVFHHWAAAAALEHKVPCALIMLGSAHMVASVADRRPERSESSPAAAEQGRPAAAPTFEVERMKLIRTKGSSGMSLAERVGLTISRCNVVAVRSCAEFEPETVPHLTTLRGKPICFLGLMPPSPDGRGDGTREDATVCWLDAQPVKSVVYVALGSEAPLRVEKVHELALGLELAGTRFLWALRKPSGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHAGVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLVEAKKAGVQVPRNDGDGSFHREGVAAVVRAVVVEEESSRVFAANAKRLQEIVADTACHERCIDGFIQKMKSFKD >LPERR03G25740.1 pep chromosome:Lperr_V1.4:3:22570458:22570933:1 gene:LPERR03G25740 transcript:LPERR03G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARRRPSGGARTFRRGRRWWTRSRRGAPRRAGAEAPRSRRGARARGAPAGGGICCAAWRRTTRGGPATRCAGRGRGTGEGGRRRATGRSRRASRWPARAWMLSVLARASMCRARRIW >LPERR03G25750.1 pep chromosome:Lperr_V1.4:3:22572417:22573875:-1 gene:LPERR03G25750 transcript:LPERR03G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGYSTASGRMHVVVFPWLAFGHLLPSLDLTQRLASRGHRVSFVSTPRNISRLPPVRPELAPLVNFVSLQLPPVEGLPDGAECTNDIPHDKFDLHRDAFDGLAAPFSQFLGTAHADWVIIDGFQHWAAAAALEHKVPCAMILLGSAHMICTYNHRPEHEESPVEAGQGRPAEAPTVEVERMKLIQDKGSSGVSIADRFSLTLDMCSLVVLRSCAEFEPEDVPLLSTLRGKPVAFIGLMPPSPDGRREEDNEDAIVRWLDAQPAKSVVYVALGSEVPLSVEQVHELALGLELVGTRFLWALRKPSGVSDADLLPVGFEERTRGRGVVATNWVPQMSILAHAAVGAFLTHCGWSSTIEGLLFGHPLIMLPMFFDQEPHAQLMEGKKAGVKVPRNDQDGSFDREGVAAAVRAVMVEEESRSVLTASAKKLQEIIADRACQEKYIDGFVQQLRSYKD >LPERR03G25760.1 pep chromosome:Lperr_V1.4:3:22580567:22581118:-1 gene:LPERR03G25760 transcript:LPERR03G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHHQHHQIKAPTPTWFLLKATPPPRVAAAYSPLLLSPYSVWLKTQDTKKSPLPASPRISCMGKVKGRTRRCSAAHHGGKRLLERLAMGLFGRRRRTSSTSTSSSRACSKVRDVPSCSSASGGRNSCVRSGVGAAPARAVCTFDPPLPVVKRTAANANDNAPTLWERRRGGGNALTTLRLR >LPERR03G25770.1 pep chromosome:Lperr_V1.4:3:22585115:22589553:1 gene:LPERR03G25770 transcript:LPERR03G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMLLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDVSQIQFNYWIFFSNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADSTPDRTTKDKKISNIYKPDNFMDANDETAVGSLASEGAAVDEEAPLIPSSRLSYVTRTQTGSFNSR >LPERR03G25770.2 pep chromosome:Lperr_V1.4:3:22585115:22589780:1 gene:LPERR03G25770 transcript:LPERR03G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMLLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDVSQIQFNYWIFFSNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADSTPDRTTKDKKISNIYKPDNFMDANDETAVGSLASEGAAVDEEAPLIPSSRLSYVTRTQTGSFNSR >LPERR03G25780.1 pep chromosome:Lperr_V1.4:3:22590247:22593944:1 gene:LPERR03G25780 transcript:LPERR03G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYILKNSATGETVIKHLNKEQEADQSNFRDSSTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >LPERR03G25790.1 pep chromosome:Lperr_V1.4:3:22593864:22594520:-1 gene:LPERR03G25790 transcript:LPERR03G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVVKIVALAAVMAAAVALSFFFRFRCRHLPTPFVPGGGRQLIVVSNQQEVGEEMDLADGNVQLLCHGYSEHDHTKSVWSARATIPGGTSARLTLPAVRGDEVFEVLCSYRGANRCWAHGVRVFGNPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGAAATPPIFMGFVPDFDNARDGACASASCVGRTLYRVIGQESCCDDSCGGWEKASPEKSS >LPERR03G25800.1 pep chromosome:Lperr_V1.4:3:22595123:22599025:-1 gene:LPERR03G25800 transcript:LPERR03G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRASCLLLLVLALVAARDAVAAVPKPNWLGGLSRASFPKGFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGNVAGNQNADVATDQYHRYKGLTTSGFILEQEDVNLMKSLNFDAYRFSISWSRIFPDGEGRVNQEGVAYYNNLIDYLLQKGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDKGTNPPQRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRNKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVRDRLPKFTPEQAKLVKGSADYIGINQYTASYMKGQQLRQPTSSSYSDDWQVSYVFEKNGRPIGSKANSEWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYITQLKKAIDEGANVVGYFAWSLLDNFEWLSGFNSKFGIVYVDFNTLERHPKASAYWFRDMLQKH >LPERR03G25810.1 pep chromosome:Lperr_V1.4:3:22607737:22612042:-1 gene:LPERR03G25810 transcript:LPERR03G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAAHSLPPVMRLLPLVVAVVVLGHGVNRVRAADDAGGLSRASFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGKINDEGVAYYNNLIDYLLKQGITPYVNLNHYDLPLALQKKYEGWLSPKIVGIFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGDSAKEPYIVAHNIILSHATAVARYRNKYQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKTMQEIVKERLPTFTPEQAKLVKGSADYFGINQYTASYMADQPASQQVPTSYSSDWHVSFIFQRNGIQIGQQANSNWLYIVPTGMYGCVNYLKEKYNKPTIIISENGMDQSGNLTLEEFLHDTERIEFYKNYLTELKKGIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKDMLQASGPGPKSGSGISNGQVGSASSGSHPVGSAISSSHRLLLPLLVSLHFLLPSIFMFFSL >LPERR03G25820.1 pep chromosome:Lperr_V1.4:3:22612817:22617039:-1 gene:LPERR03G25820 transcript:LPERR03G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSVFLIIAFLLQFSASDRQGDALYDMKLKLNATGNQLSDWNQNQVSPCTWNSVICDGNQNVVQVTLPSMGLTGVLSPRIGELEFLNVLSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASIGQLSKLQLFRLAYNKLSGSIPGPLFQVARYNFSGNNLTCGANFLHACASSISYQGSSHGSKIGIVLGTVVGAIVLLIIVAVFIICSRRRKSHLREIFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFNEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEERPSMSEVVRMLEGEGLAERWMEWQQVEVMRREDYARMQQRFDWGEDSIFNQEAIELSAGR >LPERR03G25830.1 pep chromosome:Lperr_V1.4:3:22621051:22626100:-1 gene:LPERR03G25830 transcript:LPERR03G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQKKQKQQGGEVRVDQASPASTFRELDDAFLQKQTKIWLGEVLHLMFDEALIVADLLADGEILFQVSKVIWKRLLRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLSGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARMMRLNVPDFDVVSHTITMPNYIVGGICRSLEQPQCSSSGSSGYSPRASSKELYQQASSLEELIFFDMLLLTDPVFSVNLILFLNSNLIHCDICFQGVSGEQNGEDTHYDSDEAESKLSALEPEDSLNEDSTSVLLKLGNASKEEREGYGDSGHDMHAGKSLSESVGSIDFGIMGSDSVGSTPLIQNNESQCCIDSPTDQCSRTRTTRRSLSSEESDSISSHLVVDSSKDNLGATPVTLVKRIHGEHAEPLQVSHPEKENDALQKVTFDQQCDLVCDGESVCSNCEEPRFGTNEGPSNLSSEPYSRLTPRDSTCGKLPAVSEDGCACRGLEPEFSCGNEMDGSQKEDKQVESEYRDENDSSPQRNENDVPKSGKGVLKSVAGGITLIGAVFFIAHLRRNKDRSFTGVIPPLSEKSVQGDPGAKNVEKTKAGAVYPGDWLKV >LPERR03G25840.1 pep chromosome:Lperr_V1.4:3:22631135:22636200:-1 gene:LPERR03G25840 transcript:LPERR03G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRGGGGGGGEGSGSGSRGRSSSRLERRNAVKHIDYEAGAGASSVSACASWSSSTSAERSLGLRPSRSLDLAVGGGTDIRISGSVEGEVDELCRSLGLSGPEEFAIPADAWEARKERSNSDVLPRSRLVSSSPVDDPSPVARSTSAPEVIQCNLPPSFPDSIPEESLNSSSNSTATDSAEEPIIAAIGHESPKAATAVAAVSPVPQLPLPSPRRGGGEVGIRGARPPVLSPPLPIMALAPPPARRSVVADEMTVGSAWDIVNSFAPSENRSDVRTDYERVDASHMSDTEEEEFVEEGVAGVDGELKGLRIGETFEGFTGTSSLSTTNDDDSSSTNTEAMFIISPNGKFKKKIKSWMRGALLGSGSFGMVYEGISDTASTGVSGKWDWNANEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKKWFYEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILKGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPRKTYGPQADIWSLGCTVLEMLTRQIPYPNVEWISMISSGRKRLLGLV >LPERR03G25850.1 pep chromosome:Lperr_V1.4:3:22641604:22648407:1 gene:LPERR03G25850 transcript:LPERR03G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCSLTTDILLHVFFHLDPLSIVRCAAVSKYWRRAVIDNASQVRRHPGRQADRRLLLGFHYRETYPGMLRFRARSTWSPSSRAHWSDDLPIPSFVPAAAITTHGGLPERMYAELTCADGLLLVYRGIPGEVSVYNPLTGFHETMPRFDTQFTDNYLLHSVHGDEMNSSPNSFQVLAVQVEYNGALALQNYSSETGAWGPVIRPLADKVMMPEVIRPYPVKGIECQGAIHWLCHSQSSLERHNKITHTVSVDISTGHAWMTRLPMQCLMSNDNVSNNKMLVLATAADGRLVLLRREDACMKVTIWVHAEDDNGRGTRGSDDGEASWALSRSFDVRKLIEHAGLSHFRLKCKDWADLEVRLEWFCRRSRCVVIWIPYLGLFVLDLKSMHIQRAAGDSQTHLIPSRYILHSVHGVETSHNSFQFQVLAMDVEEPNDGLALQNYCSEAGVWSPIIHPVADELRLPDTYMYCAAPVVCQGAIHLLCTTVQRTLQGDCALIRRPNGRMVEITHIVAVDIETTCSAWMIRLPTQCTMSNLNISTEKMLMLATTEDGRLALLRREHASMNVSIWVYNGLVSGGDNDGEASWVLSRSFDVQKLIEDAGLSHFRLECKDWVELKVRLEWFCPRSQSLIMWVPYLGLFVLES >LPERR03G25860.1 pep chromosome:Lperr_V1.4:3:22666942:22669770:1 gene:LPERR03G25860 transcript:LPERR03G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFARRDIEAAGAGAGSGGDDSPTAKRGKPEAAGAHPALTRTEAVAAASVLVLFVVGIFCVFRAAPRHEFDQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFLSKLIGRPLVSWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDFKTLVVLFLIGSVAVVPTILKRKRIYE >LPERR03G25870.1 pep chromosome:Lperr_V1.4:3:22670117:22672036:1 gene:LPERR03G25870 transcript:LPERR03G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRSSSVSFPSPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKSAASQEE >LPERR03G25880.1 pep chromosome:Lperr_V1.4:3:22673380:22677636:1 gene:LPERR03G25880 transcript:LPERR03G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSFSPSLSLPCAAPAAPSSFHPLASLPSSSPPRGGGGGRRRAPAAASPATCSGRHEGRWRTGVSSFSFLPSLFSGNNNKEKDREKAERLKEELLAAIGPLDRGANATPEDKERVEKIVQQLEEVSPVKETLKSDLLNGKWELLYTTSESILQPKRPKLLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAIPIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMIDPTYRVPL >LPERR03G25880.2 pep chromosome:Lperr_V1.4:3:22673380:22677636:1 gene:LPERR03G25880 transcript:LPERR03G25880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSFSPSLSLPCAAPAAPSSFHPLASLPSSSPPRGGGGGRRRAPAAASPATCSGRHEGRWRTGVSSFSFLPSLFSGNNNKEKDREKAERLKEELLAAIGPLDRGANATPEDKERVEKIVQQLEEVSPVKETLKSDLLNGKWELLYTTSESILQPKRPKLLRPFGTIYQAINTDTLRAQNMETWPYFNQIPIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMIDPTYRVPL >LPERR03G25890.1 pep chromosome:Lperr_V1.4:3:22676360:22679848:-1 gene:LPERR03G25890 transcript:LPERR03G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEEQLRDELAGGGLAVNSVPGKGRTLFATRSFFPDISEVDKNQLVLYAQMANLVQLILPSIELDLKEITHTFSKFACNAHTICDPELKPLGTGLYPVLSIINHRCCAPNAVLIFEGRTAYVRALQPISKNEEDSEENALLEGYRCKDQKCDGFLLPKSGGVDNSEAGSLYKIIEEQEQKLYHPSSTTLLHTRETLLKIYMELQEWQTALMYCRLTIPVYERVYPPFHPMIGLQFYTCGKLEWLLEYTEDALKSLTRAADILRITHGTKSEFMKELLGKLEEVRAEASFRLSAGDERQIDQPFS >LPERR03G25900.1 pep chromosome:Lperr_V1.4:3:22680327:22685614:1 gene:LPERR03G25900 transcript:LPERR03G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDNFLQPPAAAAASGGGKAIQLPAVGIGDATGNLTSSSGSSSSSLTLSPLRSNFLRQVDAALKRQRPYGSMQSTKPRPRAQRVLRGEGSTKAGANPSVAQNLEGKVMQERRGLLGASRLRNAAPDHNKANPDGLVVSSQDELLLTMPSTLGTVTDTRDQNGCQNYQQRSDADLLVDRKKSSMEVSSSQMASPNALLGEDKEQFYLATDPQLTCQSDNVGVTAGSRMDSMLSYLHSVSLTAGDNFPVAQATDDQGRDQKEIGIAGAAVEMDIKYDAANLSQRIDEACDQNHGEPMTRCSVMGSSVTAISLHSGPTVQSKHVSQIDQYASPAQMPECGIESSGVPGHGPQKMHGVAMNHADCNTNNQQVDIHANGGMNKPVSGDGVCLPSQGLSGNDQSMSARDDGAPRRNKVEKERRKRNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKKNIIQLIDYEVTDKSLLQDGSLSPRDGRIKDDHCIYMVLEYGEIDLANMVAQEWKERNNSNMKIDENWLRFYWQQMLKAVNTIHDERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNIIKCGRPSDIWALGCILYQMVYGKTPFADYKSFWAKFKVVTDRNHKINYEPVDNPWLVDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPFIDQDPCRLLMERVRPHWANPKLHSFIAELEKDQCHPTSLMASFDSHI >LPERR03G25910.1 pep chromosome:Lperr_V1.4:3:22693628:22701251:1 gene:LPERR03G25910 transcript:LPERR03G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIILSRETERGAKVIGEDSIRRLDRSSTSSSSSSQSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLVLLKQKQTLLLDTLRHLETEKVDLENTLVDESQRQSKEYGSTSRSRNEKLSEGSASESDDYNEPQDPAEDETDDDENMYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFVKDKATDTYRYTGGYWESRDKGNWDGCPDIFGQVPNDLMITD >LPERR03G25910.2 pep chromosome:Lperr_V1.4:3:22693628:22701251:1 gene:LPERR03G25910 transcript:LPERR03G25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIILSRETERGAKVIGEDSIRRLDRSSTSSSSSSQSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLVLLKQKQTLLLDTLQGSASESDDYNEPQDPAEDETDDDENMYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFVKDKATDTYRYTGGYWESRDKGNWDGCPDIFGQVPNDLMITD >LPERR03G25920.1 pep chromosome:Lperr_V1.4:3:22714398:22718471:-1 gene:LPERR03G25920 transcript:LPERR03G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAGGGGWEGEEEADSAAAVVEKAXWEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQEGHGRYVWANGNQYVGEWRSGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYIGSWPRSCVDVPAISGTFFPPVGAGGGGGAVKKRSSVEWVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYKEATTVGGGAPYVRTQRSGRQVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELLTADFDPREKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLPSYFQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFEDEIDETTTLKDLDLNFVFRLQRSWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSSSKTGLSTFTASPKFSMKSDSFQGGGGMPELCFSDHDIDMIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLNGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >LPERR03G25930.1 pep chromosome:Lperr_V1.4:3:22745644:22748004:1 gene:LPERR03G25930 transcript:LPERR03G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPVAMPPAFQPSSWLQRTAEIENTYNNNKKPEVVADDDHHPAQVDIWNAIQADAVDKVVDKVATKKPYVHPLMHRSSSKMMSQKSLEVCTESLGSETGSGDFTASLDDLFGSPAPPAAASEESFWRQGGWEHVVEDEEEEAWEGKELAAVNYHCSGWTRRSSSPARSFPPPLPSMSSRDKPCIQMRPRRQDGRLIVDAVVVRPRGYLHATRHGGRLRLSFVESSARDQSAAATATVAMAATPEAPFFPVVDAKRDEEEYEEVATDLEEVDEEEEEVEVVDRGTVVEVKVSTQPQTPTAAKVHRSTLVINKFVGSTPLSVVEHPTRCNVAAAADAQPEAPETTTPPPATPGLRRVPSSTSTTTLAAAVAVASTSTDDVDDGKPHTAAPGGAAADTKQLLLFTSRCRDKQELLQSVRQCRQLRQKPLFILEPYCIATS >LPERR03G25940.1 pep chromosome:Lperr_V1.4:3:22759441:22759671:1 gene:LPERR03G25940 transcript:LPERR03G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGVVPKTLRSLSTLKLRHGEVAQVAETSGFGLGRMLSLAFQLSRWSQLAMPKESLFDQMSCRPLMIPLPCVRPR >LPERR03G25950.1 pep chromosome:Lperr_V1.4:3:22782205:22783290:1 gene:LPERR03G25950 transcript:LPERR03G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLYQQLQLTPPSPPKPAIDHHQSSFFYYSPSFAAAAAAAATADETIGSPPVMLHPFMDIEQQQQQPDQPQQQTATAVEDVAAGAGAADILDGFAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVVCEDDGGSSSLSVDAAAGSKHHTNTNNPPGAAAEGKKPAAAAKSRRAAATPKPPRRLSNAHLPVPDKESRAKARERARERTREKNRMRWVTLASAISVEQHAATADKSPGAASPNNLQQMSAELEEGSSSTLHNSGRMQEISVASEASDDVIMAFAHGGGAYGGGGDSSNYYQQQPQDQWELGGVVFASSRHHHY >LPERR03G25960.1 pep chromosome:Lperr_V1.4:3:22806418:22813776:-1 gene:LPERR03G25960 transcript:LPERR03G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFVPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVATPLLIAFELLLCIYLESLRAEHGLTVDLKIVFLPLLAFEVIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSSNPGEASSSSAAIRYRDWESGLLLPSLEDHVEQERLCGLPDIGGHGTPPSARYIPIFALFSPLFILQGSGVIFSLARLVEKVVLLLRNGPVSPNYLTVSSKVRDSFAFLHRGSRLLGWWSIDEGSKEEQARLFYTESTGYGGFKQLWESSQKLPNVPSRNLKGFKMRRFFVGFVTRERYAWSYFLVGTELYARLVLISARNVQSAVCPLKNACPTS >LPERR03G25970.1 pep chromosome:Lperr_V1.4:3:22813780:22817650:-1 gene:LPERR03G25970 transcript:LPERR03G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCRGTIWTLDSRRASISTATVKGGGEGEERKEPFVLVAFTFVPHSSSAAEAALRGEKTKEEEEEAADAGAGGVAL >LPERR03G25980.1 pep chromosome:Lperr_V1.4:3:22824293:22827505:1 gene:LPERR03G25980 transcript:LPERR03G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWVVLPPLQPRVMAASAAVGPSSRVLLAYAPLSRSARRRVERLAPPRCSAVEDPGPSAGGGGGEGNGVVVEEEEEREEVRKKEPLRRRRGRRRPVWSRILFASKKTRSIIVLNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVSAIPFIPFAIRAFGDRRVRNSGLELGLWVSLAYISQALGLISSEAGRASFITAFTVIVVPLIDGIFGASIPKLTWFGAIVSLVGIGLLECGGSPPCVGDVLNFFAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFLFKDSYVDVHDSSFESWTFGMLWDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWFGAALVLCGSLTVQLFGSSPEKSKKVKTRSRNNLETPLKRQDYLSLSAIPVDSRKNIGSRLDRFLEAETKSKRFGSAFGNYNF >LPERR03G25990.1 pep chromosome:Lperr_V1.4:3:22829017:22839978:-1 gene:LPERR03G25990 transcript:LPERR03G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPETGSSRSRPLYRKPTSPTTFHRNGPLESFCACTALVDLPGVPLAFLGLPRLGTAPVSSAGVSSPRRLPAPSRRSPSPPPAAAPASCCFRGRRSVPSPPDSSRPSQSPLPSAPSSSTPRVPRPRGLDSRLLASSTARLLATCVDLHPAGSGKIMRDPFNTPVDFNNEDHHAGNELTRTNVTLSVRDHGLQNGDAKPLAVNNDTLVRHQRQGASLHNDLTAEDSITRLMDPETKELYLRSRSQEEEILLLRKQITDASLKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSLKKSHLEENMRLANDLKGEEEELYFFTSSLLSMLAEFNVRPPQINASAITTGTKRLYQQMQWKFKYLNDSLGEITQPGHIYNNSNHQQATPMRHEPSSSYNMDATRSNFHRYAQDPNDRNTGQMYHGSNFHQYAKVSYHLVEKILGDIVAATPSNYFEENNGPREVRLDDSQFYRQDNQENSADDDPLPGIEGFQIVGEPRPGSILTACGFPTNGTTLCNFQWVRYLENGTRQSIEGATMYDYVVSADDVGTLLAVDCTPMDDNTRQGDLVTEFANGGSKISCDPDMQNNIDMCISNGRAQFTVHVLIYPSDEWDIAILTLKKAGYHIKVKDEVLIEEKYSSSLQAKIPNGRNTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQYKTQMEV >LPERR03G25990.2 pep chromosome:Lperr_V1.4:3:22829017:22839978:-1 gene:LPERR03G25990 transcript:LPERR03G25990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPETGSSRSRPLYRKPTSPTTFHRNGPLESFCACTALVDLPGVPLAFLGLPRLGTAPVSSAGVSSPRRLPAPSRRSPSPPPAAAPASCCFRGRRSVPSPPDSSRPSQSPLPSAPSSSTPRVPRPRGLDSRLLASSTARLLATCVDLHPAGSGKIMRDPFNTPVDFNNEDHHAGNELTRTNVTLSVRDHGLQNGDAKPLAVNNDTLVRHQRQGASLHNDLTAEDSITRLMDPETKELYLRSRSQEEEILLLRKQITDASLKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSLKKSHLEENMRLANDLKGEEEELYFFTSSLLSMLAEFNVRPPQINASAITTGTKRLYQQMQWKFKYLNDSLGEITQPGHIYNNSNHQQATPMRHEPSSSYNMDATRSNFHRYAQDPNDRNTGQMYHGSNFHQDIVAATPSNYFEENNGPREVRLDDSQFYRQDNQENSADDDPLPGIEGFQIVGEPRPGSILTACGFPTNGTTLCNFQWVRYLENGTRQSIEGATMYDYVVSADDVGTLLAVDCTPMDDNTRQGDLVTEFANGGSKISCDPDMQNNIDMCISNGRAQFTVHVLIYPSDEWDIAILTLKKAGYHIKVKDEVLIEEKYSSSLQAKIPNGRNTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQYKTQMEV >LPERR03G25990.3 pep chromosome:Lperr_V1.4:3:22829220:22839978:-1 gene:LPERR03G25990 transcript:LPERR03G25990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPETGSSRSRPLYRKPTSPTTFHRNGPLESFCACTALVDLPGVPLAFLGLPRLGTAPVSSAGVSSPRRLPAPSRRSPSPPPAAAPASCCFRGRRSVPSPPDSSRPSQSPLPSAPSSSTPRVPRPRGLDSRLLASSTARLLATCVDLHPAGSGKIMRDPFNTPVDFNNEDHHAGNELTRTNVTLSVRDHGLQNGDAKPLAVNNDTLVRHQRQGASLHNDLTAEDSITRLMDPETKELYLRSRSQEEEILLLRKQITDASLKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSLKKSHLEENMRLANDLKGEEEELYFFTSSLLSMLAEFNVRPPQINASAITTGTKRLYQQMQWKFKYLNDSLGEITQPGHIYNNSNHQQATPMRHEPSSSYNMDATRSNFHRYAQDPNDRNTGQMYHGSNFHQDIVAATPSNYFEENNGPREVRLDDSQFYRQDNQENSADDDPLPGIEGFQIVGEPRPGSILTACGFPTNGTTLCNFQWVRYLENGTRQSIEGATMYDYVVSADDVGTLLAVDCTPMDDNTRQGDLVTEFANGGSKISCDPDMQNNIDMCISNGRAQFTVHVLIYPSDEWDIAILTLKKAGYHIKVKDEVLIEEKYSSSLQAKIPNGRNTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQYKVYPI >LPERR03G25990.4 pep chromosome:Lperr_V1.4:3:22829017:22839978:-1 gene:LPERR03G25990 transcript:LPERR03G25990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPETGSSRSRPLYRKPTSPTTFHRNGPLESFCACTALVDLPGVPLAFLGLPRLGTAPVSSAGVSSPRRLPAPSRRSPSPPPAAAPASCCFRGRRSVPSPPDSSRPSQSPLPSAPSSSTPRVPRPRGLDSRLLASSTARLLATCVDLHPAGSGKIMRDPFNTPVDFNNEDHHAGNELTRTNVTLSVRDHGLQNGDAKPLAVNNDTLVRHQRQGASLHNDLTAEDSITRLMDPETKELYLRSRSQEEEILLLRKQITDASLKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSLKKSHLEENMRLANDLKGEEEELYFFTSSLLSMLAEFNVRPPQINASAITTGTKRLYQQMQWKFKYLNDSLGEITQPGHIYNNSNHQQATPMRHEPSSSYNMDATRSNFHRYAQDPNDRNTGQMYHGSNFHQDIVAATPSNYFEENNGPREVRLDDSQFYRQDNQENSADDDPLPGIEGFQIVGEPRPGSILTACGFPTNGTTLCNFQWVRYLENGTRQSIEGATMYDYVVSADDVGTLLAVDCTPMDDNTRQIYPSDEWDIAILTLKKAGYHIKVKDEVLIEEKYSSSLQAKIPNGRNTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQYKTQMEV >LPERR03G26000.1 pep chromosome:Lperr_V1.4:3:22858701:22860578:1 gene:LPERR03G26000 transcript:LPERR03G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQDAGGSGSGSSAAGGGVADMGSCKAAGEEEDVDEMLAALGYKVRASDMADVAHKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPPPPLPPTPAAPRHASTSSTVTGGGGSGFLVDLPPAVDSSSSSYALRPIPAPVVATADPSADSARDTKRMRTGGGSTSSSSSSSSSLGGAASRGSVVEAAPPATQATAAANAPPVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPDCEADANDEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFVDRFTESLHYYSTMFDSLEGGSSGQAELSPPATGGNGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >LPERR03G26010.1 pep chromosome:Lperr_V1.4:3:22876147:22884498:-1 gene:LPERR03G26010 transcript:LPERR03G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAANLWVLLGLGIAGVLLAAKRLRRPARPDSGAFIARLELLPPPQPPPPQARHPLTDLCFAIADAFHVNGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVAAGMVDFALGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHVYIADDCFEMSKVSARRLTQVVTKSVEKLFGRQVLGHVNLENYLASRIPSLRNYSNGQKNGDPKFPSLLALSRAMQFLQKHEFKDQHMEWINSVKPAVDACIVGHLSDDDESTISSSQDARKEARAALGTLLKDDGILVIPTVLGCPPKLNARELSSPDYNNQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDILAKSNVSSKQAMSEEAAEAAKEKGNVAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLELASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >LPERR03G26020.1 pep chromosome:Lperr_V1.4:3:22895477:22897365:1 gene:LPERR03G26020 transcript:LPERR03G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRGVSSRLRRLFAGVVGFLLISAAASPATALNIGLQSTGDGANKQLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEPPCDPLDACCMHHDNCVQAKNDYLSTACNEQLLECLARLREGSSTFEGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >LPERR03G26030.1 pep chromosome:Lperr_V1.4:3:22897836:22900741:-1 gene:LPERR03G26030 transcript:LPERR03G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDEQLRFFDTNGYLVLESFSSAEEVKAMRDRMTELVDGFDGASAAIFSTKDHRHAKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFSANISSLFSSLSYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPPSCTGLWLALEDANKTNGCLWAIPGSHKNGIIRRMIRDENGTHFDNPLPTFDQKDFVPLEVKSGDLVVIHGDLVHQSFENLSPVSRHALSLHVIDTEECYKGKIHLSHSMEIS >LPERR03G26040.1 pep chromosome:Lperr_V1.4:3:22901200:22902396:1 gene:LPERR03G26040 transcript:LPERR03G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDWSGLHDDLLLLVVNCLPSLDLHSFRAVCASWRSAAAIFVGARGRPRPDRPWLLLPTEAADSDDGRRFVVARDREVPVVALPSRLGRAGGGGRRGFVPLGSSRGVIVAADDRGEMHLLDLVTGKRVAPLPPVISLPLVDAVVEGGQIRCHGDAVSRIDGLMHKAVPVPTPDGGILVVVIYRQVQHRNQWATARPGDRAWKSVKPASIPAVVDVAVHGGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVEYSPPDHPAGSRRRGLLMQVELLRSTAEEEGFVVRVLDECGETWEEADDIGDVAVLVDASGAVAVSTREFPGLRPSTVYFAVDLAGETRVCAYGLASGGNDDKRKRIEVVESIPRAEGYKPPCFWFAPVYTP >LPERR03G26050.1 pep chromosome:Lperr_V1.4:3:22904074:22909007:1 gene:LPERR03G26050 transcript:LPERR03G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLGLTAVVTVGYQLVFFVITALLRFDKVTDFAGSTNFIILAILTSALKGTWHFRQVVLTVLVVVWGLRLGLFLLMRILQWGEDKRFDEMRNNLGKLAVFWIFQAVWVWTVSLPVTIVNASDRNPSIEARDIIGWIMWLIGAAAEAIADQQKLTFKNSPSNRGKWCDVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLEASADKRFGQNEEYRVYKNTTSPLIPLPPSVYGALPAWFKMGFLFELPLYNRVPRDPVS >LPERR03G26050.2 pep chromosome:Lperr_V1.4:3:22904074:22908751:1 gene:LPERR03G26050 transcript:LPERR03G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLGLTAVVTVGYQLVFFVITALLRFDKVTDFAGSTNFIILAILTSALKGTWHFRQVVLTVLVVVWGLRLGLFLLMRILQWGEDKRFDEMRNNLGKLAVFWIFQAVWVWTVSLPVTIVNASDRNPSIEARDIIGWIMWLIGAAAEAIADQQKLTFKNSPSNRGKWCDVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLEASADKRFGQNEEYRVYKNTTSPLIPLPPSVYGALPAWFKMGFLFELPLYNRVPRDPVS >LPERR03G26060.1 pep chromosome:Lperr_V1.4:3:22908219:22909231:-1 gene:LPERR03G26060 transcript:LPERR03G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLSRPITKPHLSCAQSSRPPSAQQPPEQQPPTPTPQEQQPMQAQPQPPLQAARPKRAPVAADSTDWVASSLTRRFGIGAGLAWAGFLAFGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLQGRVSGGETFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPALGFGDDGADFGVDDGDAMVPPGATLEYVVEVDKVSIAPA >LPERR03G26070.1 pep chromosome:Lperr_V1.4:3:22909751:22913545:-1 gene:LPERR03G26070 transcript:LPERR03G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADGMEKPKFLTREEREKLALERRQAAVTDQRRSALDLLQSLPRAGSGAGGGAPPPPPPPSNSNPPRDSSSSHHHRDSSDRDRDRDRDRDRDRDRRRDDDSRRDRDHRDSSRRERDRDREHGRERERERERDRGERDRERGDRERDRQEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKAAAAHEKETRAEQRRKAGLDDRPEDDAIDKKEADAAAKYDAFDMRVDRHWTQKSIDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLEAMPSSNLKPENEDEELDAKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMMKESEKMSRLQKILTDLGDKPAIVFCNTKKSADLRAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPNSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKCTQRDPARFEMLKSDNGSLAHE >LPERR03G26080.1 pep chromosome:Lperr_V1.4:3:22915896:22919342:-1 gene:LPERR03G26080 transcript:LPERR03G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVVTASLCKKSNRVARVLAYALLEWILIALLLANGVFSYLIARFAAFFGLAPPCALCSRLAVDSLFTHHQGGEPLRRVLCDAHAAEVSRLGYCRAHRRLADAGDMCEDCASASSASAAAASWMRRSELGERDLACACCGVALESGFISPAFLFPTPAAAAARDAERCRRRDAAAMAAGLNGEVVFVSEKGPVIELFDEKPLEEEEEDPIGAMAGLAAQCAELVGSVLQLVPLESADSSNVSKSAVSSQSQAEGKEGIDHVTMVRRDVVLKNMVNPNEGKSVVVYDNDQVGDMVGKMIDEEITSSVISQACIEVGLSCEIDGGEIAEGLADQQFYEETNGLQDKNQDKSIGSEISVNEEAAVKQEVFSMPRSPRGHESATDSLEENTEPTPQAKPNNRRNSMPMEGVHIPETSTVNTARELLEQAELSQESDMMPIYPTKDADEEFEEEKISQTELKQGLDSVTIDSQEQVDVISNDGTGEKQTKQSKLHAVEYVTNSFDANISIVDPTETAPPFEPSISLDKLHPDYNDIESDRASDAPTHIESIDVLQQFSVHKAMTSDTKSVDLSIATISADLESVEPVSVDQLKSALAAAHKTLSTLYAELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQDAVHRLNELVVKIDKEKQELVRELELYRHKVHLFEAKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNASIPTDILQETARHLGTLDCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSFKMVKQFSEESHLIGVSNGFSDDDDSSFKLHDKRKGVSYRGKKLLPLFDDTSMENGNDLLATQGPDADHSTDNVVLEPANDQDKLAIAHEIDQVHERLHALEADRDFIKQCVKSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSEELSPHYLHPYTD >LPERR03G26090.1 pep chromosome:Lperr_V1.4:3:22927559:22928737:-1 gene:LPERR03G26090 transcript:LPERR03G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPPVPLPFPLAAPNPDPSTPTAPPHLAADPTAPPPPPSSASAGARKLPVKRRSPPPRPSSPSSSSPVSSDPKNPHPQQPQQQPPFKFQRIWSESDELRFLQGLLGCGAQGMVFPRDLNVFYDRFSESMSQPYTRSQLSEKLRRLKNKFRGFSARIGRGLDPARLAPHDRDVLHLCSRLWDPANASTSPFGAAASSPGGGEASSGNKRRRASSRAGAGQLMLPAAPSGDGNSHDYNGISNGGTPAGAFPDGHGEEMMYLEQESGHFGYHGDAGIVADESLHGIVKEQPEAMVAVPNVGDVVVHNENGNGNAVVPRSDEHHMANAVLDIFDECLREVKANGVACGVNVDGSTKDNEFAKRWRAQRMDELDVLSRRLRLLVEDAAAAGR >LPERR03G26100.1 pep chromosome:Lperr_V1.4:3:22930502:22934610:1 gene:LPERR03G26100 transcript:LPERR03G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALTFTSSLLRCHRLAPSSSSLLPAPPRRLPGPFLSLRFCSSAVDVADAPASAATTEAPGYPWPEWSDFLDKLRAKGYFEQPTPAPRPDSAGGEAATAAAEEPVVAEAGNYPFKDLNRVKNACLKFGRDRFDILGSLPKQDIRAIVECGCPNIFRKPVNSAKKLREFVQVDEGDACSACKLRGSCDKAYVIPNAEDGARTVDVVRILLNYAIDPTSLSGENSVNGGVQESARKLLSELTILSDTTIDPSLPIPVFHTSKKEDKGRKSVAKPGASAGNGRESTATEMKKGDWLCPNCNFLNFARNRHCLECKADGPRKIDAATTEMKMGDWICPQCHFMNFARNKMCFKCEESRPKRQLNPGEWECPSCDFVNFRRNKDCLRCNHEGPENDTQDNKPGSNSWRNTTGADRSRSFDYVGLEDDGSDRDGERRRAVSSRARSTMRRSTGNNREDDNEDILPYEGQRKHVVSRRATPAQRRFTAARKP >LPERR03G26110.1 pep chromosome:Lperr_V1.4:3:22935128:22936605:-1 gene:LPERR03G26110 transcript:LPERR03G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPVIAAALGVFYAVARFFYFKGYATGIPDNRLKIGGLNFLAIFGLIICTASFGINLILRESL >LPERR03G26120.1 pep chromosome:Lperr_V1.4:3:22938443:22938945:-1 gene:LPERR03G26120 transcript:LPERR03G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLPIVSCFILSSCVVADAATYNVGDGFGWSLGSGSWPSGKNFHAGDILVFRYLPWMHNVVVVDEHGYADCNPTPSAKYYTSGSDIVKLVKGDNFFVCTRYGHCNLGMKMVVTAV >LPERR03G26130.1 pep chromosome:Lperr_V1.4:3:22946836:22947866:-1 gene:LPERR03G26130 transcript:LPERR03G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSGSVAVLALFLLCVLLHGEFAESAVYTVGDRGGWSFNTGGWPRGKRFRAGDVLVFKYSAAAHNVVAVNAAGYNSCSAPRGAKVYKSGNDRVTLTRGTNYFICSFPGHCQSGMKIAVTAA >LPERR03G26140.1 pep chromosome:Lperr_V1.4:3:22956148:22958298:1 gene:LPERR03G26140 transcript:LPERR03G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLLLLAIVGSLISLCASEVVDASADADDGGDQMMRPLKMSCSTGASNYTAGSQYHKNLDQLLAAIPMAAADNRGFSNTTFGTAPDEVFGLVMCYAEVNQDADQCRMCLAGAPARIMQLCPGSRTARAVFDACVVLYSDASFFSVAGDLTATSFHVQAYRPRPPRARGGWTTTYREFSLSEPPFVVDAAAMNRTRSDLITSLTVTAARAPLRLASDHTPFGGTPSMLARSMRGFVQCRRDLPASECTRCLSSYSARLPQLLPNNSGGAIKGYSCLLTYNIYSPEVPWLKLPSDWEFREALEEEARVKRKRHRRIVAAVAGSVCAVVLVICLSLLARYFLHRWKLWRAAAAAKKSEVEQPVEELNKGATGPRRFSYGELSAATGDFSGDNKLGEGGFGSVYRGVLTNMNDLPVAVKKVSKSSRQGWKEFVSEISIISRLRHRNLVQLIGWCHDGNGGGGGELLLVYQLMPNGSLDSHIYGGSTEENAVVLPWPARYDVVLGVGAALVYLHEETTEQCVLHRDIKPSNVMLDATFNAKLGDFGLARLVVGDGRRSCTTGAAGTLGYMDPECVSAFTASVESDVYSFGVLLLEIACGRRPAVPTGDGGGGVVHLAQWVWEWHGRGAILEAADARLEGEFDGQEMERVLGVGLWCAHPDRALRPSMRQAVGVLRCEAPLPDLPARMPVATYTAPPASAGSTGSGGDICSSCSITIET >LPERR03G26150.1 pep chromosome:Lperr_V1.4:3:22961268:22963859:1 gene:LPERR03G26150 transcript:LPERR03G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHKQVRCAQARGDVEQLLRLGHPDRALLRADQVIREQNTLDVFLMLEAYCNLITDRAALVDAHRECPDELREAAAGLIYAAARCGDVPELQEVKRLMAAKFGREFVSAAAELRSGCGINTKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVQHVVHEPSSHDDSGSSHRRRRHDGERRRVHQPPQVEVEEEEEEGSSRYSNVEAAAQAAFESAATAAAAAKAAMELSRGEFRGPDDDRRRPGGVQGHRADDEVVAGCKESGRVGHVSLARDNSSEIEVVSPDHTAQSELKPRGLTRSVPVSVRTRRGS >LPERR03G26160.1 pep chromosome:Lperr_V1.4:3:22963274:22965749:-1 gene:LPERR03G26160 transcript:LPERR03G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILNPGRTKRCDYRRRLPSRLLPSSALVRRLRRSPASRAPASTSSCCFRRILTGIVLNFVNEQNRPLNSQNVADALQKFNLKKTAVQKTLDALADSGQISFKEYGKQKIYLARQDQFNIPNGDELEGMKQANIKLQEELADQKKAIAEVESEVRSLQSNLTLEEIKSKEAKLQKEVQGMEEKLNKLRSGVILVKPEDKKIIEESFTEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNFQSYSDMLTSLSKRRKISR >LPERR03G26170.1 pep chromosome:Lperr_V1.4:3:22967386:22971208:-1 gene:LPERR03G26170 transcript:LPERR03G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAARLHMIPRKHRRSPGDGETEEASSPKRHRRDDHHRHRLEADTGEAGLVRGAVLPAPEHDDDCDTDLNIHANGLHTSQIARNPSQEHVYSAKSNDAATKLKDGLSNNLIAKEKIANNHELSNVFVGGKFYNVGKSPTLPKISVNLRISDNKKATSAPGLQEGIPKCRKLDIVGNEIVGHIAQKLKIPQLQKADIVGKDIVGQQPIDQKPELPQWRKPDIVGNDNVGQQPIGRKLGKENSMLIKKNTLHGNWEDEDGYYIYHFGEVLQDRYEITARRGKGVFSTVVHARDLKAQKDGHREVAIKIICNNIEKSGKREISILEKLANTDREEKQHCIFIALKHLRNSGVLHCDIKPDNILVNKDSNSLKLCDFGSAMSAENNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKVLFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMEHFDQNLNFLARKKDPITKKVVNRLLVNIKPRGVGSAISICPGDDPKMVSSFKDLLEKIFVLDPKKRITVSEALSHPFITGK >LPERR03G26170.2 pep chromosome:Lperr_V1.4:3:22967386:22971208:-1 gene:LPERR03G26170 transcript:LPERR03G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAARLHMIPRKHRRSPGDGETEEASSPKRHRRDDHHRHRLEADTGEAGLVRGAVLPAPEHDDDCDTDLNIHANGLHTSQIARNPSQEHVYSAKSNDAATKLKDGLSNNLIAKEKIANNHELSNVFVGGKFYNVGKSPTLPKISVNLRISDNKKATSAPGLQEGIPKCRKLDIVGNEIVGHIAQKLKIPQLQKADIVGKDIVGQQPIDQKPELPQWRKPDIVGNDNVGQQPIGRKLGKENSMLIKKNTLHGNWEDEDGYYIYHFGEVLQDRYEITARRGKGVFSTVVHARDLKAQKDGHREVAIKIICNNIEKSGKREISILEKLANTDREEKQHCVRIISSFMHHNHLCIVLESLHMNLHEAIKKLGHGTGLKLTSVRAYSKQIFIALKHLRNSGVLHCDIKPDNILVNKDSNSLKLCDFGSAMSAENNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKVLFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMEHFDQNLNFLARKKDPITKKVVNRLLVNIKPRGVGSAISICPGDDPKMVSSFKDLLEKIFVLDPKKRITVSEALSHPFITGK >LPERR03G26180.1 pep chromosome:Lperr_V1.4:3:22971931:22975301:1 gene:LPERR03G26180 transcript:LPERR03G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKHSALVVAVFVLLCSGYFSGVADGAKGGKKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVDKNGKPYVEVRVKGGERKVFSPEEISAMILAKMKETAESYLGQRVTVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGANAEMMNVLVYDLGGGTFDVSVLSLDHGVFKVLATSGDTHLGGEDFDHRLMDHFVGLVRRRHGRDISPDGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQIVTTYEDHQTTVFEGERSLTKDCRELGRFDLTGIAPAPRGVPQIEVTFEVDENGILHAAGGRTSKSITITNNKGRLSQEEIDRMVREAEEFAEEDRRVRDRVDARNRLENYVCGPIIKQVYEKSGGGGADAWAGAGDDDDVNEL >LPERR03G26190.1 pep chromosome:Lperr_V1.4:3:22976819:22978438:-1 gene:LPERR03G26190 transcript:LPERR03G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGAAVRRRSPPTSTTASAATGLLLPQGSRAAALHVAHARRGGVSSRTQRRLEERGKKRQGGGSVTAPAPPDMDEDAAGEGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQMQEFPEESESSGSDVFEGNPTEVAPALE >LPERR03G26200.1 pep chromosome:Lperr_V1.4:3:22981568:22983200:-1 gene:LPERR03G26200 transcript:LPERR03G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSAAPASGEPMCDAAAAVVAARKGMETPLTAVAEAFEELARRMEADGGELRLGPFGDTCALVSVLFSCLGIAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDSVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQGCSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRNISLDW >LPERR03G26210.1 pep chromosome:Lperr_V1.4:3:22991868:22996336:1 gene:LPERR03G26210 transcript:LPERR03G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEVTREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEVYVASIKEYRSRIETELSKICDGILKLLDSHLVPSASAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALAELPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >LPERR03G26220.1 pep chromosome:Lperr_V1.4:3:22998049:23006040:1 gene:LPERR03G26220 transcript:LPERR03G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAAAAAAAGERDRSPPPPPPPPPSSASATAMSSPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASPDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKESEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATSSSDYVDSNDILESSLNAKEKIISELNAELRNIESTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVSEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRAPRKYAEDIESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSSEDGVDGK >LPERR03G26230.1 pep chromosome:Lperr_V1.4:3:23009891:23012539:1 gene:LPERR03G26230 transcript:LPERR03G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAALGARTARACDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASSASSPGSSPRSASAAAAALEDPDAPAWLHGLKRRPRTPRPSKPGGGGGKHDATSAATMNAASAVPDVEAAEDSGIVGEIDHEDVVEEEDDEDLLYRVPVFDPMLAELYNPTMADDSHIETVEHKPASRFAVLPFSNPSPADADGLSGFDVPDMELASFAADMESLLMGVDDGFDDLGFLDEEKPQVKFDLDMDFGSISPPAPAPEREDRKRKRPEMILKLDYEGVIDSWLRDGASPWFHGDRPHVDPGESWPDFSAGGRGGLGMGVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPSLPPLPLPLPRQHPHPPPRALPPMMLAPRGGAHGRFRF >LPERR03G26240.1 pep chromosome:Lperr_V1.4:3:23014313:23030020:-1 gene:LPERR03G26240 transcript:LPERR03G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGSSPAPPSTPSVAAPPPQRASRAHLHSLLALARLLPAGAGAGSSPSTPPPPLLSFLASRAFLSPSFWPQSFAPAPFLSRLLPLLAAAPASPSLSSALSGALVAALDVADPASAPLARAFLSAAAASPPTLFPADAAPVASRLLLEFAGSEEAPPKAKGKGDYVAGEENGGVREVVLRFEEEEVEALERKEVAFRLIVHMLGGEGGLEAEKVAKVRNAAARQVRSLSEFLKIRKRDWREQGVQLKTRINTKLLCCQAAVVVLVRSVSAMDADSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPNLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTMPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPTKPVSTSLNTMESISAIALQAVAGPYMWNSQWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSSNEKAAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVGHRAFETVLSWLEDRVCDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYTPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSTMGGLNTGMPPLGTQSAQPHQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQATALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFAADMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHYLQNERPSGSADSGSKSQGREGEVNMPDQIHPVWGSVDNYVTVREKRKQLLLMLSQNEADRLEVWAQPINTKDTSTFRGKISSDKWVDHARTAFAVDPRIALSMILRFPTNTALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGGECVPEESKEAVVPKTTAFHSLLPAVREKIVDSFTPDARDMFEREFDFFDQVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVIDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPEGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVQLMVDSGLPCFSRGDPIGNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >LPERR03G26250.1 pep chromosome:Lperr_V1.4:3:23032547:23039723:-1 gene:LPERR03G26250 transcript:LPERR03G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQYVHLGKIGAGSYGKVVLYRSTKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGGLGEATSRNYLRDIISGLLYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVAEHPWVAGDQGPVVEYFCRCGFGRRKRNDFQGEVQ >LPERR03G26250.2 pep chromosome:Lperr_V1.4:3:23032547:23038660:-1 gene:LPERR03G26250 transcript:LPERR03G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDMGCCSCFGFLRKPRVPVSRPRDADGILSEDLLNHQSTIEDPDGSFYTGDDPDRSFYDRVVLDRSFYNGDGPDRSFYDGDDPDHYGSDDGQPRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGAGSYGKVVLYRSTKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGGLGEATSRNYLRDIISGLLYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVAEHPWVAGDQGPVVEYFCRCGFGRRKRNDFQGEVQ >LPERR03G26250.3 pep chromosome:Lperr_V1.4:3:23032547:23038660:-1 gene:LPERR03G26250 transcript:LPERR03G26250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDMGCCSCFGFLRKPRVPVSRPRDADGILSEDLLNHQSTIEDPDGSFYTGDDPDRSFYDRVVLDRSFYNGDGPDRSFYDGDDPDHYGSDDGQPRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGAGSYGKVVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGGLGEATSRNYLRDIISGLLYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVAEHPWVAGDQGPVVEYFCRCGFGRRKRNDFQGEVQ >LPERR03G26260.1 pep chromosome:Lperr_V1.4:3:23043430:23048104:-1 gene:LPERR03G26260 transcript:LPERR03G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYATQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENVPSLPEGKAQSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDATEVMANCGDGFDAEEGEANEEDGKEGGWDLEDLELPPEAETPKNAGNTRSAAFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFVPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVKEYILGLKMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLASAMALCYKQKNFATAEHFARMLLENNPNEAQAKRARQVQQQCSGKQDSCELNYDYRNPFVVCGATFVPIYRGQKDISCPYCGSRFVPSTEGQLCTICELAVVGADASGLLCSPTQLR >LPERR03G26270.1 pep chromosome:Lperr_V1.4:3:23053003:23053463:-1 gene:LPERR03G26270 transcript:LPERR03G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAIASLAEENGSSQAAIARRIEAARGGGGGRLPPSHPALVAAHLSRMSAAGDLVAPRDPHAPPKIPRPRGRPRKNPLPDGMMPPPPRPASAAKPRPQFAEVGFV >LPERR03G26280.1 pep chromosome:Lperr_V1.4:3:23055103:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSETNQAITRCSRGVDLVHRGQDAERRESNLRRVPHTPQKRKSRACQNAMPPPPPLAAGECSSSLAGSWAELMGLEDFVVFAAGLAR >LPERR03G26280.2 pep chromosome:Lperr_V1.4:3:23055103:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSETRRTRISRRNTTPKALCIEEGGREGGERERPLALRLKPQRGQDAERRESNLRRVPHTPQKRKSRACQNAMPPPPPLAAGECSSSLAGSWAELMGLEDFVVFAAGLAR >LPERR03G26280.3 pep chromosome:Lperr_V1.4:3:23057362:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSETNQAITRCSRGVDLVHIEHFKM >LPERR03G26280.4 pep chromosome:Lperr_V1.4:3:23057362:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSETNQAITRCSRGVDLVHIEHFKM >LPERR03G26280.5 pep chromosome:Lperr_V1.4:3:23055103:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSETRRTRISRRNTTPKALCIEEGGREGGERERPLALRLKPQRGQDAERRESNLRRVPHTPQKRKSRACQNAMPPPPPLAAGECSSSLAGSWAELMGLEDFVVFAAGLAR >LPERR03G26280.6 pep chromosome:Lperr_V1.4:3:23057778:23069738:-1 gene:LPERR03G26280 transcript:LPERR03G26280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPAVGGAAAAGSPAASSSSRSDKKKPKSETPRKRDKLFGSASSSGAASAAAASKGASSGVSSPSGDGRKGAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRLAASLGSSNLSRGQAQADPSAASAGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGAAAASLEGKSSVKVKTNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVVDPRDKIIQSADRQILAQSGADDTITRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDVKKEPESPTRERMSSWKPFPSPVAKPPKDPTPIKEESPNKKTDTPPAAVSSRVESNSPVESTSHHSLPPKHQHKTSWGGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDCLQEADSTISLDDIKNHPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINENEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTPSTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEARDLIDRLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEYEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFEPGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSET >LPERR03G26290.1 pep chromosome:Lperr_V1.4:3:23055156:23057866:1 gene:LPERR03G26290 transcript:LPERR03G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCADHLHLEVLYLHTVTPTKSWWHPRPLKCEKRREKTALLGDSFQLMVKGCFIDGDTAVVSIQATSKLYSAQRDVGTTSIGTTHASRLSSATFAITTGLNHETALQYNIGHRTGILTCTRSTPREHLVIAWLLQMNKLRYNTNCYVSDFVGGSSFNPLESKS >LPERR03G26300.1 pep chromosome:Lperr_V1.4:3:23073741:23075700:1 gene:LPERR03G26300 transcript:LPERR03G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWALRRLSLSGADDSASWPAASSSSFTPVPRACRSALAAYDADTEPAATSRRLCPPYRVTHDRERGEVVVAVRGLGLARVDDYRVLLDAGGPEPFPGGGHAHRGLLRAAVWLLDREAPAIGRMVAGGGCTRLVFVGHSLGAGVAALAAVVAVRCWLGRLGLHRGDVRCYAMAPPRCMSLGLALEYADVVHSVVLQDDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPKVRTAVPAEGRFEHVVLSCNTTSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVQETKSLAEHRLNAMEHVVLIQEGNNSREDDALSSSSSFSSPITSTTSLRSESSLTRSEWDELVETFLHDSEEDGDSGRNMCNSAACCLPCCKWSVA >LPERR03G26310.1 pep chromosome:Lperr_V1.4:3:23076472:23078918:1 gene:LPERR03G26310 transcript:LPERR03G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKSDYESVREARISENMARMEMLGLRRCASELSAIASASAASRRGAGTPRKTPRPPRVLTPLRRSGRLLAVAAAASPAGSASGRRRSARLSGQATEHKALPLRGSLSKFAAEETEEDEEEEEEEEEGKAVMVIDKERVQILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGNLNKPCIGKTECSSCHSSYGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGVKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >LPERR03G26320.1 pep chromosome:Lperr_V1.4:3:23079993:23082727:1 gene:LPERR03G26320 transcript:LPERR03G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFAPAAAAAAAALRRFSHLRPPDPRVAFLRSEFDGRGSNQQWPPAREVVEEGEQRGGERARTTPAAVDIAHPWPEWVALMEMLLQKGHLEPSVFAGGEAGAPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRCGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGRCERAYVKARKEEIGRTMDVMRILLTYGLDTITGNVENRACLSKTVKESIKTLLNEIIEFDSMGPGSSTEKTARRLPKGLPSVPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFDERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRLLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDDIESQRDLDHNKHPAISFVQDGIQSRRQILQNKAPLSEEDSDFWSSEEEAYHSADDMPPIQKDYKFLESFPIIGGRNAMSQDPLEREKWKEEMSKRNKGLLTKVSEESNRPSSPVRIPKSIEQIESGDDDDDISSWFSGGASNINLKKE >LPERR03G26330.1 pep chromosome:Lperr_V1.4:3:23085170:23091022:1 gene:LPERR03G26330 transcript:LPERR03G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGWRASSVSPRREVDRRNRVTVTEMGLVEKAEVGRKRAEFRRRSCYHRFLYSSGGEAAKQQAELEEEDGFAMGSEGSAAVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMTCVHQETGMVEPSLFPMLGNWQREHTMQDILISLKKEMSAPQNRRLHQPHDGNWCVH >LPERR03G26340.1 pep chromosome:Lperr_V1.4:3:23098234:23101079:-1 gene:LPERR03G26340 transcript:LPERR03G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRGVMPAPPAVALATLGVLLLAAAASTMADDLAGDGRALLAFRDAVGKHLAWNGSDPATACSWTGVTCEGGRVAVLRLPGAALSGRVPEGTLGNLTALHTLSLRLNALTGALPGDLAGASALRNVFLNGNRLSGEFPRAFLALPGLIRLALGGNDLTGAIPKEVGGLARLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRSMPRSAFLGTGLCGGPLGPCPGEPSPSPSPAGGQPASPRPANDGGKGTNEGGSGKKSKKLSGGAIAGIAIGSAIGAALLLFLLVCLCCRSGRTKTRSMEMPPPSSAPPVVTGGGRKPPEMTSAAAVAPMATVGHPHVSPLGQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPTRASGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQSVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEKIKRSSERLEGRDQQQQQTSNLEEGDDQTSKPESAEGFNPFAP >LPERR03G26350.1 pep chromosome:Lperr_V1.4:3:23104407:23109749:-1 gene:LPERR03G26350 transcript:LPERR03G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRERYKVDSIVGPAPYDPRLLALYEDKSKLVGIDRPREEIINLLSMEGEGEVASEQKLKLVSIVGPGGIGKTTLANAVYQKIQEKFDCTAFVSVSLQPNLKNILSSLLRQVTITVKDDPEDKDKSLHRRESQKHYGNTETWSEKELIDKIRHVLEKRRYLILIDDIWEEKPWKLIECALFENKLGSKVITTTRNIDVAKSCCSSDKVDGIIHELQPLSKGDSEQLFYYKIFSKDGCPTELKVVSKKILEKCEGWPLAIITIASLFANKPTQTIDQWNSVYDSISTGLENNDGVKDMRLILSLSYRDMPAQLRDCLLYLSIFPEDHIIVRDDLIRRWIAEDLVHGRQDDSLNELGHRYFDQLINRSMIQPSDLDAFGRARACKVHDLVLEFITFLSAEEDFVTILNGKQSFPPQPDSIHRVSLRNQNGEVGIPQATKRLPHVRTLVVSSHAIDSMPSLSIFPVLRVLELEQCTNSNIRGVENLVHLRYLRLSQAYHSHYDTLDLKEARIKEFPSILVQFRQLRLLEISVCKCDKTCEKRLLQFLCDVDWTPRHLQKFTSSLFPRKRIEHVLSREIVWAVTSPFSKLPRWINSSLSNLCDLSIMVRTLQQADLEILADLLILRSMDLEVVEATGTRLEINGSVGGTDRATAFRCLGNLKFASRVMGLVFRPGAMKNLQKLYLCFDVAETKDVHGDFDVGFAGLENLTSVRTLNVEIDCRCAMLWEVEAAEAALCNATNLNPNCPTLDLKRRFVAEMLHDQEEETPEHLQAKKKKDVALLSRVGPFGGSGGRARDIRVAPYRLENVTIGSRDCVEALAFSYTDHDGQQHSVGPWGHPAKNSTSTFSAAYYYYYSMF >LPERR03G26360.1 pep chromosome:Lperr_V1.4:3:23109767:23110150:-1 gene:LPERR03G26360 transcript:LPERR03G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASVPTGVLKALLPKLADMLTEKYKLHKGAKEGIRYIRDELESTQTALEKVLEVPADQLDKQVKLWARKMREISYDIEDTIDSFMVLADTDGDSGSSTTCCSCMSNNKSMLSRTYKARGHIANA >LPERR03G26370.1 pep chromosome:Lperr_V1.4:3:23110347:23112815:1 gene:LPERR03G26370 transcript:LPERR03G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAKMPTLDLSTLSGRNVLGDVINLSAAEVILMHTSRCIIDVVDAFKQNIEAEGLQHKYGGI >LPERR03G26370.2 pep chromosome:Lperr_V1.4:3:23111531:23112815:1 gene:LPERR03G26370 transcript:LPERR03G26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAKMPTLDLSTLSGRNVLGDVINLSAAEVILMHTSRCIIDVVDAFKQNIEAEGLQHKYGGI >LPERR03G26380.1 pep chromosome:Lperr_V1.4:3:23128622:23129448:1 gene:LPERR03G26380 transcript:LPERR03G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRHHPARPAAITLQISRRIHIPWWGPSPDWSLRHQTSQSHRRRASPKNARWPPRLELIPQHLVLRLSSHCAVSIHRRRRRIHPPLQ >LPERR03G26390.1 pep chromosome:Lperr_V1.4:3:23131236:23132167:-1 gene:LPERR03G26390 transcript:LPERR03G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGDGRVVQPTELAAQRLKEKDQSPASPSEKRRRDARAPRLVECARRHRRSAAPPPPDATPRLLPDASSQDFLFLDASVNLLPWPIQKTCNFIICPT >LPERR03G26400.1 pep chromosome:Lperr_V1.4:3:23133610:23140409:1 gene:LPERR03G26400 transcript:LPERR03G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADQVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQDSLMSTPAVSGVIRERVAADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESVTDKIFSNTKTITEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPNYDALHGVAGNYAKRIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFAFGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAEAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMQEYTGRSAPTVIT >LPERR03G26410.1 pep chromosome:Lperr_V1.4:3:23140825:23141921:1 gene:LPERR03G26410 transcript:LPERR03G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSRVPVSCLAVKHGADSDKPALFSISDGTAIHNNGGADIPGLTNDNAWVTPQGWILVRSESDAATFLQNPQDPDDKIHLPHLPHSLSSHCMCVISGKPRRGDCVVLLVEPDDDDDARFLWYCLLGGEDWARHEYDIGTQPDIRPGKEGLREKVPICNIAACRGKFYFNGTTETVGELEFTPTPMFSSIAIADPLPGGYGVLGVAKVFLVESDGELYMQWHGVEEIDGRAFLLASGFGASRPADSELRH >LPERR03G26420.1 pep chromosome:Lperr_V1.4:3:23146835:23149832:-1 gene:LPERR03G26420 transcript:LPERR03G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLVNLDLSETESTDKIIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGEDSEVILQPQAIFRDPFRKGKNILVMCDCYTPNGEPIPTNQRYSAAKIFSHPDVKSEEPWYGIEQEYTLLQKHINWPLGWPLGGFPGPQGPYYCAAGADKSYGRDVVDAHYKACLFAGISISGVNAEVMPGQWEFQIGPVVGIAAGDQLWVARYILERITEIAGVIVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADIKNFVWGVANRGASVRVGRETEKDGKGYFEDRRPASNMDPYVVTAMIAETTILWEPSHGHGHSHPNGNSASDDNGNSAREKSLG >LPERR03G26420.2 pep chromosome:Lperr_V1.4:3:23146833:23149832:-1 gene:LPERR03G26420 transcript:LPERR03G26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLVNLDLSETESTDKIIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGEDSEVILQPQAIFRDPFRKGKNILVMCDCYTPNGEPIPTNQRYSAAKIFSHPDVKSEEPWYGIEQEYTLLQKHINWPLGWPLGGFPGPQGPYYCAAGADKSYGRDVVDAHYKACLFAGISISGVNAEVMPGQWEFQIGPVVGIAAGDQLWVARYILERITEIAGVIVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADIKNFVWGVANRGASVRVGRETEKDGKGYFEDRRPASNMDPYVVTAMIAETTILWEPSHGHGHSHPNGNSASDDNGNSAREKSLG >LPERR03G26420.3 pep chromosome:Lperr_V1.4:3:23146835:23149832:-1 gene:LPERR03G26420 transcript:LPERR03G26420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLVNLDLSETESTDKIIAEYICKLPKWNFDGSSTGQATGEDSEVILQPQAIFRDPFRKGKNILVMCDCYTPNGEPIPTNQRYSAAKIFSHPDVKSEEPWYGIEQEYTLLQKHINWPLGWPLGGFPGPQGPYYCAAGADKSYGRDVVDAHYKACLFAGISISGVNAEVMPGQWEFQIGPVVGIAAGDQLWVARYILERITEIAGVIVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADIKNFVWGVANRGASVRVGRETEKDGKGYFEDRRPASNMDPYVVTAMIAETTILWEPSHGHGHSHPNGNSASDDNGNSAREKSLG >LPERR03G26430.1 pep chromosome:Lperr_V1.4:3:23155283:23157580:1 gene:LPERR03G26430 transcript:LPERR03G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPIRGLASAASAAAPLSGLTDALLATRLANHLLTTPHIPPALLPAAPLPLPVRLHVLRHRALPPTSKLSFFLASTPPSCPLLPSTFPVLLRALATHSPPLLDALLPFALSSSSDLLPDLLSALLSASRVDAALALLDAAPPHLLPRLAAAALPSLIASPDPISAVASIRRLLPIASHPPPVRATNRLLLALSKGNHYEDFRHVFDEMSRRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTYNSLIRALVVGARVVDALVAYDEMKSSGIEPDVFTYRAIVDGCCKSFRMDDAVRLFQEMRGSYGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHSTVIDGLFKNGRAEAACRLFYDLRRKGQLLDGIAYSIMVREFCKEGKGDQVAEAVELVKEMEERGFAVDLVTITSLLIGFNKSRRWDLEEQIVKFIRDSSVLPDALRWKSNMMAALRGPHDRGKDGTSIFSFDGNMDDVMSLVNPVVCTDKNEETPKDEPKDVWSLSPHLDHLSKHADHLNNSTMFTMHRGQRVQGLGAKTFDADMVNTYMSIFLAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVAGSIIGELSKKGVYMDIVMYNTLINQLGKVGKVDEANSLLEQIIGKGIKPDVVTFNTLININVKAGRLKEADKFLRRMISEGIAPNHATETILVFLDKEIQKKRQEAR >LPERR03G26440.1 pep chromosome:Lperr_V1.4:3:23157623:23161924:1 gene:LPERR03G26440 transcript:LPERR03G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASSTMSSSLSHSTKLQAAAVSSGSLETCVWDLGVLLIGLHRVSVNPSTTSVQMDTTR >LPERR03G26450.1 pep chromosome:Lperr_V1.4:3:23163749:23171285:1 gene:LPERR03G26450 transcript:LPERR03G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATGAASSFAVVSHSQRGRRHPTRVSAAAAATTAASSPEAAMAAAAPPVPMMRVAPETLQRQSGYLVRGRGAEGEREWAAKVEAEVGGDAGEGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSHEQLEKGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVITGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEHVGGFADGVAVKVVGEETFRLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEERGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELRGMVERMESSKLKTVDLTDNDLAKDHLRYFIGGRSEIKDELVYRFIFPERPGALMKFLDAFSPCWNISLFHYRAQGETGANVLVGIQVPQEEFDEFKSRADNLGYEYMSELNNEIYQLLLRDPKMQCR >LPERR03G26450.2 pep chromosome:Lperr_V1.4:3:23163473:23163920:1 gene:LPERR03G26450 transcript:LPERR03G26450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTPQKARHGGEEKKRKRRAHPENPPRSPVVGPHALDPPRHPRRPRHIISILCPTLLVTRDAFLPPP >LPERR03G26460.1 pep chromosome:Lperr_V1.4:3:23172200:23178829:1 gene:LPERR03G26460 transcript:LPERR03G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTESGQEYAEFLHSPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVAFYQQRGNKNLLSKILKTWFDLMLTRGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIKAKIPSIVAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVDMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >LPERR03G26460.2 pep chromosome:Lperr_V1.4:3:23172200:23178829:1 gene:LPERR03G26460 transcript:LPERR03G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTESGQEYAEFLHSPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVAFYQQRGNKNLLSKILKTWFDLMLTRGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIKAKIPSIVAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVDMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >LPERR03G26470.1 pep chromosome:Lperr_V1.4:3:23178096:23178714:-1 gene:LPERR03G26470 transcript:LPERR03G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAYCAHATATVSPSPCPSLPLRHHALPRAARALQRMHAVSIAASPRTNRRRGAVACGARRRVRYEEDEEDEEEEEYGHNEEMARLERYSEGTRDQALLVKATVDDEVEVVLVFKGFSSRLSGRTAADPAMSVLPERAIIQSVDVVRGPFDPTNIEYLEKDLPWDDFKSRLH >LPERR03G26480.1 pep chromosome:Lperr_V1.4:3:23179734:23183859:-1 gene:LPERR03G26480 transcript:LPERR03G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFARALRHSSPYLRSRAPPAGAAVAPADPEAPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKAVTWRDALAVVAEVLHQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPNPPADLRCNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHPFCSTLQSAKNPVIIAGSGLFEREDQDALFSTIETVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSAKFLYLMGADDVDLGKVSDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPAKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSATLLKK >LPERR03G26490.1 pep chromosome:Lperr_V1.4:3:23186297:23187331:1 gene:LPERR03G26490 transcript:LPERR03G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRLTQVNLSLHVPSRAPFQLEAAAAPRRCQPPPLTSSTTTPASRSSQFRLADFERVAVLGRGNSGTVYKVRHRETCALYALKLQHAGDAAAAEADLLGRVASPYVVRCHAVLALESASGDAALLLELADGGSLDGVMRRHGGAFPEEAVAEVAAQALSALAHLHARRVAHLDVKPANLLATTDGDVKVADLGIARVVAAPFAAAGAGTAAYMSPERFDPEAHGGGCGDPFAADVWGLGVTVLELAVGRYPLLLAGQRPSWAALMCAICFGEQPAALVADGEASLELRGFVAACLRKDYRKRASVAELLAHPFVAGRDVAASRRALRRLVAEASSPPSS >LPERR03G26510.1 pep chromosome:Lperr_V1.4:3:23198167:23199304:1 gene:LPERR03G26510 transcript:LPERR03G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIRERRLPQLHISLDKLPSCAGAFRAAPASTSTSSAARGFGELRLSEIEKIAVIGRGNGGTVYKVAHRRTSQLYALKVLHGGGGDAAEADVMRLADSPHIPANLLATTDGDVKVADLGIARVVAASFAAAVSRAGAFPEEAAAEAAAQSLSALAHLHARRVVHRDVKPANLLVTTTGEVKIADFGIAKVLPLAGDGGGAVASSYEGTAAYMSPERFDSELHHSGGVDPFAADVWGLGVTVMELVVGRYPLLPAGQRPTWAALMCAICFGEMMPSLPDGVASPELRDFLAACLQKDQTKRASVTELLAHPFVAGRDVAACKLALRRLVAGE >LPERR03G26520.1 pep chromosome:Lperr_V1.4:3:23207632:23211788:-1 gene:LPERR03G26520 transcript:LPERR03G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDLSVIITPVLNYQLPPDARKQSTGEKSSSTESAVRKAEDVVSSMLAKGFVLSKDALNSARSFDERHNILSNATATVASLDRQYGLSEKINLGRSIVGSKVKEVDERYQVSELTKSALAAAEQKASIASSAIMSNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAYGYESKPCASELGGVASYVPPQQ >LPERR03G26520.2 pep chromosome:Lperr_V1.4:3:23207364:23211788:-1 gene:LPERR03G26520 transcript:LPERR03G26520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDLSVIITPVLNYQLPPDARKQSTGEKSSSTESAVRKAEDVVSSMLAKGFVLSKDALNSARSFDERHNILSNATATVASLDRQYGLSEKINLGRSIVGSKVKEVDERYQVSELTKSALAAAEQKASIASSAIMSNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAYGYESKPCASELGGVASYVPPQLWVWGLTRGPLWAPVAAG >LPERR03G26530.1 pep chromosome:Lperr_V1.4:3:23213253:23218843:1 gene:LPERR03G26530 transcript:LPERR03G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRREAHAAGYGELGRALLDVQEAADQVFDAVSKRTAEERDKLSAISRRINAAKKLGRTSFLVQIINSLNSSSMAKIKTLSQSKGPLTIVSPAQHPSSSTNQEDFRPLFHDKYDHTSGRQSIATISVNGGFNREYGLEGTLELFQFFSEENCHYNSNEGRLKVTNKLSETKYDTYLGSLLDKSNFPTPQNISISSTDLKNEELPPPPPSLISKHLTKNQRSDDVRTKSSGSPAHSDIPGAENSM >LPERR03G26530.2 pep chromosome:Lperr_V1.4:3:23213253:23218843:1 gene:LPERR03G26530 transcript:LPERR03G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRREAHAAGYGELGRALLDVQEAADQVFDAVSKRTAEERDKLSAISRRINAAKKLGRTSFLVQIINSLNSSSMAKIKTLSQSKGPLTIVSPAQHPSSSTNQEDFRPLFHDKYDHTSGRQSIATISVNGGFNREYGLEGTLELFQFFSEENCHYNSNEGRLKVTNKLSETKYDTYLGSLLDKSNFPTPQNISISSTDLKNEELPPPPPSLISKHLTKNQRSDDVRTKSSGSPAHSDIPGAENSM >LPERR03G26540.1 pep chromosome:Lperr_V1.4:3:23221624:23222058:1 gene:LPERR03G26540 transcript:LPERR03G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHRWLHAFLCFFVLISALVHSSDCARQLSPGALPPLPASPVGSPTEKQQIIIAAAAGRDADVVAAPAAVVVGDRRDRGGEDDDALPRQGSSSTALVAPLLRRYSAPRRALAAAAEGAAVDGAAHPSCHSNNMQITCSPPSQN >LPERR03G26550.1 pep chromosome:Lperr_V1.4:3:23225287:23225664:1 gene:LPERR03G26550 transcript:LPERR03G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVVRCSYVSLWFFALTFLLVQSYSDGARPSPAFSPVRSPTEELVVVDTGIIADIEALAAAAAATVAVGDRGLRRRRSVALVTQARRLLVVGEASAGDGTGTDGAGPSCRSNNMHITCSPPSPR >LPERR03G26560.1 pep chromosome:Lperr_V1.4:3:23227888:23229453:-1 gene:LPERR03G26560 transcript:LPERR03G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPPGDLSLLQILVLLLPLYIYLSYLRSRYSKTNPSLPTEWPMVGMLPSLAANLHQLFDYVTALLAASGNSFVARGPPMSGQRFFLTCDPENVRHIFISNFNNYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHLMSNPRLLSFMAACCHAKVKEGLLPILAHVARAGERVDLQGIFTRFAFDMTAMPVFGVDTCRLSFDMPPVHVATAMDAVMEVGFFRHCVPVSCWKLMRWLGIGPERKLAAAQRVLRRFVDEMIEKRRAAASADTGDCAAAPFIVSSYIDDPEYVDEEGNPKDFMYATLINYMFAGRDTVGTTLAWLFYNLTKHPRVAAKIRDELSPIASLKANANDMVVFGPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPLYAMGRTASVWGEDCGVYRPERWVTEDGKLRHVPAHRFMPFNAGPRSCLGKDISVVQMKSVAAAVAWNFDFEVVEGHVVEPKLSVVLQMKKGLVVKVKKRDTIVPTKQ >LPERR03G26570.1 pep chromosome:Lperr_V1.4:3:23244150:23248483:1 gene:LPERR03G26570 transcript:LPERR03G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTLIGTLLAAVVWCAAYIAAAISSATSSSSSSSPTTSHAFPLSKTLVRSAAAMGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNICAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVPNKKDVEEYLNPEAHVLLSRLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFEPEED >LPERR03G26580.1 pep chromosome:Lperr_V1.4:3:23251633:23251920:1 gene:LPERR03G26580 transcript:LPERR03G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIKKGHDQVAALLLMFLLAAALHFHPLHARPVAAPGPLPPSSHDANIIGPAASQPPPAVVAARGGGGLPKRSSSPSGCTNFGPGGGSVCPPR >LPERR03G26590.1 pep chromosome:Lperr_V1.4:3:23256396:23256623:1 gene:LPERR03G26590 transcript:LPERR03G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVRKSMKLLLVLALVAAAAHGTRAARPAPGTRGGAATRAASRSLLSRPGPSCCTHDGNTVRNGCCPRPLPP >LPERR03G26600.1 pep chromosome:Lperr_V1.4:3:23257567:23264544:1 gene:LPERR03G26600 transcript:LPERR03G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSTTRQLSFDLLSRDLPADDDAAAVDDLSPRSLPETTSDGQRRRRRRRSKRKRGLRTPPIDEEPPPPAPSRRDVTVDLMMSSVSVAETVCQSSDAERSAASCVTYVGVGVELRQRNVSGSGRFVAREDAASSCGSSARESAAAAAAAAAAAAAVTEATPVAWRPDTNGGGKKLEKEESLDWERYMKENGNILGEVDRLDNSPFRYFLGELYGGNSLRGTISVGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFVRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNVTFELMRFLLDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLISLRGFTSQPFYCLYWLRISWKQRDHGLTNAFLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRKDLTFIPLAPACVVIRVLTPVYGSLLPAGPFIWRVFWILLWLVLTYFMLAIFKILVGLVLRCLATWYVNLRLKNKQHVD >LPERR03G26610.1 pep chromosome:Lperr_V1.4:3:23264345:23273087:-1 gene:LPERR03G26610 transcript:LPERR03G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVAGVPGDIVAVAAYAGRLLIAGKDGSLRIYASPDHHAAAADGEIPRDGGRSYELERHQASLWRRGTPVAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDGGREFVEVKEFGVSDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKIRSLRAPNALVQTVVLRDVQKLVETENCILAVLACSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMGQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLIDLPELARESSDLTDDMEPYSLQPHESDDKSQLEIKKMSHNSLIALVKYLQKKRNGIIERATAEVTEEVVSGAVHHSSLLSEPYRSKKPSKKRAQTHTSSIARETATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERNNYMVLLELYKSNEMHREALQLLNQLVEESKADMGKNDFNKKFNSQMIIEYLRPLCRSDPMLVLESSLYVLERNPTETIELFLSENVPADLVNSYLKQHASNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWYKILKEEGSWSEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKATKVKGGRTGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSLKRR >LPERR03G26620.1 pep chromosome:Lperr_V1.4:3:23276562:23279559:-1 gene:LPERR03G26620 transcript:LPERR03G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRALERLASWPTPTPTAAAEGKAGPCPPSATTTTTTSSSCSSSASASAKPAAAAEGNGSGARVYPLRDFPGREAAALGGAFRDNVRWLLKQWGGAASAVGGSSAWRALLSDDRTGAVVPIFAVEELVASSPAPLCYYCRWAGWSHHWVSKRKYHFIIPASAHWDHHLGADVLLGRNDHLLHGMIHSNGFGHLVMLRGRDGGSTVLSGCDIMDIWDRLCSVLRARAVSVVDFSQKRSLDLRLLLGVAYGETWFTRWGYCLAKGCFSVSTSTYAASMEALASLPVDYLRSRHVRRVVTIYRRLSNNPLVTVREFLRCLLDWKHREQPLSLPHVRACTRLQFMLPKPVMKRDRQQPCQRFEDVVDLLQCRWSKRRLLHAAEVVVDRLLEHGGGEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRLPNAETHLLHFSLEEFEEPALAPLDVEVGCTPSPPVVRWPSTVEVERDLRAVCQAMVEACSEAAQAVLDCKHWVKWWGLGDESDDQLRFFIKWQPKPSEAAVLIQPLPPGEIVVVPLHASMGELLVESEHALQDTYCFFEEFQAEALNGIAGEKWDPVMLGGAESGDTISVYGNGADMETELRCQGGPDLWEVRCVCGAQDDDGERMVACDACDVWQHTRCVGIADSEPVPPLFLCMLCGGALMAAGPSILEEALTLPEAK >LPERR03G26630.1 pep chromosome:Lperr_V1.4:3:23310399:23313866:-1 gene:LPERR03G26630 transcript:LPERR03G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVPASLAGAPLQSLWLNNQIGENQFNGSISFVSNMTSLQSLWLHSNDFTGPLPDFSQLTSLSDLELRDNQLTGPVPDSLLKLKSLTKVTLTNNLLQGPMPKFADSVKTVDVMPDTERFCLSTPGQPCDPRVSLLLEVAAGFLYPAKLAENWKGNDPCDSYIGVGCDAGNITVLNFARMGLSGSISQAVGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKVPTFAAKNVLVKTDGNPSIGKDAPAPTGSGGGSSNKSPDGGDGNPGSNGSSSASAGVIAGSVVGAVAGVGLLTALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNANGGATASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >LPERR03G26640.1 pep chromosome:Lperr_V1.4:3:23316259:23319247:1 gene:LPERR03G26640 transcript:LPERR03G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIIGGGHHQHYGGVVATRVAAVAHLLFLTTAVLMLVWLLRFRGGINIQSDDPEQIFNVHPFVMCWGFILLVGEAILAYTTVPMDHRMQKLVHMLVHLVALILAIFGVYAAFKFHDAAVAPDLVSLHSWLGILAVALFGLQWLFGFFAFWLPGTHERTRAAAAPAHVAGGLAIFMLAICAAETGLVQKSAAVASAGEAKLVNVTGVFILLYGVAVATAVALRKAFFF >LPERR03G26650.1 pep chromosome:Lperr_V1.4:3:23322852:23328435:-1 gene:LPERR03G26650 transcript:LPERR03G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLHQSHRVRNMLDLVISTSEKLVEIYEDKDNARKDEISNHLSAPVQAEIFPNFYDRLKEIRDYHRRNPSARFVSANDDFEELLKEEPTIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVDTFSHVEKIGQNLKTSRQYREYLEHVLEYLTSFLYRTEPLQDIEKIFSKLESEFEEQWVNGEVAGWENKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLERLDRKHFAKGSHNSVSNANTNGNNFKDNLKREIALMEVKMRRLCELLDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >LPERR03G26660.1 pep chromosome:Lperr_V1.4:3:23334207:23340437:1 gene:LPERR03G26660 transcript:LPERR03G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAVMETEEEVGKRRWWKLSTVAVVAWVLASAALWAGLHWRFRRTALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPVQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTTVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGSPSPSHTSKLEFGDPFRKHNMTCRYRNKLLVPWSAITTPSGVFVICMLVGYILYAAWSRYDNVKEDCRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSAKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDDATAMPSNVSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVLFHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGIASEVVGTIEPTFGVLSGRNGSSITSMGKKEPCMLLIESDSWGPKMDFSLHAKLQEMKQNDRIHVSPKVFLLSAAESDKIKSIYAVDSVIPKPLKASALAACLFQALGITQPSNEKRDDTGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEVKANGQVDNGNDPGSESMARTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPSMSS >LPERR03G26670.1 pep chromosome:Lperr_V1.4:3:23343624:23347595:1 gene:LPERR03G26670 transcript:LPERR03G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAALLSPPAAAAAPPSRRLAAPGATSFTFARRRGFVALGSVKGLGRQQLNCRRRSGSVVKASWSSPGSSSPESLPSSSSIAPLQMESPVGQFLSQILVTHPHLLPAAAEQQLEQLQTDRDAATENGGDKPTPSDGDIVLYRRIAEVKEKERKRTLEEILYALVVQKFVEADVSLVPALSHSINTSGRVDQWAESVEGKLEKLHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDKRFQLEKSMKTLPWGLEEEDMLNQVMTTDSRPSAQTSSSHPEVASWTSPSFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWNVESFVDSRYHFVAN >LPERR03G26680.1 pep chromosome:Lperr_V1.4:3:23346717:23349696:-1 gene:LPERR03G26680 transcript:LPERR03G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPKIQSAATPTVGEARDRRPSSLRVGVPSGPTKTLNLKQKFIAKNAVSMVEGESASESDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSPVHYDDKLEGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEIIAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGFEALNCFP >LPERR03G26680.2 pep chromosome:Lperr_V1.4:3:23346717:23349696:-1 gene:LPERR03G26680 transcript:LPERR03G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPKIQSAATPTVGEARDRRPSSLRVGVPSGPTKTLNLKQKFIAKNAVSMVEGESASESDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKLAHLKIQEYPCKQFKILDLLHHCLGFAWVYSALERHLEVVRSPYGVVHGKGSPVHYDDKLEGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEIIAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGFEALNCFP >LPERR03G26680.3 pep chromosome:Lperr_V1.4:3:23346717:23349696:-1 gene:LPERR03G26680 transcript:LPERR03G26680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPKIQSAATPTVGEARDRRPSSLRVGVPSGPTKTLNLKQKFIAKNAVSMVEGESASESDGVKQDTRPIIVIDNYDSFTYNLCQAHLKIQEYPCKQFKILDLLHHCLGFAWVYSALERHLEVVRSPYGVVHGKGSPVHYDDKLEGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEIIAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGFEALNCFP >LPERR03G26690.1 pep chromosome:Lperr_V1.4:3:23350828:23353858:1 gene:LPERR03G26690 transcript:LPERR03G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >LPERR03G26690.2 pep chromosome:Lperr_V1.4:3:23350854:23353858:1 gene:LPERR03G26690 transcript:LPERR03G26690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >LPERR03G26710.1 pep chromosome:Lperr_V1.4:3:23357003:23359408:-1 gene:LPERR03G26710 transcript:LPERR03G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGKPTAGSGKVSLSFPCVCPAIFQHTAQLIKPTLARPREGSGRVRLVLGVSSLSSRCPTALATERRWSAETLDKRRGGLRRGLAALRPAGADAATRGGAAREYTTQFLKQAS >LPERR03G26720.1 pep chromosome:Lperr_V1.4:3:23368189:23368887:1 gene:LPERR03G26720 transcript:LPERR03G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQERPREVYRECLRNHAAKLGTYANDGCCEYTPSDDSLLICAACGCHRNFHRKSPFPDAAAVSPHAAPPMLPSPGPPPPGYMHHPHHMAAAMGGGGGDGSGGSSGRRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRAPGDDDVARFCREIGVNRQVFKVWMHNHKAGVGGSGGGGGGGGGGAQTSSSTTRGGGSGGGGDLGVGLSPVMGGDGEDDEEVRGSEMCM >LPERR03G26730.1 pep chromosome:Lperr_V1.4:3:23374265:23376862:-1 gene:LPERR03G26730 transcript:LPERR03G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGRLGSWQTSHRIPALQAAVARSQRRTPHSESRRRHQTHIAAFPAAPPPSMARLHRGLSLSILRGGHPPRHPWPPAADAFFRRGLASSSSSSSAAAAAAEREKSSRRTMAYLVGVAAAMVGASYAAVPLYRRFCQVTGYGGTTQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >LPERR03G26740.1 pep chromosome:Lperr_V1.4:3:23377514:23379677:-1 gene:LPERR03G26740 transcript:LPERR03G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVDADRNGNSDSNEELKEAFSLIDKDGDGNYCKQANPVHCPFQLRDSMIRLENKTPDHDINEMIKGADLDGDGRISFEEFVRVMMDM >LPERR03G26750.1 pep chromosome:Lperr_V1.4:3:23382034:23382408:1 gene:LPERR03G26750 transcript:LPERR03G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSTGFLAIFLALNLLLSGAGVARGQSTPPASRGNPCPTNALADLKVCADVLVLLKLKINVPANQQCCPLLGNLVNLDVAACLCAAIRLSVLGIPINLPLDVPLVLNYCGRNASAAGANCS >LPERR03G26760.1 pep chromosome:Lperr_V1.4:3:23385401:23389254:-1 gene:LPERR03G26760 transcript:LPERR03G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYGMASIPLSRPGSGDTAAAEAAGGCGSLLAELRQLWGEIGKSREERERAVRELEMECMRVYRRKVDEATAERAQLHQSLAAGEAEIAALTAALGADSSPQLKVNKWTMSLNDRVSSATSLLEELRAMKAERSRQFIDIRSEIDKISAEISGRSYGYENSPRAREFDEHDLTIRRINEYRARLSSLQKEKSDRLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETSDPGKPTSISDSTLGSLSQVVAMLTSEKTKRAAMLREAVVPLVELWELMDSPEEERRSFRKVTTVLRQAREEALSSGVLSMATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGIKFRHCSGSVTDDMHFGWGMNSDNVSDVNGILLGLVNPSELMGSIDEQIAKAKEEQLSRKEIMDKINKWLLACEEEKWLEEYNLDESRFNTGRIARLNLKRAEKARLIITKIPGIIDNLMSRTLVWETERNKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKETMPHLKRPSSSFGRTAEPCNMSRKRVDAAPPSVRSSASSGSSGGGAADPFRPRSSAAGGSSAVHCGVRRLSSASSAAAFNYVAVSKGGGLSSSMLS >LPERR03G26770.1 pep chromosome:Lperr_V1.4:3:23392623:23397716:-1 gene:LPERR03G26770 transcript:LPERR03G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVKKVIRNPTALLRGAALNGFSAVTRSRWCPGPMSLRGGDLRWLRLYAAWLDSSTRGSALLASFGIIKA >LPERR03G26780.1 pep chromosome:Lperr_V1.4:3:23398467:23405768:-1 gene:LPERR03G26780 transcript:LPERR03G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGKKLINDPDDVVTEFIEGLVETYPGLQYLDGFPQRPFCDESLLECVQIKVVLRADVVRGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPIDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVDLQPIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSVKEDEILAEPQELSKQGCVLEAAIEAAAKEIINIKDSLNEWDSKVGDGDCGTTMYRGATTILEDMKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVDAVSKYGGASAGYRTMLDALIPACTVLKQALKGREDPATAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAMAAAAWYRAAAHAVKDKLHASDS >LPERR03G26790.1 pep chromosome:Lperr_V1.4:3:23412997:23415664:-1 gene:LPERR03G26790 transcript:LPERR03G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRILALLQPLALLLLLPFRGKKGKAVVLRVPAGSPIVAARRQASARREVAARRAREAGREYELIPTQRGETLFTQCWWPHASSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVLADNPGLPCFCFGHSTGGGIILKAVLDPEVESCVKGIILTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTGSHRNGPPVSRDPEALKSKYSDQLVFTGSIRVRTGYEILRLTAYLQQHLHRITVPMLVLHGANDMVTDPEGSQKLYEEASSSDKSLNLYSGLLHDLLIEPEKEKIMDDIVDWLSPRI >LPERR03G26790.2 pep chromosome:Lperr_V1.4:3:23412997:23415664:-1 gene:LPERR03G26790 transcript:LPERR03G26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRILALLQPLALLLLLPFRYCSPRRVARRPTTCASAPASAASSGKKGKAVVLRVPAGSPIVAARRQASARREVAARRAREAGREYELIPTQRGETLFTQCWWPHASSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVLADNPGLPCFCFGHSTGGGIILKAVLDPEVESCVKGIILTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTGSHRNGPPVSRDPEALKSKYSDQLVFTGSIRVRTGYEILRLTAYLQQHLHRITVPMLVLHGANDMVTDPEGSQKLYEEASSSDKSLNLYSGLLHDLLIEPEKEKIMDDIVDWLSPRI >LPERR03G26800.1 pep chromosome:Lperr_V1.4:3:23418667:23424806:-1 gene:LPERR03G26800 transcript:LPERR03G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELNGRGDLNGPTTQRRVAEPKEDDEQEGSEVGSNMGPRGAASVRSADSSREFIVKEIDVGALPNGSDGRKGLGIGPTQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVVEKRDRGVGTEQPSPVLEQKLSFGRGKGKVDVAGRNEVNEPSHSRDKNLVPEKEELLNGCTVKTVLPFPAENPSSSYHTTHHDGNERKDIKKSIDSDCVGKAAKGQLDEGNRQYYSGKSQENSDQVADRCFDLQLIGDTQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIGQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERSHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRANAKGVKQEQLSYGDNQKGILPSGAAFGDGGFSFPPPLHSAKNVELDVKPSWSKKVDMYSINDPDYCQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNARHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNAVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVSYLLEVNPKKRPSASEALKHPWLSFPYEPISS >LPERR03G26810.1 pep chromosome:Lperr_V1.4:3:23441099:23445029:-1 gene:LPERR03G26810 transcript:LPERR03G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTTAPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKSFSVIAFSENAAEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVNIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGAEQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVQEKSILRMQTMLSDILLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLHNAPTESQIRDIAFWLSDVHKDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDAIKPTKAASLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLIEESSVPIVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWQRDEVINKMLLGEVFDSSNASCLVKTKDAFVSLCIIINSALAGDETEKAPFSFFDRNGKYIECLLSVHRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVSVADSCHRQLNKILSDLDQDNIMNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGIRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDNKEQSDEGLSLAVSRNLLRLMNGEVRHMREAGMSTFILTVELASAPAK >LPERR03G26820.1 pep chromosome:Lperr_V1.4:3:23452149:23454296:-1 gene:LPERR03G26820 transcript:LPERR03G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEEPSYGAPAANPATPPPRAPGPARGPNAPRAGGGGPAKVLPIDVPAVALSELNRLTGNFSDRSLVGEGSYGRVYRATLSSGEVVAVKMFDNGGSAQSEAEFSTQLSVVSRLKSDHFTQLLGYCLELNNRIVLYEFATKGSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPSIVHRDVRSSNVLVFDGYDAKIGDFNLTNASPDSAARLHSTKVLGTFGYHAPEYAMTGQLNQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >LPERR03G26830.1 pep chromosome:Lperr_V1.4:3:23455860:23458780:-1 gene:LPERR03G26830 transcript:LPERR03G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAQLEAWESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNFSKTDLQGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTTWQGTCYLTPLLGAILADAYWGRYWTIATFSTIYFVGMAVLTLSASVPAFMPPACEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFFAGTSLYRFQKPGGSPITRVCQVVVASLRKWNVHVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATITDLDVKADSFKNPWRVCTVTQVEELKILLRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVSMARRFTGNPRGFSELQRMGIGLVISILSMSAAAILEIKRLEIARIEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGALEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVLCAIRYKSKKAA >LPERR03G26840.1 pep chromosome:Lperr_V1.4:3:23467011:23473162:1 gene:LPERR03G26840 transcript:LPERR03G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVSGSDDSVHSTFASRYVRTSLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLVAFSLKDNTRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDELPARIATNGGVVDAAALAAAASEREMDKQREVISLWKRAVLAKKKTNGVC >LPERR03G26850.1 pep chromosome:Lperr_V1.4:3:23476740:23478917:1 gene:LPERR03G26850 transcript:LPERR03G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVSIQRAERPRSSWKLELCTLQFLSPCRHLHLLYLILQASSSIIVNRENLLHHLLSDFSQSRTSYQGAEGVGSEFVADAHAVAAVGGQEWYDYAEPEGKTLFRGPRGLRVPPADQGGVPEEAVDPHEWDKDEGFDF >LPERR03G26850.2 pep chromosome:Lperr_V1.4:3:23477061:23478917:1 gene:LPERR03G26850 transcript:LPERR03G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWSCDRFPSVYPEKFPPEFAGALSFFRRFEIYLELFVHYIAADSEGAEGVGSEFVADAHAVAAVGGQEWYDYAEPEGKTLFRGPRGLRVPPADQGGVPEEAVDPHEWDKDEGFDF >LPERR03G26860.1 pep chromosome:Lperr_V1.4:3:23493834:23496007:1 gene:LPERR03G26860 transcript:LPERR03G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRNPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRVGGGTATGSSAAGAAKDESPRINNGDKTMTMTTRPDTNISSMAMASLLDKYRRECGAAGLFAISSHHNSKEDYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTSRQ >LPERR03G26870.1 pep chromosome:Lperr_V1.4:3:23505234:23529283:1 gene:LPERR03G26870 transcript:LPERR03G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEASSSADEVLRAAAAEVSTSSTTRRLRLFRHTLPHLLAKPSESPSDTALLVDLIFQTLPIYDDRASRKAVDDMVIQALGESTFMKPFAASLVQSMEKNMKVTSPLACFKLLRWSCTLLTWSQFAMVSKGAFTRLANAQVVLCQVLMDGSFRQRRTCKQLFTRLFSESIGTYKMYIDEIRDSRIPVRDSPAFLNIILEFTISSPSLYAEYKPMFLDLYVKTILGSKDRPSQASSEAFKPLFMDMGHEDFKNIVVPSCIRMLKRNPEIVLQSIGYLLNTVSLDLSKYCMEFMPVVLHQARHSVEERRIIALSTVGTLSGKSSDPDTLLSMFNAIKAIFGGSEGKLSLPYQRIGMINALEQLSRSPPKQISKLAPSLSSFLLTCYKDDGIEEVKLAVLSALGSWASVSTETVQPDVVSFIAAGLKEKDTLRKGHLKLMRAICKKSDSLTKVTSLLDQLIQLSKIGFTKVTQRLDGIYALFSVSRLAAIDTKADAAVLKEKLWILIAQNEPSLISVQLLSKLTDDDCLTIVDLLQSLLVEHLSRSFSSSMGPRIFFYAVITAAFECPDTSPSISDTDNTADSQLPFIPSTEVLVKCLLLVAPYAVHHSPRSYSRLLLCSHHPCISSSDRPAGVWKRLQRRLKQQKIFFIELISPNISVICMHFIGLPDRTLHDGFSENDIKIFYTPEGQLSTEQGIYVAEAVASRNTKLAKGRFRAYDDQDVDTARSGAPTKSERRESSSVGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDVLGELAISNPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFEMVMDLMPVTVEEDSKKKSSSGLFEQIVSGLKVACKTGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAVKCTPSHSVQRDLQVSTSLWIAAHDLEKVVAELAEDLWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENPDKMQDTLSTLFTLYIRDLGPGVEFGDTHWLGRQGIALALHSLGDVLGSKDLPVVMTFLISRALADPNADVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLERMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAATLRQSLEDRVSAKSREGGLLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLAALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPKTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDVDVQSSARKAAETVVLVIDEEGLETLIPELLKGVNDSQASMRRGSSYLIGFLFKNSKLYLADEAPDIISTLITLLSDTDKATVSFQLSTFETYLPTGQQAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGILCQAPFKLCIEKGVSMVALAYVTHTIPRPHHEVAGYADWHLYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCCSTSFPSLVDLLKDSLKDDKINNSALATHISILGPAIAESLKDTNTPVRLAAERCALHVFQLTKGTDNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDRRT >LPERR03G26880.1 pep chromosome:Lperr_V1.4:3:23529376:23533299:-1 gene:LPERR03G26880 transcript:LPERR03G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGSSNSSSGAGAASGSSSQPAGSSSSRAKKMDRVETSLKDASRELSFAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGIPGNDPTDCSMVFLYFLCSISIRTNLQKLFGFAPPRAAAAAGGGLFPMPDTKVN >LPERR03G26890.1 pep chromosome:Lperr_V1.4:3:23546138:23554940:-1 gene:LPERR03G26890 transcript:LPERR03G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIATGSSCSGSSLSGVEGGGAWVLLAAGGGGGRGSEGAALTAQPLGVAPFESGQYVRLLNRGRRLAGYGGYLFADESGKGVSIGGIREMVNTVWRVQVLETPGGAGADAYHVVLRGAYGRHLAASPRGGADGHIGYQVDQRPFDTMEDIRVMWRTIPVPGSGGDRDVVFLLNVNSSNGVIRALRANGKYRLWNTGVSLQPIDHTDARFSLMMEWEVQVVPMGPQRPPFQLRREARCFGLWPQESREIQLVVRVADDNGIVDDPGEEALSVPGRSLIKLGNALEQRFGGDFSLENISIFIRAGNLGRLFPLLTDLPRRLDNFDVVVYRAGTPVPGNMLRTGANAYHVVLAGAYGRHIAAAPRGSNDGHRVVCVATQDVFNTMEDTHVMWRTIPGSRGEAGEVVLLNENPATGSLMALRTSRKYRRWNRAVTLQPIDPNNARFSLKMDWEVQVIPMRLHRPPFQTREAARLPFQLARAATCFGRRPQDSRQIQVGIRVADHSGNINVPAAEIMSVPGRSLDELGNAVVRHYGNGFSFQNMSIFIQAGNLGRPFPLLTDLPSGVDDFDVVVFMVGTPGYHQLRFPDIGAA >LPERR03G26890.2 pep chromosome:Lperr_V1.4:3:23535054:23554940:-1 gene:LPERR03G26890 transcript:LPERR03G26890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIATGSSCSGSSLSGVEGGGAWVLLAAGGGGGRGSEGAALTAQPLGVAPFESGQYVRLLNRGRRLAGYGGYLFADESGKGVSIGGIREMVNTVWRVQVLETPGGAGADAYHVVLRGAYGRHLAASPRGGADGHIGYQVDQRPFDTMEDIRVMWRTIPVPGSGGDRDVVFLLNVNSSNGVIRALRANGKYRLWNTGVSLQPIDHTDARFSLMMEWEVQRPPYQLRNAARCLCFGQRRQGTGRIQVSVRVANHEGNYNDPREATFSLPGTGRSLIELERRFGCFNNDQPVSIFIRAGTHGQLFPLLTDLPSGLGNFKLVVFRAGTPGHDRLRFPDILAA >LPERR03G26890.3 pep chromosome:Lperr_V1.4:3:23534748:23554940:-1 gene:LPERR03G26890 transcript:LPERR03G26890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIATGSSCSGSSLSGVEGGGAWVLLAAGGGGGRGSEGAALTAQPLGVAPFESGQYVRLLNRGRRLAGYGGYLFADESGKGVSIGGIREMVNTVWRVQVLETPGGAGADAYHVVLRGAYGRHLAASPRGGADGHIGYQVDQRPFDTMEDIRVMWRTIPVPGSGGDRDVVFLLNVNSSNGVIRALRANGKYRLWNTGVSLQPIDHTDARFSLMMEWEVQVIPMRLHRPPFQTREAARLPFQLARAATCFGRRPQDSRQIQVGIRVADHSGNINVPAAEIMSVPGRSLDELGNAVVRHYGNGFSFQNMSIFIQAGNLGRPFPLLTDLPSGVDDFDVVVFMVGTPGSVVLAHRNC >LPERR03G26890.4 pep chromosome:Lperr_V1.4:3:23535929:23545301:-1 gene:LPERR03G26890 transcript:LPERR03G26890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSISSGRLFDTVQETHAMWRTFQRFDGDSDVLLLNEISPTGLVRALRANGRYSRWHRRVSQQPIDRYEPRFSSMMVWEVQVIPMSVQRPPYQLRNAARCLCFGQRRQGTGRIQVSVRVANHEGNYNDPREATFSLPGTHGQLFPLLTDLPSGLGNFKLVVFRAGTPGEKLRTELPPSSLLHTIILLSEIGS >LPERR03G26890.5 pep chromosome:Lperr_V1.4:3:23535054:23545301:-1 gene:LPERR03G26890 transcript:LPERR03G26890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSISSGRLFDTVQETHAMWRTFQRFDGDSDVLLLNEISPTGLVRALRANGRYSRWHRRVSQQPIDRYEPRFSSMMVWEVQVIPMSVQRPPYQLRNAARCLCFGQRRQGTGRIQVSVRVANHEGNYNDPREATFSLPGTGRSLIELERRFGCFNNDQPVSIFIRAGTHGQLFPLLTDLPSGLGNFKLVVFRAGTPGHDRLRFPDILAA >LPERR03G26890.6 pep chromosome:Lperr_V1.4:3:23535929:23545301:-1 gene:LPERR03G26890 transcript:LPERR03G26890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSISSGRLFDTVQETHAMWRTFQRFDGDSDVLLLNEISPTGLVRALRANGRYSRWHRRVSQQPIDRYEPRFSSMMVWEVQVIPMSVQRPPYQLRNAARCLCFGQRRQGTGRIQVSVRVANHEGNYNDPREATFSLPGTGRSLIELERRFGCFNNDQPVSIFIRAGTHGQLFPLLTDLPSGLGNFKLVVFRAGTPGEKLRTELPPSSLLHTIILLSEIGS >LPERR03G26890.7 pep chromosome:Lperr_V1.4:3:23546138:23554940:-1 gene:LPERR03G26890 transcript:LPERR03G26890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIATGSSCSGSSLSGVEGGGAWVLLAAGGGGGRGSEGAALTAQPLGVAPFESGQYVRLLNRGRRLAGYGGYLFADESGKGVSIGGIREMVNTVWRVQVLETPGGAGADAYHVVLRGAYGRHLAASPRGGADGHIGYQVDQRPFDTMEDIRVMWRTIPVPGSGGDRDVVFLLNVNSSNGVIRALRANGKYRLWNTGVSLQPIDHTDARFSLMMEWEVQVVPMGPQRPPFQLRREARCFGLWPQESREIQLVVRVADDNGIVDDPGEEALSVPGRSLIKLGNALEQRFGGDFSLENISIFIRAGNLGRLFPLLTDLPRRLDNFDVVVYRAGTPGYHQLRFPDIGAA >LPERR03G26900.1 pep chromosome:Lperr_V1.4:3:23558386:23559185:1 gene:LPERR03G26900 transcript:LPERR03G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGGGRGKAKSAKAVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIMPRHIQLAVRNDEELSKLLGTVTIAAGGVLPNIHSTLLPKKAGAKGEIGSASQEF >LPERR03G26910.1 pep chromosome:Lperr_V1.4:3:23559872:23560909:-1 gene:LPERR03G26910 transcript:LPERR03G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASPQSIQSAKAAVESLAAVLGGGALPDTLASGDDPAAALLHDGGVARAVVGRLRGAGSGVGNDGLCRWLYDAFQSNLPELQLAVLRFVPALAGVYMSRAVSRKQLAGFEAVLLALYAHAAAQRGAGEPETVALPNLANPSVYHDAKVPPKTKAAELDVAVLSPALEPHGTMRATRRARIVGAVLELYHGKLALMPISSKLDFCEFCVAWAGNRSKLDDKPRIAAPASSEPDGGGAAAATEEKWRRVPLPWELFQPAMRIVAHCLLGPTGSDELKAQAARAAECLYWRAAETMDARALLATRSLVRLSQMMEEPIPEPSFSGGVENMAELEAMRANILSTKN >LPERR03G26920.1 pep chromosome:Lperr_V1.4:3:23563758:23569704:1 gene:LPERR03G26920 transcript:LPERR03G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSSSAAAQGDAPAEAPRRRGGGGKRKSGGSSFTPSKRHAKERNTAAAAAAFHVPSHLLHSGPLTRAARQSPLKLAGSPAPEEAAAAAGGSGAGGGGDVIRLDGEGAPTEEMPLVDETFEAVRSRGAGVHVVPTFAGWFSWKEIHPVEKQMLPSFFNGKSEKRTPEIYLGIRNFIMLKFHANPQLQLESKDLAELSIGEVDAQQEVFKFLDHWGLINFHPFLPAGQEESKPEEGHSNSHSEEKTSLVEQLFKFESVQSYIIPLPKKGDLETPAPLPSLLPDPALVEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFDIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDTNQNIQESAEQALALAEKGIPEPEKMEVEEKAEGKDTTDEKPPEKTEGNCVAQTEDKNAIENKDADNSGGTDSVKSHSTNEPKKSSDADPIVKEIDADVDTSHVNASNFAIDTLKSAFEAVGYFPEHEGSFSDAGNPAAFLSGLVEDDTAINSCRNSLKAISEVSPALQLATRHCFILEDPPNDMKDMSGGASTANTDGDQTKEMDKSQNSIDGDVEGTNEKGEKVLPVEERKKTPASPKDHQDTDKKDECDEDHLADPKSNNSKESGDPVSLDKDAPNKTKGNTMEAHNAEIVKDKSSSEVDTGDDPSSEGNVEMNKTKDAVAIASTEQEPKQSETLENGKMEEPKSTEDVAADEQNGSKVSGNLTDSVTRLKRAAATAISAASVKAKLLADHEEEQIRQLAAVMIDKLYRKVETKVSFLTEVENMVQRTREFTEKTRKKLLMERNAIIAARMGSMPSRPNQPAAAGNRLPAGYGGPIIRPPNAMPRPSS >LPERR03G26930.1 pep chromosome:Lperr_V1.4:3:23578669:23584888:1 gene:LPERR03G26930 transcript:LPERR03G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLCRSVPTVHESETTGPRIEAQEGGRALVLPICEPPRYSYLRSTLTDMRDHRMVVAAVANGVKVEAAQDAGAGATATADSPTSVLEDEISEDKNGDASITAEAIKQVEDHLKVHVKQEKVDDFVDASSTLPVKEEEQVLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDRLHEARVKVEEEEARKREEAARLARDPNARFSKLDELLSQTQLYSEFLLEKMDQIADVESVEAQAEEEPVEEKKKGRGRKRKATSAPKYNDKKAKTAVAAMLSRSREDRSPEDSTLTEEERWEKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLHGPYLIIAPLSTLSNWVNEISRFVPSLTGVIYHGDKVARAEIRRKFMPKTVGPDFPLIVTSYEMAMADARFLGHHKWKYVIVDEGHRLKNSKCLLLRELKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEESEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTDHQRQIQNHLVEKTFDEYLNEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLEAAYDSSGVYPPVEKLLEQCGKFQLLNRLLCLLLARKHKVLIFSQWTKVLDIIEYYLETKGLPICRIDGGVKLEERRRQIAEFNDINSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGQIIKKAFGKLRLEHVVIGKGQFEQESAKPNVLDEEELLALLRDEQGEEDRMIQTDISDEDLLKVMDRSDLTGPPAAADATPLLPLKGPGWEVVVPTKSGGGMLTALTS >LPERR03G26940.1 pep chromosome:Lperr_V1.4:3:23586349:23589185:1 gene:LPERR03G26940 transcript:LPERR03G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRQLQLLPLLLWGFLQLVRLPFSASQSFIGINYGDVADNLPPPASTARLIQSTTITKVRLYGTDPAVISAFAGTGISLLLGAANGDIPNLASSPAAAAAWVAAHLPSATSSSPAITAVSVGNEVLFADDPTLASQLVPALENIHAALPPNSTVKVSTVNAMDVLASSDPPSSGAFKPDLAAALDPLLAFLSKTGSPFLVNPYPYFAYLSDPRPETLAFCLFQTNPGRPDAGAAGITYANMFDAQVDAVRAALDAKGYKDVEIVVAETGWPHAGGDDEAGAATVENARAFVAGLVSHLRSMAGTPRMPGKPVDTYLFAVYDEDLKPGKPSEKSFGLFQTGTLAETYPTGLVRNGTAAVAPALAPAPTAVPVRPTPAVASPAPARVPQVNPVQPGSAAAAGPSALCAPGTATTAARGAAAGCGKPSAAESSRIRTVSVIRILAGVWLLYLLI >LPERR03G26950.1 pep chromosome:Lperr_V1.4:3:23601943:23603211:1 gene:LPERR03G26950 transcript:LPERR03G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPPCPLTTDVLLQVFFHLDPRSIVRCAAVSKYWRLAVINNACQVRSHPERQADHRLLLGFHYREIYPSTLRFCARSSWVPSAGQHWSDDLPTPSFVPAASADGQPELYAQLACSEGLLLVCRGILEEISVYNPLTGFHATMPRFDEISTEAYFLHSVRGDELNPSANSFQVLAVEIECNGVLALQNYSSDIGAWGPVMRPNPVDVVMPNVVRHSVTAIECQGAIHWLCYKTFGKEQRPLELWDCTITHIVAVDLSTCSVWTTRLPEQCMMSYFSVSTKKMLMLATAEDGRLALLQKEDAGMEVAIWVHAENDKGRGNGGCDDGEASWVLARSFDVRKLVEDAGLAHHRLECKNWTDLEVRLEWFCRRSRCVVIWVPYLGLFIIDLERMQIQRASGDSQTHVWPYEIDLTVCYSFLKQFC >LPERR03G26960.1 pep chromosome:Lperr_V1.4:3:23611762:23614380:1 gene:LPERR03G26960 transcript:LPERR03G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSREHGDVKKHLRMTDEANAHSATIRLNITGRPIRLKMNSLLVNPNWTWQDEMKAWVASIHRDQYQQYDPKHRSFCSYRSSLAAPMAPDLVKYFEQEATNTCGRPLDALTKDEIDQLDDESVNVHYVKIIESDVGFPLNLYGTVLGEKLNLLGPYRGPCADYSIDFEVNLKIRGDKGESTDIIFSKNFIEVNSDSGKTKRYFSSWLSTLELAYTFIHCAVQVAIGINILKGLSNFLGIISACGTESHGDTMLYDSELHGTKIGIGHDGSIALSRNVVVLRVDEMLILTISLYDDNKSMSSPIVLTVGHGDKSIDIGKGSYELRVKLDWSCIRGQD >LPERR03G26970.1 pep chromosome:Lperr_V1.4:3:23625226:23625840:1 gene:LPERR03G26970 transcript:LPERR03G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAQVDAVRAALDAKGYKDVEIVVAETGWPHAGGDDEAGAATVENARAFVAGLVSHLRSMAGSPRMPGKPVDTYLFAVYDEDLKPGKPSEKSFGLFQTGTLAETYPTGLMRNGTAAVAPAPAAVPVRPTPAVASPAPARVPQVNPVQPGSAAAAAGPSALCAPGTARGAAAGCGKPSAAESSRIRTASVLRIFAGVWLLYLLI >LPERR03G26980.1 pep chromosome:Lperr_V1.4:3:23626258:23631283:-1 gene:LPERR03G26980 transcript:LPERR03G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGGLDAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQRPASMADAQEFFKPLNDVMAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKELYMPGLRDFVKKYYPLGPSWGPVGGAPVSQPKSTAPAPKAPGAKGPPPPPPALPSAPLFSTEKSPKSEKPKEGMSAVFQEISSGKPVISGLRKVTDDMKAKNRADRSGVVSNSTAAPAAAPEKTSRGGSFSFKSGPPKLELQMGRKWAVENQVSKKDLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKLGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSNDSLGASITSAKSSEINVMVPSSATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >LPERR03G26990.1 pep chromosome:Lperr_V1.4:3:23637957:23639693:1 gene:LPERR03G26990 transcript:LPERR03G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQRHHHHHLPPPMPMPPHHQSKHHHHHHQMPPMPQAQGAAPSHGQIPAGLSYGGGAAASAAWPAGEHFFSDAFGAASAADAVFDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTTPPPPPPPPVPSPSPTHAAAAAAAAARPESTPALLPQAAAATTQAACSSPSSADASCSSPILQSLLSVSRAAEADPGLAAAELATVRAAATDAGDPSERLAFYFADALARRLACGSGAPPSAAPDARFATDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPARIRITGVPSPFLGPQPAASLAATSTRLRDFAKLLGVDFEFVPLLRPVNELDQSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSVFESLDVAMTRDSPERVRVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVRLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >LPERR03G27000.1 pep chromosome:Lperr_V1.4:3:23643172:23645347:1 gene:LPERR03G27000 transcript:LPERR03G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSSTGLGFALGRLGGRSGGGGGGASSPVVSARARRTISATPNSAAPTPGDQGVAMEQGNKQQHQPPPAQHQQQQPHRDAAGGQEEKRDRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPDYDTSQGSEVKEKEKARHLKDDKHAT >LPERR03G27000.2 pep chromosome:Lperr_V1.4:3:23643174:23644958:1 gene:LPERR03G27000 transcript:LPERR03G27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSSTGLGFALGRLGGRSGGGGGGASSPVVSARARRTISATPNSAAPTPGDQGVAMEQGNKQQHQPPPAQHQQQQPHRDAAGGQEEKRDRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPDYDTSQGSEVKEKEKARHLKDDKHAT >LPERR03G27010.1 pep chromosome:Lperr_V1.4:3:23651960:23656816:1 gene:LPERR03G27010 transcript:LPERR03G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSVLVREAINAVPSPGLEAPAAPDLAPLVVSQGPILAPPSKPPRGKGCWGNTRQILTRVGALEEGRLSSTNGHASDSPTVVLRPSLLQGVTFLAQNNLDRL >LPERR03G27010.2 pep chromosome:Lperr_V1.4:3:23651960:23656816:1 gene:LPERR03G27010 transcript:LPERR03G27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLTHQQLESLFWPKTIWTGYRLFWPKTSRVWNKSNRVEEYPIKARKVPCGKGCICGSRTSTKNRKRRELEMLTCGRSKGQKFECKHKKFQMHVT >LPERR03G27010.3 pep chromosome:Lperr_V1.4:3:23652139:23656816:1 gene:LPERR03G27010 transcript:LPERR03G27010.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAVAAVAWPILYAGFKAFFTPGSHFFGPKQSGQAIGYFGPKQAEYGTKATGLRNTR >LPERR03G27020.1 pep chromosome:Lperr_V1.4:3:23675408:23675876:1 gene:LPERR03G27020 transcript:LPERR03G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRLTDFFPVLVIDSKRDRVKPFPDPYRKALELTDASPDHTFIFEVHMKTASAIPAGVAANVPAVGLTPRNPEKVLNDEGASLLVKKFQDPKLLSILVELEPAVAVF >LPERR03G27030.1 pep chromosome:Lperr_V1.4:3:23676304:23677509:-1 gene:LPERR03G27030 transcript:LPERR03G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTMSKKRKRTAPAVVTAPELPEEIIVEILARLPVKSLLRFKSVSRGWQAIISGPSFIRTHLRCSASRREHDPSILITPNILLEQLPEDFEDGYWPANFNTHISFYQWDWQRGAPLARAKLMDARDFPAGEFCSISFFAHCDGLVLTPTDSKLYLFNPATRDAITLPDGHRSHNTHDAAGLGLDPVTGKYKVVQAFYRSMDPIRMGMEVFTVGGTCWREAATDPPYPITIGTTAVSVRGCYLFWCMDRERYPDAPCGLLRFSLRDEAFRVTVLPDSLDPAPGKVLPIELHGELCIFHVKEAVMVYTLSINNDDPDDSQWDLRYILSVDGMCVPMGLPNGGILLWGSRTIHRYEFSTEKLTPVCELDRIRYQGGRPAGWKNLYTFTLLPYTESLVRITAQ >LPERR03G27040.1 pep chromosome:Lperr_V1.4:3:23682609:23685815:1 gene:LPERR03G27040 transcript:LPERR03G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIHIDQAICVSHTSKENTILRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEIGLLQSHFGSSKLWIVDKPAPEDMVQAVKKAIDMLPSIDPQVMHLRVNFYPYLYHVEIWWKSNQFFLPQPMEGIEEVPDIGLVHAHLESVNDRCRTQSKGN >LPERR03G27040.2 pep chromosome:Lperr_V1.4:3:23682644:23685813:1 gene:LPERR03G27040 transcript:LPERR03G27040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRTVGYKVVFTDHPLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTILRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQNQHLKIWYKLWDDVAKRTEIVYDRAMQSSKTDLLERLPRYLTCGAWTGKLFCLVMMMIINYLLWCLLEYLQVNFYPYLYHVEIWWKSNQFFLPQPMEGIEEVPDIGLVHAHLESVNDRCRTQSKGN >LPERR03G27040.3 pep chromosome:Lperr_V1.4:3:23682609:23685616:1 gene:LPERR03G27040 transcript:LPERR03G27040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRTVGYKVVFTDHPLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTILRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQNQHLKIWYKLSRKLSICFLA >LPERR03G27040.4 pep chromosome:Lperr_V1.4:3:23682644:23685815:1 gene:LPERR03G27040 transcript:LPERR03G27040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRTVGYKVVFTDHPLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTILRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEIGLLQSHFGSSKLWIVDKPAPEDMVQAVKKAIDMLPSIDPQVMHLRVNFYPYLYHVEIWWKSNQFFLPQPMEGIEEVPDIGLVHAHLESVNDRCRTQSKGN >LPERR03G27040.5 pep chromosome:Lperr_V1.4:3:23682609:23684656:1 gene:LPERR03G27040 transcript:LPERR03G27040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRTVGYKVVFTDHPLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTILRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQFHLQRPFAKPFWKQQVVDC >LPERR03G27050.1 pep chromosome:Lperr_V1.4:3:23698261:23699877:1 gene:LPERR03G27050 transcript:LPERR03G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVLILTIPHKFAQNFGDKIQGMIKLKACNAGWAAFVSSHEINIGDFLVFRYIGNSQFKVKIFGPSGCVILSMFVRKPLMARQLSAPSRQKSGCVKASSHNAAKIGHDVQNMQGDLIGISSSSDNNLLKQWLTTERQNQLEKDGTDKCNEKMKTENAFSSKDDQETPAASGYVLSRSQIPLTEVHRMKIFSRKYANKYPPHEDQMLTLQRQGKRWQVQFYISKRNTRMLSKGWKKFIRDNELQIGDTCLFNN >LPERR03G27060.1 pep chromosome:Lperr_V1.4:3:23703243:23703629:1 gene:LPERR03G27060 transcript:LPERR03G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTSPASGGITPIDGDQIHARKTGGGGGVTAGSGCADVEGSNRAWPAVQLAGFSWIQRRLRVRGRPVAVVRTRLSSRLRRRRWQGAASQVPTAALRTVGDGGVDARAGYSDVAGSRSPWSTVLLS >LPERR03G27070.1 pep chromosome:Lperr_V1.4:3:23704461:23707811:1 gene:LPERR03G27070 transcript:LPERR03G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSVSSSRLTTLSSPSPHDAAAAASPPLSFPNADLILRLHLDLDPCPDEDDAGLGAGEDLRGPATSLDLHVSSSSLLRSRYFAALLSDRWSPAPSSTAGGGHGHLSLAVAAPYSCSHPFHAHVEVVRLLHTLDFAGAIRSPGDALDVLPVALQLLFDACVEACTRFLEAVPWSAEEEARVLELAPLLPADEASDLLARITPPPAASPSAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAVLNVRHLLWLIERMVDMRVADNAVMLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVATGETVVPRQVRMKLVKSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLVAAFKTWFRRLHKAPKIERPWNKC >LPERR03G27070.2 pep chromosome:Lperr_V1.4:3:23704461:23707654:1 gene:LPERR03G27070 transcript:LPERR03G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSVSSSRLTTLSSPSPHDAAAAASPPLSFPNADLILRLHLDLDPCPDEDDAGLGAGEDLRGPATSLDLHVSSSSLLRSRYFAALLSDRWSPAPSSTAGGGHGHLSLAVAAPYSCSHPFHAHVEVVRLLHTLDFAGAIRSPGDALDVLPVALQLLFDACVEACTRFLEAVPWSAEEEARVLELAPLLPADEASDLLARITPPPAASPSAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAVLNVRHLLWLIERMVDMRVADNAVMLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVATGETVVPRQVRMKLVKSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLVAAFKTWFRRAGRAPQGAEN >LPERR03G27080.1 pep chromosome:Lperr_V1.4:3:23708549:23709178:-1 gene:LPERR03G27080 transcript:LPERR03G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHTEDEEEEEEEEQQQRYGHGGGGGGRGSRFRASRRRRGGGGGSHQHLLLMDCVGNGGKEAGGGSSEETVPLPEYERLSQSARLPDDTDPLKSDTEPLTPPTPPAQKQPSTPTPKKPAKEPPSPPRSQQAKPAAWRLIEYVRNRNKSGLGGGGSSDGDSKSSDGEKDQAEVDGEDGGSKDKKDKKKKRSSWLPDPERRWPVQGFY >LPERR03G27090.1 pep chromosome:Lperr_V1.4:3:23711332:23712771:-1 gene:LPERR03G27090 transcript:LPERR03G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTPAPARRDADADAPTLRLVFLPFLSRSHFGPVAAKAAAAAAAADASAAATATIVTTPHFAALAPPNVAVHVAPFHFPGGHEDFSLLPSDVSPAAFFAAAEAALAPALADAVRGSSSAAAVVAVVSDAVLHWAPRVARECGVPHVTFHTIGAFAASAMVAIHHQREGEAPMPDAFHAVTRRAARGNEEAIAHVPLFRAAEADSFAVVFNSFAALESDFAEYYRTLDNGSPPNKVFLVGPSRAVAAAVTDGGERDPILQWLDGQAARSVVYACFGSTCGMGTSQLRELAAGLRASGRPFLWVIPSAAADAAVTTEKEERASSHGMVVAGRWAPQGDIVAHRAVGGFLTHCGWNSTLDAVAAGVPLATWPLRAEQFLNEAFLVDVARVGVSVREEVDGDTDEDAEAVVVTAEAVASAVGRLMGGDDDAAARRARAAELGVAARAAVADGGSSCGDWAELMSQLRALHRHDTEAPHARS >LPERR03G27100.1 pep chromosome:Lperr_V1.4:3:23719974:23724527:1 gene:LPERR03G27100 transcript:LPERR03G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFRKKHASRFDSDDAEQQEAKINELRAALGHLSSSSEKYCTEACLRRYLEARSWNVDKSRKMLEESIKWRIAYKPEDTRWPDISVEAETGKMYRANFVDREGRTVVIMRPAKENTSSHEGQIRFLVYTLENAILSLPDNQEKMVWLIDFTGWTLAKATPIKTARETLNILQNHYPERLAIAIVFNPPKVFETFYKLMKPFLDPRTIQKLNFVYQKDEESMKIMHKCIDPEVLPIEFGGKNNVPYNHEEYSKLMLKDDIKMANFWASDSKADHVNKVINEPSAPEVTPQSSLVAAKAS >LPERR03G27100.2 pep chromosome:Lperr_V1.4:3:23722397:23724527:1 gene:LPERR03G27100 transcript:LPERR03G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFRKKHASRFDSDDAEQQEAKINELRAALGHLSSSSEKYCTEACLRRYLEARSWNVDKSRKMLEESIKWRIAYKPEDTRWPDISVEAETGKMYRANFVDREGRTVVIMRPAKENTSSHEGQIRFLVYTLENAILSLPDNQEKMVWLIDFTGWTLAKATPIKTARETLNILQNHYPERLAIAIVFNPPKVFETFYKLMKPFLDPRTIQKLNFVYQKDEESMKIMHKCIDPEVLPIEFGGKNNVPYNHEEYSKLMLKDDIKMANFWASDSKADHVNKVINEPSAPEVTPQSSLVAAKAS >LPERR03G27110.1 pep chromosome:Lperr_V1.4:3:23725375:23728942:-1 gene:LPERR03G27110 transcript:LPERR03G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSPGRKKRHKNLKYILIGAIGGGVLLAAVIAAVLYCLVCSGSRRNTSRNDRRESGVRNTQLAASGTAGSAVNAGAQPSASPANLAKVGDSFGYDQLVEATLNFGDDRLIKHGHSGDLFLGVLHEGTSVVVKRITSSMARKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDSATSDWLDNTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >LPERR03G27120.1 pep chromosome:Lperr_V1.4:3:23743072:23747902:-1 gene:LPERR03G27120 transcript:LPERR03G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGGGKVAAAAATAAVAVAAVATEEEIVAAPEEKTVACFKKPAGEEEAEATYVERIKNYYNQFKETPAEKHWTCIKGKFRAAGEYVSQKSSSVFGKKKVEPVVKEDAAPGDESPAAVESQLKMESSKTQPQGSASSAPKNQAMTSCRKKKSDDATFMEDLKDHIDEFIHASMDEHKTCFKNTIQKMFGMSKIVAERSAEAKEAEVESALPLQTSVSR >LPERR03G27130.1 pep chromosome:Lperr_V1.4:3:23748593:23750774:1 gene:LPERR03G27130 transcript:LPERR03G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTMASPTSKNSHFKIVLGSSSPARREILADMGYEFTVMSADIDERAIRREKPEDLVKALAEAKAEAIKLKLHGEDISHARDQPTILITSDQVMVSKGMIRERPKSQEEAEEFIKGYSGDKAYAVNYVLVTNLNNGASKGGWDIPKVASHAKSALQFCDHAHSDEADFDPAEFVLISTEMNYKNHHICPCTYRFLQIYFHHIPDEFIQNVVKLGEMTFVAGGLKLTHSSVLPFIKQLVVSAQRIAFEDFRGSSLRSLSKNLKEQNKRFASRMSL >LPERR03G27130.2 pep chromosome:Lperr_V1.4:3:23748593:23750774:1 gene:LPERR03G27130 transcript:LPERR03G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTMASPTSKNSHFKIVLGSSSPARREILADMGYEFTVMSADIDERAIRREKPEDLVKALAEAKAEAIKLKLHGEDISHARDQPTILITSDQVMVSKGMIRERPKSQEEAEEFIKGYSGDKAYAVNYVLVTNLNNGASKGGWDIPKVKLGEMTFVAGGLKLTHSSVLPFIKQLVVSAQRIAFEDFRGSSLRSLSKNLKEQNKRFASRMSL >LPERR03G27140.1 pep chromosome:Lperr_V1.4:3:23759451:23760331:-1 gene:LPERR03G27140 transcript:LPERR03G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAPFDHPWQVKRPLFPSEKPKAFKAHEMFSFQGQAFWVDLGEGIVFCDCDELLSSGNDTVLFRYIELPLGCKAQPSKYQTIHCVGDSIRFVSIEGYNTACCRGVVLCMWTLILSSREWCKEGDICLGRLWEHEGFRVAGLPTHTKPVRPMLSSHEDGVVYFMLGDFYHDEDKKDFYVFSINLLTKAFVSSWRLPPSCCPHSFPQLIMGSDVFKHIGRNHICPTIIPRNVLDDEVLSNPFKRDCGGEVTKVLNVLPKRRQRDHDDCQSEDIAPPLIRRKIMS >LPERR03G27150.1 pep chromosome:Lperr_V1.4:3:23760472:23760759:-1 gene:LPERR03G27150 transcript:LPERR03G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDASPYRNSPFDSLKLLVRIGEDFPHPSSLSIRLDGGLDKDERHDFHSSVLLAGGGLIIISTCLPDGRNSKSYIVYDAAKASLAMIFALPMRY >LPERR03G27160.1 pep chromosome:Lperr_V1.4:3:23764747:23765058:1 gene:LPERR03G27160 transcript:LPERR03G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >LPERR03G27170.1 pep chromosome:Lperr_V1.4:3:23766163:23776502:1 gene:LPERR03G27170 transcript:LPERR03G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPAPSNPPPAPAETLAPTPPAPNPNPTPSAKAPAAAGGEEEEDDDWLLGGGDPVVGVDPTGDWADDEEEDGGGGGAPPPKLEAAGAAAAAAKPAAAFPAVEEADPLMGGVAGDSEAAIPGLSSSAAAGGAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDDGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGVEGERKEGGEPGKAVAVPGGRIGYSGGGQGFHPQHHSMFKYVRPGATPGAPLGGAPNATGQFRPPGPPGAFAGRGRGEWRPGAGRGMNKGFHSGYGMPPWGGSGRGFGGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHEISADSRNKTDNGHTDFNALGRVPTNMRPPVMTGRPIQVETGFGERLPSIDTRLPRMRESDSVIEGQSDDPLVDDITVDQTEKDSQGGDRRTHGAEEERTYTSEMNSSHSSALGKEEHKRRLPVSSEGDMLATDVNGCSSPSYRTRDSPHGVRSSKRNSRGLSSTREVESSNDVLLRQTASSKRNHDSQRENNPDEGSESKDGPDGSPADDDEVADKLSTDQIDGNDGSLALVDSAEVDGDDAISDPHSISETTDGDNLAHSGKKRKLTSRAEQSTGHNSSDQDELRARNSDNSRGKSGSSKDNQKRLEPGEEVLQDRRSRRVNDSRRHHDGEERNSRRKDEYLRDIKPDGEKSHLASRSREDTHHPYANRDRDMRGRSYDRVRETEIWQRREDSVHNRRAKEEDVRLEHSAEVGARNRNKMRPVDRIDRDEDPHARKRLDDGDWRGSRQRERGDVMNRRENIDDPHMKRKKDEENMRKMKPENEDMVHAQHGYRGRDDPNRRKRERDDGIDQKRRDDNARVREKADDRYHMKHKEDNWRQREREDRHRPKHDNTVTLQRDEARGSGRVGRILDDKLVSGGRKQDESRSAGVSKEAQERSRQNEPSRRDHGAEENSMQNRGRSDVHPRDDNPNNSERNSRQEKLNNTHENNRISSNAGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDMKGMSEQINNRDHAVSSKKGNPQRDSFGKQVEEDPMSDEENEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKPDVQAPTADELGKSETAAAGNSELKSGGDNGQTSEKTAEERDRHLDTVERLKRRSERFKLPMPGEKDAPTSKKADSEVQPPQIESASTDLEVKPERPARKRRWTGS >LPERR03G27180.1 pep chromosome:Lperr_V1.4:3:23777978:23779372:1 gene:LPERR03G27180 transcript:LPERR03G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSTDEIMDILGQAIHPQNMRYVVPRVLPKQLCLPPCSLKKELPTVLVETETTARKLSELPNDILMDIIAMLEIPDVLRAASVCSSWHSAYKRLLNLGQYKQSQTPCFLYTSQSLGDNIACLYTLAEKRTYKLTLPEPPISRSYLLGSSLGWLVTADERSEMHILNPITGEQFALPSVITTNQVTLISNRKGVLCKYRYSPHTAQGFTDSPMILPPDELRYFFNCKAFVFYERGYIVVLIHSPKDQLSFARLEHDKWTWLPPHSRFQDCTYKDGLLYAVTALGEIFGLISTPLKDYYGQDEGYFIGENLYCSGSVGRSAANLENGEDHREMGVGMMKLLAVELLADWGYRLDTGVLNLKDRSIEDVVSPRLWLKCTAPVLHVPNLTKMNSTFHK >LPERR03G27190.1 pep chromosome:Lperr_V1.4:3:23782123:23782410:1 gene:LPERR03G27190 transcript:LPERR03G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASMLFLSYHQLHRPAAAVEEEGSNGRVRVNLSSIALPFFARRTESAAEKPETRRGGGGVAGEVDREEPDSAAAASLESRFEEAVRLSCWSS >LPERR03G27200.1 pep chromosome:Lperr_V1.4:3:23782958:23787828:1 gene:LPERR03G27200 transcript:LPERR03G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCMHGELDKVYDTLRELEQAEGVKIDLLLCCGDFQAVRNENDLQSLNVKPKFRSMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGHYERPPYDEDSIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIQEKSFFEEEVKTRTLGSEPAARLLNKLKPPYWFAAHLHCKFPAVIQHGEDGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNSVFPLTRMPFRVWDEQINIQDDLQRVRNKLNARGAKPIDFVQTAASYDPSLRASNPSITVHCRNPQTESFLQLLDLPYMLDSLNSHGVDSNESSSQTGQPLDNDDPDDEDDPADDDDSRTESLKYIA >LPERR03G27200.2 pep chromosome:Lperr_V1.4:3:23783110:23787828:1 gene:LPERR03G27200 transcript:LPERR03G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEDSPLPISPLDVFLAGGVSSLLLCPPSARRNASVPAAQIAVEGCMHGELDKVYDTLRELEQAEGVKIDLLLCCGDFQAVRNENDLQSLNVKPKFRSMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGHYERPPYDEDSIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIQEKSFFEEEVKTRTLGSEPAARLLNKLKPPYWFAAHLHCKFPAVIQHGEDGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNSVFPLTRMPFRVWDEQINIQDDLQRVRNKLNARGAKPIDFVQTAASYDPSLRASNPSITVHCRNPQTESFLQLLDLPYMLDSLNSHGVDSNESSSQTGQPLDNDDPDDEDDPADDDDSRTESLKYIA >LPERR03G27200.3 pep chromosome:Lperr_V1.4:3:23783110:23787240:1 gene:LPERR03G27200 transcript:LPERR03G27200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEDSPLPISPLDVFLAGGVSSLLLCPPSARRNASVPAAQIAVEGCMHGELDKVYDTLRELEQAEGVKIDLLLCCGDFQAVRNENDLQSLNVKPKFRSMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGHYERPPYDEDSIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIQEKSFFEEEVKTRTLGSEPAARLLNKLKPPYWFAAHLHCKFPAVIQHGEDGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNSVFPLTRMPFRVWDEQINIQDDLQRVRNKLNARGAKPIDFVQTAASYDPSLRASNPSITVHCRNPQTESFLQLLDLPYMLDSLNSHGVDSNESSSQTGQPLDNDDPDDEDDPADDDDSRTESLKYIA >LPERR03G27210.1 pep chromosome:Lperr_V1.4:3:23788937:23793070:1 gene:LPERR03G27210 transcript:LPERR03G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEDSPGYALRATLSGHRRAVSAVKFSPDGRLLASASADKLLRVWNTSDFSPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGEPRLMKTLTGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQTRKVLQKLEGHTDTVIAVSCHPNENMIASGGLDSDKTVKVWVQKEEDQMEV >LPERR03G27220.1 pep chromosome:Lperr_V1.4:3:23822014:23824515:1 gene:LPERR03G27220 transcript:LPERR03G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAAAGEVDLVQQQYAAGEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFAGGVAAQAQSAAAAAPYYAGAVKPKQEMDAPPFSHPAKRSYDAMVADVAAAKVAAPTPAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQEQVKGLEEDARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGAEAAGLPEIEARVSDRTVLVKIHCENRKGALITALTEVESLGLTIMNTNVLPFTSSSLDITIMATAGEDFSLSVKDIVKKLNQAFKLSL >LPERR03G27230.1 pep chromosome:Lperr_V1.4:3:23827977:23831484:1 gene:LPERR03G27230 transcript:LPERR03G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR03G27230.2 pep chromosome:Lperr_V1.4:3:23827866:23831484:1 gene:LPERR03G27230 transcript:LPERR03G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR03G27240.1 pep chromosome:Lperr_V1.4:3:23838349:23844083:1 gene:LPERR03G27240 transcript:LPERR03G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCIVGGGDGDAAEQEVIAAAGVAEEEEEVVGQAPAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQILEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDQTSLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >LPERR03G27250.1 pep chromosome:Lperr_V1.4:3:23846186:23852686:-1 gene:LPERR03G27250 transcript:LPERR03G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNCMDTKHPATTRLGRPGARRSYRNARTHGGTVAGRRPGGRSISRASARTVGCCVPRHRHRQSSSPFRRARGWWCRVQPPSIRHGCRAIDERPPLHRIDSKSRGMEFSALLTSAGINIGLCALFLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSFERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKEKTHAHIPAESLNVFTIANLREGSRMLWVHCVALYVITISACILLYHEYKYISRKRLAHITGSPPNPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVDNAEKAYRKFVRVKFSAFGQSRRSNMSRCGLCGVRASSFQQYRNKFINSKKSDLSDPEIIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDLAPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQGMMQPDQIQQLFPSLNGMLKKPLFLKIVTGYLPSVVLLLSLYTVPPLMMFFSSIEGSISRSGRKRSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPKDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKFIFCYRDEPEYGYSFPYHTEVPKVLLFNVLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHTTLVLALVLTQIIALGVFTIKHSPVATGFTILLLIGTVLFNQYCTHRFSRIFNSYSAQDLIELDRDDEQSGRMEEIHQHLLDAYSEGSSNIDLSKSSKSGGAPTEMIMNDPAQDAQDSNQELCDTVKEVTGSIQEHAEEK >LPERR03G27260.1 pep chromosome:Lperr_V1.4:3:23856034:23857194:1 gene:LPERR03G27260 transcript:LPERR03G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQISLLVLVSVWLIYQVKHSYEKKAYHENEPSDLHKNDQNQGEIRLGRKDLPTKMEADSSTLDERIEDEENEEMEQEMKHDDNDEDPIDEPDLDKDDDLPEPGEHPAGKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSVEQDELLHRVQEKILYVDDASTAVPHDDQEAEHREEEARKAREKTFRGDDVSGSVDHDAQVTKPLPEEQLNSMDRIFEGTTNLSNGITFQVPADASSHPNASMHTTVSASNTGIAPVNLISNHTGSDQTNSTSLNGQQEQQTNSTAVLNNQAAELNSPPNGTLASTDAEKATFVDRDHDGNTGTDKAEEGDAHKDLDLSTKIMNKAISEDEVVLE >LPERR03G27270.1 pep chromosome:Lperr_V1.4:3:23858401:23863772:-1 gene:LPERR03G27270 transcript:LPERR03G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGLYGGVNRSSTRGFLAYVAAGAACAGVLACFVISAAEPDSGDGFANGGGGGLRLSSRSARVWPDLAFNWRVVVATIVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLLLGITIGVELSVIFPYWLITILIIILFIGTSSRSFYKGILMWRDETRILMETREREEKSKSACAATDVVIDPSYEEPLLSKPQPKEKSALETFLFNLKLKNILVLMAVWSSFLVLQILKNNSQSCSTFYWVINILQVPVAFSVFLWEAVQLCRESRARRLNGNSECVCEASIEWSPAQLIFCALCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLGRFPIPYAVYLICISILAGFWGQSLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTEKSIDMINNHEYMGFLDFCNETVR >LPERR03G27270.2 pep chromosome:Lperr_V1.4:3:23858939:23863772:-1 gene:LPERR03G27270 transcript:LPERR03G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGLYGGVNRSSTRGFLAYVAAGAACAGVLACFVISAAEPDSGDGFANGGGGGLRLSSRSARVWPDLAFNWRVVVATIVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLLLGITIGVELSVIFPYWLITILIIILFIGTSSRSFYKGILMWRDETRILMETREREEKSKSACAATDVVIDPSYEEPLLSKPQPKEKSALETFLFNLKLKNILVLMAVWSSFLVLQILKNNSQSCSTFYWVINILQVPVAFSVFLWEAVQLCRESRARRLNGNSECVCEASIEWSPAQLIFCALCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLGRFPIPYAVYLICISILAGFWGQSLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTEKSIDMINNHEYMGFLDFCK >LPERR03G27280.1 pep chromosome:Lperr_V1.4:3:23877556:23878178:-1 gene:LPERR03G27280 transcript:LPERR03G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYSSSLLLLLLVLSSHGLLHPTEGRMLHHQKINEPPPEVEKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQVPVAPREEDRSAAAAAGRFFFRAVSDRVADDESSTNYKPLNWRCRCADRRALDP >LPERR03G27290.1 pep chromosome:Lperr_V1.4:3:23880147:23885506:-1 gene:LPERR03G27290 transcript:LPERR03G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERGEKSRSLRRWLKAACLPRPGCFTVSAADEVSGAGAGAGEGDGAEASKPPPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNAAARTFDGVDVMGRRLAEEVLSVVKCRPELRKISFVAHSLGGLIARYAIALLYENATQIDAHEEHEKHVTDVSSNQPIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLGGSYKLEKMAYRISWIAGRSGKHLFLKDNEDGKPPLLLQMHQNFINDARYPHVVYVEKPKAQDIDFSDSLIYEPKTTIEMEDVMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >LPERR03G27290.2 pep chromosome:Lperr_V1.4:3:23880147:23885506:-1 gene:LPERR03G27290 transcript:LPERR03G27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERGEKSRSLRRWLKAACLPRPGCFTVSAADEVSGAGAGAGEGDGAEASKPPPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNAAARTFDGVDVMGRRLAEEVLSVVKCRPELRKISFVAHSLGGLIARYAIALLYENATQIDAHEEHEKHVTDVSSNQPIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLGGSYKLEKMAYRISWIAGRSGKHLFLKDNEDGKPPLLLQMVTDYADLHFIYCWLENIFNTLSTRTPQDVMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >LPERR03G27300.1 pep chromosome:Lperr_V1.4:3:23886890:23888932:-1 gene:LPERR03G27300 transcript:LPERR03G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDTGLPREGNGEAARRPAAFYSSVFAQIEEVGWDRLVSGKGDGGVSCLVFRVLDDQGRNHLLEITLPMNYPACPPSLMADVPYLPQLQWSKSSRLKDILCQFQEDYWSIMDEIDKVLWVVNPTKPAYAMSHCRIALGNKFHENLSTVLDFALPPPPSVNIEDDKQIDCGICYVKHLPIDDELGDHSGGTTDYTCENPSCSRSFHSICLRDWLRSITTTRQSFDVLFGNCPYCSEPVAVKITDR >LPERR03G27310.1 pep chromosome:Lperr_V1.4:3:23891507:23899741:-1 gene:LPERR03G27310 transcript:LPERR03G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGLGASGHGGHHHHHHQQQQQQQQQQHHHHHPWASSLSAVVAPPPPQQQSQAVTMAHTPLTLSTAAAGGNPVLQLANGSLLDACGKAKEASASASFAAVDVEEIKAKIISHPHYSSLLAAYLDCQKAWAPPEVAARLTAVAQDLELRQRTALGGGLGAAATEPELDQFMEAYQEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLSWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDAGLYRLG >LPERR03G27330.1 pep chromosome:Lperr_V1.4:3:23918203:23921388:1 gene:LPERR03G27330 transcript:LPERR03G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATESILSRQQQQQRPPWADEITTVSDGRRDDGDGDPLLRRIQSLTIAPPLLSGQSAASSEAESSLTDILVRKPSTSAAAASGNLNPNVMFELFSMYREWQEEKAKKISQKQEEIENKIETADALSVKLLQRFNYSVASMRSTSHNLAEVRPLQVEVGELKGRLTEVVSNCDALCKRIAAEGPESLRSSVEPFTTNKEEARDSETPDQN >LPERR03G27340.1 pep chromosome:Lperr_V1.4:3:23920550:23928636:-1 gene:LPERR03G27340 transcript:LPERR03G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATAPDPELDQFMEDYCKLLVDWKDELRKPLQEAEEFLRTVELELNSIKSGPPFSALISEDKAGFASSDDENEDGSGMEMEAAEDETIGIDPRSDDKELKRHLLKKYSGHLGSLRKELSKKKKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPSEEMQFAVMEAYHHQCQWRRDVLCRCPPLGSGGSSIGFVYPARSWRVAHIALIDLPLHYQELI >LPERR03G27350.1 pep chromosome:Lperr_V1.4:3:23953563:23955221:-1 gene:LPERR03G27350 transcript:LPERR03G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAAADEKPQQQLLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDLVSNPSGIIQMGLAENQLSFDLLEDWLEKNPHALGLRQEGNSGASVFRDLALFQDYHGLPAFKNALARFMSEQRGHRVVFEPSNIVLTAGATSANEALMFCLADQGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCSSADGFRVTRAALDDAYRRAQRQRLRVKGVLITNPSNPLGTTVPRADLEMIVGFVAANKGIHLISDEIYAGTAFSDEFVSALEIVAELDNPTDVSDRVHVVYSLSKDLGLPGFRVGAIYSSNAGVVSAATKMSSFGLVSSQTQYLLAALLGDKEFTKGYVAENKRRIKERHDQLVEGLREMGIGCLGSNAGLFCWVDMGHLMRSRSFAGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMERLRSFVESSSNVTRRAAAAAAVLPARSISCPLAIKWALRLTPSTADRKAER >LPERR03G27360.1 pep chromosome:Lperr_V1.4:3:23973143:23974150:-1 gene:LPERR03G27360 transcript:LPERR03G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRLLRGKSREIAGVAPTAAIVTATAAVLTGSELEGHYGYELAVGWICAIHDGCDDRRVVEPARRVLLAAARFPSHHAFPFPPFCSQPPPAPPTAATPAGAHSAGSISARRRWVAADPEGEGGGRLYYSTEEDLRLVPPPPLRQRHSVELREPPESVMSPDE >LPERR03G27370.1 pep chromosome:Lperr_V1.4:3:23978023:23979381:1 gene:LPERR03G27370 transcript:LPERR03G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRGARPRSQSPPGGFDSARRTRPRLEEESERGRGADKPSATRARSPSPPGGTDSARRKRPLLEEERGMEGMASALPDDILIEVFKRLAPLTDIVRCAAVFRRWRQVVSGAAGLPAPPPYFGFYRNYAPSPLPPFVPTAGIPLDLGDLPVSRPCGVVIVDCRGRRLLLRELGAGSASELRLLVCDPLRKTSVSLPPRFIAGHKVACCALLPEEGAAAFRVVVVLFGAAPAHFDILVYSSTASAWEATIGTGSLGKSMNPWQGPKVVIGDIVYKLQSEEDKYIMAVHSTNMTLSAVPLPNTGMLLYAGNHWIGKTEDGRLCFFALREQLVLVKWVLESNGNWAERTAVDLRLLMNPATVGDLSQMKLSAKIADQLRGCKLVSFGGFCEGNGALFFVMADWVVALDLTAWRFERMWRNTDESRPLGDVFPIEMMVWPPASHGDVSQKE >LPERR03G27380.1 pep chromosome:Lperr_V1.4:3:23985223:23991349:-1 gene:LPERR03G27380 transcript:LPERR03G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAVSRLRAHFQPQSHHPLPSSAPLRALSTRRGKRSSSSPTASSPAADSDEEGRGISLIPRDPERPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYHSDFAAQGAPPHLVVQNPSSRGRSHADKYFGPGTVDNIKCYLRASESEVDWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVRLLAQIEDVRRTRAIQRSNRKRHGNLFGQELVTVAVVGYTNAKYSENMSEGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIDEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDGIEDEIFLTEGEEEELFSENGVPTEESSFESLDDGTDSEYLSEENLEDNNGEASHKESREPSEMKAMSLESSSSSKDCFGELHGPEVISTDGCSSTQQMSTCHVKTSAVTGTGLQELLALIDKKLTERQTIVERSYGPFDRKWRPSCSMDGEKAAEQ >LPERR03G27390.1 pep chromosome:Lperr_V1.4:3:23993058:23998301:1 gene:LPERR03G27390 transcript:LPERR03G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDNRGGRAGGSKEQEQEQEQRPSKAWGILIFGLIGATTATFAVAQVRRSFDWVYTQLNKMQTTSWRNASSSSNRGSFRDDARKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERSKFRRGYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYPMSHHYTVLGLDRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEEQFKEVVKSYEAIKLERKNDAS >LPERR03G27390.2 pep chromosome:Lperr_V1.4:3:23992728:23998301:1 gene:LPERR03G27390 transcript:LPERR03G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDNRGGRAGGSKEQEQEQEQRPSKAWGILIFGLIGATTATFAVAQVRRSFDWVYTQLNKMQTTSWRNASSSSNRGSFRDDARKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERSKFRRGYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYPMSHHYTVLGLDRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEEQFKEVVKSYEAIKLERKNDAS >LPERR03G27390.3 pep chromosome:Lperr_V1.4:3:23993058:23998301:1 gene:LPERR03G27390 transcript:LPERR03G27390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDNRGGRAGGSKEQEQEQEQRPSKAWGILIFGLIGATTATFAVAQVRRSFDWVYTQLNKMQTTSWRNASSSSNRGSFRDDARKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERSKFRRGYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYPMSHHYTVLGLDRMLLEQKQWRFTLIKIKIIERLQKSSSRRLSNRMKQ >LPERR03G27400.1 pep chromosome:Lperr_V1.4:3:23998553:24000355:1 gene:LPERR03G27400 transcript:LPERR03G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSAPLRRRLCTAAAAAAAATPDPSLASSAELAYRLLRRHHADPKRLVAALSSSGLDPTSPPLLDAVLRRCGAASSLALHFYHWCSPSLPGPPLPSSLALLAKSFSRATSAPSPSLLAPLPAQLLSPSLLSPILRRLPPPRLIPFSISLLSDRPDHDRASIFLSLLESLTKTGHVVAAEHLVEELQLPLSIRHYTALLYGWCRMGKLDEAKQVLARMKAAGVAPDVVVFNTLLAGFVAEGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDSVAYGTLVTAFCKAGRISQGYEFLDTMSRVGLRVDATVYLGFFAAHEKKEQLEECLELMERMRECRCPLDLSIYNVVIRLACKLGEMKQAVTLWNEMEASELSPGVDTFAIMVNGLVGQGALVEACGYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWGCIISKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQVAAEITEKVRNMAEERHVSFKMYKRRGVRDLEEKAKAKRKKGRKRSRPRKADQRQSNRPADKTDLLDDFDDE >LPERR03G27410.1 pep chromosome:Lperr_V1.4:3:24002213:24003622:1 gene:LPERR03G27410 transcript:LPERR03G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPVLTLQVRKGRRGVETRQWCAGAVLRVGRVVTGNDIAVRDAGASQRHLSIEFLPPPAARWAVSDVGSSNGTLLNGVSLVPNVPSPLSDGDVIKIGESATLAVSIAPDSDPDLGPRRSSRQSVAAAAVVEKEKPPAVTRRGGRKNAAAEPTVAEEEEAEPEEIPVVTRRGRPKKAAQPPIAEEHEKGKEEEQEEKEVAVVTRRGGRRKAADPPKSEEHEKGKDEEQEEKDEGEENESVVVPRRGGRRKAAPEASLPPPPPRARSTRLAARRSKAVDAGLDEVGSEIAGKGRGRATRASAKKAENVILEDDDGGEHQERAMAAAEEQIVDQPKVTAATDGDEEEDKVEAVDGEVEENAKASEVEEAPVARRGRPRRAPKGKANAHAASDNAVEEEDGGKGEEVDRKEEGASAGRSTMETMTMREWFERMNEYLPRVINEAAEEALAALRERHRLIDEYISTLEDE >LPERR03G27420.1 pep chromosome:Lperr_V1.4:3:24006701:24008567:-1 gene:LPERR03G27420 transcript:LPERR03G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVEFASGDAVAWRAALAEYDGRLAALGKADLVEVDAFYRHDLPRLLHGRDPEPYLAKPELVRLLQWKLSRGKWRPRLMDFVNSLEDAVVEPASRKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGGEIFTPSDVERALWSSAVSSNSLKATPGDDLKKKSRAYGKRKRLP >LPERR03G27430.1 pep chromosome:Lperr_V1.4:3:24008817:24016521:1 gene:LPERR03G27430 transcript:LPERR03G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRGSRKHRKAAEQEVEDHDQVTVAAEAEEQVETAEEVDAAEAEDVEREGGAGGGEEEEEERPDAVDKGGEEDKEEEEKEVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTLVYLLPMLHELLKLSSEGRIWKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKDDVIPRNISCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEEKQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKEIKVFEDIENMLKDVEKIDTCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLTRAAMGIDKPRRRKRMGFKGGSGKSSDPLKTFSAEGKSQRRGRKEKDGEHDRRKRKKVES >LPERR03G27440.1 pep chromosome:Lperr_V1.4:3:24017270:24020482:-1 gene:LPERR03G27440 transcript:LPERR03G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLQWLTTLCQRARRNHFTSVAGELVGSCRVHVISLAGRLEFLNSKKMYSGQQSDQCPGPNSGKELLGVNWDSITMHQKMGYNSGPFGFQAYPMELEDRGGLYRSPNGTFCQNIQMSDDPSGAKKRKGIDDCIALLNPSASSRMQNVSDQQTEVSSQPERISMEEDNQKTSSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSTLVAHFNQGVLQPEMMCNISNPVDVLQGTAIHDISMVNQIPAMWEGLQNIPHMNLNSGATADSSINNAGSMKIEK >LPERR03G27450.1 pep chromosome:Lperr_V1.4:3:24025309:24031364:-1 gene:LPERR03G27450 transcript:LPERR03G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKPPGRHGGGANPYPLFATPRILPRRRRLALSGSADARRGPLAAAGEGPSGSPATEETVLVGVTDDGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLAGGDVLCLLVFSAIGRFSHGLSVVDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGKAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALVSLLFSTGQSQKDDVYRQGSPFELFELLTSLVRRCSSSRLLVRCSLQQQEEEKSSPPAAESTHHAGAGENGVANKASHHAGGGDGVVAEAGDDGGEKGRSKEEQQEVDWKSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDASPLAGLLRGLARDQLSREKERLELAEKTFKALDLNKLKSCFGYDTFFAVDVRRFADGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFGGFLSILAVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAVSAFIADGSFNGGDNALFIRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPIGRLEGGRIAQAVFGRGTAAVLSFAASVALGAGAIGGSVLCLGWGLFATFFRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYYSGDFLGAPFFRGGI >LPERR03G27450.2 pep chromosome:Lperr_V1.4:3:24029186:24031364:-1 gene:LPERR03G27450 transcript:LPERR03G27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKPPGRHGGGANPYPLFATPRILPRRRRLALSGSADARRGPLAAAGEGPSGSPATEETVLVGVTDDGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLAGGDVLCLLVFSAIGRFSHGLSVVDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGKAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALVSLLFSTGQSQKDDVYRQGSPFELFELLTSLVRRW >LPERR03G27450.3 pep chromosome:Lperr_V1.4:3:24025309:24027984:-1 gene:LPERR03G27450 transcript:LPERR03G27450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLVTSSLLYSSSSNTASSTRSTRSLRLFSKNHFKPLRGTSSSSRLLVRCSLQQQEEEKSSPPAAESTHHAGAGENGVANKASHHAGGGDGVVAEAGDDGGEKGRSKEEQQEVDWKSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDASPLAGLLRGLARDQLSREKERLELAEKTFKALDLNKLKSCFGYDTFFAVDVRRFADGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFGGFLSILAVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAVSAFIADGSFNGGDNALFIRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPIGRLEGGRIAQAVFGRGTAAVLSFAASVALGAGAIGGSVLCLGWGLFATFFRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYYSGDFLGAPFFRGGI >LPERR03G27460.1 pep chromosome:Lperr_V1.4:3:24032744:24035180:-1 gene:LPERR03G27460 transcript:LPERR03G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNDFYSNGQSLASVSPSPSPAAPRLGFRHVHAAMAAAAASISLPRVSLPPAARPTAGFRRGLPIPGRRGYLRLRGSPAAPVAAAAGSPSVPSPSPGTGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDSLYSDPGRKAYDLLGLYFGIGRTFFNPASVKVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKTPAA >LPERR03G27470.1 pep chromosome:Lperr_V1.4:3:24036520:24037928:-1 gene:LPERR03G27470 transcript:LPERR03G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAASLSLSRAPFAGASAPRATSRVKKAVVVVSGPPRRGRRLCGRHRSLTVSAAAGASAPVSPSPDVWDALGGVSVLAAGTGDPVPLTDLWDPTEGVAVVALLRHFGCFCCWELASDLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPIDSLYADPERKAYDVLGLYHGVGRTLLSPASIYSRLDSIKKKTKNYTLKGTPANLTGLLQQGGMLVFRGKELLYSWKDERTGDHAPLDDVLNVCCKVRAA >LPERR03G27480.1 pep chromosome:Lperr_V1.4:3:24055939:24059964:1 gene:LPERR03G27480 transcript:LPERR03G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGVVMAAVDGGGGGGGELPGSRLLKHGRGNAGDQEHGWRPPPAKQARSSGGDAAAAAVSEAVKVAAPFLLGASCSPGHGGEQMLSFSSSAASACASGGGGGGAAAAGGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPVYFGSSTLGWGSFQLGYSGNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMPAAVAAAAASATQPSAPAAHGGGAAAGLAVNHQQQQMKNYAVNTANPCSLQYSRELVNKHNENEQVQDSGSLSMLTSMNTRNTGSLFPFSKQQHNPFEVSNSRSDFGLVSPDSLMSSPHSSLENVNMLTSQSLNEHQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGAIANRDEVNQGEANWMPMFRDSLMGGPLGEVLNKNNNMEASNCLSASLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGISNLRDDLGSIVVNHPSIRLV >LPERR03G27490.1 pep chromosome:Lperr_V1.4:3:24061729:24066744:1 gene:LPERR03G27490 transcript:LPERR03G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSNPVFLREDGSGSTGPIKPATGGGYGPGSLHALVHGRGRDVADEDKLQPLPLPPSRRAHSEERGGATRVPAWHVGPTIVVRIRPPQQHDPFLAAYVACIKGKNAPPPPPKKKKQQQQPTKKKMKAKAVGCGVLWNGWEAGGKYTRVMSCRHDSAVTVMQGAAPLPAGGGGVGESPAHPTLNLSRLPVVSCVGYPFEVQAVSDIGIAFQGEVVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVRKGGSDVELLEDARLIAPQGIQWHVYAAFGECRKLSTLTESTRKVFSSIAYHFTIPSPRDIERWTRRLACREMYCQRIHGMLLNSPMQIADLQSVSQSKLAFLASAESPPVLSTLPKSCNEESGLLIVGPEGDFTEEEVNILKTAGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQ >LPERR03G27500.1 pep chromosome:Lperr_V1.4:3:24068695:24071480:1 gene:LPERR03G27500 transcript:LPERR03G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVIDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKHRSFSVFYHHRYLFHVTDQMGRKLTDPSLPEFIQRALVPFHRNGNGPSPKFTTCLGNVVGPGGPPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVVITNGDVDPTSSHHLWERIEGLVDAVLGAREKLTGERHWVKMTAPGEAGRVHTQRRLHQLMHDDRDYESGPAATPVDEGSFAMGGARVARGCRVVEETTRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMSYVVFHATVGSQGPLAIQEYYIRHKDGRTVDGSAERQKGVKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKEEAVGTFYLVTDSGGEVRAEALHAVRARVGKVGISFEVAKDAPGWTPVRKTSVPPAPPAEVAPAAKMPAAETQGQERPRSSLGSLLWSHLGKLSNNFGSIRS >LPERR03G27510.1 pep chromosome:Lperr_V1.4:3:24071647:24075774:-1 gene:LPERR03G27510 transcript:LPERR03G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLSIPDDEADDDPDASTATPSPSARIPHPPPMPPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGEGEASPSPSPSPSGEARYGAARAQTPPEIAGIRNDFAEIGGRFKSGISRISSHKAVSGFSRIASNFFADEDEEEDELLDAVRDDGEEEQREQVKFRMEEVAEHRVRHEVEDYEVRHDWEESVRHEVGDDEARHGWRESLRHEVDEDEARHRWEERPRHQDDDDETRHGWEERLRHGMDDAEIGHKELDDHELELETVRDEKEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDESDGPFSYFDMSDAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKNDAELLSTPQIVEARVMLMQCWQHQSKLETEQLFHRKDDFGMHSEEDTSKDIPEVFPYMQQQTASIIAITDFETEKHPIQVTEVAVVDKSVIKEQLTKDNRKTPNDLQETFDDDIDDWFDEEAELAGHTTIPIGDEEDVSFSDLEDDDGK >LPERR03G27520.1 pep chromosome:Lperr_V1.4:3:24081108:24082505:-1 gene:LPERR03G27520 transcript:LPERR03G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRTSPSVTRVVVLLAAMLLLLSMLSPSCRVSAARLDIGAARRREKQQQLHPVILIPGAGGNQLEARLTDEYNPCSLACRVWPVVRGRGGWFRLWFDPSVLVAPLTRCFAERMMLYYDRTTDDYNNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLATTLEKEAGYEEGHDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGGKPAILVAHSLGGLYALQMLARSPAPWRAAHVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASIIRDEQRTAESNLWLLPAPRVFGNTTLVVSRYHNRSYSAKNVTQFLRDIGFAEGVEPYRERIRPLVESLPEPGVPVTCLVGTGVDTVESLVYGEEGFEAGPEEVVYGDGDGTVNLASLVGPIEAWSDSPEQVVEVVELPKVSHSGILKDKSALDQILRVLDAINLNATSNSVYSSSQDVLY >LPERR03G27530.1 pep chromosome:Lperr_V1.4:3:24084924:24085370:1 gene:LPERR03G27530 transcript:LPERR03G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATTGTRGMRALAILGRCVRAPFRVLVRARDIYVSRMAACAGGGGRAPVGLVSAPRCQSHGFYRSAGGSTDDDVRELIRAATRAGMPPRVGPRSHSVAIGRIDEDEPCEFFGLDAAARALAKAPKSKSCTVGPTARTANRFGPAA >LPERR03G27540.1 pep chromosome:Lperr_V1.4:3:24090127:24090465:1 gene:LPERR03G27540 transcript:LPERR03G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHKGQPARGYYNYEECITYWRLHREKNPADLTPEEKIVKRQKIEEEQERQRRLSKEKARKDPNTVYPYGTWEHYFKTVEDRERKAKEEEKETRAREAQMETVRALVAELP >LPERR03G27550.1 pep chromosome:Lperr_V1.4:3:24093159:24097109:1 gene:LPERR03G27550 transcript:LPERR03G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRARRCSLLHLPRLATCILFLLLPLSCSASVITHLPGFNGHLPFHLETGYVGVDDETGTKLFYYFVESERSPSTDPVLLWLTGGPGCSGFSGVVFEVGPMKYVLAPYNDSLPQLVYNQYSWTQVASILFLDTPVGSGFSYAHDPRGYNVGDISSSLQVVKFLKKWFSDHPRYLLNHFYVGGSSYAGKGYIVGNPITGSKIDYNFNVAYSHGVGIISDQLYEAAVANCNGDYVTATNELCANALDAINKLISEVDQGNILDDKCVRATPKPVNDVSGHRTLLEDYIRLSEPSVRPTINCFSYRYYLSYLWMNDNTVREALKIKKVTHGISSAFAYMTIGGKMPANLKLEDTLHIQYLGTVGEWIRCKTGIPYAMDVASSIKYHFNLTTRGYRALVFSGDHDLMVPFLSTQAWIRSLNFSIVDDWRAWHVDDQAAGYTIMYDNNLTFATVKGGGHTSIETNPKQGFALGQRWLDNKPL >LPERR03G27560.1 pep chromosome:Lperr_V1.4:3:24100437:24108169:1 gene:LPERR03G27560 transcript:LPERR03G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCQRCRSPAALCRPFVLACPLIVILLSGAAAKVVTHLPGFDGPLPFYLETGYVSVETRAVLHFYYFVKSERNPATDPVILWLTGGPGCSGFSGLAYEVGPLNYVVVPYNGSLPRLVSNPYSWTKMANFLYLDLPVGASFSYAHDPKGYDVGDQSSSLQAVTFLKKWFNDHPQYVSNPFYVGGSSYAGKLTPIIANYILEVGNPITGSSIEENFRVPYAHGVGIISDQLYQAALENCKGDYVNPANKICAEVLNAIKKLFSEVSQGHVLEDKCVRCVHIAPKPINDGSGNRFLPEEHIQLHRPPTRPVNNCFTYKYYLSYFWANYNATRDALGIREGAGHIAVEYVPKRGFAMAQRWIDDMPL >LPERR03G27560.2 pep chromosome:Lperr_V1.4:3:24100437:24108169:1 gene:LPERR03G27560 transcript:LPERR03G27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCQRCRSPAALCRPFVLACPLIVILLSGAAAKVVTHLPGFDGPLPFYLETGYVSVETRGPLNYVVVPYNGSLPRLVSNPYSWTKMANFLYLDLPVGASFSYAHDPKGYDVGDQSSSLQAVTFLKKWFNDHPQYVSNPFYVGGSSYAGKLTPIIANYILEVGNPITGSSIEENFRVPYAHGVGIISDQLYQAALENCKGDYVNPANKICAEVLNAIKKLFSEVSQGHVLEDKCVRCVHIAPKPINDGSGNRFLPEEHIQLHRPPTRPVNNCFTYKYYLSYFWANYNATRDALGIREGAGHIAVEYVPKRGFAMAQRWIDDMPL >LPERR03G27560.3 pep chromosome:Lperr_V1.4:3:24100437:24108169:1 gene:LPERR03G27560 transcript:LPERR03G27560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCQRCRSPAALCRPFVLACPLIVILLSGAAAKVVTHLPGFDGPLPFYLETGYVSVETRAVLHFYYFVKSERNPATDPVILWLTGGPGCSGFSGLAYEVGPLNYVVVPYNGSLPRLVSNPYSWTKMANFLYLDLPVGASFSYAHDPKGYDVGDQSSSLQAVTFLKKWFNDHPQYVSNPFYVGGSSYAGKLTPIIANYILEVGNPITGSSIEENFRVPYAHGVGIISDQLYQAALENCKGDYVNPANKICAEVLNAIKKTYKYYLSYFWANYNATRDALGIREGAGHIAVEYVPKRGFAMAQRWIDDMPL >LPERR03G27560.4 pep chromosome:Lperr_V1.4:3:24100437:24108169:1 gene:LPERR03G27560 transcript:LPERR03G27560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCQRCRSPAALCRPFVLACPLIVILLSGAAAKVVTHLPGFDGPLPFYLETGYVSVETRAVLHFYYFVKSERNPATDPVILWLTGGPGCSGFSGLAYEVGPLNYVVVPYNGSLPRLVSNPYSWTKMANFLYLDLPVGASFSYAHDPKGYDVGDQSSSLQAVTFLKKWFNDHPQYVSNPFYVGGSSYAGKLTPIIANYILEVGNPITGSSIEENFRVPYAHGVGIISDQLYQAALENCKGDYVNPANKICAEVLNAIKKTYKYYLSYFWANYNATRDALGIREGAGHIAVEYVPKRGFAMAQRWIDDMPL >LPERR03G27570.1 pep chromosome:Lperr_V1.4:3:24106271:24123823:-1 gene:LPERR03G27570 transcript:LPERR03G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVAEVLTAFGVDPTKGLSDEQVERHARLYGKNGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDADGLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTNHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYGDEANLTFIGLVGMLDPPREEVRNAIQSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSRVEPSHKKMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGMLSNSTIFLILVVLKYLPLNAVLAFP >LPERR03G27580.1 pep chromosome:Lperr_V1.4:3:24133599:24136518:1 gene:LPERR03G27580 transcript:LPERR03G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVASMEDVKTAAGTGSGSGSGGSSRVFPTGMLKVFLGFLLLGVGLSAAGMYMARHTLAAAAPALFRPCLGAWGASPEEEAPPEGLERWTRAPDRVEHAMTDDELLWRASFAPRRGSSSRRHYYPYRRVPKVAFMFLTRGPLPLALLWERFFRGNEGRYSVYVHALPSYRANFTSDSVFFRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWYNWNMTPEVELEQWRKGSQWFEVDRELAIEIVKDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >LPERR03G27580.2 pep chromosome:Lperr_V1.4:3:24133599:24136518:1 gene:LPERR03G27580 transcript:LPERR03G27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVASMEDVKTAAGTGSGSGSGGSSRVFPTGMLKVFLGFLLLGVGLSAAGMYMARHTLAAAAPALFRPCLGAWGASPEEEAPPEGLERWTRAPDRVEHAMTDDELLWRASFAPRRGSSSRRHYYPYRRVPKVAFMFLTRGPLPLALLWERFFRGNEGRYSVYVHALPSYRANFTSDSVFFRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFSFNTTYRYLQNSSQSFLMAFDDPGPYGRGRYNWNMTPEVELEQWRKGSQWFEVDRELAIEIVKDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >LPERR03G27590.1 pep chromosome:Lperr_V1.4:3:24136626:24137849:1 gene:LPERR03G27590 transcript:LPERR03G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQGKMVDAIRRPLTAASAFHQSAAKNLQPLVTLAQSNCISRRGLLTLLTSTAAIPEASESRKALLQEYLNKSKENKEKNDKERLDDYYKRNYRDYFGLIEGPARQKKEDELTESEKGILEWLDKNK >LPERR03G27600.1 pep chromosome:Lperr_V1.4:3:24138720:24139268:-1 gene:LPERR03G27600 transcript:LPERR03G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFPENCKCLLSYEPKSPRCVVLVVDADEPVIWFCRVGGKEWTRHEYTITVEKSPILFFYLPYRELKDECAEHSSHGETSSEHISSGEGNAKRRRISNDVHDSGSANESRCYERFKIGSIVSIDEKFYFDISTSELGVIEFTPNPTFSTIKFKTLTVARNCWELAFPHLVESRGRLPSCL >LPERR03G27610.1 pep chromosome:Lperr_V1.4:3:24142354:24154266:1 gene:LPERR03G27610 transcript:LPERR03G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGVCGRVLRLASVQILLILFLTKGASSNSRDAKASPPQGLDAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYQERTEKVERLRGRHLLGVSSWHASQRNVKKPIRIYLNYDAVGHSPDRDCKIVGDVVKLGEPPVPSTPGTPICDPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTAQVLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPETGGPVQFPGFNGELICPAYHELCNTVPVPVIGQCSKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLTTITSPGVSSL >LPERR03G27610.2 pep chromosome:Lperr_V1.4:3:24142645:24150621:1 gene:LPERR03G27610 transcript:LPERR03G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGVCGRVLRLASVQILLILFLTKGASSNSRDAKASPPQGLDAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYQERTEKVERLRGRHLLGVSSWHASQRNVKKPIRIYLNYDAVGHSPDRDCKIVGDVVKLGEPPVPSTPGTPICDPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTAQVLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPETGGPVQFPGFNGELICPAYHELCNTVPVPVIGQCSKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLTTITSPGDTGSGGPFHLGT >LPERR03G27610.3 pep chromosome:Lperr_V1.4:3:24142354:24150621:1 gene:LPERR03G27610 transcript:LPERR03G27610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGVCGRVLRLASVQILLILFLTKGASSNSRDAKASPPQGLDAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYQERTEKVERLRGRHLLGVSSWHASQRNVKKPIRIYLNYDAVGHSPDRDCKIVGDVVKLGEPPVPSTPGTPICDPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTAQVLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPETGGPVQFPGFNGELICPAYHELCNTVPVPVIGQCSKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLTTITSPGDTGSGGPFHLGT >LPERR03G27610.4 pep chromosome:Lperr_V1.4:3:24145710:24154266:1 gene:LPERR03G27610 transcript:LPERR03G27610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPETGGPVQFPGFNGELICPAYHELCNTVPVPVIGQCSKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLTTITSPGVSSL >LPERR03G27620.1 pep chromosome:Lperr_V1.4:3:24151232:24157438:-1 gene:LPERR03G27620 transcript:LPERR03G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPASSPRRRGRRPPSSVRCDSSSPASSSSSAAASIDAEFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDKIWLTNEIIHNPTVNKRLEDMGVQYIPVDAGIKDFDVVDQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEANYVCDYILGGQLDGSSSTKEEFLEKFKYAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKFGVENVNDHFIAFNTICDATQERQDAMYQLVKENVDLILVVGGWNSSNTSHLQEIGELAGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQTAVAVGLAKTYRTLLLVSDVNSELLYCFDAELDDVTEEPNAAPHHAYESVRHVQLLRRQVVAGAGHREQVAADAADPAATAERRAVHGDEEDVGDELLGALPFPHAPQEEVEQAAVLRRVRPVQHAHDQRPVLVRRSPHRRLQIIAAVAGVLL >LPERR03G27620.2 pep chromosome:Lperr_V1.4:3:24151232:24157438:-1 gene:LPERR03G27620 transcript:LPERR03G27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPASSPRRRGRRPPSSVRCDSSSPASSSSSAAASIDAEFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDKIWLTNEIIHNPTVNKRLEDMGVQYIPVDAGIKDFDVVDQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEANYVCDYILGGQLDGSSSTKEEFLEKFKYAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKFGVENVNDHFIAFNTICDATQERQDAMYQLVKENVDLILVVGGWNSSNTSHLQEIGELAGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQTAFRQGSCWLGEAELDDVTEEPNAAPHHAYESVRHVQLLRRQVVAGAGHREQVAADAADPAATAERRAVHGDEEDVGDELLGALPFPHAPQEEVEQAAVLRRVRPVQHAHDQRPVLVRRSPHRRLQIIAAVAGVLL >LPERR03G27630.1 pep chromosome:Lperr_V1.4:3:24185759:24196959:1 gene:LPERR03G27630 transcript:LPERR03G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPCHHQQGNTTTFVSHHHHQNTKIITSPAAISGGGVRPDTASSMSHSQGFHHGSGGGGGFGFSSGGDGGHHDEQHHQQHVAQQSRRDKLRVQGGFDPAAAAGHGLLQIDGDEHGEPVAAAAAMYDHAEAAAGASNMLSEMFNFPSQPPPTAGPSATELLASQMNANYARFGAGAAGWFASAGGRTGLGSLGETSSPKHHQQQQPGGGAAMASLAAADPAAAMHLFLMNPHQQNQQSRSSNSPPPPSDHEAAAAAAAAFQAFGGGAFAGAGAVEGQGLSLSLSSSLQQQAEEQLRVRDGVLYFNRQQQLTPMALHGGGGGGVAPVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGAGGGGRNPKGGNGGGGGASSSGAAQSPPSAYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKEFDGSSSAAAAAGDDPSGAGDDTHSPTTTGAAQHHQHRLEHGGASHGFSCDL >LPERR03G27630.2 pep chromosome:Lperr_V1.4:3:24187611:24196959:1 gene:LPERR03G27630 transcript:LPERR03G27630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMQVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKEFDGSSSAAAAAGDDPSGAGDDTHSPTTTGAAQHHQHRLEHGGASHGFSCDL >LPERR03G27640.1 pep chromosome:Lperr_V1.4:3:24208969:24209667:-1 gene:LPERR03G27640 transcript:LPERR03G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVPVEDGGGGVVEEKRTASRSCHVTWLAWWDHLRCLISSTLLPCSTTTASSSSAAVVRGTLFLPTTGVDRRVRLFLHSQSHPTTSPEHHNPPPILVLDLPVTLTGADIAATGRIVLESQRQWITTTNGGELLGSPEWLVYCNGRRAGFAARRERPTEAEGWVLEKLWAVSAGAGRLAGGAGAGVEYLRGRFERVVASSDAESFHLVDPIGWLGFNGSDGGLSIFFHRI >LPERR03G27650.1 pep chromosome:Lperr_V1.4:3:24211899:24212444:-1 gene:LPERR03G27650 transcript:LPERR03G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLATIACVVLAALAPPAFAARTLPHATTTPPPPSNTSSSTADEYLTPHNQARAAVGVPPLTWSTNLATTAAATTAAQQQRGRCEFADMGASQYGANQGWASYPARPGEVVAAWVAQGRYYAHGNNSCAPGQQCGTYTQVVWRRTSQVGCAQATCATGATLTVCLYYPHGNVQGQSPY >LPERR03G27660.1 pep chromosome:Lperr_V1.4:3:24217341:24220342:-1 gene:LPERR03G27660 transcript:LPERR03G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQHNLMYLAAIADSQPPQTTAMSQYPSNLMMQSGPRYMPQQSAQMMASPQSAMMAARSSMMYAQPALSPLQQQQQAAAAAHGGQLGMGGSGTTSGFSILHGEASIGGGAGNSMMNAGVFSDFGRGGGGSGGKEGSTSLSVDVRGGANSGAQSGGGGDGEYLKGNEEEGS >LPERR03G27670.1 pep chromosome:Lperr_V1.4:3:24233513:24236657:-1 gene:LPERR03G27670 transcript:LPERR03G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLVALLAVAMSSRGFSSSSRGGDCGCPGTRKYTGMVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDTKVFKGWVEIDNPWTYDDETDNAEMTYVNLQINPERYTGYTGDSARRIWDSIYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYFLDESANSWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPDLKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNKPIAFI >LPERR03G27680.1 pep chromosome:Lperr_V1.4:3:24242452:24249000:1 gene:LPERR03G27680 transcript:LPERR03G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRQNMASIKLSLTLTLLISGLLMLGTIEHTEAVCTLLCVQGTYITCSNHPYEQLYGCACSCAPPDGVGCVVHLADGSTQQRRRTAATMASMKLSLAVVFLLSGLVVMSSIGGGAEARTVCPILCIQGGYITCDNYPYQKLDGCVCECAPKDGKNCVLHLDNGPSSNCPPQA >LPERR03G27680.2 pep chromosome:Lperr_V1.4:3:24248910:24251061:1 gene:LPERR03G27680 transcript:LPERR03G27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKRISSMKLSLIVVFLLSGLVVMSAIDGGEARTVCPIQCIQGGYITCDNYPYQKLDGCDCQCAPKDGKNCVLRLQHGPPFNCKPQA >LPERR03G27680.3 pep chromosome:Lperr_V1.4:3:24242063:24242653:1 gene:LPERR03G27680 transcript:LPERR03G27680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLSFTFVLLITGLVILGTVESSEAVCNLFCVQGTYITCRNRRGEKLYGCACKCAPPGGKHCVVHYADGSADKC >LPERR03G27690.1 pep chromosome:Lperr_V1.4:3:24251625:24252589:1 gene:LPERR03G27690 transcript:LPERR03G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKLSLTFVLLAGLVVLSEMGISARAAVDCSTVRCIQGGYITCKNYPGKKLDGCICACAPDDGKRCVLHLQNGSSYSCRASPPPPPSCG >LPERR03G27710.1 pep chromosome:Lperr_V1.4:3:24261890:24262519:1 gene:LPERR03G27710 transcript:LPERR03G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKFALPVALLLCGIMVIGCIQVTEAKICPQFCFDGIEYMTCPSTGDKHLKPACNCCIADEKGCAIYLNNGQVVNCT >LPERR03G27720.1 pep chromosome:Lperr_V1.4:3:24263919:24264718:1 gene:LPERR03G27720 transcript:LPERR03G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLALPVALLLCGFMLIGSIQITEAKDTKFCPQFCYEGIEYMTCPSTGSKHLRPVCNCCLASEKGCAIYLSSGQVVNCA >LPERR03G27730.1 pep chromosome:Lperr_V1.4:3:24266436:24266918:-1 gene:LPERR03G27730 transcript:LPERR03G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLPSPRPSAGSAAAFSTVTPTPRVSALVDEICGLTLIEASTLTDALRSRLGVDQLPPLAILTGGAAPLAGGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRSVGAKIVLE >LPERR03G27740.1 pep chromosome:Lperr_V1.4:3:24268427:24268723:-1 gene:LPERR03G27740 transcript:LPERR03G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTTTPDRRRGDGAGKQAAGFGFDAVSAMAAARPFAASAEGDFRATAPSGFDFEFDTAQAVVYDAL >LPERR03G27750.1 pep chromosome:Lperr_V1.4:3:24270114:24274526:-1 gene:LPERR03G27750 transcript:LPERR03G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGASPASTEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGWSDVPPYRNTAHAVYTIARSELNKGTYKGKMFSFVQSTILSLLQKQVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKAVNSIDYAALGAGSKVIRARLQQRPGSDGTPKYTDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKTFSKKKKKKKKKKKKKKKKKKKKKEDHFFLAALDTFNDELSCARVHQYIHICGCILELNS >LPERR03G27760.1 pep chromosome:Lperr_V1.4:3:24276225:24280318:-1 gene:LPERR03G27760 transcript:LPERR03G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPADGRDPLADDAAAAAAGDEGGGEAETAASAAEALLSAGSEQLTLVYQGEVYVFDPVPPQKVQAVLLVLGGSDMPPGLVSMAVPTTFDEKLPKLWHQLKAYSGNAPWTSWSKVPL >LPERR03G27770.1 pep chromosome:Lperr_V1.4:3:24280936:24285189:-1 gene:LPERR03G27770 transcript:LPERR03G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTHSAVIRWVVSRGGDGLKLSALAFLSPPPTGKLYESSRGGGGFGLGRGSPSFSLSPALRGGGEARESDDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAEEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDNCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGQLLAEGKVPIGIGENTKIENCIIDKNAKIGKNVTIANSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >LPERR03G27770.2 pep chromosome:Lperr_V1.4:3:24280936:24284943:-1 gene:LPERR03G27770 transcript:LPERR03G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVAAPASVAAAAWRCGTSLARPWPARAVGGGGGSLRRSLSVRTSVATTEAAAAAAAASDDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAEEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDNCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGQLLAEGKVPIGIGENTKIENCIIDKNAKIGKNVTIANSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >LPERR03G27770.3 pep chromosome:Lperr_V1.4:3:24280936:24284943:-1 gene:LPERR03G27770 transcript:LPERR03G27770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVAAPASVAAAAWRCGTSLARPWPARAVGGGGGSLRRSLSVRTSVATTEAAAAAAAAVGASDDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAEEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDNCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGQLLAEGKVPIGIGENTKIENCIIDKNAKIGKNVTIANSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >LPERR03G27780.1 pep chromosome:Lperr_V1.4:3:24287000:24292555:1 gene:LPERR03G27780 transcript:LPERR03G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPIVALAASPCQSQVAAARADGSLELWLVSPGSVGWHHQLTIQGDAESRVTSLVWARSGANGRLLSSSVDGSVAEWDLFHLRQKTVLDSVGVPVWQMAIEPSVDLTNSENKGSEFAANGHANGNTDSDSSSVDDGEDTDDEDNSSNTSLSYRVNEFQRLALACDDGSIRFYNVPESGALTYYRSLPRVSGRILSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGHELCIWSLLFLRCGTLISGDSSGSVQFWDSHHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKVSKDEFGADKEVAKEHIRKWVYVGYVRSHTHDIRALIMAVPICREDALPEEKTKKIRRREKPVEFSYHKWAHLGVPMLISGGDDTKLFAYSAMEFTQFAPHNFCPAPQRPLINLARASIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSSASTNGTMLAYSDCVKPSLFALRHKGGKKFSLDKLELPKGLPTSQCMLFSSDSSYLILAGRDGKIYIVDIATREISNVFQPMRKMDGTSKEPPVTKMFLSADGQWLAAVNCSGDIYIMNIDVQRQHWFIPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLSDWSKRYTHHLPRRFQEFPGEVIGLSFPPLSSSSVVFYSTRAMCFIDFGLPVIQDGQLPNGALAPAEKTDSQKNTNKKHKRKVREEELRQELRNNFDFFAFKDPVLFVGHLSDNSVLMVEKRWTDVVEGFGAPVHRHIYGT >LPERR03G27790.1 pep chromosome:Lperr_V1.4:3:24292138:24292816:-1 gene:LPERR03G27790 transcript:LPERR03G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNDYVWATMVGAFAVHGMADEAVACLDKMASEDGVRSDGVAVLSALSACAHAGRVEDGLVLLRDMRRRYGVAPGHEHYSCTVDMLCRVGRLNDAVALIETMPMPPLASVWGSVLSGCRMYGNVVLAEVAAAELGKVGAGDDEGVYVQLSNIYLDANRKDDARQVAAYSAVEVEGVVSSFVADDQAHLRLVKIWEVLGLLADQMGREWDDEETLAVVS >LPERR03G27800.1 pep chromosome:Lperr_V1.4:3:24292862:24293575:-1 gene:LPERR03G27800 transcript:LPERR03G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKQTFAFCHSLLSSRLLPSSSPTSPSPFPVQALLTTAGLLPRHPDLTLVSLNSLLRLLSRRASSTSHPLLSLRLLVLMLSTDSPLPPPDHLSFPFALSAAVDPCPGRQIHALVVKNGLFPSDHYVTTALLQLHAAWPDDARKVFDEFPRREAIHYDLVIGTYTRAGMAAEGLGLFREMFVDGVAPNAVVLTTAIAACVQAGALECGEWHRYVERTAPEVLGDAFIGSALVSM >LPERR03G27810.1 pep chromosome:Lperr_V1.4:3:24296711:24300044:-1 gene:LPERR03G27810 transcript:LPERR03G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLTRDDSPCFDDINYLISQRPGQEFSWFTLNSPGNSSVSSRHHHHHSAKFVNHHLIMKTTMSEVVAEEGAVDVEGVGVEEAMVVMADMETIKVGTTKVVGTMITKVGMVAMIIREGMVAMIIKGGMAVVDMATTKADMETTKKMVDITEDVVACAGGAIGVTVVGMNVAEVAVFLVEGDMVVVDEEEWVPVADVETEALSSEQMSGENPWATGDT >LPERR03G27820.1 pep chromosome:Lperr_V1.4:3:24309028:24319997:-1 gene:LPERR03G27820 transcript:LPERR03G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASATVGQASSQATQQDKQANKQPPPISPASKVEEKPTEPSTKEDESSDISSSMTIKEIGRKRGKASSSAPAAKKLFKEPAQHDETDSSWIFLNLNVWCN >LPERR03G27830.1 pep chromosome:Lperr_V1.4:3:24326569:24329062:1 gene:LPERR03G27830 transcript:LPERR03G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRTLQAFFLFALVALLIPLSEASHKVFADLQSLDAVEATQVDDKLRTGFHFQPPKHWINDPNGVMQYKGVYHLFYQYNPKSAVWGNIVWAHAVSKDLVNWEILEPAIYPTAPFDENGCWSGSATILPDGKPVIMYTGIDADGRQVQNVAYPKDLSDPYLREWVKPEYNPVIAPDAGVNATAFRDPTTAWRGPDGVWRLVIGTKDNHRGIAALYRSRDFVHWAPAQRALHTGDTGMWECPDFYPVTDGDGNTRHVLKVSLDLTRYEYYTFGEYDHGADTYAPDATLADGDGGLRYDYGDFYASKTFLDTGKNRRVLWGWANESDSVADDVRKGWAGVQAIPRKLWLAPDGKQLMQWPVAEIESLRGSNHVNVTDKMVNGNSGRAVNYFEVKGLATAAQADVEATFQVMDLDKAEVFDDAAWRGADAQTVCAAKGADGKGGVGPFGLWVLASDDLKERTAVFFRVFKRDDGKKHVVLMCNDPSRSSYGEGLYKPTFAGFVDVDIAANGKIPLRTLIDHSVVESFGGHGKTAILSRVYPTKAIGDKARLFVFNNGESDVKVTNLNAYDMGSAKIITETKIGSDYPAQSDTKTAQRNRKIDI >LPERR03G27840.1 pep chromosome:Lperr_V1.4:3:24329218:24331199:-1 gene:LPERR03G27840 transcript:LPERR03G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATTTMMVDSPFPTVEKCSSTDRGGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLAALILLAPLAGLLYYTVSESAGIQVLIFASMAGARVADIEAVARAVLPKFYSSDIHPDSWRVFSSCGRRCVLTANPRIMVEAFLKDYLAADHVVGTELAVFRGRATGFVRSPGVLVGEKKAAALRDTFGEDFSPEIGIGDRKTDYPFMRLCKEGYIVPPAAARTRPVAREELPKPVVFHDGRLVQSLSPAMALLTVLWIPIGFVLACLRIAAGALLPMRMVYHAFRALGVRVTIKGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPAELTCNGGGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKKKAMGC >LPERR03G27850.1 pep chromosome:Lperr_V1.4:3:24335459:24344333:-1 gene:LPERR03G27850 transcript:LPERR03G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYIVLGRVHFVSEQIGLSNLISRFQSNLNNSASNLQDSTGRPFTASFSGQSGSVPGGFHHSGLHNIHGNFNLPNMPGSLAQRNAAMSGLPSSGIQQAGGSISGRFASNNLPVAMSQIPHGHSGISGRGMNAGGGSAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPGLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLGGNVGSGSLNVQGSSRMMNGILQQGSPQMMNMMGSSYPTTGGSLSQNQIQGGNNSLGSMGMLHDDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKDYAMELHHKEQLHDSVPAMQAQQYPMSRSVGFNLGSNYPPNRQQQQQGGNSVQNAGPQNIGLRPLNTPSQSSSLGSYEQLIQQYQQPQCQNPFRLQQVSSAAQSYRDQSQKSIQGGQAPHDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFNIPACYSAEQPPPLQPIHFQKFQTSTLFYIFYSMPKDEAQLCAANELYNRGWFYHKVARAWLTKIPNVEPMVKTPQYERGSYGCFDPNNWETIRKDNFVLHYDQIEKQPAIPPSQTVR >LPERR03G27860.1 pep chromosome:Lperr_V1.4:3:24349642:24354308:-1 gene:LPERR03G27860 transcript:LPERR03G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRYTPDRRAKRARSLQYPSIVRNERIPAWGTKRARSRKQNDTDCVWRDWTNLGEGPAGLIADRILSNDVADYMCFRAVCRPWRLCCTDPREHNILDRRFHPRQWIMFETEGDDGHGFINLSTGRFRQVNLLPEIHGHDVFGPTSEGLLVLVDKTTRVIRLLNPFTCQATNLPPGTELFSPNGKRLENKSVKVTVAKPGDEHWTVVYTTRHTRLTPALSFAGRFYCASSTRVMVLETSAERPPRMAIAAKLARPFSMMRMDSVHLMEIDGKVMLVDRQCNGGHKKTRYKVYHVDLDARKMVPVRGLFGHAVFIGYERSVSVSPLVFPSISADTIYLGVDSMLTGTTDNCSPIHLMNGTTEPRNVVDYINGRAFYEHQRVDEYLSWQQHLSPQCRRRRAPAPDHRHQRRVGPRVVTSRLRPPPEAISPMSQVRSARGQRAKRPTRLHDPSILFDDDQSRKQASIPTDERNPIWGAKRARSCAQKTESTMPPQIVSPDWRDWTNLAEGPAELIAERLLANDVADYVSFRAVCRPWRLYSTDPREHSIMDRRFHPRQWLMFGPRQWLMMGRNRGVGSPDCRGFVNFTTGCFRYVNIPEIRGHDVFGPTSEGLLVLVDHTTHVIRLLNPFTRQVINLPPTTDVLGPGNSSLRLKVSGAGLADDSTVAVLFGEIATVAVAKPDDVHWTVVHRARGTRLLPALSFAGRFYYASNTQVMVLETSAEGPPRIAIVAKLPRSISSMLMDSVHLVEIEGKLMLVDRQSNGRHEERNFKVYHIDLDARRTVPVRRFFGRLDARKRNMVPVRGLFGHSVFIGLERSVSVSPSVFPSISADTIYLGFDSMLTATMDYSPVHLMDGTAEPRNVVNDINGRALYKPQRVDEYLSWCVTGNRD >LPERR03G27870.1 pep chromosome:Lperr_V1.4:3:24354716:24360569:1 gene:LPERR03G27870 transcript:LPERR03G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPSRLVPQLLVALLQRRRFDATLRPSPTFRGLSPSSIAAALAAIPRLLLPSSPRRLCPQRPFPSPSSAPTRRLAAALTLAFLSWSHSHAANPRLPVPRLAEPTLRATALALARARALPALFRLLRDHSHLVSTAALTDVIRALGEEGLPRQALAAFHRARQLRCSPDAQCHNTLLAALCRNGWFRDARFVLDQMERPGARCGPDSYTYTVLISWYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCTPNRVTYNSFVRYYSVVNEVDKAVKWMREMVVKGHGGPTSSTYTPIIHSLCESRRISEARQFIIEMAGRGHLPREHTYKLVKDAIEEAQEEALPAELCRSIDDGIKERFQKDPGATQFFVLYTLVSCTWTVIRLNMHAWREYLV >LPERR03G27880.1 pep chromosome:Lperr_V1.4:3:24358058:24360921:-1 gene:LPERR03G27880 transcript:LPERR03G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDERSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKKPAPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDNTVKQSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKFEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRVVAQVGIGDTSKGGSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNTTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGSQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQIDYVLGKNPQKMSYVVGFGNKYPKRVHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNVKTGIDKNTIFSAIPPMFPTPPPPPSAWKP >LPERR03G27890.1 pep chromosome:Lperr_V1.4:3:24365866:24368553:-1 gene:LPERR03G27890 transcript:LPERR03G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALASPFFSPLSAPNPKPLSLRLPARRLPVASSAAAPSGASSGAPPFPGAEERRRDRRKRRQGRGDAAAAAAEVEVAPSKADEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGYPASLRPGQWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVESFLANAEKSSGKYPSFDYISVTPPYVEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMPESCGKLIKIADRRFGRTNLLIYGPTWAEKKRRS >LPERR03G27900.1 pep chromosome:Lperr_V1.4:3:24369722:24374870:1 gene:LPERR03G27900 transcript:LPERR03G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMTKSFMSYVDLKKEAMKDLEAGGGGVELPEMGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSVHQPDALRALRGRVNADIIAVLRRARDIRARLEAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKRLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPDEEVIERIISEGRSEELLCAAVAEHGKGAVLATVNEIQDRHDAAREVERSLLELHQVFLDMALLVESQGEQLDDIELHVNSATTYIKGANKELNKAREYQRGSRKCLCIGIVILLLIVLLIIVPIATSLKRSEMNILNQPINPGGHPSLPAARESGQLTPPPASVRFDGVVSTPLTTARAHAGQSPRWQAQTLRRPSSYVGVDHDDAVLAQPPFQPLTLDFLRSLLDKNDDDQVNAGAGVAPPATPLHALRVVVSSAVELDARQTELIARKMRRITGFVNLTIENVVDPSLIAGFVVCYGPGESHVIDLSVKGKLAALKSRVDSFDQSVGAHPHH >LPERR03G27910.1 pep chromosome:Lperr_V1.4:3:24374626:24375701:-1 gene:LPERR03G27910 transcript:LPERR03G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWSSAPAAPPPGYPQVVGQPAYPPQSTAVAVTPASNGVGNPYVIVTPASATPSTCNFRTAPNMADAAVARLAQGTKVYAEGGHDRVFNQAFGIVPGEQLRKAYACYLSTSTGPIIGTLYVSTARLAFCSDSPISYQPSPAAGGGAAAAAGGHPPEGIYYKAITLHSCIFSFSLVVLPLNQVKSVNPSASMANRGEKYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >LPERR03G27920.1 pep chromosome:Lperr_V1.4:3:24380312:24380938:-1 gene:LPERR03G27920 transcript:LPERR03G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGRRSLPPAPHRRRTSGQASAVAASRRTVTRCSSSSLKRWTRNGASPMCRTISAIDSRIHPSTFSSPTLSNSSSTSHSSSRRSCSPRGASGTATASAAAAARSRTEPRHLGALKNAAAAGILTLPGAGHSATDDVDAAAAGRQYPLTGGAAAAAAIRRSNRAPTERVEKEEAVIWIGGGGDYEIEASLGFAARRGLRRRSNGWCA >LPERR03G27930.1 pep chromosome:Lperr_V1.4:3:24382294:24386359:-1 gene:LPERR03G27930 transcript:LPERR03G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHNVGALVVVKPGEDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAFIQVLGYCNMVVATGKLTLTS >LPERR03G27930.2 pep chromosome:Lperr_V1.4:3:24382771:24386359:-1 gene:LPERR03G27930 transcript:LPERR03G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHNVGALVVVKPGEDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAFIQGGY >LPERR03G27940.1 pep chromosome:Lperr_V1.4:3:24390618:24398689:-1 gene:LPERR03G27940 transcript:LPERR03G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPGNGNRVRLRLYYKCLEVGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHCILYRSLCLLLIILLMFITCMLHYFPSLHLDIYTDITDVCLDENAVSSDPLLAFLLDEVVIKEWCKRAANKFAAQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLQDICLRYTDVASWSSDVIKRKTSAKERKWPEFKSSAYNEANQGVLFIEQALQNLGIQESYMDNEKEVEIACLRSEQSSSMFCSTIDQFSVDKYPFKNLREAVDVLFLHGTSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSAAANFEKDGMTSLSEAVTAIRVTIEYGHLTEAFMYHRSYCSKVKEQRSADMSHIEDASHKSSWMYHVEVMMSEFCNICIERNNVDRMIDLPWDSEEEKHLHKFLLDCAREMPMEPCGSLLAVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEEASKIRRIAQWRQSLVTKCVEMLPEAQREDLRAIASGEKTQFAVQTMQNPSPANHLVKSSSPAIALSLSATPIPQKNSSPLHLRNINVLDGSIGLSSSAHSEFGRKVPSILQSRQVPQGSPISNMRSAAGGIFASVGQNGEGPYLKGTKQLSFMKGESDFKKGTKPAGYDSLPLYFNMGSGDTPMKDYRSSSLKTEVHKTTPFQGKDSVRKGEFDFGLRAEKPFILSGISAGQNGHSKISDNAGFLEDHIQKTKVPAKENVLSLGKKSSIDEAAAGKGVSRWRSDESSEDEDDKRTGGYMESGASLATRRRARFSRRR >LPERR03G27950.1 pep chromosome:Lperr_V1.4:3:24400755:24405175:-1 gene:LPERR03G27950 transcript:LPERR03G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRDSPSLYRHAKGIMFALKEKGIDMAIASRSPTPDIAKVFIDKLEMKSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIETVSKMGVTSVLVENGVNLDMFKLGLSNFATNFAASSTSINKKDINVSQKSDCYSIGDSPMLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQMTTGIPYESMLFFDDEHRNFATVSKMGVTSVLVDWDGGVNLDMFKLGLNNFAAKFPASSIDHKDK >LPERR03G27960.1 pep chromosome:Lperr_V1.4:3:24405428:24410749:1 gene:LPERR03G27960 transcript:LPERR03G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRTSTHRERWILQSNDLMDRWGAANQRATETLVQYGTTLLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRIFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSLMEHQPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSLEGFIDDMEDFCRAGNGEHQRLKDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHRILNFERYLESVFSRQHSDCPVEQFVGSINRINYLVDQLKIPTQKDMRHIERKLRNCLDPSSQDEHKKKDKKSKHKSKRAANEAQLL >LPERR03G27960.2 pep chromosome:Lperr_V1.4:3:24405428:24410259:1 gene:LPERR03G27960 transcript:LPERR03G27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRTSTHRERWILQSNDLMDRWGAANQRATETLVQYGTTLLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRIFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSLMEHQPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSLEGFIDDMEDFCRAGNGEHQRLKDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHRILNFERYLESVFSRQHSDCPVEQFVGSINRINYLVDQLKIPTQKDMRHIERKLRNCLDPSSQDEHKKKDKKSKHKSKRAANEAQLSEGLHLQAIDVEEKKVKSNGYASKLE >LPERR03G27970.1 pep chromosome:Lperr_V1.4:3:24410141:24412795:-1 gene:LPERR03G27970 transcript:LPERR03G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPASAAAMPLAAEPDDTEVEADEGVKGIEGLVVGSYCHDVLLRGDRVVGETLGGAAAFVSNVLDAASPADATLSVVSKVGPDFAYATAPAPARHRPVVCASSPTTSFHARFSSDGGEATAHAPDRQLRRVHACDPIYPSDLPDRRFAYGLAVGVAGEVLPETLDRMIRLCRAVLVDAQALIRAFDDGGAVRHVALEATPYARLLPRVAFLKASSEEAPFVGVEVARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSAMDAALLGNFFGAAAVSQVGVPTFNPKMLQAVKQILDEKAIKRPCTRLNGNMFTFQRSNMHDVLHTSLQEVARLICEQKQASCPATDNGASSPATDNGDIRSLGDVNPLICLSQDAYKIIT >LPERR03G27980.1 pep chromosome:Lperr_V1.4:3:24414772:24424469:1 gene:LPERR03G27980 transcript:LPERR03G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGAAAAAASPQAMDEKARRTRDLLASFYNTDPSAAAAAPSPARPSPTAASASPLDSINLTSFDPDVYMDVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMETNMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVVQHLQAKLYSDSEPIESRAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNFQNEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFTNRYETVRENLRKRIPSTDLLAMLRSLWEDATTIDEVISEAALPAFSLETTRDIIRQHIATAFAHLQSEISDVLVRTPSTSNGKLEESQLQTSMETSKVKVLQGCTDLLQEFHHLIDGNTELLVKLRDLIIDWVQEGFQDFFQKLDGHFHMLSGRSKSFSQEMEPVQIDKVPTALVLMLAQLCVFIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >LPERR03G27980.2 pep chromosome:Lperr_V1.4:3:24414772:24424469:1 gene:LPERR03G27980 transcript:LPERR03G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGAAAAAASPQAMDEKARRTRDLLASFYNTDPSAAAAAPSPARPSPTAASASPLDSINLTSFDPDVYMDVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMETNMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVVQHLQAKLYSDSEPIESRAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNFQNEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFTNRYETVRENLRKRIPSTDLLAMLRSLWEDATTIDEVISEAALPAFSLENTVNIKWEVRRVTAANFHGNKQDGNTELLVKLRDLIIDWVQEGFQDFFQKLDGHFHMLSGRSKSFSQEMEPVQIDKVPTALVLMLAQLCVFIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >LPERR03G27990.1 pep chromosome:Lperr_V1.4:3:24424958:24427753:-1 gene:LPERR03G27990 transcript:LPERR03G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGETPPAAAPATDAADAAAGAEQQAAAGGGGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQVVGTEEEEGEKEKEKEKAAGEGEEDDERRKAALDKLEKASEDSILGQASGLKVFDSSVESITTGTWQALGSAWKSGSLLVQKLETSASSLAETIQQGELPAKASAIAPTILETGKSFTAKGMEVLERVGKETMELIIEETGMDVDKGTGEGDQQAEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLIAEQKTYYDGKLKEIQQIFSLGTKIDEDGPDSNKGKKIEAADTDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTDRLETIHSEGVHRLSEMCCLAVSQLVLLGKSVISAASKPKNEDDENDVKIEWPEDPILKAKIIRWKAQSIAVDIEKVSASFATGISDVAEAYMAAIQNALADKKDDLSSQNSVREKAKYISSHLNSDQTSAVSKLQDALQYLAYVVVCSSMPSV >LPERR03G28000.1 pep chromosome:Lperr_V1.4:3:24428117:24431587:1 gene:LPERR03G28000 transcript:LPERR03G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEAAAPAAAECTDAVASEAAAAGEVAEEAVAETAEYSWPQLRFDTPPRRLYHFAGQFRSPCSSAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEDAYSTAAEHTAEATVGGEDSYGASIHVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGSEGPTGIISSISFSPHNGMLAVGSYGQTTAVYAESNMEPLYVLHGQLGGVTQDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLGTGGQDGMVHIYDLQGGQWVTAFHAAADTVNGFSFHPYLPLAATSSGHRRFGMEDDSEEELNLSDGSISQLSHIVFIDSYILAPCCTVPTQSEQGKHTQAVRPRL >LPERR03G28010.1 pep chromosome:Lperr_V1.4:3:24433231:24452933:1 gene:LPERR03G28010 transcript:LPERR03G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGAYCAALCSHEAPGGLPDGFVAWGLPMLGDPNSGALIAEGLRELLATGDAPAVERFVPALLPRCRVVLEDELTSLAVLRSLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAEADRGAIMEAFLQFQWHWLGNLQFSLGLLPKFLADMEVVVHDPNLASSHNSGRLRPLFACFSTVLQIMATGVAERNSLTEVIAGPLEGLAPQLLRCASVIASKLGWSERMEEASKCLVLLAEILQERFAEFYSMFVDVLGQSLGVASSAQLVAALKTNLQVLSLQNLGLRASAVEALLDFNSFLSQLRLHPNDTVVTNVATTYLFCLQHGLEDVADQAILSLMKELELLKSLLEKGQASYPDIQNLSLGSNSETQSKSNSSTLSWVGYSEHQLLSLMKFDLKILLATISVDTKKRNDRVATLTSFISEKLNPFGTPFHDFLEMQFHAFSTLHRLSSMDLSSIAPSKANGSGDSGNQTQQVIESKKSFCDCRNKFMQKYGKFVVWGLNVSSSMTMKLEALEWIDMFGNLVLGMERDVDKLNISYEVHEGATLLNTILFAILDCAYDREPKVRIHVAASLEVLFLSRLINPMDFSVVAQVLLDKLSDPDNAVKKGFSRLISIALPITTYTFGLLENQWNYQQHSLDTANMSNNCMSWRRVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDAYGDADGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATKGEGRYIAPSDISLLPMRLLLDFVEALKKYVYNAYEGSFVLSAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALQCNDAVIHYCSLRLLDLRNLAASSLKDNNRMAGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQSEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLAELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDSHAAWGYLDLTPKSSSELSLDPKVALERSEIMLLRAMLQSDSKSDKAREELDKAKLMLDEALSVVPLNGLTEAAACAGQLHCIFAFEEASRLACQNGINQPPALMDYLLRLLQDPINRNNQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLSSLARKQSNLMLASRLNQYIINHPLSSSNEMEKEMLALNIKYEGALLKHDEGNKEEALTDLWSLVRAKFLSTVSDSSGVDTPLIAKACLKLSTWMERESSTPILNMILSKVIEDFNDSGGFRNETEKLLFGDNGSVSASNSHVVAQEIIGIARKTTCQLCPSMGKGWLSYASWCMNHANYSLAGTDSKLQDSLFPALQSELSPDRFHLTDNEKSEVKEIVRGFCADKDGNYVDFSISTTTGCSYNAEGDPITSLIEQTILLLETAARAQGSEACDGEGPSAVLSSELTDLFCKCDSAKDSSMVLIDKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSIGLQSAYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKDMLERGLASVPLLPWQEIIPQLFARLSSHPEKIVRKQLESILVKLGKHSPFSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLIKDVKLVIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKSKISAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITTLKTPPGSPSALGEIWRPFDLIAASLATHLRKSCISLSEIAPQLAALSTSDIPMPGFEKQIFSSSESFFAGDHGTITISSFCKEVTILSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSQNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAQLSSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHVLGLGDRHLDNILMDFNSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLIGVEGVFRVTCEEVMAVLLKNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKNFLDTLEQYEVISAMLYHAEKEKSSALQSETSAKSILAEASSLTEKSHTSFEFHAHELTETKAVAIDEANKLAVWVEKHGRVLEAVRDNSIAGVESCMQLNSKDEALSLISAVLVSEVPLTVVPEPTRAQCSELDREVSQLIIELQGGLSTALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSISSGSEDMLPLAKRKAAEVIAKVQGEGINLVQQRYGDLLNQMESYVACVERLARERSELMNSIGLDNEVRSKDWILSVFMNSVQLPSPKRDGGNIPFSQSGNVVVKTPAHEDIHDETGVVLSILGIAVSQLYSDIRAKVSELSSKATGIAKFRTDEAGLQADAGMSLQLFDQQVEKCALVSGFVGEVHRAMEAELVETNTAYAKPQPGQWASIFQRILCSSTNMIEQMTEVFLPEFIRSFISYNSEVMEAFGSISQIRGSVDTALEKLVRIELERASLTEFEQSYFMKVGRITEQQIALEEAAMRGRDHLSWEEAEELASQEEACRAKLEQLQEKWGQKDMRISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRSKALLSILTKPLADLEALDHMLSSRGAFPYHIDGPISNLTDVLSTGSSLSDVLWPFAGLLKDHAFFVWKLSLLDSILDLCMHDISSSVEHNFTTNQLYITLKKKLTNHVEKQVYRFVMERIAPAFIIQIEKETSDLLQLSQGRRESGQPKIDSTAVGRVAVMLEEYCNAHETARAARAAVSVMQRQLNDLTEALRKIVIEIIQVEWLHDLSSPHALNAKVLSQKILTDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPPEFHDHLLKRRKLLQVIQEQASDIANICTSILEFEASRDGLYLVSEDKSSKQSTDRGRTWQQTFLNLLTRLDAAFRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSLRAKSALGLPSTHEFVTLFALALNDTLASMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYILGKDAAAMHNSVMANLSKANTVLLPLEASLSADLTVMSEAISKEREKNSTSMPLIHGKALYQSYIIRIRDAYKSLEPLVPPLTDNVKELHSLITKLGRLSSIHAGSLHKALEVLGESENVRSQDIPSTHADILQSDSSIDKDKGSSGSREGGSQDLVISTDLSLQDECWISPPEHSYTSSSGCTTELTQINSSENLENMDPLLVDRPEMEAPGANGQERGADSESDSSSHKQFLDGVTLTNVSSIDEVETSLAKERKTENENTNLPFKQVRGQECDNSDPKSYPDSVTRVTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEHVDHLLKQATSIDNLCNMYEGWTPWI >LPERR03G28010.2 pep chromosome:Lperr_V1.4:3:24433231:24452933:1 gene:LPERR03G28010 transcript:LPERR03G28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGAYCAALCSHEAPGGLPDGFVAWGLPMLGDPNSGALIAEGLRELLATGDAPAVERFVPALLPRCRVVLEDELTSLAVLRSLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAEADRGAIMEAFLQFQWHWLGNLQFSLGLLPKFLADMEVVVHDPNLASSHNSGRLRPLFACFSTVLQIMATGVAERNSLTEVIAGPLEGLAPQLLRCASVIASKLGWSERMEEASKCLVLLAEILQERFAEFYSMFVDVLGQSLGVASSAQLVAALKTNLQVLSLQNLGLRASAVEALLDFNSFLSQLRLHPNDTVVTNVATTYLFCLQHGLEDVADQAILSLMKELELLKSLLEKGQASYPDIQNLSLGSNSETQSKSNSSTLSWVGYSEHQLLSLMKFDLKILLATISVDTKKRNDRVATLTSFISEKLNPFGTPFHDFLEMQFHAFSTLHRLSSMDLSSIAPSKANGSGDSGNQTQQVIESKKSFCDCRNKFMQKYGKFVVWGLNVSSSMTMKLEALEWIDMFGNLVLGMERDVDKLNISYEVHEGATLLNTILFAILDCAYDREPKVRIHVAASLEVLFLSRLINPMDFSVVAQVLLDKLSDPDNAVKKGFSRLISIALPITTYTFGLLENQWNYQQHSLDTANMSNNCMSWRRVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDAYGDADGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATKGEGRYIAPSDISLLPMRLLLDFVEALKKYVYNAYEGSFVLSAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALQCNDAVIHYCSLRLLDLRNLAASSLKDNNRMAGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQSEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLAELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDSHAAWGYLDLTPKSSSELSLDPKVALERSEIMLLRAMLQSDSKSDKAREELDKAKLMLDEALSVVPLNGLTEAAACAGQLHCIFAFEEASRLACQNGINQPPALMDYLLRLLQDPINRNNQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLSSLARKQSNLMLASRLNQYIINHPLSSSNEMEKEMLALNIKYEGALLKHDEGNKEEALTDLWSLVRAKFLSTVSDSSGVDTPLIAKACLKLSTWMERESSTPILNMILSKVIEDFNDSGGFRNETEKLLFGDNGSVSASNSHVVAQEIIGIARKTTCQLCPSMGKGWLSYASWCMNHANYSLAGTDSKLQDSLFPALQSELSPDRFHLTDNEKSEVKEIVRGFCADKDGNYVDFSISTTTGCSYNAEGDPITSLIEQTILLLETAARAQGSEACDGEGPSAVLSSELTDLFCKCDSAKDSSMVLIDKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSIGLQSAYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKDMLERGLASVPLLPWQEIIPQLFARLSSHPEKIVRKQLESILVKLGKHSPFSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLIKDVKLVIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKSKISAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITTLKTPPGSPSALGEIWRPFDLIAASLATHLRKSCISLSEIAPQLAALSTSDIPMPGFEKQIFSSSESFFAGDHGTITISSFCKEVTILSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSQNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAQLSSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHVLGLGDRHLDNILMDFNSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLIGVEGVFRVTCEEVMAVLLKNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKNFLDTLEQYEVISAMLYHAEKEKSSALQSETSAKSILAEASSLTEKSHTSFEFHAHELTETKAVAIDEANKLAVWVEKHGRVLEAVRDNSIAGVESCMQLNSKDEALSLISAVLVSEVPLTVVPEPTRAQCSELDREVSQLIIELQGGLSTALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSISSGSEDMLPLAKRKAAEVIAKVQGEGINLVQQRYGDLLNQMESYVACVERLARERSELMNSIGLDNEVRSKDWILSVFMNSVQLPSPKRDGGNIPFSQSGNVVVKTPAHEDIHDETGVVLSILGIAVSQLYSDIRAKVSELSSKATGIAKFRTDEAGLQADAGMSLQLFDQQVEKCALVSGFVGEVHRAMEAELVETNTAYAKPQPGQWASIFQRILCSSTNMIEQMTEVFLPEFIRSFISYNSEVMEAFGSISQIRGSVDTALEKLVRIELERASLTEFEQSYFMKVGRITEQQIALEEAAMRGRDHLSWEEAEELASQEEACRAKLEQLQEKWGQKDMRISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRSKALLSILTKPLADLEALDHMLSSRGAFPYHIDGPISNLTDVLSTGSSLSDVLWPFAGLLKDHAFFVWKLSLLDSILDLCMHDISSSVEHNFTTNQLYITLKKKLTNHVEKQVYRFVMERIAPAFIIQIEKETSDLLQLSQGRRESGQPKIDSTAVGRVAVMLEEYCNAHETARAARAAVSVMQRQLNDLTEALRKIVIEIIQVEWLHDLSSPHALNAKVLSQKILTDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPPEFHDHLLKRRKLLQVIQEQASDIANICTSILEFEASRDGLYLVSEDKSSKQSTDRGRTWQQTFLNLLTRLDAAFRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSLRAKSALGLPSTHEFVTLFALALNDTLASMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYILGKDAAAMHNSVMANLSKANTVLLPLEASLSADLTVMSEAISKEREKNSTSMPLIHGKALYQSYIIRIRDAYKSLEPLVPPLTDNVKELHSLITKLGRLSSIHAGSLHKALEVLGESENVRSQDIPSTHADILQSDSSIDKDKGSSGSREGGSQDLVISTDLSLQDECWISPPEHSYTSSSGCTTELTQINSSENLENMDPLLVDRPEMEAPGANGQERGADSESDSSSHKQFLDGVTLTNVSSIDEVETSLAKERKTENENTNLPFKQVRGQECDNSDPKSYPDSVTRVTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEHVDHLLKQATSIDNLCNMYEGWTPWI >LPERR03G28020.1 pep chromosome:Lperr_V1.4:3:24453446:24459749:1 gene:LPERR03G28020 transcript:LPERR03G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSSTALRRQPLSRITPLYHMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYTRMRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDLEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNCARFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDGAVKLALKIKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMNLDSQCCHPREPSGEIDIEPSGGRTGKARHGTANPPCSSSGSRSE >LPERR03G28020.2 pep chromosome:Lperr_V1.4:3:24453446:24461154:1 gene:LPERR03G28020 transcript:LPERR03G28020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSSTALRRQPLSRITPLYHMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYTRMRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDLEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNCARFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDGAVKLALKIKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >LPERR03G28030.1 pep chromosome:Lperr_V1.4:3:24458773:24461933:-1 gene:LPERR03G28030 transcript:LPERR03G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSRPVANRSRNEIVVRRVYLRVGSRLVTSRLLPPPAPISREQKRGAIVLLFPPHPHRSAPGRRAKRPRRLHDLRRKEVSILGNEMIPIWGAKRARNLTGSRKLKSILAQINTDWRDWTNLGEGPAGLIAERILSNDFAVRGDSAPRTRADRRFHPRQWVLFETDENHGRGFVNLCSGRLNLLPEIRDHDVFGPTTEGLLVLVDRTTYVVRLLNPFTRQASNLPPTTELLSPDGCRLELRYKSLKVSGAGLADDFTVAVLFGDIDTVAVAKPGDVHWTVVHRALGGTWLAAGLSFAGNFYCASATQVMVVKMSAECPPRMAIAAKLPRPISAMMLDSVHLVEIEGKLMLVDRQSNGSREMRKFKVYHIDLDAGKMVPVHGLFGHAVFIGVEQSLSVSPSVFPSISADTIYLGFDSLLTGTMDYSPIHLMDGTAEPRNDVNDINGMPIYQPQCVDEYLSWCVTPKHTYGSMSISPLGSRGWQHWLSKLVHTTITPSLTICIVNCCKFDRRISNCV >LPERR03G28030.2 pep chromosome:Lperr_V1.4:3:24458773:24461452:-1 gene:LPERR03G28030 transcript:LPERR03G28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPGRRAKRPRRLHDLRRKEVSILGNEMIPIWGAKRARNLTGSRKLKSILAQINTDWRDWTNLGEGPAGLIAERILSNDFAVRGDSAPRTRADRRFHPRQWVLFETDENHGRGFVNLCSGRLNLLPEIRDHDVFGPTTEGLLVLVDRTTYVVRLLNPFTRQASNLPPTTELLSPDGCRLELRYKSLKVSGAGLADDFTVAVLFGDIDTVAVAKPGDVHWTVVHRALGGTWLAAGLSFAGNFYCASATQVMVVKMSAECPPRMAIAAKLPRPISAMMLDSVHLVEIEGKLMLVDRQSNGSREMRKFKVYHIDLDAGKMVPVHGLFGHAVFIGVEQSLSVSPSVFPSISADTIYLGFDSLLTGTMDYSPIHLMDGTAEPRNDVNDINGMPIYQPQCVDEYLSWCVTPKHTYGSMSISPLGSRGWQHWLSKLVHTTITPSLTICIVNCCKFDRRISNCV >LPERR03G28040.1 pep chromosome:Lperr_V1.4:3:24470607:24472100:1 gene:LPERR03G28040 transcript:LPERR03G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHDGGGGDGAGSGLPRSHPSNLPLPNPHSDPNLQFSATDDDFSNRHSSSSANGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASITGLGLGHGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDPAQGLLYSGSWDRTFKVWRIGDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWRRELQGKGTKHVAVQTLLKQEHAVNALAASAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALVLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPVDAAAMADSSGGFGVRWIVYSGSLDKSIKVWRVAEDVPDALLHGPGGGDAPQMFDRYPGDPFGASSSSFR >LPERR03G28050.1 pep chromosome:Lperr_V1.4:3:24475603:24479346:1 gene:LPERR03G28050 transcript:LPERR03G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGATRGQAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEANQPARQRRRRRRPTSEGSNAGEEGSAQTEIEEEEEEEEEEEEAEEKLEEEEEDEEGHASPTYRIAFPDFPGGPGTFEAAAKFCYGVRVELTAWNVAPLRCAAAYLEMAEDHSDDNLAARADAYLDTAILPHPGEATKALKSCEELSPHAENLGLVARCVDAIASRSSSSASRAWFDGLAVLGLPMYKRVMSSMASRDDVRPEARESCLVSYARGTIPGLSRSTRRRLASAPVSSEVQQRELLEAVVDSLPDGNCSGRVVTARFLFAMLRTAHILRASAESRATLERKAATQLQHATLEDVLIPSYSSAADTLYDVDLVDRVVRHFLADADDEEASTSAAVSRPSALAMVHVGKLVDSYLAEVASDANLKPAKFCELALALPDHARVYDDGVYRAVDIYLKSHPRLTAEERDRMCRVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLMSSAAAAGGNTQARYHRYNPAAGVRHSVDSAAGGGVAAARQDSRVLRLDMDSMRNRVQDLERECTSMRRAIKKIDGGGSSAAASPRRSEAGDGAASVDGSSRTANWRSRYGCKFSTQVCDSHARNVVASRASRMGMSP >LPERR03G28060.1 pep chromosome:Lperr_V1.4:3:24482776:24484231:1 gene:LPERR03G28060 transcript:LPERR03G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLSLNNYTHNLSPSSIHTDLSSSYSSSSPYTDILDLLQKILAVGIHGVRCQCVIPQIQQEKLRSNWSSMSASVIHRESRFGDDTGCRLPTRKIHFSDGTGCSSSMMGKLLWRRRVYAQQVCRLYRGEITPAMAQVAGCP >LPERR03G28070.1 pep chromosome:Lperr_V1.4:3:24486604:24488974:1 gene:LPERR03G28070 transcript:LPERR03G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGRMLRATTTKAFHSDSSHHHLPSSSAGGGACRIPSLKFPSLWESSTSKRQGGGVSSSRAAAERKAALIAVGSAGVTAPEREREGFFLPEEARRSSDLLLPLAYEVARRLVLRQLGASSRPQCWSKIVEATIHQVEAWSFADVNGEIIQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFLQFRAMSQTVDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRNIQKPGMHVDQSHLGSFNLKKLKDGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSQLTRTQQQSCKPTAFA >LPERR03G28080.1 pep chromosome:Lperr_V1.4:3:24492669:24492926:1 gene:LPERR03G28080 transcript:LPERR03G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRMEADALCPELPKALKRCVDPAKFAIDAVSEVFPVDRQAVRSPTNLAWARQRRGQPAGPAEAGYLPEKPTGVEEEAKSGLD >LPERR03G28090.1 pep chromosome:Lperr_V1.4:3:24493736:24494359:-1 gene:LPERR03G28090 transcript:LPERR03G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIIFCAAIAAAVLAVVLLATVSPLPRRTAAGHKGGGGQHHTRTLTVYIHPTPASSAEAVSRQREHGAFVFRHRVTEGPEIASRTVGVATGFAIRGEAGAAAVEVFDTVHLAFDAEGMMSSGSVCVQAATGGGGEKKAATRRRRGECGGGEEVAVRVVGGTGAFAFMASGEGVVRAECSPAATRVFGGGNAAAKVLRLELSVATT >LPERR03G28100.1 pep chromosome:Lperr_V1.4:3:24502861:24503412:1 gene:LPERR03G28100 transcript:LPERR03G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVTSSNTHHHHHSILSGEATAARVVLADDGALRRFPGGTRASQAVKAASAAAGGGGSSPSSAAWFLCSADGLELGAAVAAVAGDEELQPGQLYFVLPSSMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAAAGDGDRRGGKGCRRSRRRQSRGRDFVPDLGAIAE >LPERR03G28110.1 pep chromosome:Lperr_V1.4:3:24509165:24514120:1 gene:LPERR03G28110 transcript:LPERR03G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGLRDMDALESVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLRSLASTGFQASNLGDAIDVVNQMLGDAKPGQPPNLPGRLSHEKPREDCDEAELDPTCRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGMLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKFHGAKETN >LPERR03G28110.2 pep chromosome:Lperr_V1.4:3:24509165:24514120:1 gene:LPERR03G28110 transcript:LPERR03G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGLRDMDALESVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLRSLASTGFQASNLGDAIDVVNQMLDWRLSHEKPREDCDEAELDPTCRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGMLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKFHGAKETN >LPERR03G28120.1 pep chromosome:Lperr_V1.4:3:24514664:24520454:1 gene:LPERR03G28120 transcript:LPERR03G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPREQIGAGSGSDSSEDRRRKKRGSRKGKDKKEERRDRRRRRKRSKYSSGDDDSEEGSDSGDSIDEEEEKELSRGKRRRKHRRHDFSDDDDDESSSGGERRASGKSKSKSKRKGAASDDEDDDDEEAGIGGVEVRASEVIRKEMGLEWMLKSATSGRVESSHSRGVDKDEEEVVQEEVKKANPKELNPYLRDNGSGYPDESSPSSAGSQLLASSVVGDGGASWRLKALKRAKEQAAREGKNLEEVVEERWGSLGHLAASVSASRAAPCHAHLHAIRGRKAGQGGSSEPTKGNMKEGQPDGDSGSREYLRDVSSRHHVMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKNANVSTAEIDEPKSNKDNSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEESRQEVRNSTRHAIKPNAADRRKREEDADRHLANKIMHNKKYNMAKSVEDEYDDFGDAPSKKGKRRNKEAHEERRSTHRLTTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFEPLVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLRMFLQQDKDVVFMETVISLAKQRRHCMIECIPVPQEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLRLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >LPERR03G28120.2 pep chromosome:Lperr_V1.4:3:24514664:24520454:1 gene:LPERR03G28120 transcript:LPERR03G28120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPREQIGAGSGSDSSEDRRRKKRGSRKGKDKKEERRDRRRRRKRSKYSSGDDDSEEGSDSGDSIDEEEEKELSRGKRRRKHRRHDFSDDDDDESSSGGERRASGKSKSKSKRKGAASDDEDDDDEEAGIGGVEVRASEVIRKEMGLEWMLKSATSGRVESSHSRGVDKDEEEVVQEEVKKANPKELNPYLRDNGSGYPDESSPSSAGSQLLASSVVGDGGASWRLKALKRAKEQAAREGKNLEEVVEERWGSLGHLAASVSASRAAPCHAHLHAIRGRKAGQGGSSEPTKGNMKEGQPDGDSGSREYLRDVSSRHHVMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKNANVSTAEIDEPKSNKDNSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEESRQEVRNSTRHAIKPNAADRRKREEDADRHLANKIMHNKKYNMAKSVEDEYDDFGDAPSKKGKRRNKEAHEERRSTHRLTTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFEPLVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLRMFLQQDKDVVFMETVISLAKQRRHCMIECIPVPQEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLRLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >LPERR03G28130.1 pep chromosome:Lperr_V1.4:3:24521869:24524722:1 gene:LPERR03G28130 transcript:LPERR03G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAEAAAAPPPRHSCAKLSVAVEDPKAADAGGGAVFVKATWRPTRFSLAVTDGAGAWVAEASDAEVRLRAEQWDQPVGDYLALAERYLAFQQPSSTYSFHDAGNGNRRLSWTFEKQGTKLEWRWKLQPSPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERLNIEKADFEQATFSKFVAVLNSKKAKLRQLKEKLVELESTDKAPKEEEDNSTDKTELFEGESDKDASVNDEPSDTGGGDLHSSPENCSHLQRGEV >LPERR03G28140.1 pep chromosome:Lperr_V1.4:3:24533587:24537916:1 gene:LPERR03G28140 transcript:LPERR03G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESYYGAFHDEAAFFFPHHGVMAPASPELPFGLIASPEPSPPPPSETRHGAFQDYAGAMPVMGGGGGTNIHRRVLDVLGRMGTAGAGAAVAGERGIEEEEEEEEPRRRRGNGQGGDVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKGARDQLQRRNEELKAKIMGHDEQQQCVKVQFEVDQPTSSIDSMIGALRRLKSMNVKARGIRSSLSGNRLRTEMNVETTIAASEVERAVEEALNEVGRNQLDSDAPFPGSRGWTQTSHVQNVF >LPERR03G28150.1 pep chromosome:Lperr_V1.4:3:24547270:24551132:1 gene:LPERR03G28150 transcript:LPERR03G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGSDLLDYFYCCSRFPASPIHSPPPRRRLHRWAGVEGGFSPGCDERGRELFMEGMEQSNREAVESCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFGSVTSRLNNGNCLNNGNGLQGHARVRKIKKPLPIFDSNLFLESSAATAATAAKTSNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPVVDSDGPSRGLPLQLVQPVSVAPPAGTPPPALPSAHLHFIQQQQSYQRFQLLQQMKMQSEMIKRSGLGEQGGSTGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >LPERR03G28150.2 pep chromosome:Lperr_V1.4:3:24547408:24551132:1 gene:LPERR03G28150 transcript:LPERR03G28150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEQSNREAVESCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFGSVTSRLNNGNCLNNGNGLQGHARVRKIKKPLPIFDSNLFLESSAATAATAAKTSNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPVVDSDGPSRGLPLQLVQPVSVAPPAGTPPPALPSAHLHFIQQQQSYQRFQLLQQMKMQSEMIKRSGLGEQGGSTGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >LPERR03G28160.1 pep chromosome:Lperr_V1.4:3:24559646:24561251:1 gene:LPERR03G28160 transcript:LPERR03G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLQPLLLAVAAVALLQLIKLSITRPSRTPPGPWNLPVIGSMHHLVNTLPHRALRDLAAAHGPIMMLRLGQTPLVVASSRETARAVLRTHDANFATRPRLLAGEIVGYGWSDILFSPSGDYWRKLRHLCAAEILSPRRVLSFRHIRDHEVTSRVDEIRAAGSPAVVNLSVMFHAMTNTIVSRAAFGRKRENAADFMAAIKAGVGLSSGFNVPDLFPSWTDVLAAVTGMKRRLRGIHATVDAILQEIIDERRGVRGGGENVEENLVDVLIALQEKGGFGFDLDDNKIKAVILDMFAGGTGTSASALEWAMSELMRNPAVMKKLQGQIREAFRGKTVVTEADLQASNLRYLKSVIKEALRLHPPAPLLVPRESIDMCELEGYTIPAKSRVIVNIWAIGRDPKYWEDAEEFKPERFDDGTVDFTGSSYEFLPFGSGRRMCPGYNYGLASMELALVAMLYHFDWSLPDGVKEVDMEEAPGLGVRRRSPLLLRATPFVPTVSPLIN >LPERR03G28170.1 pep chromosome:Lperr_V1.4:3:24564969:24565718:1 gene:LPERR03G28170 transcript:LPERR03G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSAAYAKIPTYPPPPSAYPAPQPPPPAMPQPVPAAAQGPIHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWGEVFRAPAFSRPPSVGEAIARARRNTAYFRANYALAVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPTSSAGQPLRLLGMEFDDGTVLAALTGVTVIALLFTNVGWNVIGSAMIGAALVGAHAALRSTDDLFLTEQEAAGDGLVAAGDGLVAAGMSAAGPILPTYVRIG >LPERR03G28180.1 pep chromosome:Lperr_V1.4:3:24570030:24574687:-1 gene:LPERR03G28180 transcript:LPERR03G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEVRVRREALAARLTCPLCKGLFREATAITECLHTFCKECIMEKIDDEEIDCCPVCDISLGGDPEEKLRPDHNIQDIRNKVFPIKTGEVGAPKAPTVTLPVKRKERSLSSLVVDTPMVVDTPRVAMQTGMTGRRTKAARRTAVSHVNSPGNNGAIKLENKSEGRDHKTEKISAAQSTKMTKIGNKKQNNTEVDVKIEPFSEDRKDDNTIDKKDLKKPLNSLLDATSRTKFLRPSPKGRAAKKDKIKNSEDENPKRKDNKEDKVVVTGRKVMPSSNKVKLEEENNGNSSQSASSKDKTTSDYELRKGEHADSQQRLIGSTKTGGALHDEITTSVWFSLVPSPDQKGDPELPQLPAKYLRLKNGSLQVSSIQRYIKKKLDLANEDEVEITCHGEVVHPSTTLQNLRELWLNSSPTEEVEASLGAQAEEFVMVLEYRRPQQPPSP >LPERR03G28190.1 pep chromosome:Lperr_V1.4:3:24579113:24580217:1 gene:LPERR03G28190 transcript:LPERR03G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRKMMRVLLVEDEEINRLELAQVVARAALKAAAGGGDDDLVLDEAENGAAAVQRVRDAATAAAAPYDLILMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVEAFVAAGADDFTSKPLSKEKLGDILAKFRLA >LPERR03G28200.1 pep chromosome:Lperr_V1.4:3:24580953:24585747:-1 gene:LPERR03G28200 transcript:LPERR03G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVGGGEAAAAAGGGGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIVTAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNGRVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >LPERR03G28210.1 pep chromosome:Lperr_V1.4:3:24590843:24594882:1 gene:LPERR03G28210 transcript:LPERR03G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPSAAASLVVPTSVICTPLQHPAAPADALPLLPYAPLRCSGGGCGAALNPFSRVHHASARWACPFCGATANPFPRQLAPDALPAELFPTHSSVEYALPLPDPSAAGEAGPGPPAVVFVVDAATARPELDALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVINGEREIESGKIQDFLGIRHARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNTGGRIMVFTSGPTTIGPGLVVETDLGKAIRSHRDIFNGNAPLTDKAREFYKKIANRLTTHALVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFKREGVDYLNMNFNATIEIVTSREVKICGALGPCISLHRKSSSVSDKEIGEGGTNYWKMSTVNSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGTYRLRVTTVARRWATPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLDREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLADRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDELAVQGITTALERARKLHAMIIPSCLKTQPSQ >LPERR03G28210.2 pep chromosome:Lperr_V1.4:3:24590843:24596739:1 gene:LPERR03G28210 transcript:LPERR03G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPSAAASLVVPTSVICTPLQHPAAPADALPLLPYAPLRCSGGGCGAALNPFSRVHHASARWACPFCGATANPFPRQLAPDALPAELFPTHSSVEYALPLPDPSAAGEAGPGPPAVVFVVDAATARPELDALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVINGEREIESGKIQDFLGIRHARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNTGGRIMVFTSGPTTIGPGLVVETDLGKAIRSHRDIFNGNAPLTDKAREFYKKIANRLTTHALVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFKREGVDYLNMNFNATIEIVTSREVKICGALGPCISLHRKSSSVSDKEIGEGGTNYWKMSTVNSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGTYRLRVTTVARRWATPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLDREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLADRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDELAVQGVAYGHLKFMQQAPNI >LPERR03G28210.3 pep chromosome:Lperr_V1.4:3:24590843:24596428:1 gene:LPERR03G28210 transcript:LPERR03G28210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPSAAASLVVPTSVICTPLQHPAAPADALPLLPYAPLRCSGGGCGAALNPFSRVHHASARWACPFCGATANPFPRQLAPDALPAELFPTHSSVEYALPLPDPSAAGEAGPGPPAVVFVVDAATARPELDALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVINGEREIESGKIQDFLGIRHARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNTGGRIMVFTSGPTTIGPGLVVETDLGKAIRSHRDIFNGNAPLTDKAREFYKKIANRLTTHALVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFKREGVDYLNMNFNATIEIVTSREVKICGALGPCISLHRKSSSVSDKEIGEGGTNYWKMSTVNSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGTYRLRVTTVARRWATPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLDREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLADRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDELAVQEK >LPERR03G28220.1 pep chromosome:Lperr_V1.4:3:24595320:24597332:-1 gene:LPERR03G28220 transcript:LPERR03G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAAAAAAGKRGFEETIDLKLKLPTAGMEEAAGKTPEPAADKAKRPAEAAADDAEKPPAPKMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >LPERR03G28230.1 pep chromosome:Lperr_V1.4:3:24615067:24616923:1 gene:LPERR03G28230 transcript:LPERR03G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGRPPSWDPTVSLRLGHPALVLLERCAGGGGGGARQFNAILAHMLRLGLAFETFPMSRLLHFATTAAHARVADLLFRHFTPRPNLYIYNLMLSSSSSPPNRAAALYGSMLASSIPPNEQTFLSLLKSATAEMIPSSSSSFGKQVHAQIVVNGLDSRVYLRNSLIKMYLDAGDVEAAEGMFFRRCAQQTAAVDAASCNIMLSGYLRGGFGEKALRFFRGMAMAASRRGDVGVFDQYTAVALLACCGRLKTAILGRSVHGVVVRRIGVADRGGLILSNALLDMYAKCGEMSMAMRVFGEAGEKDGISWNTMVAGFANAGMLDLASNYFDQAPTRDVISWNALLAGYARYNEFAATMALFDDMLASGVRPDKVSAVSLISAAAGKGTLNPGKSIHGWVVKEHGTRDAFLASALVDMYCKCGSVKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQSEGIEPNSVTLVAVLAACSHSGLLDEGCKIFYTMKQRFDIEPGIEHFGCMVDILARSGRLSDAVDLARTMPMKASRSIWGTILSASSACQNTEVAEIASKELLCLEPSEGGGYVLLSNLYAAEGQWNYSNKVRENMDRKGVRKSAGASSFGC >LPERR03G28240.1 pep chromosome:Lperr_V1.4:3:24625798:24627882:-1 gene:LPERR03G28240 transcript:LPERR03G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQPSSPPVSTLSALLSGCASLDAAAALHARLLKSSRLFRPVFLANCLAAAYSRLGAASSAVALLRHAPEGNGFSWNILLGATLRSRGLLAARRVFDGMPDRDAVAYNSMMSGYVDGGHSQEAFGLVRAMLEAGVRPSGFTFSIVLSAVRGARHGVQVHAAAVRHCFAHQDFAVGNALINMYRRVGLMEHAVRVFWSMNGHDIISWNSVMSVYRDDGRSSRVFECFRLIRSHGFSVDECSLSTVLSACIDAEDLSKGDQLLAYCVKMGLLTNSLICSAVISLLCASDRLADAVWLFKGMATWDSETCNAMISGYARSGLMEQAMSLFALALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKIGLEDDLIIATALVDMYCKLASLEHAKKIFSRVNFKDLVLWNTMVVGLSHNGRGKEALQVFRQMLNCNIQPDRITLSGVLSACSLEGLVKEGIEIISLFKDKYYIVPGVEHHKCVVDMLSRAGMLGEAVYFVESKLQKCIVASLSNILEASLIKRDFHMADLIAEKMIKMKTRSSLPYIVLAQSYGAKWASPTVSQS >LPERR03G28250.1 pep chromosome:Lperr_V1.4:3:24630105:24632770:1 gene:LPERR03G28250 transcript:LPERR03G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >LPERR03G28260.1 pep chromosome:Lperr_V1.4:3:24637605:24645847:1 gene:LPERR03G28260 transcript:LPERR03G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVEFEENEDDEEIDCDQVHILKLLLGITRQSMWVLLYKMIWMRMTGDSMLELSGPGAMQIGDKLDDDDMHDSNDCLTVNAQEIDAYWLQRKVSRAYGDTDSQCGKKLAEQILNILAEGDNKDVETRLVMLLNYENFDLIKLLLHNRFKIFWCTCLARAENQEQRNKIEEDMLSNSTLTPILEQLHATRASEKDRLKNMQKTIRDEAMRLSQNKSDIDVVRDHKTVWQDMESGWLKGQKQPLDLENLSFHQEPLFFEERSFRIPHKGYVEVHVPALKAKPYETGERVVKISNMPEWAQPAFAGMTQLNRVQSKVYDTALFKPDNILLCASTGSGKTNVAVLTILQQIGLHTKDGEFDHTKYKVVYVAPMKALVAEVVGNLSGRLKEYNITIIVTTPEKWDIVTRKSGDRIYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTIRQIETTNEHIRLVGLSATLPNYEDVAVFLRVHSGGLFHFDNSYRPCPLAQQYIGITVRPAFQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDSALANDTLTRFLKDESTSVEILGAHADLVKSNDLKDLLPYGFGVHHAGLARVDREIIEELFTHKHLQVLVSTSTLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGPSEMQYYLSLMNQQLPIESQFISKLVDQLNAEIVLGTIQNVWEACSWLGYTYLYIRMLQNPTLYGLPADIMETDKTLDERRVDLVHAAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYVSHRTISTYNECLKPTMGVIELCQLFSLSEEFKYVSARHDEKMELAKLFDAVPIPVKESLEERSAKINVLLQAYISRLELEGLSLSSDMVYIRQNAGRLLRALFEIVLKRGWAELAEKALNLCKMIDKQMWNVQTPLHQFPSIPKGILIKLEEKELTWQRYYDLSSQEIVEDNGGDNILHHEYFMLKKQYVDEDHTLNFTASIYEPLPPQYFIRVVSDKWLGSQTILPVSFKHLTLPEKYSPPTELLDLQPLPVTALRNARYQSLYSAFKHFNPIQTQTFTALYNSDDSVLVAAPTGSGKTICAEFAILRNHQEAASGESIMHVVYITPIEALAKERYKDWERKFGELACVVELTGETAADLKLLDKGEVIISTPEKWDALSRRWKQRKQIQQVSLFIVDELHLIGSENGHNLEIIISRMRHIANHIGSNIRIVALSASLANAKDLGEWIGTTSHSLFSFSPAVRPVPLEIHIQGSDIEIFEARMQAMSKPTYTAITQHAKHVWCGYLHEGLSDLDQERVTQLFLGGRIQVCVASNTMCWGRSLPSHLVVVMGTQYHDGRGNGHTDYPITDLLQMMGHANRPLQDNFGKCVILCHAPRKEYYKKFLFEAVPVESHLDHFLHDHMNAEVANGVIENKQHAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSEHLSKLVEKVLNDLESTDCLAIEENNLKTLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILVSASEYAELPSRPGEEDSIERLIRHQRFSIEKAKYDDPHVKANTLLQCHFSRHTVVGDQAADQREILLPAHRLLQSMVDVVSSNGWLTVALNAMELSQMVTQGMWDRDSVLLQLPHFTKELARRCQENEGKAIESIFNLVGMSTHEMQDLLQLSNSQLQDIIEFIKRFPNIDMAFEVPEADGIRAGNIVTLQVTLEREMINLQSEVCPVHAPRLPKPKEEGWWLVIGDGFTDQLLAIKRVALLKRARVKLEFTAPAEAGRKDYMIYLMCDSYLGCDQEYEFAIDVKDARGD >LPERR03G28270.1 pep chromosome:Lperr_V1.4:3:24647776:24651329:-1 gene:LPERR03G28270 transcript:LPERR03G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAAFAAARLPQQRRCDSTPPPARVAVAARRNRVGIAATATGRGVREVRARASLIEPDGGRLVELVVGEERREAVRREAAAMAAPLRVRLGRVETEWLHVLSEGWASPLRGFMREAEFLQALHFNAIRGDDGAMVNMSVPIVLAVDDAQRRAILASGARRVALVDARDRPLAILSDIEIYKHNKEERIARTWGTIAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLTPSEGRSKLREPVAA >LPERR03G28280.1 pep chromosome:Lperr_V1.4:3:24660310:24667168:-1 gene:LPERR03G28280 transcript:LPERR03G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAESDADGPPARRSDATDVSGNTWDLAAAAAPPAGGEVYIYRNTFNLVPRSVGGCRGRLRSLKFFGNDVEVLPPEAGELDQLESLQVKVSAPRVSGAPLRRMRALKELELSMVPPRPSSCSILVEVAALKCLTKLTICHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSEISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRISGSNSCVIPAWVCCDMRGNGENNMKPGKLKSIAVVSNTSAQSRSMNHSCNASRLCSHPEASSNLKVHPTQKAKKGWKRRDCLQQQARQERLESSRSKLNEDYVDEMAVNMTEDESPLHDMENKSEMKGIDEEGSLQDLSKEASSTSEDLSCIVDDDSYEHVKDSGMMLQDHNEVEKPGLTTKNYGNGSCISGNTDILSRSKIRCVENELEDTASSVHGVAEVVEENPSETSKHTWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSSYVTDEDAGQDFLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTESSKQINNLYGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACFPTNIKEDTDPEYFCRYVPLSRFLILLDDQGYTPRSPFPSVSLCKEIEATASSSVYHCKIGAVDAAAKIRCLDTRYASCDEIKKFEYKLLAEVRMLGALRKHQSIVDIYGHQLSSKWVQADDGKEYKILQSIIMMEYVKGGSLKGYLTKLLKEGKKHVPIDLAFYIAREVACALLELHKKLVIHRDIKSENVLVDLDSERSDGTPLVKLSDFDWAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLHAMREKNQYGLEVDIWSFGCFLLEMLTLGIPYQGLPDSEIYDLITRKKQRPRLTRELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAVYNLLCSLPTCYDIRTREIEPKPVTALFSGVHPVSVL >LPERR03G28290.1 pep chromosome:Lperr_V1.4:3:24668095:24671052:-1 gene:LPERR03G28290 transcript:LPERR03G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGGGGAAAAGGAGALMKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTANEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVSADADGSIPFFWGVDSENHLVFSDNADLLKGACGNSFAPFPKGCFYTTSGGLQSFEHPLNEMKPVPRVDSQGQMCGSNFKVDSKAKKDSGIPRVGSAADWSNHF >LPERR03G28300.1 pep chromosome:Lperr_V1.4:3:24672617:24688871:-1 gene:LPERR03G28300 transcript:LPERR03G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQEQQPPQPPPPPPESPLHRHHQGDPDQATPRLSSASTSGSAADEGGAVGGAAAFDAASGSSPSASPARGKNELELDFKRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAQLVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSVVTETIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEISTREKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHQLIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALALCSLHKNQVLQSSPKLASGEVGVDPSQRLEQETFSSDLSPQLSSLLDILVNLSQTGLSENYAAKSVKSSHGKGTGHNRSRTPSADKFADEILEISSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEQQSKTPNSTQRMSSATNFQKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEENESSLLTHMKIFGFLMRAMTAAVYNNSVNRIRLHTILSSNTFYDLLCESRLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSETSEDESGFLSATSFGLSRLDKERVYNASAVVVLIRSLLTFTPKVQLDLLKFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILSHALRIVEVLGAYRLSSSELRLLVRYILQLKVKGSGHLFVNMMDKLIQIEDIRRGNISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYGKRNGQVLRIFSVGAVDDANTLYAELFLHDNGVFTIATSNSSSLSFPGIDMEEGKWHHLAVVHSKPNALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRSKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLELEVIAPSSSQRIDTSMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFTFDGTSSDAFRASGTSSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNMNRTASYASGISPDSSLDDLTLPKFGDDMSSMGSLGDLDDFSAQKDSFSHLSELENADLARETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTTNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDSLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHSLMPSDENYGELKFVDLLDTIIAMAKSTFDSLIVKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHEFLESCVDLYFSCVRSDCAVKMAKDLTSTATDVKIMHDDDNESLKDTFSSLPQDQEQSAKTFSITSFPQEQKSSSSGSSGMHNSFDTAELKADNSSNQASSTKFLNGQANQVVQSAHDQGQLSDPSSNGIADSHQPADSPSSVSVNNIGSPVLSERSAHKAASTPNASPMAPFASWPGSAGSYNDGRHLTTSPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFVAQILADIISEQLKATLFIEGILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPLGVLSTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGNRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLAVSKPSQEDYSVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAKNLAVDLLKYLLVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSPTVFFEWLQNSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKEMGRKLREISKLDARHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLANNLGLDDVKIAKKEDGDMVMTSGSDTMSGLNLLTYGADQKEPDAPDFASFKDEDDLFKGGSTVSPPIGWTDDKSSINEQSLHSATELGAKSSSFSYQMTESIQGKSELNSPRRAPSVKGTEARTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCICEKNSEDELSVIDQALGVKKDILGSCESQQKSPSMWGGTAKVLLGGRAWAYNGGAWGKEKVCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFRVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEEGQDEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVRDTWVSAAGKSNTSDVKELIPEFYYLPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLAHQEIRKTSSSVSQIVTYNDKILIGAANSLLKPVNYSEYISWGFPDRSLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGIRRLLRMEKALCAHTAKITCVYVSQPYSLIVSGSDDCTVILWDLTSLVFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCTSDEAANSKTKSPPTTFGGLTLNGQTLEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDSSGHLFSWSLKDDSFKVS >LPERR03G28310.1 pep chromosome:Lperr_V1.4:3:24698804:24705739:1 gene:LPERR03G28310 transcript:LPERR03G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLLSSRSPSPLASPPLASPSSSLPLVFLLRIPAVPPLSRADEHPAMLMLHGTVLFMRPSSYQEVKSSLKHGTVAHNNLCSAVYNSVEGHHVQKLHIVPSFKVNFTRASNYLYKSLNERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEGLQSNGGGTSLSFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLLVLNGQECDD >LPERR03G28310.2 pep chromosome:Lperr_V1.4:3:24698954:24705739:1 gene:LPERR03G28310 transcript:LPERR03G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHGTVLFMRPSSYQEVKSSLKHGTVAHNNLCSAVYNSVEGHHVQKLHIVPSFKVNFTRASNYLYKSLNERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEGLQSNGGGTSLSFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLLVLNGQECDD >LPERR03G28310.3 pep chromosome:Lperr_V1.4:3:24699018:24705739:1 gene:LPERR03G28310 transcript:LPERR03G28310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHGTVLFMRPSSYQEVKSSLKHGTVAHNNLCSAVYNSVEGHHVQKLHIVPSFKVNFTRASNYLYKSLNERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEGLQSNGGGTSLSFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLLVLNGQECDD >LPERR03G28320.1 pep chromosome:Lperr_V1.4:3:24702458:24705266:-1 gene:LPERR03G28320 transcript:LPERR03G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWRPATLLLMAVLAAAAAWRGEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKARDTRHRHTNESTRRRVMFYTIAEYVAIMGASALQVVYIRRLFGKNVAYNRV >LPERR03G28330.1 pep chromosome:Lperr_V1.4:3:24713620:24715583:-1 gene:LPERR03G28330 transcript:LPERR03G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLEASVPAKLSDRRVYIQWGGALFWSRFRRSLRSEIMNPLHVIVKEEELDYAAAVAAEGGGSPSSWAAGMLDLPRPMEGLGETGPPPFLCKTYEVVDDPSSDTVVSWGFAGNSFVVWDANAFSAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPTSPPSSSSSSSSSAAAAAAACLEVGQFGRDGEVDRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRHGGAAANREIEDALSKKRRRPIEYLLPHQNGETIAAAAAVSPADGVSETDDVSTPRGEGGSGGGGDTESFWKQLLSLGLEERGGGGHESGRGGGADVDNSGEDDDDDDVDVLVQSIYHLSPK >LPERR03G28330.2 pep chromosome:Lperr_V1.4:3:24713620:24714806:-1 gene:LPERR03G28330 transcript:LPERR03G28330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHVIVKEEELDYAAAVAAEGGGSPSSWAAGMLDLPRPMEGLGETGPPPFLCKTYEVVDDPSSDTVVSWGFAGNSFVVWDANAFSAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPTSPPSSSSSSSSSAAAAAAACLEVGQFGRDGEVDRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRHGGAAANREIEDALSKKRRRPIEYLLPHQNGETIAAAAAVSPADGVSETDDVSTPRGEGGSGGGGDTESFWKQLLSLGLEERGGGGHESGRGGGADVDNSGEDDDDDDVDVLVQSIYHLSPK >LPERR03G28340.1 pep chromosome:Lperr_V1.4:3:24717800:24719260:-1 gene:LPERR03G28340 transcript:LPERR03G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMGGGERGRRLRVFFLPFFAKGHLIPMADLACRMAAASPERVEATMVVTPANAALIATTITRAAAKGHTVGVLCYPFPDVGMERGVECLGVAASHDAWRVYRAVDLSQPIHESLLLEHRPDAIVADVPFWWATDVAAELGVPRLTFNPVGAFPQLAMNNLVTVRSEIGRGGGEPEVPVPGMPGKEIAIPPSELPDFLLREDEHLALSWDRIKASQLAGFGVVVNTFVDLEKPYCHELSRVDARRAYFVGPVGMPSNAALHRGGDGNVDCLRWLSTKPPRSVVYVCFGSWAYFSPHQVRELALGLEASNHPFLWVIRTEDSGGRWAPEGWEQRVAGRGMVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTEVVAFGMRVWDGGKRSVREGESETVPAEAIARAVARIMESGGDGERARATAEQLAERARAAVGVGGSSWSDIRRLIDDLTVATASRDEQ >LPERR03G28350.1 pep chromosome:Lperr_V1.4:3:24721356:24723218:-1 gene:LPERR03G28350 transcript:LPERR03G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAVAGGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDAAMERHAVHLVLYYRAAPGLDRDLLKESLSDVLSQYPAMTGRLTRAVAADGQNGGWVVKCNDAGVRMVDAKAAATLDEWLATASGDEEMDLAYFEPMGPDPYIWSPFYVQLTEFSDKSYALGLSCTHLHNDPIAAVLFLNAWAAAHRRDSPYPPFLHSPALAVKSTAPPPEHPLLAAKSKATPTTTEMSSATFRFPPAAMRALLAAVDPATSPFAALAALFWLRIVAVAGDGDGEEREMTLAIDFRKRMNAPLPTGYYGTAVHLAAARADVSSGLAHVAAAVERRVAAVREEELWPAIEWLHARQAAGGEPFQMYGPELTCVALDHVPLYGGEFLAGVSPARAACRVGEAPGEGVVLVLPSPDGEAARDVAVTLPAEVTARICRDGEVLRYGAEVVFGPKLDDKAA >LPERR03G28360.1 pep chromosome:Lperr_V1.4:3:24728331:24730645:1 gene:LPERR03G28360 transcript:LPERR03G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAEKNATGDAEKNASPSPSPLSFTGRTVASGIWGKWCEGSTAALRRWEKAARAKGMYNASFCPVYVTPDLQKSPQSSQATAPASSREATWAARAPRLNRRAWHRCTGSSSQGDGTAGSCAESARAGQIYPRNPGPSSIRQLLLLRRAMPLLLPPSPPPAPRAPGVTGARLFSSLPPPPLQSRRLETLLLLQFSASALLGWRLWIFPSAVN >LPERR03G28370.1 pep chromosome:Lperr_V1.4:3:24731604:24731801:-1 gene:LPERR03G28370 transcript:LPERR03G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRKPAKVAPRGGGVPASSGGVDLRDDVLLLLAVPAVSTENGGDVRGDGGAPPESAAAVDG >LPERR03G28380.1 pep chromosome:Lperr_V1.4:3:24745029:24747859:1 gene:LPERR03G28380 transcript:LPERR03G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWGSETGSRGPIHGATSCCRALEPMFSSLQRALPWRSSFCWLAIKEAVASYMEGAVLSDDNHTSLQANLAAFRAHYYTILKERVEMVDQPPIADGRLWLSCACGIAPASKIMENIKDVMF >LPERR03G28390.1 pep chromosome:Lperr_V1.4:3:24779764:24782750:1 gene:LPERR03G28390 transcript:LPERR03G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAGGMATGSGSRVTRYAKSTAASVTPVRPGKTHALSALDAAMERYAVHLVLYYPAAPGLDRDLLKELMGPDPYIWFPFYVQSFGLVGLRYIFFQPVFRKSYPLHFAEPKRITLSQYLTDLQDQQKMFEMVHIPPGLRFQGIPHWIVLWAIDARVIFKKLFASTFKTEDNQRQFNIDSVFYRPDLGTVEICAEH >LPERR03G28400.1 pep chromosome:Lperr_V1.4:3:24820343:24827083:1 gene:LPERR03G28400 transcript:LPERR03G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTPEFSAADIMWSMVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYWCSFGPDDYRTGGTVRPSRNSSTQRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHNKHVDKKAKPCHGPMDDMAIGTKAMFAPYISDGLRLQIMSLLCVGIPVETIMQRHTEMVKKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAVSINIWVESHEDHVFLYEDFSDTDTFVVGIQTDWQLQQMIQYGNRSLLASDSKFGTHKLKYPVHSLLVFDQQKNAIPVAWIITPNFAHGETYRWMGALYDRVRTKDPTWQLGGFIIDDPLADVRTIREVFQCAVLISPWRIRHAWHKNLMNKCPDNEKRPMMAKRLGEVICNICRGNGGMELFEGFLEDFVDCAGAWVNMLKTTPLASTEVASAIERYHHLLKLRLLNEANEKVYQRADWLVHKLGTKVHSYYWLDGYSGKDNFSRYWRSEWKSGSNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVILNPGSDLVLCDCSWSRKGNICKHAIKSTKVSRQRGLAPPSLALFQYYQALANVVHCPPSDTVISDHAVAVAVFVRTQLDSLLDETNGNSPNSSTFKDPQVNEPRESDVQEANIKNDVCASQSPDESGDEDHYVFKKRKSREASDEEGGAMQITQPSETESSQATDRQEPLDRQENPACKKY >LPERR03G28400.2 pep chromosome:Lperr_V1.4:3:24820343:24827083:1 gene:LPERR03G28400 transcript:LPERR03G28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIQIAMARWDEILTLPVQNPPTPEFSAADIMWSMVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYWCSFGPDDYRTGGTVRPSRNSSTQRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHNKHVDKKAKPCHGPMDDMAIGTKAMFAPYISDGLRLQIMSLLCVGIPVETIMQRHTEMVKKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAVSINIWVESHEDHVFLYEDFSDTDTFVVGIQTDWQLQQMIQYGNRSLLASDSKFGTHKLKYPVHSLLVFDQQKNAIPVAWIITPNFAHGETYRWMGALYDRVRTKDPTWQLGGFIIDDPLADVRTIREVFQCAVLISPWRIRHAWHKNLMNKCPDNEKRPMMAKRLGEVICNICRGNGGMELFEGFLEDFVDCAGAWVNMLKTTPLASTEVASAIERYHHLLKLRLLNEANEKVYQRADWLVHKLGTKVHSYYWLDGYSGKDNFSRYWRSEWKSGSNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVILNPGSDLVLCDCSWSRKGNICKHAIKSTKVSRQRGLAPPSLALFQYYQALANVVHCPPSDTVISDHAVAVAVFVRTQLDSLLDETNGNSPNSSTFKDPQVNEPRESDVQEANIKNDVCASQSPDESGDEDHYVFKKRKSREASDEEGGAMQITQPSETESSQATDRQEPLDRQENPACKKY >LPERR03G28410.1 pep chromosome:Lperr_V1.4:3:24839103:24839500:1 gene:LPERR03G28410 transcript:LPERR03G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEERRRRRAPKGGDERVDGVATLQRSSASMDGWTVFSLLLRIQRNKRRQKATTEEADGFGSNQRVDGLLLGDVMPSVRTASAGASSSDGIGWLNFAGGGGEESVGRAI >LPERR03G28420.1 pep chromosome:Lperr_V1.4:3:24841828:24845845:-1 gene:LPERR03G28420 transcript:LPERR03G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGELRTTERWIKPLQESERLKQLRPRNGIGISL >LPERR03G28420.2 pep chromosome:Lperr_V1.4:3:24843293:24845845:-1 gene:LPERR03G28420 transcript:LPERR03G28420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGELRTTERWIKPLQESERLKQLRPRNGIG >LPERR03G28420.3 pep chromosome:Lperr_V1.4:3:24843293:24845845:-1 gene:LPERR03G28420 transcript:LPERR03G28420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGELRTTERWIKPLQESERLKQLRPRNGIG >LPERR03G28430.1 pep chromosome:Lperr_V1.4:3:24846840:24848219:1 gene:LPERR03G28430 transcript:LPERR03G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEQWPGDAEAARPLYPMMLESPQLRWAFIRKVYTILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVLCPLYYYYQRHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTAVVVVSLTAYTFWAARRGHDFNFLGPFLFAAVMILMVFALIQVFFPLGRISLMIYGGLAALIFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >LPERR03G28440.1 pep chromosome:Lperr_V1.4:3:24848518:24852948:1 gene:LPERR03G28440 transcript:LPERR03G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGAGCSRSKSYGGSSSLVGAGAGEGGGADVFVRADNEMYVRADKIDLKNLDVQLEKTRSKVWLEQQRSSAAASPLPLKLLEWEIDLAKLDIQSQVAHGTFGVVYRGTYDGQDVAVKVLDWGQEGQESTAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSHLKIPTAKESRSGGSGSGGGGGQRCVVVVEFQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTVKIADFGVARVEATADGGDMTGQTGTIGYMAPEVLQGRPYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMTRCWDANPDNRPEMSEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >LPERR03G28450.1 pep chromosome:Lperr_V1.4:3:24859807:24860795:1 gene:LPERR03G28450 transcript:LPERR03G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRKKMVKIADFSMAHVEATTDGGDMTGQTGPLGTWLPRCSRAVPTTTSVTSTAWVFSSGRPTVAPWRIQIIASSTSSTMSSNWVLGQTYQGRDANPDNRPEMSEVVTLLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >LPERR03G28460.1 pep chromosome:Lperr_V1.4:3:24864546:24873104:-1 gene:LPERR03G28460 transcript:LPERR03G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAALLRLRASSCVHDAYIRWLCYNSDIHSLVSHTNLRLQRGYHNSGKFDLTDMTHPHMWYPNTREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKADVPCNLITGQEREEIEGAKHSSVTVEMADVTTEYQCAIIDEIQMVGCRTRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTVQYYERLSPLVPLNTTLGSFSNIKKGDCIVAFSRHEIYKLKRRIEMEGKHLCSVVYGSLPPETRTKQATMFNDEASDLNVLVASDAIGMGLNLNISRIIFSTLKKFDGICMRELTVPEIKQIAGRAGRYGSKFPVGEVTCLDADQLPLLRSALESPSPIIEERFLEKAKLSPDYFIADCEDILFAENYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRMEDSFPDRELAASQKLIEEYLERSGWQQQGQRKFLQKPKKLHRAYDASQLRKYFQEIDMKSK >LPERR03G28470.1 pep chromosome:Lperr_V1.4:3:24873453:24876745:1 gene:LPERR03G28470 transcript:LPERR03G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEAEVGKDAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTLQNELEQTSGENVQLKQSLEEKTRALDLLIQEHQAVKAELEQALTKLKVAEDENRSLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDFKETIVPSTCRITIRAHEGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWMKSCVLASSSNDHTIKIWDLQTGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVCVSWSRNFILTSGKDNMHNLFDLRTMEVCGKFTDTGNRVVSSWGRPCISPDENCIAAGANDGSVYIWSRLKKDGVPTVLQGHSSSVVSSAWCGLGPLATTDKNHIYIWT >LPERR03G28480.1 pep chromosome:Lperr_V1.4:3:24878539:24880135:-1 gene:LPERR03G28480 transcript:LPERR03G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGDSNTQRKNKKSAVARERIVVVELEMFLRSKVQEMILRRRSRSMNSSSGGGALAGQHATSSPAASPCDGSGAAGAGKRAFFGSPRLLHCASLPSGGGAEPEAAYSMNSPTSVLDAAAFAPSPDAAAAAGIAGGKRRPWCDGGGATHGLADALDSTDDGVLKKPLLRSCSLDRRVGVEFGVKNKSSWLPLRSAAGDSSSSPAAAASSPTGEIEIEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEGLAAVPWRSTATSSSALVGVGGVGGESSPRPINLPAPAPAREARGFLSL >LPERR03G28490.1 pep chromosome:Lperr_V1.4:3:24880529:24884663:-1 gene:LPERR03G28490 transcript:LPERR03G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFELDLDEIPRFQKQFIDEKGNERELEFIPSPAIRDERSVGEALQLSAAKRKQTKEGRAGGRISYASVEDKQAKKKGHS >LPERR03G28500.1 pep chromosome:Lperr_V1.4:3:24884706:24888442:-1 gene:LPERR03G28500 transcript:LPERR03G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGIEEAEVSGGAEFAPALVAAHPLGSSVAVAVGPELRVFDLKAGSAVSLSDDSGGSSHSDAIRAISFSANGVLFASAGDDKLVKVWKTDSWCCIRTITSEKRASAVAISNDGTHLTFADKFGVVWLVTMEESVGGQKPTDNKPVSILGHYCSIITSMKFSPDGRYIATADRDFKIRITLFPERPLRGVHEIQSFCLGHTDFVSCIAFTCLSEGPSFLLSGGGDSTVRLWDYINGCLLDTCQVRDKVEELIETSETGDNNLAVTDICPTNDGSLVAVAIQSLNGVMLLACDLVTKKLSFLKVMTMEKSYIPTSLSSSFSADLLWTVMGASNMPNQATSQLFTRLKIIPNFKKDPLASSDHVPTVLEDSEVPHGEKLLLALQGSLDIGKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKKRNICSSCDHRFVACT >LPERR03G28510.1 pep chromosome:Lperr_V1.4:3:24891819:24892247:1 gene:LPERR03G28510 transcript:LPERR03G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKVVAVTMSCECCGLEEECTGEYIGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRSVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASWKHQRRRASSSSTSSSSNSSSAASSPSPSPRAEHHHHRAASTLSMQLI >LPERR03G28520.1 pep chromosome:Lperr_V1.4:3:24896435:24896728:1 gene:LPERR03G28520 transcript:LPERR03G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVTMSCESCGMEEECTGKYIGGVRACFGGRWLCGLCSEAVKYEAGKSSPRSVEEAVRAHMTFCRMLKRRGPAERVAEGMCQMLRRRASSSSTS >LPERR03G28530.1 pep chromosome:Lperr_V1.4:3:24899149:24899556:1 gene:LPERR03G28530 transcript:LPERR03G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDVAVTMSCECCGLEEECTGEYIGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRSVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRRRASSSSTSGSSSSSAASSPSPSPRATAPEHHHHRAASTLWMQLI >LPERR03G28540.1 pep chromosome:Lperr_V1.4:3:24908446:24910236:1 gene:LPERR03G28540 transcript:LPERR03G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERGETGARRTAPPTTPQATATHCSSTASSCSSSSTANPSVAGTGSTPPPSIVVPWTARAGAGAGDGCYSYYYPGCRKDANCACEMCLASINATRDLLPPEAASARRCFAAAATAARGPLFAAAAGGGGDTKSSVTEPWTPPIRSTAKSSRRPSRKEEEEKNAGGGGSRDWALYAATVAGFLLLLWVDSGLVPEIAARGFGPKLSPETVAHLGTEARLAPGDLSHKLRALELRLRQFVSGGGGGGGDRVSNCSSQDSVWQFEQNEQRVFYWRCAMYSSAAEEVIVWGSPLRTSGLLPRAIPARHLTILSGEITEWSDGRVWPTVRASNGSSWSYRAGSSPAVRLEPETWVLEYKRSVVFEGTRLIPAAAELLASRCSAMARRARRRLAVVRRRFHGGAGGIQANPT >LPERR03G28550.1 pep chromosome:Lperr_V1.4:3:24911552:24918011:-1 gene:LPERR03G28550 transcript:LPERR03G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNGKSKASSAAGASGSNAAGVPRQVTREAVVWSDKMSEYLIDALLHQQAIGNRSEGRFLTAAFDSVITGVADRFGVTIDRSNIKNRLKSIKESFHECENLFEKESGFKWSAMTKKFYADPNVWREYIERKPEAKKWINKTIDHYDRLLELFGKDRERCPALSSPKSSPAKKARRDPPKEKPQRAPSQRAPSNGLKSPILIRSKEMVNENEVPSKVATKQNMAVEQDLSEKCTSENGLLATPVEGNSFGIGLPYAPENWPCSGDEWSWKVGNRASANGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPEIDPSTFFSMFIWKIPAKGDSIQRGGGEVRRVFCPNGSADPVGPCRARNNLCKLERDGFTESSPAQDCVICCKMPGFCRECCCVFCRKVVDYSFGGYSYIKCEAVLEENYICGHIGHLDCALRTFMAGTVGGSIALDVQYCCRRCDNKTNLMMHVEKLLDTCQSLQSRDEIEPILNTGLCLLRGSRQIRAKKMEKYMGSAMAKLKCGVDLAEVWKMEDNDVESTLSAEVFPATGGVTVLGIQQAPEEDGPPGFPYYVDFADNDLQRAVENLPAYITEDHKTMSVRFEDQIDHALKELKRSQEAEYKLAEQKLYSQKDFVLSLYRQLDSERSILADPMPLTDGDSPQYDTLMSNVMKRVDQVKREEDKLKVMLGIADGFGKTPSGVIKKHFGLSADTAN >LPERR03G28560.1 pep chromosome:Lperr_V1.4:3:24920113:24924252:1 gene:LPERR03G28560 transcript:LPERR03G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYSTPFQPYVYQSPEGSATAFQISGGDVQVLQVMVKSQEKLTAKPGTMCYMSGNIQMDNNYLPENDGGVWQWIFGKSISSSVFFNPASDDGYVGIAAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPHEVITVDAACIVAMTTTINFQLKTPNQPRRVVFGGGNQFTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >LPERR03G28560.2 pep chromosome:Lperr_V1.4:3:24919996:24924252:1 gene:LPERR03G28560 transcript:LPERR03G28560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTKEQPRQLVPSHKSSNTHNTSHIRDNVYRDVHVDIPGITYRPKLSETAQIIPRPKGRGGRLSIPSISRAARACRWRPAMAAPFYSTPFQPYVYQSPEGSATAFQISGGDVQVLQVMVKSQEKLTAKPGTMCYMSGNIQMDNNYLPENDGGVWQWIFGKSISSSVFFNPASDDGYVGIAAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPHEVITVDAACIVAMTTTINFQLKTPNQPRRVVFGGGNQFTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >LPERR03G28560.3 pep chromosome:Lperr_V1.4:3:24920213:24924252:1 gene:LPERR03G28560 transcript:LPERR03G28560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYSTPFQPYVYQSPEGSATAFQISGGDVQVLQVMVKSQEKLTAKPGTMCYMSGNIQMDNNYLPENDGGVWQWIFGKSISSSVFFNPASDDGYVGIAAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPHEVITVDAACIVAMTTTINFQLKTPNQPRRVVFGGGNQFTASLTGPGVVFIQSLPFHRLSQRIARSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >LPERR03G28570.1 pep chromosome:Lperr_V1.4:3:24924758:24925264:-1 gene:LPERR03G28570 transcript:LPERR03G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTGRAYFDRELDAWVGLSGDDDHHSGHICACDVAAPSDGSSPAWKVSKENLFSEVETEKDMGATLLCIGRGKYCLVQCVGVVIEDDDDGDEEERNYYSEKTEDDDDDDKELARPCRFSYRVSTFSLRYDKNGDLTTGGSHRQRVRYYKLPKEASPDCFHNPVAFWM >LPERR03G28580.1 pep chromosome:Lperr_V1.4:3:24934793:24935520:-1 gene:LPERR03G28580 transcript:LPERR03G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRPRGAISDEAHEVQLHDPALGGCDRRLIAPADALGQAAAAAVDDAYDTKVKIGEALEAAARVVGDQPARAGAVDGVAEQAQAAADANANAEEKANVTVTIADVLAWDATEMVSTEKAVTEEDAAAAAEAEAASDPGAATRAYGVSDALAAAARRNREDGERQSKRSTQPPAADECTAITLAKQFDRI >LPERR03G28590.1 pep chromosome:Lperr_V1.4:3:24939200:24948034:1 gene:LPERR03G28590 transcript:LPERR03G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKICDFDGVSGVSQGVGIGMGHNGSKRNPVPGTEDAVTKDLEKDNSLKVDEVTIDGDGDVDLGGGLSVVGKKRKDGRGSVDENGGITKRILRSNSMKPHANAEIEGDVALDVCKGDDLEKKACDVIAEGDRGGLLTTDTCNAEIDKEVTDVIVSSDAVVEAVMCSLNNKESLGLAEVEVAEGNDLETNIDQSDGKREKAVDKMSTPSDGQNGSVVVTSVGSMNTSEENKGINRPCQGEVIDSSATSNGYELSMGLSRSDLTSGRESVELEDAMVCANDQNVDKHCQVDDVHKEAEVSRIENGRCTVDNHIMLTDSTNQNKGMDSPVNETKGDSTPDIVFIRRKSISRGTCEGKQVKSEDEVQFEKRVTRSATVRQREVSASTCVSATNDANLESKERKEDVHHYTRKVASTVRSKIRHTRLAECETDTKKKLRNSVVTGNDDPASITENKKPETETTINSKPQPLMRRGSIINKTEDAGSGLDQNVCSSAITDKNDIELTDSEGVKSENKTAVRKSILSVGAKIVASKKRILESGFDKTGESPVAIPSQKKAKDTSPDTELEQPKKSSGKKFVRNKSGSNKKGMSTRQQHRTAELITSVNRSNINDSKLSQNESDDDGTDRDTSLKDTYVRRTRSGGLVRKKQEDSSESEEPIVVRKTHRRGKHSGQKQRDESTSRHPSGSSSHFDKSALTKCDHQSFSRQAEKGEIKAPKGNRKEGKASSVKSSSLSEQINTGVSLREQKQKISEHIKGILVDAGWTIDLRPRNGRDYLDSVYIPPSGKGSFWSVTKAYAVFLEGKASEPQGRAENQRPSKKSVGSPGKSDVSEEILSKLKRMVVNKRRTKVELQKLKKRKHALRKKQKSSKGKLREKKNKISNSRKLHLGSERKKRGGCALLVRGSNKEGGSSTNGFVPYEWKRTVLSWLIDLDIIPINAKLKCVDETHSKILLEGLITRDGINCNCCSKVFPVLEFVAHAGGPVSKPYRNVLVDGLDTDLLNCLISAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEALPSDDWRCAKCSCKFCQEYSSQDTQDIADSLLCTCFQCEEKYHPGCSPEVTNESNASGQAGNLFCRQSCRLLSEGLHNLLAVKKDLESEFSCRIIQRIHEDVPETVVSLDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFHIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAITELVDTWTSKFGFSPLDVSQKQEVKSISMLVFPGTGLLQKPLLKKTSLEEHLRSQEVDGVFSELESGKASHVANEDSLCSANAETQD >LPERR03G28600.1 pep chromosome:Lperr_V1.4:3:24948291:24949942:-1 gene:LPERR03G28600 transcript:LPERR03G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAASSMSLMASRCYYRCCSSSPSPPPAKQRRDAESAKQQQPIRGAPRLAVTRRAALLASASAAAAGRAMAAAAAAAQEEEEGVVGAIKSIFDPNERTKAGKVLPKAYLKAAREVVRTLRESLEEDDGGGGEMAKFRRGADAAKASIREFLGGWRGQKSVAAEESYVALESAIRSLAEFYSKAGPFASLPKDVKDKILADLNNADSFL >LPERR03G28610.1 pep chromosome:Lperr_V1.4:3:24969702:24973271:1 gene:LPERR03G28610 transcript:LPERR03G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGPSIANDVTALIGKTPMVYLNRVVDGCEARIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMASAKGYKLMLTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKANELAAKIPNSYILQQFENPANPKIHYETTGPEIWKATGGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKVYGVEPTESAVLSGGKPGPHKIQGIGAGFVPGVLDVDLLDEVIQVSSDESISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLIAVVFPSFGERYLSSVLFESIKREAENMVFEP >LPERR03G28620.1 pep chromosome:Lperr_V1.4:3:24974022:24983443:1 gene:LPERR03G28620 transcript:LPERR03G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDIKKFKVGGPRSFHYLNQTNCYEVANVDDAREYIETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSYYHLKTVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHRRFSKPKLARTAFTVNHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRSFGEFIDRFGMLATDLVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARMLFEHMRRDSASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAACNDHKFRRETKASMIIQTRWRQHKAYVDYKKQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGKEISNLKSMLQEIQEKLAEAHAAIIKEKEDAKIAIEQAPPKIVEVPVVDNAKVELLSSQNKELEDELVTFRTKAEHLEKRLLEVQRESDELSREIREKDSKFNQLQETIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSNSSLAVQAVVIPEVIQPLAMEGLVNGDQFEEHKILNEEVVVPPIKNLSKQKSLTDRQQENHDILIKSLAEDRRFDNGRPASACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKASISACKTSNRSRTTTGNLFSRMVQNARASSLGSGISSGYSGMVGRPDTALMVEAKYPALRFKQQLTAYVEKIYGMVRDNLKKEISPFLIMCIQAPRAVRVRSSRGALKSINANALSRQTSSVHWQSIIKCMNRTLETMRNNYVSPMIIRKTFHQTFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEHWCSVANEEYAGTSWDELQHIRQAVGFLVLHQKTHKTLEEIMDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIRKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHTTQTDGIVC >LPERR03G28620.2 pep chromosome:Lperr_V1.4:3:24974022:24983443:1 gene:LPERR03G28620 transcript:LPERR03G28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDIKKFKVGGPRSFHYLNQTNCYEVANVDDAREYIETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSYYHLKTVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHRRFSKPKLARTAFTVNHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRSFGEFIDRFGMLATDLVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARMLFEHMRRDSASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAACNDHKFRRETKASMIIQTRWRQHKAYVDYKKQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGKEISNLKSMLQEIQEKLAEAHAAIIKEKEDAKIAIEQAPPKIVEVPVVDNAKVELLSSQNKELEDELVTFRTKAEHLEKRLLEVQRESDELSREIREKDSKFNQLQETIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSNSSLAVQAVVIPEVIQPLAMEEEVVVPPIKNLSKQKSLTDRQQENHDILIKSLAEDRRFDNGRPASACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKASISACKTSNRSRTTTGNLFSRMNARASSLGSGISSGYSGMVGRPDTALMVEAKYPALRFKQQLTAYVEKIYGMVRDNLKKEISPFLIMCIQAPRAVRVRSSRGALKSINANALSRQTSSVHWQSIIKCMNRTLETMRNNYVSPMIIRKTFHQTFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEHWCSVANEEYAGTSWDELQHIRQAVGFLTFDYLLSDLALQVLHQKTHKTLEEIMDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIRKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHTTQTDGIVC >LPERR03G28620.3 pep chromosome:Lperr_V1.4:3:24974022:24983443:1 gene:LPERR03G28620 transcript:LPERR03G28620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDIKKFKVGGPRSFHYLNQTNCYEVANVDDAREYIETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSYYHLKTVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHRRFSKPKLARTAFTVNHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRSFGEFIDRFGMLATDLVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARMLFEHMRRDSASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAACNDHKFRRETKASMIIQTRWRQHKAYVDYKKQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGKEISNLKSMLQEIQEKLAEAHAAIIKEKEDAKIAIEQAPPKIVEVPVVDNAKVELLSSQNKELEDELVTFRTKAEHLEKRLLEVQRESDELSREIREKDSKFNQLQETIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSNSSLAVQAVVIPEVIQPLAMEGLVNGDQFEEHKILNEEVVVPPIKNLSKQKSLTDRQQENHDILIKSLAEDRRFDNGRPASACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKASISACKTSNRSRTTTGNLFSRMVQNARASSLGSGISSGYSGMVGRPDTALMVEAKYPALRFKQQLTAYVEKIYGMVRDNLKKEISPFLIMCIQAPRAVRVRSSRGALKSINANALSRQTSSVHWQSIIKCMNRTLETMRNNYVSPMIIRKTFHQTFAFMNVQLFNRYLTTFTSCKYAGTSWDELQHIRQAVGFLTFDYLLSDLALQVLHQKTHKTLEEIMDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIRKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHTTQTDGIVC >LPERR03G28620.4 pep chromosome:Lperr_V1.4:3:24974022:24983443:1 gene:LPERR03G28620 transcript:LPERR03G28620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDIKKFKVGGPRSFHYLNQTNCYEVANVDDAREYIETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSYYHLKTVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHRRFSKPKLARTAFTVNHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRSFGEFIDRFGMLATDLVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARMLFEHMRRDSASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAACNDHKFRRETKASMIIQTRWRQHKAYVDYKKQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGKEISNLKSMLQEIQEKLAEAHAAIIKEKEDAKIAIEQAPPKIVEVPVVDNAKVELLSSQNKELEDELVTFRTKAEHLEKRLLEVQRESDELSREIREKDSKFNQLQETIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSNSSLAVQAVVIPEVIQPLAMEEEVVVPPIKNLSKQKSLTDRQQENHDILIKSLAEDRRFDNGRPASACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKASISACKTSNRSRTTTGNLFSRMVQNARASSLGSGISSGYSGMVGRPDTALMVEAKYPALRFKQQLTAYVEKIYGMVRDNLKKEISPFLIMCIQAPRAVRVRSSRGALKSINANALSRQTSSVHWQSIIKCMNRTLETMRNNYVSPMIIRKTFHQTFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEHWCSVANEEYAGTSWDELQHIRQAVGFLVLHQKTHKTLEEIMDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIRKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHTTQTDGIVC >LPERR03G28620.5 pep chromosome:Lperr_V1.4:3:24974022:24983443:1 gene:LPERR03G28620 transcript:LPERR03G28620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDIKKFKVGGPRSFHYLNQTNCYEVANVDDAREYIETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSYYHLKTVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHRRFSKPKLARTAFTVNHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRSFGEFIDRFGMLATDLVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARMLFEHMRRDSASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAACNDHKFRRETKASMIIQTRWRQHKAYVDYKKQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGKEISNLKSMLQEIQEKLAEAHAAIIKEKEDAKIAIEQAPPKIVEVPVVDNAKVELLSSQNKELEDELVTFRTKAEHLEKRLLEVQRESDELSREIREKDSKFNQLQETIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSNSSLAVQAVVIPEVIQPLAMEGLVNGDQFEEHKILNEEVVVPPIKNLSKQKSLTDRQQENHDILIKSLAEDRRFDNGRPASACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKASISACKTSNRSRTTTGNLFSRMVQNARASSLGSGISSGYSGMVGRPDTALMVEAKYPALRFKQQLTAYVEKIYGMVRDNLKKEISPFLIMCIQAPRAVRVRSSRGALKSINANALSRQTSSVHWQSIIKCMNRTLETMRNNYVSPMIIRKTFHQTFAFMNVQLFNRYLTTFTSCKYAGTSWDELQHIRQAVGFLVLHQKTHKTLEEIMDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIRKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHTTQTDGIVC >LPERR03G28630.1 pep chromosome:Lperr_V1.4:3:24985881:24990586:1 gene:LPERR03G28630 transcript:LPERR03G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDPTEATDMMQKLSLESKKEGAAPDAAKKPSVMPYGSASAGDAQNSVPQVDRSITPLLQEAVDANILYQTNGYGPSAYFYPSGYDGSANEWDSRYAGHDGTEMPPQSLYGDMYHGYGYASYGPYPSGSPVPTVGHDGQSYGTQHYQYPGQYYQQPTPTNASHGVNAANSQSEMPSVAAHQARVPVDSAKTGANGTANGMTNTNSTSLPRKQTHQNVSAANNSSYGRGSLQGGPSASNYGYSGLHSPVQWYDGPVYSNGHQRPNANSSSYGSNSYSAKNQSQRPSANLMGMHAQIPSSGMGLTSPSYHTRMYPDSRLYGQYGNTLKNGLGYGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKSFGPSVTIAVKGQALPSAEKQENSAIPDKGQFNQEAFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSNECPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVDYWQQDKWNGCFPIKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEGALENASHEKEKNVIDGKSTGPKQEGPVVGEMLNSSKSAVESGVTNGS >LPERR03G28640.1 pep chromosome:Lperr_V1.4:3:24997675:24998466:1 gene:LPERR03G28640 transcript:LPERR03G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVAPLMLHGRVAIVTGGAGGIGSAVSKHLAALGARVAVAYVGDPAPAKQLVSGINSGDTTGSPPRAIAVEADVSDASQVRALFDAAAEAFGGEIHILVTAAAVLDFSYPPLADTPESSYDAMFGANAKGTFLCLREAANRITRDGGRGRIVTFSSSGVGSLRPGYSAYAASKAAVEVMTKILARELRGTGITANVVAPGSTGTPMFYNGKSEEEAARYIAEAPLGRLGMPEDIAPLVGFLASDAGGWVNAQVIRCNGGTI >LPERR03G28650.1 pep chromosome:Lperr_V1.4:3:25003563:25008391:1 gene:LPERR03G28650 transcript:LPERR03G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKDQIKYILGAPSHGFDRSNMDDDESASERMKRDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPPISAFSRDDKRRPGFSTPQATKRFREREGDRERGMDFDLMPPPGSSKKLNTPMDVDQTIDPNEPTYCICHQISYGDMIACDNESCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLEKSSKYEAGK >LPERR03G28650.2 pep chromosome:Lperr_V1.4:3:25003563:25008272:1 gene:LPERR03G28650 transcript:LPERR03G28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKDQIKYILGAPSHGFDRSNMDDDESASERMKRDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPPISAFSRDDKRRPGFSTPQATKRFREREGDRERGMDFDLMPPPGSSKKLNTPMDVDQTIDPNEPTYCICHQISYGDMIACDNESCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLEKSSKYEAGK >LPERR03G28650.3 pep chromosome:Lperr_V1.4:3:25003563:25008525:1 gene:LPERR03G28650 transcript:LPERR03G28650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKDQIKYILGAPSHGFDRSNMDDDESASERMKRDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPPISAFSRDDKRRPGFSTPQATKRFREREGDRERGMDFDLMPPPGSSKKLNTPMDVDQTIDPNEPTYCICHQISYGDMIACDNESCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLEKSSKSSSSSPSGSCAGAAPAAAERTTSKPLEAHRGEDVDDRDAMNSGGTIANSERTGSMAR >LPERR03G28660.1 pep chromosome:Lperr_V1.4:3:25007968:25009250:-1 gene:LPERR03G28660 transcript:LPERR03G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCTPTATTAPHATPTPLTSSPRKQLATHNPSRLAAGGQRARLAAGASLETRAPAPAPSPPAAPSPPNVKYLAAEFAGHGVSFEAVGGSCAVKMELRNGSAAHLLLPSGLVTSYKPAMWHGASTEILHTTVSQSRSPAAAAVIRGGVSLDLRCSSFAAGGNWSLRDVRGSPTGSIEIEITEEIAAGVEARCVVALHPEALATEITARNAGATAVEVSATVSTHLRVSTPDATYAVGLQGSDYRAMDPVLSEFAIVPPEFMASRSSTSSPRWASNGFDVVLSAAAGAAPAQEPDGEEDDDYKRLTAELCRIYSHAPRQFTIIDRGRRNSVCVQRRGFEEVYIFSPGAKYQWYGKYAYVCVGPTMLKPIVLEPGATWSGAQYLRNPNL >LPERR03G28670.1 pep chromosome:Lperr_V1.4:3:25013494:25017666:1 gene:LPERR03G28670 transcript:LPERR03G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARATRRRAAEEAEEESAAAMEAGPETEGEDSSDYTSEDEGTDDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTNHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLSLIKYTDYRGIPLPMVKEICRHVLVGLDYLHRMLSIIHTDLKPENILLISTIDPSKDPRKSGVPLVEPSAKNNDPPPKAPAPSVNGGLSRNQKKKIRRKAKRAAAATSEGGGAVSSGDMDGSDDRGDLSTANEGSPNEDGDKKEGTEGSRRGSKGTRRKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLSKVLVEKYEFSDRDANDMAEFLVPVLDFVPEKRPTAAQLLQHPWLDAGPLHRQPKRLPDLTQNSADGVSEKQRIENEEKDAMAVELGNIAIDSASSKTTEGPQASTMQNKTNATPAKK >LPERR03G28680.1 pep chromosome:Lperr_V1.4:3:25018416:25019272:-1 gene:LPERR03G28680 transcript:LPERR03G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCIPSKRHRATAAAAAAAPPPPPASHRRDRTSIPAPRAPAPPRPVRIYVVFYSMYGHVRLLARAVARGVGSVAGASAILFRVPETLPPAVLAQMEADSSSPEEDDVIPVVDTDGLPEADGFLFGFPARFGAMPAQMKAFFDSTAPLCRRQRLAGKPAGFFVSTGTQSGGQETTAWTAITQLAHHGMLFVPIGYTFGDGMFEMGELRGGSPYGAGVFSGDGSRPPSELELALAEHHGKYMATLVKKMVHGSA >LPERR03G28690.1 pep chromosome:Lperr_V1.4:3:25019466:25020552:1 gene:LPERR03G28690 transcript:LPERR03G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLDEPEKATSGAGDPPPPPFLEVKCRSTGKVRRFAYGTTARYALHAVNRKLAAGEPAALHVEAVKDGEEPVSFGPTAPLADYGDGWKLQTLTEQDAPDYHQTPAAAFDMRRDETKQTAKNPQDRETMGVYITKIVLAFVFIFLLGGLFTYLLETLPDMFQEPQAL >LPERR03G28700.1 pep chromosome:Lperr_V1.4:3:25021120:25023826:-1 gene:LPERR03G28700 transcript:LPERR03G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQSLGDFINRINSGKSIIVSVSPQSRASLAAYFGLSQSQVFRKLTMLFKSMGVKAVYDTSSSRDLSLIEACSEFVTRYQKNQLSSDGEVGRSLPMLSSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHMVGKLCLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDNDLTEVDSVLTTGEVLDLIQSKSVDFKTLEESPLDRLLTNVDDDGHLYGVSGGSGGYAETVFRYAAHALFNREIEGPLDFRVLRNSDFREVSLEVKGKSVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVKGQSAKDLIQLLEGVYLQDVSISNPFENPIVKRLYDEWLGEPGSENAKRYLHTKYHPVVKSVASQLQNW >LPERR03G28710.1 pep chromosome:Lperr_V1.4:3:25026147:25033405:-1 gene:LPERR03G28710 transcript:LPERR03G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLRRGLGILLVPLSTSPSRPPRPSFPLAALLLFQRHPLDAFARRRSISSSGGGYAEQFSDDEYDHEYEDQRPSSSVANIDEWRWKLSLLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPMDKGSGMMGNGSEMADKAENVKVDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEEALKTSSFETYSSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPLAVQNAVEFLKMIGALDVNENLSDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFTYILKDAGLIDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGGMAGHLKMLDGYIDLFMDPSLSECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLTSSDDTKSNIVKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSKPRRRSRNNSSSRR >LPERR03G28710.2 pep chromosome:Lperr_V1.4:3:25026147:25033405:-1 gene:LPERR03G28710 transcript:LPERR03G28710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLRRGLGILLVPLSTSPSRPPRPSFPLAALLLFQRHPLDAFARRRSISSSGGGYAEQFSDDEYDHEYEDQRPSSSVANIDEWRWKLSLLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPMDKGSGMMGNGSEMADKAENVKVDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEEALKTSSFETYSSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQIKSLQVGSIGEFLSAALQPPEPLAVQNAVEFLKMIGALDVNENLSDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFTYILKDAGLIDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGGMAGHLKMLDGYIDLFMDPSLSECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLTSSDDTKSNIVKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSKPRRRSRNNSSSRR >LPERR03G28720.1 pep chromosome:Lperr_V1.4:3:25035580:25042452:1 gene:LPERR03G28720 transcript:LPERR03G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVPTGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTEQQLMVADVTSIASTDDLEQPNEANVSPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDRRVGISEKINSGISVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNITAKLSHRAREREEMNGDEGGAGVAGQGRQRVAACRGDAGGPAERAWASGGGVVKKKWAVNSALMALYAFAAVLVCWCLYAFRTSFGDELLPFVGRPDLSGLDLAGFLSRQGFAGAYPAATLLFFQFVFAAITLILVAGSVLGRMSFRAWMLFVPLWLTFSYTVDAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAYWVGPRTAKDREAFPPNNILLTLAGAGLVWMGWTGFNGGAPYAANIDASVVNTHLCTATSLLVWLVLDTLVFGRPSAIGAVQGMITGLVCITPAAGLVQGWAAMLMGALSGSVPWLTMMTVLHRRVPLLSRVDDTLAVLHTHGVAGCLGAVMTGVLAEPRLVRLFFGDGGGDARYVGLAYAVRDGRAGAGFRQVGVQMGGIAFVVALNVAVTSAVCVAVGSVVPLRLGEEQLAAGDDAVHGEDAYAVWGDGETYEQSVHGGGGNHGGYAITANPVASKGDEMI >LPERR03G28730.1 pep chromosome:Lperr_V1.4:3:25044115:25047468:1 gene:LPERR03G28730 transcript:LPERR03G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSPAVACLLLILLSSVAATEAVEYVKYKDPSKPIGERIDDLLSRMTIAEKIGQMSQIERANASSAVIQKYFVGSVLSGGGSVPSDNATARDWQQMVTKMQKAALKTRLGIPIIYGIDAVHGHNNVINATIFPHNIALGATRDLNVVKQVGQATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTRLVQRMTSAVVPGLQGEPPARHPKGTPFVAGQMNVAGCAKHFVGDGGTRRGVNENNTVINFHDLMRIHMPPYDDAVIKGIASVMISYSSWNGVKMHQNRFLVTDMLKNKMKFRGFVITDWQAVDRITSPPHQHYYHSIQETIHAGIDMVMIPYDYPEFVADLTAQVSNGTIKIDRVNDAVSRILRVKFAMGLFENPLPDPRLLGELGSKDHREIAREAVRKSLVLLKNGKPGQKPVLPLAKKAKKILVAGSHAHNLGFQCGGWTKSWQGQGGNNITTEGIKTAVDKATVIDYSDHPDNSSIAKTAGEYDYAIVVVGEPPYAETAGDNQNLTIPSPGPEVIKDVCGLVKCVVILVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDYGFTGKLLRTWFKSVDQLPMNIGDRRYDPLFPFGFGLTTQKLN >LPERR03G28740.1 pep chromosome:Lperr_V1.4:3:25056222:25061274:1 gene:LPERR03G28740 transcript:LPERR03G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSFVLLMLCFATLGSAQYVKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPSPRASAQAWQSMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPALVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPTVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTRGLMTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHLNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTDQVNKKIIPMSRIDDAVYRILRVKFTMGLFENPFADPSLVDELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADNLGRQCGGWTITWQGQAGNDNVTAGTTILSGIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEEPYAEGFGDNLTLTIPGTGPTVIQTVCKSIKCVVVLISGRPLVVEPYIDGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGYGLTTEAHK >LPERR03G28740.2 pep chromosome:Lperr_V1.4:3:25056202:25061274:1 gene:LPERR03G28740 transcript:LPERR03G28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSFVLLMLCFATLGSAQYVKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPSPRASAQAWQSMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPALVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPTVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTRGLMTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHLNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTDQVNKKIIPMSRIDDAVYRILRVKFTMGLFENPFADPSLVDELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADNLGRQCGGWTITWQGQAGNDNVTAGTTILSGIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEEPYAEGFGDNLTLTIPGTGPTVIQTVCKSIKCVVVLISGRPLVVEPYIDGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGYGLTTEAHK >LPERR03G28740.3 pep chromosome:Lperr_V1.4:3:25054722:25061274:1 gene:LPERR03G28740 transcript:LPERR03G28740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSFVLLMLCFATLGSAQYVKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPSPRASAQAWQSMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPALVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPTVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTRGLMTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHLNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTDQVNKKIIPMSRIDDAVYRILRVKFTMGLFENPFADPSLVDELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADNLGRQCGGWTITWQGQAGNDNVTAGTTILSGIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEEPYAEGFGDNLTLTIPGTGPTVIQTVCKSIKCVVVLISGRPLVVEPYIDGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGYGLTTEAHK >LPERR03G28740.4 pep chromosome:Lperr_V1.4:3:25056235:25061274:1 gene:LPERR03G28740 transcript:LPERR03G28740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSFVLLMLCFATLGSAQYVKYKDPKQPVAVRVKDLLSRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPSPRASAQAWQSMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPALVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPTVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTRGLMTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHLNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTDQVNKKIIPMSRIDDAVYRILRVKFTMGLFENPFADPSLVDELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADNLGRQCGGWTITWQGQAGNDNVTAGTTILSGIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEEPYAEGFGDNLTLTIPGTGPTVIQTVCKSIKCVVVLISGRPLVVEPYIDGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGYGLTTEAHK >LPERR03G28750.1 pep chromosome:Lperr_V1.4:3:25066730:25073476:1 gene:LPERR03G28750 transcript:LPERR03G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTAPAVAAVLVLAWAAYGGEAQLYKDPTKPVEARVSDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVTMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDANLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPAGFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRNGLMNIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLITRFLKERLNFKGFTISDWEGIDRITSPAGANYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSTMTDQLGKKEHRDLAREAVRKSLVLLKNGKTSASPLLPLPKKATKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGTTVLDAVKAAVDPSTTVVFAENPDAAFVKNGGFSYAIVVVGEHPYTETQGDSLNLTIPEPGPSTVAAVCGAVPCATVLISGRPVVVQPFIDSVDALVAAWLPGSEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQGRNY >LPERR03G28760.1 pep chromosome:Lperr_V1.4:3:25073036:25081270:-1 gene:LPERR03G28760 transcript:LPERR03G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSAPSPPGPHHLVVSSASATTFMDQEELPESSSSDDDNGEEFLIQKNTLKRPRSPDDDHGPAVGNFEGSANEAVKHLEVMDSRSSIDSSNKKKQGRGRGRGGTGRGRGSKAVDQTRATSTSSVVVANGRHDMLTNMEPRSSVVLGNDDRAALQEELSLLRGKVTFLEEELSKSRQEATDYRQLSDRLAKELKDLKDQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNTHLKSLLETKETIERHRKSLKKRQSDKGDASDAETSMSEEDFLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLLEYKYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLTYTKR >LPERR03G28770.1 pep chromosome:Lperr_V1.4:3:25081929:25085349:1 gene:LPERR03G28770 transcript:LPERR03G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVEERVRGMAGEGEEEEEEDDRPQLSAAAAEALREFLAEQRRDGAGGEGEGGGGGGVELVAEDWRLSQFWYDERTARALADEVACLVSGLDSSSAAVACIACPTLYAYLKTSSPDVAAQLLEYDERFGQYGGDFTFYDYNQPEELPAAMKHAYRIVVADPPYLSKECLEKVAKTVSFLAHPEGSFLLLLTGEVQRDRAFELLNVRPCGFKPQHSNKLGNEFRLFTNYDPADRLGGWEKSDA >LPERR03G28780.1 pep chromosome:Lperr_V1.4:3:25084359:25085182:-1 gene:LPERR03G28780 transcript:LPERR03G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSATASGEAGAVVAGMKVVVAVDASEESLNALTWALDSVIAPRSGAGVSVVVVHAQPGPDHFVYPVAAHGIAITYSPTSAIESMRKAQEEISRKVSATGAIVEGDAKEAICQAVEEMHADLLILGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >LPERR03G28790.1 pep chromosome:Lperr_V1.4:3:25086765:25089514:-1 gene:LPERR03G28790 transcript:LPERR03G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMDPGSGPLTESEKADLEAIAAIKESAAAEYKEKGNNFVKMGRKHYAEAVECYTKAIAQMEPLPTETPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSHSNIKAYYRAAKAAIALGLLPEAASFCRRGLEQDSANEELKKLLAQVEAQQSEQERHRAKVAQAVSAAKDLVAAIEKRGLTLGKAAFQELTGVKKPKLDEKGVLHWPVLLLYPEVMSSDFIEDFPETDNFVPHLDAGVGTVLSKSEILKFLLEDTVDSKSLPENLLDEDDGENDAGRRSTIRSSGGGSVKWISVKEGKTLQEVLQHKDFIIPAIPVFFVVSRKSKFYREFKAGNWSLP >LPERR03G28800.1 pep chromosome:Lperr_V1.4:3:25093030:25100694:1 gene:LPERR03G28800 transcript:LPERR03G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGDEDHGGSNSSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFTFDLVADEHENLFKVAGVPMVENCMAGYNSCMFAYGQEKEIRREEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDARKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLTMRLQIQQLKKEVSRLQGLANSDRTECTSSSGFICESPSTIKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKATIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVASGKLSAEAHLLQEKEDLVKEVDALRGLVDRNPEVTRFAMENLQLKEELRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPIIKDLSSFEESATNEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERSVDELTLELEAARKCHDVNKESEPVELQVQTEADLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRTRLTVLIEDNKRLVELYENAIVNVEVNQDGGHPVIPQTEAANEQQSSYPSYGGGAVNGEQPDDQAESATLLAADNSSSEVPYSKIMDGQCIQNGIFSSTETTDLQLQLNEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVITVDTQYGDVEMLEATDAEDLEVKHVHDSAISNFQEMLRLVRGKLENVQDKLVTTQDAVEYFKLLEMASTKAEELSANIQLSCLELKYDQEDIDALKSELSQSQITKEALEGKYLSPVASYWNLDLKTKALVGSKFDDSLELMNQKKEQLSHLQTLKKDFFVASSKAHQSESELRSKIDGIKLKLRSFEAQRKEEERVLFAIDNLDTSKHVNFGKASELLRSEEERTKLLSELKKSREQLLTVQKEIKSMNRHHDIDCKIAGLESELDDCCLSLLEADIEKFVRDNTLTDIWKEGQKDRDCLLVDYQECVFKVNLKEEEIRACEESLQHQTRYLDQMNSKLNQAMRDLGGLLRDRTSCDLDASMLHVSDKVKGDLDAIGLDVAAAKQLLLIDDDNQTNL >LPERR03G28810.1 pep chromosome:Lperr_V1.4:3:25102580:25103074:1 gene:LPERR03G28810 transcript:LPERR03G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGYEEEEEEEHEPRQPGCGGEAAGQAAANCAAVCCCCPLALIEILLLVTVRLPAGVMRRVKRRRCGRRGAGDGGGGVKGKGRGSPPDASPSGSGKAMIAAASAFDMMDDEAAAAMASCRGETEADAASELEREIMRSRFYGGGFWRSPSSGSNSAASSLRR >LPERR03G28820.1 pep chromosome:Lperr_V1.4:3:25104360:25106318:-1 gene:LPERR03G28820 transcript:LPERR03G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAVAALAAAVAAASFCATDPLRLGSMADFPNFEAHPVDLPSPSEIPPHTDANDRLRFAEILHRGEIQGPESVAFDPRGRGPYTGVADGRVLFWDGERWAHFAHASPFWTAERCAAAVRASPADYHADEHVCGRPLGLRFDRRNGDLYIADAYFGLSRVGPEGGLATAIATEAEGVRFNFTNDLDLDDDGNVYFTDSSIHYQRRNFKQLAFSADPSGRLLKYNAKTKETTVLHRNLQFPNGVSMSKDRSFFVFSEGNRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRHSLYVRLLSRNVWLKKFLLSLPIPANLHFSMLIGGQPHAVVMKLSPDGQVLDILEDSRGEVVRSVSEVEEKDGKLWMGSVITNFIAVFDYAKAA >LPERR03G28830.1 pep chromosome:Lperr_V1.4:3:25108121:25109972:-1 gene:LPERR03G28830 transcript:LPERR03G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALMAFAVAVAAAAAFCGTDPLRMGSMVEFPGFEPHVVELPDATEMPPHSDPGERLRGAEIRHRGEVQGPESVAFDPLGRGPYTGVADGRVVFWDGERWAYFAHSSPNWTAELCGHKPSPLDYLKHEHICGRALGLRFDRRNGDLYIADAYFGLLKVGPEGGLATPLAVEAEGVMFNFTNDLDLDEEGNVYFTDSSIHFQRRHFMQLVFSGDPSGRLLKYDPRTKKTTVLHRNIQFPNGVSLSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNVKGEFWVAIHCRRSFYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYSPEGEVLDILEDTTGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYTKAS >LPERR03G28840.1 pep chromosome:Lperr_V1.4:3:25114759:25119831:-1 gene:LPERR03G28840 transcript:LPERR03G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTNHRSKRRRVASSGDASDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKSQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGENKKGNSLLTGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHVAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSTDEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLTKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKTKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGGSLDSGGRDSPKTTGHANTKHWDDWDIVGFPGVELLSAGEKILCCQNRLIPSHYLKMQEVLMQEIFKGSVVKKEDAHVLFKVDPAKVDTVYDMVTKKLGTNEEAPTV >LPERR03G28850.1 pep chromosome:Lperr_V1.4:3:25120811:25123180:-1 gene:LPERR03G28850 transcript:LPERR03G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAASRYASYDSPSPSPSPRRAGAGAPATPGGGGYGSRALVAARSGRDLRAPAAPQPTQHGNLGSVLRRLISMDKKPTKALPVPLAAAAGAKNGGGSGGGGKLPGLSRKLFQKAAASEPKKKALTEVKNGGNTRTLAMVLRSERELLTQSKEQEDEIAALRLQLEHKDMEVERLKDLCLRQREEIRTLKDAVKADKHTPRSCFDDEYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEKSVSSHRSGRKAGPESLSCNSRSRPMSKSSDHHKPASGSNSKRRVYRSDQDKIHQNLF >LPERR03G28860.1 pep chromosome:Lperr_V1.4:3:25125639:25126634:1 gene:LPERR03G28860 transcript:LPERR03G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYHEKNVTGRFFFVFLRGTRRLLVQSGDDEQGRKQKRVSKNSEQEEGESKKKMAAAAMAIKDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKHPTVGFFSACRLFSLEDFLRLGLFSSLERLLFSCRCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >LPERR03G28870.1 pep chromosome:Lperr_V1.4:3:25127542:25128372:-1 gene:LPERR03G28870 transcript:LPERR03G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADDGNWEKQWCIADQQTPDDILQEALSWACGPGGADCTMIEPNKSCYFPNNVKDHASYAFNSYWQKFKKQGGSCYFNAAAMVTDLDPSHNLCHFEVVP >LPERR03G28880.1 pep chromosome:Lperr_V1.4:3:25130313:25136521:1 gene:LPERR03G28880 transcript:LPERR03G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKMITRRLPSNQEELRDDTEIDLQDYRDVENIAFYQFPTNLGSGMSMESERSVSFHTCIDQNGTNFLHKLLRHLLENKEKYSNVVNLLFHGIEWQTAGVQLLCSFLGRSSVKQLEFQKNVFGTKSAASLVPLSEMIQRNNTIKTVVFSECRIGATGAKLLASALSNNRTVEEVQLLDDSIGAKGAEELSKMIEVNCMLKQLVILDNNSIAAAPLFSAVLARNRRVEVHIWGRCRDTRGGMNSCKIAEFQPGTGSLRIYNNINSTGLQRIACAMAWNTTMTTLDMSGVPLKSKWTKEFRGVLERNRMLKTVKLSRCCLRDKAIVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLTICDDVSLKPNDVVRIFTSLERNTTLQGLSLKGCRGVEGEAVLQTIMGMLQVNPWIEEIDLHETPLHVDGKTSEIYDKLGQNGSLLIPNDLLDLPLSAPTCCHVFLCGQKLSGKSTLSSSIKHCMNSMKLPRMDEIRTSKIPIEQMAHTNENGTNIIFDGNTKLTMCNLGEPEESIALHGFMFAVHGGPRIFMVVCSLIGKPADKYPKSIDVIERELIYWLKFIVSNSRRRGPHPVIPCVTIVLTHYDKVSHLAEGLQLIAATVQRLREDFCSYADIYPTVFVVDSRSQVSVSKLTHHLRKTTKTILQQAPQVYEVCNDLVEYLHDWRLKNNKAVVKWSEFCELCQLSIPVLRLRSRHDNAEKLDTRRRSVAKSLHNLGEIIFFEELEVLIMNCEWFRQDILSQLGAVKSIRIENSGFVHKQDLEKILQEKLCNQTQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQSTMPEFRYAGRHMECEDTHMFLSDDFFPRLQVRLHNKIVCLGNHQGEVYNLEKNLIYMVISGVHVRVELGMKLGSSIDILACSTRNVTDMVRLLHKLVITTILNLSPSLTFKESVIRPDCVKYLIPQRFRTTQLLPVQKIKQILLSLPAESMYDYQHTWSAVESNKRVILMSGLDHARDLLSDDDFHDVLHHRYHDLQHLATELAVTPDNLQESETIVESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLATELHRKMDYVMNYSIQLEERKVPQLFYLVSLDRQSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQIGCDLIQVDNQAVQCLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSKEVVHLLGSSVTYGAATSALSVGALGAAAMYGKARNNSNQSGSNDMGEDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARGEEIFELPL >LPERR03G28880.2 pep chromosome:Lperr_V1.4:3:25130313:25136521:1 gene:LPERR03G28880 transcript:LPERR03G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKMITRRLPSNQEELRDDTEIDLQDYRDVENIAFYQFPTNLGSGMSMESERSVSFHTCIDQNGTNFLHKLLRHLLENKEKYSNVVNLLFHGIEWQTAGVQLLCSFLGRSSVKQLEFQKNVFGTKSAASLVPLSEMIQRNNTIKTVVFSECRIGATGAKLLASALSNNRTVEEVQLLDDSIGAKGAEELSKMIEVNCMLKQLVILDNNSIAAAPLFSAVLARNRRVEVHIWGRCRDTRGGMNSCKIAEFQPGTGSLRIYNNINSTGLQRIACAMAWNTTMTTLDMSGVPLKSKWTKEFRGVLERNRMLKTVKLSRCCLRDKAIVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLTICDDVSLKPNDVVRIFTSLERNTTLQGLSLKGCRGVEGEAVLQTIMGMLQVNPWIEEIDLHETPLHVDGKTSEIYDKLGQNGSLLIPNDLLDLPLSAPTCCHVFLCGQKLSGKSTLSSSIKHCMNSMKLPRMDEIRTSKIPIEQMAHTNENGTNIIFDGNTKLTMCNLGEPEESIALHGFMFAVHGGPRIFMVVCSLIGKPADKYPKSIDVIERELIYWLKFIVSNSRRRGPHPVIPCVTIVLTHYDKVSHLAEGLQLIAATVQRLREDFCSYADIYPTVFVVDSRSQVSVSKLTHHLRKTTKTILQQAPQVYEVCNDLVEYLHDWRLKNNKAVVKWSEFCELCQLSIPVLRLRSRHDNAEKLDTRRRSVAKSLHNLGEIIFFEELEVLIMNCEWFRQDILSQLGAVKSIRIENSGFVHKQDLEKILQEKLCNQTQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQSTMPEFRYAGRHMECEDTHMFLSDDFFPRLQVRLHNKIVCLGNHQGEVYNLEKNLIYMVISGVHVRVELGMKLGSSIDILACSTRNVTDMVRLLHKLVITTILNLSPSLTFKESVIRPDCVKYLIPQRFRTTQLLPVQKIKQILLSLPAESMYDYQHTWSAVESNKRVILMSGLDHARDLLSDDDFHDVLHHRYHDLQHLATELAVTPDNLQESETIVESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLATELHRKMDYVMNYSIQLEERKVPQLFYLVSLDRQSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQIGCDLIQVDNQAVQCLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSKEVVHLLGSSVTYGAATSALSVGALGAAAMYGKARNNSNQSGSNDMGEDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARGEEIFELPL >LPERR03G28890.1 pep chromosome:Lperr_V1.4:3:25135607:25139328:-1 gene:LPERR03G28890 transcript:LPERR03G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSPPVAAEEQAATAAAKGDELGERCPVEEVALVVPETDDPSTPVMTFRAWTLGLTSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPNREVRLLGGRLGSFNLNPGSFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLGFLCALLIVLTTQILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKENNDGGKGSSSWRGPTRMRFFLIFFFLSFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYLIVPLCYWRFNTFDARKFPIFSNQLFTASGQKYDTTKILTRDFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGADIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLLMSFVYKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQASRKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGNIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGHHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINIPVISYGFAGMPPATPTNIASWLVTGTVFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIAVKGCPVF >LPERR03G28900.1 pep chromosome:Lperr_V1.4:3:25143326:25147088:1 gene:LPERR03G28900 transcript:LPERR03G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKAQNIRPRVFPAGTVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMSLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >LPERR03G28910.1 pep chromosome:Lperr_V1.4:3:25151442:25152607:1 gene:LPERR03G28910 transcript:LPERR03G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPTIIVKVDLECERCYAKIDRVLTRIKGKSSSRLKGEFVIEDIEFDVKGNRVIVSGPFDPDKLADKLCCKACKIIKEIEIVEPPPPPPPEPPKKEEAKPPPPEPESKPDPPPAVVIIEPPPPKEPEPEPEPEPEPEPEPEPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKEAPPAPPPPQYIPCYPPQQPYPPCGGYRIVCEEDPSYACAIM >LPERR03G28910.2 pep chromosome:Lperr_V1.4:3:25151782:25152607:1 gene:LPERR03G28910 transcript:LPERR03G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIVKVDLECERCYAKIDRVLTRIKGKSSSRLKGEFVIEDIEFDVKGNRVIVSGPFDPDKLADKLCCKACKIIKEIEIVEPPPPPPPEPPKKEEAKPPPPEPESKPDPPPAVVIIEPPPPKEPEPEPEPEPEPEPEPEPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKEAPPAPPPPQYIPCYPPQQPYPPCGGYRIVCEEDPSYACAIM >LPERR03G28920.1 pep chromosome:Lperr_V1.4:3:25160317:25165943:1 gene:LPERR03G28920 transcript:LPERR03G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRATCSWSSSARSKHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVGAANRSGATATTSNVSAYLQNMQRGRFVQPFGCLLAVRPETFALLAFSENAAEMLDLTPHAVPNIDQRDALAVGTDARTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDENLAQPISICGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQTPNVMDLVKCDGAALYYKNQLWVLGSTPSEAEIKKIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGEVVCGMAAIKISSNDFIFWFRSHTAKEIKWGGAKHETIDANDIGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGTINGWNNKAAELTGLPVMDAIGKPLVELVVDDSVEVVKQILNSALQGIEEQNLEIKLKTFNHQENTGPVILMVNACCSRDLSEKVVGVCFVAQDLTGQKIIMDKFTRIQGDYVAIVKNPTELIPPIFMINDLGSCLEWNEAMQKITGIKREEAVDKLLIGEVFTHHDYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFYNTEGKYIESLMTATKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAATNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVEFNLEETLNTVLMQGMPHSKEKQISLDRDWPAEVSCMYLCGDNLRLQQVLADFLACTLQFTQPAEGPIILQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVARLSTKRCKPSTSKF >LPERR03G28930.1 pep chromosome:Lperr_V1.4:3:25166138:25170066:1 gene:LPERR03G28930 transcript:LPERR03G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRKRVAAALDDDDDEHRRLRRKQEEAENLLRRIRGLVRWVAGEVAAGRSPSVALHRYQNYCAAPAGSPWSVGLPRVSSRLALPSRCFRFSPPFVCACSACSYDVPVGTYVLSLLHRDSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICILFQCSRHNLNVVPVANGLVMGWIRFLEGEKKVYCIMNVNAAFTIPVSIEAIKDVVSVADYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEQLHLPAYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPGIRWLGVFTSDFEDYCLPDCCLLDLSSEDRRKAEGILSRCYLNSEAPQWRLELAAMLQKGVKFEIEALSARSISFLSEEYIPQKIKQGRYI >LPERR03G28930.2 pep chromosome:Lperr_V1.4:3:25166138:25170066:1 gene:LPERR03G28930 transcript:LPERR03G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRKRVAAALDDDDDEHRRLRRKQEEAENLLRRIRGLVRWVAGEVAAGRSPSVALHRYQNYCAAPAGSPCACSYDVPVGTYVLSLLHRDSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICILFQCSRHNLNVVPVANGLVMGWIRFLEGEKKVYCIMNVNAAFTIPVSIEAIKDVVSVADYILVVEKETGYPDIPTRRFLRYLVEQLHLPAYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPGIRWLGVFTSDFEDYCLPDCCLLDLSSEDRRKAEGILSRCYLNSEAPQWRLELAAMLQKGVKFEIEALSARSISFLSEEYIPQKIKQGRYI >LPERR03G28930.3 pep chromosome:Lperr_V1.4:3:25166138:25170066:1 gene:LPERR03G28930 transcript:LPERR03G28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRKRVAAALDDDDDEHRRLRRKQEEAENLLRRIRGLVRWVAGEVAAGRSPSVALHRYQNYCAAPAGSPWSVGLPRVSSRLALPSRCFRFSPPFVCACSACSYDVPVGTYVLSLLHRDSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICILFQCSRHNLNVVPVANGLVMGWIRFLEGEKKVYCIMNVNAAFTIPVSIEAIKDVVSVADYILVVEKETGYPDIPTRRFLRYLVEQLHLPAYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPGIRWLGVFTSDFEDYCLPDCCLLDLSSEDRRKAEGILSRCYLNSEAPQWRLELAAMLQKGVKFEIEALSARSISFLSEEYIPQKIKQGRYI >LPERR03G28940.1 pep chromosome:Lperr_V1.4:3:25170687:25172945:-1 gene:LPERR03G28940 transcript:LPERR03G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSNGCDATERRLAHNVDKSHLGGVGRSTIESGPRRGNSNDCNAQNLAGVAAIHSQEPTSQEPEAAAVAGGGESRERRGEETEARRRRPPRPEESDREQIQENKMDDNSIQQALLADTPNPNVLQRNPSEGVKRFRRCRSTPSTDPVQAPPEKGSSVKAKELFKEMRPSFRLVALLVFVYLLVGVLVFYAVMDQISGKRTDRVLDALYFCIVTMTTVGYGDLVPNNDTTKLLACAFVFMGMGVVALFVSKVADYLVEKQEVMFFKALHMNMKVGEAKMLRAIETNRIKYKFYTNALLLVLSIVSGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRIFAIFWIITSTIIMAQFFMYLAEIYTERRQRMLANWVLTRRMTKMDLEAADLDDDQQVGAAEFVLYKLKELGKINQEEISSFLEEFDKLDVDHSGTLSPYDLTLAQSSQ >LPERR03G28950.1 pep chromosome:Lperr_V1.4:3:25183117:25185081:1 gene:LPERR03G28950 transcript:LPERR03G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLILAVLVTISGAHGVLADDGDAAAAADVAMASRHEEWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAADKEGDGGHRLATNKFADLTDDEFRAARTGLLQRSPPLSSGAGGGGFLYENFSLDAVPQSLDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLTKIRTGRLVSLSEQELVDCDVRGMDQGCEGGLMDTAFQYITRRGGLSAESSYPYRGIDGSCRSSSSASVSAASIRGFQDVPANNEAALMAAVSRQPVSVAVHGGGYVFRFYDRGVLGGAGCGTELDHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAKMASYPV >LPERR03G28960.1 pep chromosome:Lperr_V1.4:3:25186815:25192715:1 gene:LPERR03G28960 transcript:LPERR03G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRSPFRSGIPAMARCSRHTAAPPPRTVVVIDEDDDDLDDGPSNDEEVFIIDGAEAKGRADFGGKTKRGNSSSSNVINIDDDEDEEEGGGVDRAGPSTAGATGSPATATPGRVSPRNRYGLDSISDSYDSDSSEGDSDEDDSSDCEILDDTSGSARKQWEKAASKKCMPQHRRSFRNGMASTSTSSAESSTQPDERVENGADFHINECIYKYFNDDVLNEGVPNNTSGEKFGAKPSVPDVHECPKDSSSNANEAEDCNATFGIGPDPARDDEPTHSHQRVVPEKTTERSQSPHIDEAFKPEDCTGYSFISANRVFPACSSADWKDENPIFVSTPEKLDEKLPDSTSSQKNEVPTDAHYKNTAKNKDRCPATDNGSLNGQLTEDPPFSSRCSWQSEKNSSHLDANCCASAGCMPPQKDLVDGHEKPGQSALAQDAVDLQDGLIGIREKHKESEEYKRAQEEEWAARQRQLQIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEENIQLKEKYRGVVRLELEDMERRYIDMASILRALGIAVESGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKLLCAF >LPERR03G28970.1 pep chromosome:Lperr_V1.4:3:25191703:25214838:-1 gene:LPERR03G28970 transcript:LPERR03G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVAIVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDATAPTSDDMQNNYQEYVNLKAQVEVLQHSQRNLLGEDLAPLGTNELDQLEGQVVRTLKQIRLRKTKVLLDELCDLKRKEQMLQDANRVLKRKLDEIGVEAAPTQPMWNGNCSNGDGGGRGGGVFSSEPPQPEHFFQGLGFHAVDVNQPPAPPPGACLYELFVSNVTSKYKNRRRSTSPPPSLAGVLRQAAAVASVIVSRRCHHRWVRKTEENAVPPSVFVADRRNSVDLARSRGRGEGGGGRSMGRGKVQLKRIENKINRQVTFSKRRAGLLKKANEISVLCDAEVALIIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKENPCSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYTAAAGERAEDVAGQAQQVRIGLPPWMLNHING >LPERR03G28970.2 pep chromosome:Lperr_V1.4:3:25191703:25214838:-1 gene:LPERR03G28970 transcript:LPERR03G28970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVAIVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDATAPTSDDMQNNYQEYVNLKAQVEVLQHSQRNLLGEDLAPLGTNELDQLEGQVVRTLKQIRLRKTKVLLDELCDLKRKEQMLQDANRVLKRKLDEIGVEAAPTQPMWNGNCSNGDGGGRGGGVFSSEPPQPEHFFQGLGFHAVDVNQPPAPPPGACLYELFVSNVTSKYKNRRRSTSPPPSLAGVLRQAAAVASVIVSRRCHHRWVRKTEENAVPPSVFVADRRNSVDLARSRGRGEGGGGRSMGRGKVQLKRIENKINRQVTFSKRRAGLLKKANEISVLCDAEVALIIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKELVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYTAAAGERAEDVAGQAQQVRIGLPPWMLNHING >LPERR03G28970.3 pep chromosome:Lperr_V1.4:3:25191703:25214838:-1 gene:LPERR03G28970 transcript:LPERR03G28970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKELVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYTAAAGERAEDVAGQAQQVRIGLPPWMLNHING >LPERR03G28980.1 pep chromosome:Lperr_V1.4:3:25222522:25223425:-1 gene:LPERR03G28980 transcript:LPERR03G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPPPSPPLPWILLDPFVHNYDFDIDPTAKGWEIVDCTRKEFCGAGKYGHLMADCLNLYMRLAENPLDHSELAVSADDEVVTNIMEGETTEQLPEDESSFPRLRQAHLDAIKLQPSRVTGYVQMADENLLVLSLSFPFTQMFRTMGCTTGDTIKIISISFDNYVPDAEKTVTEWMLDMATRRWIKVEEFSLETLWKLEDFEKYGLPFTGPLYPLLRKGEEESLYFILTNDLDDHAEHHMCRLDMRSMSLESTCLSWHPCLFTPWQMVGSEVITYLRSERVVPYHGKGKGKLDKD >LPERR03G28990.1 pep chromosome:Lperr_V1.4:3:25226666:25229046:-1 gene:LPERR03G28990 transcript:LPERR03G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDFSSAQYYLVYDANLASLSMIPLPLYFRKIGVPCDPLHTRCDVDVDDGCALVLFAKNYEYNREGSVSRWAWDGPIHRTRWPPRTDSPRCLARDEREGAAAASSLQGTAIFSLQAGARRLSAAVGLLLVFFAAIATAAVFGFQLTRYINKEAKTAASPKKSPESTSNISPAAQVDLKDHRWFQGRRRGEKLANEVGHVGRGLVNPPRTTPIVRSVYFDALFVWSPSISSPPPQAAEEPWQFREPRFPEGIPASFRHHVRFTSGGHAFWADLTNGVLCCRCSDLLSVFGDDVEFRFIDLPPGYEWSINPDFVHPEIFRTMGCGSGDSIKFISISTHDSVPENADQTVTEGTLDTAATWQWIKGEELRVGDLWELEDFKKAGLPESLPVNPMLSTEGDVDDGGDLHFIVTTPTEEWEDIAGHPHMCRCDMTVVHHTCRFDMRSKRLVSSVRLSCAPEVVLAPRLLGCGFFRYLDSGHVYPVDNENLAADLL >LPERR03G29000.1 pep chromosome:Lperr_V1.4:3:25229213:25229413:-1 gene:LPERR03G29000 transcript:LPERR03G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPASRRPLPSLIMLEKYVLDYGIPFRPLGLGWASIKLNKKKFHGRYNHGEQLAKSLDLFARISK >LPERR03G29010.1 pep chromosome:Lperr_V1.4:3:25229559:25231100:1 gene:LPERR03G29010 transcript:LPERR03G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLFSLEEAASHNTPDDCWVVVDGKIYDVTKYLDDHPGGADVLLQATGKDAKEEFDDAGHSKSAIELMQDYFIGELDDPTPNIPEMEVFRKEQDVSFASKLVANAAQYWAIPATAVGISVVIAVLYARQK >LPERR03G29020.1 pep chromosome:Lperr_V1.4:3:25231486:25236272:1 gene:LPERR03G29020 transcript:LPERR03G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTMANLAVDRRTRHEQRYRARGRKAANQQVKTERREPASPNLWSPARRRALPPRAARRSTPWIRTSRRRRLHQPHSTPTPNHTLPREQIALRPPPK >LPERR03G29030.1 pep chromosome:Lperr_V1.4:3:25232743:25235540:-1 gene:LPERR03G29030 transcript:LPERR03G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCAALLAAAVLFSAPATTEAYDSLDPNGNITIKWDIMQWTPDGYVATVTMFNFQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAASSFQISVGLAGTTNKTVKVPKNFTLKAPGPGYTCGRAMIVRPTKFFTQDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNITQLFSFNYKPLTPYGGKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMDFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSLLAFWIVLATLLAYA >LPERR03G29040.1 pep chromosome:Lperr_V1.4:3:25238321:25243397:1 gene:LPERR03G29040 transcript:LPERR03G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTARGKIPSLHHQTEINWDNLDKTKLYVVGAGMFSCVTVALYPVSVIKTRMQVATGEAVRSNAVATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLMASLSSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYAPSSAVWWASYGSSQRVIWSAFDHWNDKESSPSQLKIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRKLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEVLNSPILGNDRRVGDEDEVDQVG >LPERR03G29050.1 pep chromosome:Lperr_V1.4:3:25249100:25256655:1 gene:LPERR03G29050 transcript:LPERR03G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRPRKADVYSTVVVHDDDEEDTAAARGVGRGGGHALAEDDDDEDPSSLPPLLQRLPKDFGGASFDEDDDPYSSDLDDASLSATVVVKRGAPASTSGSSSRSPFLDLRRSSPRDAEGDLYSTFVVHGTSRSGGASSPRESASGSGGGGFGSSFWSPAEGRSEELRQPALLLQQQHQQQQHSRRKASVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGKSSCALFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMVKHKFIEKCNPGASKMLAKIKEAKKIREKVAAETELSGPDSTMQDATVRINEDFGETVPTNPQQQINQTYDGGAGDFGTMIVHPEDGDEVVESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYIDEQSDMQEARAMPPSTGTVKKLKVEEGTMPRQGNQVNSASPGVGNTMTKLNTSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDVSPLALVSDNVVGNGLAGSNTTDAMEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >LPERR03G29050.2 pep chromosome:Lperr_V1.4:3:25243709:25249105:1 gene:LPERR03G29050 transcript:LPERR03G29050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDQSLTQAASAVAAATMTPLAPPDDSSSIPTNQLLRLVAKVPPSALFSLRRPSSRLWGHASGTKPSLSLLCWFAQGLAVEREEAGRGEGGGASVMFDSAAAVGFGGLLPPLGTNAVDFSVQDVKGPSKSFPKHESRHVSDHKQPYLFSINMSNHRMAQTSRKNLGAYIWYHLQFALAMNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESSILISAAKDNTIRFFDFSKSVARKAFRVIQVDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANSHDSSAAINQARYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHGSAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRFVKQYVGAVHRQFRCQVVVWDALTAENVARLPSGHTGAPRWIGHSPVEPAFVTCGNDRSVRFWKQTV >LPERR03G29060.1 pep chromosome:Lperr_V1.4:3:25258161:25260991:-1 gene:LPERR03G29060 transcript:LPERR03G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHQQWWSARRRGSCVFSAATSGSRCGNDGRGRPALRRADSRCQPRGDGGGRLEAAGSGGDGPRCGARRADPCSVATTTAPGGADCPIASITSSSSSLLSAAHHERLQWEPPRLGLPFALPFHPRADELPCPSVFSACRAASPSWRRRHRRQQSASEDGEKDVFMGLSTMVTDRCSPDNETFRTPPKMRSRQESLIKSEGYQPNRQRRSCVDITEPRDYSSSEEMVLLF >LPERR03G29060.2 pep chromosome:Lperr_V1.4:3:25258161:25260900:-1 gene:LPERR03G29060 transcript:LPERR03G29060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRRCGGQIRAANPAATAVGDSRRPGRAVTAPAAERDGQIRAPLPRRRRPAARIVPSRPSRRPHRRCCRRRTMSGCSGSRHDWACPLLSPSTLGLTSCHAPRSSPLAALPLHHGGVATAAGGGSSDVGVRFRSGGVMTMEQLGGEVGSDVFMGLSTMVTDRCSPDNETFRTPPKMRSRQESLIKSEGYQPNRQRRSCVDITEPRDYSSSEEMVLLF >LPERR03G29070.1 pep chromosome:Lperr_V1.4:3:25261921:25268023:1 gene:LPERR03G29070 transcript:LPERR03G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPHRGWWDQVIPVSVALVLPACPVYTLYYARRCDGPPQQAAQATRRTRYKRGASSRLVSAFPNPSQSLPRVSQPTREHNPPPPIRLPPPAAAAAKARAAMGKNMRIKTGSRAPLLAHQGETSRALSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATMALLIASLSNILVPKYGGKIIDIVSRDVQRPEDKAQALADVRGTILYIVIIVEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFTTSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEILRYGEKVEETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTIGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRASSMASSGDKCPTNENDGEVELDDVWFSYPSRPTHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHKKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHDFICGFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGGSNSTAEIEQISNGQ >LPERR03G29070.2 pep chromosome:Lperr_V1.4:3:25261921:25268023:1 gene:LPERR03G29070 transcript:LPERR03G29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPHRGWWDQVIPVSVALVLPACPVYTLYYARRCDGPPQQAAQATRRTRYKRGASSRLVSAFPNPSQSLPRVSQPTREHNPPPPIRLPPPAAAAAKARAAMGKNMRIKTGSRAPLLAHQGETSRALSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATMALLIASLSNILVPKYGGKIIDIVSRDVQRPEDKAQALADVRGTILYIVIIVEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFTTSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEILRYGEKVEETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTIGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRASSMASSGDKCPTNENDGEVELDDVWFSYPSRPTHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHKKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAVSIRRRTTKDSEKEKGEYLAFSPANFRMQKMANAHDFICGFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGGSNSTAEIEQISNGQ >LPERR03G29080.1 pep chromosome:Lperr_V1.4:3:25270141:25273153:1 gene:LPERR03G29080 transcript:LPERR03G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGGAAARKKRGSPATENNGEEHTDAQRRLRDISIAKSENNMGGAFSTQGDLPRESGYNLEEMDAQLCAESVHRASNQLVNSNENGNPEFQVVKSTSSAGNSEHWILDCNKATDHDMGFMPPQGSRFDVNARTNDLQSTTEGRLCQRTVGEGFTGLSGVGNNPYSVHENQRIGSTVGYSPYNVQENQRIGSTVGYNPYSVQENQRIGPFMREAYTLRSHYLHPPHVRGYMDNPFMIFPSVHPMNALDPFNQGFNFFQTGNVPPYGVSEHWEYEYQRNMDDTNVERNKLNLNGEAYLPTHYSINCIRPSSLSQAYEQMPPVTLSPRLSLRGFRKKKLLILDLNGLLADINQDHHNSHMAVAKFRGRLVFRRPYCHDFLTFCLQNFELGIWSSRKKYDLLSISIFCDFPSLPQQYLLQNVDSVIDIIMRDFKPFLLFCWDMSKCTFTGHKTLDNVHKPLMLKELRKLWNKEEPDLPWGKGEYSPSNTLLVDDSPYKALRNPPYTAIFPRTYSYLDCNDCSLGPDGDLRVYLENLSVAEDVECYVRNNPFGQPFITQNDPNWSFYAQIANQ >LPERR03G29080.2 pep chromosome:Lperr_V1.4:3:25270141:25273153:1 gene:LPERR03G29080 transcript:LPERR03G29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGGAAARKKRGSPATENNGEEHTDAQRRLRDISIAKSENNMGGAFSTQGDLPRESGYNLEEMDAQLCAESVHRASNQLVNSNENGNPEFQVVKSTSSAGNSEHWILDCNKATDHDMGFMPPQGSRFDVNARTNDLQSTTEGRLCQRTVGEGFTGLSGVGNNPYSVHENQRIGSTVGYSPYNVQENQRIGSTVGYNPYSVQENQRIGPFMREAYTLRSHYLHPPHVRGYMDNPFMIFPSVHPMNALDPFNQGFNFFQTGNVPPYGVSEHWEYEYQRNMDDTNVERNKLNLNGEAYLPTHYSINCIRPSSLSQAYEQMPPVTLSPRLSLRGFRKKKLLILDLNGLLADINQDHHNSHMAVAKFRGRLVFRRPYCHDFLTFCLQNFELGIWSSRKKQNVDSVIDIIMRDFKPFLLFCWDMSKCTFTGHKTLDNVHKPLMLKELRKLWNKEEPDLPWGKGEYSPSNTLLVDDSPYKALRNPPYTAIFPRTYSYLDCNDCSLGPDGDLRVYLENLSVAEDVECYVRNNPFGQPFITQNDPNWSFYAQIANQ >LPERR03G29080.3 pep chromosome:Lperr_V1.4:3:25270141:25273153:1 gene:LPERR03G29080 transcript:LPERR03G29080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGGAAARKKRGSPATENNGEEHTDAQRRLRDISIAKSENNMGGAFSTQGDLPRESGYNLEEMDAQLCAESVHRASNQLVNSNENGNPEFQVVKSTSSAGNSEHWILDCNKATDHDMGFMPPQGSRFDVNARTNDLQSTTEGRLCQRTVGEGFTGLSGVGNNPYSVHENQRIGSTVGYSPYNVQENQRIGSTVGYNPYSVQENQRIGPFMREAYTLRSHYLHPPHVRGYMDNPFMIFPSVHPMNALDPFNQGFNFFQTGNVPPYGVSEHWEYEYQRNMDDTNVERNKLNLNGEAYLPTHYSINCIRPSSLSQAYEQMPPVTLSPRLSLRGFRKKKLLILDLNGLLADINQDHHNSHMAVAKQNVDSVIDIIMRDFKPFLLFCWDMSKCTFTGHKTLDNVHKPLMLKELRKLWNKEEPDLPWGKGEYSPSNTLLVDDSPYKALRNPPYTAIFPRTYSYLDCNDCSLGPDGDLRVYLENLSVAEDVECYVRNNPFGQPFITQNDPNWSFYAQIANQ >LPERR03G29080.4 pep chromosome:Lperr_V1.4:3:25270141:25273153:1 gene:LPERR03G29080 transcript:LPERR03G29080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGGAAARKKRGSPATENNGEEHTDAQRRLRDISIAKSENNMGGAFSTQGDLPRESGYNLEEMDAQLCAESVHRASNQLVNSNENGNPEFQVVKSTSSAGNSEHWILDCNKATDHDMGFMPPQGSRFDVNARTNDLQSTTEGRLCQRTVGEGFTGLSGVGNNPYSVHENQRIGSTVGYSPYNVQENQRIGSTVGYNPYSVQENQRIGPFMREAYTLRSHYLHPPHVRGYMDNPFMIFPSVHPMNALDPFNQGFNFFQTGNVPPYGVSEHWEYEYQRNMDDTNVERNKLNLNGEAYLPTHYSINCIRPSSLSQAYEQMPPVTLSPRLSLRGFRKKKLLILDLNGLLADINQDHHNSHMAVAKFRGRLGDLLDMSKCTFTGHKTLDNVHKPLMLKELRKLWNKEEPDLPWGKGEYSPSNTLLVDDSPYKALRNPPYTAIFPRTYSYLDCNDCSLGPDGDLRVYLENLSVAEDVECYVRNNPFGQPFITQNDPNWSFYAQIANQ >LPERR03G29090.1 pep chromosome:Lperr_V1.4:3:25273751:25276224:1 gene:LPERR03G29090 transcript:LPERR03G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGTQLEILAPIKY >LPERR03G29100.1 pep chromosome:Lperr_V1.4:3:25277938:25282697:-1 gene:LPERR03G29100 transcript:LPERR03G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRRSTASFFFFLLLLVVAVGVSSDYGQELDRSALLQLRDGGMMRLRSPESGAVADHCSWPGVTCDASRRVVALAGELAGGVMPPEVGLLTELRELSFPSCGLRGEIPAEIWRLEKLEVVNLAGNSLRGALPVTFPPRIRVLNLASNLLHGEIQASISGGKSLERLNLSGNRFVGSVPGVLGSLTKLKQLDLSRNLLTGRIPSGLGNCRQLRSLQLFSNLLEGSIPPEIGRLRRLQILDISSNRLNGLCMAVFDVSHNKLSGTIPACANKGCASQLSDDMPSHYPSLFMSKAVEQLSLGYCNSGNCSVVYHSFSNNNLGGHLISLPLSADRFGNKTLYAFHADYNNFMGSLHEILLEQCNKVEGLIVSFRGNKLSGGLTAEMSTKCNAIRALDLAGNQISGVMPANIGLLGALVKMDVSKNLLEGQIPTSFKDLKSLKFLSLAGNNLSGTIPSCLGKLRSLEVLDLSYNSLSGKIPSNIVTLRDLTALLLNNNKLSGNIPDIAPSASLSIFDISFNNLSGPLPLNMHSLTCNSIQGNPSLQPCGLSSLSNTLMKVRTLTEGDVPPPDGTTSDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCGSRQSRRSHRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRARIEIQGSSNVCKLRKEAMEHQRDEAGDLDKMMCYQKFIVAILEFWQIDLWKVWLLILCKLSVPVAVQTDKPQPERPFANKCFRFSSLPFPTFVEHNIASLQLMYDWKIVMRNRVHLLKGSHNSGQWVAG >LPERR03G29110.1 pep chromosome:Lperr_V1.4:3:25290782:25292765:-1 gene:LPERR03G29110 transcript:LPERR03G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANARLIPLALALMAAALAGRSEGAWCVCRQDLPDSALQKTLDYACGDGADCKPIQQSGACFAPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCQYPASASAAGTGLTGGTGGTTGMYSPPGVGLGPSSLNDNSGANVLTATGMAMWMLILACCSLMALNFS >LPERR03G29120.1 pep chromosome:Lperr_V1.4:3:25294132:25297214:-1 gene:LPERR03G29120 transcript:LPERR03G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVAAPESNASSAGAPPAAAASGPMWWVSGCHGTLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAILNLLWCLLQVWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETGGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDVDQGTGGKWGLWTLHILVLTGVYGLIMFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFREEDMLLENVYYSEMKDAGRPSNLTLDH >LPERR03G29120.2 pep chromosome:Lperr_V1.4:3:25294743:25297214:-1 gene:LPERR03G29120 transcript:LPERR03G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVAAPESNASSAGAPPAAAASGPMWWVSGCHGTLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAILNLLWCLLQVWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETGGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDVDQGTGGKWGLWTLHILVLTGVYGLIMFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFREEDMLLENVYYSEMKDAGFFDADWD >LPERR03G29130.1 pep chromosome:Lperr_V1.4:3:25298550:25305520:-1 gene:LPERR03G29130 transcript:LPERR03G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGDGDVEEENRNRIMQKIFGDHQSDEEVVEEAAADDGDGATSGGDRGRRGGGQQEEEEEEDPAEEEYELDNGHDGDGDGDGDGDQWDEGQGESQGSGGMAQGIEADAHDVELGNQGTHDHRKGVNTAKGGHAKRIVTSEKRSIEDEEDHEAVHDVFGHNDEDEFASYGTQDDNEHAHGSLMDDEGHYEELQPENMVDEDKHYEPDENIERELTDKPLGPPLNLVVPPRKLPPGQPDRMNVFKVSNIMGINLKPFDPETYVQEDASMTDESGGRKKIRLEDNVVRYRFAKNADGTESCESNARFVKWKDGSIQLMIGNEVLDGLMQSQGRLLQKMRFMPSSLSSRSHRALTALVDSQNKKKIKMQTWINENDPERVKEENEKTEGENIRANSSLQRKRGQVQRKYSQPLRQRKKLTPAFLEEALEEDEAPGVGNNRRGGPSSTHFEDDLEAEALPKRDAVNVKKENLGKTVPCKTPFSSGGVPRHQVNEYSESEREESEYETDGEDIGNSPTIGRENELDEEEEEDPEEVISDTSMSDENNEEQEHAKERKGFDSDDESSPRKQPLTRRKSIVFDSDDECKCNCCSWSSYVL >LPERR03G29140.1 pep chromosome:Lperr_V1.4:3:25308470:25312309:-1 gene:LPERR03G29140 transcript:LPERR03G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVNESGIKDSSVNCGASGFQQDANYDFSNRVLQHSYSVSGPIFEGKTLSAATPEFVLNSNSAALRGYNQLDHLFRPFRPGQCEGMQMQNDNLEIIHRSVPSNASCLDHAEEITSYDTDGYDDRTISYGSSCSTIPPSYPYINTLQRNNNISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNTMQNQVVWDNGCLTSPSFTSNFLPFPGDAEATFTSTSTVSNLQNFVDVTHDMNNNEQDKPSSELRTPQHNEAVGNHIFQHRDEMHSAERGTYFGNEECSDLMPATQYRKNKVLHKQFDSSAINVDGSVGSGTEKLHGLYESEEQMEIDSLLNSFSASTDAFPHTYEIFQKSESFAGLDKKDKLEESVSATCFSNTVVPYMHTGAPVLAISDGYAYHQQCHSTSQAVGLFCTSASQWEMMSSSVLPLSFCGPNPMSSMGESGEDHLLTGDRTLLHEQQSATCGTRYELTDSVANSVLEFTNILDGKSSLKRTSIYHEESAATNGVWKEHYDMMENRSLGVCPSNHTVHRQMEPAVTHTTHLLPSPSLSNDPDSSFVGGTDLKKAKLMGACSTRQNYSELDSEGKGMIGPKSFEQNVSENISKADEDQCNEFSQIVDNQRRTLLPQNKTSHFSGLPTNKFDGKLVSRQKKRKRATGLLAWHAEIMSGSKMQNRRTRTPELDWAHATRRLVEKVDADNTTTKNSTFVSQAQKRLALTTSLIQYILPVLPHTLLAATAINSGETIVYHTSRLALSDAFDPIIYSVSKENYVMQSESMLQNQTSTSEKEEDKIVPEGQEAFTTRFDELQSSFSRAEKATTFQDVVSEIRDMERWSILHHFIKLHKYSRLHGDGVSNTRPTPCRSTIRKHAGIVEVPVDLLNSVRCRLLN >LPERR03G29140.2 pep chromosome:Lperr_V1.4:3:25308470:25312309:-1 gene:LPERR03G29140 transcript:LPERR03G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVNESGIKDSSVNCGASGFQQDANYDFSNRVLQHSYSVSGPIFEGKTLSAATPEFVLNSNSAALRGYNQLDHLFRPFRPGQCEGMQMQNDNLEIIHRSVPSNASCLDHAEEITSYDTDGYDDRTISYGSSCSTIPPSYPYINTLQRNNNISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNTMQNQVVWDNGCLTSPSFTSNFLPFPGDAEATFTSTSTVSNLQNFVDVTHDMNNNEQDKPSSELRTPQHNEAVGNHIFQHRDEMHSAERGTYFGNEECSDLMPATQYRKNKVLHKQFDSSAINVDGSVGSGTEKLHGLYESEEQMEIDSLLNSFSASTDAFPHTYEIFQKSESFAGLDKKDKLEESVSATCFSNTVVPYMHTGAPVLAISDGYAYHQQCHSTSQAVGLFCTSASQWEMMSSSVLPLSFCGPNPMSSMGESGEDHLLTGDRTLLHEQQSATCGTRYELTDSVANSVLEFTNILDGKSSLKRTSIYHEESAATNGVWKEHYDMMENRSLGVCPSNHTVHRQMEPAVTHTTHLLPSPSLSNDPDSSFVGGTDLKKAKLMGACSTRQNYSELDSEGKGMIGPKSFEQNVSENISKADEDQCNEFSQIVDNQRRTLLPQNKTSHFSGLPTNKFDGKLVSRQKKRKRATGLLAWHAEIITRTPELDWAHATRRLVEKVDADNTTTKNSTFVSQAQKRLALTTSLIQYILPVLPHTLLAATAINSGETIVYHTSRLALSDAFDPIIYSVSKENYVMQSESMLQNQTSTSEKEEDKIVPEGQEAFTTRFDELQSSFSRAEKATTFQDVVSEIRDMERWSILHHFIKLHKYSRLHGDGVSNTRPTPCRSTIRKHAGIVEVPVDLLNSVRCRLLN >LPERR03G29150.1 pep chromosome:Lperr_V1.4:3:25313761:25314373:1 gene:LPERR03G29150 transcript:LPERR03G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTSSGGGSDPRQPSTAKQYAPPKLSAQDLPIDYAGFLAVVFGVLGVMLRYKVCSWIAIIFCAQSLVNMKNFENDLKQLSMAFM >LPERR03G29160.1 pep chromosome:Lperr_V1.4:3:25320780:25339286:1 gene:LPERR03G29160 transcript:LPERR03G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVIPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVSALPAGGAAALAARRIHLAAIPDGLAGDEDRKDLNKLIDAYSHHMPGHLERLLAEMVAAGRPPVRWLVGDINMGWSFAVARRMGIRVVSFWPASMACLAFMLRIPNLIEDGVLDEKGWPARKETLQLAPGMPPLHTSLISWNNSSAAEGQHIIFDLVCRNNKLNAEFAEITICNSFHDAEPGAFDLFPNFLPVGPLTAGDERDELRRRPVGHFLPEDAACLDWLDAQPDRSVVYVAFGSLAIFNARQFVELAEGLVLSGRPFLWVVRPDFTPGLSKPWLDAFRRRLVDDGGEKKRGIVVDWCSQKRVLEHGAVACFVTHCGWNSTLEGVRNGVPMLCWPYFCDQFLDRSYVVEVWRTGLAVEAAGDGEDGSEGVVVTREEVRRKVEKVVGDEEIRKRARVMRDAARACVGEGGSSQRNFRSTLLYGLWPCNLWPIAGGPPRGERESDSSPPPPSCRRRRRPADDCFVTTCGLIRQQAVKSTPHISHQKSPSKADAVLAFLAGSALRLSKADIADVVARDPLILKLQRGENPRASAPTVSPVTSSRASSGCPHSSSAASTISEKLGFWIPFLGSPEKLLYFVKSNYYLLTADLVPSRGQSSPTSGCYVDTTACLSADTMAGKFKLPGKTFGWSQDEVASVRRLVPQHYVMKVLQEKGLMSKERFLRVAEDSGKLFEQRYIQQPHKDVLPDLADAYAAACKGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILSRTNPTILLAEDIICNTFQDIEQGALALVPNALPVGPLEAPATLRSAGHFWPEDPTCLAWLDEQQACSVIYVAFGSFTVFDMAQVQELADGLMLVGRPFLWVIRQNFANGVGEGWLEEFRRRASGKGMIVSWAPQQRVLSHPSVACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSHICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQRRLMPRHYVMKVLQEKGLMSKDKSFLRTAEDSEKLFEKRYLQPHKDVVPDLADAYAAARNGELPKRYMSLNDHPHVMVVPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNAMADETGAIPDGIHMVSFPDGMDRDGDRADIAKLGAGLRAAMIGGIEEMIRSEGIRWVIADVSMIWVVELAATLGVHVALFSTFSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILLRSNPTILSAEAIICNTFQDIEQGALALVPNALPVGPLEAPAALRSAGHFWPEDPNCLAWLDEQQACSVIYVAFGSFTIFDMARVQELANGLMLVGRPFLWVIRQNFANGVGEGWLEGFRRRASGKGMIVAWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSYICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQILLAIDHSKKTAVMATATQLHVMVLPFPAQGHVIPLMELSHRLVDQGFKIDFVNTEFNHNRVLKALAETGTIPDGIQMLSIPDGLGPADNHMDIGKLVQVLPAAMFSPLENMIRSKKIKWVIADVSMSWALELATTVGVRIALFSTYSAAAFALRRSLPKLIEDDFLDETGNVKRHEMVQLTPPIDSSEIPWVSLGSTQERRRYNIQNVIKTNRLMPLAEMVICNTFREIESEALVLLSNALPVGPLVAPTSGSTGHFLPEDLTCLTWLDAQAPNSVIYVAFGSSTIFDVAQFHELANGLALSGKPFLWVVRPNFTNGIQEDWLNAYKDHVKGKGLVISWAPQQKILSHPSIACFMSHCGWNSTMEGLLHGVPFLCWPYFSDQFCNQSYMCNVWKTGIKLCRDKEGVVTQEEIKNKVEQLLGDHKIKERAATLKTTARASIREGGSSHQNFLKFVNLLREQ >LPERR03G29160.2 pep chromosome:Lperr_V1.4:3:25325559:25339286:1 gene:LPERR03G29160 transcript:LPERR03G29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQGHTRALGSARSASSLTHSPMFWVAVDTTACLSADTMAGKFKLPGKTFGWSQDEVASVRRLVPQHYVMKVLQEKGLMSKERFLRVAEDSGKLFEQRYIQQPHKDVLPDLADAYAAACKGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILSRTNPTILLAEDIICNTFQDIEQGALALVPNALPVGPLEAPATLRSAGHFWPEDPTCLAWLDEQQACSVIYVAFGSFTVFDMAQVQELADGLMLVGRPFLWVIRQNFANGVGEGWLEEFRRRASGKGMIVSWAPQQRVLSHPSVACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSHICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQRRLMPRHYVMKVLQEKGLMSKDKSFLRTAEDSEKLFEKRYLQPHKDVVPDLADAYAAARNGELPKRYMSLNDHPHVMVVPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNAMADETGAIPDGIHMVSFPDGMDRDGDRADIAKLGAGLRAAMIGGIEEMIRSEGIRWVIADVSMIWVVELAATLGVHVALFSTFSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILLRSNPTILSAEAIICNTFQDIEQGALALVPNALPVGPLEAPAALRSAGHFWPEDPNCLAWLDEQQACSVIYVAFGSFTIFDMARVQELANGLMLVGRPFLWVIRQNFANGVGEGWLEGFRRRASGKGMIVAWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSYICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQILLAIDHSKKTAVMATATQLHVMVLPFPAQGHVIPLMELSHRLVDQGFKIDFVNTEFNHNRVLKALAETGTIPDGIQMLSIPDGLGPADNHMDIGKLVQVLPAAMFSPLENMIRSKKIKWVIADVSMSWALELATTVGVRIALFSTYSAAAFALRRSLPKLIEDDFLDETGNVKRHEMVQLTPPIDSSEIPWVSLGSTQERRRYNIQNVIKTNRLMPLAEMVICNTFREIESEALVLLSNALPVGPLVAPTSGSTGHFLPEDLTCLTWLDAQAPNSVIYVAFGSSTIFDVAQFHELANGLALSGKPFLWVVRPNFTNGIQEDWLNAYKDHVKGKGLVISWAPQQKILSHPSIACFMSHCGWNSTMEGLLHGVPFLCWPYFSDQFCNQSYMCNVWKTGIKLCRDKEGVVTQEEIKNKVEQLLGDHKIKERAATLKTTARASIREGGSSHQNFLKFVNLLREQ >LPERR03G29160.3 pep chromosome:Lperr_V1.4:3:25327076:25339286:1 gene:LPERR03G29160 transcript:LPERR03G29160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLQPHVMVLPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNTMADETGALSDGIHMVSFPDGLDRAGDCADIAKLAKGLRAAMIGGIEEMIRSEGIRWVIADVAMIWVVELAATLGVHVALFSTYSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILSRTNPTILLAEDIICNTFQDIEQGALALVPNALPVGPLEAPATLRSAGHFWPEDPTCLAWLDEQQACSVIYVAFGSFTVFDMAQVQELADGLMLVGRPFLWVIRQNFANGVGEGWLEEFRRRASGKGMIVSWAPQQRVLSHPSVACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSHICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQRRLMPRHYVMKVLQEKGLMSKDKSFLRTAEDSEKLFEKRYLQPHKDVVPDLADAYAAARNGELPKRYMSLNDHPHVMVVPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNAMADETGAIPDGIHMVSFPDGMDRDGDRADIAKLGAGLRAAMIGGIEEMIRSEGIRWVIADVSMIWVVELAATLGVHVALFSTFSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILLRSNPTILSAEAIICNTFQDIEQGALALVPNALPVGPLEAPAALRSAGHFWPEDPNCLAWLDEQQACSVIYVAFGSFTIFDMARVQELANGLMLVGRPFLWVIRQNFANGVGEGWLEGFRRRASGKGMIVAWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSYICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQILLAIDHSKKTAVMATATQLHVMVLPFPAQGHVIPLMELSHRLVDQGFKIDFVNTEFNHNRVLKALAETGTIPDGIQMLSIPDGLGPADNHMDIGKLVQVLPAAMFSPLENMIRSKKIKWVIADVSMSWALELATTVGVRIALFSTYSAAAFALRRSLPKLIEDDFLDETGNVKRHEMVQLTPPIDSSEIPWVSLGSTQERRRYNIQNVIKTNRLMPLAEMVICNTFREIESEALVLLSNALPVGPLVAPTSGSTGHFLPEDLTCLTWLDAQAPNSVIYVAFGSSTIFDVAQFHELANGLALSGKPFLWVVRPNFTNGIQEDWLNAYKDHVKGKGLVISWAPQQKILSHPSIACFMSHCGWNSTMEGLLHGVPFLCWPYFSDQFCNQSYMCNVWKTGIKLCRDKEGVVTQEEIKNKVEQLLGDHKIKERAATLKTTARASIREGGSSHQNFLKFVNLLREQ >LPERR03G29160.4 pep chromosome:Lperr_V1.4:3:25327076:25339286:1 gene:LPERR03G29160 transcript:LPERR03G29160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLQPHVMVLPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNTMADETGALSDGIHMVSFPDGLDRAGDCADIAKLAKGLRAAMIGGIEEMIRSEGIRWVIADVAMIWVVELAATLGVHVALFSTYSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILSRTNPTILLAEDIICNTFQDIEQGALALVPNALPVGPLEAPATLRSAGHFWPEDPTCLAWLDEQQACSVIYVAFGSFTVFDMAQVQELADGLMLVGRPFLWVIRQNFANGVGEGWLEEFRRRASGKGMIVSWAPQQRVLSHPSVACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSHICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQRRLMPRHYVMKVLQEKGLMSKDKSFLRTAEDSEKLFEKRYLQPHKDVVPDLADAYAAARNGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILLRSNPTILSAEAIICNTFQDIEQGALALVPNALPVGPLEAPAALRSAGHFWPEDPNCLAWLDEQQACSVIYVAFGSFTIFDMARVQELANGLMLVGRPFLWVIRQNFANGVGEGWLEGFRRRASGKGMIVAWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSYICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQILLAIDHSKKTAVMATATQLHVMVLPFPAQGHVIPLMELSHRLVDQGFKIDFVNTEFNHNRVLKALAETGTIPDGIQMLSIPDGLGPADNHMDIGKLVQVLPAAMFSPLENMIRSKKIKWVIADVSMSWALELATTVGVRIALFSTYSAAAFALRRSLPKLIEDDFLDETGNVKRHEMVQLTPPIDSSEIPWVSLGSTQERRRYNIQNVIKTNRLMPLAEMVICNTFREIESEALVLLSNALPVGPLVAPTSGSTGHFLPEDLTCLTWLDAQAPNSVIYVAFGSSTIFDVAQFHELANGLALSGKPFLWVVRPNFTNGIQEDWLNAYKDHVKGKGLVISWAPQQKILSHPSIACFMSHCGWNSTMEGLLHGVPFLCWPYFSDQFCNQSYMCNVWKTGIKLCRDKEGVVTQEEIKNKVEQLLGDHKIKERAATLKTTARASIREGGSSHQNFLKFVNLLREQ >LPERR03G29160.5 pep chromosome:Lperr_V1.4:3:25320780:25327180:1 gene:LPERR03G29160 transcript:LPERR03G29160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVIPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVSALPAGGAAALAARRIHLAAIPDGLAGDEDRKDLNKLIDAYSHHMPGHLERLLAEMVAAGRPPVRWLVGDINMGWSFAVARRMGIRVVSFWPASMACLAFMLRIPNLIEDGVLDEKGWPARKETLQLAPGMPPLHTSLISWNNSSAAEGQHIIFDLVCRNNKLNAEFAEITICNSFHDAEPGAFDLFPNFLPVGPLTAGDERDELRRRPVGHFLPEDAACLDWLDAQPDRSVVYVAFGSLAIFNARQFVELAEGLVLSGRPFLWVVRPDFTPGLSKPWLDAFRRRLVDDGGEKKRGIVVDWCSQKRVLEHGAVACFVTHCGWNSTLEGVRNGVPMLCWPYFCDQFLDRSYVVEVWRTGLAVEAAGDGEDGSEGVVVTREEVRRKVEKVVGDEEIRKRARVMRDAARACVGEGGSSQRNFRSTLLYGLWPCNLWPIAGGPPRGERESDSSPPPPSCRRRRRPADDCFVTTCGLIRQQAVKSTPHISHQKSPSKADAVLAFLAGSALRLSKADIADVVARDPLILKLQRGENPRASAPTVSPVTSSRASSGCPHSSSAASTISEKLGFWIPFLGSPEKLLYFVKSNYYLLTADLVPSRGQSSPTSGCYVDTTACLSADTMAGKFKLPGKTFGWSQDEVASVRRLVPQHYVMKVLQEKGLMSKERFLRVAEDSGKLFEQRYIQQPHKDVLPDLADAYAAACKGELPKGYTLSKFL >LPERR03G29160.6 pep chromosome:Lperr_V1.4:3:25334378:25339286:1 gene:LPERR03G29160 transcript:LPERR03G29160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPLQPHVMVVPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLNAMADETGAIPDGIHMVSFPDGMDRDGDRADIAKLGAGLRAAMIGGIEEMIRSEGIRWVIADVSMIWVVELAATLGVHVALFSTFSVAVLALRMHVPNMLEDGILDECGNVMRNEMIRLSPMMPPIEAAELPWVTLSGTPEGRRTIIQILLRSNPTILSAEAIICNTFQDIEQGALALVPNALPVGPLEAPAALRSAGHFWPEDPNCLAWLDEQQACSVIYVAFGSFTIFDMARVQELANGLMLVGRPFLWVIRQNFANGVGEGWLEGFRRRASGKGMIVAWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQCCNQSYICNVWGTGVKLQADEQGVVTKEEIKNKVDQLLDDKEIKARAAKWKNAACTSTAEGGSSHENLLKFMMHGQILLAIDHSKKTAVMATATQLHVMVLPFPAQGHVIPLMELSHRLVDQGFKIDFVNTEFNHNRVLKALAETGTIPDGIQMLSIPDGLGPADNHMDIGKLVQVLPAAMFSPLENMIRSKKIKWVIADVSMSWALELATTVGVRIALFSTYSAAAFALRRSLPKLIEDDFLDETGNVKRHEMVQLTPPIDSSEIPWVSLGSTQERRRYNIQNVIKTNRLMPLAEMVICNTFREIESEALVLLSNALPVGPLVAPTSGSTGHFLPEDLTCLTWLDAQAPNSVIYVAFGSSTIFDVAQFHELANGLALSGKPFLWVVRPNFTNGIQEDWLNAYKDHVKGKGLVISWAPQQKILSHPSIACFMSHCGWNSTMEGLLHGVPFLCWPYFSDQFCNQSYMCNVWKTGIKLCRDKEGVVTQEEIKNKVEQLLGDHKIKERAATLKTTARASIREGGSSHQNFLKFVNLLREQ >LPERR03G29160.7 pep chromosome:Lperr_V1.4:3:25320780:25325501:1 gene:LPERR03G29160 transcript:LPERR03G29160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVIPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVSALPAGGAAALAARRIHLAAIPDGLAGDEDRKDLNKLIDAYSHHMPGHLERLLAEMVAAGRPPVRWLVGDINMGWSFAVARRMGIRVVSFWPASMACLAFMLRIPNLIEDGVLDEKGWPARKETLQLAPGMPPLHTSLISWNNSSAAEGQHIIFDLVCRNNKLNAEFAEITICNSFHDAEPGAFDLFPNFLPVGPLTAGDERDELRRRPVGHFLPEDAACLDWLDAQPDRSVVYVAFGSLAIFNARQFVELAEGLVLSGRPFLWVVRPDFTPGLSKPWLDAFRRRLVDDGGEKKRGIVVDWCSQKRVLEHGAVACFVTHCGWNSTLEGVRNGVPMLCWPYFCDQFLDRSYVVEVWRTGLAVEAAGDGEDGSEGVVVTREEVRRKVEKVVGDEEIRKRARVMRDAARACVGEGGSSQRNFRSTLLYGLWPCNLWPIAGGPPRGERESDSSPPPPSCRRRRRPADDCFVTTCGLIRQQAVKSTPHISHQKSPSKADAVLAFLAGSALRLSKADIADVVARDPLILKLQRGENPRASAPTVSPVTSSRASSGCPHSSSAASTISEKLGFWIPFLGSPEKLLYFVKSNYYLLTADLVPSRGQSSPTSGCYGNAS >LPERR03G29170.1 pep chromosome:Lperr_V1.4:3:25334496:25340774:-1 gene:LPERR03G29170 transcript:LPERR03G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDIASRHVKPRGRGPENGMKHPVMVPYCHGRMPSSSMLGTCILSANTATEKVENKATWTPSVAASSTTQIMETSAITHLIPSDLIISSMPPIMAARNPAPSLAMSARSPSRSMPSGKETMWIPSGIAPVSSAMALRTRSRLKSVCTKSTSKPRSTSR >LPERR03G29180.1 pep chromosome:Lperr_V1.4:3:25349712:25352691:1 gene:LPERR03G29180 transcript:LPERR03G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDIAKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKSVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPAHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVV >LPERR03G29190.1 pep chromosome:Lperr_V1.4:3:25354984:25359128:-1 gene:LPERR03G29190 transcript:LPERR03G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGGQEGIAAGGGGGGDWSLFDGDAFGEYSSAVLAELGGWAPAAEMGMMPALDLPDDVAAADAPARSGDGAAASSSSSGDPAGAPPENADNQQPAAEAATPASASAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFHRGFAGAAAQIHGPAAVALAEQMSAFVSPPPLLYSNNLPRLQPQVINPPSSSETTVVSNSMSTTASLQELNNNSTSYSSSAVTIAQSPPSASAAAAMFLRHMRDYYILKRRLIYTSYMTTVPFRFICRLGGKWLEGRSRRSIGFFIRADQSTESAIIYLSNGLEVRIIY >LPERR03G29200.1 pep chromosome:Lperr_V1.4:3:25364736:25372015:-1 gene:LPERR03G29200 transcript:LPERR03G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAPLQLATASRPLSASGGGGGGGIHVCAARGGGAPPTRRRQQRRLAVASDRGVQGSGASEEDIPNVLNSIDSSTIASNIKHHAEFTPVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALKQLGQSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEELAENWLESRAIYDYILMFQMGNPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDIPIPGYKTKTTNNLRLWATTVPSQYFDLEAFNAGDHASAYEAHLNAEKICHVLYPGDESPEGKILRLKQQYTLCSASLQDIIARFERRASDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDAELMDIIISKYGTADSSLLKKKIKEMRILDNIDLPDYIAKLFVKPKEKKESPAKLKEKLLVKSLEPSDVVEKTELEVEKNDESEEVKGAIDSEEVLKAENEESEDELDPFVKSDPKLPKVVRMANLCVVGGHSVNGVAEIHSEIVKEDVFNSFYEFADDEDLQSEWRAAKKANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRIKSFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDPEIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGKENFFLFGAEAHEIADLRKERAQGKFVPDPRFEEVKKYVRSGVFGTYNYDDLMGSLEGNEGYGRADYFLVGKDFPSYIECQKKVDEEYRDQKQWTKMSILNTACSAKFSSDRTIHEYAKDIWDISPVILP >LPERR03G29210.1 pep chromosome:Lperr_V1.4:3:25374047:25380136:1 gene:LPERR03G29210 transcript:LPERR03G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSFLRFLSGRSLADVCDGVKRRLGLGDNDDESGDEESGHGGGGGSSRPAAAAVGPPGECYACTQPGVPAFHSTTCDQVHSPDWDADAGSSLVPVQPQQQSSSSAAAASAAARWVFGPVLDPRSKRVRRWNRWILLARAAALAVDPLFFYAISIGRAGRPPCVYMDAGLAAAVTALRTCADLAHLAHVLLQFRLAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECVIKNNCDLISLACSKELCFHLPWSDKNGLACGTNLTSFDPQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVVFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRQYERERWAAITGDEEMEMIKDFPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGVGNFLGDELLSWCLRRPFVDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRHRARTAAAAVVAVSGGVPGPDDGDRRLRHYAAMFMSLRPHDHLE >LPERR03G29210.2 pep chromosome:Lperr_V1.4:3:25374047:25380136:1 gene:LPERR03G29210 transcript:LPERR03G29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRRVFMGHAFPLLPPFPLRERVRRWNRWILLARAAALAVDPLFFYAISIGRAGRPPCVYMDAGLAAAVTALRTCADLAHLAHVLLQFRLAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECVIKNNCDLISLACSKELCFHLPWSDKNGLACGTNLTSFDPQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVVFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRQYERERWAAITGDEEMEMIKDFPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGVGNFLGDELLSWCLRRPFVDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRHRARTAAAAVVAVSGGVPGPDDGDRRLRHYAAMFMSLRPHDHLE >LPERR03G29220.1 pep chromosome:Lperr_V1.4:3:25381742:25384968:1 gene:LPERR03G29220 transcript:LPERR03G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVDGAAAGGGRPSEKELFLAAESGDAAAFSALAPADLAAALSLRNDDGRSLLHVAAASGHAQASSPWIVVTALAAVGGDAAANVVNGKDEEGWGPIHTAASSGKAEIISILLDHGANVDLTTDAGRTALHYAASKGRLNIAETLIAHHANINKKDKFGCTALHRAASTGCAELCEFLIEEGADIDAVDKTGQTPLMHAVISEDKGVALLLIRHGADVDVEDKEGYTVLGRASDSLRPALIDAAKAMLEG >LPERR03G29220.2 pep chromosome:Lperr_V1.4:3:25381742:25385309:1 gene:LPERR03G29220 transcript:LPERR03G29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVDGAAAGGGRPSEKELFLAAESGDAAAFSALAPADLAAALSLRNDDGRSLLHVAAASGHAQASSPWIVVTALAAVGGDAAANVVNGKDEEGWGPIHTAASSGKAEIISILLDHGANVDLTTDAGRTALHYAASKGRLNIAETLIAHHANINKKDKFGCTALHRAASTGCAELCEFLIEEGADIDAVDKTGQTPLMHAVISEDKGVALLLIRHGADVDVEDKEGYTVLGRASDSLRPALIDAAKAMLEG >LPERR03G29230.1 pep chromosome:Lperr_V1.4:3:25386254:25386833:-1 gene:LPERR03G29230 transcript:LPERR03G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRAACAALLVAAVAFAALPATTTANKFSINWQPNTNYTDWPAQHGPFYKDDWLVFYYTAGQADVIQVDEAGFNKCDATNAISNYSKGRNYAFQLNQTKTYYFICSYGYCFGGMRLAIKTEKLPPPSPPSSAKAKSAATAVAGSRAAGFLYAAVAVLAALLRMV >LPERR03G29240.1 pep chromosome:Lperr_V1.4:3:25396672:25397299:1 gene:LPERR03G29240 transcript:LPERR03G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVSTSVDALRRTLQWQIRPRRTHAGVLRRRLTAAHKNHRAIMARKAEVRALAAAVEGTNVVALAAPAPVPPPPSPPCPPRRRIADTVVRATRSSPPVFVLASPVSPPSSPKLRPPVHVTGERFTLAARHGARPFYGSWVKFAAATPATAAGPAVEAETTSITTHSPKADDVYTSSEESSPP >LPERR03G29250.1 pep chromosome:Lperr_V1.4:3:25408289:25415255:1 gene:LPERR03G29250 transcript:LPERR03G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVPARLFVLRMLRVVSLNPLQRSEASIVKVDPSICLKLPRMGANVEGDNYMLGYYATGDFNMDTNGRWSPYHDEKTPNAHMCNGFVTEPANCYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKPYQNKDIYPYPMLEDASKTNSPSQVAPNGAKMIWPIQTPPMSIAYRKAPIAEHGVTNHSLKFLREGSVQSSPNGFSTRDVTLNAKQGTFDLQLPADHYVDDDNASENGPIDFLGVASEKKYQNNADLIFVSPEGLGRLSDNSSISGLHATNNLGGWQAVDLNEPITGTYVGRANGSVYRGLSYTLENSWHQSISRPSTGNFNFNKEYFKVKHLDEGTSSNFFAANTKTKQEEKQLIDKGKQISSINVFTPRYSDGNLQMSMKGVDWRSASSNHFLHQGQNSSVGWFAKSPLEVPALNSFPRFDRTHHSSLGALAAPVSIPRIDQPSGASPIGYCMVDSRSSAINNATFQPIPSFEGSSTVNQSIGTSIIKVKKNNGLDGSCPDFALDPFCASRSQHQIAISSDEDEHSARQHEDPHFANAKGLKNFNLNEAFLDGQEDCLVEQDGGNVSSLPHSKASGSVLGFPWLVKTTDTCTRPSDLQNPRKVFAHSNKIVIDLNNSEDRKETASTIHSLSDSASTSLDCGVKKESLDSVIKKNEAFEVIVPRTQLACNKTQESATCLPVLCEKYSPVGDKGAKGVDKKSSVPMRNFIDLNDNAPNEDSSESSVVSHECYVASLQNNHGKHNFVIDLEMPACEEDAAWIFNPECPSGNLDATQKADDGYFTSSRIAAESIVALSMHVPTIAEMSDDMLQWFADLAVSSTDDHIEQAEVHDSSDEGPDSFELLTLKLEETKIDEYWSRPQVPAIPNDEQAGLPVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPCKNLSETIEEEEVLVSPPEKTDAVDVEASDMSIIGWGRTTRRCRRPRCPSGYYISAAS >LPERR03G29250.2 pep chromosome:Lperr_V1.4:3:25408289:25415255:1 gene:LPERR03G29250 transcript:LPERR03G29250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVPARLFVLRMLRVVSLNPLQRSEASIVKVDPSICLKLPRMGANVEGDNYMLGYYATGDFNMDTNGRWSPYHDEKTPNAHMCNGFVTEPANCYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKPYQNKDIYPYPMLEDASKTNSPSQVAPNGAKMIWPIQTPPMSIAYRKAPIAEHGVTNHSLKFLREGSVQSSPNGFSTRDVTLNAKQGTFDLQLPADHYVDDDNASENGPIDFLGVASEKKYQNNADLIFVSPEGLGRLSDNSSISGLHATNNLGGWQAVDLNEPITGTYVGRANGSVYRGLSYTLENSWHQSISRPSTGNFNFNKEYFKVKHLDEGTSSNFFAANTKTKQEEKQLIDKGKQISSINVFTPRYSDGNLQMSMKGVDWRSASSNHFLHQGQNSSVGWFAKSPLEVPALNSFPRFDRTHHSSLGALAAPVSIPRIDQPSGASPIGYCMVDSRSSAINNATFQPIPSFEGSSTVNQSIGTSIIKVKKNNGLDGSCPDFALDPFCASRSQHQIAISSDEDEHSARQHEDPHFANAKGLKNFNLNEAFLDGQEDCLVEQDGGNVSSLPHSKASGSVLGFPWLVKTTDTCTRPSDLQNPRKVFAHSNKIVIDLNNSEDRKETASTIHSLSDSASTSLDCGVKKESLDSVIKKNEAFEVIVPRTQLACNKTQESATCLPVLCEKYSPVGDKGAKGVDKKSSVPMRNFIDLNDNAPNEDSSESSVVSHECYVASLQNNHGKHNFVIDLEMPACEEDAAWIFNPECPSGNLDATQKADDGYFTSSRIAAESIVALSMHVPTIAEMSDDMLQWFADLAVSSTDDHIEQAEVHDSSDEGPDSFELLTLKLEETKIDEYWSRPQVPAIPNDEQAGLPVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPCKNLSETIEEEEVLVSPPEKTDAVDVEASDMSIIGWGRTTRRM >LPERR03G29250.3 pep chromosome:Lperr_V1.4:3:25408289:25415255:1 gene:LPERR03G29250 transcript:LPERR03G29250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVPARLFVLRMLRVVSLNPLQRSEASIVKVDPSICLKLPRMGANVEGDNYMLGYYATGDFNMDTNGRWSPYHDEKTPNAHMCNGFVTEPANCYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKPYQNKDIYPYPMLEDASKTNSPSQVAPNGAKMIWPIQTPPMSIAYRKAPIAEHGVTNHSLKFLREGSVQSSPNGFSTRDVTLNAKQGTFDLQLPADHYVDDDNASENGPIDFLGVASEKKYQNNADLIFVSPEGLGRLSDNSSISGLHATNNLGGWQAVDLNEPITGTYVGRANGSVYRGLSYTLENSWHQSISRPSTGKQISSINVFTPRYSDGNLQMSMKGVDWRSASSNHFLHQGQNSSVGWFAKSPLEVPALNSFPRFDRTHHSSLGALAAPVSIPRIDQPSGASPIGYCMVDSRSSAINNATFQPIPSFEGSSTVNQSIGTSIIKVKKNNGLDGSCPDFALDPFCASRSQHQIAISSDEDEHSARQHEDPHFANAKGLKNFNLNEAFLDGQEDCLVEQDGGNVSSLPHSKASGSVLGFPWLVKTTDTCTRPSDLQNPRKVFAHSNKIVIDLNNSEDRKETASTIHSLSDSASTSLDCGVKKESLDSVIKKNEAFEVIVPRTQLACNKTQESATCLPVLCEKYSPVGDKGAKGVDKKSSVPMRNFIDLNDNAPNEDSSESSVVSHECYVASLQNNHGKHNFVIDLEMPACEEDAAWIFNPECPSGNLDATQKADDGYFTSSRIAAESIVALSMHVPTIAEMSDDMLQWFADLAVSSTDDHIEQAEVHDSSDEGPDSFELLTLKLEETKIDEYWSRPQVPAIPNDEQAGLPVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPCKNLSETIEEEEVLVSPPEKTDAVDVEASDMSIIGWGRTTRRM >LPERR03G29260.1 pep chromosome:Lperr_V1.4:3:25415367:25420128:1 gene:LPERR03G29260 transcript:LPERR03G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRRECGGPVAGAASRLRFAPSSNNLLVSSWDSGLRVYDADASELRMEVKSEASLLDCCFQDEAVALSGGSDGSITRYDLHSGAQGTVGLHDEVVSCIEFSQTTGQVVTGTLDNKLMFWDTQTKNVSPNSIIVLDSDVVSLSVRGMYILAAVDREVHIYDTRNLTGPVKAKYSPVEYHIRSLHSSPEWNGYAVGSVDGVVAMKYFDHGTDSDNGYIFRCHPKSRDGRSSLVPINSIAIHPFDRTFVTGDNEGYAIAWDAQSKKKLNEFPIYSGSVASIVFNYSGQLFAVVSNFTREQADKLVEEHQIYFEMAQSF >LPERR03G29270.1 pep chromosome:Lperr_V1.4:3:25423886:25426299:1 gene:LPERR03G29270 transcript:LPERR03G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSPMTSATTTACIVCLIFFSICPNLSPSPVAVAVAALSFNYTNFGPNDQKDIMLEGDAAFSADVSFSGDGGGWIDISANRLTGGIAHSRGRASYARPIPLWDKDTGEMASFTTKFSFVIDPQDINNKGTGMAFFLACYPSRLPSAGSWAYNLGLTNQTADEVATGEDRFVAIEFDTFNDTIVHDPDGSYDHIGVDINSVVSKNTSTLPSFSLLGNMTAVVEYDNVSTILSMALQLGYGPNGPRHRAYNISYKVDLKSVLPEQVSVGFSASTSTSVELHQLRSWYFNSSLATPPVAPPSPSPSSVLSPSTTTSGSGSGGVLVGSIVGAALFAVLLFTMVAIVVWRRRQKKKMREAEEADNDDSEGDVFMEIENGTGPRRFPYHVLVNATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFTKDSTKQGKKEYKSEVNIISRLRHRNLVQLIGWCHGRNELLLIYELVPNRSLDVHLYGDGTFLTWPMRMNIVLGLGFALLYLHEEWEQCVVHRDIKPSNIMLDESFNTKLGDFGLARLIDHAVGIQTMTHPSGTPGYIDPECVITGKASAESDVYSFGVVLLEVASGRRPMSLLDNKNNGLFRLLQWVWDLYGQGDILKAADERLNNDYDSVEMERVMVVGLWCAHPDRCARPSIRSAMVVLQSNGPLPVLPAKMPVPTYAPPVASSEGQLSSSTGMSSSSLTQTTITPR >LPERR03G29280.1 pep chromosome:Lperr_V1.4:3:25428102:25428757:-1 gene:LPERR03G29280 transcript:LPERR03G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDGVIPFQPNKNGPNHSAKSESSALSSPTKTGPVLTFSSSFLNRSKRADQRRRTKGVFLPCRRRHGEVGRAPGEIPGDEPVPVGKSSRCTSLPPQALASVDSLMLLHSAAARLRSCIAADSSCWSCLSQCEAPLYSVLSVASAECENEWLIAQKYCLLFSYLMLKFSALFVRELIWDET >LPERR03G29290.1 pep chromosome:Lperr_V1.4:3:25429659:25432940:1 gene:LPERR03G29290 transcript:LPERR03G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILSSLGKSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASSTSSTSAETSENNN >LPERR03G29300.1 pep chromosome:Lperr_V1.4:3:25433616:25436523:1 gene:LPERR03G29300 transcript:LPERR03G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPTDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >LPERR03G29310.1 pep chromosome:Lperr_V1.4:3:25437133:25439755:1 gene:LPERR03G29310 transcript:LPERR03G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVAGLRLAASAAVARPQSLRPPNVSSCAARGPRLLGPFPFRRGRLCSRAAVAGPPEVDEDEAMSIDNLHRFFDVNVGKWNGAFYVRELASIILLAFPFYWIHTLQAEALSVCSCCLCYCVVRVSNSMRMGGFCRGSARGCRLYIKQASSQISIVDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFTEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPRNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPNGALDMLLIFHEKQGAIVPVIYSSADVDMTNEVRIGSLLGRWEGRSVTKRSGVYGATLSEANTVVLLEKDSSGQLVLDNISTKSGTSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPSDIGKIVNELDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYYFETKV >LPERR03G29320.1 pep chromosome:Lperr_V1.4:3:25440757:25441196:1 gene:LPERR03G29320 transcript:LPERR03G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNVIVEEVLSSSSSPTRRPLPRISSHSHGWRPDLNNCNRVGRCQILRPSILTGRKGDRLETPASVVLASKRSLLLPLLLEQAKSPECAGFRWFWNISAPAVGETVAVVWGALPLQFAHVHGRTGSA >LPERR03G29330.1 pep chromosome:Lperr_V1.4:3:25441218:25445013:1 gene:LPERR03G29330 transcript:LPERR03G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRGGGGDGMRQPPSFSSAAQERVFDGGGGVPGQVASYGSDFDQSSYMALLAAAAGAGAGGPQPPAWDVDEDVAAASPGISLTPQFSMANYATPSYQHQLPLAGAGAGNLQPYPLQYLQALDAPPQWPPPRPTPSSSSTLHPPPPPPNFTVFAAPPHEHHHHHHHQSMQHLLFRGGVQAQAAAAVTIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHTHPRLGGGDNAGADIAGGEVDEEEPSDDEMLHEDDGDGEEGHDRGAEGETGQRVVRKPKIILQTPSEVDLLDDGFRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRTAGAGSSGNVQMPGPAMNLDGGGGTAQQLGGGVRQMKEET >LPERR03G29340.1 pep chromosome:Lperr_V1.4:3:25445391:25447748:-1 gene:LPERR03G29340 transcript:LPERR03G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRQRRRRSRKLSVAARKFRRKVSAAIAEAPIIRAGAGDAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSGTRYKHFAFAAVICEEAWYDSVSILDSADSDDDLDNDFASVSGVIQCSFRVSDTSKFSPFVPNPDPLPDDVTAPPQRGEATATATSLLDAVHRLRSIASAEACQDDDPHERSEDSNAAAAAADECCSGGNLKELQSTVASSARPPLPVSIPSNKVQPMPIVSVVSPHNQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKAPAPNCSPYVPIGADMFACTRKINHIAQHLSLPSLKAHETFPSLLIVNIQMPTYPATMFGENDGDGISLVLYFKISDSFDKEIPPQLKESIKKLMGEELERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSATERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKDGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLIMSSDD >LPERR03G29350.1 pep chromosome:Lperr_V1.4:3:25453996:25456383:1 gene:LPERR03G29350 transcript:LPERR03G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPLRTTRSSASAASSPSAAAPTSPSSPPKSRPKPSPRRQRLAAGAAATPAPKEGGGSPDDELLDELPGRRAQAMDLLRLLAPAPALPLMLHGGAATGKTRALLLALRHLRPSQRLVYAALRSLPSPRALFASLLSQLGAASFSTSSRQRVPDKPSDFVAALRDALTGIVSQGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMQGHPNPKLYSSFLSVSLKPLFRVTRRVDELSAVLEPLFRRYCEPLSDLKAVPDEGMKRRLFEHVQPHLAVALNETFNVPMRASMDEIKDGGSSGKGSSKRQFAGRDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNRKRKRKSSQASMDMKDTIAEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEVECADNMANESGTTGLMSDVLLQLSTLCNSNFLSKSRSSPLEGSARYRSNVDEDLALKVARSVNFPLSKYMYRR >LPERR03G29360.1 pep chromosome:Lperr_V1.4:3:25457437:25459980:-1 gene:LPERR03G29360 transcript:LPERR03G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRSILEWKTVPLFSILFILSITKIATTYAIASQADRFIPRDNYLLSCGAPAAVQLDDGRMFRSDPDSTSFLSTPVDIKITANNNSLASGASSSPLYLTARVFSDVSTYSFFISQPGRLWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFIATSLNPILKEYVVTTQGDTLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTSTGMAPQGQVDISNNALQVVYRLNMGGQLVTSFNDTLGRIWLPDAPFLKLEAAAVAAWAPPRTIKYPDDKTNTPLIAPANIYSTAQQMASTNTTDARFNITWEMATEPGFNYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTMGLAVPYYRDFIIDSSSIINSTLIVQIGPSTTDTSNPNAILNGLEIMKISNQANSLDGLFSPKRSSQVGKKIMTGIGLAMAVMATALAVIMCCRRRHRPEWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFAEIQKATKNFEEKAIIGVGGFGKVYLGVLDDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGSTDIKPLSWKQRLEISIGAAKGLHYLHTGAAHGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWHRKGELNKIIDPNISGQIRPDSLEIFAEAAEKCLADYGVNRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMQSLEVTNGDSMEKSGNVVPSYVQGR >LPERR03G29370.1 pep chromosome:Lperr_V1.4:3:25464511:25468329:1 gene:LPERR03G29370 transcript:LPERR03G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIVTEAAGARGYMELLGLGDQEADYLMCMSPSFYLSSPTASTTTVVASPTPYHQLLSFAGQDQYHGGDVLGFQYYGGDHVIPAIVPQKSSPTAECSSSISSMSSSPTATGAISSSKPQAFKKKGPRGCDQRKTTAPAAATPTNKRPRVRREKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYMQRLPPSARVPVQQSEEQQQRPNGGDLRSRGLCLVPVSCTEHVTGGDTGHGHGNGADLWAVAAGMAKATATVTAAVERSKEAAAAALLRGDLPGQQLA >LPERR03G29370.2 pep chromosome:Lperr_V1.4:3:25462693:25468329:1 gene:LPERR03G29370 transcript:LPERR03G29370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIVTEAAGARGYMELLGLGDQEADYLMCMSPSFYLSSPTASTTTVVASPTPYHQLLSFAGQDQYHGGDVLGFQYYGGDHVIPAIVPQKSSPTAECSSSISSMSSSPTATGAISSSKPQAFKKKGPRGCDQRKTTAPAAATPTNKRPRVRREKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYMQRLPPSARVPVQQSEEQQQRPNGGDLRSRGLCLVPVSCTEHVTGGDTGHGHGNGADLWAVAAGMAKATATVTAAVERSKEAAAAALLRGDLPGQQLA >LPERR03G29380.1 pep chromosome:Lperr_V1.4:3:25473359:25480686:1 gene:LPERR03G29380 transcript:LPERR03G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAAYIAILSIAILFLLHRLLLRRGNGGTAPPRLPPGPPAIPILGHLHIIKKPMHATMSRLAAAYGPVFSLRLGSRRAVVVSSPELARECFTEHDVTFANRPRLESQLLVSFNGAALATASYGAHWRNLRRVVAVHLLSAHRVGLMSGLIAGEVRAMVRRMHRAAAVSGAARVQLKRRLFELSLSVLMETIACTKATRPETDPDTDMSVEAQEFKQVVDEIIPNLGTANMWDYLPVLRWFDVFGVRNKILAAVSRRDAFLRRLIDAERRRLENDGDDGGEKKSMIAVLLTLQKTEPEVYTDNMITALIANLFGAGTETTSTTTEWAMSLLLNHPETLKKAQAEIDSSVGNSRLITADDVPNLPYLNCILKETLRLYPAAPMLLPHESSADCKVGGYNVPRGTMLFVNAYAVHRDTAAWGQDAGRFLPERFWDGKCDGLLMIPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVGGVEVDMSEGSGFSMPKAVPLEAICRPRDAMDDMDKAYIAVFSIAILFFFLVDHLRSGHRRGGRSNGEKKPMHLPPSPPAIPLLGHLHLIDKPLHAALSLLASRHGPVFSLRLGSRDAVVVSSPELARECFTDNDLKRRLFELSLSVLIEAIAQTRTTRMDEADGGDTDMSVEAQEFKQLTDELNPLLGAANLCDYLPVFGWFDLFGVRRKILAVVNRRNAFVSRLIDGERQRMENDVDGGGGDGEKKSMISVLLTLQKTEPEVYTDGVIMRICTSLFAAGTETTAMTIEWAMSLLLNHPEILKKAQVEIDASVGNSRLISVDDVPHLSYLQCIISETLRLYPAAPLLLPHESSADCKVGGYDIPRGTILLVNVVAIHRDPMIWEDPNKFKPERFENGKSDGLFMIPFGMGRRKCPGETMALQTIGLVLGTLIQCFDWDRVDGSEVDMTLGSGLTNPKAVPLEAMCKPREAMSYILQGPLL >LPERR03G29380.2 pep chromosome:Lperr_V1.4:3:25480540:25483988:1 gene:LPERR03G29380 transcript:LPERR03G29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACDVQATSNIISLHFLTMVIPYIAIFSIPIFLLIHCLLFCRRGSSNGKRLPPSPPSIPFFGHLHLIDKPLHAALSRLAARHGPVFSLRLGSRDAVVVSSPELATECFTDNDACFANRPKFPSQMPVNFNGTSLGNANYGPHWRSLRRLATVHLLSSRRVSGAMSGVVAGEVHAMARRLYRAGGVARVQLKRRLFELSIGVLMEAIGGTGMTRPDEADDDTDMSVEAQEYKRVIDELNPLLGAANMWDYLPVLRWFDVFGVRRKILAAVDRRNAFVRRLIDAERRRMDGEDGDGHGESKSLISVDYVNHNRMGDVSSTEQSRDAQESSSRNRHIRRKFRLITAEDVSQLSYLQCIISETLRLYPVLPLLLPHESSMDCNVNGYHISSGTMLLVNVVAIHRDPAIWDEPEKFRPERFEDGKCEGLLVMPFGMGRRKCPGEMLALRTVGLVLGTLIQCFDWERVEGKQIDMTEGVGLSMFKAVPLEAECKPRDTMCDVLQKLF >LPERR03G29390.1 pep chromosome:Lperr_V1.4:3:25484735:25487277:-1 gene:LPERR03G29390 transcript:LPERR03G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAEAWDGPTAAELKAAGAEAIPGGVRVKGWVIQSHNGPILNSASVQLFEDKLQTTHLPEMVFGESLLSLQHVQTGIRLHFNALDALKAWKQEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYRGSDAVVQKPDTMQASLDESSNLCWEDTEDQIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLMRFWLRVDGVLMRLRDTRVYCSFGSDEAKPVVLRECRWREATFASLSAKGYPSDCAAYGDPNLIAHKLPVVMQKTQKLKLPN >LPERR03G29400.1 pep chromosome:Lperr_V1.4:3:25489424:25493284:1 gene:LPERR03G29400 transcript:LPERR03G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAVTHRPYLTGASAPGTRGRRAPSTVRMALREDGPSVAIVGATGAVGQEFLSVISSRDFPYRSLRLLASERSAGKRLPFEGREYTVENLAAPGAFDGVDIALFSAGGGVSRAYAPAAVASGAVVVDNSSAFRMDPDVPLVIPEVNPEAMAHVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPILENGYNEEEMKMVKETRKIWNDNDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >LPERR03G29400.2 pep chromosome:Lperr_V1.4:3:25489203:25493284:1 gene:LPERR03G29400 transcript:LPERR03G29400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAVTHRPYLTGASAPGTRGRRAPSTVRMALREDGPSVAIVGATGAVGQEFLSVISSRDFPYRSLRLLASERSAGKRLPFEGREYTVENLAAPGAFDGVDIALFSAGGGVSRAYAPAAVASGAVVVDNSSAFRMDPDVPLVIPEVNPEAMAHVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPILENGYNEEEMKMVKETRKIWNDNDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >LPERR03G29410.1 pep chromosome:Lperr_V1.4:3:25497761:25502361:-1 gene:LPERR03G29410 transcript:LPERR03G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPATTLVGVYDGHGGADASRFLRSRLFPHIQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVKNEWRQRPRMAAVGSCCLLGAISGETLYVANLGDSRAVLGRRVAGGGVAVAERLTDEHNAASDEVRRELASLNPDDEQIVVHTRGAWRVKGIIQVTRSIGDVYLKKQEYSMDPLFRSIGSPIPLKRPALSAEPSIQVCKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSINCTSAPVDIYSSNSGRSVQFKTPTKNGVRLHAHRRVAALKRLWAYIKQHNLQDPADKKVIVCDEKLKILFGQERVGFLEVAKLLNPHFVK >LPERR03G29410.2 pep chromosome:Lperr_V1.4:3:25500209:25502361:-1 gene:LPERR03G29410 transcript:LPERR03G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPATTLVGVYDGHGGADASRFLRSRLFPHIQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVKNEWRQRPRMAAVGSCCLLGAISGETLYVANLGDSRAVLGRRVAGGGVAVAERLTDEHNAASDEVRRELASLNPDDEQIVVHTRGAWRVKGIIQVTRSIGDVYLKKQEYSMDPLFRSIGSPIPLKRPALSAEPSIQVCKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSINCTSAPVDIYSSNSGRSVVTPQAQRGW >LPERR03G29410.3 pep chromosome:Lperr_V1.4:3:25497761:25499388:-1 gene:LPERR03G29410 transcript:LPERR03G29410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMLTAASLSFSPLGALGASRPAASFAPPRRSARVAVTAAAAASNSPAAAAPKKRAATGLTKPRPVSPALQAVVGASEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDEKLKILFGQERVGFLEVAKLLNPHFVK >LPERR03G29420.1 pep chromosome:Lperr_V1.4:3:25504266:25508660:-1 gene:LPERR03G29420 transcript:LPERR03G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPESKAGKSPEELLLAAAESGDSEAVTGLLAEGADATHFDASGMTPLMHAAAGGHAAVVRLLLDAGAPWNALSPSGVSAGDLSSDSATYDLLLDHALRSELVLGTVARRQAGSENPSSERGAAAESYLDSRVSFSEERVMDAESKAVMMEWERPLMEAHARAVCSAGGGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKRNVNVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWEGVKQKYWQLDTYHLPVCQAESEPEQ >LPERR03G29430.1 pep chromosome:Lperr_V1.4:3:25508516:25511457:1 gene:LPERR03G29430 transcript:LPERR03G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFRYTLLGARKVFVRMLSSGVGGGDALVDTVDPTRSLCKLIISCRKASALEHELDHSGFRITPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRREGVVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVIPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSQFSPDAKTYSILLEGWGKAPNLPKMREVYTEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVHVVRDMISRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGVVPDIVVYNALVTAFCKAKKFENAFRVLNDMEGHGITPNSRTWNIILNHLISIGRDNEAYKVFRRMIKCCQPDSDTYTIIIKMFCDNDKIEMALKVWKYMKLKQFLPTMHTFSVMINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFDVREV >LPERR03G29440.1 pep chromosome:Lperr_V1.4:3:25514582:25516870:-1 gene:LPERR03G29440 transcript:LPERR03G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGEKCVIFAVLAAALVSTATAAAVRDERKTYIVHMARSAMPNDFAEHGEWYAASLQSVSDAATVLYTYDTLLHGYSARLTRAEAEALESQPGVLLVNPETRYELHTTRTPEFLGLDRTDALFPQSNTGRDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNSSACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYAAGTAKGMAPHARVATYKVCWAGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPMPFIYAGNASNSSMGSLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHILPGAGVGQKAGDTMRTYALSDPNPTASIVFAGTQVGIKPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGIAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYADGKGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDITAADYLDFLCAINYGPMQIAALTKHATADACSANRTYSVTSLNYPSFSVTFPATGGTEKHTRTVTNVGLPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >LPERR03G29450.1 pep chromosome:Lperr_V1.4:3:25520870:25530569:1 gene:LPERR03G29450 transcript:LPERR03G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLHLLRGEAALPFPISRRCRAVSTAARGDEQLAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAATAGSSRFLQILAAYEILSDSQKRAHYDIYLRSQRRVLLKHPRPSQHVYPNPGGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLAKRVQELPDACPEKRKLSGFKTYGVSQNVSTNLEKGSLHTRSVYINKREMNDSDNPQADAYKDIEMWICGKLVATATRSPKCSCIDKSDVEDHIHVFLAPNEVVSSDLIQEHLVLGTITGLATTGEEGSCCVYDSHAIKTHVIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPTPRRWDGSNEHSERRLHPAMYLAALAYRSLDLEDSQRRKWSIMSFLELQSSHIRQLCKKILNGGNGS >LPERR03G29450.2 pep chromosome:Lperr_V1.4:3:25520870:25530569:1 gene:LPERR03G29450 transcript:LPERR03G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLHLLRGEAALPFPISRRCRAVSTAARGDEQLAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAATAGSSRFLQILAAYEILSDSQKRAHYDIYLRSQRRVLLKHPRPSQHVYPNPGGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLAKRVQELPDACPEKRKLSGFKTYGVSQNVSTNLEKGSLHTRSVYINKREMNDSDNPQADAYKDIEMWICGKLVATATRSPKCSCIDKSDVEDHIHVFLAPNEVVSSDLIQEHLVLGTITGLATTGEEGSCCVYDSHAIKTHVIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPTPRRWDGSNEHSERRLHPAMYLAALAYRSLDLEDSQRRKWSIMSFLELQSSHIRQLCKKILNGGNGS >LPERR03G29450.3 pep chromosome:Lperr_V1.4:3:25520870:25530569:1 gene:LPERR03G29450 transcript:LPERR03G29450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLHLLRGEAALPFPISRRCRAVSTAARGDEQLAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAATAGSSRFLQILAAYEILSDSQKRAHYDIYLRSQRRVLLKHPRPSQHVYPNPGGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLAKRVQELPDACPEKRKLSGFKTYGVSQNVSTNLEKGSLHTRSVYINKREMNDSDNPQADAYKDIEMWICGKLVATATRSPKCSCIDKSDVEDHIHVFLAPNEVVSSDLIQEHLVLGTITGLATTGEEGSCCVYDSHAIKTHVIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPTPRRWDGSNEHSERRLHPAMYLAALAYRSLDLEDSQRRKWSIMSFLELQSSHIRQLCKKILNGGNGS >LPERR03G29450.4 pep chromosome:Lperr_V1.4:3:25520870:25530569:1 gene:LPERR03G29450 transcript:LPERR03G29450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLHLLRGEAALPFPISRRCRAVSTAARGDEQLAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAATAGSSRFLQILAAYEILSDSQKRAHYDIYLRSQRRVLLKHPRPSQHVYPNPGGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLAKRVQELPDACPEKRKLSGFKTYGVSQNVSTNLEKGSLHTRSVYINKREMNDSDNPQADAYKDIEMWICGKLVATATRSPKCSCIDKSDVEDHIHVFLAPNEVVSSDLIQEHLVLGTITGLATTGEEGSCCVYDSHAIKTHVIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPTPRRWDGSNEHSERRLHPAMYLAALAYRSLDLEDSQRRKWSIMSFLELQSSHIRQLCKKILNGGNGS >LPERR03G29450.5 pep chromosome:Lperr_V1.4:3:25520870:25526367:1 gene:LPERR03G29450 transcript:LPERR03G29450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLHLLRGEAALPFPISRRCRAVSTAARGDEQLAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAATAGSSRFLQILAAYEILSDSQKRAHYDIYLRSQRRVLLKHPRPSQHVYPNPGGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLAKRVQELPDACPEKRKLSGFKTYGVSQNVSTNLEKGSLHTRSVYINKREMNDSDNPQADAYKDIEMWICGKLVATATRSPKCSCIDKSDVEDHIHVFLAPNEVVSSDLIQEHLVLGTITGLATTGEEGSCCVYDSHAIKTHVIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPTPRRWDGSNEHSERRLHPAMYLAALAYRSLDLEDSQRRKWSIMSFLELQSSHIRQLCKKILNGGNGS >LPERR03G29460.1 pep chromosome:Lperr_V1.4:3:25532090:25535901:1 gene:LPERR03G29460 transcript:LPERR03G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNVLVATGLLAFASAGLAKSKNRPIIDSSKPLPPQATFRGPYVNTGSKDIGPDYTDYPKK >LPERR03G29460.2 pep chromosome:Lperr_V1.4:3:25534758:25535901:1 gene:LPERR03G29460 transcript:LPERR03G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMSKARNVLVATGLLAFASAGLAKSKNRPIIDSSKPLPPQATFRGPYVNTGSKDIGPDYTDYPKK >LPERR03G29470.1 pep chromosome:Lperr_V1.4:3:25537093:25542233:-1 gene:LPERR03G29470 transcript:LPERR03G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPPRIRPPSPSVAVPAAAAAAVIVAALASSFLALLQPRRGAAPVVAAGSRIAAAMSKARVYSDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNVYLNKYHIELDPQLEALVGRLWIFSISFYAMITKIGSLHVKLWHILTSFK >LPERR03G29480.1 pep chromosome:Lperr_V1.4:3:25543395:25544157:-1 gene:LPERR03G29480 transcript:LPERR03G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQVFEHYDKDSLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKSQRAHLSASPNGGGFADYFDAMRSYEQCYGAAARRGAAAALSLDVVVPLPAAEYAAHIPEEEEETDDDDEAAAAELELTLAVGSAGAKKRYGSNENSPGQSFSSSSTESDVLVAGVGRDGATADAASPPYHHKRRPSASVAFDDGGVQAAAAAPPPLLFHWLSLRMA >LPERR03G29510.1 pep chromosome:Lperr_V1.4:3:25564144:25565590:1 gene:LPERR03G29510 transcript:LPERR03G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGIAARVGLRRTSGLRKLKKEVRTCEYHDVHIMWEMLRKTEAPVTMAEKEAAAAAAVAAAAGARKRKAAWRRFLYYCCAF >LPERR03G29520.1 pep chromosome:Lperr_V1.4:3:25567122:25570047:-1 gene:LPERR03G29520 transcript:LPERR03G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRASQYFMYLTRLLNLKLNKVDFDKLCCAIIGRDNIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTARSGQCIGVGVKLPSAGNVGAVVDSGDGDFARERAVAGKVVSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPCYVARTRRLDDPMVSCYESGHLLDTGSLFKGLQRRLESDGIGVTAEGVEVLNRGLDEFLRRLIKPCIELSRARSSGRRVSKGNAMFAARTNGLQQTNHGHCTTLQDFTVAMESDPHLLGTNWSTQLEKIQAMSSDYGKYCLSGSMIPKVKAHGLWHIQ >LPERR03G29530.1 pep chromosome:Lperr_V1.4:3:25578935:25583209:1 gene:LPERR03G29530 transcript:LPERR03G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAAAAPRMNPSPSPHRRRASSALSPSKSTNSNANADANRGSAGGGGGGGGKPKGKGVPSRYLLAPSSKSTTTSTSSSSTTTTNSSATSNSTSTSASTPSRRFASPLPRRSASVDRPRPASNAASGEASGPNGATPTTTSTRSLSVAFQGRSYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSHQRWPMSSVRASHGFEGNPLTKSLDCSLDKRGASVLAAVRSLRQSMVFEEGVRRTSFDSGDYLMSSDTESVSSGSNSGSQDAGMGISHRARQSPKGMSVPARFLQDAAVSRSHRMADPSSPFMTHNSGFASSPRTAPVKKSLLNGLGSSPLNRPFRQPSPSKLVANSSRRMSSPSRARGSVGVSASYGDQHGRSSSGYGLKCEARRRWLGCSKVDCEHLLKILSNRHLQWRCVNAQADATLASQKMTAEKYLCDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSLLDRDHANCLSEIVEALTATILCIPVTDGAKADIQDVKNAVGSAVDVMQTIGSSICTLLAKLSGTSILVSDLAKIATQERSLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRMRS >LPERR03G29540.1 pep chromosome:Lperr_V1.4:3:25584345:25588467:-1 gene:LPERR03G29540 transcript:LPERR03G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEYCSRVPCNRWLDLGKGVAHSTNAHKLFDGMPTQAVATQGALSQAVATQDELRNSEFMQSTMTKDKKSLDEALDRALEKLELMEAKHRQDEKIDRILARLNEIEANRAKSFEEMSVAIRATTAVLMAGSTLAPMAPSPLAPTKCSMEFPNSGVTWKTASSSCIDGEAAPTVVLELQDGKDKDHVPNIVTKGLPEVTPITCSMKCSSSDANPEFTMAAVVMCATNAISSLELVIADDATNTNLDTLIHSKEAHDNESTFGLVTKSGADQVIVVLQTKTSASNDVPACIQSAGNPLVLRSSWHSSMHYEVMANVWMRRPLPWPWPSFRCGEERLHSEGDMQSTLLLRPSPPGSGIKYSLTSDNACVKSERAEWYAPREDELLSMMEVSKFLQGPIDSVEQNQLQALAQVNKTEKNSLVHLANTEYYLQGLQSFNWKPIGRRKGIGSDGMPLLHEWLEHYCPSVRASEKDNFFQQPTGNMDTSFSCTQTEKGGYKFANDSSQGNLGFCRAACHTKNSYMLYDSVDCAEYWHLHFSEVVQQAIFIGLIVHWVAQYWIEEAAGSSKNSITTTSIISLLRNQEAKICGQLRYYTPPNNISTTFSVQILQILWRCLHCVQPLEDNGAFVVRGGKRVLDQILPTNIATSNNYWSSLCAELTHMRDGWKEMGLEEKGGTKSAYTMDHMITWDPYTPSLIAELPVLCTFGSAVWRSRTPLICFQIVEMHVPDRVLLQFGMMQHIPDPVEAVERVTMQGKAEEDWSTYHDKYIKQWDNRLSTVVEQQDTVECPVISYKLSGHNERILVDLLSTVQGQIRTLLSGEIDRKRIKESLGDIDMYITAEMKKAKQFSLASYTNKPRHTPSASLDVMQMVAPTGIVNGSFKSVAPCSCVHPVQPTAANLGQVIDGYVEGNTILQLDKMKIIQPAGGTLDLGPPSTCGGTNDRTDDLHQEEDPLDVSVTEANLQDIISTPVEDAMADIMHTSKEDPADEDMSNGMLDPPAVQNSDMPADVLHITSIGEKLVKSFTGEAADRLLREKSGDVERNGCLEVKVFAKSKKSAVPSHENGEVPATTEAQRVLKWSDIQKQRRILSDLN >LPERR03G29550.1 pep chromosome:Lperr_V1.4:3:25589263:25594290:1 gene:LPERR03G29550 transcript:LPERR03G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAPRSRLLPLAAAPHLLRRLLSSAAAPRHAHHNRRRRRPSLYPTAAAAAAYEAEALLPMQKQQQQQQQPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKVGRGKYSEVFEGFRPGSDDRCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPRMEYNARVASRSVSLAFEVESLPIKLELGLIGGGLLPNFMWHRLPHGRPRGPGWDRDDEWLWREARIWTASRQSSRTRAADICDRCGDGRMACDCSSGHKLILLGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGRDNYDQLVKITEVLGTEDFYNYLEKYGLQLDSQLERLVGRHNRKSWLMFVNSGNRHLANPEAIDLVDKLLRYDHQERPTAKEAMAHPYFNPVRST >LPERR03G29550.2 pep chromosome:Lperr_V1.4:3:25589263:25594290:1 gene:LPERR03G29550 transcript:LPERR03G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAPRSRLLPLAAAPHLLRRLLSSAAAPRHAHHNRRRRRPSLYPTAAAAAAYEAEALLPMQKQQQQQQQPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKVGRGKYSEVFEGFRPGSDDRCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWALPIKLELGLIGGGLLPNFMWHRLPHGRPRGPGWDRDDEWLWREARIWTASRQSSRTRAADICDRCGDGRMACDCSSGHKLILLGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGRDNYDQLVKITEVLGTEDFYNYLEKYGLQLDSQLERLVGRHNRKSWLMFVNSGNRHLANPEAIDLVDKLLRYDHQERPTAKEAMAHPYFNPVRST >LPERR03G29550.3 pep chromosome:Lperr_V1.4:3:25589263:25594290:1 gene:LPERR03G29550 transcript:LPERR03G29550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAPRSRLLPLAAAPHLLRRLLSSAAAPRHAHHNRRRRRPSLYPTAAAAAAYEAEALLPMQKQQQQQQQPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKVGRGKYSEVFEGFRPGSDDRCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPRMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGRDNYDQLVKITEVLGTEDFYNYLEKYGLQLDSQLERLVGRHNRKSWLMFVNSGNRHLANPEAIDLVDKLLRYDHQERPTAKEAMAHPYFNPVRST >LPERR03G29560.1 pep chromosome:Lperr_V1.4:3:25618459:25621003:1 gene:LPERR03G29560 transcript:LPERR03G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAMVVEVREYREERDREAVEEVERECEVGSTTCGGDGGGAKMSLFTDLLGDPLCRIRNSPAYLMLVAETATSGNGGSSSREIIGLVRGCVKTVVSGTVQGKDPIYTKVAYVLGLRVSPRYRRKGVGKKLVGRMEEWFRQNGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSLLVHPVFRHALRPSRSAAIVELERREAELLYRWHFAAVEFFPADIDAVLSNVLSLGTFLALPAAIKWDGVEAFMDSPPDSWAVVSVWNCKDAFRLEVRGATRLMRAAAGATRLVDRAAPWLRIPSIPNLFAPFGLYFLYGLAGAGPAAPRLVRALCRLAAQHGTRRRLRRGRHRGVRPVRDGVPHWVRLGAEDLWCIKRLADGYTHGSLGDWTKAPPGRSIFVDPREF >LPERR03G29570.1 pep chromosome:Lperr_V1.4:3:25630365:25631187:-1 gene:LPERR03G29570 transcript:LPERR03G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHAAVVEEEQQHHEEAVVTAASSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVHAPPPLAASASAAAVAAEFKCSVCGKSFSSYQALGGHKTSHRIKLPTPPAAPFLAPAPIAAVLPPAAEDREPATSSTAASSDGAATSNRVHKCSICHKEFPTGQALGGHKRKHYDGGVGSGAGAASSTELLATVAAESEVGSSGNGHSAARAFDLNLPAVPEFVWRPCSKGKKIWEDEEEVQSPLAFKKPRLLTA >LPERR03G29580.1 pep chromosome:Lperr_V1.4:3:25635181:25637271:1 gene:LPERR03G29580 transcript:LPERR03G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHVGGGGNGKTPARRGSATGAQSLYARKRRERINGRLKILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKMLSSDELWMYAPIAYNGMDLGIDLNISPPR >LPERR03G29590.1 pep chromosome:Lperr_V1.4:3:25636045:25644671:-1 gene:LPERR03G29590 transcript:LPERR03G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSSSSRSQSSASTPASPARASTSRVGGGGGGGVSSRRGDGGFGGGGGGSEPQPRLTRQRRLRHVDDIEVGVSGLGLDSSSSSAAPSSCPSSRDAAGFGFGFGGLMTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPHRGSGRPLPSPRLFEGDCSGSAVESQLIGVSEIGSERLSLLPRVMDKTVQKIPDHGELRSTGTACGQRRKAFAEKLQGKNSAETLTFRVNIPAKSAPSSGKSSPARSPRRPSNVDFWSPDCYGSSPPCMSPEKYMGGQERSPRSSPLRSPDLRSKNPSAPPSPIHPKLFPENLVSRPEGNGIANLHRLPLPPASVSPKQTNFSHQPVPKVDTPSMAGQWQKGKLIGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRCFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDNLSQEGKDFLGCCFKRNPAERPTASMLLEHPFIHNSNHYNQYGAVHSSVGLKSTDTGHNARDKKSCKIDLFMRGKHTITTGETSSARSPGSLSNRLISSTNEFEILYWLISSTNEFEIQHWLCSTYTEYSYWKK >LPERR03G29600.1 pep chromosome:Lperr_V1.4:3:25647803:25654915:1 gene:LPERR03G29600 transcript:LPERR03G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELAERLREVLGSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLFLSEVAGKAEELDEAEVPKEEEPATEEAAGGDEASGGEGEVEEVEEEEEEEEEEEEEDSSGSRKKRRSDGANSDGKRRGGGFTKLCSLSPTLQEFVGAPELARTEVVKKLWAYIRENNLQDPSNKKRILCDEGLKKIFNVNSIDMFQMNKALTKHIWPLNSEGPVTPASPERSTPKEKPQKRERNEGKKQKGGGSGSASGFLVPLQLSEDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >LPERR03G29610.1 pep chromosome:Lperr_V1.4:3:25664746:25667520:1 gene:LPERR03G29610 transcript:LPERR03G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAGKMAAAAKGESGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAVKRTKDAAAAAVEAKAEDGDKTKWMSTAQLWVDSRGSDADSENERRSESTSPASRLLGGAEESSSSRAVAPSPYFRREDRVGVRPAMPLLPPAAHRSPAPAVAVAAGDDHRHVVATSFASAVTSPVPALSLQTQTQTQAQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPSTASANNSIVIVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYAHK >LPERR03G29620.1 pep chromosome:Lperr_V1.4:3:25672416:25677672:1 gene:LPERR03G29620 transcript:LPERR03G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAGAGAADRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPNSRITIPEIRKHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >LPERR03G29620.2 pep chromosome:Lperr_V1.4:3:25672177:25678020:1 gene:LPERR03G29620 transcript:LPERR03G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAGAGAADRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPNSRITIPEIRKHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >LPERR03G29620.3 pep chromosome:Lperr_V1.4:3:25672416:25678020:1 gene:LPERR03G29620 transcript:LPERR03G29620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAGAGAADRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMVFLIRVAYLPSDCYSVLMVNGKLNYPTFSIFKQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPNSRITIPEIRKHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >LPERR03G29630.1 pep chromosome:Lperr_V1.4:3:25681750:25682865:-1 gene:LPERR03G29630 transcript:LPERR03G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLKGAGALPMMLQPIVSNPSPTSSSSTTSSRSASAPHTQQQRSSSATSSPQGVATADQQATAPPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKPPPLSCKGAAPPLGLGVGVGGAQFPWAAPGQQQQAAATAHLMALLNSARGMQSHGGGGGNVHRLLGLDTMGHLQILPGGPNGAGAGATASLWPQSAARPITPPPTHMDSQLMGHHDVLSSLGLKLPTSASTSPSPVASYYSDQLHAVVSNAGGGGGGRSQAAAPYVDVSSAASLPCTTAVTSSLSSAMSSVTAAPQTMISNTVGMDMPAVSSLGAPEMQYWNGPAAMSVAWPDLPTSNGAFP >LPERR03G29640.1 pep chromosome:Lperr_V1.4:3:25690573:25695022:1 gene:LPERR03G29640 transcript:LPERR03G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKWSMEDFEIGKCIGEGRFGKVYLGREKQSGYVVALKVIFKAKLDKYRFHSHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAAALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVQSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTFRRIVKVDLSFPTTHYVSAYAKDLISKLLVKDSSKRLSIDDIMKHPWITHQGVVLIKKLARKGLREAQVAGCSVEWRHLIFCQKCCLLVALK >LPERR03G29650.1 pep chromosome:Lperr_V1.4:3:25697001:25700247:1 gene:LPERR03G29650 transcript:LPERR03G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTTLLCLLLMLLLSCFPSPSSSASISLLTAASKSNASLSTFSDSDPEPELEREPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADTARVGAVQRYEFRARAGLATALVRLSDESVEWRRPAAPAVEEVDGPDGLDIVPGDAALGFRPGVRDVDLVGPIEVRVASGGEDGGSIELQLPSRNATYAGLKRVIVAAGVALKVIGARKVLFTHPHSIGLLTNGSLLASNNGLSRIWPLSYATCAPILQVTVVGSVMVVVNGSNVLGGQRSHGTIELSSEKCEVNVPNRLISACVFCSISSRLPRLDKILKTWFTNKTQDSKSMQFIQAKVTSIPLIKFRLELERDITEEDGLWENISEWKTVPMVQRVTLDVVAKVEEEGRLKAMSVKKVKRPFSIADASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >LPERR03G29660.1 pep chromosome:Lperr_V1.4:3:25700874:25702891:-1 gene:LPERR03G29660 transcript:LPERR03G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQNLASSFGLRCTAAAAASSGAAPGAGAHLLQDALTRPFCLFASSRHSEYHNDGEDHNHPKPKAKPLPAAAVPNGGCYSLLISPSCSAKAPVNDPPASLAVGLLTMFASGTGASKLSASPSISSGFNPAALLPFLQATKWLPCSDLVTSAPPSRRSERPVVVAKAPAAAPTATPVPRISPANVPKPRPAHVPSPAVAAPSKVGVKALVGSGVINSGVINSGASGVVRKSSAALGGAAGVSRKNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPTILDIVIFRAPPVLQALGYSSGDVFIKRVVAKGGDTVEVRDGKLLVNGVLQDEEFVLEPHDYEMGQVTVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCTAGIS >LPERR03G29670.1 pep chromosome:Lperr_V1.4:3:25705479:25714809:-1 gene:LPERR03G29670 transcript:LPERR03G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMWVDSPPRSSRAPRTPTTTATGQSEGGRHLPQPQVTRSISQAYPAASVSASPQQQQQMAVSAVLRELERRRDAAGAALRQMELKVWAAAVARQQQSQEVRLYTVEGNAAGYGTNWAQLHPQSQELLLHIENKVKEHKHESDLLDQCGRLYDTTLSNRSFELYSTEISQEIGSTSIIMDREMASIQSLMAVVKEMMRNTDSALHSYIKLRPNFGTAETGFADRAGPSGAPTEFSQSSATAPTFDFYSRAAMRPSPFMQHTVSRFENHLEECSRMIGELEQLIQIKNDKNHSNAESLSILVPNVYEYFIHVASQVENLHQNAEIMRTHYRNVWRLLGNHSDPFQEADRREAAKQEAAARVVHPTGVDVSRHASQPAQSSSPTGVTSSSTRAVLRTPLSALPWSSIRTSPAPSPRPFSSSGTILQPTPFGSASTLALGSTPATSSALFSALGGTSLFRTPPGETGGMQKKAPIGGDKKNPQKEKTLLPIPTAFRSTPRVRLTPHAFLPARRNFEGYIPQSCSGSSLQIYGRSPLLSLSPSSALMVSSQLTSSDVAQRSEEWFALRKDRLTTSTFSTALGFWAGNRRSELWNEKVFGSTEIKLADAAKSAMNWGTVNESVAIEQYTTITGRLVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPFNKGKPELALPWRVVPFYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGDVMPARELVLLGKEAEARSFEPQPKHRLTNLVLFRSRKLVSEAKLLCKDIGGHVEFFP >LPERR03G29670.2 pep chromosome:Lperr_V1.4:3:25709683:25714809:-1 gene:LPERR03G29670 transcript:LPERR03G29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMWVDSPPRSSRAPRTPTTTATGQSEGGRHLPQPQVTRSISQAYPAASVSASPQQQQQMAVSAVLRELERRRDAAGAALRQMELKVWAAAVARQQQSQEVRLYTVEGNAAGYGTNWAQLHPQSQELLLHIENKVKEHKHESDLLDQCGRLYDTTLSNRSFELYSTEISQEIGSTSIIMDREMASIQSLMAVVKEMMRNTDSALHSYIKLRPNFGTAETGFADRAGPSGAPTEFSQSSATAPTFDFYSRAAMRPSPFMQHTVSRFENHLEECSRMIGELEQLIQIKNDKNHSNAESLSILVPNVYEYFIHVASQVENLHQNAEIMRTHYRNVWRLLGNHSDPFQEADRREAAKQEAAARVVHPTGVDVSRHASQPAQSSSPTGVTSSSTRAVLRTPLSALPWSSIRTSPAPSPRPFSSSGTILQPTPFGSASTLALGSTPATSSALFSALGGTSLFRTPPGETGGMQKKAPIGGDKKNPQKEK >LPERR03G29670.3 pep chromosome:Lperr_V1.4:3:25705479:25709218:-1 gene:LPERR03G29670 transcript:LPERR03G29670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLEAARLSTRWELETAQHLSRFFLTTRRSSEPPPVVCGLHRALPPFPSLSSALQDESGGRRTASPRLLLLPPPRHSTRRALCARPYAAEARTAHSRRGYVHPEAAASRGQARRRLPRCFRTLLPIPTAFRSTPRVRLTPHAFLPARRNFEGYIPQSCSGSSLQIYGRSPLLSLSPSSALMVSSQLTSSDVAQRSEEWFALRKDRLTTSTFSTALGFWAGNRRSELWNEKVFGSTEIKLADAAKSAMNWGTVNESVAIEQYTTITGRLVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPFNKGKPELALPWRVVPFYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGDVMPARELVLLGKEAEARSFEPQPKHRLTNLVLFRSRKLVSEAKLLCKDIGGHVEFFP >LPERR03G29680.1 pep chromosome:Lperr_V1.4:3:25714881:25716293:-1 gene:LPERR03G29680 transcript:LPERR03G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLTPATSPAQPATAAGTPASRTVAVAGRRASAAAAVVVRAVRNYDSIPKGEPFSSSRSILDEFLRQEKPLIQRTKDQITDYCTTLEGDECCSCWNAYFELNKLEQELPKEEIARMVKDSEGDPRYLIHSIHHRSDLRKKMAEKSHNSVSSNSLGQAAKPRPFPVPDGLPKTQEELDEEQEALMPESPYTRLLRRMGRYPDWYTPRPDHETD >LPERR03G29680.2 pep chromosome:Lperr_V1.4:3:25714881:25716293:-1 gene:LPERR03G29680 transcript:LPERR03G29680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLTPATSPAQPATAAGTPASRTVAVAGRRASAAAAVVVRAVRNYDSIPKGEPFSSSRSILDEFLRQEKPLIQRTKDQITDYCTTLEGDECCSCWNAYFELNKLEEELDEEQEALMPESPYTRLLRRMGRYPDWYTPRPDHETD >LPERR03G29690.1 pep chromosome:Lperr_V1.4:3:25726145:25728898:1 gene:LPERR03G29690 transcript:LPERR03G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGPLRRAVDGQEEPAAAAAAGXGGGRRLQESKLREALEEASEDGSLAKSRDAALLDDDDAGDGVGRSRSLARLNAQREFLRATAMAAERAFQSPDSLPALEEAVAAFLSMYPKFSSSADVDRLRSVEYPHLDKVCLDYCGFGLFSYLQSCNLSDSTFTLSEITANLSNHALYGAAEKGTCEHDVKTRIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSAREKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVMSCLQSSHGGTGTGMVRIMPVFPQYLSDSVDGFDGVMDGLEDDTIIPIEEGSTSNSLHATHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPTSWFSGKKNVKKSSPKVLSKLSRSPVSDNHVVSFDAAVRSVSQELENVKEFPEKDCSQNGIKNPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTAVFGGPTTRGNGPTSEICSEAKDSAIRRETEGEFRLLGRREAHNSRFNGGRLVGVEETERVSSMGRKVSFSMEDSRLYRNSENAETSGYAMADDDDDEEYSDYDDVQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNVKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDPSFLEQQRLSSIPEDPER >LPERR03G29700.1 pep chromosome:Lperr_V1.4:3:25730981:25732838:1 gene:LPERR03G29700 transcript:LPERR03G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVATTLPSLAAAPAASRKKGGVTYVEGMNAYSGLKGLNKVTLLGVRMNADYKFAKVVAKLSPAGAGGKSRGGAFGATCNAAGEIFRIAVIMNGLTLVGVAVGFVLLRVEAAVEESE >LPERR03G29710.1 pep chromosome:Lperr_V1.4:3:25730998:25738757:-1 gene:LPERR03G29710 transcript:LPERR03G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSSSPLQPNYQFTHPQLSIGLGRSDLAVACTDAENDDGGGAAAGEDDEMTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLEKAQLVPDGS >LPERR03G29710.2 pep chromosome:Lperr_V1.4:3:25732323:25738757:-1 gene:LPERR03G29710 transcript:LPERR03G29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSSSPLQPNYQFTHPQLSIGLGRSDLAVACTDAENDDGGGAAAGEDDEMTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >LPERR03G29710.3 pep chromosome:Lperr_V1.4:3:25732323:25736774:-1 gene:LPERR03G29710 transcript:LPERR03G29710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSTKILTLLALLALSASAATAMTTMQYFPPTMVMGAMGPCRQYMMQKLGMGMSTGMFMSQPMAFMRQQCCMQLQGMMPQCNCGTSCEMMQNMQQVICGGFEQQQMMKMAMQQPCMCNMAPANFQMSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >LPERR03G29710.4 pep chromosome:Lperr_V1.4:3:25736813:25738757:-1 gene:LPERR03G29710 transcript:LPERR03G29710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSSSPLQPNYQFTHPQLSIGLGRSDLAVACTDAENDDGGGAAAGEDDEMTVFHFLNCAALTFGPHVVYYSATPLQPSFHHPQVLNYIIDTI >LPERR03G29720.1 pep chromosome:Lperr_V1.4:3:25739242:25739619:-1 gene:LPERR03G29720 transcript:LPERR03G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKILALFALLALSASATSAITTMQYFMDPYNQYMIQYLGMGSSAAMFMPLPMVSLQQQCCMQLRGMISQCHCGANCQMMQNIGLGQQQMMMNMATQLSYMCNMAPINFQVSPFGCC >LPERR03G29730.1 pep chromosome:Lperr_V1.4:3:25748777:25754843:1 gene:LPERR03G29730 transcript:LPERR03G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAISAAAAASSEEPTPAATGSVITTDAEGRGEVASFFGNPSSGTADPPGLSLGLGTPAQEDAAGAGRHLQPQGCAFKRAAGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSPHIAAGEAQLQQQAGMEMVAMEAAAAGGGGGGGGRVVLPIMPACEDMVGICGSSAAATSSAAAAAAAYFLCATTSSTATAPLAVVPSPPRPTIPPRKTDHTPVLEKGVAIVDSLHRCQKHNYTPVLQDAPQAADSDHLTGNNLPMGASAQASIEAMTFTNSSSPTSSSSPSLASLEQLLPEDSFAPNLEISLGRQDWNIKNHPEELSVKYL >LPERR03G29740.1 pep chromosome:Lperr_V1.4:3:25755456:25755719:1 gene:LPERR03G29740 transcript:LPERR03G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIDIWTLERERIRASGAQAFRTVSTSIGTGSKHGCTGRSDGQNKPDGAVVEKVAKKQAAAVGSAPSGVVQEDAFLSILIDCFGQ >LPERR03G29750.1 pep chromosome:Lperr_V1.4:3:25757952:25758185:1 gene:LPERR03G29750 transcript:LPERR03G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVGVWFGEFAKMGREAAAAAAEAGVSRRGQSEGKSKGGDVHQESTRRRRDDYESSVLSDSEATICMLMDRFAPA >LPERR03G29760.1 pep chromosome:Lperr_V1.4:3:25759236:25769213:1 gene:LPERR03G29760 transcript:LPERR03G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVEGESFSPDCSTLIMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESASHRLAFIQQRSPIITGMVVSFAKNVANFISSIGKDHVVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQRRWKCLANLVEGGGLSEEMAGDTEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLSDAVCKLVARDPEQFHGNGPNGWTIPLSWKSLSKARLGAVITRG >LPERR03G29760.2 pep chromosome:Lperr_V1.4:3:25759236:25766417:1 gene:LPERR03G29760 transcript:LPERR03G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVEGESFSPDCSTLIMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESASHRLAFIQQRSPIITGMVVSFAKNVANFISSIGKDHVVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQRRWKCLANLVEGGGLSEEMAGDTEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLSDAVCKLVARDPEQFHGNGPNGWTIPLSWKSLSKARLGAVITRG >LPERR03G29760.3 pep chromosome:Lperr_V1.4:3:25759236:25774615:1 gene:LPERR03G29760 transcript:LPERR03G29760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVEGESFSPDCSTLIMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESASHRLAFIQQRSPIITGMVVSFAKNVANFISSIGKDHVVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQRRWKCLANLVEGGGLSEEMAGDTEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLSDAVCKLVARDPEQFHARRGWVRSSREDDKTFVDILLIVKSCRVHESWIATATVRVRHGTASEASRKNK >LPERR03G29760.4 pep chromosome:Lperr_V1.4:3:25760678:25774615:1 gene:LPERR03G29760 transcript:LPERR03G29760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQPRPFLPEEPVRHVLLRPRENPRVLGRHRLVPKQHLPFPHLVPIVMALHHHVTLRPPVLHRHGRLDPQRLVHRRLHKRHLRQRLHAHLPSVLPHRGAHLRREPPVHARPGPAQPLHQRREQNLHSTKRVEAEGEQHVVDGLLARHAEPIRLVEDAAGSVAEEFREAVVVFPHERRREAERVEQRVLDEGWEAEAEEWTQLEKHPLCDQLRPVTAQR >LPERR03G29760.5 pep chromosome:Lperr_V1.4:3:25766202:25774615:1 gene:LPERR03G29760 transcript:LPERR03G29760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRRVEVREDTVSTSEIGGRHERAGKQVGLVDERRVEEYGERRRVEQRHHARVARHEGARRHVEADHVRVRHGTASEASRKNK >LPERR03G29770.1 pep chromosome:Lperr_V1.4:3:25765137:25766666:-1 gene:LPERR03G29770 transcript:LPERR03G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISFAPPSPSPARGAVIRRQTRASVSATDRQEVVSPKRRLPLRKVPGDYGPPVVGAIRDRYEYFYGAGGRDAFFASRVRAHRSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAPLKSLLFFLLSHRRQHVIPSFREVYGDLFGLMENDLARVGKADFGAHNDAAAFGFLCRGLLGSDPTKSSLGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVRKDYDRLAEFFRDAASRVLDEADRLGVSREEAVHNVLFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRAAVRENGGEVSMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEEGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGSSALGSSVTVTSLKKATF >LPERR03G29780.1 pep chromosome:Lperr_V1.4:3:25771878:25774530:-1 gene:LPERR03G29780 transcript:LPERR03G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASRCTGLLLPDLRTSLAGFRRGSTPASSLSLRPRRGRRRMGSLACVAPPDSAEPQTDDQATKDDSTEDKAEANSTSQDAANPIFPNKDLNRRIALLSTIGAVGLFASRRLDFGDVSLKDLAANATPYEEALTNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGEPTVPHARVVGQFSSAESRRVHQEAILGCMVRPGRREPKH >LPERR03G29790.1 pep chromosome:Lperr_V1.4:3:25777713:25781595:1 gene:LPERR03G29790 transcript:LPERR03G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVTPRVDDNLCRKRQFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKLHDLITNRESRGAGAGAAAAGGEEEDDADAGEIREEEEEAAVVLEADEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLVSRADRFISHTVLRNPRDAIRALRSCEPLLPLADDLGLVSRCVDALAAKAASSTPTALFGWPITTDAAGGGGGDRPRRKNNASAGAGATWFDDLAGLSLATFTRVIAAMKERGVGPEIIEGALIAYAKRSIPGLSRSGRHVTGGGGGTAPPAPSSDGEQKALLETVIANLPVETIKSTAHTGTSVGATTARVLFGLLRTASILHASESARDMLERRIAARLPDAAVDDLLVPSYSYLVETLYDVDCVERIVRYFLEGRGVAEDGNDDGGSEPESPGRDATRRAMLAVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGGAADQGEEEGESDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGGSSTPGERGATPAMEGRWGSMVTKRFGCKFPAHVCQSQQRTVVARPRRPRIEQSP >LPERR03G29800.1 pep chromosome:Lperr_V1.4:3:25780948:25781673:-1 gene:LPERR03G29800 transcript:LPERR03G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTDSEGRADREELRRLCEEGNPEAAINLLDEMLDRGGAVAAEYQAAVLQACGEARSLASLRRAHRLLSRSPHGIAAPVLHMIAALYCKLGARGDARRALEGGASSMRKKKGKQEDGGGGGEDEAKRQEAYEKVRELHEEIRAAGYVPDTRYVLHDVDEDAKERALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >LPERR03G29810.1 pep chromosome:Lperr_V1.4:3:25783732:25788718:1 gene:LPERR03G29810 transcript:LPERR03G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCTYPSAQPNPTSRRAENSLIPRGRRNSQRESEEEARVCDPLRGGCVGGEMGKGFMSYLAMKTDQAGGEAAQAALIDADLQELSVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEVGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIVVAPNLFASTFRGDLVGIFICLIIGCYLLQEHVKASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >LPERR03G29820.1 pep chromosome:Lperr_V1.4:3:25795696:25796238:1 gene:LPERR03G29820 transcript:LPERR03G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAVEEGWATARVVMPSGELREYSSSSQPAATAAMALEEIAGEGSWFLCDADGVGFEGHVVAVAGDEELRPGQIYFVLPAELRRRSLTREEVAALAVKASAALVKAAASAASPSVGAGGGRRRRGAVAPLVFPLPEEHETAAATVSNPAVVRKRRVARAVAKFSPDLTAIPEAE >LPERR03G29830.1 pep chromosome:Lperr_V1.4:3:25797861:25801438:-1 gene:LPERR03G29830 transcript:LPERR03G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPEKKGHRAFAKSIKSFGSSERHKRSKSDLEDMCAKDALYASDKPCIQPKPDAVKVMLKGDINTEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNAAAPVNVANENPMPKATKELIREIATLELEVKNMEQYLLTLYRRAFEQQVPTFSPPDHRGAPKPPPPPAAMNSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRKTLNDPVMTDCSTSACSDRMGKFDALRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQCLEDIWSPNYRRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKYGVPQNNLKKTSLYVKAACKIAGRSINVAVIQSMVLGCNTHCPGQWLRTLLHPRIKSKVGKVGHVWQTFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLYHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPETLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >LPERR03G29840.1 pep chromosome:Lperr_V1.4:3:25808458:25812075:-1 gene:LPERR03G29840 transcript:LPERR03G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLIHGISISVSDDDEASGKVRVRVRRKRHHRHPATARRRRLLRRAARLGAPLLLAALAVSLFLYESFSLSPPSHSLPPAGNLTRVDHAGDGARKSCLKILGPEKLENLELPEVPEQILPVNEVVYRSSVRLDDGDSSQTKFSRFNSFTGYQTLDEREESFKMKEITTVHCGFYNENGGFKVSDIDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSTKKVCYVAFWDEVTRAAQQEEGNRIDEDHRIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCTRKDLVNSIGHRRKVKPLARE >LPERR03G29850.1 pep chromosome:Lperr_V1.4:3:25813428:25816444:-1 gene:LPERR03G29850 transcript:LPERR03G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSLTTAFSHLSLPSTSTPHPLPLLLRLPTSRRAPRLALSASAAEAAEPVEAAEEEEVDEVVAVEDELSGLALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQANPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEGLLTRDTRIVERKKAGLKKARKRPQFSKR >LPERR03G29860.1 pep chromosome:Lperr_V1.4:3:25820517:25826186:1 gene:LPERR03G29860 transcript:LPERR03G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGNLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGSSPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKSLPVENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGHYGVSPILKRVCSDLTSPPNDTFVRIIELVLKSNEDRGRREMKSLVLKLLKENSGCTSSPVDIYAETLYSSCQNCLESLLTLFQQASATDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNNAGEFAVMWSNQRELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKIVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFVRPYVEQGNQSQPDQS >LPERR03G29860.2 pep chromosome:Lperr_V1.4:3:25820773:25826186:1 gene:LPERR03G29860 transcript:LPERR03G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGNLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGSSPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKSLPVENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGHYGVSPILKRVCSDLTSPPNDTFVRIIELVLKSNEDRGRREMKSLVLKLLKENSGCTSSPVDIYAETLYSSCQNCLESLLTLFQQASATDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNNAGEFAVMWSNQRELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKIVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFVRPYVEQGNQSQPDQS >LPERR03G29860.3 pep chromosome:Lperr_V1.4:3:25821244:25826186:1 gene:LPERR03G29860 transcript:LPERR03G29860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGNLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGSSPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKSLPVENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGHYGVSPILKRVCSDLTSPPNDTFVRIIELVLKSNEDRGRREMKSLVLKLLKENSGCTSSPVDIYAETLYSSCQNCLESLLTLFQQASATDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNNAGEFAVMWSNQRELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKIVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFVRPYVEQGNQSQPDQS >LPERR03G29870.1 pep chromosome:Lperr_V1.4:3:25825350:25825898:-1 gene:LPERR03G29870 transcript:LPERR03G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLSRKRSFRLGLPMLCGQSDVASPRGGAGAGGHSSWSSSSGRRHGELQRIFQHFDRDNDGKISGAELSAFFASMGDEMPIPSSFGGAGGGYMLDFAGFVSLMERGGSQEEDLRSAFEVFNAVGVESSAAGRITARGLQRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >LPERR03G29880.1 pep chromosome:Lperr_V1.4:3:25827421:25829641:-1 gene:LPERR03G29880 transcript:LPERR03G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDIFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGEEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKMNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSAEMNRLLDKVPELISVNENTVDSKQGAT >LPERR03G29890.1 pep chromosome:Lperr_V1.4:3:25831794:25832276:1 gene:LPERR03G29890 transcript:LPERR03G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSKLSWLWRAPARALGRARDMYVRGLTGCARCVPADAAFGYPVFVPASGAASMRSNSFGSSGDWRGGGDDDLRELIRAASQRRAAEAAAREAAQAAAVARSQSMVGLSMARIDEDAPCEEFGGAGVAAQFPRSQSCVGGGGGRVSRFQRKATAAFA >LPERR03G29900.1 pep chromosome:Lperr_V1.4:3:25833384:25834493:1 gene:LPERR03G29900 transcript:LPERR03G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAAFSRRRRRWILIAAGGTAAAYGAYRIYHHPAVAERRRHLARLAGAVAAFLDAAASSADAAALVASDLSDFVRSGSDELPRSVTQLAKLAASPEVSAAVAAVSEAITAGILRGVGSAPVSGSPVSGGGVALSDRLVDKLFSDSGERLASAIAGSFARHLVIAFNSAPSSPGETSSQMKWVNLVATGKGHKAISNWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVSVCNGAVETMVKTTHHVMSNANDKSGGSSSNGVGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFALWKMHDGAKKGGGTVMDSGLRAMQYMTDKSMVIATICITLCLHVMNGTRLLVTA >LPERR03G29910.1 pep chromosome:Lperr_V1.4:3:25841489:25841952:1 gene:LPERR03G29910 transcript:LPERR03G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQEALDFVDQDVMTSGFMPWGPDTCPTLDQLMASSSSPSPSPSSTTCSGENPAADDEEERRRQRRKVSNRLSARRSRARKQQRLDELVTAGERLRGENRALASRLHAQMITPPPPPPPPTTTTTRSAAAAAVLASLMA >LPERR03G29920.1 pep chromosome:Lperr_V1.4:3:25842499:25844386:1 gene:LPERR03G29920 transcript:LPERR03G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEERKLFVASLPWKTREGDLRGHFARYGEVVHARVVLDLESGRSRGFGFVEFADAAATGRALAEAEMPNHVFLGRKVDVKRAERRLLHKQTEQSLDLHNSNHDKNDISNKKQFISQKKLFVGGLHESITEKEFVSYFEKFGEITNGVVIHDRITNRPRGFGFISFYSNEAVRKVLENRFHDLNGKSVEVKMAIPKSQTYLTGQDHRLRILDTNISTSYYNGMYTPYTSPYYMNHLHPYFYAQHTFDFYGSPMMACQDTQVIYSGYGYGGPTGHNLVDAIHDFKIDSKSNSISIGTDDTIKTELDKQVSVP >LPERR03G29930.1 pep chromosome:Lperr_V1.4:3:25870841:25874236:1 gene:LPERR03G29930 transcript:LPERR03G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSGSIGATAGGSSWLGFSLSPHCPGVDDVGGRQHHHEYQHYHHQQQHGGGGLFYNPAAVASSFYYADAGVVTSSPAGNGGAGGGGFKSDGSLYTMGGNDQEQQHGVVVSASLSPPKLEDFLGSAAAGPGAMALALDNSAFYYSHAGAGGGFLQCAGGAVHDAAAAQLAHDQSAAAAMAAAGWAMHGGSGGYEIAGVADDVCSGGAIMPGAVTHIHPLTLSMSSAGSQSSCVTVQAAAAAAGGEQYQAMDAVSKKRGGGSGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRLEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPSDLARRKGGKDAAGVVASAAETAAVANAAAALVQAGNAAEWKMAAGGGAQHQHGGHHELMSSDAFSALHDIVSTVDGGGGAAHVSNTSSSMATASLCNSCDQSPDRRGVDGGGGLAMLFAKPAASKAMMTPLPLTSWTAPAPARPAGVSIAHLPMFAAWTDA >LPERR03G29940.1 pep chromosome:Lperr_V1.4:3:25879137:25882230:-1 gene:LPERR03G29940 transcript:LPERR03G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTGLWGGRSGGIGLGGGGDHYRGTPVVVKMENPNWSISEISSPDDDDDDFLVGGGRRRRSKNAKQITWVLLLKAHRAAGCLASLAYAAVALGAAARRRVAAGRTDADAPSAGAGAGESPVLRSRFYSFIRAFLVLAVLLLVVELGAYINGWDDIAASALALPVIGAESLYASWLRLRAAYVAPFVQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPQPNSPPLLPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCTYVKDYEFVAIFDADFQPNPDFLKRTVPHFKNNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKHQKTESAPNLDAIAKEQSTPRKDAKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >LPERR03G29950.1 pep chromosome:Lperr_V1.4:3:25889329:25891663:-1 gene:LPERR03G29950 transcript:LPERR03G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIPCSKRETARGAEAEEVDSDEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRIGCDQNHEQVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNESSMLKCPHTAPPKPPRARFARLSTAAEAAAAKPRLKTHRICTLRASPTKAAKIEASSARKKALTTPRSKPVLRPRPELFLSAKHQKEPVAAAAAAAAVERKGNNNTVVKALFMATPKKDAGQKTPAKSQPPPPPPLSEVCSKMRKMNLACREVPSRYLCQSTNQKTAKKCDQTAVKSAKKVQWSRPDVKKKKILGCSLKHVSSEVGKENRNGREDAAAGIEIASSDQERKEVLQESRIEVEASQADNYEDDKENLSYVDQPTEQAVIVSHSEGKNMQPLENNENVPHKVTKMLSKVNPEQAGKLKKTTNPKPFRLRTDERSVLKEANSESHQTLTENNTMAALKDGNRAVLQVGRCHDGKGQGKTICREKQKKQIRNVATAQLDEAKRVLKSIPCNNVKPALANGKTVGRSQRAPRVPSSTRSTNITSGSMPTSRIGKEKKTSVKMSRIQAAAA >LPERR03G29960.1 pep chromosome:Lperr_V1.4:3:25892407:25894721:1 gene:LPERR03G29960 transcript:LPERR03G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFSHPSSPIEQPVGIEAETEEITSCDGNGGFRNRAIQDYLFIFKELISLVELVKAVKVDRVIWKKMISEVTMIIPLSFLGQHNQSQFDFFLL >LPERR03G29970.1 pep chromosome:Lperr_V1.4:3:25898664:25899927:-1 gene:LPERR03G29970 transcript:LPERR03G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQLARQAAAAGEGQIKQEASRDANEAHGLAAGANYSWHHHHHHHHAMAVPVHPMSAPMVEAGRVANDVDESIRKLLFKLGGNPFAALPALPSIAPPPIYEEAPSLVAPMAYGMTPLDEGGMQCSSVLPVLELDESFHFNQVKLDGLECFFGMGDQSMRWNEVSPLVCPSNAVASSSQGMQQYSCLVEEQAGLGMQ >LPERR03G29980.1 pep chromosome:Lperr_V1.4:3:25900205:25902477:1 gene:LPERR03G29980 transcript:LPERR03G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAGKLLAGLVLGPGLCAPKEKCQAAAAAVLHGTQAPGRWRRGAIHAPLKASVLHPWGIDHWSVLLHY >LPERR03G29990.1 pep chromosome:Lperr_V1.4:3:25904724:25910936:1 gene:LPERR03G29990 transcript:LPERR03G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAILAGRGCNNNTNTNNDKSSPLSELPMPATAASASAPAPELITPGNNPPHSSPPPLYQLLAGAGKAVVQGRQISPADGVDDTEEVKADIMSHPQYSALLAAYLGCKKVGAPPDALARLSAVPAKPDAADGGQSRRRHEPRRDDPELDQFMDAYCSTLVKYREELERPLQEAAEFFRRVETQLNWIADSNCEGSASSEEEKDTSCHEEVELCDKELKHELLRKYGGSLGNLKQEFSKRTKKGKLPGEARQKLQHWWERHYNWPYPSETEKMTLAQSTGLNQKQINNWFINQRKRHWKPSPGDTAAFPAMEAAGSGGFHYSQFGGVAALPLYIRRPFIADGMYPAGIVKPTVLTRY >LPERR03G30000.1 pep chromosome:Lperr_V1.4:3:25917085:25919271:1 gene:LPERR03G30000 transcript:LPERR03G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNCAIPLLAVVLAVVGVGVGGDDRFVYTGFTGAPLALDGTAVVTPTGLLELTNGTAQLKAHAVHPAAMRFRRNGGDGGGAARSFSTSFVFGIIPPYSDLSGHGIVFFAGKNNFTTALPSQFLGFLNSGNNGNATNHVFGVELDTILSSEFKDPNDNHVGIDINSLTSVAVKTAGYYDDKTGDFHELSLISGKAMQVWVDYDGVTTQINVFLAPIKMTKPSRPLVSTKYNLSDVLVDPAYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDINMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVVLVRRRMKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVNRPTLDWTRRFHIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNARLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKATPLTDVFAFGAFILEVTCGRRPVEQGMPDNQLMLVDWVLEHWQKGSLTKVIDERLHGNYDVDEAILALKLGLLCSHPLHNARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSASNPSETMMTIGTMSGLSGGR >LPERR03G30010.1 pep chromosome:Lperr_V1.4:3:25920465:25923409:-1 gene:LPERR03G30010 transcript:LPERR03G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPELWMSRAVKRHLITAAAAFFPTNRISPPLGEGSGYFHDVLCLWPPRPFSKGPPLILGETPCPSIEPWCFKDALFPVGIRMKELRHHVKFTSESHAFWADLMNGVLCCRCSEVLFGDDDSVRFSFIELPPGCECDNLDNSDTGPAEMFRTIGCTSDGSIKFVSIDFDDSVPDKEKTMTMWTLNMASREWIKDEEISLGALWELDDFNKNGLPKTQPLYPLFLRKEEDDTYTFYFVLTMPISECDKPQSDAVHHHMCRFDMRSKRIESSPLPWAPGRFYVRTLVRSEFFRYLKRPVPDCGKGKKKSVNTQMKKAS >LPERR03G30020.1 pep chromosome:Lperr_V1.4:3:25925071:25927872:1 gene:LPERR03G30020 transcript:LPERR03G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAARSGLRSLVARAKATAAPAARRRMSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGPDGLFESKHH >LPERR03G30030.1 pep chromosome:Lperr_V1.4:3:25929132:25930242:-1 gene:LPERR03G30030 transcript:LPERR03G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSCTLYLSHDTVAITAEKKHRDELLHFASRTHRSKKFRPKHGLQIFLLVAVSVWLVYQLTRSYINRRSAVAVENAAAAAGAVDGEETPSTWRRLGRKGFVDFAGELSDGDGVRGIIGGRSNVAVDEEATGEEEEGNDVYIAEDGLPGDEEDDGGGDFRPADGMDVSVGLPVNSSDDGIGVPPLENADADGMNNTVPGINKSSK >LPERR03G30040.1 pep chromosome:Lperr_V1.4:3:25934083:25935438:-1 gene:LPERR03G30040 transcript:LPERR03G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTEPCYCKIRLGRMPWQTATAPLVVADGGEQTPSSATSGTLAAAFHLSKADLEWFARKPSLFSSSSSASRRPAVLKVAVYAGRRGSTCGVSSGRLIGKASIPVDLKGAAAKAAVVHSGWICISKKGGSSSSSSSAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVKGNMKQPMFTCKFACRSNSDLRRSMPDWNSTAAAKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGSWEPWGRLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLAESSIPSSKGGKFAIDLTSMQQPAAAASSRGGTPGCSPRGSGDFSQWPLASYSYRGFVMSSSVNGEGRCSKPAVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >LPERR03G30050.1 pep chromosome:Lperr_V1.4:3:25941428:25946849:-1 gene:LPERR03G30050 transcript:LPERR03G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDALSKVESRNPTLSWLGLKLMQIGSLLLGSFTAFAILSSAHRYSSPSPTSGGGTRSASAEKPTRRWAAWTRQEEQSFFNALRQEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNPKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEAFGNQLLKDRKKTRKKCAQGDMCLSSPSSILNRAPGNESLSVKLLSVDVSNGSKVSKGAFFKRVAEPNSNDKSDSTKGDVSATDTVKRKRKAGGVVASAAYRKWERAAMAGVSLVADAAEELERNIVNADSRPTSPSLRNVCNVDGLGTDHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSKKKISSVLEHLNRKWGNSNIASGELFLFPYCAHQEDLATYQRWTIRDTVAVADVFLSVNSPSVFRLRYGWFSLAELRGVAEISVTRFEICMIPEDIHAHSPSEACVEKDGTLLSSRDPEQHLCNSKDRSASSTCNRAEVPEQCIDVPTSQVGRQKQEQVKAYNANLGMDCATISLGAWADSLTDISVGYLLTEASKDTNTDCPETSIAKTALLLENACSYDSFDAAVALHASRYKAAEQPALASHSTIWGGEETCDEFSFKLAASRKQEGSITSTSSSPDSDGDVHPSNSEGFQGFLQDLAGAEIADNPCSDDATDTDIDSLCAKSPPRSDNDSELKDQSLFDLYWADSLGPLDLEIPTLRYQAGDLLLGDSQNSWNRIMANSLDAFRNLSFFTADKE >LPERR03G30060.1 pep chromosome:Lperr_V1.4:3:25948400:25949944:-1 gene:LPERR03G30060 transcript:LPERR03G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKSYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKFLNAHHVSCSTAEDWEPNGMEAWIRKFSIKLNSLMEYYPFEYYRHHINM >LPERR03G30070.1 pep chromosome:Lperr_V1.4:3:25951788:25955685:-1 gene:LPERR03G30070 transcript:LPERR03G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLAVAVLLLLVGVVHAQRLERRDLLALHGLRGSLGVRAEYWPVKGHPCGWAGIVCRAGRVAELRLAGLRRTRAGVRSQGFAVDALRDLTALEVFNASGFALPGRIPAWFGAGLPPSLGVLCLRSASVNGEIPADLGMSGNLTTLDLAVVDIKMNCFSGSSQRSQGDCEAFYRRNGFSLPEPPNALPSPSKKGVKGKYVLAGVLAAAAILVVILLGALVFCLMRRGTRRPRGRGVEQNEEGIRSGRRSSSVNPVALSSMAASPVANGAVKGLPIIVDEFTYEQLHHVTGGFGDDNLVKHGHSGDIYCGALESGFNVVVKKVDLKSSNKNLGELGVLAKNSHGRIVPLLGHLAVDEEELLVYKYMEKGDLTSALHKKSVDTEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDVQASSVLLDDKYEVCLGSLSEVCTQQNEGSRSFFSRMLRSSKSLDKNTSGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELESTSSRLRSTSSRSSWHFAFHGHSYRSNRSSELMPTVGQSLAPKQSMKSQGSDDDEEEEDSFSFKRASREILPETVELEENVVV >LPERR03G30080.1 pep chromosome:Lperr_V1.4:3:25965005:25972702:1 gene:LPERR03G30080 transcript:LPERR03G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPDSKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLEIIQNRTKERRYCFDHVYAPGCSNADVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIMVAGLRRIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHVDTHVEDYKRMIDNLQIEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQDIELNESRRRQLQAMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQRESLRSLWSILYGTGLNQKQILKLATKQGLTIEGCPLPVSSPDVTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLKNQHETPTICRQEHLSSYYMMSECSPFDGDGKQRTSGRSMPYFCTPGKPKVMYNFSPGTESERSPCSKIPGIQKKGSEEKHQDPSILQQLMIFLYYQAGRLQPTYIFCQQQCYLEFMKFDP >LPERR03G30090.1 pep chromosome:Lperr_V1.4:3:25976261:25976603:-1 gene:LPERR03G30090 transcript:LPERR03G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQIDSDACTKNYSNGKSSSASDSNSISVYPIIQPGHYHHYAAIITLESKAETAQADHNSVHGNSRNTSKLKFEQQ >LPERR03G30100.1 pep chromosome:Lperr_V1.4:3:25977677:25982418:1 gene:LPERR03G30100 transcript:LPERR03G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFLILLVVAVFLAAGAFTGAADGDADALLAAKAAMSDPTGALASWGNGTNTCSWAGVTCSSRGGNVIIGLDISGLNLSGELPSELSRLRGLVRLSVGANAFSGEIPAALGRLQLLTHLNLSNNAFNGSFPPALARLRGLRVLDLYNNNLTSPLPMEVVQMPMLKHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGIPPELGNLMELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNGLTGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGACKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGKFPAVSGVAAPNLGEISLSNNQLSGALPASIGSFSGVQKLLLDRNSFYGVVPPEIGRLQQLSKADLSSNAFEGGVPPEIGKCRLLTYLDLSRNNISGEIPPAISGMRILNYLNLSRNHLDGEIPPSISTMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIAGTDHGDHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPHGEHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEGLPHAVDGSASDPQTPFGSAEAPTGDVKDQQQQQTSSESKTPPDLISI >LPERR03G30110.1 pep chromosome:Lperr_V1.4:3:25981651:25984282:-1 gene:LPERR03G30110 transcript:LPERR03G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRQLFTQDQELRKCFKGNLVPQ >LPERR03G30120.1 pep chromosome:Lperr_V1.4:3:25986285:25989091:-1 gene:LPERR03G30120 transcript:LPERR03G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSAAHRIARVAAHLSPSSLPQVRGFLGPKQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGVDPKDVSVPVVGGHAGVTILPLLSQVSPPCSFTPEEIGYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRAMRGDAGIVECSYVESAVTELPFFATKVRLGRGGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNK >LPERR03G30130.1 pep chromosome:Lperr_V1.4:3:25989254:25989457:-1 gene:LPERR03G30130 transcript:LPERR03G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHEPKSRYGPTVKRLPFPTAFLSLPRHHVATQRSRRSDGDGGEAKQWRRWKGDEMSFLPGIVEQT >LPERR03G30140.1 pep chromosome:Lperr_V1.4:3:25990029:25994207:1 gene:LPERR03G30140 transcript:LPERR03G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCREHGIQPDGRKSDRIVGGGDDAFNTFFSETGGGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICKRSLDIERPNYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITSSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVAAIKTKRTIQFVDWCPTGFKCGINYEPPTTVPGGDLATVKRAVCMISNSTSVVEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDDGGDEDEEY >LPERR03G30140.2 pep chromosome:Lperr_V1.4:3:25990014:25994207:1 gene:LPERR03G30140 transcript:LPERR03G30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCREHGIQPDGRKSDRIVGGGDDAFNTFFSETGGGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICKRSLDIERPNYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITSSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVAAIKTKRTIQFVDWCPTGFKCGINYEPPTTVPGGDLATVKRAVCMISNSTSVVEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDDGGDEDEEY >LPERR03G30150.1 pep chromosome:Lperr_V1.4:3:25994994:25997921:-1 gene:LPERR03G30150 transcript:LPERR03G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRTYMSAGAPKRFKEDEEKEQLAKELAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFERKINYPFEKGPLSPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAVNLESESLYR >LPERR03G30160.1 pep chromosome:Lperr_V1.4:3:25999624:26006755:-1 gene:LPERR03G30160 transcript:LPERR03G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAAAALHHLAPLSPPLLLFFASASSSCSSSAAAASASASASGPRGCSAVRMETGAVEPASAGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLGWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYALMYRDVRSAVDLCHRDGTLKKMVAKDPSKYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVSSGHNHKWLGYFDVVITGSSKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPKSGQLPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLNFENLTEDDKEKLFSEHDVLLQKKEHVRRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >LPERR03G30160.2 pep chromosome:Lperr_V1.4:3:25999624:26044526:-1 gene:LPERR03G30160 transcript:LPERR03G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAAAALHHLAPLSPPLLLFFASASSSCTSSSSSAAASASASGPRGCSAVRMETGAVEPASAGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLGWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYALMYRDVRSAVDLCHRDGTLKKMVAKDPSKYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVSSGHNHKWLGYFDVVITGSSKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPKSGQLPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLNFENLTEDDKEKLFSEHDVLLQKKEHVRRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >LPERR03G30160.3 pep chromosome:Lperr_V1.4:3:26019648:26044526:-1 gene:LPERR03G30160 transcript:LPERR03G30160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAAAALHHLAPLSPPLLLFFASASSSCTSSSSSAAASASASGPRGCSAVRMETGAVEPASAGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEEMFGVTVPCYCINRDISRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVSSGHNHKWLGYFDVVITGSSKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPKSGQLPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKTMYHFYHDSPDLLLPSIGFSQVALSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQTTKQDIKKKEKNPPHRALTTRTHAAEQKHAQDTTEVYDLLDDASSKVTTQNTPPSPVLEVQDAVFTGRIL >LPERR03G30170.1 pep chromosome:Lperr_V1.4:3:26033240:26035389:1 gene:LPERR03G30170 transcript:LPERR03G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVHSLTAPAPAPAPAMRPLRRTTTAACTSGESSRPPQALPELPSRRAALLALVLAASPPARPAAAAFSLGIRTNRFPIQFYSQLAVDSTLTRLFLFLLWDGAAGPKELLREQKKKSARFLLAPIAASREILLKTQGILASENVGAGDAEEVGRLVGAAGRDCVPRQRNSIVAFQSRTGVEVGEGIAVIAMVGLCLTKLQPQVCTFSLILKNAASLLTDKDPLKVEADARLAELTQSLSDLGTVLDNSNFEVTADREKIKDGLLSTVAAIDKFEQSVKDCLGI >LPERR03G30170.2 pep chromosome:Lperr_V1.4:3:26033240:26035389:1 gene:LPERR03G30170 transcript:LPERR03G30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVHSLTAPAPAPAPAMRPLRRTTTAACTSGESSRPPQALPELPSRRAALLALVLAASPPARPAAAAFSLGIRTNRFPIQFYSQLAVDSTLTRLFLFLLWDGAAGPKELLREQKKKSARFLLAPIAASREILLKTQGILASENVGAGDAEEVGRLVGAAGRDCVPRQRNSIVAFQSRTGVEVCTFSLILKNAASLLTDKDPLKVEADARLAELTQSLSDLGTVLDNSNFEVTADREKIKDGLLSTVAAIDKFEQSVKDCLGI >LPERR03G30190.1 pep chromosome:Lperr_V1.4:3:26066673:26068565:1 gene:LPERR03G30190 transcript:LPERR03G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGRRSCCGSRRRSRRGSSSRPSPHPAKSSSNPRESSLRRMWVLGTRRRWGGWSARRGETACRGRGTRLSRSSHAPALRLGRSLQ >LPERR03G30190.2 pep chromosome:Lperr_V1.4:3:26066673:26068565:1 gene:LPERR03G30190 transcript:LPERR03G30190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGRRSCCGSRRRSRRGSSSRPSPHPAKSSSNPRESSLRRMWVLGTRRRWGGWSARRGETACRGRGTRLSRSSHAPALRYAHSA >LPERR03G30200.1 pep chromosome:Lperr_V1.4:3:26068666:26073196:-1 gene:LPERR03G30200 transcript:LPERR03G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDHKVTTTAAASDVVVNGGGEEVEEEESEAVRAMHARVEAEWGPVLKSACQTAAARALWGRAVRDPAAAVLAGEGFMRRIRERMRRDEEAGARQVHGVMIAVRTLWFDARIEAAVAKLGAGGDAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKSDLLQETMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYLPEKNTIWVLEGILYYLHHVHAMQVLETIVACSASVHTVLLADFMNKNAVSLSQTMYHFYHDSPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQTTKQDIKSIE >LPERR03G30210.1 pep chromosome:Lperr_V1.4:3:26071105:26071785:1 gene:LPERR03G30210 transcript:LPERR03G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGLQRPPRLRLDLAIQSPWDARPLTVALPPSKPCTTPTKKSATEPPRCADQTSDRQHATHPRTSIRVPARHPRAASTPDRRSQ >LPERR03G30220.1 pep chromosome:Lperr_V1.4:3:26075888:26080289:1 gene:LPERR03G30220 transcript:LPERR03G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDSGDGKAPEEEGDKAPELEVDDVSEGDGYGDEAEEGQKKAPKKKKKLPPLFSSAAHYHWKQCSAKSGHHYIPCVDFDGDGSQRHRERSCPRSPVTCLMSLPKEYKPPAPWPERKEKVWYGNVGHPRLSSYVKGHSWVNRTGDHLMFPPEEWEFKGGSKHYVETIDEMAPDIDWGKNIRIVLDIGCKSAGFGVALLEKDVITLSLGLMNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKFLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGLKIFQRPASNEMYDLRAKKDPPFCKEDQNKAHAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPLSTYPRSYDLLHSDHLFSRLNNRCKQPVSIVVEMDRILRPGGWAIIREKLEVLDPLEKILKSLHWEIVMAFRKDKEGIMSVKKTTWRP >LPERR03G30230.1 pep chromosome:Lperr_V1.4:3:26080769:26086491:1 gene:LPERR03G30230 transcript:LPERR03G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPTATSSVSTTSHLALLLLLSSSSLFFLYKSLRLRRTTNPPSPSPSPNPTPPLLLYASATGTSKSLAAALSARLAAESGGGVAAHPTDAAGLDPDDLPTVPLLLLVLPTHDGGAPPQSAAFLARWLEESAADFRAGAALLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAVEVVAVGEGDVDGGDLEAVFEEWCGKVVRVVKGEEIEEGHKGESDGFDGLELEEEDSDDDDDEEGEVDDGEVDMEDIAGKAPARKRNGKVEGALPNGGGENGLREMVTPIIRTSLEKQGYKILGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLVDELHRRHISTFLVTNAQFPDKIKTLKPITQDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKAFSEALALKSGGVYEVACEHAHSCCVLLSKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSKDYMALTPPWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >LPERR03G30240.1 pep chromosome:Lperr_V1.4:3:26084497:26086896:-1 gene:LPERR03G30240 transcript:LPERR03G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAATLELHAAARPAMAAASDHYARLLQLCQTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYGEVAGAGGLREARRMFDEIPVARRNVFTWNSLLSMFAKSGRLADARGVFDEMPERDAVSWTVMVVGLNRAGRFGEAVKTLLYMTADGFTPTQFTLTNVLSACAATRAGKVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASAVFERMRVRSVSSWNTMVSLNTHLGRMDLALSLFESMPDRSIVSWNAMIAGYNQNGLDGKALKFFSKMLHESSMVPDEFTFTSVLSACANLGAVRIGKQVHSYILRTEMAYNSQVTNALISTYAKSGSVKNARRIMDQAVAVDLNVISFTALLEGYVKIGDMESAREIFDVMNNRDVIAWTAMIVGYEQNGRNDEAIDLFRSMITSGPEPNSYTLAAVLSVCASLACLDYGKQIHCKAIRSLLEKSSSVSNAIITMYARSGCFPWARRMFDQVCWRKETVTWTSMIVALAQHGQGEEAVGLFEEMLRTGVELDRITYVGVLSACTHAGFVNEGKRYYEQMQNEHQIAPEMSHYACMVDLLARAGLFSEAQEFIQQMPVEPDAIAWGSLLSACRVHKNADLAELAAEKLLSVDPNNSGAYSAIANVYSACGRWNDAARIWKLRKDKAMRKETGFSWTHIHNKLHVFGADDVLHPQRDAVYRMAARIWEEIKKAGFVPDLQSVLHDVDDELKEEMLSRHSEKLAIAFGLISTPEKTTLRIMKNLRVCNDCHAAIKFISKVVDREIIVRDATRFHHFRDGICSCRDYW >LPERR03G30250.1 pep chromosome:Lperr_V1.4:3:26086987:26090203:1 gene:LPERR03G30250 transcript:LPERR03G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPPERAAHPHAADALDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >LPERR03G30250.2 pep chromosome:Lperr_V1.4:3:26086987:26090692:1 gene:LPERR03G30250 transcript:LPERR03G30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPPERAAHPHAADALDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >LPERR03G30260.1 pep chromosome:Lperr_V1.4:3:26091732:26099770:1 gene:LPERR03G30260 transcript:LPERR03G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDCIRMTGSVRFQVFDKNDLLLTGDLELCGANGVVGESKNSSKRWNMKCQPASSCNGFLKGKQSTGSESVHPVIEVYLAGTFGGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELQEGSLQHVCLAKNHAQTTINNIPIQKLAIHRYGYTVHTTKVSELHNPKSETDVDIDYNSLYSRQDFIEGEDECIPDETPHLTAGLFRSRRRGKIPNYSFMVQGTAVFSPSEQEAMAKVPDLGSDFAQKLLIDLRRRREKLGFDSQALPQRSSSSNVAAPRDARLSSQRPLRSQKPHQGAPRVGRAEGTANRSYQHSGNANVRAGKPRHRATPSVNSHQIVPFQGGGSNKQKPANNIDVQMALALALSNRGKLQNIELVSRNGSIFFGDTDHSMQLHHRGGENHLMSPNAHVGKVAIGVQKLNDILMAYNSGGGARDMSQRSSVDIGRELFRGAMDLEESLSMLVMLQDASDYMEGSGNGKVLLLEGKENRKNLTRSPSSARLVEIDDEDSETEKANNVKSLAMQIVPHKKSQSSSPNSTSVLQLSTVTGNSKSNSSKADKDDSKVRMPSVIAKLMGLDNLPSAKVVNKGMEKFVKPEAMPRRDRRTNAVGGKLPIQIIGSERVFSKGQNMNVLQGEWKFDLKNSEEYRSANLQASNSSSFPVINKQPRQTMKEMLRKMETTERRDSLGQQFHEGKKLAEETKQQNVVNVGCRTDAGKKLDFLKRFRKNSGSRHVTEEMQIIEVKNTTLGKKQVTSMKQLLGRGSEDKSKRTRDKLNKENLATAETQNAGRRSNGKADQRKRQSYNKQTDIHSMAKKSQNYCEMKSKEGVQNLEYKKATRSEATQLIRLPYTSSEIQQEIGNHGKEDDTVKPSDSTHGHGGISEQSTGILTDNRTTEETTTGLHANDGSNVGDQSLTQTYDNTTSNTASEATQILETFTEGEQQHNFQLVEVKKQPMDGLDDKKRCSNPMDLQDQKMRYLDDLIMELDGDIESLNISNKSQEQGDDCTAENLRMILHSDMQNNHPDANSMWDFGWNRMSDLPIERNEVVRDLEKNILGGIITDVARNLIELSVRHGCCSCEA >LPERR03G30260.2 pep chromosome:Lperr_V1.4:3:26092144:26099770:1 gene:LPERR03G30260 transcript:LPERR03G30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDCIRMTGSVRFQVFDKNDLLLTGDLELCGANGVVGESKNSSKRWNMKCQPASSCNGFLKGKQSTGSESVHPVIEVYLAGTFGGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELQEGSLQHVCLAKNHAQTTINNIPIQKLAIHRYGYTVHTTKVSELHNPKSETDVDIDYNSLYSRQDFIEGEDECIPDETPHLTAGLFRSRRRGKIPNYSFMVQGTAVFSPSEQEAMAKVPDLGSDFAQKLLIDLRRRREKLGFDSQALPQRSSSSNVAAPRDARLSSQRPLRSQKPHQGAPRVGRAEGTANRSYQHSGNANVRAGKPRHRATPSVNSHQIVPFQGGGSNKQKPANNIDVQMALALALSNRGKLQNIELVSRNGSIFFGDTDHSMQLHHRGGENHLMSPNAHVGKVAIGVQKLNDILMAYNSGGGARDMSQRSSVDIGRELFRGAMDLEESLSMLVMLQDASDYMEGSGNGKVLLLEGKENRKNLTRSPSSARLVEIDDEDSETEKANNVKSLAMQIVPHKKSQSSSPNSTSVLQLSTVTGNSKSNSSKADKDDSKVRMPSVIAKLMGLDNLPSAKVVNKGMEKFVKPEAMPRRDRRTNAVGGKLPIQIIGSERVFSKGQNMNVLQGEWKFDLKNSEEYRSANLQASNSSSFPVINKQPRQTMKEMLRKMETTERRDSLGQQFHEGKKLAEETKQQNVVNVGCRTDAGKKLDFLKRFRKNSGSRHVTEEMQIIEVKNTTLGKKQVTSMKQLLGRGSEDKSKRTRDKLNKENLATAETQNAGRRSNGKADQRKRQSYNKQTDIHSMAKKSQNYCEMKSKEGVQNLEYKKATRSEATQLIRLPYTSSEIQQEIGNHGKEDDTVKPSDSTHGHGGISEQSTGILTDNRTTEETTTGLHANDGSNVGDQSLTQTYDNTTSNTASEATQILETFTEGEQQHNFQLVEVKKQPMDGLDDKKRCSNPMDLQDQKMRYLDDLIMELDGDIESLNISNKSQEQGDDCTAENLRMILHSDMQNNHPDANSMWDFGWNRMSDLPIERNEVVRDLEKNILGGIITDVARNLIELSVRHGCCSCEA >LPERR03G30270.1 pep chromosome:Lperr_V1.4:3:26100393:26101682:-1 gene:LPERR03G30270 transcript:LPERR03G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTTGGRTHACQETPEDNTDHSQPPPPLCFDIGVEIAERLQDATTIIRCVSSICDPLLRRAILGDGFRRHLSSRAAANGGFDPGLLLGFSYILHENGRRRFVHAPPARFDESLLDTFTPAASRDGLLLLTKTSPSRDSPYQKEFLELIVCNTLTGETISPPPLEITKQAFTYPPALLAVANDAGAGCSFEVIVVEKCLSIHTYKSTRGNKWVNARGRTAHRWPTAHVDGTSAAVTGRAVHWLCYCNYDYQPNSGEESAVVFSVDADTAIATLTNLPEGCFGRDTGAYGVEGIHLAGASSPSDGSLRLVAVGLHEISVWTLTPASPEASPISRRRRWSKRAMIRVEEGIGNSLFGESWKPIRMRFECGRMCPAMRVMGFAERSGVGLVWMEGCGLVRFSLATAELAVVHRCAGEVDFGRSSLVRVLA >LPERR03G30280.1 pep chromosome:Lperr_V1.4:3:26121473:26131477:1 gene:LPERR03G30280 transcript:LPERR03G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVSA >LPERR03G30280.2 pep chromosome:Lperr_V1.4:3:26121587:26135565:1 gene:LPERR03G30280 transcript:LPERR03G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVHNLVDDFDPTLSTL >LPERR03G30280.3 pep chromosome:Lperr_V1.4:3:26121473:26131477:1 gene:LPERR03G30280 transcript:LPERR03G30280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIVQKFLEGAASIDKHFRSSSFEKNIPLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVSA >LPERR03G30280.4 pep chromosome:Lperr_V1.4:3:26121473:26131477:1 gene:LPERR03G30280 transcript:LPERR03G30280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVSA >LPERR03G30280.5 pep chromosome:Lperr_V1.4:3:26121587:26131477:1 gene:LPERR03G30280 transcript:LPERR03G30280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVSA >LPERR03G30280.6 pep chromosome:Lperr_V1.4:3:26121901:26131477:1 gene:LPERR03G30280 transcript:LPERR03G30280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAERCKAMRAEYEGIFLHYSRQRATGEAMDKLFKLAEAAKLKEKIERMFRGDKHREQIRASCSSKGSKREVIKSDGVDVVPEVWAVKDKINQFSETFRSGSWVGSTGKALTNVVSVGIGGSFLGPLFVHAALQIDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIFSSLGPDAVAKHMIAVSTNLELVEKFKIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLHYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIIKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKVSA >LPERR03G30280.7 pep chromosome:Lperr_V1.4:3:26130120:26135189:1 gene:LPERR03G30280 transcript:LPERR03G30280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSTQELVALTASAGFSAEGDRDRQVWQGEDEDHVRGSPLALGLVAAPGPTALVAHEA >LPERR03G30290.1 pep chromosome:Lperr_V1.4:3:26135640:26137691:-1 gene:LPERR03G30290 transcript:LPERR03G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPPPRSSPPPAATAQPPPAMGSPPPTNSSSSPAPSSSSNATSPPPPPSNPPPPKVPSPPPRSSIGGSEDLARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKKKKHPYPDTSGSINSRSDTTAQPKPSSMSSFAAAPYDAAPISNGGFSYEQLAAATGNFSPENLLGEGGFGYVHKGFLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRMLVYEFVPNKTLEFHLHGEGLPVMPWPTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVVLLELVTGRRPIDAAAHSSFTEEDSLVEWARPAMARALADGGDFACVADPRLGGNYDPVEMARIVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSVVFDTAVLGDDSNGEAPGPYTSDMDRIWLAAAEASPEYSGAITEVGRRGIWPAGGDGSFSDEMSAVVEQPHRVWR >LPERR03G30290.2 pep chromosome:Lperr_V1.4:3:26135640:26137691:-1 gene:LPERR03G30290 transcript:LPERR03G30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPPPRSSPPPAATAQPPPAMGSPPPTNSSSSPAPSSSSNATSPPPPPSNPPPPKVPSPPPRSSIGGSEDLARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKKKKHPYPDTSGSINSRSDTTAQPKPSSMSSFAAAPYDAAPISNGGFSYEQLAAATGNFSPENLLGEGGFGYVHKGFLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRMLVYEFVPNKTLEFHLHGEGLPVMPWPTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVVLLELVTGRRPIDAAAHSSFTEEDSLVEWARPAMARALADGGDFACVADPRLGGNYDPVEMARIVASAAASVRHSAKKRPKMSQRGQSPEMVVVVVQIVRALEGDMSLEDLNEGMRPGQSVVFDTAVLGDDSNGEAPGPYTSDMDRIWLAAAEASPEYSGAITEVGRRGIWPAGGDGSFSDEMSAVVEQPHRVWR >LPERR03G30290.3 pep chromosome:Lperr_V1.4:3:26136498:26137691:-1 gene:LPERR03G30290 transcript:LPERR03G30290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPPPRSSPPPAATAQPPPAMGSPPPTNSSSSPAPSSSSNATSPPPPPSNPPPPKVPSPPPRSSIGGSEDLARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKKKKHPYPDTSGSINSRSDTTAQPKPSSMSSFAAAPYDAAPISNGGFSYEQLAAATGNFSPENLLGEGGFGYVHKGFLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRMLVYEFVPNKTLEFHLHGEGLPVMPWPTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVNH >LPERR03G30290.4 pep chromosome:Lperr_V1.4:3:26135640:26136495:-1 gene:LPERR03G30290 transcript:LPERR03G30290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTDSMGNFTRFSHDCGYCRCLVVQVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVVLLELVTGRRPIDAAAHSSFTEEDSLVEWARPAMARALADGGDFACVADPRLGGNYDPVEMARIVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSVVFDTAVLGDDSNGEAPGPYTSDMDRIWLAAAEASPEYSGAITEVGRRGIWPAGGDGSFSDEMSAVVEQPHRVWR >LPERR03G30300.1 pep chromosome:Lperr_V1.4:3:26139004:26140767:-1 gene:LPERR03G30300 transcript:LPERR03G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVILSDGESDTAAAAKAVTSDEDNDGESSGYTSWSARPIVSHHFADGGVDRAPPPPLPLPATVAAAAAVARRLPPEFVKEINYSYSEALSIGGGGDEIEEKPDDSGIEETDESSNLAVDAASQEDTAIGNAGVVIRGGDVIEHTAHDVDDDGSATTESDVDEDSEVTESDVDEDSEITESDVDEGSETTQSVVDNGNAITGSDKSISVVEVNVVNDHTATADCIPVVEVADVAENTAQVDASESISVVEVPPVTVSSSAPPAISSETDNSVVDDEDEHKGGRDGDDGEDCYCRCGEISDPTVYGNNCHRLVIRGREKFPPYDPWEAMREKFATIRKEMQRYGRCPFDRTPWSPLVSRDELNRAIEARMRFQASTSAAAAAAAAISATPEAPIEASSPAAVDATAPEVPTEISSAEINDAAINAAPEAPVEISPAEINDAAISAAPEAPTEVSPAEIKDAAINAAPEAPTEISPAEIDDAAINAAPEAPMEVPNAATAAAINAAPEARAEEPSATINAAAPEGRTEAVSAAISAAAEARTKAPAPGDRRRDSEPSAADMADFAVTYLFSSSCMILYTFLIASYFY >LPERR03G30310.1 pep chromosome:Lperr_V1.4:3:26141370:26144390:-1 gene:LPERR03G30310 transcript:LPERR03G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDEWIVLSDSDKDSVGIHNDSDVASDSDSGFAVVHVRGGQSVDDDAPPPPPPSPSPVYFVAADQHASSYDLLDDDDDTVKASNSHIDGDIQLELASDDGGEKEDVVSSTFAGEEESESDEEDDIECFDEEDMICQENPDDEVFDEDETEYSEDENTETSNVAEVDHDDHVASSGEEESEFEEELDDDIEKCFDEDKFCEENPDDEVFDEDGTESSGDDYSSDTQSSYDDDSEYSDEEESVSEEEDLDEEDDIECFDEEDKVCEENPDDELLFDDDGDTESYDEEDNISEKELDDELLNFAGGLFDEEDNIHEKKKLLNFAYGLFDDDTEESEFEEELDEEDDIECFDEEDRVCEEIPDDELLFVDHDDIGEEKLDDELLNLADGLFDNEDTEEGEFEEELDEEDDINFFDELDKVCEENPDDEIFEDDFTHGMFDEEEKNSEEDLDEEIFEDNDTKIFDKKLDYELLRFAGGLTDNDDKEESVCDEQSFEDMDTGSSDEEESICSCSCEEESDCSSDYEESEFEEDEEDDIECYDEEDRICEENPDDEIFDNDVTESSDDEDSSDTDETDSDDNTQYSDEEEEEGESDDEEIDEGESYYEESDCYCGEKSDDEEIDEEQSDYEEEIDAEEQQQQPEFDGGGYDDIDNESMHADESYMEFASANVTYNDIDVDEVVGGEINNESFNGKEEDYLNDDLLHFLRGMLNHHRIQNSYDDIDTFSLEEEEEQQQQMELIGGDVDKESFDGEENYFDDDFLTDFVYNGYHGMFGESFDDEECKCGCNGEDFTEFTGEEEQQVDEQEEVCANCGFVHDGGEDLIEYNLDGPDAARSTIIDNSDVARDVTKVSSLDELDNDDSVTGSDEEESDDDDEAADVASGEVHVADVASMAERHRLMAERYRQTLRDLTTAAAVSPVTEAQQRAAEAMVRAAEAIDSYLHAATAAGGGAAARRGWRREMWRPCCGERRAFAPWRPRRASRARTAAAARATRRRLRQFAGDATARACA >LPERR03G30320.1 pep chromosome:Lperr_V1.4:3:26146341:26147534:-1 gene:LPERR03G30320 transcript:LPERR03G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGATSSRRRRRRERVDAIARVIRTPPLSDVIRDNALVHLPPAAAARLRAVHPSWAAAMSSRLFAVAHAAAPRRASGVFVPSAGFLPFAAAGDDDDNVPSPSLAFVPASSGVTVLSSSRGVACCFSAVDDAYAVCNPATTSWSAVPSPPRRTRPRPAIVVLFDTNAYNFRGDYTLVCPFESTPGSGAYCFQVFTSGAGVWWVTDAVATAEGLVAASGVAAGRTAWWRTSIGTAVGYSPVTDRVEIVLCPGDSEHWEIGSVAGTLHCAVRDGRDVAVFRLLDHHGVWEEAARVAVAEILQLSDAEEEEEDDGVRLLGFQGAEVEVVVLSGRRLVAFDARTRQRREVAVPGEVDARWDGEEYATHTNTLASIAPAILVEEPPLAEQPAVDDQEMVLSS >LPERR03G30330.1 pep chromosome:Lperr_V1.4:3:26149760:26153084:1 gene:LPERR03G30330 transcript:LPERR03G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSREVLPMLGVASMEAVDEYAATESAEHFHIYIDPTITITDALSMSLRVRPGDRTMAFELYNPEVLLRKVEADAAVREAIARMEMTEEKVRTKIQRTERKIMEVEANLELGGDAVCVGPSINHRSLPFEQVIYKTGEDIPEGEVAAPKRKLSKNVRRQCRKLDAVSQLAYWNANLLVLKQKKAAQEEFLVALTKSKEAFRTQCLETRTHLGCGYTEATTLRPSSTAVDIAS >LPERR03G30340.1 pep chromosome:Lperr_V1.4:3:26155760:26160042:1 gene:LPERR03G30340 transcript:LPERR03G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKREMSKRKRGVAVVKRKRRGLQLSDLPTDILCCIISQLSIKEAARTAILSKHWKYVWHSRRNLLFSFKSMMPRSYNSRPYASRKHDQDFIDRVEAVLKQHPGAGVDKMEVCYAPLSNQNADYIDRWLCFAIATKTRHLIFDFTPFHAIYEPYRFPLEIFSATNSSYLQSMKLGAVSLKQPENFNGFLNIKKLELKDVDITDEGLQLLLTKCHALEFLGVSLCGRLTSIQTSHPSSRLKHLQVYSCPSVTEIKLNLGLKALEYQGSLIPLAPPGTLTNLCINSSDACSALVYIFSGLPSTLPCLETLTLKSEELERASLLDKPPKFIYLRNLRLELIFPCKIKADVLDFACLLEAAPFLENLEFHMWMDMNSTGLKTMRIEPKPMVAARYVGLSSRDAPSSADGYKVANKYISKEDHRGVVHITRVRRRDVVNAPTFHLIDPQRFPLLPEI >LPERR03G30350.1 pep chromosome:Lperr_V1.4:3:26161459:26164043:1 gene:LPERR03G30350 transcript:LPERR03G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLLPDDLLIEIIARSDVASIVRCAATCSHHLRFNPILLESFEPIVSRDGLVVLKQNTFDGGRFPYRGRSCNMCVCDVITGEITPFLPATEVDKKIYPPALLSVGDIGRSVSILAFHADTAKATTIELPAGCVVRMLGCVKDIHELLLAGGTALSLVVAERELISTKRQQLRRGGVGTRQVVIARLDIDRGVRHESLYGEVIFEGFGEKSGMVLLQLKFVGLIQLNLATKEAEVLCRSSTQRFLNYVSRAYDAYTNSEAPMTLVSPEYQRYRIFGTPGTRLEPGVSVPDLVPPSPTSPAVVEAAVPGHPPPPPSPGHAVLVTPPSRRRLDGDGDGGPDPAAPRSRTARSGLPSPSPSPSRRGAAAARTQVTM >LPERR03G30370.1 pep chromosome:Lperr_V1.4:3:26167545:26168755:1 gene:LPERR03G30370 transcript:LPERR03G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSVSVASSPVARSIVSILPDDLQLEIVARTDVTTIIRCASTYRKLRGLIRDQGFRRRRRAVRGEPNGGFDTSLLVGISYRIRIGLGDAAQSHECVLRTLPSSASRPLRFNPILLNSFEPLASRDGLVILKQVAAAAGDGFRDTRIQREKEVSFSLCVCNAITGDVTDFLPAPKINEDICPPALLAVGDGGKSFEVLVMDRTMQTQTFSSKKRRWSRVRKASDDDEQFFLSGRPIVLRLKE >LPERR03G30380.1 pep chromosome:Lperr_V1.4:3:26177912:26180947:1 gene:LPERR03G30380 transcript:LPERR03G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGLSVAAAALGSRYMIQAWQVFRARAAMPRVRRFYPGGFEREMTRREAALILGVRERAAVDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLTGKGKSGSGPRKLLTE >LPERR03G30390.1 pep chromosome:Lperr_V1.4:3:26189209:26191687:1 gene:LPERR03G30390 transcript:LPERR03G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFAKETMDKIKTDGEVLLAGDEEEGELVLPGFRFHPTDEELVTFYLRRKVAKKSLSIEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAANSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAAAANASPSMQEAEVWTICRIFKRSVTYRKQQPQQVWRPPAPATVTIKAPPPGDSSSNTGSFESDGGDEYMNSGQLAPAITQQQNHGRQMINTMNNGSYFFNDSLHHGHHKLHHQGQRGSLQLAPAMEQKPLMNTSSAMTVSFHQNNDHGFTATATAADFYKDGYLEEIARMMEVADPSPTGFYDCRYA >LPERR03G30400.1 pep chromosome:Lperr_V1.4:3:26192720:26195439:-1 gene:LPERR03G30400 transcript:LPERR03G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWLFGCFRLPGGGGEGRRGRERADQQLVSTSSVSVTSPVADSSKVGERKRPPSKNALSAVFLREDEGSRVGQTASSGMNGIAERTKVGEELKIETCLLKNNDALLEAPNEIRQVPENTYQSQPGLACLPAISDEQQFVVGLKVEDCQTPSISHKSSTSPDAMSSSWKGHDASSQIDSDAVSKNIDVEVVDNGDSVINSGKKLTALDSSSFTCKDDISSPISTPSEATAGIQTPATTHVPNLEELRDQNNTRTSSEHAYEAPNSVEAVETCEKSRKESCQPDISDEDFKCANNGNSVSIELSISNECSLFQSSEGSVSSCNKRRDNSSTYSVDKCLKSDPTVHSSKKKVLRDNGSEVEFPSLSQWLKPPNPKKPFRDVPFTSDRSHSAKSSEEDRPIIGLVAAHWSDKEPDNFTSKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRSCSSGNPSQLSGLEGEENDTAASNSSYLYVAAS >LPERR03G30400.2 pep chromosome:Lperr_V1.4:3:26192720:26195439:-1 gene:LPERR03G30400 transcript:LPERR03G30400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWLFGCFRLPGGGGEGRRGRERADQQLVSTSSVSVTSPVADSSKVGERKRPPSKNALSAVFLREDEGSRVGQTASSGMNGIAERTKVGEELKIETCLLKNNDALLEAPNEIRQVPENTYQSQPGLACLPAISDEQQFVVGLKVEDCQTPSISHKSSTSPDAMSSSWKGHDASSQIDSDAVSKNIDVEVVDNGDSVINSGKKLTALDSSSFTCKDDISSPISTPSEATAGIQTPATTHVPNLEELRDQNNTRTSSEHAYEAPNSVEAVETCEKSRKESCQPDISDEDFKCANNGNSVSIELSISNECSLFQSSEGSVSSCNKRRDNSSTYSVDKCLKSDPTVHSSKKKVLRDNGSEVEFPSLSQWLKPPNPKKPFRDVPFTSDRSHSAKSSEEDRPIIGLVAAHWSDKEPDNFTSKWWDGNGIPNSTNKYKEVKPASASDQKVSWHATPFEERLEKALSDEKLLSQRSCSSGNPSQLSGLEGEENDTAASNSSYLYVAAS >LPERR03G30410.1 pep chromosome:Lperr_V1.4:3:26197039:26198515:-1 gene:LPERR03G30410 transcript:LPERR03G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSAWGPSPAVVTALVALLGLSLAGYIVGPQLYWRASEALGGSPGSCPACDCDCDARPLLALPEDCSKQFKEVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALVQQRKLTALWEERARELGWKPGSIKP >LPERR03G30420.1 pep chromosome:Lperr_V1.4:3:26200360:26203408:1 gene:LPERR03G30420 transcript:LPERR03G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPGGLQRRPAASASASAGRGEGAEPPRGGQRVLHADIDPPPRARPGMQKLAIAAILVLGCLQFLPATHFRDPSDPQRTWIPFDRSASPLDLPDEVGSVNVFSWISCLDLQTLAVLTNSTLSSSSDPHNISFNFLIPERGADKSPYYKLKAVLPDSNVTVASQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIIKGKIEDLGRIDLGTYAIGAVEDCTKHVGDYVSMDVLNAVQKTAQKKLVSTEPYDKDACLLDFDVLVVEPRKLRKDLTDSIMLWFRAFSLANPRDQIRLAITLALYDNYLKLPSSWKRADADSDILNYDGPKNVCSEGGRQLQEEGYGENWQQYLDQKSLIVLS >LPERR03G30440.1 pep chromosome:Lperr_V1.4:3:26213404:26221117:1 gene:LPERR03G30440 transcript:LPERR03G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRPVEVVPPPAPGTPLSPSKRLRRAGLVMMWLRRRPRPIGVDQQQMALLIRQMRVEMVKLFMLLMVFVVRLGNVERLLREQPYMLQRLLEDQLGAFQRLNTLEDFPQAGGHTRMLKLLFVDAEKPEDPLYTRSPVRWQNGTNAKVALFENGSQITHGDLSKLQIEILPVHDHFFTERGLANFTKEEFNKQIYMCKGKESVLTTVNLTNGEAYLDSFFFTESSYGKKLRLAARVKCQDLEVRVQEATSYSFVVKDHRSLSNKKSNSPSKEEGIHCLKKISPKGKRCNDLANKDITKVKHLMRSYHRDQSGLQKLTGMKNEDWNTMIKHATTSDPGDEIHSYRVEKNIVLFFNDFFALVGMSVDGSYSPYHTNNLNQLQQVIPALNPGYSLYNEQRELNPKLQRKMNNWKESAYKKFEELEKLGRLTPDHVMINDFPVPVPSNDDASPSVQAIPTWQSLDRQTALEGQKHSFQQQNGFPLVHVSPYDVAGTSTESTQLKQPLQQHNGQSMQESGQEDPSMQQNGTSYSLTEGNILSGQGSSLVQPTMLAQNTAVVPDAHLSAWHDGYTSTSTADAAGTSCPVTDGVYPWNYPIENTQIFSELSSIFNRTMGMWQRQTRPFFQIATNLKMANTHKKDVEEEEARGDELAPVPLVAADDEVRQAVCAAEEAEFPPRLGPLQGYTESSSLRLPDPSQT >LPERR03G30450.1 pep chromosome:Lperr_V1.4:3:26231274:26238963:1 gene:LPERR03G30450 transcript:LPERR03G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETRAVLLSNTVHQHPGNPTVCRPQLNAVEAIPEGLEQTGDKNRMLQLRFVSNNPKSTLYTGRIVKWKNGRNVKVAIFENDNQVRHGDISKVKVDIVAVRSDFFTKQGQEYFTKEEFERQICTNGDEELVLATVNLNDGECYLDSFFFTVSSHRQRWRLAARVNSQVPRVRFKEAITDPFVVKVGRSKSNAKSYPPKKGDDVYRLEKISRKGKVRDNLMGEGITNVKHLLRHYYKDGSALKKLTHMANKTWKTMITHAKTCKPGNELYAYSAIEENCVVFFNDFYDLVGVKLDGAYTPYGNLNQSQKVWTNILLQWHVALHKYALNYFVNSMEDKVIKYKMAAHKMFEELEKEGSLNHGYEMIDGHPSPVASNGVGPSTQTTLTSQQYSHYQNQGFGHCQPSPPQNGSYLSAPIFQNNMVVPSAQETPASERSYDQNVDKEFGNQNPFSQHNGLSNCNFPGNVLNQCSFASQPPSAIPGQYNDLIRDSSQGFQINGSLCLTSSGTGIDNAVQ >LPERR03G30450.2 pep chromosome:Lperr_V1.4:3:26231274:26238963:1 gene:LPERR03G30450 transcript:LPERR03G30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQETRAVLLSNTVHQHPGNPTVCRPQLNAVEAIPEGLEQTGDKNRMLQLRFVSNNPKSTLYTGRIVKWKNGRNVKVAIFENDNQVRHGDISKVKVDIVAVRSDFFTKQGQEYFTKEEFERQICTNGDEELVLATVNLNDGECYLDSFFFTVSSHRQRWRLAARVNSQVPRVRFKEAITDPFVVKVGRSKSNAKSYPPKKGDDVYRLEKISRKGKVRDNLMGEGITNVKHLLRHYYKDGSALKKLTHMANKTWKTMITHAKTCKPGNELYAYSAIEENCVVFFNDFYDLVGVKLDGAYTPYGNLNQSQKVWTNILLQWHVALHKYALNYFVNSMEDKVIKYKMAAHKMFEELEKEGSLNHGYEMIDGHPSPVASNGVGPSTQTTLTSQQYSHYQNQGFGHCQPSPPQNGSYLSAPIFQNNMVVPSAQETPASERSYDQNVDKEFGNQNPFSQHNGLSNCNFPGNVLNQCSFASQPPSAIPGQYNDLIRDSSQGFQINGSLCLTSSGTGIDNAVQ >LPERR03G30450.3 pep chromosome:Lperr_V1.4:3:26231196:26238963:1 gene:LPERR03G30450 transcript:LPERR03G30450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQETRAVLLSNTVHQHPGNPTVCRPQLNAVEAIPEGLEQTGDKNRMLQLRFVSNNPKSTLYTGRIVKWKNGRNVKVAIFENDNQVRHGDISKVKVDIVAVRSDFFTKQGQEYFTKEEFERQICTNGDEELVLATVNLNDGECYLDSFFFTVSSHRQRWRLAARVNSQVPRVRFKEAITDPFVVKVGRSKSNAKSYPPKKGDDVYRLEKISRKGKVRDNLMGEGITNVKHLLRHYYKDGSALKKLTHMANKTWKTMITHAKTCKPGNELYAYSAIEENCVVFFNDFYDLVGVKLDGAYTPYGNLNQSQKVWTNILLQWHVALHKYALNYFVNSMEDKVIKYKMAAHKMFEELEKEGSLNHGYEMIDGHPSPVASNGVGPSTQTTLTSQQYSHYQNQGFGHCQPSPPQNGSYLSAPIFQNNMVVPSAQETPASERSYDQNVDKEFGNQNPFSQHNGLSNCNFPGNVLNQCSFASQPPSAIPGQYNDLIRDSSQGFQINGSLCLTSSGTGIDNAVQ >LPERR03G30450.4 pep chromosome:Lperr_V1.4:3:26231527:26238963:1 gene:LPERR03G30450 transcript:LPERR03G30450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQETRAVLLSNTVHQHPGNPTVCRPQLNAVEAIPEGLEQTGDKNRMLQLRFVSNNPKSTLYTGRIVKWKNGRNVKVAIFENDNQVRHGDISKVKVDIVAVRSDFFTKQGQEYFTKEEFERQICTNGDEELVLATVNLNDGECYLDSFFFTVSSHRQRWRLAARVNSQVPRVRFKEAITDPFVVKVGRSKSNAKSYPPKKGDDVYRLEKISRKGKVRDNLMGEGITNVKHLLRHYYKDGSALKKLTHMANKTWKTMITHAKTCKPGNELYAYSAIEENCVVFFNDFYDLVGVKLDGAYTPYGNLNQSQKVWTNILLQWHVALHKYALNYFVNSMEDKVIKYKMAAHKMFEELEKEGSLNHGYEMIDGHPSPVASNGVGPSTQTTLTSQQYSHYQNQGFGHCQPSPPQNGSYLSAPIFQNNMVVPSAQETPASERSYDQNVDKEFGNQNPFSQHNGLSNCNFPGNVLNQCSFASQPPSAIPGQYNDLIRDSSQGFQINGSLCLTSSGTGIDNAVQ >LPERR03G30460.1 pep chromosome:Lperr_V1.4:3:26233030:26237921:-1 gene:LPERR03G30460 transcript:LPERR03G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCFGSGGRRAHERKLTFASADFHNKRIGDGLFKSDPGNLTVDSCCQSPSLPMRAHSKEEGVKITLTIVKV >LPERR03G30470.1 pep chromosome:Lperr_V1.4:3:26238020:26238730:-1 gene:LPERR03G30470 transcript:LPERR03G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAATSTAFAAKPRLARASPSTTRFSVSCSASNNNNNAGEMAQSLAASAKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSIKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >LPERR03G30480.1 pep chromosome:Lperr_V1.4:3:26240468:26241111:-1 gene:LPERR03G30480 transcript:LPERR03G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEASLLARLLRDEWVAREAARFAAAAAQRSGGRRHRISSRGTLGIRRLLGKSVTDKDVESDDAIWALYERWCEAYNKERDHAEMARRFKVFKDSVEGVHENNVVDDLVYLGRFCDGIDEQQMLQLKNEAIRREPMMRCMAGCWRA >LPERR03G30490.1 pep chromosome:Lperr_V1.4:3:26255023:26255655:1 gene:LPERR03G30490 transcript:LPERR03G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDALVVAGQSQPQPQAKRQHPLSEIAESGTHRLLLKQWLKEEDMLARRVTLREARLDGARKEIAFLYCAFFAFHSASILVLFLASSATDAACRRYWIPCLVSLLSSLAMLWALRYKADTEALLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGERPRRWAARDLAIFVLFAVACGVLVLIRYVVCY >LPERR03G30500.1 pep chromosome:Lperr_V1.4:3:26257145:26258077:-1 gene:LPERR03G30500 transcript:LPERR03G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPVPCLALQQPGPDSVKTILFNIFEGQSIDCDIDGLTNNNSNFWVTPQGWILVRTSLSTFLLSPQNPEMKIQLPNLPEDIRRTCTCLLSSANPTLPGCIVLLVEPNTTGIRYCRVGEDGEWVRHEYDIGTQLLDPATNLHEKVPICPIAACRGKFYFNSESLADIGELEFSPMPVFGSLELAGEFEVVNRAKVFLVESKGELYMVSLVYGFSCDMIDSETRVYKMDFSEQQWRRADDLGGRVFLLSSGYFGASCSADQDRGLEEDCVYMFYPGDEACSKISNVKDGGVNFMEVPAARRALWVLPTDP >LPERR03G30510.1 pep chromosome:Lperr_V1.4:3:26260839:26262215:-1 gene:LPERR03G30510 transcript:LPERR03G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANFNKPPPREGAHGEPLPDVRVLRRRLPPLLLLHRAADPLRLRRLAARCHVGGRSADGEPDERESGLARRLVPLRRELKLQEVFISARVASSKHDAERFNAYLTPTSSPGRRRLPPAGCSRTISSLPSPMRTPTTCAPSAIKLAVCDVYGSMSGLPMDVCVAHSRSTSSSPARPVARTRDHLERTPTAPSYRRRDARFIRYMDWGMNTDLQAVFDQILEVAVASSLSPEKMVRRVVVFTDMEFDHASSRLWEMDSGKFAAAGYGGDAVAEVVFWNMRDSGKAVPVTSGQKGVALVSGFSKNLLKLFLDGDMVSLQ >LPERR03G30520.1 pep chromosome:Lperr_V1.4:3:26270264:26271280:-1 gene:LPERR03G30520 transcript:LPERR03G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSIRLPCLALEHTGAKSNKPTRFSITEKKTITNNGEIPGLTNTNAWTTPQGWILVRDKAESATFLQNPYDSYNTIQLPHLLQDDLPSSCTCLFSFMLSGCVVILLIHPIDHVIYYCHIGDNEWIMQNYNIGTQVADDDGKSYVKITRGDGDYDDYEVHEKIPIGPIASRDGKFYFNADGAGNLGVLEFTPKPKFTSIKVAVDGEGLDDVDSAMVFLVESGAELYMVTLVYEYGGGGMTDCETRVHRLDFTQTPPRWRRARSLGGSGRAFLLEPLYFGASCSAGECGLEADCVYMFYPGSDDACVKISSVRERGGEEFVGVPAADRALWVLHVDS >LPERR03G30530.1 pep chromosome:Lperr_V1.4:3:26272405:26272620:-1 gene:LPERR03G30530 transcript:LPERR03G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRTGVGAQVFGTPLPPPGVGALPPEPFMAKKEGLENLV >LPERR03G30540.1 pep chromosome:Lperr_V1.4:3:26275359:26275625:1 gene:LPERR03G30540 transcript:LPERR03G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIMSAQRGRVPLLVGEGKETERVMIHMKVLHHPYFFVLLELAAMEFGHRQEGLLRIPCDIEYFQAIVELIRSSMRKVKFACFFPKC >LPERR03G30550.1 pep chromosome:Lperr_V1.4:3:26276980:26279340:-1 gene:LPERR03G30550 transcript:LPERR03G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRTHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPSEVDLEVLRERAH >LPERR03G30560.1 pep chromosome:Lperr_V1.4:3:26280809:26290135:1 gene:LPERR03G30560 transcript:LPERR03G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADLADDGFAAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHLQASIIRAAKSRRLPFVSSVPFFSPSSTPSLSDFVGYDYALVTERGDSLSKLVGVAVAADISRQAALPVSEYMRPVPRSASAAFDFEQAASFLADEGLDYAPLVSDDGEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGVNAIVIDSSQGNSIYQLDMIKYVKKMYPDVDLIGGNVVTIAQAQNLVAAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRLETVRLEVRTGAAQVEGGIHGLVSYEKKAF >LPERR03G30570.1 pep chromosome:Lperr_V1.4:3:26290546:26294304:1 gene:LPERR03G30570 transcript:LPERR03G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTCVFRLEKMVVQEFTVDLNKPLVFQVGHLEERYQEWIHQPIVSKEGPRFFGNDVLEFLTLTKWWAVPTIWLPVVCWLLVKSIRMGHTIQEVAIMALFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKNLKRYHLNHHFRIQNKGFGITSSFWDYVFGTLPPSKTTGKNN >LPERR03G30580.1 pep chromosome:Lperr_V1.4:3:26293349:26299910:-1 gene:LPERR03G30580 transcript:LPERR03G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSRRALGSAFAGFTTRGNPAMTPTTTLPSSCASSARLLRWSRSGGVGVGVGVGVRRFASARNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSQETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPKSDIDVINLELIFSDLEQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPHNNPHVQEVSKLATELESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVTAGTLGAAREKGLLRLEGKDYIVQEGDVMLFRFNLALKLQPPLAAAAGEMDSRGFDSEGREFSSATEMWAHEIGAATNAPVSAVEAEPAPAPAAAAGSNGDAGGEEAGGGHGHGKREEWAWRLRLRGFWEDTDVKGSDAFLRPLLAERFGAGKRHLVALDCGSGIGRVTKNFLLKHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCLPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSVTRSDAYFKELFKKCGLYIHSFKDQNDLPKELFAVKMYALVTDKPKIRNNGKRRRPKNSPHVIWTEVRNVSAPDNSFQA >LPERR03G30580.2 pep chromosome:Lperr_V1.4:3:26293349:26299910:-1 gene:LPERR03G30580 transcript:LPERR03G30580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSRRALGSAFAGFTTRGNPAMTPTTTLPSSCASSARLLRWSRSGGVGVGVGVGVRRFASARNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSQETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPKSDIDVINLELIFSDLEQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPHNNPHVQEVSKLATELESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVTAGTLGAAREKGLLRLEGKDYIVQEGDVMLFRFNLALKLQPPLAAAAGEMDSRGFDSEGREFSSATEMWAHEIGAATNAPVSAVEAEPAPAPAAAAGSNGDAGGEEAGGGHGHGKREEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAGKRHLVALDCGSGIGRVTKNFLLKHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCLPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSVTRSDAYFKELFKKCGLYIHSFKDQNDLPKELFAVKMYALVTDKPKIRNNGKRRRPKNSPHVIWTEVRNVSAPDNSFQA >LPERR03G30590.1 pep chromosome:Lperr_V1.4:3:26300471:26303008:1 gene:LPERR03G30590 transcript:LPERR03G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPHRRLLIRRRVKPPSPPPPSPRPCYLHTTTTSAAASSSSPDRLLAVLRGCVSPSHLPLGLQLHARAVTSGCLHLHLHAAAALHTRLIGMYVLARRFRDAVAVFSSLPPAAASSALPWNWLVRGLTMAGDHRSALLFYLKMWAHPSAPVPDTHTFPYVVKSCAALGAVALGRLVHRTARMLGLDGDMFVGSALIKMYADGGLLWDARQVFDGMVERDCVLWNVMMDGYVKSGDLLSAVGLFGDMRASGCKPNFATLACFLSVSATEADLFSGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCMDDAGKLFGLMPRDDLVTWNGMISGCVQNGLVDQALLLFCNMQKSGIRPDSVTLVSLLPALTDLNGFKQGKEIHGYIVRNCVRMDVFLVSALVDIYFKCRDVRMAQNVYDSAKDIDVVIGSTMISGYVLNGMSQEAVKMFRYLLQQGIKPNAVVIASMLPACTSMAAMKLGQELHSYALRNAYEERCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSSVTISSVLSACASLPAIYYGKEIHGFIIKGPIRADLFAESALIDMYGKCGNLELAHRVFDFMPEKNEVSWNSIIASHGSYGLVKESVSLLHRMQEEGFKADHVTFLALISACAHAGQVQEGLRLFQCMSEEYQIAPRMEHFACMVDLYSRAGKLDKAMQLIIDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKCLLLELREEGYIPMTDS >LPERR03G30600.1 pep chromosome:Lperr_V1.4:3:26305072:26305757:-1 gene:LPERR03G30600 transcript:LPERR03G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLRRIQAICRLSGHHRLQLFSRAEGLRRLSPASYQSFSSSACGQADTTIKEHQFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >LPERR03G30610.1 pep chromosome:Lperr_V1.4:3:26306682:26308511:-1 gene:LPERR03G30610 transcript:LPERR03G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIARASVSSSARLRPCPRLLRIGNNIAAARRRLRRRSVTCAAAADDIAADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADVIEEDTLTSVIGRRKTESGEPSFMEEKGIVKSAGKLAFIISHEKYVKGVEGSIPVLVKSNKPFQANWIDTAEEIDDLFLGDAEVWRRPSVGTAGPLGGDFPLVTKEGHHVLDVIFTTPITDLGKVAESLEKIAGVVDHGIVSNIPSYVVVKLDEEVQVLDEKSSVIP >LPERR03G30610.2 pep chromosome:Lperr_V1.4:3:26306682:26308511:-1 gene:LPERR03G30610 transcript:LPERR03G30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIARASVSSSARLRPCPRLLRIGNNIAAARRRLRRRSVTCAAAADDIAADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADVIEEDTLTSVIGRRKTESGEPSFMEEKGIVKSAGKLAFIISHEKYVKGVEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSVGTAGPLGGDFPLVTKEGHHVLDVIFTTPITDLGKVAESLEKIAGVVDHGIVSNIPSYVVVKLDEEVQVLDEKSSVIP >LPERR03G30620.1 pep chromosome:Lperr_V1.4:3:26310895:26311389:-1 gene:LPERR03G30620 transcript:LPERR03G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLICLVVALLLCAGAGHGLRILHDVDGDNNGQGFIFGSKSAAAAEAEPMDPSLDDYEEEISHVEFEPETGAPPYAAAGTAATAPAPGPAAAEAMKWWLPPSTIPSFPLFPNPGMPGIGIPLPGIAFKPIGWGSSPATPAPPPPSGAGGTDPSAAANQIIT >LPERR03G30630.1 pep chromosome:Lperr_V1.4:3:26312283:26313630:-1 gene:LPERR03G30630 transcript:LPERR03G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSESAGEKIMSSIMDTIADNLPKQKSGQFDVASAADQMRDKLFGRQKTIHRVLGGGKPADVLLWRNKKISSSVLVLATAIWVFFEWLDYHFLTIVSFALVLGMVAQFVWSNFSSALSRSPSKVPRVELPEELFVNIAVAIGTQVNKFLGFLQDVSCERNLKHFVLAIVGLWAAAVIGGWCNFLTVIYIGFVSAHTLPVLYEKYEDQVDDFLYSILGLLRDQYQKLDQDIDSHLSVII >LPERR03G30640.1 pep chromosome:Lperr_V1.4:3:26318477:26323423:1 gene:LPERR03G30640 transcript:LPERR03G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGSVAMAMAAIVLLIVGTSSPSTQAARLLAEEIVPGLPIPTLPTIPTVPTIPTIPGVPAVGTIPTIPTVPTIPTIPGVPAVVPTIPTIPGVPAVGPTLPTIPTIPAVPGGLVPGVPLPEVPGVPLPPVPSVVPPHSSKPIVLITYKASQLERN >LPERR03G30640.2 pep chromosome:Lperr_V1.4:3:26323423:26326319:1 gene:LPERR03G30640 transcript:LPERR03G30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGSVAMAMAAIVLLIVGTSSPSTQAARLLAEEIVPGLPIPTLPTIPTVPTIPTIPGVPAVGTIPTIPTVPTIPTIPGVPAVVPTIPTIPGVPAVGPTLPTIPTIPAVPGGLVPGVPLPEVPGVPLPPVPVSVSFMAMVMACALLAVSSSRMCHAARILADTPAVPGLPAVPTVPQVTLPPMPAIPAVPQATLPPMPAIPAVPKVALPPIPAVPAIPAVTLPPMPAVAVPKVTLPPMPSIPTVNVPVPFQAPPPSA >LPERR03G30650.1 pep chromosome:Lperr_V1.4:3:26331515:26335496:1 gene:LPERR03G30650 transcript:LPERR03G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRTDNELVELLWHNGAVVAQPRAAAVASSVCGGGGGGGSVLTGDCDGEEEETAAWFPDTLDDVYTQLWHSVAGAGAGDAFPATAGPSSHHPPPPPDLPPPAMRSGIGSSWTGDICSTFCGSNHIPETAATEPGAAALPPASTRDGAATSSSAGSGLPSESASAHKRKGRGIEDSDSRSEDAQCEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQDLIPHCNKVRASPWTDTVMLTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPTMAVGMNSACMPTAQGLSQMPRLPYMNHPLPNHIPINSPPAMNPMSVANQMQSIQLREASNAFLHPDGWQTVPPQVSGPYSSGPQVAQQDQILEVPANTVLPNSGAEQPPVSDGI >LPERR03G30650.2 pep chromosome:Lperr_V1.4:3:26331515:26334269:1 gene:LPERR03G30650 transcript:LPERR03G30650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRTDNELVELLWHNGAVVAQPRAAAVASSVCGGGGGGGSVLTGDCDGEEEETAAWFPDTLDDVYTQLWHSVAGAGAGDAFPATAGPSSHHPPPPPDLPPPAMRSGIGSSWTGDICSTFCGSNHIPETAATEPGAAALPPASTRDGAATSSSAGSGLPSESASAHKRKGRGIEDSDSRSEDAQCEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQDLIPHCNKVRASPWTDTVMLTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPTMAVGMNSACMPTAQGLSQMPRLPYMNHPLPNHIPINSPPAMNPMSVANQMQSIQLREASNAFLHPDGWQTVPPQVSGPYSSGPQVAQQDQILEVPANTVLPNSGAEQPPVSDGI >LPERR03G30660.1 pep chromosome:Lperr_V1.4:3:26339277:26341263:-1 gene:LPERR03G30660 transcript:LPERR03G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPVYRLRIPIFRFLRVNTNYTFPLEDSLLWCAFPSPTAQWPHRAVPRASSSLASPPRAPSPAGRRRXXXXXXXXXXTLPSILAALARARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSTALPAPLCNSLLAALSSSGSLAPARKVFDRMVVRNVELDTVGFGVFVKNVGRRIGLAEVLRLVDAVHHRGGWVDRSVVAVMVADGLCREGRIEDAWKSLEEMRLRGWKPDFVAHRIVSEAFKEAGRTEEEGMILKQKRKLGVAPRKEDYREFVLALLSSRQIGEAKEIAEAIVLGDFPIDDEVLNELICSVSEIDVDAAVMFCKFMVGKERFPSSDMLIRLCESLCKNRKGDDMWDFFRILLDKGYCSNVRDYHLVVSFLGKAGKVREAYDVLKEAKRKRLEPDVSSYNSLMEALCRENLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDGTTYTSVINMLCQEKKYEQAVEIFTKCVMQDPDVASSVLTVFILALCKQGNFKGALGVISCVPSNVESVNSHVILLKYLTDVGEVDLAIEHIKSIRSHFSSSFQIIINELMASLSTSASLEPVTRLISYLNSQGIVHDVGPWMGLIEHDYA >LPERR03G30660.2 pep chromosome:Lperr_V1.4:3:26338089:26341263:-1 gene:LPERR03G30660 transcript:LPERR03G30660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPVYRLRIPIFRFLRVNTNYTFPLEDSLLWCAFPSPTAQWPHRAVPRASSSLASPPRAPSPAGRRRXXXXXXXXXXTLPSILAALARARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSTALPAPLCNSLLAALSSSGSLAPARKVFDRMVVRNVELDTVGFGVFVKNVGRRIGLAEVLRLVDAVHHRGGWVDRSVVAVMVADGLCREGRIEDAWKSLEEMRLRGWKPDFVAHRIVSEAFKEAGRTEEEGMILKQKRKLGVAPRKEDYREFVLALLSSRQIGEAKEIAEAIVLGDFPIDDEVLNELICSVSEIDVDAAVMFCKFMVGKERFPSSDMLIRLCESLCKNRKGDDMWDFFRILLDKGYCSNVRDYHLVVSFLGKAGKVREAYDVLKEAKRKRLEPDVSSYNSLMEALCRENLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDGTTYTSVINMLCQEKKYEQAVEIFTKCVMQDPDVASSVLTVFILALCKQGTAQQLYMSMDHDDHFARPCTAFSGH >LPERR03G30670.1 pep chromosome:Lperr_V1.4:3:26341243:26346608:1 gene:LPERR03G30670 transcript:LPERR03G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQAPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDFMQLLSAYLLMLCPAFYLCLNSFHINKNIAPVCEGAVQHIASKRFDIGGTDLTKLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQLAFEALGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >LPERR03G30670.2 pep chromosome:Lperr_V1.4:3:26341246:26346750:1 gene:LPERR03G30670 transcript:LPERR03G30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQAPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDFMQLLSAYLLMLCPAFYLCLNSFHINKNIAPVCEGAVQHIASKRFDIGGTDLTKLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQLAFEALGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >LPERR03G30680.1 pep chromosome:Lperr_V1.4:3:26355791:26356498:1 gene:LPERR03G30680 transcript:LPERR03G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAATEKLEHFKTSHVALPLGRLGRRRTTPPNFSPSLLRLAATRKAARPQGWRRQGLFSFLHRVRQPGELQGAVAVSSTCGGGSTARRGDGLQLIRGGSTARRGGGLQRRRGSARSREEEPAAGGRAGLQIWCRWGQIWRPWPGSMPRRRRWLRRATMAGGAAGACGRRAARCVPIVVYAWAAPARAGEARAGERDGDGDGLLYGGAPPRSGFPRPGPRRLGAMRRGVYCRVEE >LPERR03G30690.1 pep chromosome:Lperr_V1.4:3:26357664:26358441:-1 gene:LPERR03G30690 transcript:LPERR03G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWSRDLGGFCLLPSAVLSLAAPATFDGEDPVPRPSGARARSRLDLRRRRTTLLGSAVVGPATGASNLQSSVRAPEARRWTN >LPERR03G30700.1 pep chromosome:Lperr_V1.4:3:26377973:26382181:-1 gene:LPERR03G30700 transcript:LPERR03G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVVATFLLAAVVAAATIPSSANGGRLLEESAVDVAALAPTGLDGVFAGGLSEEVAAPAPAELDREGDLANKVPAQLELCLLPEAKHIPPPSFISLHLDSSRIPPGPRLIVVFPDAGDHLNRRQPPPPPTAAPAPPPSGELSFRVAMINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQPDDDNEKLRPIDFE >LPERR03G30700.2 pep chromosome:Lperr_V1.4:3:26377973:26382181:-1 gene:LPERR03G30700 transcript:LPERR03G30700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVVATFLLAAVVAAATIPSSANGGRLLEESAVDVAALAPTGLDGVFAGGLSEEVAAPAPAELDREGDLANKVPINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQPDDDNEKLRPIDFE >LPERR03G30700.3 pep chromosome:Lperr_V1.4:3:26377973:26380592:-1 gene:LPERR03G30700 transcript:LPERR03G30700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSARFGWLRGINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQPDDDNEKLRPIDFE >LPERR03G30710.1 pep chromosome:Lperr_V1.4:3:26388168:26389413:-1 gene:LPERR03G30710 transcript:LPERR03G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDWSTLPPELINRIALSFLDTGDLDYYIDYRAVCRTWRSATADPKTNTNPSLFRPRHWAMLDEVFQTDARLFNLPLLGRRYRLVSTVPGAADLVVAEATPPHAVRVLNPFTGAVARFAAPLPFYDGAVDARVIGVSPTLVLIAYSSSKVYFADPDSESFAVYEEAYACALIRLAVAAGRYDAAGEVGSVASILIPEAIKAVHPVAVQITDISRGNPKFLARAHGDDLLQIFKIRTAEEGGSVIFDEKVKGERLTGGGRAIVVGETRCLSINASKFASIDSDCMYYQEYSEVEYSGQIYMYSLESKETVKIGEAINSLNPIFLFEHPPFSPIQLLCSYGYEAWRFRPVWENTLQQLPRELPADMLASLSLDLGDEFEDFEDELDG >LPERR03G30720.1 pep chromosome:Lperr_V1.4:3:26391679:26392239:-1 gene:LPERR03G30720 transcript:LPERR03G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQEPQPAAAAASGGVEVTLRRFDLADVDAMMAWASDPEAAAPCRWEPYESTDPLLVYLRDTVLPHPWFRAICLATADHPVGAVSVTPTADACRAELGYVLARAHWGKGVATAAVRRVVATVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREAVLRKYCVLKGDVKDMIIYSFLSTDPLVS >LPERR03G30730.1 pep chromosome:Lperr_V1.4:3:26398186:26403530:1 gene:LPERR03G30730 transcript:LPERR03G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEPSEAKGPRKATSTPQRATSTAEAAKPSRLDRPRATATRSSPLPPPQRVAGKSPTMSAAAASATNVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARIVSGWEARGLVAEWKAMFACFDRQAGKFTDFKKEETTKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDKSSWSLSSLDGKDLGHFDYVVATDKNIASPRFAGLTGRPPPLVASQDVPVYRLTAEYASKVINNIGPRKPSADALAKVAEELFKEFQATGLNIPQPIFMKAHRWGGAFPATAIGGDDRCVWDKSVKLAICGDFCASPSVEGAVLSGMRGASKIFGRSHSQFADTVSSTFSESFTQGPN >LPERR03G30730.2 pep chromosome:Lperr_V1.4:3:26398186:26403530:1 gene:LPERR03G30730 transcript:LPERR03G30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEPSEAKGPRKATSTPQRATSTAEAAKPSRLDRPRATATRSSPLPPPQRVAGKSPTMSAAAASATNVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARIVSGWEARGLVAEWKAMFACFDRQAGKFTDFKKEETTKKYVGVPGMNSICKSLCLEDVRRHLERDDHTCCLLCLLGVVAKFGVTIGRMDWIQDKSSWSLSSLDGKDLGHFDYVVATDKNIASPRFAGLTGRPPPLVASQDVPVYRLTAEYASKVINNIGPRKPSADALAKVAEELFKEFQATGLNIPQPIFMKAHRWGGAFPATAIGGDDRCVWDKSVKLAICGDFCASPSVEGAVLSGMRGASKIFGRSHSQFADTVSSTFSESFTQGPN >LPERR03G30730.3 pep chromosome:Lperr_V1.4:3:26398186:26403530:1 gene:LPERR03G30730 transcript:LPERR03G30730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEPSEAKGPRKATSTPQRATSTAEAAKPSRLDRPRATATRSSPLPPPQRVAGKSPTMSAAAASATNVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARIVSGWEARGLVAEWKAMFACFDRQAGKFTDFKKHCGSSVRRHLERDDHTCCLLCLLGVVAKFGVTIGRMDWIQDKSSWSLSSLDGKDLGHFDYVVATDKNIASPRFAGLTGRPPPLVASQDVPVYRLTAEYASKVINNIGPRKPSADALAKVAEELFKEFQATGLNIPQPIFMKAHRWGGAFPATAIGGDDRCVWDKSVKLAICGDFCASPSVEGAVLSGMRGASKIFGRSHSQFADTVSSTFSESFTQGPN >LPERR03G30740.1 pep chromosome:Lperr_V1.4:3:26407014:26408657:-1 gene:LPERR03G30740 transcript:LPERR03G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAGAGQQLDVDKLTYEIFSILESKFLFGYDDPKLVFSGGSSPSVKGINAAAPARAAAAAAVERGKVCVLSIDGGGRAADGLLAGAALVRLEASLRRRTGDEAARLADFFDVAAGSGAGGVLAAMLVARGGDGRPMFSAEDALGFLVRSLRRGWSSSGGGGGGVRALFRRHGGGGGAAFRKVFGEMTLRDTVRPVLVPCYDLASGGPFLFSRADAVETAAYDFRLRDVCAATCAAGTSSAVEVRSCDGATRIAAVGGGLALGNPTAAAITHVLNNKREFPLAAGVEDLLVISIGSGEFDQRPTAVAAASDIVRIAAEGVADMVDQAVAMAFGHNRTNNYIRIQAMGSPPARRGGSNGARWSAAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRXXXAAAARPRPPSSSSSRRWPTAADTRRRRRRRRRTRAWSARCLPPSCSVDVQASVRDRVATGE >LPERR03G30750.1 pep chromosome:Lperr_V1.4:3:26415241:26415513:1 gene:LPERR03G30750 transcript:LPERR03G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRRLHQAYGAPHKEGKDLHEDSESSIDNKGDDPAFSFEADGAPSHHCPRPNSDETSTRTTRIHTGTGSGRTTPSNTALNDAVREPRG >LPERR03G30760.1 pep chromosome:Lperr_V1.4:3:26417414:26420491:-1 gene:LPERR03G30760 transcript:LPERR03G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGEGKGENGKYIDKMWRLVADERQLTDDEAASGAAAGGRRKRLDPGYLASEASKHALVAAVWEHDAALLGAGVRKLCAKGFSLMGDAEEEEERPRCVGCGRRVKTLYVQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKIRAYRHLLFNKLRIYSSFDKGILVLSILLHIVLDAFRISLPRDNKADSSTSTFSAIFNCGKILGYSLLGNIIFMATLLLEVQFMLKLSFDIRRCREVLLAIIISSYLKLFHMAMMVWEFPPSVILFVEISVLSSNIVALRVVTEFSKAHCFGICFMAHTARYLTERWLLGAP >LPERR03G30760.2 pep chromosome:Lperr_V1.4:3:26417414:26420491:-1 gene:LPERR03G30760 transcript:LPERR03G30760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGEGKGENGKYIDKMWRLVADERQLTDDEAASGAAAGGRRKRLDPGYLASEASKHALVAAVWEHDAALLGAGVRKLCAKGFSLMGDAEEEEERPRCVGCGRRVKTLYVQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKIRAYRHLLFNKLRIYSSFDKGILVLSILLHIVLDACIHFIYECSSSALVILKISLPRDNKADSSTSTFSAIFNCGKILGYSLLGNIIFMATLLLEVQFMLKLSFDIRRCREVLLAIIISSYLKLFHMAMMVWEFPPSVILFVEISVLSSNIVALRVVTEFSKAHCFGICFMAHTARYLTERWLLGAP >LPERR03G30760.3 pep chromosome:Lperr_V1.4:3:26417414:26420491:-1 gene:LPERR03G30760 transcript:LPERR03G30760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGEGKGENGKYIDKMWRLVADERQLTDDEAASGAAAGGRRKRLDPGYLASEASKHALVAAVWEHDAALLGAGVRKLCAKGFSLMGDAEEEEERPRCVGCGRRVKTLYVQYSPGNIRLMKCDTCKAVADPYIEISLPRDNKADSSTSTFSAIFNCGKILGYSLLGNIIFMATLLLEVQFMLKLSFDIRRCREVLLAIIISSYLKLFHMAMMVWEFPPSVILFVEISVLSSNIVALRVVTEFSKAHCFGICFMAHTARYLTERWLLGAP >LPERR03G30770.1 pep chromosome:Lperr_V1.4:3:26420558:26426406:1 gene:LPERR03G30770 transcript:LPERR03G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVAQRLDPGLSWDDSPLGGGDDAWGAGEVFGFGLLGFRFPPPRLRLRPRRDFSASSAAVVVAGLAVVSDEVTVRSGAASSRASSSGPPSHDFRGNYNGYVIRARAQILSYHIYCSSVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLNDLVEKEHGTHDHDHDHEHHDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHVIHDLSFGPKYPGLHNPLDDTTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRSTDRNAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRIIESVTNPKTRSTLR >LPERR03G30780.1 pep chromosome:Lperr_V1.4:3:26429241:26430100:1 gene:LPERR03G30780 transcript:LPERR03G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSARPAYLRPSKESPSVAALILGGVYVIYDVTKMNLTKIPTLQRPVEIAYTSQILVARRHYHD >LPERR03G30790.1 pep chromosome:Lperr_V1.4:3:26430128:26430422:1 gene:LPERR03G30790 transcript:LPERR03G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELDDKPCSSDKMYSTFVHHLHLHLHYHGSSADKKAILPDQWLANKPGFLAEETFSFDGHAFWADLMYGVLFCRCSDLLSPHHEI >LPERR03G30800.1 pep chromosome:Lperr_V1.4:3:26434776:26438271:-1 gene:LPERR03G30800 transcript:LPERR03G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPVLSDRLNPLIHKSAFDHGDSSVPSLYSGSFLETRGSRLSSGSMTSEDSPALTPRWLSIKSNSSSENHFEGSKRAISWSDRHVFDANAQVNYAEFMDLMKQEVDSQLDRLKGDVTGLENYALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLAVVFRQAREMVSSSVSDIQLENELQLEVTNITVGEFINSLQEEMEKKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLFVPSLQESHISHSKHESSGNRSNRWKYNFFGKKNKEDHSPRVEENKSFRKQKSIIVSEKSDFRHLNDNMKDVKELSSQLSEASIEMSRQLLSENDLLRQFEKIKEEYEDLRIETDVRDGLYQTVTRKLLDDSMNNMHDAARNFGTELSSLEGMISEKEKALCLSNEENRMLQEKIADLEQCLIQHNQDDPEVIKQESTEIILRDIEVAPHISPRRSHDTLKQDLQYDELVKLNSSLEIASAALKEVEKKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVAIFGDKVNAHLNLLQKIHVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQNEDDTST >LPERR03G30810.1 pep chromosome:Lperr_V1.4:3:26440096:26442703:-1 gene:LPERR03G30810 transcript:LPERR03G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAFQVAVSAPAGSDRGVRISGIQGSNNLSFGNKSWIGATLAWESKAARLRHVNKLLCMSVQQASKGKVAVKPLDLESAKEPPLNTYKPKGPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVQVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLNQYPDNFRYDKALSREQKNRNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >LPERR03G30820.1 pep chromosome:Lperr_V1.4:3:26443859:26449870:-1 gene:LPERR03G30820 transcript:LPERR03G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIAAASFAAPSPPPPSPGASSSSAAAAAAAAGGAAPGGPAGKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALAEQPSPRLLKHIIRCYLRLSENTRACQALNSCLPTALKDGTLNNFLQDDHVTRRWLQQLLHNMNMAGMAAAPHAGLDHIMGM >LPERR03G30830.1 pep chromosome:Lperr_V1.4:3:26454520:26456474:-1 gene:LPERR03G30830 transcript:LPERR03G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKPLRRIAASFEELAAVAKQPGAVMEVGSFSRACSNVSVLFGCLGIAFKFAEMDYVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNLLRVKRGIDMVKILFEQILVTEGNSLKDAASKAYAQVFAPHHGWAIRKAVAAGMYVLPTKSQLLNKLNEDESSAKVQMQNFVRASGPVILYVEDLFTSRNLGMDW >LPERR03G30840.1 pep chromosome:Lperr_V1.4:3:26459373:26460320:-1 gene:LPERR03G30840 transcript:LPERR03G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSLPVAKPHSSKPIISPSSATPLPTSTTLTSSSPATTLSLHLPELPSQVKDKILSLELMGVDYGRALSLNPTLRDASPESIHAVVTFLQSRGLHFKDLGRVFGMCPSILTSSVKSHLRPVFAFLSDDLGVPPAAAGASHRRIIVKCPRILACSVRDQLRPALLYLRRLGFRDARALAFHDPILLVSSVERTMAPKLEFLASGIGMTREDAVGMVLRCPALFTFSVERNFKPKFGYLVGEMGGGVEDVKAFPQYFTFSLEKRIAPRHRAAADAGVSLPLPEMLKATDEEFREMLDKEIELQKWKQSD >LPERR03G30850.1 pep chromosome:Lperr_V1.4:3:26461092:26468195:-1 gene:LPERR03G30850 transcript:LPERR03G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAVAEPPPTPVASSAGPSSPAMQANVASIDCSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAQSCRPWERGDLLRRLATYKPITWASKPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTSTSWSPAEVSNAGEAFAEQLDASHQNSCPWRGNSCADSLVQLQLTQSALIGGFKDRCDGLLQFTSLPVIASSAIESMRLTRNTQIDRLLSQSITFLSGELSYKADSTPGIDIQKDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFSRFAEHQKNSFSASAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVPRPCRFSPNNIDAAETGKKLALTRGISAASGINEWVTDGMERDPAAGHDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAVQDSDNPNKRSRGFDLFGSYLPSSSGAGPSRNLCFDPDVDAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSDDEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDARGIGGSSTNEGEEVLDAVTAPAFARDQLSIGVSGGSVGMGASHEAEIHGIDGSVQRAESVVGDAEPNTELTEMMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHPVGHASRMNPSLSCNAGMHTGFDVSKEEVTQAGKLLISDDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNSESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDQVGPKHKIARRASVSRSHGKC >LPERR03G30860.1 pep chromosome:Lperr_V1.4:3:26468434:26472042:1 gene:LPERR03G30860 transcript:LPERR03G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQILLSRRRLAPSRLLSESSRPFSRRIPRRLDSERDFVGDGMEEASTSASGGGDARNGGGQRAFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVIFVPVGLVCLHASNHVAEIVHRYDIDCVPNAYKRNKQDYIKDSSVSKNCTQQVKVNYHMKAPIYVYYELHNFYQNHRRYVKSRSDKQLRYGQKYTDSSCGPVERNNGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPDLPLSQQEDLIVWMRTAALPQFRKLYGVIEEDIQAEEIITMHIANNYNTYTFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLLLTILFALIHVKNPRPHGDANYLSWNRPNSNS >LPERR03G30860.2 pep chromosome:Lperr_V1.4:3:26468434:26472056:1 gene:LPERR03G30860 transcript:LPERR03G30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQILLSRRRLAPSRLLSESSRPFSRRIPRRLDSERDFVGDGMEEASTSASGGGDARNGGGQRAFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVIFVPVGLVCLHASNHVAEIVHRYDIDCVPNAYKRNKQDYIKDSSVSKNCTQQVKVNYHMKAPIYVYYELHNFYQNHRRYVKSRSDKQLRYGQKYTDSSCGPVERNNGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPDLPLSQQEDLIVWMRTAALPQFRKLYGVIEEDIQAEEIITMHIANNYNTYTFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLLLTILFALIHVKNPRPHGDANYLSWNRPNSNS >LPERR03G30870.1 pep chromosome:Lperr_V1.4:3:26477342:26481405:1 gene:LPERR03G30870 transcript:LPERR03G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGDGGGSGRSTSSKRGRGAEMVAAKAGTTTASRIYRVRATGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDSLPSLDPAAFASIPGAGGAAAAGKSGCSCTSETTTSKGSELSLSRDKEVTVASAPATATAAAASFTELLIAGGGGAAIGNGGEQRQQSWQQPEAAGGGGKGGGGGEGVAAYGGFSAGSSFGNAPPIGMVPAQPFNFSGDISHYDHLAAPAAPAAAGDYNLNFSMSSGFLGANRGTLQSNSPSSFSGHHHQQQHLQRLDGSTISFLLGHAAAAGGGGVHPAASEGQLTSSSALQLN >LPERR03G30880.1 pep chromosome:Lperr_V1.4:3:26483583:26484260:-1 gene:LPERR03G30880 transcript:LPERR03G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKDLILLDFWVSPFGQRCRIAMSIKGLDYEYREEDLGNKSDLLLKSNPIHKKIPVLIHRGHGPICESLNILSYLDEAFPKSPQLLPAAGDAYKRATARFWADYVDKKVYDCGSRLWKLKGEGKEKAAAEMVEILRNLEAELGDKEFFSGGGEVGFVDVALVPFTSWFYSYEWYGGFSVEKVCPKLAAWARRCGEVDAVAKHLTSPEKVYDFIGVLRKKFGVE >LPERR03G30890.1 pep chromosome:Lperr_V1.4:3:26486493:26489837:1 gene:LPERR03G30890 transcript:LPERR03G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPVLFKPPSGGSTSDIVEASGTAKPGEVVSAAECNVAPSINSTISRPVPPRPWQQQGHGNSYGGYGSSMYSSYGGFGGPSTGGLYGNNMYSSYGGGYGSMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKQKKDGVQGAESSSLEGPGHHFADAPKGNSSWDSVWTDDAKRK >LPERR03G30890.2 pep chromosome:Lperr_V1.4:3:26489630:26490185:1 gene:LPERR03G30890 transcript:LPERR03G30890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRSLYMVSRSSRADIQFMYTLRCIDTSRFFSSPDPPPAPSSGEIVIDRGGTLPPPRVTFSAPASDYSSGQMEIMLLARDKLLAADLSTSRSTIYGDEFGAPPINLPVHIPVHRGDDTGGSDLYLLDMANRFEALVHHKLWFGLSRKSKGNRLCACDLHDGGGGDACDTC >LPERR03G30900.1 pep chromosome:Lperr_V1.4:3:26490294:26491596:1 gene:LPERR03G30900 transcript:LPERR03G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESEEEDFWEGDWVPPVREEVFAVKKGVEVVRRSGESIGRPGLKMVKHKSVRYSIGICHDDLGPLMW >LPERR03G30910.1 pep chromosome:Lperr_V1.4:3:26492287:26496923:1 gene:LPERR03G30910 transcript:LPERR03G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPSAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLNLKNFEGLDLGKMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFVSIHLTLS >LPERR03G30920.1 pep chromosome:Lperr_V1.4:3:26506642:26507457:-1 gene:LPERR03G30920 transcript:LPERR03G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPLPAAADLSLTLAPSSAGAGAGASSACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPNSSPSSPHLPAAANLSTAASGSYPGVATSPVTAAAGAAGVGGVTGGGGFLPYAAGHGYAAMPTTTSFPITSHSSSVGLQYYAPAPAEGVTATAAAGSEGGGGGMRFATQQHVMAAVSSRRAMLAAADQPGAGMDDMIDMLNWRRGSHGPTASAAATTPSPASTTTTLTTFADGNNNAGEDEHEELDLNLSL >LPERR03G30930.1 pep chromosome:Lperr_V1.4:3:26515591:26519008:-1 gene:LPERR03G30930 transcript:LPERR03G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDDEDDEAFLLAVAATEEAALASSDSKRRRLSTTSSSSMTSVSSSPTPATPPPSATPEGPYLAALKGSHSSAWKQQQQQETLSQARKRPGGSQTLATPGSGGAQGGRGGACFKCGDSSHWARECPQSAPAAGGGGGGAFGGSGGGGGGGGNVDGGGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNFFEWCDTPSPTPANVYSNTVFQSDTLVANMLCPCGAGACLILTTKTGKNVGRQFYRCPANQGGSSCGFFKWCDEQQLRTAAPLQSSTQYHTDVASDGQISSKRSSSGCFKCGQDSHWAKDCPNQSSDLYPDKGGRTFTTASSPDACFKCGKAGHWSRDCPTANCGSGTVTSHVKSSSTLGSWNSHRY >LPERR03G30940.1 pep chromosome:Lperr_V1.4:3:26520317:26521526:-1 gene:LPERR03G30940 transcript:LPERR03G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLAMRRREARRLAAVLVQSMAYVDVKMRWKKDASFDAVPVLSHAGDLRPLVSLAGLLSPSPAPVSAVSKLRRSLETPDRRVASFLRRFPAAFVESVGPEHNHPWFRLSDPAARLLREEREVFAARRADVTSRLRRLLLMVPSHRLPLRVAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTFGDSVCRDEEDDGKELLLIDNGEDQELPKSVLEMDALRRFGSTDVVPIPLFQSKGLRLKRKIEVWMEGFQKLPYVSPYEDFSGIDRCSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYMAGGDTAIQEHPMLEVRRKYAGLMEESREIIRCRRSGKPFPSKHEDLEQIDDSEGANSAPVLS >LPERR03G30950.1 pep chromosome:Lperr_V1.4:3:26521688:26531261:1 gene:LPERR03G30950 transcript:LPERR03G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVENMLKDYEIVREGEAEIMIHKTIATNEVFYNPVQVHNRDMSVAVLRTFITKRKEEHKAIMDKRDKAHSKANQGKSSSPKGENGSTEKHDEMDVAEKEIIKVADEVKDLSTEATKTPLWKVAREVKAPVVLEALAASGLRSIRYAREIDGLGKVVALDIDKACIEACKRNMKFNGASVMSKVEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCSPNNAEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRIYTSANEVKKTPLKLSYVYQCVGCDSFHLQSVGRAVTKNNSVKYAPAFGPVVHQECSACGKKLTMGGPIWSAPIHDQEWAVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDVPLFFNLHNISANVKCTSPSAVLFRSAVINAGYRISSTHANPLGLKTDAPWHVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPNLEANFSRAAAAVSRAQANKIKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGTARPQDEKVIEPNNPTPETVGNATNEEDEPSTKRQKNGDDGLATEP >LPERR03G30950.2 pep chromosome:Lperr_V1.4:3:26521541:26531272:1 gene:LPERR03G30950 transcript:LPERR03G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPRPRIPSLPRDLARRLRRAAPILFTRAAAPASFSRCIGDLSGGHLSREVGFVGLGEMEDVENMLKDYEIVREGEAEIMIHKTIATNEVFYNPVQVHNRDMSVAVLRTFITKRKEEHKAIMDKRDKAHSKANQGKSSSPKGENGSTEKHDEMDVAEKEIIKVADEVKDLSTEATKTPLWKVAREVKAPVVLEALAASGLRSIRYAREIDGLGKVVALDIDKACIEACKRNMKFNGASVMSKVEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCSPNNAEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRIYTSANEVKKTPLKLSYVYQCVGCDSFHLQSVGRAVTKNNSVKYAPAFGPVVHQECSACGKKLTMGGPIWSAPIHDQEWAVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDVPLFFNLHNISANVKCTSPSAVLFRSAVINAGYRISSTHANPLGLKTDAPWHVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPNLEANFSRAAAAVSRAQANKIKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGTARPQDEKVIEPNNPTPETVGNATNEEDEPSTKRQKNGDDGLATEP >LPERR03G30950.3 pep chromosome:Lperr_V1.4:3:26521541:26531261:1 gene:LPERR03G30950 transcript:LPERR03G30950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPRPRIPSLPRDLARRLRRAAPILFTRAAAPASFSRCIGDLSGGHLSREVGFVGLGEMEDVENMLKDYEIVREGEAEIMIHKTIATNEVFYNPVQVHNRDMSVAVLRTFITKRKEEHKAIMDKRDKAHSKANQGKSSSPKGENGSTEKHDEMDVAEKEIIKVADEVKDLSTEATKTPLWKVAREVKAPVVLEALAASGLRSIRYAREIDGLGKVVALDIDKACIEACKRNMKFNGASVMSKVEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCSPNNAEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRIYTSANEVKKTPLKLSYVYQCVGCDSFHLQSVGRAVTKNNSVKYAPAFGPVVHQECSACGKKLTMGGPIWSAPIHDQEWAVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDVPLFFNLHNISANVKCTSPSAVLFRSAVINAGYRISSTHANPLGLKTDAPWHVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPNLEANFSRAAAAVSRAQANKIKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGTARPQDEKVIEPNNPTPETQ >LPERR03G30950.4 pep chromosome:Lperr_V1.4:3:26521541:26526806:1 gene:LPERR03G30950 transcript:LPERR03G30950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPRPRIPSLPRDLARRLRRAAPILFTRAAAPASFSRCIGDLSGGHLSREVGFVGLGEMEDVENMLKDYEIVREGEAEIMIHKTIATNEVFYNPVQVHNRDMSVAVLRTFITKRKEEHKAIMDKRDKAHSKANQGKSSSPKGENGSTEKHDEMDVAEKEIIKVADEVKDLSTEATKTPLWKVAREVKAPVVLEALAASGLRSIRYAREIDGLGKVVALDIDKACIEACKRNMKFNGASVMSKVEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCSPNNAEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRIYTSANEVKKTPLKLSYVYQCVGCDSFHLQSVGRAVTKNNSVKYAPAFGPVVHQECSACGKKLTMGGPIWSAPIHDQEWAVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDVPLFFNLHNISANVKCTSPSAVLFRSAVINAGYRISSTHANPLGLKTDAPWHVIWDIMRCWVKNHPIKEQPHDSPGTAILSKSPNLEANFSRAAAAVSRAQANKIKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGTARPQDEKVIEPNNPTPETVGNATNEEDEPSTKRQKNGDDGLATEP >LPERR03G30960.1 pep chromosome:Lperr_V1.4:3:26532185:26532559:-1 gene:LPERR03G30960 transcript:LPERR03G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRVGPTAWPGDPARSTCRNCARWSWGRAAGRTVSSGWRRRRGADGWRRGLGPDLCLLGLDLALVRWCVAVAGSEVVDRRHGPAEGSSGAGWKTWLTRLARVEAGLPRRCLVAGSGDSELR >LPERR03G30970.1 pep chromosome:Lperr_V1.4:3:26532869:26533355:-1 gene:LPERR03G30970 transcript:LPERR03G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPLEPPFETSEIDFGEPGTNGQVATQSGVEPRVRVALPTGDSGDAHAAPPPSSPPSSLRPATGKAARRLGLYHREPTPDWGPVGATSMATAGIRASLAGSTARRL >LPERR03G30980.1 pep chromosome:Lperr_V1.4:3:26535986:26539192:-1 gene:LPERR03G30980 transcript:LPERR03G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVAVAGFVAVKSHVDVAEYATNHSLLTLDLPCEINRAWGFKGDDLGLDDDVDTKWLMRRAKLQARVADPPSLSYLTLTLRGDDKEKGIFPIIHTVDNNLIIFRYQFPESTDGAYIIYDTSKKELSMIPTLARPSEVAHTTRILVARHHAAAVDDGSYSLVLMGRMAVEEDKQPVVGEEEYEPVFSMQDVLFICPSSSSSSSLSPWELIKKAVLPDDWLADKAAFAARATFSFEGHAFWADLLHGVLFCRCSDLLSDQVQTVPFDYIDLPSDTFHPHSSMVACHEAYRTMGRAGNTIKFVSVNFRGCVKRGTPKITVWCPKSWVIECILDLRKMSLQNPLTNMTAMFPIATYCLPRPCLQLIPLRARSQLQTLQAISLLPPSPLFLFLLLLLRAALAAPAAFAFPAGKWSFAAIVAKKGTAPAVSGRVQPPAGRRVSPPSAGRQLCKIDPDGKIRKVVRCSYINDKNYGEASEG >LPERR03G30990.1 pep chromosome:Lperr_V1.4:3:26542067:26545397:-1 gene:LPERR03G30990 transcript:LPERR03G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNRRALRDIKNIIGAPHQHMGCQQEGLARQTCYCVSQEPRWIRWTPSDYEEICCNTGKPTLENSSVHVDLRIYIWKLEMVNQDIANEAPIGSERQKRTADSAFHGPADMECTKIISVDLPLPMMSEMEDVMSSKLKEIEMEDAEAAPDANNSLAVVEYVDEIYRFYRRSEVHYKLELLDEPLFLAVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEFSVPVVEDLILICDRAYTRSDILEMERMIVNTLQFDMSVPTPYCFMRRFLKDAESDKKLELLLFFIIELSLVEYEMLKFQPSMLAAAAICTAQCTINGFKSKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKCNTFRYGCAAKLEPAVFLLKSVALGQSQGVLHMSTI >LPERR03G30990.2 pep chromosome:Lperr_V1.4:3:26542069:26545078:-1 gene:LPERR03G30990 transcript:LPERR03G30990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNRRALRDIKNIIGAPHQHMGCQQEGLARQTCYCVSQEPRWIRWTPSDYEEICCNTGKPTLENSSVHVDLRIYIWKLEMVNQDIANEAPIGSERQKRTADSAFHGPADMECTKIISVDLPLPMMSEMEDVMSSKLKEIEMEDAEAAPDANNSLAVVEYVDEIYRFYRRSEVHYKLELLDEPLFLAVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEFSVPVVEDLILICDRAYTRSDILEMERMIVNTLQFDMSVPTPYCFMRRFLKDAESDKKLELLLFFIIELSLVEYEMLKFQPSMLAAAAICTAQCTINGFKSKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKCNTFRYGCAAKLEPAVFLLKSVALGQSQGVLHMSTI >LPERR03G31000.1 pep chromosome:Lperr_V1.4:3:26551490:26561220:-1 gene:LPERR03G31000 transcript:LPERR03G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGRGGGGGGGGAPSPYRPAAGFTALAPPAAAASAGEVVLEVDLAPVSKKGLAHPERPGYGASGKSVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKEKERVEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVFDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNTDISATSFFKPVTVIQSVEEFLNIRDTSRPLSDRDHVKIKKALRGVCVETTHQDDQIRRYKITGITGPMSQLMFPVDESGTRMTVVQYFWDRYNYRLKYPSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKICNDLVSVPARVLPPPLLKYHDSGMEKTCAPSVGQWNMINKKMISGGTVDHWTCLSFSRMRPEEVQRFCGDLIYMCNATGMSFNPTPVVDVRSSNPNNIENSLRDVHRRSAELLRDREGRGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVKWRNTVLESAFIRNGISSVSEVPKIIFGANAIHPPPGEDSASSIAAVMASMDWPEITKYRAVQKLKAVTFSDYTTSNRKTLQILVKILDYPTFPLEIKFESSIEQLVDLTFNKLGVYMPDVSVYVNGRLIANNDAFNTLKATKALNCFIKPRLRGGCPMYDQVEYIPLEEYINDCGDSLFEVTYIPEHLQMANVPTSFTSLALPARQVVLQLFQLASNLHSKKRCLRRFQTSDLIYYKHLQCVGFKKEFMDRTFNFERVHYLNNLIDIGKVITQLFRYDPIIVDKKKPGIHVSGPGGQLPVLVKPLVKDLNNVSRLSGYTTKSRSVYPNHSGARSTCARIAFYLTLQVHGNFMLLSENKSFFDHFGTLDNALDWSDIAMLCPLMKEVLLYTFIDKSNVLRSKAYDGKSIGSWLCEEKEAEALLCLLFWTILVEARRKLVDVHQPTSSEKSGKRSFVHRQICHPTEFDFYLVCRQVNYLLEALCILHIIFQSDQFSVN >LPERR03G31010.1 pep chromosome:Lperr_V1.4:3:26573874:26581724:1 gene:LPERR03G31010 transcript:LPERR03G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHPHATAPKRPNPFSSSSTASPTSPSTAQMKKSKLPASASSSAAAPAATEKNGLHVDPAAAVRVGGRTNGEEDAEMVLADQEELPSPSASAPAGVAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSVSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLDFKASLDRILEESFSKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGRKELAVSLFQSVVLMLFNDAQKLSFLDIKDSTSIEDKELRRTLQSLACGKVRVLQKIPKGREVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >LPERR03G31020.1 pep chromosome:Lperr_V1.4:3:26581808:26596217:1 gene:LPERR03G31020 transcript:LPERR03G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYVILHDQQDGPQEKAMNILAEMKSTLGLNDCKLLCINSSTEADGVDAENSWLPYKSYGLHNQEGSCWLNTDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGQRNATRCGLWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYLLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRTYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLESMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASEADVNVSESIWQELEEEMIPSSSVVRTNWLEKSPDLRKYKDSCVCVVGEPVKVSIELRNPLQIPVAVSCISLICQHSTSLDASENSVLTTGAGEDIASTKPAISTFEDDGNNFTVSKLDIVLQGSETRSGLPKLTGCIDHLPTNVFAGDLRLLKLNLRNHSEYAVKVYVVIHVDNTHVHGPWIMVDMSKNIKMKISHPRFIIPDDLSKVDLEFPQCLRKHGQSEINTVSTKRTQEDSKVLLFSFSQDIKIQGGATFSCPIWFHAATPGNFSLYISLYYEMESPSDMTYRTLRMHYNIEIFPSLDVSFSIRMCSSRLQEYIVRMDVLNRTPSESFVLNQLSCNDSKWAISTLPLCGSVSSVETVPANQAVSCFFKIKDLGTNSCKETENSSCTSDMLLSRDGGTEEFDISRSPITDFHYQERYQQGRLAKGPCDLLDFILISKAVGGNHSKSEADIQLLSHHVCHCSALDQSPVWWFMEGPRTVIHDFSKSYCEANIQLVIHNSGQHNISVRVVTFDSVPDKSQTVNVLDSNSNQSGWYDVSLENDIKAISTAKGTHYQKQPSESIPPYVWCSLSCAQVDLKPDTSAKVPLKVCIFMPGTYNFSNYQLHWKVHSSAVGQVDENERSGGGQGHPFYVTVLQDAQ >LPERR03G31020.2 pep chromosome:Lperr_V1.4:3:26581808:26596217:1 gene:LPERR03G31020 transcript:LPERR03G31020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYVILHDQQDGPQEKAMNILAEMKSTLGLNDCKLLCINSSTEADGVDAENSWLPYKSYGLHNQEGSCWLNTDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGQRNATRCGLWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYLLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRTYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLESMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASEADVNVSESIWQELEEEMIPSSSVVRTNWLEKSPDLRKYKDSCVCVVGEPVKVSIELRNPLQIPVAVSCISLICQHSTSLDASENSVLTTGAGEDIASTKPAISTFEDDGNNFTVSKLDIVLQGSETRSGLPKLTGCIDHLPTNVFAGDLRLLKLNLRNHSEYAVKVYVVIHVDNTHVHGPWIMVDMSKNIKMKISHPRFIIPDDLSKVDLEFPQCLRKHGQSEINTVSTKRTQEDSKVLLFSFSQDIKIQGGATFSCPIWFHAATPGNFSLYISLYYEMESPSDMTYRTLRMHYNIEIFPSLDVSFSIRMCSSRLQEYIVRMDVLNRTPSESFVLNQLSCNDSKWAISTLPLCGSVSSVETVPANQAVSCFFKIKDLGTNSCKETENSSCTSDMLLSRDGGTEEFDISRSPITDFHYQERYQQGRLAKGPCDLLDFILISKAVGGNHSKSEADIQLLSHHVCHCSALDQSPVWWFMEGPRTVIHDFSKSYCEANIQLVIHNSGQHNISVRVVTFDSVPDKSQTVNVLDSNSNQSGWYDVSLENDIKAISTAKGTHYQKQPSESIPPYVWCSLSCAQVDLKPDTSAKVPLKVCIFMPGTYNFSNYQLHWKVHSSAVGQVDENERSGGGQGHPFYVTVLQDAQ >LPERR03G31030.1 pep chromosome:Lperr_V1.4:3:26598501:26599517:1 gene:LPERR03G31030 transcript:LPERR03G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRAGAGGAGANDLESGYNGGGGVEMMSAPPSAAAGASLDKFFEDVESIKDELRDLERLQRSLHDASEESKSLHDAAAVRSHRARMDADAAAAIKKAKLIKLRLESLDRANAANRSVPGCGAGTSTDRTRTSVVAGLRKKLRDAMESFSDLRSRINSEYRDTIARRYYTVTGESPDEATLDSLAETGEGERIFHRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLQVARKHQKSTRKWTCIAIVILLVLILVIVLPIVINIVNNNKKSGGSSTPAPALPSPPAPGQR >LPERR03G31040.1 pep chromosome:Lperr_V1.4:3:26599984:26602137:-1 gene:LPERR03G31040 transcript:LPERR03G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTRRAWPAAVASAVTVVALSILFASSPVAAGGSDTNGVYEPCADATVQRGDGFTFGVAFAARDDFFSGGVQLSPCDGRLSLASKGSKLAVFRPEVDEISLLTVNTSASAGGGFDPATSGGYMVAFAGRKYAARSSPVFVSNSSYTVTSFTLVFEFKKGTLQNLYWKANGCSACSGQPNFACVDQNCAISTTNCTGKGGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTNQFSIFF >LPERR03G31050.1 pep chromosome:Lperr_V1.4:3:26603380:26609708:-1 gene:LPERR03G31050 transcript:LPERR03G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISSLGLCQGKGGDAAAAARWLKSLANAAAGAHKHKGTDGNNSHAVAANSGGSCCSGSNGWWWPLASTTCIELEGDAAAAAAGMGISARWLKSLVGLRKVEKQQQQRKEDGDGGQLVQKRDAANQLHNQHCQDHDNLGAPEEFLDENGPSEGDSNALSCSEPAFSSPNVSVPQTEEELKEIWAATVIQTEFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALESQTDQQAILQEKINETYVREIEDGWCDSIGSVEDIQVKLLKRQEAAAKRERAMAYALTHQWQARQHAAIAAFQPDKNSWGWNWLERWMAVRPWESRFLGTYASDGIAVGSGARQDEENAVYTPHKKHVRRQTSTPYSNILNQTGSGASLLNRSGGSVSAKSKLKLPSKEGSDEFPSCPSGLGTWSSSNPKERTGHLDSQGNKRFSMPASGAEAGRRRTNKSVVNRSLKATKVSSALEAKHHLASSIDPMPRRIELQT >LPERR03G31050.2 pep chromosome:Lperr_V1.4:3:26603380:26609708:-1 gene:LPERR03G31050 transcript:LPERR03G31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISSLGLCQGKGGDAAAAARWLKSLVEKQQQQRKEDGDGGQLVQKRDAANQLHNQHCQDHDNLGAPEEFLDENGPSEGDSNALSCSEPAFSSPNVSVPQTEEELKEIWAATVIQTEFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALESQTDQQAILQEKINETYVREIEDGWCDSIGSVEDIQVKLLKRQEAAAKRERAMAYALTHQWQARQHAAIAAFQPDKNSWGWNWLERWMAVRPWESRFLGTYASDGIAVGSGARQDEENAVYTPHKKHVRRQTSTPYSNILNQTGSGASLLNRSGGSVSAKSKLKLPSKEGSDEFPSCPSGLGTWSSSNPKERTGHLDSQGNKRFSMPASGAEAGRRRTNKSVVNRSLKATKVSSALEAKHHLASSIDPMPRRIELQT >LPERR03G31060.1 pep chromosome:Lperr_V1.4:3:26615860:26619241:1 gene:LPERR03G31060 transcript:LPERR03G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVSKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLDELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPGCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTEALCGFQFVLTHLDNRQLLIKSKPGEVIKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVDFPDSLSPDQCKALEAALPPKPVSQYTDMELDECEETMLYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >LPERR03G31060.2 pep chromosome:Lperr_V1.4:3:26614626:26615939:1 gene:LPERR03G31060 transcript:LPERR03G31060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNGGGGEGRRISPVFRVSGVGGRRGSPMRLERWPDDGRTDGRRRARVCGEAKAAPEPRGVRRRWTGRRRGQAILGSLARKKPRGQADSYKFSPKLGSPLKKENLLTGRFPSHRTRTRTRTKPNRSQVNTAATQHGASLPFPRTRSIPLPPRPFAGTPRKDLEAPFSTVRFPSDGPRAPASASRSFQSIHSWPATRFAAITTHPDLFLSSSLRRNRFQPETTLLAGSSS >LPERR03G31070.1 pep chromosome:Lperr_V1.4:3:26623015:26623617:1 gene:LPERR03G31070 transcript:LPERR03G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAPPAAPGMPDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRTPVTASDAAAAGAAGSSRRHRGGVREGREHDGEVVDVELGIDEATLKGYPEVVYGGEEAKAAAAKRNKKGATTCSCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEVTPLAMARPS >LPERR03G31080.1 pep chromosome:Lperr_V1.4:3:26626559:26629555:1 gene:LPERR03G31080 transcript:LPERR03G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGRRLLVFLAVAAALPLLLLPASVVATDVEYCRQGRNYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFLHVHSESHDLCDETSCPVTGEFVLAHEQTLPSFTPPGSYTLTMKLLDDGNKELTCISFGFSIGFISPLALI >LPERR03G31090.1 pep chromosome:Lperr_V1.4:3:26630431:26637920:1 gene:LPERR03G31090 transcript:LPERR03G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSPAELAKQYMSSRYLKENQSSSLRSQLLLKNKAEASGIAYDRRSGGPFVQELNRFSNENSELPVNGYVTPGLRGRSAIYRMSRSPYFKGPSSSNDMNMSPYSSSHTQAHSLVSGGRQVLKRRGADLDNELGSIGPIRRIRQKSNMMSTFRDARSSPQGNFVPNRTIGSDLTDGSSPVKDSPSSKRLLLGTGQSVQPAEARKKDEAGKISSDNVLVASPQSNKMAEKIFEQLNIIAPSPKEKQSLSQFAAGNASNTMSKQPIRPGNDLNGVSDPTSSQNFQPMDRNKRSLDPELNGSPSKDTLRKDGSSKLLSHSFKNLGSKDIPVNVAPSSVPAATSGKPGFKMAVFEDLSEFDDDQEAPVPSKNLMGKTEVKTIDKKFDLKIKEQKVQPNILEQKVESNSAQKVVSSPVSEKPIAPSGTLPESTLSQVPLTTNKDDKLISGSTSMFGFKQSSTLDSEPTNVKTEARLGESVTKPAALDSTNLGRGNERERAEDVQKSSDKVLPSAAPFHFASSASTTVGLSNGFSLSSSPKSSNVTPSDKPAVSLPQSTTPATFALSSSSPPISSPVPAIPTFNFGSSTSMVASTKSDGTNTEAKPASTLLFGSGGAIAETKSTPQDTTNKASPLLSTTPISNVSSSPGTSSTTSSSAAPFTFSSSGNSIFGFNSPAQPTGLSTSAGGSTTQPSATSTIFGSKLPESESTMSQPSKSSPVQFSSPFPTVVSAAGASSSGSGSVSFGVGIASTGSGTTAFGIGTSSSEPSTVPFGSGTSSSGPGALSFGAGAGTNSSSGPGTMSFGVGASSGPGTVSFGAGAGTISSSGPGTVSFGVGASSSGPGTISFGVGASSSGPGTVSFGVGASSSGPGTVSFGAGTSSSGPGTMSFGAGSSSSGPGTVSFGVTSSSSGSLFGNSPFAFSSPSTSAASSLTMASTSMFSSSSTPSSSPAFSNPFSSSSSPSSMFTFGQSSSSGGGFSFGAQSSPASSGGGFSFGAQSSPAFSSQTPPFSFTSASAGMNSSSPQPAFGMTNTNTAFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPGSSPAAPVFGAPAAQSSGVFQFGSQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSNRRVIKVKRTAKKR >LPERR03G31100.1 pep chromosome:Lperr_V1.4:3:26639178:26642778:1 gene:LPERR03G31100 transcript:LPERR03G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKQARPDGGAGDALPDPNSGRLPPPPPPPSAPVSVAPEPIKIIPTSANSGEKESSKSSDSGEVRPRPKVPAVKRVSSAGLLVGSVLKRKTENLKDKYSIGRKLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTEDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQTEEAALKTIDFGLSIFFRPDQTFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGNLDFQSEPWPSISEGAKDLVRRMLVRNPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDADNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEKEDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDQDNDGRIDYNEFVAMMQKPTMGLPAKKAGGLQNSFSIGFREALRMS >LPERR03G31110.1 pep chromosome:Lperr_V1.4:3:26643772:26646740:-1 gene:LPERR03G31110 transcript:LPERR03G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALLFFFALLLASAAAETAPEQPTLPSTPVAGGDSAGASTGTAAGAVVAGGGGGGGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWLAAASHPTLSGEEVELAAAAAADGTTMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLADISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYTDGRIAVQGIDAVLFPPDDSKMAPDTAPVRRAPAVTGSRKSKLRRGKLLEATCQMAGILGQRSRFASCQ >LPERR03G31120.1 pep chromosome:Lperr_V1.4:3:26646975:26650639:-1 gene:LPERR03G31120 transcript:LPERR03G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTNEAVPGGSMHASSGKDGDYDQSPAFGSACSFAALAATGEDMCGAWHSKLCRASSLTLSFSLPLE >LPERR03G31130.1 pep chromosome:Lperr_V1.4:3:26652368:26653672:-1 gene:LPERR03G31130 transcript:LPERR03G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVCVVLFFVLLLSRASARTAPEEEKPTLPSSAGVGGGNGGGGIISNSVLVALLDSHYTEMAELVEKALLLPKLESAVAEHNVTILAPRNDALERDMNPELKRFLLEPRNLKSLQSLLLFHFLPSRLPSASWRLAAASHHPTLAGEEVDLAAAHAAVTRPDAVVKPDGVIHGINRLLVPRSVEDEFNRRRSLAGISAMPPTAAPEVNNPRTHPLNPPPPGDSAVLPFSDAIIPNPSIAQACEPSDHYDGHTQVRDFIHTLLKYGDYNELADILVNLTSLATEIAQLVTEGYALTLLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYADGRISVQGIDNVLFPPDDKKASPDIARVRRASVITGTSKVKLPRGELIS >LPERR03G31140.1 pep chromosome:Lperr_V1.4:3:26654943:26657241:-1 gene:LPERR03G31140 transcript:LPERR03G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILECQELLEAVEQERNMRANRSNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGAHNRPQIVVFSRNEGIPGGSMHASSGQDGDHEQTPAFGSGRPFATLAAADQGHMSGGSQLSVSHSDQAASNPSLHDQIEMSRYFRQHMILYLHAIPYKRFTPDMSMLIRASENQSTPVDQDTAGPSDLQSFSDTLRSRLQSASTKYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETRDDTGPSATPAASACSPSDANNQRMVSPNHATAVNDTSSTTCASGSGSQ >LPERR03G31150.1 pep chromosome:Lperr_V1.4:3:26660772:26664609:-1 gene:LPERR03G31150 transcript:LPERR03G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGRNGFFANVTLWRPAARAADAAPPALPPPPSDQAPEPVTISPSEHSSHHSSRSGAADDPQTPNAAAEQQPPPAKKPAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIDQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNPMGKMGQHSTANLGLGEALKLRCRSVKLPFQKVTIIQHENIPISNLHGTTSKVLDLSRKAITCLSIKISYGSIP >LPERR03G31160.1 pep chromosome:Lperr_V1.4:3:26667874:26668350:1 gene:LPERR03G31160 transcript:LPERR03G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVSMPSSSTSRRGGLLGPRPMPLKVSSSPSSSSAAAKLPSKKAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPASASSSPPLMMPATMAEEAATAAACGAGGDPLLLTLGQRQAAPAAAAAVASPMMAAGMLMSPGFIFSPNTMQSIQELSPLF >LPERR03G31170.1 pep chromosome:Lperr_V1.4:3:26670295:26672805:-1 gene:LPERR03G31170 transcript:LPERR03G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGEPSPSSSSSSSRRSVKRRPPARSPELSPKAVGGGEAPADLIRRLEELEEAAARLRGEKEAAEEAARGLQAELEAERASAETATSEAMMMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDEDEEHRFEVDEEEVEQIDAAAVEAAGSSGDSSSGMQVKAMVDDDDEEEEQFTMVNGDGEEEQFTPVEKEFEYTVDVRCASSTTKVSRAVVVGDFVGESNAGGLYARVEALEADRVAMQREIAALREERAQLVMAKAMARRLCWEVVAEQKAIRKKVAAQARSFSVLGVCKVYLRLVNYIHWLPTATGQIHHVESLASPA >LPERR03G31180.1 pep chromosome:Lperr_V1.4:3:26676682:26677695:1 gene:LPERR03G31180 transcript:LPERR03G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPAAPYVVEDCGRNLQLLSDGTVIRFEDYNTLPPPALPPALSAVQYKDVVYDATRGLKLRAYKPPPPLAGGEKKKLPVVVYFHGGGYLIGSYEMDNFHACCLRLAHDLPAVVLSADYRLAPEHRLPAAHDDAATVISWLRDDQAAWLAEWGSADFDRVFVAGDSAGAGIVHHVAVRLGSGQLPVDRNAMRVAGCVMLFPFFGGEERTRSEAENPPGPYLTLPSSDQAWRLALPRGATRDHPLANPFGPGSPAMEAVAMPPLLVVVAQLDLLRDRGVDYVARLRKMGKSVEVVEFEGQDHGFFAVEPFGDAADELVRVVRRFVHGDGADVSNKS >LPERR03G31190.1 pep chromosome:Lperr_V1.4:3:26680849:26688375:1 gene:LPERR03G31190 transcript:LPERR03G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNLRDGFHPVQRRLDGFHASQCGFCTPGMCVSIFSALANADRGGQSPPPPPGFSRLTAAEVEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKKGDADVSKMPAYSGDAGAVPAFPEFLKSEIRSSVGGAVTGDGWFHPKSVEEFNRLFDSNLFDEMSVKIVASNTGSGVYRDQDLHDKYINISKIPELSAINRSNKGIEIGAVVSISKTIEILSDGDSVFRKIADHLSKVASPFVRNTATLGGNIIMAQRLPFPSDIATVLLAAGSTVTMQLGSKRMCLTLEEFLEQPPCDYRTLLVSISIPDWGSDDGITFETFRASPRPLGNAVSYVNSAFLARSSVDAASGSHLIEDVCLAFGAFGAKHAIRARKVEEFLKGKIVSAPVILEAVRLLKDVVSPVEDTTHPEYRISLAVGYLFRFLSSLTNGLDGPENAIAPNSSYTNGTANGSAEFLPEKHSKDDCSDLLMKSRQELIFSDEYKPIGKPIMKAGAELQASGEALYVDDIPAPKDCLYGAFIYSSHPHAHINDVNFRSSLASQKVITVITAKDIPTGGQNIGSSFLMLGDEVLFADPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSNENLQPPILTIEDAVQHNSYFEVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCVTIYVSTQIPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKGMKAIHVAAACAVAAFKLRRPV >LPERR03G31190.2 pep chromosome:Lperr_V1.4:3:26680849:26688739:1 gene:LPERR03G31190 transcript:LPERR03G31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNLRDGFHPVQRRLDGFHASQCGFCTPGMCVSIFSALANADRGGQSPPPPPGFSRLTAAEVEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKKGDADVSKMPAYSGDAGAVPAFPEFLKSEIRSSVGGAVTGDGWFHPKSVEEFNRLFDSNLFDEMSVKIVASNTGSGVYRDQDLHDKYINISKIPELSAINRSNKGIEIGAVVSISKTIEILSDGDSVFRKIADHLSKVASPFVRNTATLGGNIIMAQRLPFPSDIATVLLAAGSTVTMQLGSKRMCLTLEEFLEQPPCDYRTLLVSISIPDWGSDDGITFETFRASPRPLGNAVSYVNSAFLARSSVDAASGSHLIEDVCLAFGAFGAKHAIRARKVEEFLKGKIVSAPVILEAVRLLKDVVSPVEDTTHPEYRISLAVGYLFRFLSSLTNGLDGPENAIAPNSSYTNGTANGSAEFLPEKHSKDDCSDLLMKSRQELIFSDEYKPIGKPIMKAGAELQASGEALYVDDIPAPKDCLYGAFIYSSHPHAHINDVNFRSSLASQKVITVITAKDIPTGGQNIGSSFLMLGDEVLFADPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSNENLQPPILTIEDAVQHNSYFEVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCVTIYVSTQIPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKGMKAIHVAAACAVAAFKLRRPV >LPERR03G31190.3 pep chromosome:Lperr_V1.4:3:26680770:26688375:1 gene:LPERR03G31190 transcript:LPERR03G31190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNLRDGFHPVQRRLDGFHASQCGFCTPGMCVSIFSALANADRGGQSPPPPPGFSRLTAAEVEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKKGDADVSKMPAYSGDAGAVPAFPEFLKSEIRSSVGGAVTGDGWFHPKSVEEFNRLFDSNLFDEMSVKIVASNTGSGVYRDQDLHDKYINISKIPELSAINRSNKGIEIGAVVSISKTIEILSDGDSVFRKIADHLSKVASPFVRNTATLGGNIIMAQRLPFPSDIATVLLAAGSTVTMQLGSKRMCLTLEEFLEQPPCDYRTLLVSISIPDWGSDDGITFETFRASPRPLGNAVSYVNSAFLARSSVDAASGSHLIEDVCLAFGAFGAKHAIRARKVEEFLKGKIVSAPVILEAVRLLKDVVSPVEDTTHPEYRISLAVGYLFRFLSSLTNGLDGPENAIAPNSSYTNGTANGSAEFLPEKHSKDDCSDLLMKSRQELIFSDEYKPIGKPIMKAGAELQASGEALYVDDIPAPKDCLYGAFIYSSHPHAHINDVNFRSSLASQKVITVITAKDIPTGGQNIGSSFLMLGDEVLFADPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSNENLQPPILTIEDAVQHNSYFEVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCVTIYVSTQIPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKGMKAIHVAAACAVAAFKLRRPV >LPERR03G31190.4 pep chromosome:Lperr_V1.4:3:26680770:26688739:1 gene:LPERR03G31190 transcript:LPERR03G31190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNLRDGFHPVQRRLDGFHASQCGFCTPGMCVSIFSALANADRGGQSPPPPPGFSRLTAAEVEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKKGDADVSKMPAYSGDAGAVPAFPEFLKSEIRSSVGGAVTGDGWFHPKSVEEFNRLFDSNLFDEMSVKIVASNTGSGVYRDQDLHDKYINISKIPELSAINRSNKGIEIGAVVSISKTIEILSDGDSVFRKIADHLSKVASPFVRNTATLGGNIIMAQRLPFPSDIATVLLAAGSTVTMQLGSKRMCLTLEEFLEQPPCDYRTLLVSISIPDWGSDDGITFETFRASPRPLGNAVSYVNSAFLARSSVDAASGSHLIEDVCLAFGAFGAKHAIRARKVEEFLKGKIVSAPVILEAVRLLKDVVSPVEDTTHPEYRISLAVGYLFRFLSSLTNGLDGPENAIAPNSSYTNGTANGSAEFLPEKHSKDDCSDLLMKSRQELIFSDEYKPIGKPIMKAGAELQASGEALYVDDIPAPKDCLYGAFIYSSHPHAHINDVNFRSSLASQKVITVITAKDIPTGGQNIGSSFLMLGDEVLFADPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSNENLQPPILTIEDAVQHNSYFEVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCVTIYVSTQIPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKGMKAIHVAAACAVAAFKLRRPV >LPERR03G31200.1 pep chromosome:Lperr_V1.4:3:26690563:26699520:1 gene:LPERR03G31200 transcript:LPERR03G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGKTASAIIMTLRGPALPAEWQAGVDLLVDVGGVGPGPTLINFIYEEDRKMAVIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRSIILCSWDAEEFGMIGSTEWVEENLEDLHSKAVAYLNVDCAVQGVGFFAGSTPQLDNLLVDVTRQVKDPDVEGKTVYDTWNKMNEGIDIERLARTDSDFATFLHHAGIPCLDLYYGKEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLLALRLADDPVLPFDYQKYASQLQEHANAFSAMMGNSKLANLMNRSVDDLSNAAMEVLKEAMDLDTADGYALVRRRLLNDRLLLAERSFLQTDGLEGRQWFKHLLYSPPEDYESKFSFFPGVADAISRSSNRSAKERRAAVQHELWKICRSIQRAANVLRGEFSYRNESVNSSVSVAP >LPERR03G31200.2 pep chromosome:Lperr_V1.4:3:26699376:26700322:1 gene:LPERR03G31200 transcript:LPERR03G31200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEEMEELAHGSSSGGVSRRCAACKFLRRQCSRDCVLAPHFPASDPHRYACVQRVFGAGNIARMLQHLPVQERGRAADSMAAEAYRRVQDPVYGCAGVINRLQDDIRAAQCELAWTRAQIAMQGAAAAHAREATTAQRQRDGGGVAAGAPTPPPQQQQATASDAAWQLDEYLDSGFPFP >LPERR03G31210.1 pep chromosome:Lperr_V1.4:3:26701151:26707824:-1 gene:LPERR03G31210 transcript:LPERR03G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTSFSASSCLTLLGSLQHCAVTTSEGIGNLRNGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRGGQSPPPPPGFSRLTAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKKGDADVSKLPAYSGDAGSVAAFPEFLKSEIRSSVGGAVTSDGWFHPRSVEEFNRLFDSNLFDEMSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAVNRSSKGIEIGSVVSISKAIEILSDGDAVFKKIANHLSKVASPFIRNTATLGGNIIMAQRLSFPSDIATVLLAAGSTVTFQLGSKRMCLTLEDFLKQLPCDHRTLLVSISIPDWGSDDDITFETFRAAPRPLGNAVSYVNSAFLARSSVDAASGSHLIEDVCLAFGAFGAKHAIRARKVEEFLKGKLVSAPVILEAVRLLKGGVSPAEGTTHPEYRISLAVSYLFRFLSSLSNGLDEPENAIAPNSSYTNGTANGNGSAESSPEQHSKVDSSGLPIKSRQEMIFSDEYKPVGKPIEKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSALASQKVITFITAKDIPTGGENIGSCFPNLGDEALFADQVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLPPPILTVEDAVQHNSYFQVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITIYVSSQIPEVTQNTVARCLGVPYHNLRRPVRMYLDRKTDMIMAGGRHPMKVKYTVGFKSDGKIMALHLDLGINAGISPDVSPAMPAAIVGALKKYNWGALAFDIKLCKTNLSSKSAMRAPGDAQGSFIAEAIVEHIASALSVDTNAIRRKNLHDFESLKVFYGASAGEASTYSLVTIFDKLASSPEYQQRAAMIERFNGSNKWKKRGISCVPITYDVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGELCDDGSEGLLDKIRVIQADSLSMIQGGFTGGSTSSETSCEAVRKSCAVLVERLKPIKEKAGTLPWKSLIAQASMASVQLTEHAYWTPDPTFITYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNSDGLVIHDGTWTYKIPTVDTIPKQFNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAGAVGTGPAGGSPLTFQMDVPATMPVVKELCGLDVVERYLESFPAKA >LPERR03G31220.1 pep chromosome:Lperr_V1.4:3:26713923:26716275:1 gene:LPERR03G31220 transcript:LPERR03G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSITLAIFVIVVIVAVAGLLPATASAKAHRVGDDFGWDNCIDYDAWADGKRFKVGDTLEFLYAEGVHNVVVVEDEGSFEACVAPANSPTLSTGDDTVALNQPGRWLFICSFDGHCQSGMKLAVTVTH >LPERR03G31230.1 pep chromosome:Lperr_V1.4:3:26716405:26717302:-1 gene:LPERR03G31230 transcript:LPERR03G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSLSHMPTHPNPPLPSGLPTSHPHGGAYRRFRHRRCPSSFTHPSSSLGLWATDAGVRQWVGSGTVLPSTPHHFWWIQILVEENTLGAGSGAAMGKRSSPSSQADDQVGTEIRRWWQPTCRLQRCHLKSLWRHTSSLAPDVAIAACSEANHLWGTPPLRIQHFKEYYKELRVVCEDRNDFTTILQKPLPVTFYDQWHVMLVALKWNNASCISVMQHIISLI >LPERR03G31240.1 pep chromosome:Lperr_V1.4:3:26719734:26721067:-1 gene:LPERR03G31240 transcript:LPERR03G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLALAAAILALAVLLPVRAAATEHLVGDDNGWVLNFDYAAWVATKQFRVGDTLVFKYKKPNHTVVEVGGADFANCTKPATANELGSGEDRVALDKEGRRWFFCGVKDHCQKNMKLKITVLAAGALAPEAPPPPPPSSPAGKVQPRVAHAVAAVIAGAAAMLVL >LPERR03G31250.1 pep chromosome:Lperr_V1.4:3:26727418:26729659:-1 gene:LPERR03G31250 transcript:LPERR03G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFQYPYDSCINQSYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFEKVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQLLIYGMHNPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >LPERR03G31260.1 pep chromosome:Lperr_V1.4:3:26740974:26747839:1 gene:LPERR03G31260 transcript:LPERR03G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSHVMAIVFLAALLPASSPSPSPPPSSSSPAPPLQYSQTWTLFKIQQMLNHPPMLSHWRRTTDFCGGGGTSAPSAAVVCYGDTVTQLHIAGERGSPPLPMNFSIGALVLALSRLPDLKVLTLSGLGMWGPLPDKIGRLESLEIVNVSGNYLYGGVPAGIAGLASLQTLVLDDNLLAGEIPSWIGDMPSLAVLSLRNNSLSGAVPSSLSRMASLRSLVLASNNLSGNLPDLGMLENLQVLDVAGNALGPAFPAIGRKVVTVVLSRNRFAGGLPADELASLYLLERLDLSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGENLRFVDLSSNLLTGSEPACLRPEPEKKPATVVLVNANCLDIAGDGDTSSQQHPSPFCQNQALAVGITHGKTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQTSLNFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWSQRISAAIGVANGIQFLHGGMMPGLYGNNLKINNVLLDQNHVAKISSYNIPILGEAMKSEGGAGSKHQAESPMLSDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELLLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAMQRPSIEDVLWNLQFAAQVQDDWEGDNHSNEGSLVSSSSRVTKSSRFQNEQMTRSGREKEGEVSSARGSVWLQAATEDGNFETACNTGRRQAEDERY >LPERR03G31270.1 pep chromosome:Lperr_V1.4:3:26745161:26747637:-1 gene:LPERR03G31270 transcript:LPERR03G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >LPERR03G31280.1 pep chromosome:Lperr_V1.4:3:26753529:26754992:1 gene:LPERR03G31280 transcript:LPERR03G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCARTLVAFVHIQIAKEYASMMKNHHLIYYMTLLRSASIEPSRSCVWIGTQPGRRIGSIASWLMLLVEWAADGGSECDEGEVDDIRQISPPLKQRFLLVLLQVQKTL >LPERR03G31290.1 pep chromosome:Lperr_V1.4:3:26758257:26763384:1 gene:LPERR03G31290 transcript:LPERR03G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALASSPIVHLTASRLRLPRPTLASASASERTRSGSFSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAVQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISLAGPLAGAALSFSMFFVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYSAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >LPERR03G31290.2 pep chromosome:Lperr_V1.4:3:26758047:26763384:1 gene:LPERR03G31290 transcript:LPERR03G31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALASSPIVHLTASRLRLPRPTLASASACCLRGGEWRSKVVGMRALRRCEDRMRRFSIDGDGGGGGGEDGEKRSEEEKAAAGAAVEAKVGEAAEDMRSERTRSGSFSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAVQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISLAGPLAGAALSFSMFFVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYSAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >LPERR03G31300.1 pep chromosome:Lperr_V1.4:3:26763924:26767944:1 gene:LPERR03G31300 transcript:LPERR03G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAGGGGEASPPHAAGSGLAGAGSTRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDSQEGVDVICNVAGEKFHAHKLVLAARSSFFRSEFFEHESDEEKNEVDTSNEIKEIVINDMEPKVFKAVLHFMYRDDLVSDDELSASSSDCSIFDSLAGKLLAAADKYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFVYLKDHCPSLQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >LPERR03G31310.1 pep chromosome:Lperr_V1.4:3:26767702:26770933:-1 gene:LPERR03G31310 transcript:LPERR03G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALVKQMFGAGAEKVKMENKTWKIDTGSRTIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLILNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEEQIVQVLEFIGKKENLSLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFASNQVAPPLDWEQYVSEIAADVMKEQSPKRLYAVRQKFYELLVNCIPPETILKVEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSSFG >LPERR03G31320.1 pep chromosome:Lperr_V1.4:3:26773805:26776513:1 gene:LPERR03G31320 transcript:LPERR03G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAVLVMVVAMAAAAEALGMNWGTQATHPLPPKIVVQMLKDNGIKKVKLFDADADTLSALAGSGIDVMVAIPNVMLDTITDYDSAKDWVRRNVSRYNFDGGVSIKYVAVGNEPFLASYNGTFDKVTLPALMNIQNALNEAGLGDVIKATVPLNADVYNSPADSPVPSSGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLFLNDDFPVDFAFFDGGATPVVDTGNGITYTNVFDANFDTLLAALKAVGHGDMPVVVGEVGWPTDGDKHATAVYAQRFYAGLLKHLAANVGTPLRPGQYIEVYLFGLLDEDAKSVAPGNFERHWGILRFDGQPKFAVDLTGQGQNTMLVPAKDVTYLSRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDSNGNASYAFNAYFQVQNQRDDACDFQGLAMPTQTDPSTPACNFTIQIAATSAGHRLFCRKGRRLG >LPERR03G31330.1 pep chromosome:Lperr_V1.4:3:26777142:26784193:-1 gene:LPERR03G31330 transcript:LPERR03G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKCPDGGETSSSCGAGASAACVNGCGFFGSAVTKNMCSKCFEEHVLASAIAEYSGVVATKKAAATATTTREQTPFFAFAKKPRMSADVDKKTPFLAFPTPEKKTTMMMSSVAAVASPSLPATGEKTSAAVTDANKKQTPFFALPTPEKKKTTMMMMSSVAAVAETPSSLPATAANRCARCRRKVGLSTAFKCRCGGIFCGGHRHYETHSCSFDYKRAGREQIKRQNPLVGRLRHSTKVVAHGDWHSQQPKSTPQISNYPSQLEIWCERNARIFRSKAMTPTSIIAKIKEEAIVWVKAGAIKVQLVLHTMAQESWKKEADETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPLVPSSYTPLVAEVTTDGGSGSVADGKQVMEEDDTPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPLVKADKITKI >LPERR03G31340.1 pep chromosome:Lperr_V1.4:3:26787430:26795318:1 gene:LPERR03G31340 transcript:LPERR03G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESGDKLAAAEDESATTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRNSKGCNYGPPYEWQVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASKWKETSSGHHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISSVASSANFWALIMDAGTGFCSQVYELSHVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTAYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICFGRTVC >LPERR03G31340.2 pep chromosome:Lperr_V1.4:3:26787430:26795318:1 gene:LPERR03G31340 transcript:LPERR03G31340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESGDKLAAAEDESATTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRNSKGCNYGPPYEWQVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASKWKETSSGHHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISSVASSANFWALIMDAGTGFCSQVYELSHVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTAYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICFGRTVC >LPERR03G31340.3 pep chromosome:Lperr_V1.4:3:26787430:26795318:1 gene:LPERR03G31340 transcript:LPERR03G31340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESGDKLAAAEDESATTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRNSKGCNYGPPYEWQVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASKWKETSSGHHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISSVASSANFWALIMDAGTGFCSQVYELSHVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTAYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICFGRTVC >LPERR03G31340.4 pep chromosome:Lperr_V1.4:3:26787430:26795318:1 gene:LPERR03G31340 transcript:LPERR03G31340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESGDKLAAAEDESATTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRNSKGCNYGPPYEWQVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASKWKETSSGHHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISSVASSANFWALIMDAGTGFWTAYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICFGRTVC >LPERR03G31350.1 pep chromosome:Lperr_V1.4:3:26798345:26803547:1 gene:LPERR03G31350 transcript:LPERR03G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKNKANDFNADYDASQGRNGHGGEWRTSCSEREAGTAKKSRTGMVDRLSKKNADCIRRGRTEPDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNRNPGAISYGGYHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSRSLRVEGNHMVSQPRLDRRFSVCDPVSLGGRPSDFDGNFPCVGSPDDEYIEYGAYFSPFPYGYGASIPMEEINEQPNSSRYCLVASKQMVGIFLTIWVRSELRDDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDVKSPETILEHECDRILWHGNGLIQLSYVRGESRFSDHRPVYSIFMAEVEIVRQRRRMGYFSSRVEVEELLPYSYSFGDTKFY >LPERR03G31360.1 pep chromosome:Lperr_V1.4:3:26806711:26808794:1 gene:LPERR03G31360 transcript:LPERR03G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATSPLLATALLLFFSLCIILSHADADAGGGRPYHFGEESFRHWTRTRHGRFSVLERFSGEAIDGAVGDYRLAVLEAAPRAFLQPSHYDADEVFFVKEGEGVIVLLRGNGRRESFCVKEGDAMVIPAGAIVYSANTHDSKWFRVVMLLNPVATPGHFEEYFPVGVGRPESYFDAFSEDVLQAAFNTPREEWEKVFERKQERGEITTAPEEQIRELTKSCSRSGYSGRSGSDWEIKPISLTGKRPSFSNSHGKMFDIDGDDSRHLRKLDMLGRGIFEMACPHKSGEEKSERHGRRREEWGRGEEEEEEKARRYKKVRAEIREGSVVVIPAAHPATLVAGEGENLAVLCFGVGANYDEKVFLAGRNSLLRQIDDAAKALVFGGGSPAAARDVDRLLAAQPEQVFLRGPHGRGHVSDM >LPERR03G31370.1 pep chromosome:Lperr_V1.4:3:26809686:26810652:-1 gene:LPERR03G31370 transcript:LPERR03G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRASGLAVACLMAATAALLAAAASAQTTGCTAALINLYPCLNYISGNETSPTRTCCSQLASVVQNQPQCLCAAISGDSSSSIAGVTIDKARALELPKACNVVTPPASRCNASGGNAPGAATTTSPATQTAGSTGAGAGVGSKTTPTAPYLINGAASLRGAMGLVLALAAVAVYTV >LPERR03G31380.1 pep chromosome:Lperr_V1.4:3:26811881:26812738:-1 gene:LPERR03G31380 transcript:LPERR03G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAAMAVLAVVVVSPARGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVKTGTDCACLILTGNVPFGLPINRTLAISLTRLCNSMSVPLQCRDTAAQIPPPAPSPPESSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSLAWRRAHVSMASVSVVILIAASILA >LPERR03G31390.1 pep chromosome:Lperr_V1.4:3:26813230:26814032:1 gene:LPERR03G31390 transcript:LPERR03G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAARCDAVIGIVLAVATALMACRCAAAADSGDGGGGTGCMPELVSLTPCMGYMSGNATAPAAACCSALSGVLRTSPRCLCMVLGGTAASLGVAVDAARAVALPGSCGVKAPPASQCDAAGAPVSSPASPTTSGGTPATPTMTPGSKSTQYSDCNVNSSRMILVIFVVAIILLFNNY >LPERR03G31400.1 pep chromosome:Lperr_V1.4:3:26816037:26823864:1 gene:LPERR03G31400 transcript:LPERR03G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQEPQAVAPTASGGVEVTLRRFELSDLDAMMAWASDPEVAAFCRWEPYDSTDHLLAYLRDTVLPHPWIRAICLAAAAAGDDDRPVGAVSVTPTADACRGELGYVVARAHWGKGVATAAARRAVAAVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREDVLRKYRVIKGDVKDMVIYSFVSTDSLTTAGREEEEAHPMEVTLRRFELSDLDAMMAWASDPEVAAFCRWEPYESTDHLLAYLRDTVLPHPWFRAICLAAAGDERPVGQVSVWPYADEGGQELGYVLARAHWGKGVATAAVRRVVATVFGEGVATAAARRAVAAVFGEVEGLERVEALVDVKNAASQRVLEKAGFRREGVLRRHYWHKGRVRDLVMFSFISSNPLPNTAGSKAAKSETAKG >LPERR03G31410.1 pep chromosome:Lperr_V1.4:3:26819710:26819970:-1 gene:LPERR03G31410 transcript:LPERR03G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIADPPVVSALAITCSGDGGHRLLPYSAGVEVADRNLLILTANFHGLSEFYYLIYNSTDASLTITPHLPIMYNPSFTLKPLPIRH >LPERR03G31420.1 pep chromosome:Lperr_V1.4:3:26819986:26820990:-1 gene:LPERR03G31420 transcript:LPERR03G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMAGGLPAWVVLDRSVHPVEETVGEESECASPTPHTKNLFRLRLISNSNSDRTSAKPYQSTPPTTMATAAGLPAWIVLDRHVHPVELSSSSAAAADWAVLECKEWKASGCELSGEAIDGEALPW >LPERR03G31430.1 pep chromosome:Lperr_V1.4:3:26824337:26829382:-1 gene:LPERR03G31430 transcript:LPERR03G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFNGGDAIDPRSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQGFMWDEEKVNNELKTYMTRGFRDVKEMCKSHNCDLRMGAFTLGVNRVARATNGTAGFVVICG >LPERR03G31430.2 pep chromosome:Lperr_V1.4:3:26824593:26829382:-1 gene:LPERR03G31430 transcript:LPERR03G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFNGGDAIDPRSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQGFMWDEEKVNNELKTYMTRGFRDVKEMCKSHNCDLRMGAFTLGVNRVARATVLRGWEA >LPERR03G31430.3 pep chromosome:Lperr_V1.4:3:26824337:26827370:-1 gene:LPERR03G31430 transcript:LPERR03G31430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFNGGDAIDPRSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQGFMWDEEKVNNELKTYMTRGFRDVKEMCKSHNCDLRMGAFTLGVNRVARATNGTAGFVVICG >LPERR03G31430.4 pep chromosome:Lperr_V1.4:3:26824593:26827370:-1 gene:LPERR03G31430 transcript:LPERR03G31430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFNGGDAIDPRSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQGFMWDEEKVNNELKTYMTRGFRDVKEMCKSHNCDLRMGAFTLGVNRVARATVLRGWEA >LPERR03G31440.1 pep chromosome:Lperr_V1.4:3:26831518:26832905:-1 gene:LPERR03G31440 transcript:LPERR03G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTAIKGVGRRFSNIACKKADIDMNKRAGELSAEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >LPERR03G31450.1 pep chromosome:Lperr_V1.4:3:26834663:26843904:1 gene:LPERR03G31450 transcript:LPERR03G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEYEAEGRTLAEKVKSEAIDSNVITPGTPFMFVLSTALQYYIQLRLNHSPGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEIHFSILREVITMPGQHEKCFVCGQVGHLAAECRGPSHGQADKILPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLERVEHFIQSVAIHEEQIFRKRARIQQNNDERNKAQTENSEDNQCVDKVKLGEPGYRERYYAEKFKEEAELRPIDQVRRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLNCLADLEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMKDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDETRLLSETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQICSQSPDASYIIPIDPAASGGMNGFLCLSERNYYSIAVTSPVKGFNGIAHNRVLNATYVNPQYHKHIPEPPEGAIIPAKILKPGDYKPFPVLWHEDNGRRHSRDRPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGSPYVHGNRPRPAGPLGYERGFVENPYPRHTSRGVPNHQPQFFGDAQANNRQNVRILERPNNRNNDSALNSGMSKLTIQDGPRMHQNNRMQNSGFSHNQPHPHQYAGFPPQRPVQNVGFTPQRPGQYAGFPQQQRPVQIGLQHQPAVNGVQPPLPPSAWIGRPISGAPGVPAKQDPRTAMDRQPKQQDNSRPQHDKRQQAPKAVYRVKGQGPNGLNE >LPERR03G31450.2 pep chromosome:Lperr_V1.4:3:26834663:26844209:1 gene:LPERR03G31450 transcript:LPERR03G31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEYEAEGRTLAEKVKSEAIDSNVITPGTPFMFVLSTALQYYIQLRLNHSPGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEIHFSILREVITMPGQHEKCFVCGQVGHLAAECRGPSHGQADKILPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLERVEHFIQSVAIHEEQIFRKRARIQQNNDERNKAQTENSEDNQCVDKVKLGEPGYRERYYAEKFKEEAELRPIDQVRRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLNCLADLEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMKDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDETRLLSETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQICSQSPDASYIIPIDPAASGGMNGFLCLSERNYYSIAVTSPVKGFNGIAHNRVLNATYVNPQYHKHIPEPPEGAIIPAKILKPGDYKPFPVLWHEDNGRRHSRDRPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGSPYVHGNRPRPAGPLGYERGFVENPYPRHTSRGVPNHQPQFFGDAQANNRQNVRILERPNNRNNDSALNSGMSKLTIQDGPRMHQNNRMQNSGFSHNQPHPHQYAGFPPQRPVQNVGFTPQRPGQYAGFPQQQRPVQIGLQHQPAVNGVQPPLPPSAWIGRPISGAPGVPAKQDPRTAMDRQPKQQDNSRPQHDKRQQAPKAVYRVKGQGPNGLNE >LPERR03G31460.1 pep chromosome:Lperr_V1.4:3:26844766:26849451:1 gene:LPERR03G31460 transcript:LPERR03G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAESAAATSAAVRDGFAELERQQQLLATCTRLYQQLTEHFGAMERRLSARSETLRAKRRFLDVRTSRRLEALRRREQSIDDSVSLALSHLDSMAAAKASAAGTGSASSEADGIAEGLRSLCASMDSAGFFTFVVARRKEADALRAELPEALKRCVDPAKFAMDAVSEVFPVDKRAVRSPTDLAWACVLILEAVVPALADPDPEIGLARPMVPRAARERARGMAREWKDAAEKKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMDDIIEELITKGQQLDAVNFAYEAGLQEKFPPAPLLKAYLEDSKKIPSNSDNVSTSTGQSGTNASKKEQSAIRAVIKCVEDRKLEAEFPLEDLRERLEELEKAKTEKKKAASSSSSGGSSGPASKRIRASNGGPMPPAKAGRLTEYTGTPSSPATTTTTATFVRSPSHASYGTSSPYSYDRPAAHPIYCGQNTMAMREPYAYHPSEVSNIGLGMSYPSPPMTYPAYAGYSNGLGGYSNAMAPAYHHQAYYR >LPERR03G31470.1 pep chromosome:Lperr_V1.4:3:26848676:26853126:-1 gene:LPERR03G31470 transcript:LPERR03G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGSGGRGSYDDGESAAAAAIRPLEIDGAAESSDDRPGEETSSSGDSGRWKTRQYSTDDISRSKSKPRYFKAFGVDLSADNMAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAELYCFDCLYSDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAVADVVVDSMVVERARGEPQSTSGSLQSLCWGSSAFGGVVSAYFSGSLVDTYGVRLTDLRFPKCRFVFGVTAFLPLMTSTVAVLVNEQRLPLGQDATSSSGSGFIETSKRHIMQIWTSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLLGIGVYNSFLKEAPLRKIFLVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQLLGVTKDNFENLAPLIVVCNLSSLLPLPLLGLLPDESPGAENGQTKVD >LPERR03G31480.1 pep chromosome:Lperr_V1.4:3:26856066:26856473:-1 gene:LPERR03G31480 transcript:LPERR03G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCGPPLCYIPCIPKSKDAASDAVPAAPVAAAAVDDKPPPVQKIEETVAEKDDDESKEVDGDGDDEEKTAVAAVPPPAPLKSNLKKGDCADNKYAEKGNVKWLDLLGKDLTEVKEFEPRYISSLFAVQ >LPERR03G31490.1 pep chromosome:Lperr_V1.4:3:26858987:26860801:1 gene:LPERR03G31490 transcript:LPERR03G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPSPLLPAKSKNPPSHHHPLLSYLPHCTTLRALAQLHAVAVKAGLQAHPAFVTRLLTLCTDQRADAAHLDYARQVFDRIPHPDDVVWYNTLLRGYARCGGGGAMEAAAGVFVRMMEEGVAPDTYTFVSLLKACAAARAGEEGRQAHAVAVKAGAAGHEYVVPTLINMYAECGDARAARAMFGRMDGDCVVSYNAMITAAVRSSRPGEALVLFREMQEKGLKPTSVTLISVLSACALLGALELGRWIHEYIKKIRLDSLVKVNTALIDMYAKCGSLEDAVSVFQDMESRDKQAWSVMIVAYANHGYGREAISVFDEMKKQGIKPDDVTFLGVLYACSHSGMVTEGLQYFDSMRDYGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACGGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMNEKGVVKVPGCSSIEIDNMVHEFFSGDGRHPQSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLSISFGLINTSPGTTLRIVKNLRVCPDCHSMAKFVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >LPERR03G31500.1 pep chromosome:Lperr_V1.4:3:26864010:26865980:-1 gene:LPERR03G31500 transcript:LPERR03G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAALFVAVVVVLASPLLASSQQQTPAMMTSPPPPPSPAATNNSRLESAYVALQALKRAITDDPKNLTHSWCGPDVCNYFGVYCAAAPDDACARTVAGVDLNHGDLAGTLPEEIGLLADLAVFHLNSNRFSGSLPNSLGNLHRLHEIDVSNNHLSGSFPSQLLCLPDLKYVDLRFNNLCGEVPEAIFEKKIDALFINNNNFDFKLPASFTNSTASVIVLANLPRLGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLKVLDLSFNSLAGELPGEIGHMTALEQLNVAYNGLAGEIPESICELPHLKNFTYSHNFFCGEPHRCLEVPRVDDSQNCIAGRPDQRSAEECIGFLHRPPVRCDAHGCIAPPSPPPPVHYAPPPPLRIGSGMQVD >LPERR03G31510.1 pep chromosome:Lperr_V1.4:3:26864311:26866111:1 gene:LPERR03G31510 transcript:LPERR03G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRMSSLRVPAMSPIELGRQPPRRGRFASTITDAVELVKLAGSLKSKLLLLMNSASIFFSNIASGTSPHRCMYIRILYV >LPERR03G31510.2 pep chromosome:Lperr_V1.4:3:26864311:26866302:1 gene:LPERR03G31510 transcript:LPERR03G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRMSSLRVPAMSPIELGRQPPRRGRFASTITDAVELVKLAGSLKSKLLLLMNSASIFFSNIASGTSPHRCMYIRILYVCSYVCTTRCVPEVDVFEVREAEELRGEGSRQMIIADVNLMEAMEVTERVGEGSGESVGVEVEHGEVGEEADLLGQRAGEVAVVEVDAGDRSRARVVGRRRAVHAEVVADVGPAPAVRQVLRVVGDRPLQRLQRHVRTLQPRVVRRRRRRRRRR >LPERR03G31520.1 pep chromosome:Lperr_V1.4:3:26874645:26876825:1 gene:LPERR03G31520 transcript:LPERR03G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIQPRMWFARPHLLWRSLVRSSCSDAPAPRASPNSGDGARGRGSTEFDSAIRSLRSNPHPERLARVLDSASDFSLALRIFRWASYQRMPIHTVEAYACMISKLGGAGNHEEIGGFLKEMVKLDVPGLGKVMDALVQFLSGEGRFDEALLVIQHASSGNFKLSVSSCNSVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLDLALVQFDKMGKKRCIPNSCTFKILITALCSHGRADESVALFDKMLQLRCVPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQLDRYLYSALITCFCENQLLEDAITTFNEMIASGHAPTRSAFVDIVDCYCKLGQFHKAVSFLEENDVAEIEAYNVLLRALCITGRLQDSLSCLTELQSKGLVNCQSWNIVITQFCNKGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGMYKNALDIFRRMGVSNLSLNSETFSQLVEGLCHMNKILEAAEVFIYHCKRGCVLTSESLEMLIQESCLAGLTREAIKMRSLAVCTGTFCTLTTYNTIFRALLHLKKEKDVLTLFAQMLMEGCLMNEYTHNCILRCFLAKETIFEAAILFNKMVKDGFVPEQETFEILVPDMALSSLLNMVSESLLTVTNIDGMMSPRIYNIIIYGLIKEGFKSEASKFLDQMIEKGWVPDSRTHKILLGRIGLEEPSEVDEVNHTMDDDNISNILLEGLD >LPERR03G31530.1 pep chromosome:Lperr_V1.4:3:26879874:26883795:1 gene:LPERR03G31530 transcript:LPERR03G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTAAAAAPAPAPAAPATPVPRGQVDLVDLIDWTGVECLNQDPSHSIVNALKQGYRDDEGLHVASDSDEQLLIYIPFMQMVKLHSVLFKGPEEDGPKTIKLFCNKEHMGFSNVNDYPPSDSLNLSSDHVTESKPVQLKYVKFQNVRSLTIFIEDNQSGSEVSKIQKIVLYGTSVDTTNMKDLKKIEEH >LPERR03G31540.1 pep chromosome:Lperr_V1.4:3:26883576:26887818:-1 gene:LPERR03G31540 transcript:LPERR03G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKTHDLEAGGSSEPLYPGMMESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGLALYIFLIILPIIVLCPLRYYHQKHPVNLLLLGIFTVAISFAVGMTCAFTSGKIIFEAAVLTAAVVISLTAYTFWAAKRGYDFSFLGPFLFSAVMVLILFSLIQVFFPLGKISHMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLSLLQFNAMGKHGKCDVEACYPAAHGGGGGMYPYMIESPQLRWAFIRKVYVIVSMQLLVTVAVAAAVNLAEPVKAFFQARTPATIAVYVVILISPLIMMLPMIYFRNKHPINLFFLFLFTVCISFSVGLGCLSKNGMVIFEAAALTLVVVVSLTCYTFWAAKKGYDFEFLGPFLVAATLILFLYAMINIFYPMGRTAKLVYGCIAALIFSGFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFMAIVTALQAADG >LPERR03G31550.1 pep chromosome:Lperr_V1.4:3:26888242:26891469:1 gene:LPERR03G31550 transcript:LPERR03G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRMVNPVKVESRQSSGEVNGQLPPRPMDGLADGGPPPFLTKTYEMVDDPTTDAMVSWSPTSNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKNLLKNIKRRKPPHSSPNQQSLGSFLEVGHFGYDGEIDQLKRDKQMLMAEVVKLRQEQQNTRSDLQAMEEKLQGTEQKQQQMMAFLARVMHNPEFIRQLISQSEMRKELEDFVSKKRRRRIDQGPELDGMVTDSSPEQGSQVMFEPHDPVESLFNGVPSDLESSSIEPKHQDVSGSSEQGKSRPPNGELNEDFWEDLLHEGGLDEASNPVIQNDMNLLSQKMGYLNSSSNKSAQ >LPERR03G31560.1 pep chromosome:Lperr_V1.4:3:26894994:26898860:1 gene:LPERR03G31560 transcript:LPERR03G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQGFLVGAGCRQELYDTDGWDEYGQKYQQRWHFVILVLSYGSYWDWDLGVPVLVHWLFAALDDIFCLFQGTIENIAVLKQQYGLHKGCTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDDRLVVSDDDEIVKKACGKSSAPFPKGFFFTTSGGLQSYEHPLNEVKPVPRIDSKGEVCGTTYTVDAKAKKDNSIPRVGSAADWSSQY >LPERR03G31570.1 pep chromosome:Lperr_V1.4:3:26916874:26917359:-1 gene:LPERR03G31570 transcript:LPERR03G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSNIPMIMSAMAASTTPLRSPRGATAAAASALRSRLCRSTPPARRVGVWCSGTTPPSSSPAEVPGPGGGEMEELPSIGTPPEFEPPPGLDVPVPTPEPRPEQPGPSIPSPPVPEIPNVPRNPDVPPPQPELDPPKAPPEIVPEPPPPDVEPPSFLV >LPERR03G31580.1 pep chromosome:Lperr_V1.4:3:26918155:26920900:-1 gene:LPERR03G31580 transcript:LPERR03G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAANETSTPFPRSWPSNSGVQIASTAVPGLHLAGESQLGSSNPIGNEKNSESIHTQRLPASCSSIGKANLTGLLQSGLRTGIMKLKYRAEEIFRGGDLIFTSDRNLGARNVEMDYDVRANQPAVVQTRCRWIIGDVTEVLDRNTWKLGKIAKMLKNNYFVIRLADCIQLKEFHISSLRVPAAPHTNQYSAADKKSEALNLKTKLAPRGQIPPHAALPGRSNKKRKSTADKSFHPRKRSSQPENASKACVLQYRPQQFIGEETECSVASCSINDPASFTNANNPQSFCFPDDAMSACPCTSGMEDDDVAGDISSSDADEPAIAAVHELELEAYQSTMRALYASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSSR >LPERR03G31590.1 pep chromosome:Lperr_V1.4:3:26922833:26925046:-1 gene:LPERR03G31590 transcript:LPERR03G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGAMATDSAGVPMPEVLRAPIRPDVVTFTHKLLSCNRRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNVRLRRIAVASALAATAVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAQSIKVLKEIGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEIYGGFDSPALKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKRREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARVKARTGKLDSKRTKLSPEESSKIKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >LPERR03G31600.1 pep chromosome:Lperr_V1.4:3:26946633:26949506:1 gene:LPERR03G31600 transcript:LPERR03G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHHHHNLHGAMEGKPPVNPTPTPTPTPTPQQQPAPPPRVSRFRRLLVRVSASERLPVAGDGKEREKEGEKVSATAAAAACEVEAGSVGLDRMVLSFMEDSTAVERPQRGRCNCFNGSNYEESDDDEDFFLPSDYSSATASAAAGDTLEALKGLVQSASVAERNLLADASRIAERCGKSYKSKTECRRAVADGLHAIGYDAAVCRSRWDKTSSYSAGEHEYIDAVVGDEVRLIVEVDFRSEFEVARSTKAYRAALQSLPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCADKVAASAIVAPTLMSTSSATVTPVSAASFSGEFELLFDRKPQSGDAAVRRGDEKITVVVSPWRPTEEASKKTTTPQQQAPKAKVVTGLAAVL >LPERR03G31610.1 pep chromosome:Lperr_V1.4:3:26955513:26961212:1 gene:LPERR03G31610 transcript:LPERR03G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAAGICVVVLVWLAAVAMGDLEADELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLRDKYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMEDKELDPLYVKRRDQLKQVVASITKPKIVQGKTLNGKEFVSFLQQILDALNKGEIPSTGSLVEIFNKAILDRCLKAYMKKMDGLGLPVSVDRLQQVHEMANDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFVHCNRSFVRDCVGPSKESYERRMSKMLSKSRALFIKEYNNKLFNWLVTFSLVLVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAVLLSFWLLYWRCFGRRKRGSRSSILPLYKNSHKNPTRPRSD >LPERR03G31620.1 pep chromosome:Lperr_V1.4:3:26961609:26966153:-1 gene:LPERR03G31620 transcript:LPERR03G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVEEISDPFWSAPAPAHQQDGGGGGGAGAGGGRAGGGGGGGGANAMNRCPSEWYFQKFLEEAVLDSPVPNPSPRGEAGGTMRGAGGVVPVDVKQPQLSAAAGTSAVVDPVEYNAMLKQKLEKDLAAVAMWRASGTMPPERSAAGSSLLNSDVSHIGTSNSIAGNATPVQNKLIATSGGLGSQLVQNIDVLVKQPTSSSSREQSDDDDMEGEAETAGSGKPTDQRLQRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKFNEAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDMSSLSMPFNGSPSEATSDAAVPIQDDPNNYFATNNDVGGNTYMPDIPSSAQEDEDFVNGALAAGKIGRTASLQRVASLEHLQKRMCGGPASSGSTS >LPERR03G31630.1 pep chromosome:Lperr_V1.4:3:26969097:26995931:-1 gene:LPERR03G31630 transcript:LPERR03G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMKASSSPAPTTSRRDATSTPAPARAAFRKSTAALTLDRAPAPAAERRRLPSSVSQTMSRLKENGKMAFIPYVTAGDPDMATTAEALRLLDACGADVIELGVPFSDPNADGAVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIARRGPAKFTAAAKEAGVQGLIVPDLPYVETCTFRSEVIKNNLELVLLTTPATPADRMKKIAAASGGFVYLVSVNGVTGSRQNVNPRVEHLLKEIKQVTDKSVCVGFGISTPDHVRQIAEWGADGVIIGSEMVRQLGEATSPKQGLKRLEEQRHTPITKMAFTMKASSSSPASSSSPTPTMRHGATPARVTVRKLTETVTSSSLTLDRASAPSPAAVTERRRPSTVSQTMSRLKENGKGVHPVHYCRRPGHGDDGGGAADAGRLGVPFSDPYADGAAIQASAARALAAGATMDGVMAMLKDVTPELSCPVVLFSYFNPIVRRGPAEFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKSNLELVLLTTPATPGERMKMITEASGGFVYLVSVHGVTGVRPKVNTRVEHLLQEVKQATDKAVCVGFGISTPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31630.2 pep chromosome:Lperr_V1.4:3:26969097:26995931:-1 gene:LPERR03G31630 transcript:LPERR03G31630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMKASSSPAPTTSRRDATSTPAPARAAFRKSTAALTLDRAPAPAAERRRLPSSVSQTMSRLKENGKMAFIPYVTAGDPDMATTAEALRLLDACGADVIELGVPFSDPNADGAVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIARRGPAKFTAAAKEAGVQGLIVPDLPYVETCTFRSEVIKNNLELVLLTTPATPADRMKKIAAASGGFVYLVSVNGVTGSRQNVNPRVEHLLKEIKQVTDKSVCVGFGISTPDHVRQIAEWGADGVIIGSEMVRQLGEATSPKQGLKRLEEQRHTPITKMAFTMKASSSSPASSSSPTPTMRHGATPARVTVRKLTETVTSSSLTLDRASAPSPAAVTERRRPSTVSQTMSRLKENGKKAFIPYITAGDPDMETTAEALRLLDACGADVIELGVPFSDPYADGAAIQASAARALAAGATMDGVMAMLKDVTPELSCPVVLFSYFNPIVRRGPAEFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKSNLELVLLTTPATPGERMKMITEASGGFVYLVSVHGVTGVRPKVNTRVEHLLQEVKQATDKAVCVGFGISTPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31630.3 pep chromosome:Lperr_V1.4:3:26969097:26995931:-1 gene:LPERR03G31630 transcript:LPERR03G31630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMKASSSPAPTTSRRDATSTPAPARAAFRKSTAALTLDRAPAPAAERRRLPSSVSQTMSRLKENGKMAFIPYVTAGDPDMATTAEALRLLDACGADVIELGVPFSDPNADGAVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIARRGPAKFTAAAKEAGVQGLIVPDLPYVETCTFRSEVIKNNLELVLLTTPATPADRMKKIAAASGGFVYLVSVNGVTGSRQNVNPRVEHLLKEIKQVTDKSVCVGFGISTPDHVRQIAEWGADGVIIGSEMVRQLGEATSPKQGLKRLEEQRHTPITKMAFTMKASSSSPASSSSPTPTMRHGATPARVTVRKLTETVTSSSLTLDRASAPSPAAVTERRRPSTVSQTMSRLKENGKKAFIPYITAGDPDMETTAEALRLLDACGADVIELGVPFSDPYADGAAIQASAARALAAGATMDGVMAMLKDVTPELSCPVVLFSYFNPIVRRGPAEFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKNSLELVLLTTPATPADRMKTITAASGGFVYLVSVDGVTGPRQKMNPRVEHLLQEIKQVTDKSVCVGFGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31630.4 pep chromosome:Lperr_V1.4:3:26969097:26978959:-1 gene:LPERR03G31630 transcript:LPERR03G31630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEREINLLLAKLLIKVLASLVLRVEHLILESIERTAMAFTMKASASSSAPALRLFGHRRVASPSPPGRVAVRGLAAAAASVAVEAPASARRMSVSQTMSKLKEKGKTAFIPYITAGDPDMETTAEALRVLDACGADVIELGVPFSDPYADGAVIHASAARAIAGGATPDAVMAMLRDVTPELSCPVVLLSYFGPILRRGVADFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKSNLELVLLTTPATPGERMKMITEASGGFVYLVSVHGVTGVRPKVNTRVEHLLQEVKQATDKAVCVGFGISTPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31630.5 pep chromosome:Lperr_V1.4:3:26969097:26986382:-1 gene:LPERR03G31630 transcript:LPERR03G31630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMISANCSHVYASLLPEHTLIIEMAFTMKAPSPSPVSSSSAHTTRHAATPAPARAAFRKSTAALTLDRAPAPAAERRRRRPSVSQTMSRLKENGKKAFIPYITAGDPDMETTAEALRLLDACGADVIELGVPFSDPYADGAAIQASAARALAAGATMDGVMAMLKDVTPELSCPVVLFSYFNPIVRRGPAEFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKNSLELVLLTTPATPADRMKTITAASGGFVYLVSVDGVTGPRQKMNPRVEHLLQEIKQVTDKSVCVGFGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31630.6 pep chromosome:Lperr_V1.4:3:26969097:26975130:-1 gene:LPERR03G31630 transcript:LPERR03G31630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMKASASSSAPALRLFGHRRVASPSPPGRVAVRGLAAAAASVAVEAPASARRMSVSQTMSKLKEKGKTAFIPYITAGDPDMETTAEALRVLDACGADVIELGVPFSDPYADGAVIHASAARAIAGGATPDAVMAMLRDVTPELSCPVVLLSYFGPILRRGVADFTAAAKEAGVQGLIVPDLPYVETCTFRSEAIKSNLELVLLTTPATPGERMKMITEASGGFVYLVSVHGVTGVRPKVNTRVEHLLQEVKQATDKAVCVGFGISTPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEALSIHGSLLFLTDSDSSANSTAAASISRVFRRRSPPLQFSGSPAPPRTVGYWLLRALPLLIRGAGAGARRGSAGVSRPRGGWSGALMEEVSGGGVSVGAGGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKNGTTFYSVIAMLKEIIPELSCPIVLFTYYNPILKHGVRNFMEIIKQAGVRGLVVPDLPLEEATLLRNEAITHNIELVLLTTPTTPTERMEEIAKASEGFIYLVSSVGVTGTRSNVNLRVEHLLQEIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPGEGLKRLEEYTKNMKAAMA >LPERR03G31640.1 pep chromosome:Lperr_V1.4:3:26999236:27003420:1 gene:LPERR03G31640 transcript:LPERR03G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPPPQQGTGAEDDFFDQFFSLTSPFSSGAATRPAAGDQPFSLALSLDAAAAAEASGSGKRVGLVGDGAEGGGSKADRETVQLPGLFPPVFGGGGGVQPPHLRPSPPTQVFHAQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEDDIGAAMQFLQSKALCMMPISLAMAIYDTQHTQDGQPVKHEPNTPS >LPERR03G31650.1 pep chromosome:Lperr_V1.4:3:27004962:27012733:1 gene:LPERR03G31650 transcript:LPERR03G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSASAGAVAERKRKRAAVIKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTLQLRDRKIKFFERRKIERMIRRLEKQQRSNADDAGNKLSKLREDLEYVRFFPKNEKYVSLFSGGNNPDMLETRNKWRKQIKENLVAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSRDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGASFKNRRASNQPGDHNSNQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLLHYPCRLPASLPGGEVVGDGAWRSPATDRGRGRCGEVAGDGSRPATARGSRWGRIEAGDGAGRSLGTDRGRQRHGEVEGSGRCRTGRKRARQPEGDGTTRRGGEDGGQREMTPRGGEPRTAGGAERGEERKTAGTCGLQ >LPERR03G31650.2 pep chromosome:Lperr_V1.4:3:27004962:27012211:1 gene:LPERR03G31650 transcript:LPERR03G31650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSASAGAVAERKRKRAAVIKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTLQLRDRKIKFFERRKIERMIRRLEKQQRSNADDAGNKLSKLREDLEYVRFFPKNEKYVSLFSGGNNPDMLETRNKWRKQIKENLVAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSRDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGASFKNRRASNQPGDHNSNQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLATAKCPPAAVAAPATPPKSASSASLSSPYPPYIRKSTLRRYGAPSMLTFT >LPERR03G31660.1 pep chromosome:Lperr_V1.4:3:27011814:27012661:-1 gene:LPERR03G31660 transcript:LPERR03G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISIDAELRLGPPGSGCGGGDAAAKKRPASSSTVKSEASGTAGGASPASKVQVVGWPPVGSFRRSTFQSSSKSSSSPATATAKAEQGKAKKKINGGGGIYVKVSMDGAPYLRKVDLRMYGGYGELRDALDALFGGVAGAATAAGGHFAVAYEDKDGDLMLAGDDVYLFLQEVEDNARIRSKMMTWQRHLN >LPERR03G31670.1 pep chromosome:Lperr_V1.4:3:27016995:27020695:-1 gene:LPERR03G31670 transcript:LPERR03G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRTAAGLFVEWRIRRRSVHACKVFVGCSRRGDVRQLVVFAKRRRRPKKRSQRPWWKAWFSDWNDEEESLSGWREDDELLEEVGSEEALSDGEKFEAWKRKAEAIVEVREARQDAANAEGRSWEDWVGGGSTSGDGGDWGRDVDLSDLITDDPAEIVKDRGLIETFRDSIDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWVLDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMIYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >LPERR03G31680.1 pep chromosome:Lperr_V1.4:3:27020875:27021672:1 gene:LPERR03G31680 transcript:LPERR03G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQQDKGAPALLAGDRRRRDDLIDARPRTKLTLHGARAVAPDRSASTSPPNLAAGLLFALAAGPCSSLSPASVAVSCCFSCTAVEVVGTRDSATRERWREKGERARDEEVERREEESVVWMTTSSSDTWEKWRILCEDGQ >LPERR03G31690.1 pep chromosome:Lperr_V1.4:3:27022442:27027691:1 gene:LPERR03G31690 transcript:LPERR03G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFQKRSRSSPTSTALLPNTRRLVPSRPLVCVCFSSPPLPPTPGPKQRNGPSPSAGEKGEEKRRSLSVCRCRAKPREERTIPSPASHLAACLGMQSAADVAALGGGGDVLVVKCAGVAACLTCPLCRRLLRDATTISECLHTFCRKCIHEEFDNKESCCCPTCNIDLGCAPLEKLRVDHSIQFVRSKIFPFKRKKVKDPEVMSPAASPIKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNLSLHSTLRGSKDASKKLGGWKPLGSQLKLGKDKKSLKSSLKDSNKTKNKTGDPDDGTPDSQAKARDHFTRYRPTAKRTGSKKLLMLKSKKKSFKAKQPSKKRRFRALWFYLLAAFDQRGIPTLPQLPAKYLRIKDVDLPASVIQKYLVQKLNLSRETEVEVLCGGKVVSQGMTLLDLADCWLEKGPKGRMRSSVGSPATGFMVTVFYRRPDVDVPSPQPPTLPSPETES >LPERR03G31690.2 pep chromosome:Lperr_V1.4:3:27022442:27027691:1 gene:LPERR03G31690 transcript:LPERR03G31690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFQKRSRSSPTSTALLPNTRRLVPSRPLVCVCFSSPPLPPTPGPKQRNGPSPSAGEKGEEKRRSLSVCRCRAKPREERTIPSPASHLAACLGMQSAADVAALGGGGDVLVVKCAGVAACLTCPLCRRLLRDATTISECLHTFCRKCIHEEFDNKESCCCPTCNIDLGCAPLEKLRVDHSIQFVRSKIFPFKRKKVKDPEVMSPAASPIKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNLSLHSTLRGSKDASKKLGGWKPLGSQLKLGKDKKSLKSSLKDSNKTKNKTGDPDDGTPDSQAKARDHFTRYRPTAKRTGSKKLLMLKSKKKSFKAKQPSKKRRFRALWFYLLAAFDQDTNFTTATCKVFEDQKYLVQKLNLSRETEVEVLCGGKVVSQGMTLLDLADCWLEKGPKGRMRSSVGSPATGFMVTVFYRRPDVDVPSPQPPTLPSPETES >LPERR03G31700.1 pep chromosome:Lperr_V1.4:3:27032691:27042109:1 gene:LPERR03G31700 transcript:LPERR03G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTADLRRLGVANSVMDQLYRRAMAKSTTKTTGTKRRRAKACEKEDESAENGNLETEYDVSKNKNNGATELGNELVASKRPKRAASCSNFKEKTWNLSEKDSIITIKESRIEKEEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFISALVMPLDDDLEKDRGRGIRCLGFGRIENWAISGYDEGVAEIWISTETADYKCVKPASSYRSYFEHFSEKARICVEVYKKLARSAGGNPQVDLEELVAGVVRSINSNRSSNGTMSKDFVISSGEFIYKQLIGLDHTADNDDEMFTTLPVLVALQDECKSRPGFTHLSAMPSNGTLRIKDGQGNGLTEDDDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKISETEIANDYPLPAYYKPYSQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDTVVFGSGFMREDDGSCCSTAELAQLSSSSKSDQEDAGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPSFISSKAPTVERYIVVHGQIILQQFADFPDESVRRCAFVTGLVAKMEESRHTKLVIKKKSQQMRGENLNPSAKMGPILRRKLMRATTTMLISRLWGDYYATHFPVDTKEEDQNEPKEIDDDHEENEDNDAEEEVNVEDEKATRTPPSTRSRKSSSDTHKEIKWEGQSAGKTASGEVLYKCAIVQDLSISVGASVTLEDESGETILCFVEYMYEKHDGKNMVHGIILQEGLQTVLGNAANDREVFLTNDCLDFEVGDIKGLVTVNIQSLSWGHKYRKENSEANRIEKAKAEERKRKGLPVEYFCKSLYWPEKGGFFSIPYDKIGNGTGICSSCERKPVGDEFKILSETSFVFENITYNINDFLYIRPDFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPEATKVTIRRFYRPDDVSSAKAYSSDIREVYYSEDIMSVPVVMIEGKCEVQRKDDLPSSDLPVVVDHVFYCEYLYDPANGALKQLPPSVKLTRTTSASKKNKGKQVCDIEIDGSYRPKYGPSENCLATLDIFAGCGGLSEGLQRSGVSLTKWAIEYDEPAGEAFGDNHPEAAVFVENCNVILKAIMDKCGDTDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKYYAAVKSTAAGAPFRSITVRDTMGDLPPVENGAGKPTIQYGSGPVSWFQKKIRGDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQSKHRQIGNAVPPPLAYALGRKLKQAIDAKR >LPERR03G31710.1 pep chromosome:Lperr_V1.4:3:27041658:27042379:-1 gene:LPERR03G31710 transcript:LPERR03G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASWSRYGTVPSAAPPPPKHEEAVVNGDGAAASAAGPSASASAAEAGVACGEARARARRNLAYFRANYALAALVIVFLGLLYRPLSMLAFLALFVAWLALYFARDGDGDRLACLGRDVDDRVVLAVLSVATVLAVALTHAGLNLLVSLVIAAAVIGVHAAFRMNVYLDERDAFDGGASGSSFYGDYTLPR >LPERR03G31720.1 pep chromosome:Lperr_V1.4:3:27045789:27048062:1 gene:LPERR03G31720 transcript:LPERR03G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPSPAVDLACLMDHPFAAAANCPAVLSNGQFLVSVSPPAAMSPSPATANSPAVPSNGRLFVSASPPVLTYPATAAAVDRSLFLETPLLDLNSCGGAPPAPAMAAAKKSSNLGGAPMANSSSSCANHIQFQPPPTKNHHQNQHQQQQMKSFQFEQAMKPTGGENKFHIEMMPTSGGGGGGGGGKEVISFSFDNNSVCTSSAATSFFTSISSQLISMSDGGATNSAAKKQSCGRKSAAGSGDDDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLIVTYENEHNHAQPLDISLVQQATTNPQP >LPERR03G31730.1 pep chromosome:Lperr_V1.4:3:27056792:27058797:-1 gene:LPERR03G31730 transcript:LPERR03G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVETEVAPAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >LPERR03G31740.1 pep chromosome:Lperr_V1.4:3:27059539:27065281:-1 gene:LPERR03G31740 transcript:LPERR03G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHKHLSGLEEAVLKNIDACRELSVITRTSLGPNGMNKMVINHLDKLFVTSDAATIVNELEVQHPAAKILVLAARAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKATEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLIADACMQVCPKNPVNFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKKVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSQPNADELGYADSVSVEEIGGVRVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGFFALKYSADAVCTVLRVDQIIMAKPAGGPRRDAQPGGMDED >LPERR03G31750.1 pep chromosome:Lperr_V1.4:3:27075602:27077518:1 gene:LPERR03G31750 transcript:LPERR03G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAATEPEVAAEETAAGATETAAAGGEAKPAKETKAKKAAAPRKPRATATHPPYSDMITEAITSLKERTGSSQYAIGKFLEEKHKDKLPANFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKPKPKPAASKPKPKPKAKAPAKSKPAAKPKAKAPAKAKPAAKPKAAAAKPAAKTKAAAKPKSPAKPAAKPKAKAKPAAKPKAKAAPKTKAAAATKTKATSAPARRPAKAAKTSAKDTPAKKAAAPAAKKPAAAAKKAPAKKAAPTKKAAAPARKVPARKAKK >LPERR03G31760.1 pep chromosome:Lperr_V1.4:3:27081932:27091918:1 gene:LPERR03G31760 transcript:LPERR03G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRLDHVLGTAQSGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHNMCESCLLSFATDKKSNLATYQSLAGKLGVGIGNAGCRISFSLGNETESSVVKEDALCSCCSNPLKVKSYPSMVLRNIASGIDFEDNSRYFSRDQLIDEINHVRYSELKTSDFESEPWQHDGVTSLLEDAADKLKGDFTLSHPQAKIPGAIPPYGISQDKVAKNSDLTQLKNGVSDSKTSQVSAELHSFKTDGNATEDSDTGVDKSDDDVWHNAQELLVSYKPVETSTVEKEPKAEFTDRTTMKDSFRAHEDLKILLTQVSSNDVINIPGVHEQAILNNITRALSLERNYSGVSESMPDESKGDCTIDQLKKQIELDRKSIRLLYKELEEERNASAIATSQTMTMITRLQEEKAALQMESLQYQRVMEEQSQYDRDDLQKMAAMVQELEAEIESYKNKLRDHLLVTEIRDAMQLSCSEECEPSMSRTARSLSLFEDEKAYIAKQLKKLRHKLHQFSNNSKFIDAAKIEDKEDKFDVTDTEDVYQDADENAEMANLVAKKSITRNSGNFRYFPNGKNEISHGKKDDPKGQYYAMVSENDLVSFEDEISELSGRLRALEADRSFLEHSINSLKNGQEGEELIHGIACSLRELRKMGITWKDCD >LPERR03G31760.2 pep chromosome:Lperr_V1.4:3:27081932:27091918:1 gene:LPERR03G31760 transcript:LPERR03G31760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTTCHPKLTSMPMAPRISTIKTWRYQQFFIVLFSVILEWILMLLLLLEGLLSYLATTFASLCKLHPPCPMCTRLDHVLGTAQSGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHNMCESCLLSFATDKKSNLATYQSLAGKLGVGIGNAGCRISFSLGNETESSVVKEDALCSCCSNPLKVKSYPSMVLRNIASGIDFEDNSRYFSRDQLIDEINHVRYSELKTSDFESEPWQHDGVTSLLEDAADKLKGDFTLSHPQAKIPGAIPPYGISQDKVAKNSDLTQLKNGVSDSKTSQVSAELHSFKTDGNATEDSDTGVDKSDDDVWHNAQELLVSYKPVETSTVEKEPKAEFTDRTTMKDSFRAHEDLKILLTQVSSNDVINIPGVHEQAILNNITRALSLERNYSGVSESMPDESKGDCTIDQLKKQIELDRKSIRLLYKELEEERNASAIATSQTMTMITRLQEEKAALQMESLQYQRVMEEQSQYDRDDLQKMAAMVQELEAEIESYKNKLRDHLLVTEIRDAMQLSCSEECEPSMSRTARSLSLFEDEKAYIAKQLKKLRHKLHQFSNNSKFIDAAKIEDKEDKFDVTDTEDVYQDADENAEMANLVAKKSITRNSGNFRYFPNGKNEISHGKKDDPKGQYYAMVSENDLVSFEDEISELSGRLRALEADRSFLEHSINSLKNGQEGEELIHGIACSLRELRKMGITWKDCD >LPERR03G31760.3 pep chromosome:Lperr_V1.4:3:27081932:27091918:1 gene:LPERR03G31760 transcript:LPERR03G31760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTTCHPKLTSMPMAPRISTIKTWRYQQFFIVLFSVILEWILMLLLLLEGLLSYLATTFASLCKLHPPCPMCTRLDHVLGTAQSGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHNMCESCLLSFATDKKSNLATYQSLAGKLGVGIGNAGCRISFSLGNETESSVVKEDALCSCCSNPLKVKSYPSMVLRNIASGIDFEDNSRYFSRDQLIDEINHVRYSELKTSDFESEPWQHDGVTSLLEDAADKLKGDFTLSHPQAKIPGAIPPYGISQDKVAKNSDLTQLKNGVSDSKTSQVSAELHSFKTDGNATEDSDTGVDKSDDDVWHNAQELLVSYKPVETSTVEKEPKAEFTDRTTMKDSFRAHEDLKILLTQVSSNDVINIPGVHEQAILNNITRALSLERNYSGVSESMPDESKGDCTIDQLKKQIELDRKSIRLLYKELEEERNASAIATSQTMTMITRLQEEKAALQMESLQYQRVMEEQSQYDRDDLQKMAAMVQELEAEIESYKNKLRDHLLVTEIRDAMQLSCSEECEPSMSRTARSLSLFEDEKAYIAKQLKKLRHKLHQFSNNSKFIDAAKIEDKEDKFDVTDTEDVYQDADENAEMANLVAKKSITRNSGNFRYFPNGKNEISHGKKDDPKGQYYAMVSENDLVSFEDEISELSGRLRALEADRSFLEHSINSLKNGQEGEELIHGIACSLRELRKMGITWKDCD >LPERR03G31760.4 pep chromosome:Lperr_V1.4:3:27087951:27091918:1 gene:LPERR03G31760 transcript:LPERR03G31760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTTCHPKLTSMPMAPRISTIKTWRYQQFFIVLFSVILEWILMLLLLLEGLLSYLATTFASLCKLHPPCPMCTRLDHVLGTAQSGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHNMCESCLLSFATDKKSNLATYQSLAGKLGVGIGNAGCRISFSLGNETESSVVKEDALCSCCSNPLKVKSYPSMVLRNIASGIDFEDNSRYFSRDQLIDEINHVRYSELKTSDFESEPWQHDGVTSLLEDAADKLKGDFTLSHPQAKIPGAIPPYGISQDKVAKNSDLTQLKNGVSDSKTSQVSAELHSFKTDGNATEDSDTGVDKSDDDVWHNAQELLVSYKPVETSTVEKEPKAEFTDRTTMKDSFRAHEDLKILLTQVSSNDVINIPGVHEQAILNNITRALSLERNYSGVSESMPDESKGDCTIDQLKKQIELDRKSIRLLYKELEEERNASAIATSQTMTMITRLQEEKAALQMESLQYQRVMEEQSQYDRDDLQKMAAMVQELEAEIESYKNKLRDHLLVTEIRDAMQLSCSEECEPSMSRTARSLSLFEDEKAYIAKQLKKLRHKLHQFSNNSKFIDAAKIEDKEDKFDVTDTEDVYQDADENAEMANLVAKKSITRNSGNFRYFPNGKNEISHGKKDDPKGQYYAMVSENDLVSFEDEISELSGRLRALEADRSFLEHSINSLKNGQEGEELIHGIACSLRELRKMGITWKDCD >LPERR03G31770.1 pep chromosome:Lperr_V1.4:3:27092856:27093080:1 gene:LPERR03G31770 transcript:LPERR03G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTFAAAVLVVILLTSGGGRSGNGTAARPLAPPPPDAVAVELAGTNASSQPSNCTYGNNVGGQCPPTPSSGH >LPERR03G31780.1 pep chromosome:Lperr_V1.4:3:27095757:27105036:1 gene:LPERR03G31780 transcript:LPERR03G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPQPQKRLLESFTIKGLKGPIKIKLGDYVLLMAPDPSKKPYVARVEEIEGSGAQDANVKFRVRWYYRPEESIGGRQPFHGSKEVFLSDHYDQQSVDSIEGKCYVHNFQDYIKLQTIGAEDFFCRFKYKSATGSFIPDRATVFCKCEMPYNPDDLMVECEDCADWFHPSCVNLTNKEAKKLDHFYCEDCIAQNRKKLQKCNGNTSQSKGKCYKLLEPEGAATWDDALRKGVQEFQLRSYSLFTCNCHSFVANNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASMVKTFLPFVLVLTIGTLLGGMTFLIGLVAFAAVMTGWFLVGTYCIKSLVEL >LPERR03G31790.1 pep chromosome:Lperr_V1.4:3:27101919:27102203:-1 gene:LPERR03G31790 transcript:LPERR03G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLALYGDLLGMSARVAVRAYTHLPQTARMYYKPPSTTTTPPPTTAATGDKRSASAAAASSSRVKSKKKQQQEEAAARVALDSADIILYGV >LPERR03G31800.1 pep chromosome:Lperr_V1.4:3:27109002:27114538:1 gene:LPERR03G31800 transcript:LPERR03G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSNGLTHYGPVRPTSSSQPRAFASAAYRLVSSTSPRHAMPLLLHPRFPSHAAARGHRAAAVHGDARVALSLPDPPASRRRPQTRTTNVACRAAATTRSRDAPPPASAPAAAALAKEAHKYFDHAVVTVRAGDGGHGAVLAMPASPSTADSPKSRRGRRSGGGGGGSSKKASYKRNYDGSVALPVGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHSGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVVVARGGQGGISLIDVPEYRRRKAMALSPNIMRDASDKVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRVSSLALEIASIGCEECNDNNNISKGNSNVNTSEHDISFGTKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCFDHRLPEP >LPERR03G31810.1 pep chromosome:Lperr_V1.4:3:27114666:27120059:1 gene:LPERR03G31810 transcript:LPERR03G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEDDAARAIAVHPSGDEFVCATAKGCRLFKLVTEEFSVRLISKDVPSLQSVGPQKCLSFSTDGAKFAIGGENGHLRVFHWPDINLLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLTNLSRSMDEKIECCCFSKDGKKPFLFCTVVKGHNVVTVVLDISNWKRIGYKRLLEKPISTLSISLDGKYLALGSCDGDFCIVDVNKMEVLHLSERVHLGSPVSSIEFCPTERVVISTSHKWGAELTKLDVPGDWKVWQMWLVLLCLFVTSGILFYVFFKHVKKPSMIKSPRTRTFFHGMAMAALHALIAGAATISHLRQIHAHLLTSGHLPSHGAALLRRLIAFPNLHLPHAHRLLLSLPPQSLSLSLFNILLPPLASSSEPTSAVLLFLRLRRGGLRPDAHTLPHVLKAIARLARPHPGSLLPLVGSVHAEVVKDGLDSAVVYVPNALMAAYSACGCLDRAVQVFDEMPRRTVVSWNTALTACADNGRHDRCVEVFLGMLEAGSVPDSTTFVVMLSAAAELGNLSLGKWAHGQVVARRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPMRNVWTWTAMIVGFAQNGLAREALELFDRMELSMVKPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIMPMMTHYSVMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLGACQLHSSKDCIEIVDKVHDRLQELEPRRSGNYVMVANIYCEIGLWDEAANVRRVMREGGMKKIAGESCVEVGGQVHRFISGDDSCPEFHEACMILIELNLNMRKYEPADPILFTDAN >LPERR03G31810.2 pep chromosome:Lperr_V1.4:3:27114666:27120059:1 gene:LPERR03G31810 transcript:LPERR03G31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEDDAARAIAVHPSGDEFVCATAKGCRLFKLVTEEFSVRLISKDVPSLQSVGPQKCLSFSTDGAKFAIGGEDEKIECCCFSKDGKKPFLFCTVVKGHNVVTVVLDISNWKRIGYKRLLEKPISTLSISLDGKYLALGSCDGDFCIVDVNKMEVLHLSERVHLGSPVSSIEFCPTERVVISTSHKWGAELTKLDVPGDWKVWQMWLVLLCLFVTSGILFYVFFKHVKKPSMIKSPRTRTFFHGMAMAALHALIAGAATISHLRQIHAHLLTSGHLPSHGAALLRRLIAFPNLHLPHAHRLLLSLPPQSLSLSLFNILLPPLASSSEPTSAVLLFLRLRRGGLRPDAHTLPHVLKAIARLARPHPGSLLPLVGSVHAEVVKDGLDSAVVYVPNALMAAYSACGCLDRAVQVFDEMPRRTVVSWNTALTACADNGRHDRCVEVFLGMLEAGSVPDSTTFVVMLSAAAELGNLSLGKWAHGQVVARRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPMRNVWTWTAMIVGFAQNGLAREALELFDRMELSMVKPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIMPMMTHYSVMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLGACQLHSSKDCIEIVDKVHDRLQELEPRRSGNYVMVANIYCEIGLWDEAANVRRVMREGGMKKIAGESCVEVGGQVHRFISGDDSCPEFHEACMILIELNLNMRKYEPADPILFTDAN >LPERR03G31820.1 pep chromosome:Lperr_V1.4:3:27129612:27131495:1 gene:LPERR03G31820 transcript:LPERR03G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADSAVTGGHGAAAVLREVATARFARQVVLGRWFMVFACLLILSASGATYIFAIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLSMGAAMNLAGYLMIYLAIDGRTSRPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLVAWLPAAISILFVHTVRIMPYPSRRRRVGAGGEESAATSNDAFYCFLYISIALAIYLLTMIVVQNQTNFSHTAYVISATALLIILFLPLVVVIKQEYKIKQELDESLRHPPTITVDSSASSLQMSTKTTAAAVKPPPQSTSSSSSVSSPAPPSSCLGSCLKHMFNPPPQGDDYTILQALVSIDMIVLFLATICGVGGTLTAIDNMGQIGQSLGYPSKSIKTFVSLISIWNYAGRVTSGFASEIFLTKYKFPRPLMLTLVLLLACVGHLLIAFGVPNSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGYLYDVEAARQHGGSLAGVGDKTCVGVQCFRKAFLIITAATVAGAVVSLVLVWRTRKFYKGDIYAKFRDGNNAAGDEEDEATTAGKTESTLVNGEGK >LPERR03G31830.1 pep chromosome:Lperr_V1.4:3:27133385:27139475:1 gene:LPERR03G31830 transcript:LPERR03G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDRDSEDRRRRRRRRRSRSPSDSEEASDSGGSPRRSRSRHRRKGRRRDTPSSSDGSDSQASDSGSDSGGRRRRGSGSGSRRKGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLAEKFVWRKKIERDVSQGQKLDISVKAEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFTEEFDVELNEPYLVFKGLSVKEMEELRDDIKMHLDLDRESQVNVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASLLRKHLHRLEHPGAAEQDEEPEEEIAAKEDSMHDDDDEDDEDDKRYSPEPIAEQAESLLDDEAGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKARVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >LPERR03G31840.1 pep chromosome:Lperr_V1.4:3:27139733:27147720:-1 gene:LPERR03G31840 transcript:LPERR03G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGRGGGGGGRGGGDPGRGGGDLEMHYIWFCFNFLVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVTKDPQHRVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVSINPESKSRATNREVLRELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKEKERAEREYKIAIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRVETTHQDDQIRRYKITGITGPMSQLIFPVDESGTRMTVVQYFWDRYNYRLKYPSWPCLQAGSDSRPVYLPMEVLCS >LPERR03G31850.1 pep chromosome:Lperr_V1.4:3:27154138:27156305:-1 gene:LPERR03G31850 transcript:LPERR03G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAASLLAASASSPLAPAPWRWRPSPSPPPRLAGAANPGRLSLRLSAAASPPPRALPSLAGESRAARAAPLSLGGGQTEERERDGAAPEWGALVRRVALGAALGCAVLGCYGGAAMAAEDSIKASGFGLRVAASLRRLGWPDEAVVFTLATLPVIELRGAIPVGYWMRLDPIRLTILSVLGNMVPVPFIILYLKKLATFLSQRSASATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIITGLVLFFVSTVMWGVLRSLKKSLNAN >LPERR03G31860.1 pep chromosome:Lperr_V1.4:3:27157091:27159962:-1 gene:LPERR03G31860 transcript:LPERR03G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPAGDDGDSRVVAVHSKATYDELWGSHTSNPNKLIVIDFSATWCGPCRFIEPAFKEMASRFTDAAFLKIDVDELSEVARQWNVEAMPSFVLVKGGKEVSRVVGARKDELERKINIFWNGIASCKLVKRIASNQAKQSKAIVNETQGPEPDDDVSTLTDRWSHTFQPYPLRFRFTALLSLTNALVKQGKEVDWLVGANKDEFERNVENHRGV >LPERR03G31870.1 pep chromosome:Lperr_V1.4:3:27160932:27170241:-1 gene:LPERR03G31870 transcript:LPERR03G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASTSSAIWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLKEIQDRWSSLLYDPEISTQASARMVEYENDLSTPSNAKAHNKLINLKQKDFSFQKRKIDSVKNQYYAMRKRIRNEPCNTVDLGFLIDPCSCTMNGGQCVCGGLLKHSQGQHVVHNTEPGVSTMSCYGQQGGSYNGGQTMYVGMNGHSFHTGQIYDQDVYTRKDPDTSEGNNLSLKAITDFQGSLQFQKLGSNNQCVNEVTESKTLVITDHCEVEHVHFTGNSSARMQEPGSLEVIDQSEGSQTPVGSIWTEVEERGTFTLDDDKKLKTANSEPLTLQPNLDGGICAAALEHAAITEGDFMDFPFFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQADPDHVKHNGDVSGIISVPTSLEVPYPGRIFVCVLNTEDPEIPCNDDIVFPDEYPLQCTATDFGQTSEPNNCLVSPATSPASNAEHSNLSDKALIKRKDTADTKPLSQPMSLSPPTSEQKEGSAAHGKGCVLLGANPSEGPSTAGILVPCSVDTNDANTCSSNLPSIGAAVFAEGSTCHLEQHNNFNNSLSFPLPNSVEVPDHMNYNSHDNQPELGDGVPVQNCMPSHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWDQDSELMHPEVSRFQHKESRKSLIRLEQGARSSMNRAIVSKGAFAIIYGLYLRYYMKDSEVTLGRETEDVKVDVDLGKEGRANKISRRQAVIKMDDAGSFHIKNIGKCSIFVNSKEVPSCKRINLSSDSLIEIKDMRFIFHVNQDAVRQFITRTLKPDHLS >LPERR03G31880.1 pep chromosome:Lperr_V1.4:3:27176161:27187731:1 gene:LPERR03G31880 transcript:LPERR03G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDELRKLEMFFREETRRGGCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPQRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQSIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENNVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >LPERR03G31880.2 pep chromosome:Lperr_V1.4:3:27176228:27187731:1 gene:LPERR03G31880 transcript:LPERR03G31880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDELRKLEMFFREETRRGGCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPQRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQSIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENNVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >LPERR03G31880.3 pep chromosome:Lperr_V1.4:3:27175982:27187731:1 gene:LPERR03G31880 transcript:LPERR03G31880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDELRKLEMFFREETRRGGCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPQRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQSIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENNVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >LPERR03G31890.1 pep chromosome:Lperr_V1.4:3:27184650:27187411:-1 gene:LPERR03G31890 transcript:LPERR03G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAALSCAFRSPSPPSPSSPAPPHWRPLLAFRSRGPRAVAVAPRLLLLPPGRRFRYCSQSKFLGMNKGHNRCLLATFSSLGQPGVSLNNEDLVKDKLLIDCGEDQNCVIDGIVALGKFDALHIGHRELAMYASRAGTPFLLSFVRIAEVLGWEYRPPIVAQCDRKRVLSSWAPHCRNVVPIEYQVEFSKVRHLTPRQFVERLSRDLKIQGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTARSHNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKGNHLQERKRVVLPKSCMLNMPPVDGLYENCDLVNGGHLGLCRVVITSETIDIEMKDEKRFGNDRID >LPERR03G31900.1 pep chromosome:Lperr_V1.4:3:27192924:27195177:1 gene:LPERR03G31900 transcript:LPERR03G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDETRVTQDRNGAATNARWTVERVPNSPTVIRLRSRYSRYLSASNEPFLLGMTGRKVLQAPAPSSSAGGGGGAGASSLEWEPVKDGFQARLKTRYGHYLRANGGVPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGPEHPPSAPAAVVSAPASPTAPEMKLPPRQNGAGHRPSNSYGGHHHHHPPPPATLEEDTLPPPKPKPKPKPVVERLESSLSFNAPLHKVEGRAIYYQIADDNGDVDDDEEARSFTFNGSNLEDLTRKLKEETGLDDIIICTRNPITSKLTPLRLQLPPNNAAMRIVLVQESSKVLAGM >LPERR03G31910.1 pep chromosome:Lperr_V1.4:3:27199362:27200666:1 gene:LPERR03G31910 transcript:LPERR03G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRLLLLLAAAAAAAAEARHNAPPPPQPQNPDKGRSDVLTTALVAAAVLLVVLLLYLCVAIAVRRYRGRGVAAAAAGPTNAAARAAAFLRRHGLHQNRPSFTYEQLRAATGGFDPSRKLGDGGFGTVFLAYLPPAGRPFAVKRLHVPPSPPLPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDYVPNGTLSHHLHHRRCGAPPPIPWRTRLAMAVQIASALEYLHFEVKPAVVHRDVTSSNIFVESDMRARLGDFGLSRLLAPPDACATTAAGGGGVRRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQIGELREVVDEPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREVLAELRRIQGMLPDVAGIKGS >LPERR03G31920.1 pep chromosome:Lperr_V1.4:3:27203234:27204717:1 gene:LPERR03G31920 transcript:LPERR03G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSREIPDLLRAGAVCSSWHQTPCLFYTCESAGKNVGCIYSLAEQRTYKLTLPDPPIHDRHLIGSSDGGLLVTLDDMSEMHLLNPVTGEQMALPSVGHLTIYQTGPAESLTAGPDGISYAILSITMKQVNPIYDESGAVVWYEHLWHYWADEFLIQMPMVNAPSDLLLYFHAKAFVFTEALTGSLLVVLIHHPVGQLSFARVGDDEWTSLPPQYRDYQDCTYKDGLLYAVTALGEIHAIDLSGNSAMVKVVMGKVLDIRDDFRNTYILHAPWGDVLQIWKTEEEEYVHPSEDDDYDAILKNTANIEVYKCDLVEGKLVKINPLQDHVLFVGHNQTFCLSAEEFPSLKANHAYFTDDSQNWITQFKNNRRDIGLFNLDDNSRDELVCPQLWSNWPVPVWITVNLAKLNLACDISS >LPERR03G31930.1 pep chromosome:Lperr_V1.4:3:27206522:27208921:1 gene:LPERR03G31930 transcript:LPERR03G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIENCERIRGQKENPSPFNHLFLDSLGCTQFFIEKGRHVRFGEKRTFSSGPSLSSDRIHKRWSKTGGNPAHVYSSISSRKMGVDSRWRQTRATRLCPFAVNTAASIMLCSAI >LPERR03G31940.1 pep chromosome:Lperr_V1.4:3:27208804:27214069:-1 gene:LPERR03G31940 transcript:LPERR03G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQSPSTWDAFLSPSGSGGGGGGSPPTPRPLLLLQLRVRALLFDKASAALLPPSSPAALNSLNANYLQLHADDIYFSLEDEQEDINQNHMQSRTSFSPSRENAMLSQRHNRHEELPETWYKQYEEKFRTWHSKFHAGDKDIPKRTSEGMSNYLKVSSVHKRKRAVFMDDHGHNVSVPTLENGSNLSDETFIPEIRFPVDCVPESAIPRTSETSRSYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSKVEGKSLSQEQAMLMTRKLVVRYLANVGIESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGHSNIGPLMEITKTGNRGANYPIQQDAQVQNPNALLHAQQISRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQMTSPRGPLMMADNNQAMMNHAQLAQQLAMSGGQNPQLAQQFKQMPMSAYGMRMPPVKVEAFHELVSGDSSLKHDNDSNKLMSPK >LPERR03G31950.1 pep chromosome:Lperr_V1.4:3:27217139:27218692:1 gene:LPERR03G31950 transcript:LPERR03G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSALLGGLNSGVVLSLIAVLWTVVWQNLQRLQLYAVVGRHVSRHARRLTALVDPYVSVTIAEFDGGARMTRRSDAFDEVKSYLSSSCSRRHDVRHLRAEPAIGAGLDRLVLSMSDGEEIADQFTDGDVTVTVWWWAYSMPPPRSDGGGGGYWGGGGGAVQENRRFYRLFFLDRNRDIVIDRYLPRVRREGRAVMVANRQRKLYTNIATHSWSDSDGLVRSAWSHVVFEHPKTFDTLAMDPARKKGIMNDLTMFKNGKDYYKRVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTGKSIIVIEDIDCSLDLTGARKKKKEEDEYSGDGGAPKPPPGMMKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVDKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDDHELFGVIGGLLSEVDITPADVAENLTPKSMDDNADTCLAELVKALEKAKEDKAKGRNGQEEDEDEDEDDDKKVAEKK >LPERR03G31960.1 pep chromosome:Lperr_V1.4:3:27220285:27220920:1 gene:LPERR03G31960 transcript:LPERR03G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTFFGPVNSGLVLLLLTMLLRNLQNFQLMQTFVARQLSRRARRLAALIDPYLSITINEYDAGRMTRSDVFAETKAYLDGAVGSRDDVRHLTAEESRGGDGGDGGANGEKALVLSMADGEEVEDSFRGATVFWSAHCENDDDKRRGGGGGGGRASQRRSYRLVFHECHRELVRGAYLPYVREQGRAFMAQSRQRKLYTNIPSSRYSDDG >LPERR03G31970.1 pep chromosome:Lperr_V1.4:3:27221765:27222589:1 gene:LPERR03G31970 transcript:LPERR03G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKKKEIIDDLDMFKNGKEHHQRIGKVWKRGYLLYGPPGTGKSTMVAAMANYLGYDVYDMELTSVDTNTLLRKLLIQTTSKSIIVIEDVDCSSNLVTGRRKKKDNNNDNDDDDDKTPKKKSGGGGGGRGIGGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDEALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHELFADVGELLTVAEMVPADVGEHLTAKNPRDNVDSCLARLVKALQDRVKAKKDAAEQQDEDNGVVV >LPERR03G31980.1 pep chromosome:Lperr_V1.4:3:27222897:27226559:-1 gene:LPERR03G31980 transcript:LPERR03G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPATTSSAKRWKRRKPPVAPTRESDSDSEELAYDIPAAEEDEAEEEAPVMEEPEEEEEEEEEEEQELKEKKKEKKKKKEKKEGEKEEKKEKKRKRGSEGGSGILTNMLFSELGVSEPTAKAIREMNYTYLTQIQARSIPHLLKGRDVMGAAKTGSGKTLAFLIPAIEMLHHLHFMPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLQCLIIDEADRLLEQNFEEDMKQIFKRLPLKRQTVLFSATQTEQVKEFAKLSFEKNEESTEKPVYVGVDDNETNATVEGLQQGYCVIDSAKRFLVLYAFLKKKQSKKIMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISMNELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNMKDVAASFCFKNPPNVNIGLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >LPERR03G31990.1 pep chromosome:Lperr_V1.4:3:27227647:27232480:-1 gene:LPERR03G31990 transcript:LPERR03G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPPRGRRQQQQPEEAEVVVLTPRRTRLPRACNSRPKVLPPPPPPPRPRPPPPREAKGEGEDEEEEEVDTTPRCRVVTPLVSEPSSPAEMPRWRLRGMWELASVINFLHVFRPLLNIEADFTAEELEDAILLSNNKLADIHMPLLKSIPPVNRVGMGRGTWITILCRKLKYWWNWVAEGDLPVVASHGTEIEMYKELEPATRLLILKVICDIRVEQEDIRNFIDSSLKRGHELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRKVEYVNEPTKKARRKGVSSLPVVSYQWETVACNFDEFEVAAEKLFSSRNRTEASLGKKLKNNYLPEIEKIHKKKERLVKKQQREALLLDNHLTVDGFTSVRSRRERKRVTYTFDDYERSINEAIKTTKKGENSSDIAATNRRVHPKREASTNGRLAGPSPLCNGFYGEYPLRSHSYQGSDGEKKPETLDRRQRKRSQRYSQDFVEADIDTNFDSDDDIMGEAVYDEEYLRSRKRHKARLLKEDEEFQLEQVTNHHNGNHHNDEEYPSSTSEDEKEPQRYKRLATCSPRETNFTSIDGFQIGITRSKRSTSTRPHIKYQQYDLSGTDTELGKPGKIKASDPDAGSDALHDIELSTTSQDQEEEDAEVNKERLNVLAPSRDNGSAGERVLDLNELAPIGGFEETQSRHGERRLPDNT >LPERR03G32000.1 pep chromosome:Lperr_V1.4:3:27233929:27237913:-1 gene:LPERR03G32000 transcript:LPERR03G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPTLAAELWRPPHLGGGGARAAAVEAAASGVTEQSNGNRGVDCGGGAGRRRQREAPAPEDDSSRLVSTSGGGGGGQDLTDSEAKRFKASKSSGDNGSLRTEAETNSRNASKSVDQNPPQQEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVNAHLNNGIEAFPSKDTPREYAQGSTPSEWLHMQIGGTYERVT >LPERR03G32010.1 pep chromosome:Lperr_V1.4:3:27240007:27243776:1 gene:LPERR03G32010 transcript:LPERR03G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >LPERR03G32020.1 pep chromosome:Lperr_V1.4:3:27249776:27250984:-1 gene:LPERR03G32020 transcript:LPERR03G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAWSSSAALAILFGVLVLMALVMDGGEKPGTPAIVAGRRMLPGGDEGQRRTLEDFKADDPFQDSRRRVPNGPDPIHNRGTGKSGRSPGRA >LPERR03G32030.1 pep chromosome:Lperr_V1.4:3:27252370:27253883:-1 gene:LPERR03G32030 transcript:LPERR03G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRLLVLFGLLSVTAVVPVFFWPGRKGGGSDVTVVVTAPPFNASSVIVVSWKPRIFLYKGFLSDDECDHLVKLGKEKMRRSMVADNESGKSVMSEVRTSSGMFLDKKQDPVVSGIEKRIAAWTMLPEENAENIQILRYEHGQKYDPHFDYFHDKVNQLKGGHRYATVLTYLSTVEKGGETVFPNAEGWESQLKDDSFSDCAKKGLAGNESSNVDCAVKAVKGDSVLFFDLLADGTPDPLSLHGSCPVIEGEKWSAPKWIHVRPYEDTSSMKQSEECSDLSENCAAWAASGECDNNVVYMIGTEDSPGQCQKSCNACSS >LPERR03G32040.1 pep chromosome:Lperr_V1.4:3:27255263:27257310:-1 gene:LPERR03G32040 transcript:LPERR03G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLLVLLIAALSLPTDGGGFYDPARVTQLSWRPRAFLYSGFLSHAECDHLVNLAKGRMEKSMVADNDSGKSVMSQVRTSSGTFLAKHEHLSSLTSISMLSRVKTSNVCVCFHFDPFKDDIVAGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGGHLQLKDETWSDCSRSGLAVKPKKGDALLFFSLHVNATTDPSSLHGSCPVIEGEKWSATKWIHVRSFDHPPDVRSDVPCSDENELCSRWAAVGECYKNPTYMVGTKDTLGFCRKSCGVCDAADA >LPERR03G32050.1 pep chromosome:Lperr_V1.4:3:27258567:27261319:-1 gene:LPERR03G32050 transcript:LPERR03G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRLALLAAAYLLFLLVFELPTVSISPASTHRPRRRELEAATTSLGYGHTSSSPLRPLTRTFPSPNTRRGGSPLAVSSIRFLRHRRRPNSSIDASASSAFAAARPLLHHLLSSSPTPSSSPSPSPSSSASCPSTISVTSGSGGGGVTVELPCGMGVGTRVTVVARPRTARAIAERREAAAMVSQFMVELVGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDEDTVDGLPKCEGWIRGDDSKPEDSNAKWWFNRLIGRENEVSADRPYPFEEGKLFVLTITACLDGYHVNVDGRHVASFPYRTGYSLEDATGLSLNGDLDVESIFAGHLPNSHPSFTPQRYLEMSEQWKATPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGKKEVNEALKKEAEFFGDIVIVPFMDNYDLVVLKTIAIAEYGVRVVPAKYIMKCDDDTFVRIDSVLDQVKKVQREQSMYVGNINYYHRPLRSGKWAVSYEEWQEEVYPPYANGPGYVISSDIAQYVVSEFDNQTLRLFKMEDVSMGMWIEKFNSTRQPVEYLHDVRFFQSGCFDGYYTAHYQSPQQMICLWRKLQSGSAQCCNMR >LPERR03G32060.1 pep chromosome:Lperr_V1.4:3:27263679:27277215:-1 gene:LPERR03G32060 transcript:LPERR03G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDHHNNPWPPHRGYDPRDPAASWHGDASTSAAAAAGANPYIYVPSPQFVPNPLNLVLNHVLHNPAALAAYHHQQQQQQQYYHHHQQQLLHSSAYHQNPNHNLQHRAPAPAPSASAPAPAPAPAGNIQHRPAAPAPAPAPAQPQVKKKKKQMEPLPQAQPPPPLPTPQQQQQLERAQAAARKARDEILKAGEGVTGWKVAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLIEGKVNTFIHCYVAARKIISVYDLESEICKNESVGQFEELGLGPFLQHPLVAHYFSVPADLSMVPKLSSEEIVGWLQKFMDNNTQKKITVENFLDYLAEKKSISGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGNTNVSGGSSHEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIRFDSTDDETEGDSSSEGDAVDNSESKNGRAAIDSKDVDKRVSSCPYPSKTEEMERLGLKSEMSKKPPLDNSKVKESGKKGFMREKRKSEEDGSPNSSCKQPKKKQKVQIQKHELLPNCFLSKLEKFITTWKEACREHPVQQVLELLANYYAETPKEKKKIIKFFSKYPGIGFLNVAVRAMGCGLLDSVYDAINVFNENKSSSIPDTTTELMEVEPPPSKKNIKCTAKGVNDTIDGSKDPGCSVTTDDVIRRITDFFESNGRVSGINASQVGKSTFLKTLLDCETCITAKFSANQFSALGHGTFLEFLGKNEQHLPPKLSNFLKGGKFVPSSVEVSVLQQQIEVLLCQAEDNWLEDGDFLGDSFSMLLKRQFPTISFDIVQNKSGGLLDSIERQRKNVEVNNIMFSMSLLEKRWSGIVPGSHDTSDGPMNDVQQSCSVTVSSQEAIKCLLKAPMLSDLLTWSHWDMLFAPSLGSFIQWLLNTGPVPDLACIVTADGRFIRVDPYATVDQFLEGIIQCSPFQVAVKLLSLLHIYNGSTNTPISLLKCYAQRAIGIIMDNGNNLLNPDSEGKSSGRNIRSDMSTNIGDIVHLVAKFVLDCLGHLPSEFRSLGADILLAGLRTLTKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHPKAETHAASRHPSAVSGVATCENSNLLNATDVDTTDEGSKSLPGKENQIVAVNGNQNVLNLIKTAEFNTDKSLTMEEVNHEEATIVIETIRREEFGLDQSLSYTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYLEDVEPTLAFILQGNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITQGQIGFVLPTAVAPYNTYSISKMLSVEDDKDSSSIWNTCIVLPFRSKFKESTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMTDTLLVMRRKVLANGIVRISHGNDIMSWLVVSKKLQGTIVRHDVCSTEIAVAFTLQENQTGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSALESFCTLPCFKSCPGKAVTTFMSFVPLMGEVHGFFCQLPHLILSKLRLTRCMVLEGSDLQWVYPCNTLRGWDEQTRILFSDSLLQEHLGLGYLSKDIIISDTLSRALGIHDYGPNVLINIMSSICRSDGCIESLGLEWLCAWFVALHISLMYHSSRNHPLTTNSEDFLCALRKIPCIPLSDGSFSSMADGPIWLPYDVLNSKSDSKSSMLNFPVLYSNLRIVSPHLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNGTDANIANIMMIEYVSFIMLHLQSPCSSCNFEKEEIMSELRRRPILLTNHGYKCSSDEPIHFSKEYGNSVDISKLLLNVEIKWIELDSCYLMNHGSDSSSLFELKKWRQFFEEMGVTDFVQVVKVEKNISQAGSFLAGRLSQGHNSGTPCTVHDWESPELVSILSTFSSKKCRENCMYLLEVLDKLWDAHYSEKTRIHTDTHSGENIPVESSFMNSIRSFKWIASAMDEDLHYATDLFYSTEEVRSILGSMAPYAVPQVCSRSLGKEIGFKIKVSHSDALMILKSWIGSQAPFSASMDQMCKFYTFVSEGFAAAIDIKQEFLSCPSIFTPLNRARSSEPVPGKFLSPKELYWHDPTGCSDVITEKVISVKKKKISMLPRRMLSSSYPSLCEFFTEACGVPKVPKTSDYVDILLGLSYAALPSEVANQVFRVFARWANDLHSANDNMNDILFLKGSLQKLDTTILPTLSDKWVSLHPSFGLVCWVDDNELKQHFEDYNDIDFIQFGELSYEDKQMLYGRIAALMKNLGIPALSKVIYREAIFYGTADNREEAALISWLLPYMQRYIYKMHRDTYMNFQQNEITKLINLQLIVVEKLFHKYKLKGRESSSKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADAGTTAAQMESFIVNNQNVPDLPEHEDVWSFSSLIVANQDVDCQETELRSICESQNTEFQSKCEVNISKHQRMSGVASSWPPNDWRTAPDFITSHNSQYAPVQDTNLNNPSLDLTKIVECENSEDVCGPFELEGDWIIEEEFGSENKVLAERIVAVGDEPHMMMSTNSANVPAYLDLATGSSANPAEDIELTEFNDKLPNVLGHRDRLGIGIKAPDPDQALRIGKEGEAAAHQYFVDRFGSNSVRWVNQESETGLPYDIVITHKSGLMEYVEVKATSVSNRNWFYITSREWQFALEKGDTFSIARVVFSPSLKKPNFLILKNPYNHYQKKSMHLALIMSDSMLQRLQTKRRYSEGNSGSQTDANH >LPERR03G32070.1 pep chromosome:Lperr_V1.4:3:27278659:27282413:-1 gene:LPERR03G32070 transcript:LPERR03G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPAATGAACRRWAIQGFVVVFLVYVLAVVLVQSPPLVSTSVRGAASSRRLHLDDGEGEGERAAPARPSKRPHRETLSAAEGRLRLRRGTSRMLSSAGIVSGLDLRQLNATTRAGSLRKVAAEAAAAGVRVFSELETLSGTVSKLDFSGKEEEEEVRSRCPHSIVLTGDEFRERGRAVELPCGLTLGSYITVAATPRAAHADRDPKITLLRDGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLHGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKISVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTAHPSFAPQKQLEMLPIWQAPPLPEKPVEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAQLKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVMAELKKIPRGKSLYVGNMNYHHKPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFATHKLRLFKMEDVSMGMWVERINNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQDGKAQCCNMR >LPERR03G32080.1 pep chromosome:Lperr_V1.4:3:27283287:27288179:1 gene:LPERR03G32080 transcript:LPERR03G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPPLLPSPCLRRRRSLLLLLPQILLLSTLLLSSALSLEEGMGVLTVGEELMGETMPLRHGRRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPRSVEDLGSMNRRLLNTEKIIFKAESSRLVYVLVTVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASLAPCFLPLHKLLNYEATEQSKDDATKLS >LPERR03G32090.1 pep chromosome:Lperr_V1.4:3:27295432:27299036:1 gene:LPERR03G32090 transcript:LPERR03G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARWCVAAAMVLAAILGVTQGDFAADRAECADKLMGLATCLTYVEEKATAKAPTRDCCAGLGQVVAASKKCLCVLVKDRDEPALGFRINVTRAMDLPDACSMAGATFSDCPKMLNMSPNSKEAEIFQQYAREHESKNGTKSAPAAAAAATGSTGATAATGDAGVGQQRRSWLAVLVAGVAVIAAVFGL >LPERR03G32100.1 pep chromosome:Lperr_V1.4:3:27300404:27305699:1 gene:LPERR03G32100 transcript:LPERR03G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKSSRSRPAGHSGVLTVNAAATGGDGGVPLADKLKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCAYLQDLKKASSEEMRKSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLTEGSAEEGITTDDDQEPSEIQKWCTDFPDMLDVLLAERRVDEALDALDEAERVVAGVKQKETLTTDGILTLKRAIADYRQKLADQLAEAACQSSTRSIELRASASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSASHSGAYTSSLAQQVFSVIAQALSDSVELFGDEPSYLSELVIWAKEQAESFALLVKNHALASCAAAGGLRAAAECIQIALGHSSLLETRGLSLSFVLMKQFRPSVEQALESNLRRIEESTAALAAADDWLLTYAPSGIRTFARSTSSLVLQPKLSSSAHRFNSMVQDFFEDVGPLHSLQLGGSAMDRLLKIFNSYVNLLISALPGSLDDEVNTEGLGNRIVRMAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGTIDIRKKGIDRQNRVAEQREWKRKLQRMVDKLKDIFCRQHALDLIFTEEGDTHLSAEMYINMDNIAEEPEWAPSLIFQELYAKLNRMASTAADMFTGRERFATFLLMRLTETVILWLSEDPSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILKIIDRAMEAFSSTGTNPDSVLPSDDWFIDVANDTISRISGKPRIANGEREVNSPTASVSAQSISSVRSHGSS >LPERR03G32100.2 pep chromosome:Lperr_V1.4:3:27300404:27307761:1 gene:LPERR03G32100 transcript:LPERR03G32100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKSSRSRPAGHSGVLTVNAAATGGDGGVPLADKLKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCAYLQDLKKASSEEMRKSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLTEGSAEEGITTDDDQEPSEIQKWCTDFPDMLDVLLAERRVDEALDALDEAERVVAGVKQKETLTTDGILTLKRAIADYRQKLADQLAEAACQSSTRSIELRASASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSASHSGAYTSSLAQQVFSVIAQALSDSVELFGDEPSYLSELVIWAKEQAESFALLVKNHALASCAAAGGLRAAAECIQIALGHSSLLETRGLSLSFVLMKQFRPSVEQALESNLRRIEESTAALAAADDWLLTYAPSGIRTFARSTSSLVLQPKLSSSAHRFNSMVQDFFEDVGPLHSLQLGGSAMDRLLKIFNSYVNLLISALPGSLDDEVNTEGLGNRIVRMAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGTIDIRKKGIDRQNRVAEQREWKRKLQRMVDKLKDIFCRQHALDLIFTEEGDTHLSAEMYINMDNIAEEPEWAPSLIFQELYAKLNRMASTAADMFTGRERFATFLLMRLTETVILWLSEDPSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILKIIDRAMEAFSSTGTNPDSVLPSDDWFIDVANDTISRISGKPRIANGEREVNSPTASVSAQSISSVRSHGSS >LPERR03G32100.3 pep chromosome:Lperr_V1.4:3:27300404:27307761:1 gene:LPERR03G32100 transcript:LPERR03G32100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKSSRSRPAGHSGVLTVNAAATGGDGGVPLADKLKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCAYLQDLKKASSEEMRKSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLTEGSAEEGITTDDDQEPSEIQKWCTDFPDMLDVLLAERRVDEALDALDEAERVVAGVKQKETLTTDGILTLKRAIADYRQKLADQLAEAACQSSTRSIELRASASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSASHSGAYTSSLAQQVFSVIAQALSDSVELFGDEPSYLSELVIWAKEQAESFALLVKNHALASCAAAGGLRAAAECIQIALGHSSLLETRGLSLSFVLMKQFRPSVEQALESNLRRIEESTAALAAADDWLLTYAPSGIRTFARSTSSLVLQPKLSSSAHRFNSMVQDFFEDVGPLHSLQLGGSAMDRLLKIFNSYVNLLISALPGSLDDEVNTEGLGNRIVRMAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGTIDIRKKGIDRQNRVAEQREWKRKLQRMVDKLKDIFCRQHALDLIFTEEGDTHLSAEMYINMDNIAEEPEWAPSLIFQELYAKLNRMASTAADMFTGRERFATFLLMRLTETVILWLSEDPSFWEEIEEGPRALGRFLSRHVHQVILKIIDRAMEAFSSTGTNPDSVLPSDDWFIDVANDTISRISGKPRIANGEREVNSPTASVSAQSISSVRSHGSS >LPERR03G32110.1 pep chromosome:Lperr_V1.4:3:27309328:27309984:-1 gene:LPERR03G32110 transcript:LPERR03G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLILTTILAVFISTSIADPDPIQDFCVGIPAPTNSPAYTGFPCKPESNVTSDDFYFTGLAATVKTYNRFGTNVSVANVDTFPGLNTMGLSISRIEHAPGGLNPPHTHPRATEMVHVIEGRILIGFVSTARRFYSKVLNDGDTFVIPRGMVHFEYNVGGDTARVMTAFNSQLPGVEAAAPSLFGTDPEIPDFVLTKSLQVDVGVIRLLKSKFQNQN >LPERR03G32120.1 pep chromosome:Lperr_V1.4:3:27313001:27314759:-1 gene:LPERR03G32120 transcript:LPERR03G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGGGRGDGKLNVAVFPWLAFGHMIPYLELSKRLAARGHDVTFLATPRNVARMPPVPANLSSRLRYVPLPLTPVDGLPEGAESTADVPPGNDELIKTACDGLAAPFAAFLSAAVACGRKPDWIVIDFTYHWLPSIAGEHNVPCAGFFIVQAAMIAFLGPRWANAGETRTVTDLTTPPAWCHSFPPTMAFRRSEARWVVGALQPNASGISDMERMWLTIEACRFTIHRSSDEIEPGVLPLLTSLHRKPAVASGVLLPPPPPPKKPNLAAVTDTIRWLDAQPPKSVIYVALGSEAPVTASNLHELALGLELAGVRFLWALRAHGEILLPEGFVERTRRRGVVWTGWVPQVEVLAHGAVVASLTHCGWGTTIESLAFGHPLVMLPFVVDQGLIARAMAARGVGVEVAREEEEDGGGGFGRDAVAAAVRSVMVEDEGKVFGENARRVMEAVRDQRRQEQYIDELVELFNREVGKAVKRGRQTSAGSLEGEADPESVGAEECHIAA >LPERR03G32120.2 pep chromosome:Lperr_V1.4:3:27313213:27314759:-1 gene:LPERR03G32120 transcript:LPERR03G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGGGRGDGKLNVAVFPWLAFGHMIPYLELSKRLAARGHDVTFLATPRNVARMPPVPANLSSRLRYVPLPLTPVDGLPEGAESTADVPPGNDELIKTACDGLAAPFAAFLSAAVACGRKPDWIVIDFTYHWLPSIAGEHNVPCAGFFIVQAAMIAFLGPRWANAGETRTVTDLTTPPAWCHSFPPTMAFRRSEARWVVGALQPNASGISDMERMWLTIEACRFTIHRSSDEIEPGVLPLLTSLHRKPAVASGVLLPPPPPPKKPNLAAVTDTIRWLDAQPPKSVIYVALGSEAPVTASNLHELALGLELAGVRFLWALRAHGEILLPEGFVERTRRRGVVWTGWVPQVEVLAHGAVVASLTHCGWGTTIESLAFGHPLVMLPFVVDQGLIARAMAARGVGVEVAREEEEDGGGGFGRDAVAAAVRSVMVEDEGKVFGENARRVMEAVRDQRRQEQYIDELVELFNRGGEMIDGEITVNDGSFGD >LPERR03G32130.1 pep chromosome:Lperr_V1.4:3:27319974:27329013:1 gene:LPERR03G32130 transcript:LPERR03G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLKVAAGRIKRQIPPEEHWAFAYSMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQQFHQHIYNRDWHYSCGTKDYKVLMDKFRLVSTAFLELGQGYQEAIEEITKRMGAGMAKFICKEVETVEDYNEYCHYVAGLVGYGLSRLFYAGGMEDLASDKLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYEENSEKAVQCLNDMVTNALSHAEDCLKYMSALKDHAIFRFCAIPQIMAIGTCAVCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLIESKIDNNDPNALLTRKRVDAIKRTCKSSCLLKRRGYDLETSKYNTMMIMVALLLVAIVLGMIYAK >LPERR03G32130.2 pep chromosome:Lperr_V1.4:3:27319974:27325619:1 gene:LPERR03G32130 transcript:LPERR03G32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLKVAAGRIKRQIPPEEHWAFAYSMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQQFHQHIYNRDWHYSCGTKDYKVLMDKFRLVSTAFLELGQGYQEAIEEITKRMGAGMAKFICKEVETVEDYNEYCHYVAGLVGYGLSRLFYAGGMEDLASDKLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYEENSEKAVQCLNDMVTNALSHAEDCLKYMSALKDHAIFRFCAIPQIMAIGTCAVCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLIESKIDNNDPNALLTRKRVDAIKRTCKSSCLLKRRGYDLETSKYNTMMIMVALLLVAIVLASNVEQKQQ >LPERR03G32130.3 pep chromosome:Lperr_V1.4:3:27319974:27326079:1 gene:LPERR03G32130 transcript:LPERR03G32130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLKVAAGRIKRQIPPEEHWAFAYSMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQQFHQHIYNRDWHYSCGTKDYKVLMDKFRLVSTAFLELGQGYQEAIEEITKRMGAGMAKFICKEVETVEDYNEYCHYVAGLVGYGLSRLFYAGGMEDLASDKLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYEENSEKAVQCLNDMVTNALSHAEDCLKYMSALKDHAIFRFCAIPQIMAIGTCAVCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLIESKIDNNDPNALLTRKRVDAIKRTCKSSCLLKRRGYDLETSKYNTMMLANIKHRNSELF >LPERR03G32140.1 pep chromosome:Lperr_V1.4:3:27326497:27331844:-1 gene:LPERR03G32140 transcript:LPERR03G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVANAEESAPATGAASAPVANHQNSRPTRSAYVPPHLRGQAPAPTPAPAAAPGPAAVQSSSSVQPSGYAAVVGGSRWAGPASSGGGSGALGGPRQSGGGRRGGGGGWNSRPGWDRRDREPNPFANSEAEEPTDVDFESQANTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMKSRPPQRPRGSRTALPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRDLERGVEILVATPGRLMDLLERARVSLQMVQFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQSLTLVFVETKRGADALENWLYTNGFPATSIHGDRSQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNISLARPLCELMQEANQEVPQWLERYSARSSFGGGGGGNRRSGGARFGGRDFRRDQRGGGGGGGGYGGGGGGYRGGGGYGGGGGGYSGGGYGGGQGATSSWD >LPERR03G32150.1 pep chromosome:Lperr_V1.4:3:27339503:27344610:1 gene:LPERR03G32150 transcript:LPERR03G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSYVYTCIYIKFICFCLRPLLKSPNQPWTHLGRVLLLPSFLLPPSLDNHPQASPNLNYISTVPSSFPDLLPLSHLSFPLPLLSSSTRARAPRRARSRVGRHAPSPAKMSSGSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHAFIQFEPAPRRGEPDVTRRTPDYFL >LPERR03G32160.1 pep chromosome:Lperr_V1.4:3:27345367:27347900:-1 gene:LPERR03G32160 transcript:LPERR03G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGAGLTKWQAAALSAVAGWVWAASLFGLTRRTRALVQPWVNRRVQAETPAIVRFQRTHHRLLDNFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGPHDSVMVATGLCLAILLVTLVGLGRIYLGMHSLTDVIAGIGFGIVILAFWLAVHDHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGVQQTYFHFHNPDVPLIFSPQLPLLAFVGRVLVGIPTILVVKFCSKALSRWLLPVMCSTLGIPIVSSCYVPALKISEKSKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLRCFVHSSNEEVLTCEILLNEDRDHHEL >LPERR03G32170.1 pep chromosome:Lperr_V1.4:3:27350452:27354857:-1 gene:LPERR03G32170 transcript:LPERR03G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGRVWEIQPRDVEATGMSAADAVAFVAALRSAAATAASGGDGQPDAVWEAVAAAGILRPEHPHALHQLVYYSTYAGWDRAARGPPPYWFPSRIDAKQTNLGKLMEAHGPKLLGSSYKDPISSFQLFHKFSVEHQEVYWSMVLKQLSVKFQQEPKSILDASDKSRKGGTWFQGAVLNIAESCLLPWPLRNRTDDSTAIVWRDEGLDDYPVNRISLKDLRNQVITVANALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGDFLGVALRNGDISWKDFLSCAAGRSSIYPTVYQSSDALTNILFSSGTTGEPKAIPWSQLPPIRCACDTWAHLDVWPQDICCWPTNLGWVMGPIILYSCFLSGAALALYHGSPLGRGFCKFVQDAGVTVLGSVPSLVKSWKAGNFTEGLDWTKISILATTGEASDIDDDLWLSSRAGYKPIIECCGGTELASSYIQGSLLRPQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERICNRADENLLETGAVSVKPAGGGPEQLAILAVLKDRSAPCDANVLKSKFQRAIQKNLNPLFKVSYAKIVPEFPRTASNKLLRRVLRDQLNKELSNHSKL >LPERR03G32180.1 pep chromosome:Lperr_V1.4:3:27356804:27371198:1 gene:LPERR03G32180 transcript:LPERR03G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPLLLLPRPRPSLPLRLAPPPPRASAGVARTRLRRRRLSTTTTTVSAVAAETAPADSPSPSPSDEERFDWLDQWYPIAPVCDLDPRAPHGKTVLGLRIVAWFDRTVSGDAAAGDGEWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPPVHKNSKACVASYPCVVQNNILWFYPRSEPEYSDVLQRKRPPYIPQIDDPSFVTVYGVRDLYYGYDVLVENLMDPAHVPYAHKGLMRIRKKEDPGRYNIDLLSTVEFDKEGGGPIKMQIEDANVDGFMSVQERGYFKFVAPCTFYGSPLRTEAEADEGKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFVAAGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWAAPIANQLPATPTKDQLMERYWSHVMQCTSCSAALKRMRALEVALQVASVAVVGFLAVAKGTVVTSVVQRAAVVAVAVLCFAASRWLANFIEKNFYFQDYVHAYKPISTARLVPWRRQWIGCTDAKELSMSVSAVAAKTPLPWADEEAPVGGERFDWLDQWYPIAPICDLDKRAPHGKMVMGLKIVTWFDGGEWRVLDDMCPHRLAPLSEGRVDNKGRLQCAYHGWCFDGRGSCQFIPQAPALGPHVHKNNKACVASYPCVVQNNILWFYPRSEPEFKDVLLRKRPPYIPEIDNPSSVIDYGVRDLLYGYELLVENFMDPAHVPYAHRGLFPHVPREEDLGRYEGGVPIKMNIEEANIDGFHSNLKESWGYFKFVAPITLIGSPFRAEPVDNNNNNDNSSNKKQPEPVAVFFCVPVAPGKCRVIWANGHFLDGWFDRFIPRWWRHTKGNQVLDSDSSVLHIEERNYAAVGLDNWHKACYVPTSSDNLIIAFRNWFKKYGNNQVGWLAPTANQLPPASTRVEVFERYWSHVMQCTSCRAALKGLRALEVALQVASVAIVGFLAAAKGNTVVTSTARRATIVAVAVLFFAASRWLTNYIEKAFYFQDFVLAEQ >LPERR03G32180.2 pep chromosome:Lperr_V1.4:3:27356804:27371198:1 gene:LPERR03G32180 transcript:LPERR03G32180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPLLLLPRPRPSLPLRLAPPPPRASAGVARTRLRRRRLSTTTTTVSAVAAETAPADSPSPSPSDEERFDWLDQWYPIAPVCDLDPRAPHGKTVLGLRIVAWFDRTVSGDAAAGDGEWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPPVHKNSKACVASYPCVVQNNILWFYPRSEPEYSDVLQRKRPPYIPQIDDPSFVTVYGVRDLYYGYDVLVENLMDPAHVPYAHKGLMRIRKKEDPGRYNIDLLSTVEFDKEGGGPIKMQIEDANVDGFMSVQERGYFKFVAPCTFYGSPLRTEAEADEGKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFVAAGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWAAPIANQLPATPTKDQLMERYWSHVMQCTSCSAALKRMRALEVALQVASVAVVGFLAVAKGTVVTSVVQRAAVVAVAVLCFAASRWLANFIEKNFYFQDYVHAYKPISTARLVPWRRQWIGCTDAKELSMSVSAVAAKTPLPWADEEAPVGGERFDWLDQWYPIAPICDLDKRAPHGKMVMGLKIVTWFDGGEWRVLDDMCPHRLAPLSEGRVDNKGRLQCAYHGWCFDGRGSCQFIPQAPALGPHVHKNNKACVASYPCVVQNNILWFYPRSEPEFKDVLLRKRPPYIPEIDNPSSVIDYGVRDLLYGYELLVENFMDPAHVPYAHRGLFPHEGGVPIKMNIEEANIDGFHSNLKESWGYFKFVAPITLIGSPFRAEPVDNNNNNDNSSNKKQPEPVAVFFCVPVAPGKCRVIWANGHFLDGWFDRFIPRWWRHTKGNQVLDSDSSVLHIEERNYAAVGLDNWHKACYVPTSSDNLIIAFRNWFKKYGNNQVGWLAPTANQLPPASTRVEVFERYWSHVMQCTSCRAALKGLRALEVALQVASVAIVGFLAAAKGNTVVTSTARRATIVAVAVLFFAASRWLTNYIEKAFYFQDFVLAEQ >LPERR03G32180.3 pep chromosome:Lperr_V1.4:3:27356804:27371198:1 gene:LPERR03G32180 transcript:LPERR03G32180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPLLLLPRPRPSLPLRLAPPPPRASAGVARTRLRRRRLSTTTTTVSAVAAETAPADSPSPSPSDEERFDWLDQWYPIAPVCDLDPRAPHGKTVLGLRIVAWFDRTVSGDAAAGDGEWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPPVHKNSKACVASYPCVVQNNILWFYPRSEPEYSDVLQRKRPPYIPQIDDPSFVTVYGVRDLYYGYDVLVENLMDPAHVPYAHKGLMLEFDKEGGGPIKMQIEDANVDGFMSVQERGYFKFVAPCTFYGSPLRTEAEADEGKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFVAAGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWAAPIANQLPATPTKDQLMERYWSHVMQCTSCSAALKRMRALEVALQVASVAVVGFLAVAKGTVVTSVVQRAAVVAVAVLCFAASRWLANFIEKNFYFQDYVHAYKPISTARLVPWRRQWIGCTDAKELSMSVSAVAAKTPLPWADEEAPVGGERFDWLDQWYPIAPICDLDKRAPHGKMVMGLKIVTWFDGGEWRVLDDMCPHRLAPLSEGRVDNKGRLQCAYHGWCFDGRGSCQFIPQAPALGPHVHKNNKACVASYPCVVQNNILWFYPRSEPEFKDVLLRKRPPYIPEIDNPSSVIDYGVRDLLYGYELLVENFMDPAHVPYAHRGLFPHVPREEDLGRYEGGVPIKMNIEEANIDGFHSNLKESWGYFKFVAPITLIGSPFRAEPVDNNNNNDNSSNKKQPEPVAVFFCVPVAPGKCRVIWANGHFLDGWFDRFIPRWWRHTKGNQVLDSDSSVLHIEERNYAAVGLDNWHKACYVPTSSDNLIIAFRNWFKKYGNNQVGWLAPTANQLPPASTRVEVFERYWSHVMQCTSCRAALKGLRALEVALQVASVAIVGFLAAAKGNTVVTSTARRATIVAVAVLFFAASRWLTNYIEKAFYFQDFVLAEQ >LPERR03G32180.4 pep chromosome:Lperr_V1.4:3:27356804:27371198:1 gene:LPERR03G32180 transcript:LPERR03G32180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPLLLLPRPRPSLPLRLAPPPPRASAGVARTRLRRRRLSTTTTTVSAVAAETAPADSPSPSPSDEERFDWLDQWYPIAPVCDLDPRAPHGKTVLGLRIVAWFDRTVSGDAAAGDGEWRVFDDACPHRLAPLSEGRVASVAVVGFLAVAKGTVVTSVVQRAAVVAVAVLCFAASRWLANFIEKNFYFQDYVHAYKPISTARLVPWRRQWIGCTDAKELSMSVSAVAAKTPLPWADEEAPVGGERFDWLDQWYPIAPICDLDKRAPHGKMVMGLKIVTWFDGGEWRVLDDMCPHRLAPLSEGRVDNKGRLQCAYHGWCFDGRGSCQFIPQAPALGPHVHKNNKACVASYPCVVQNNILWFYPRSEPEFKDVLLRKRPPYIPEIDNPSSVIDYGVRDLLYGYELLVENFMDPAHVPYAHRGLFPHVPREEDLGRYEGGVPIKMNIEEANIDGFHSNLKESWGYFKFVAPITLIGSPFRAEPVDNNNNNDNSSNKKQPEPVAVFFCVPVAPGKCRVIWANGHFLDGWFDRFIPRWWRHTKGNQVLDSDSSVLHIEERNYAAVGLDNWHKACYVPTSSDNLIIAFRNWFKKYGNNQVGWLAPTANQLPPASTRVEVFERYWSHVMQCTSCRAALKGLRALEVALQVASVAIVGFLAAAKGNTVVTSTARRATIVAVAVLFFAASRWLTNYIEKAFYFQDFVLAEQ >LPERR03G32180.5 pep chromosome:Lperr_V1.4:3:27356804:27370920:1 gene:LPERR03G32180 transcript:LPERR03G32180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPLLLLPRPRPSLPLRLAPPPPRASAGVARTRLRRRRLSTTTTTVSAVAAETAPADSPSPSPSDEERFDWLDQWYPIAPVCDLDPRAPHGKTVLGLRIVAWFDRTVSGDAAAGDGEWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPPVHKNSKACVASYPCVVQNNILWFYPRSEPEYSDVLQRKRPPYIPQIDDPSFVTVYGVRDLYYGYDVLVENLMDPAHVPYAHKGLMLEFDKEGGGPIKMQIEDANVDGFMSVQERGYFKFVAPCTFYGSPLRTEAEADEGKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFVAAGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWAAPIANQLPATPTKDQLMERYWSHCTSCRAALKGLRALEVALQVASVAIVGFLAAAKGNTVVTSTARRATIVAVAVLFFAASRWLTNYIEKAFYFQDFVLAEQ >LPERR03G32190.1 pep chromosome:Lperr_V1.4:3:27384346:27387363:1 gene:LPERR03G32190 transcript:LPERR03G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYLHLLPNASRPLPPLRHLNLAAGASATSLAPRHLQRMRADTRWSSMSMSADTMRPVVEAVPMAAGEEQFDWLDQWYPIAPVCDLNPRAPHGKMVLGISVVAWFDGSDWRVADDTCPHRLAPLSEGRVDHKGRLQCAYHGWCFEGRGTCQFIPQAPALGPPVHKNNKARVATYPCVVQNNILWFYPRTEPEHRDVLQRKQPPYIPEIDKDDPSYFMIYGVRDLPYGCITDLDFGTFIDLLSTVEFDQEGGLPFKMKIEEANIDGFHSNPKEDWGFFKFVAPCTLLGSPFGTVEEPEVRVVFFCVPVAPGKSRCIWASRYKVSGWLDKMMPRWFYHMGSNAILDSDTGLLHVEERNIAAVGLDNWHKACYVPTSSDNLVIAYRNWFRKYCNHQIGWANPTVNQQLLPPPTPTRDQLLERYWSHVMQCTSCSAALKRMRVLEVVLQVASVAVVGFLAVAKGNVVTSVVQRAAVVAVAVLCFAASRWLANFIEKTFYFQDYVHAGK >LPERR03G32200.1 pep chromosome:Lperr_V1.4:3:27392001:27394781:1 gene:LPERR03G32200 transcript:LPERR03G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSCARPSLSLPVSIRKQSAASLSPWLSHTGVRRRRRLPMPVSAVAVEMPPPTLAEPEAEKERFDWLDQWYPIGPICDLDPRAPHGRTVLGLDIVAWFDGGEWRVVDDACPHRLAPLSEGRVDDKGRLQCAYHGWCFDGSGSCQFIPQAPALGPPVHKNKKACVAPYPSVVQNNILWFYPRTEPEYRDVLQRKQPPYFPDLDNPSFETIYGTRDFLYGYDFLVENLMDPAHVPYAHKGIVPPFQFDKEHGGPIKMKIEEANINGFLSIQDENWGQFRFTAPCTLHRSEMPIESLEKRKKQPEGMMVFLCVPVSPGRSRLIWAFPRSVNAWPEKIIPRWLHHLVSNAVLDSDLYLLHIEERKFAVAGLDNWHKACYVPTSSDNMTITFRNWFRKYCEHQIGWATPVVNQLPPTPSKDQLMERYWSHVMQCTSCTAALKWMRALEVGLQVASVAVVGFLAVSKGKIVTSVVQRAAVVAVAVLCFAASRWLANFIEKAFYFQDYVHAYQ >LPERR03G32200.2 pep chromosome:Lperr_V1.4:3:27393010:27394781:1 gene:LPERR03G32200 transcript:LPERR03G32200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAILQCSSASLTQNIHLLSTVEFDKEHGGPIKMKIEEANINGFLSIQDENWGQFRFTAPCTLHRSEMPIESLEKRKKQPEGMMVFLCVPVSPGRSRLIWAFPRSVNAWPEKIIPRWLHHLVSNAVLDSDLYLLHIEERKFAVAGLDNWHKACYVPTSSDNMTITFRNWFRKYCEHQIGWATPVVNQLPPTPSKDQLMERYWSHVMQCTSCTAALKWMRALEVGLQVASVAVVGFLAVSKGKIVTSVVQRAAVVAVAVLCFAASRWLANFIEKAFYFQDYVHAYQ >LPERR03G32200.3 pep chromosome:Lperr_V1.4:3:27392001:27392950:1 gene:LPERR03G32200 transcript:LPERR03G32200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSCARPSLSLPVSIRKQSAASLSPWLSHTGVRRRRRLPMPVSAVAVEMPPPTLAEPEAEKERFDWLDQWYPIGPICDLDPRAPHGRTVLGLDIVAWFDGGEWRVVDDACPHRLAPLSEGRVDDKGRLQCAYHGWCFDGSGSCQFIPQAPALGPPVHKNKKACVAPYPSVVQNNILWFYPRTEPEYRDVLQRKQPPYFPDLDNPSFETIYGTRDFLYGYDFLVENLMDPAHVPYAHKGIVPPFQVEEDPGR >LPERR03G32210.1 pep chromosome:Lperr_V1.4:3:27394775:27404254:-1 gene:LPERR03G32210 transcript:LPERR03G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGLAWGRGDPLFSHPFPIQPNCMDGSGAHRKPRRYAITYSILKIADVERKIGPSSYAITPSKFSASAKRERDFSLLTPKRNYSPGALLSRPHPDFIGDFAPREGGSSVFAVALIGIPPNNFPQQENKNSQILKLERTIRQVLKLGVLQTEQQEDKECYRSRRQNVAQASTLYKFEEKKTFGLMHCWDILHEHPKWNSVVTQEKQKTQVDASPMACPCSNLDLSQLNAPCCVKLGNVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDESLERVFVEEKSFTYLYQEGDIVTLMEPETFEQLEVSKELFGKAAAYLKDEMKVTLQYFDGRPMSASVPARVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLVPPFVEAGENIVVSTADDSYMTRA >LPERR03G32210.2 pep chromosome:Lperr_V1.4:3:27394775:27399285:-1 gene:LPERR03G32210 transcript:LPERR03G32210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRKLLEASRRLPLSFPTAPTAHRRGGHAHAVATLAAALRDRSSGSLAAAPWAAIQRRGAKMLGSEVKLGNVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDESLERVFVEEKSFTYLYQEGDIVTLMEPETFEQLEVSKELFGKAAAYLKDEMKVTLQYFDGRPMSASVPARVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLVPPFVEAGENIVVSTADDSYMTRA >LPERR03G32210.3 pep chromosome:Lperr_V1.4:3:27399732:27404254:-1 gene:LPERR03G32210 transcript:LPERR03G32210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGLAWGRGDPLFSHPFPIQPNCMDGSGAHRKPRRYAITYSILKIADVERKIGPSSYAITPSKFSASAKRERDFSLLTPKRNYSPGALLSRPHPDFIGDFAPREGGSSVFAVALIGIPPNNFPQQENKNSQILKLERTIRQVLKLGVLQTEQQEDKECYRSRRQNVAQASTLYKFEEKKTFGLMHCWDILHEHPKWNSVVTQEKQKTQVDASPMACPCSNLDLSQLNAPCCVNYY >LPERR03G32220.1 pep chromosome:Lperr_V1.4:3:27404999:27406010:-1 gene:LPERR03G32220 transcript:LPERR03G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGIFFVRPETFEQLEVSKDLFGKASSYLKDLAGAPAGARGSAVATLGWRQCGTSDAAEARCGRVGAGYVDNAWTVGGWRSPPTGDGVLHGRRWQRFG >LPERR03G32230.1 pep chromosome:Lperr_V1.4:3:27407480:27411223:1 gene:LPERR03G32230 transcript:LPERR03G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAVAVAAAFLLLVAGAAGAAVGEEAGEQTPSTCELRRPAFLDAVASRCPYIWIEPSPPVEVRGEAIEKELNLRRRGVSYSVLFYAAWCPFSSKFWPTFEALSTMFPQIYHFAVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYRGPKDLSSLVDFYKETTGLDPIAYFDVDHQENTGGLRAIMPGDRSLRKIAKDEPFLLLAVLFIILKVSARFVPIVISHLRTFLVVRVQNLNSGICRGSSQLLERVFNVLDVKRLCSKFRLSNKTRDLRKGASNARAWASSFTSVSLGEPSS >LPERR03G32240.1 pep chromosome:Lperr_V1.4:3:27411718:27413527:1 gene:LPERR03G32240 transcript:LPERR03G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISLDEWELLPDNKSFYFTEEFTSDYGTFGDDETSNPFLTMQPSEEVYVGDPVVKFKDIEVMKIEPNREEFVPKMTEIFDAEEETEMIKSPIGAKEFDGDEEVMIVVAPDQCAEEEEGDQKDREHNGFSVGKLKVNGVGALCSFGVAAATFCIFLLGGRQQQLHKRQNQKTQLQIYADNERIQQVVEQASRLNQAVSTVMGGASTRASISFGGYYGGF >LPERR03G32240.2 pep chromosome:Lperr_V1.4:3:27411747:27413527:1 gene:LPERR03G32240 transcript:LPERR03G32240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGASIMDAISLDEWELLPDNKSFYFTEEFTSDYGTFGDDETSNPFLTMQPSEEVYVGDPVVKFKDIEVMKIEPNREEFVPKMTEIFDAEEETEMIKSPIGAKEFDGDEEVMIVVAPDQCAEEEEGDQKDREHNGFSVGKLKVNGVGALCSFGVAAATFCIFLLGGRQQQLHKRQNQKTQLQIYADNERIQQVVEQASRLNQAVSTVMGGASTRASISFGGYYGGF >LPERR03G32250.1 pep chromosome:Lperr_V1.4:3:27423240:27424115:1 gene:LPERR03G32250 transcript:LPERR03G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVALVFDLELVLLLGDMKKDAYRKSGANRPMLNAAFVARREHIYGKKVYTAKAQFCDNGQCHDVVIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQIFRESQLQGLGFSLILYAWKLE >LPERR03G32260.1 pep chromosome:Lperr_V1.4:3:27425776:27428492:1 gene:LPERR03G32260 transcript:LPERR03G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNSVFSPLRKLWVRAHSARRNRRGMHILYKDVKSCQDEDVHVLWSILVDSHRHPALMKLKL >LPERR03G32270.1 pep chromosome:Lperr_V1.4:3:27428787:27431368:1 gene:LPERR03G32270 transcript:LPERR03G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSALSQWFVNPRRNPLARLHMQAVSSRLRKYGLRYDDLYDPKHDLDIKEALDRLPREVVDARIQRLKRAMDLSMKHQYLSDELQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHERTLP >LPERR03G32270.2 pep chromosome:Lperr_V1.4:3:27428787:27431768:1 gene:LPERR03G32270 transcript:LPERR03G32270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSALSQWFVNPRRNPLARLHMQAVSSRLRKYGLRYDDLYDPKHDLDIKEALDRLPREVVDARIQRLKRAMDLSMKHQYLSDELQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHERTLP >LPERR03G32280.1 pep chromosome:Lperr_V1.4:3:27437257:27438909:-1 gene:LPERR03G32280 transcript:LPERR03G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMPPPPPPSPSSVCSDRDRDLDVPPVTRAIDDFQPAPPTTSPESLPYSTARTELNRAYDSALPCPASIIFWLS >LPERR03G32290.1 pep chromosome:Lperr_V1.4:3:27446358:27447868:1 gene:LPERR03G32290 transcript:LPERR03G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSMGCGAPSYVVLDRCVDIQEERDDVESSEWKMLECKDRKTYLSEKDAKPTMVADALALLVRCVHSVGDDLIVFTADLSDGSECYLVYDAIDKPLTMIPYLPNSCTPFATIQPLPVRGGGGYSLALLGRDERIDRQLRKRYKQHAVCLCPPPPSSIPPPDYSCSYNSTPWGFKKALFPPDDMPDFFDAHAVFTSKSGSIWADLLKGALVCTTDDIISGGSDVPFRYIPLPPECQFGVGVKKWHLIKSQLKLCRTMSCCGDSIIKFVSIDIDVDGSVPPNKTMVKVWTLNLATQQWHKDWEFSVASLWEMEGFNKAGLPRSKPINPIITMEQQVDVLYFMLTSYAFPLEEDDESGAPFSFSFSAAAKKEREVYMVSLNMNTKTILSSTRLPSYPFMPPLGFLPANLCRPAPGEVFPVPPKRINHGDLDPVCPTASFLGYVFNFDWMCLPFYICLLRIWCDLILSV >LPERR03G32300.1 pep chromosome:Lperr_V1.4:3:27450555:27458921:1 gene:LPERR03G32300 transcript:LPERR03G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSGWAVAVARGSAAAWQRVACNPETLPADQVLGLLCCAPLYLLARLAAFLCIPFIPAQTMPRLLSPRIRTRGGGHPRLLLLLPPQEDDLLAEEPIYSPFPSSSSSSSSSDDDDDDDEDVEDIHLHFETGQNKKMDLSACHLLLSDGLLLLPDGLQLCSVLCRFFSKALQMEGRQTPWSRLRLACRLFQAMPCLLSPRIRTQGGHPRLLLLLPPQEDDLLAEEPIYSPFPSSSSSSSSSDDDDDDDEDGKDIHLHFE >LPERR03G32300.2 pep chromosome:Lperr_V1.4:3:27450555:27457583:1 gene:LPERR03G32300 transcript:LPERR03G32300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSGWAVAVARGSAAAWQRVACNPETLPADQVLGLLCCAPLYLLARLAAFLCIPFIPAQTMPRLLSPRIRTRGGGHPRLLLLLPPQEDDLLAEEPIYSPFPSSSSSSSSSDDDDDDDEDVEDIHLHFETGQNKKMDLSACHLLLSDGLLLLPDGLQLCSVLCRFFSKALQMEGRQTPWSRLRLACRLFQAMPCLLSPRIRTQGGHPRLLLLLPPQEDDLLAEEPIYSPFPSSSSSSSSSDDDDDDDEDGKDIHLHFE >LPERR03G32310.1 pep chromosome:Lperr_V1.4:3:27461859:27465763:1 gene:LPERR03G32310 transcript:LPERR03G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVAWRVVVLVAAVCLSWCSPAAVARSPAARVHRHMRRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLFDESKSTDSAGGEKPMVQLWHQGGRCPKNTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCAGFVQINSEIAMGASIFPVSSYTGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >LPERR03G32320.1 pep chromosome:Lperr_V1.4:3:27467793:27469667:-1 gene:LPERR03G32320 transcript:LPERR03G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAKDSKPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTEVLRRTIPRVNRPLGGDVAPFRSPSRPSTLNRRKTEPLVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFVGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKLRAQILVGWSILVGF >LPERR03G32330.1 pep chromosome:Lperr_V1.4:3:27471845:27476773:1 gene:LPERR03G32330 transcript:LPERR03G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDRFSLRIKFPTPHWPHPYPLIHFPTHFPFPSLFSPFSSSLDPDIIFSLLAPPPHHSIQSPPPPTPIAMAMATQASAATRHLLAAWTPTTTTKPRSSISIPTSSRGPAPLRAAAEEPAAAAATESKPKAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQIEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNVFDI >LPERR03G32340.1 pep chromosome:Lperr_V1.4:3:27477306:27492917:-1 gene:LPERR03G32340 transcript:LPERR03G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDLATNIYERGWGESFHFASRCHGETLRESLKRHEHFMALQLGLKKGMKVLDVGCGIGGPMREIARFSSASITGLNNNEYQISRGQELNISASLSETCNFVMGDFMNMPIPDATFDAAYAIEATGHAPDALGVYSEIFRVLKPGQFFALDEWCLTDKYDPGNERHQSMKADIELGNGLPDVRTTQQCIQALKDAGFEVVSVKDLAEDSPLPWYLPIDPNQLSVTGLRLSSVGRFITHTLIKMLECLHIAPEGSLRVTKFLERAADAMLKGANLINVYFSEPSEMWYLFEKEPDQREKRDLQKLIPNLKERLKRRRSWRKPSRSKFEYLKIPHPQAGADDADGDWDSLSRFALAGRRGAFAGVAGAAGAGTSRRRPQVLIFHRRRGEEDDSANKYYDLVTSFYEYGWGESFHFGSRWHGETLRESLKRHEHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNEYQISRGQELNFSVGLSESCNFVKGDFMNMPIPDCTFDAAYAIEATCHAPDALGVYREICRVLKPGQLFALDEWCMTDKYDPDNARHRSIKAEIELGNGLPDIRTTRQCIQALKDAGFEVESGKDLAEDSPLPWYMPLDSSQPSLAGFRLTRFGRFITHTLVKTLECLHITPEGSVKVSNFLQTAADGLMKGAKHRRKGENQKGAGQIGRGSVRIAASSYYLSTQFLRFCLLFKTHILINGQVFHLIRYEKYHEVYGGEVESRTSNYADLANKYYDLTTSFYEYAWGESIHFGTRWHGETLRESLKRQEHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNEYQLSRAQELNFSVGLSETCHYVKGDFMNMPFPDCTFDAAYALEATCHAPDALGVYSEIFRVLKPGQLFAMDEWGMTGKYDPDNSRHRRIKAEIELGNGLPDIRTTRQCIQAMKDAGFEVIVAKDLAEDSPLPWYLPVDTSQHSLITDFLYTRAGRFVTHLLVKTLEFLHLAPEGSLRVFNFLMTSADALLKGGKEGIFTPLFFVLARKPLDKQEEMI >LPERR03G32350.1 pep chromosome:Lperr_V1.4:3:27489551:27492647:1 gene:LPERR03G32350 transcript:LPERR03G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSAHLGPRVTYTVVFFSASTVKDQHLRPPAGGAGTGGSRDAGEGAPASGECKAGQRVPVPIGIICTGLGMRNFQCVSYEASDTAEPESCD >LPERR03G32350.2 pep chromosome:Lperr_V1.4:3:27489551:27492744:1 gene:LPERR03G32350 transcript:LPERR03G32350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSAHLGPRVTYTVVFFSASTVKDQHLRPPAGGAGTGGSRDAGEGAPASGECKAGQRVPVPIGIICTGLGMRNFQGHKMLMTLKAFA >LPERR03G32350.3 pep chromosome:Lperr_V1.4:3:27489551:27492647:1 gene:LPERR03G32350 transcript:LPERR03G32350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSAHLGPRVTYTVVFFSASTVKDQHLRPPAGGAGTGGSRDAGEGAPASGECKAGQRVPVPIGIICTGLGMRNFQCVSYEASDTAEPESCD >LPERR03G32360.1 pep chromosome:Lperr_V1.4:3:27502351:27505792:1 gene:LPERR03G32360 transcript:LPERR03G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGALLLLLLAVSSSAGATLAVKAPVPAPAPVRAPPQPKDAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGARASASQNVTVRPGALYALTFAATRTCAQDESLRVAVSPSLSPPADLAVRTLYSADTADTWAWGFRASSAAAMVTFSNPGVQEDASCGPLLDAVAIKELPIPYPTKDNLIKNEGFEIGPQVLKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGQFAVELVAGRESAIAQVIRTAARRAYNLTFVVGDARNGCHGSMLVEAFAGNVTAKVPFESAGKGGFTTASFRFVAAGDRTRVTFYSSYYHTKVSDGVSLCGPVLDQVKVQPLKQ >LPERR03G32370.1 pep chromosome:Lperr_V1.4:3:27507165:27509240:1 gene:LPERR03G32370 transcript:LPERR03G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALIMDAPVENIEA >LPERR03G32380.1 pep chromosome:Lperr_V1.4:3:27509798:27511743:1 gene:LPERR03G32380 transcript:LPERR03G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVVTVDVRAAAAEGSSRRRRPAVSLFAGDWRRRARRPACTVRVRGSRSSRRQQGFSVVCNLAGNYEEGFEDVHVQLMNFFTYKAVKTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >LPERR03G32390.1 pep chromosome:Lperr_V1.4:3:27512456:27512718:1 gene:LPERR03G32390 transcript:LPERR03G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPNRSHQNTRIDGKLFLRALGKKRDHAMMYERVSGDNLALMRERHIWPTDDTNTEKIGS >LPERR03G32400.1 pep chromosome:Lperr_V1.4:3:27519374:27526348:1 gene:LPERR03G32400 transcript:LPERR03G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAFGVSKPVIFFMAHVTITFFFLVSGAARATAQVFSVDSYGGRGDGVRDDTQALAKAWAAACASPRPAVVLVPGAGKHYLLKQLTLSGPCKSTVTLMVKGTLVASPDMSSWNDENRRYWIVISGVDGLAVGGGGEIDGNGEGWWKNSCKINTALPCKGAPTALTFHSCNNLRVDGLKMVNSQQIHMSVEDCIGVQLAHLSITAPGTSPNTDGIHITRSRNVQVSDCTIKTGDDCISIEDGTHDLHVTRLVCGPGHGISIGSLGDDNSRAEVSGIFIDTVQLYGTTNGARIKTWQGGSGYANNIVFQNMLMNNVKNPIIIDQNYCDSAKPCKAQGSAVEVSNVVFKNIKGTTISENAINLNCSKNFPCYDIALQDINLKMVGGSGGTESTCQNAKWRKSGIVVPQPCTGKN >LPERR03G32410.1 pep chromosome:Lperr_V1.4:3:27521597:27528010:-1 gene:LPERR03G32410 transcript:LPERR03G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGDAAKSGKQHGHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPIHGDESEDDDASDVNYPTSGNQDNKHKIAERMLTWRMNSGRNDDIGHPKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGKRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTEYNMEDALLNDETRQPLSRKVPISSSKINPYRMVIVLRLVILCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFDALAETSEFARKWVPFCKKYSIEPRAPEFYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRMALYGYEPPIKKKQPGFFSSLCGGRKKATKSKKNTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRALIPKNVASTARSEQDSRTIYDLPCLDPSSIGSAIKLHGVDEDARDLSSGVIIS >LPERR03G32410.2 pep chromosome:Lperr_V1.4:3:27522873:27528010:-1 gene:LPERR03G32410 transcript:LPERR03G32410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGDAAKSGKQHGHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPIHGDESEDDDASDVNYPTSGNQDNKHKIAERMLTWRMNSGRNDDIGHPKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGKRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTEYNMEDALLNDETRQPLSRKVPISSSKINPYRMVIVLRLVILCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFDALAETSEFARKWVPFCKKYSIEPRAPEFYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRMALYGYEPPIKKKQPGFFSSLCGGRKKATKSKKNTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCGINC >LPERR03G32420.1 pep chromosome:Lperr_V1.4:3:27532350:27533877:-1 gene:LPERR03G32420 transcript:LPERR03G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDRHAPPEPEPEQDQPEPEPEPELVPQHHPSAPTAGDVADDEHIITTFRDIHPLTPSTSTPPPTSWDTASSSHRSVSSEEQFMTMSREFTAMVAAGAGAAGADADHLTSIGEVDDDHHLMEETNPLAIVPDTHPIAATPARSRASLASQLEVVPAGAGNSSSAAAAAQQPAVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEIVINGWETEQVDKASAWLKKIERKLDEQRAKALEKTQNEIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >LPERR03G32430.1 pep chromosome:Lperr_V1.4:3:27539505:27540482:-1 gene:LPERR03G32430 transcript:LPERR03G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVSLDVDGATTTVAQVKHMVMSRERIPVAMQRLFFSGDHLDDDHLTLAHYGVHHDSLLFLSLRLAADHNNRLQNQPESVTTTTQENHHHLRVVHVARDDNDDEDGIVTKRRKPVTRRAIRKILSRLQVDDAWTSHRDAKFLDLLLRHTAGGGGGRNVGELTGEEWSAIGAELNAATGSSFAVEELQRRMGEFRREFEAAGRIKNHPLFSYDPRRRVVVASQADWKNYLLENPEAAAYEGRRSSHLSRLRAIFSGDGGGGEVKCRETKMRSCLRKLLRNFGLRFKL >LPERR03G32440.1 pep chromosome:Lperr_V1.4:3:27541912:27545465:1 gene:LPERR03G32440 transcript:LPERR03G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPPFILLDGRDDGGGSEADQQQPALRLPIGETRAWGFNGLSVSSGFDAEMLLRSLVLEARVADAPNISSLTLTGGEIYGERLFPGIHAVHKNIVVFGSNLPRAPRTAYIIYDTISCSLSMIPSPPPCFHIVRTNLVLIAPDGSLVLMAKRPELSAGEEEEEGIKGKGKMPAELDREEEEAEGEDFFVGEGSKPGSDGDHTTKWEDILLLWPSSSPSPWTMNKRAILPNTDDDWLKVESSFLADLTFSSGGKCFWVDLLCGVLFCSSYDLLSDKVDRVHFTFIHLPPGYQADLRHTGDVADPTAYRTMASCGGSIMFVHIDGFVDHVPLSDRKVTLWRLCFNHIWVMESVICLKDLWNQEGFYMPRHMTPMYPILTTTGEKNTICFMLGEYYENWTGDTIMPTDAYFMLRVDMSCGTISSSAPLPAGCTSLPMVICSDFLSYAPLHPDECMPCAALPLPVDTFLLINNERTVQPPGIAWTVAEPFEREEADCIFQGNKLIW >LPERR03G32460.1 pep chromosome:Lperr_V1.4:3:27558685:27567502:-1 gene:LPERR03G32460 transcript:LPERR03G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGRGGGEQRPPYSGRGVGDPGRGGGGAPSPYRPVAGFVWPPPGSGYQPAPSGGVVYRAPLVTAPAHQGSGGYVPGDVSFQPAPAAASSASSTAALAKEVEKKLFVTETALAPPAAAASASSGETAVAAGEVDLAPVSKKGLAHPARPGYGASGKSVMIRANHFLVNVADNNLFHYDFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRGKIKKALRGVRVETTHQDDQFRRYKITGITGPMSQLIFPVDESGKRMTVVQYFWDRYNYRLKYPSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSMKLNDKQVTSILRATCQRPQQREQSIHELKYHDSGREKTCAPSVGQWNMINKKMINGGTVDHWTCLSFSRMRPEEVHRFCGDLIYMCNATGMSFNPRPVVDVRSSNPNNIENSLRDVHRRSAELLVKETRGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRLNKLYLENVAVKINVKVGGRNTVFERAFIRNGIPFVSEVSTNIFGADVTHPPPGEDSASSIAAVVASMDRQICYPSHPGIQGTSRPTHYHVIYDENHFTADALQSLTKNLCYTYARCNHAVSVVPLAYYAHLAEFRARYYAEGDGGFTVCEPDTVNIADPTLQIFVGVLDYQKTPIIMKLNSSIQDVVDLASLKHGVPLHDVWVYHKKRAIAMNMTLDSIKAEKDTTFLVVPRMRGGCTTGDFNCIPLERHIRSFGDDLFDIIWIPPHLRVSGFLPYMVLLGKPARMIIRQILRLLEHYHSTNRFPPRFSINDLVYIPDLRTITFRKEVKMRYNLTRESFKNNMIYVAGIVSFYFRFSSTKVIASDSGIHECGTGLGDLPMFMDSFLDILKNPTTDCYLIRKYRGLYQYSSVLRSSSALINLFGSVDIQGIFKSKGDLGEFRKAMGYIPEKYNWCKTILKSPVMKTVHDYKFEDKQSGVFRRKNFSGISLGSWMDYGRVYFKHMKKGLADISGDAQRESYCRGVIGPFLVESQ >LPERR03G32460.2 pep chromosome:Lperr_V1.4:3:27558733:27567502:-1 gene:LPERR03G32460 transcript:LPERR03G32460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGRGGGEQRPPYSGRGVGDPGRGGGGAPSPYRPVAGFVWPPPGSGYQPAPSGGVVYRAPLVTAPAHQGSGGYVPGDVSFQPAPAAASSASSTAALAKEVEKKLFVTETALAPPAAAASASSGETAVAAGEVDLAPVSKKGLAHPARPGYGASGKSVMIRANHFLVNVADNNLFHYDFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRGKIKKALRGVRVETTHQDDQFRRYKITGITGPMSQLIFPVDESGKRMTVVQYFWDRYNYRLKYPSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSMKLNDKQVTSILRATCQRPQQREQSIHELKYHDSGREKTCAPSVGQWNMINKKMINGGTVDHWTCLSFSRMRPEEVHRFCGDLIYMCNATGMSFNPRPVVDVRSSNPNNIENSLRDVHRRSAELLVKETRGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRLNKLYLENVAVKINVKVGGRNTVFERAFIRNGIPFVSEVSTNIFGADVTHPPPGEDSASSIAAVVASMDRQICYPSHPGIQGTSRPTHYHVIYDENHFTADALQSLTKNLCYTYARCNHAVSVVPLAYYAHLAEFRARYYAEGDGGFTVCEPDTVNIADPTLQIFVGVLDYQKTPIIMKLNSSIQDVVDLASLKHGVPLHDVWVYHKKRAIAMNMTLDSIKAEKDTTFLVVPRMRGGCTTGDFNCIPLERHIRSFGDDLFDIIWIPPHLRVSGFLPYMVLLGKPARMIIRQILRLLEHYHSTNRFPPRFSINDLVYIPDLRTITFRKEVKMRYNLTRESFKNNMIYVAGIVSFYFRFSSTKVIASDSGIHECGTGLGDLPMFMDSFLDILKNPTTDCYLIRKYRGLYQYSSVLRSSSALINLFGSVDIQGIFKSKGDLGEFRKAMGYIPEKYNWCKTILKSPVMKTVHDYKFEDKQSGVFRRKNFSGISLGSWMDYGRVYFKHMKKGLADISGDAQRESYCRGVIGPFLGV >LPERR03G32480.1 pep chromosome:Lperr_V1.4:3:27636401:27637218:1 gene:LPERR03G32480 transcript:LPERR03G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISATYTVFNSLRSTKLIHLVRLPCIFGGKIQQQHFYENANFAFSFETSPYPLLEFSGTLGGQGVAFGAEVGYDTYDREFTEYGARFGVTKPEYHAALILADKGDTIKMSGLYHFNENQTTTVAAELTRKLSTNKNTHTVGGLYKIDDWTIVKARLNNRGKLATVLQLEVKPKSLGRGGGGDHGTRALAGRWENSRSMLHEQGGSVAF >LPERR03G32500.1 pep chromosome:Lperr_V1.4:3:27645241:27649802:1 gene:LPERR03G32500 transcript:LPERR03G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPPATADDGGGKGRRRKQQQQKQKKANPYTVAYNRGPATPSAAAAAGRPGLMVLRDPTGRDITARYELGGELGRGEFGITYLCTEAETGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVRRMLDPNPMTRLTAEQVLEHPWLHDSKKNPDISLGDTVRARLQQFAAMNKLKKKALKVIAELLSVEEAADIKEMFDKMDVNKNGKLTFEDFKAGLHKLGNQMPDSDIKILMDAADVDKNGILDYQEFVAVSIHFRKIGNDEHIQKAFSHFDQNKSGYIEIEELRDALVDELDGNDEDIINGIIRDVDTDKDGKISYDEFSTMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >LPERR03G32510.1 pep chromosome:Lperr_V1.4:3:27652850:27658710:1 gene:LPERR03G32510 transcript:LPERR03G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVSEGKLVAHGRTILTGVPDNIVLTHASGAGLVDGAFVGAAADQPKSMHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRAGDENDGGEPVYVVMLPLLEGQFRAVLQGNDRDELQICVESGDKAVQTAQGTYMVYVHAGTNPFDAITQAVKVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKQGLKSLAEGGTPPRFLIIDDGWQQIGSENKEEADNAVVQEGAQFASRLTGIKENSKFQKEKTATATAEGGEMAAMAGLKSLVEETKKEHGVKYVYVWHALAGYWGGVKPAAEGMEHYESSLAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVFAFYDELHTYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRDYHRALEATVARNFPDNGCISCMCHNTDMLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLILPDGSVLRAQLPGRPTRDCLFADPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGSVRADDVDAITTLAGPGWSGDAVGATLPVTLKVLEFEVFHVCPVKMVAPGGVSFAPIGLLDMFNSSGAVEECDVRALDGSDIAVVMLRVRGCGRFGAYVSRRPTRCMLDAAEVDFSYDGDTGLVVIDVPVPEQEFYRWTLEIQV >LPERR03G32520.1 pep chromosome:Lperr_V1.4:3:27660342:27660893:-1 gene:LPERR03G32520 transcript:LPERR03G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLFFLAVLLVHISLQCNATTNCQLGSEKTTNLHFYLHDTLSGNDPSAVPVAHGDKFTANPGNPVPFSSVVVTDDVLTEGPDRQSKVVGNAQGLYVSSGKREFSLVLGIDFELTDGPFNGSSFVVFSRNPVLQGNGRELSIVGGRGAFRMARGYALLKTHYLDTGNGDAIIEYNVTLLHY >LPERR03G32530.1 pep chromosome:Lperr_V1.4:3:27662817:27666004:-1 gene:LPERR03G32530 transcript:LPERR03G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKMVFGFSISLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAISTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATVMAGRDYWGLPGWRFAFLMVAFVSLLIGLLVHFYSVDPRKTSPSHFGDNEDHHERSHLVSNAIFPPQSIWMDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAGLNSLFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLANGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRDNARLASIKEQELI >LPERR03G32540.1 pep chromosome:Lperr_V1.4:3:27667491:27672119:1 gene:LPERR03G32540 transcript:LPERR03G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSSSKSDDDRRQEGADEPAAMQAYYAGVAGAGGGHPYAAWPPPQQHLMAAAAAAYGAAVPFPMYHPAYYAHASSMAASVPHMSGGAAASTAGTAAAAEGKSKRKTFGGPSGEDSSRSGDGGSEDSSDKRDDADEKGLSMSPAKWRKLGHANAEGETSQAAMSDQSPANAAPNLNIGMDIWSTSSMAAMPSGQDKALSQMDERELKRERRKQSNRESARRSRLRKQQECEELSQKVTELTAVNSALRSELNKLKKDCEDMEVENSQLMDEMAQSEGSSVITTLSIKIDTSKDHHGSSGQLNKHTNDDGKG >LPERR03G32540.2 pep chromosome:Lperr_V1.4:3:27667491:27672119:1 gene:LPERR03G32540 transcript:LPERR03G32540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSSSKSDDDRRQEGADEPAAMQAYYAGVAGAGGGHPYAAWPPPQQHLMAAAAAAYGAAVPFPMYHPAYYAHASSMAASVPHMSGGAAASTAGTAAAAEGKSKRKTFGGPSGEDSSRRACQCHRQSGENWVMPTQKAAMSDQSPANAAPNLNIGMDIWSTSSMAAMPSGQDKALSQMDERELKRERRKQSNRESARRSRLRKQQECEELSQKVTELTAVNSALRSELNKLKKDCEDMEVENSQLMDEMAQSEGSSVITTLSIKIDTSKDHHGSSGQLNKHTNDDGKG >LPERR03G32550.1 pep chromosome:Lperr_V1.4:3:27670746:27673434:-1 gene:LPERR03G32550 transcript:LPERR03G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGSRLGGGGGGGGFNLTSYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHGTGQSLLQRGIVMAACGYAFRRAELGAAAKRSQPEKDSSVGTRISRAVAMGSVGGTPRSEVSFRHRGVEYCKKVGMSLRCREPWGPNRAFWTNAIGPSYKLSFSVEPWVRDFSTSCVAPYSAGATEHQLSVDEAVQDKQMDKSTAGPDGKPRAPEPLKLLSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMNAIKDEPQGTIDPSRVLEKAYTSTKARGSSTACIITLKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAAGDVIIAGTDGLFDNLYSNEVSAVVVEALRIGLGPQATAQKIATLAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >LPERR03G32560.1 pep chromosome:Lperr_V1.4:3:27674289:27678484:-1 gene:LPERR03G32560 transcript:LPERR03G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPFDEAELLAFPASPVASPPRHLKRLKKSSQIAATAAAAHHPVESPLPSPPSPPPQSPSAEETLANPPSPPTNPSPPPQPDAAAQAEASSPAPSSSPPNPTSSPLPPTDTAEEEDDGLDPLFSETCVATAGWDPLGMPPTTMEGEGEEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGMAMDAEVKGKRSKRRRKEEVPKDESARGKKRSSEKERRAQLDSIHAESQRLLRETRSASFKPSAKPVYKPISSVLEKIRLRKLEILKKSVALLFLSGIPIEEEEEDDDDDSSEAVSGSIGDLGTPQVKEVNADDKDLEIDNAENVAGMNCRDLNQCDLVPENKDALDSDKDLGNCGSKDPDEELLENSQDNLEGKAQSSDNPNNTADEMQLPPSSSPTEGTDNILSEDEEEYNDKENVDPSTQKDDPSTQQRTPAGDSCPDDAILKDFLDVEAEEEDDSDDDMMRFKDNEEDDGSDENEVLNDLIEAGYEEGEIDHENRNALHQKWLQQQDADETNKFMKKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSCDLTPANVVRQNSEKAKQMIMRMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNNSFISPLDDDSSREVFSLIKKLNIAPQPKRRGKQVTSHHELLTVVRDNSASSKSSFLGRTASGSLASSHRSTYRTYVFGRDDSNSSSKSCLSTSESNTDTDQTNSSQPKKAKFSSSQQKQTATKTISGGDSSSSVSLIEVLRRSSSTSDKQEYTRQESCGIITESQAAHQFSAFKLSRRFSRVGARN >LPERR03G32570.1 pep chromosome:Lperr_V1.4:3:27679883:27682715:1 gene:LPERR03G32570 transcript:LPERR03G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNWVILSTTAIAGHPTTTGTASDITRNGKTIEVSISLHHPPQPSTLFVHSSDMNLAIPPTIISTEDNLLLLSVNMGTSGPYSRSPNDCDFFVYRAHPTSPSLHLLRRPHPYFNGFLAGILPRQDGHYTVAALIPTGTYNEYEIHLFHSDHDQSVSSGWSSSILNVDSPQRDFPVKIPVNSNRLHRHYTSKVMAIGGTTTMGWVDLWRGILFCDVLDNKLSLRGVPLPLALNELGYHHGRGFHFGPAAQRRSISFIRDDCCLRLVHLEINEVNLHRHDEETGAPSFRVDNWVLTTWTNARMSDSYEDWHQDHLLKGSDVIIDDPAVSHVLDSSGLLQRQPDQQQLALQNLYVSQPALSLNAEEEASGSHFQAKKLRKNNYRLSSSQIYLQVRDTG >LPERR03G32580.1 pep chromosome:Lperr_V1.4:3:27684142:27692497:1 gene:LPERR03G32580 transcript:LPERR03G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.10 pep chromosome:Lperr_V1.4:3:27684142:27692488:1 gene:LPERR03G32580 transcript:LPERR03G32580.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.11 pep chromosome:Lperr_V1.4:3:27689784:27692587:1 gene:LPERR03G32580 transcript:LPERR03G32580.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCETKKSSTSATNRSVRPRRNGLAASESKHLNSSLFFSGGVIFEAKDSRQMGQAKELLSAAAAISSSAKSPPLLPEKEEAAAAAAFSDEQSIWGLFEKPGCEWALIWTVHY >LPERR03G32580.12 pep chromosome:Lperr_V1.4:3:27689784:27692019:1 gene:LPERR03G32580 transcript:LPERR03G32580.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCETKKSSTSATNRSVRPRRNGLAASESKHLNSSLFFSGGVIFEAGHFTDDRGSGCLLDTIFTH >LPERR03G32580.2 pep chromosome:Lperr_V1.4:3:27684142:27692497:1 gene:LPERR03G32580 transcript:LPERR03G32580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.3 pep chromosome:Lperr_V1.4:3:27684142:27692497:1 gene:LPERR03G32580 transcript:LPERR03G32580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGMQHCYLTSAADFNNYNAIISLFTSTGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.4 pep chromosome:Lperr_V1.4:3:27684142:27692497:1 gene:LPERR03G32580 transcript:LPERR03G32580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.5 pep chromosome:Lperr_V1.4:3:27684142:27692019:1 gene:LPERR03G32580 transcript:LPERR03G32580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.6 pep chromosome:Lperr_V1.4:3:27684142:27692497:1 gene:LPERR03G32580 transcript:LPERR03G32580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGMQHCYLTSAADFNNYNAIISLFTSTGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.7 pep chromosome:Lperr_V1.4:3:27684142:27691007:1 gene:LPERR03G32580 transcript:LPERR03G32580.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.8 pep chromosome:Lperr_V1.4:3:27684142:27689222:1 gene:LPERR03G32580 transcript:LPERR03G32580.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32580.9 pep chromosome:Lperr_V1.4:3:27684142:27692488:1 gene:LPERR03G32580 transcript:LPERR03G32580.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPPAVSVVAVATLAVLGLAVFACRWWRRGGPSAPSPPAPSSSQDDDINRPLISDNLDDDYSGSNNNMCSSAVDESGIQIDRSITSPRSHDTVEKGVVYPSESHAIEGETHVIDVTNSKTEELHLGNTLKRTAAANGPTPDAKHIRRDSEENNHTGSIPVKDIIVGSNLALEVIAGPSHGTNHYMQSGNKSMLPVTLGRVPPSHLVIKDSEVSGKHAQIDWNATKLKWEIVDMGSLNGTFLNFRSVNHPDVGSRRWGEPTELEDGDIITLGSSSKVQIVLQNQQPVGVGIASDPMVARRTGKKLHMEDVSCCQFPLVGVQRFGLFGIFDGHGGDGAALAASRILPQNIANILSQEETKERVLSSHSASDVLRYAFALTEAALDHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIIMTEDHRVISKTERARMENLGYPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQVVRLTKACSAFALIASDGLWDVISINRAVQLVLEGKQRYNEQGSSTEKIAHHVLSEARKLRTKDNTSVIFIDLDAMRRDP >LPERR03G32590.1 pep chromosome:Lperr_V1.4:3:27689061:27692617:-1 gene:LPERR03G32590 transcript:LPERR03G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESNSFACPICLESFLDEAYLDTCFHSFCYKCICQWVNIVSSKHPEPLSSVKCPLCKRHYINQDPRKRRLSDAHELISQLYSIGEIIGNNSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTQDEDVDAIIFHIHGVIESFMKRQEKEHASKMTPPEKKREEFKCLLSDAARPFLLGRTERFVAEVELFLVSHLNIDAYSKLRVQRLKESTSHVSREQDVLPLPQDRSLEDHYLHNRTRGSRVFAYKNCNIPLQYRSTWLNFDKGE >LPERR03G32590.2 pep chromosome:Lperr_V1.4:3:27689061:27692617:-1 gene:LPERR03G32590 transcript:LPERR03G32590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESNSFACPICLESFLDEAYLDTYREREQAAKDRSSEDCNGARREDEDDILVSPEPWSQTRKREIERLSDAHELISQLYSIGEIIGNNSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTQDEDVDAIIFHIHGVIESFMKRQEKEHASKMTPPEKKREEFKCLLSDAARPFLLGRTERFVAEVELFLVSHLNIDAYSKLRVQRLKESTSHVSREQDVLPLPQDRSLEDHYLHNRTRGSRVFAYKNCNIPLQYRSTWLNFDKGE >LPERR03G32590.3 pep chromosome:Lperr_V1.4:3:27689625:27692617:-1 gene:LPERR03G32590 transcript:LPERR03G32590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESNSFACPICLESFLDEAYLDTCFHSFCYKCICQWVNIVSSKHPEPLSSVKCPLCKEQAAKDRSSEDCNGARREDEDDILVSPEPWSQTRKREIERLSDAHELISQLYSIGEIIGNNSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTQDEDVDAIIFHIHGVIESFMKRQEKEHASKMTPPEKKREEFKCLLSDAARPFLLGRTERFVAEVELFLVSHLNIDAYSKLRVQRLKESTSHVSREQDVLPLPQDRSLEDHYLYFVGDETYCSGEI >LPERR03G32590.4 pep chromosome:Lperr_V1.4:3:27689061:27692617:-1 gene:LPERR03G32590 transcript:LPERR03G32590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESNSFACPICLESFLDEAYLDTCFQIIGNNSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTQDEDVDAIIFHIHGVIESFMKRQEKEHASKMTPPEKKREEFKCLLSDAARPFLLGRTERFVAEVELFLVSHLNIDAYSKLRVQRLKESTSHVSREQDVLPLPQDRSLEDHYLHNRTRGSRVFAYKNCNIPLQYRSTWLNFDKGE >LPERR03G32600.1 pep chromosome:Lperr_V1.4:3:27692708:27697205:1 gene:LPERR03G32600 transcript:LPERR03G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEEEEFVDYDRDDDEDAMDEDDRAGDGRRRRRGGRALPVPHIVSQGVMRARGRLIGRSPSVLAANRDRFDSLADAGNSGHGPQRSIEGWILLISGVKEDAGEDDLYDAFSEFGHVKDLHFNLERRTGYGKGYALVEYGSFEEAQTAIRAMNGTQLLTRTIYVDWAFGRGPIQMLTNTRPLRRRSPTPPRCN >LPERR03G32610.1 pep chromosome:Lperr_V1.4:3:27702644:27703699:1 gene:LPERR03G32610 transcript:LPERR03G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMMSMALAAPAPPTTSLTAATLRRVISVRERFESAVPVPPPLVVRHAGVKQKAVVVMGATGTGKSRLAVDLALRFGGEVINSDKMQVYEGLDVVTNKVTDDECAGVAHHLIGVAHPDEEFTAFDFRREATRAAAGAAERGRVPIIAGGSNSFVEELVDGDRRAFRERFDLCFLWVDVNLPVLHGFVARRVDDMIRRGLVDEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRARFAGDGGDGEEILAAAVADIKSNTFRLACRQRGKIERLERMWRGVRRVDATEVFKRRGIAADEAWQRLVAAPCIDAVRSFLYDDMECNSSSNRIFASGKSSVFVSTAGVAVAAAAAV >LPERR03G32620.1 pep chromosome:Lperr_V1.4:3:27714131:27717944:-1 gene:LPERR03G32620 transcript:LPERR03G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRTSNGEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVKFLGYYDFKSKTHQDACCPEGMCRAAVFALHVNEELDSWPEQSTRRRTWLTVSEATSQCRYQWMQEALLTGFSDWHDNWSKGGDGDANCDPL >LPERR03G32630.1 pep chromosome:Lperr_V1.4:3:27724434:27733577:-1 gene:LPERR03G32630 transcript:LPERR03G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSSPAANLRGVRVAVVGDPGTGKSSLVVSVAKGHFPDEIGSVVPPTRLAADRVPITVVDTSSRPEDRKETMAECITADAVVLTYSYDCPATLQRACKFWLPELRRIKLKAPVILVGCKMDLIVRDDEQRQLSHERLTAPIMHAFPQIVTCTQCSALHQIQVYEVFDYAQKAVIHPIDPLYDQETRSIKPRCMAALKRIFLLCDEDKDEALSDEELNKFQVRCYNTALQPAEILSLKRVVQQHMPLGVNDNGLTFIGFLYIHALFIEKRMLEDTWTARQPEPIKRKLPTHPGAPLSDIPHHREVATPECATTRRAQISQNPSAAEAGERERERGGGGAMAAAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEQFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEQRAKLIAECQAADAVVLTYACDRPGTLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVSDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTNQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPEHPWAANPYIDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTTRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTGLLQSFLGRQSADAHGERFAANTVDLSGSRKTLILREIPEEDVRSLLTDRESLAPCDVAVFVYDSCDEFSWQRARDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIETPIPISVRLRDLNNIFCRIVEAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >LPERR03G32640.1 pep chromosome:Lperr_V1.4:3:27733928:27740805:1 gene:LPERR03G32640 transcript:LPERR03G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNYSFVLISLYNHTNITHTSYKFITSFLFILGLGQIICLNAACPLSTQQHTPWLANMYIPNSF >LPERR03G32640.2 pep chromosome:Lperr_V1.4:3:27733928:27740694:1 gene:LPERR03G32640 transcript:LPERR03G32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRFDQTAGCATPPSLGCSGRHDATLQHRSSASSVDSGGGWTACTRPTKGRAGDVAPSG >LPERR03G32640.3 pep chromosome:Lperr_V1.4:3:27733928:27738996:1 gene:LPERR03G32640 transcript:LPERR03G32640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRFDQTAGCATPPSLGCSGRHDATLQHRSSASSVDSGGGWTACTRPTKGRAGDVAPSG >LPERR03G32640.4 pep chromosome:Lperr_V1.4:3:27733928:27736519:1 gene:LPERR03G32640 transcript:LPERR03G32640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLKLHKNIDSVFSGSTAVRVIKQGYDLIIGNLGDSRAVLGTRDEMTSFMLYN >LPERR03G32650.1 pep chromosome:Lperr_V1.4:3:27733987:27734219:-1 gene:LPERR03G32650 transcript:LPERR03G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVAAEANFFPFATKTATDAVATLLRETTAAILLRETTAAVVQTVALSNEGGGGTEVEISWQRVAVALSLGKIG >LPERR03G32660.1 pep chromosome:Lperr_V1.4:3:27742418:27743761:-1 gene:LPERR03G32660 transcript:LPERR03G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELTEEIAGRLLRHDVSDYLRLRAACKAWRDCTDHPRDPLDARFRPRRWILLSNPYHYGATCAPRCRFLNTTTGACLHVDLPELEGHQIEARTDGLLVLRDKASGAISLLNPLTRGLTHLPSTSAALAGLSPPWAASSSGDDLRIAYAAISDETSPPTVALFIRGQSLWNIAYAKPGDEHWALIDHNGWNLLPNNQRLIRDGQQLRSIRYLSVVTHRGRIYFTTFQGNILRLRLHPKPRLVPIVKDQSDMVWDNGAGVLYENVISYLVEPEDTHNGRMLMVRYHQTLGHLSAQEQRRIKQRKKNNILIKQLINDRPRRYKWQVLQVFEVDLLGKRLVPVEDIGHRSLFVGDVACVSLSAHKFPSIYGNAVYFGMNTHRCVAWGLCHLKDRSVEPKPMHFFEDRSTEPLGPGRTHPSLEKIVPAARPCRLEDYLVCYVGFKNGIKD >LPERR03G32670.1 pep chromosome:Lperr_V1.4:3:27748505:27749867:-1 gene:LPERR03G32670 transcript:LPERR03G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPGVVDSPKRGGSDRVNVRTYDVDAGEPDGCKKEATGRGVVHSGHRRFANPNRIDDECQISVALTLGRLTVGMSNGKTAPSLDLTSNGKLMP >LPERR03G32680.1 pep chromosome:Lperr_V1.4:3:27751674:27753647:1 gene:LPERR03G32680 transcript:LPERR03G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIATTAKNGADQTTIRSSVSSKAAAHTPFQIQALPSLHFSLLANPRRRRDPPELLREDVCRRRILLPKKRLESKVALVTGGAAGIGEAIVRLFREQGAKVCIADIQDEAGQKIRDSLGGDQYVLFVHCDVSVEEDVSRAVDATAEKFGTLDIMVNNAGITGQKVTDIRNVDFVEVRKVIDINLLGVFHGIKHAARIMIPNKKGSIISLASVASAIGGMGPHSYTASKHAVVGLTKSVAAELGKHGIRVNCVSPYAVPTDLSMPHLPQGERKDDALKDFLAFVGGEANLKGVDLLPKDIAQAVLYLASDEARYVSALNLMVDGGFTSVNLNLRPFDD >LPERR03G32680.2 pep chromosome:Lperr_V1.4:3:27751645:27754091:1 gene:LPERR03G32680 transcript:LPERR03G32680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGSSSRRLESKVALVTGGAAGIGEAIVRLFREQGAKVCIADIQDEAGQKIRDSLGGDQYVLFVHCDVSVEEDVSRAVDATAEKFGTLDIMVNNAGITGQKVTDIRNVDFVEVRKVIDINLLGVFHGIKHAARIMIPNKKGSIISLASVASAIGGMGPHSYTASKHAVVGLTKSVAAELGKHGIRVNCVSPYAVPTDLSMPHLPQGERKDDALKDFLAFVGGEANLKGVDLLPKDIAQAVLYLASDEARYVSALNLMVDGGFTSVNLNLRPFDD >LPERR03G32690.1 pep chromosome:Lperr_V1.4:3:27754450:27757986:-1 gene:LPERR03G32690 transcript:LPERR03G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAVSRVRGATIPVVAPWLHLRNTHGVLLMVVLFALFLRRLSGARSRAALARRRLQCKKMMRCAGSYEEWARAAKVLDKMSERGNEGDFYDEELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPNLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLEFFDRIGGIFAVTKRVMTYGALHDISHMQRLLRDLTGNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKDIVRTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRSCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERAASASQGYSSLLKTPRRVPSWSRISRENSSESLSEDCFATANSSTHRGTIQVVATPNITYHDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIDSEFNKGFSIEGENAGDISGSTLSNDSCPNNSSRVTAQDTDRSKCFSETGSCNTSNNIASQPSTSTSTAVSEGNLLQPERTANAILINVIKRKSVFAEHDNEAETESYVDTTHLDTCDAISASDCPGDNKNAADSNDSSVAHTDFMTSQDSSADE >LPERR03G32700.1 pep chromosome:Lperr_V1.4:3:27759778:27766699:1 gene:LPERR03G32700 transcript:LPERR03G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALSASLPRLLHPPRRRIPASASRGGVRLRDSPLPARLSAAAAAASAPSEVLDSTNGALPSGKGGGQQYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVAGSIANADPNIPEEWEDDLAGRVQYDADGNLKTEIVKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMESIRGGCQGHRAELYAARVAKCLAAMEGREKVYVDDLKKAVELVILPRSILSDNPQEQQDQQPPPPPPPPPPPQDQDSQEDQDDDEEEQEDDEEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQKRRGKAGRAKNLIFSSDRGRYVKPMLPKGPIRRLAVDATLRAAAPYQKLRRDKDRDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGMNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >LPERR03G32710.1 pep chromosome:Lperr_V1.4:3:27766930:27772659:1 gene:LPERR03G32710 transcript:LPERR03G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGYHSPCFSEDIAFLPQWLQPHRPPVVADEHREDIAGVSSPSCENCVFVGDPAQEQHSCLNAMANAASCSGFRLHLSGDESTPTGTSTCNGNVVPFSLHLSSESAAQLSATQANDKPQILNSATCKGLLDGFCTDGQAQEIKRALQNKSQAKDLQQNSEMASEKINKSCDSKGHNRHQLSGGKVNVRKLRNADVNDAVELSIAASEAMVIAEMVRLDSQSDKLATTALEAALHVKEARKWCFLEELEHPRGSESDLDETDGLSELYENEMLDAFQDVGLSLVQTACASQGLKTSGLKQKRSQVSSNPCDAEACLLDICSSEKQNIRWNSHDADANDYVSDSLARSHNKEGGVVAVQTNVGTRKHVKGLFNNETSFISESMDGMDEFPSASRIASMEIAVSSRASFLHKTEVSREENQGAEAAQLCSQDVCSSLSLVDPFCSIVPCSIPCNEGPPSQAPECKQSKEEEEELTSPKESPLKQDLGGEAGPSCMPVPNILFRRTKYSSLKPFSTIAPRSYVSGSLETHNDVDMAVCQQERFTAVTLNKKIRRVQASKLFVGNNVEAGDLQEFPKVLKKPTYAQGVSEHRNTKQNLKRKKAQFPEAKISTRKTKNIRRAQTKSRFCWSDSRLIDLIEPREYTDNKEAIFHGLDFLLTGFQSHKEKEIESLIRKFGGYVLSKVPLCPLGKRSKLAELARSKPPIVLSPKKVSTAKFLYGCAINSWVLNPSWLLDSIQASVLLPPAKYFIRQVHGLKSNSMFDQSLHLKNKTLLFDGVGFLILGKISFCSKFSNIIKHGGGQVYVSLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTAPASWVIGSLYSAKLIPLKKDRCASFRRIKMPSFQKQQAFDMSQEI >LPERR03G32720.1 pep chromosome:Lperr_V1.4:3:27772695:27775160:1 gene:LPERR03G32720 transcript:LPERR03G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLLNIHFVLLISRQGKVRLTKWYNPYPQKERAKVIKEVSTLMLTRGPKMCNFVDWRGYRVVYKRYASLYFCMCIDAADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDSLVEAAKEEASSLRNIIAQATK >LPERR03G32730.1 pep chromosome:Lperr_V1.4:3:27776383:27777715:1 gene:LPERR03G32730 transcript:LPERR03G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEVAAARTTMMQGSGEAAVVPMMLPPFFMDSGDEAAAAAAAQDRALTASRNHREAEKRRRERIKSHLDRLRSIDKASLLAKAVERVRDLKQRMAGIGADASSATAVFPTEHDEIVVLASGGGAVFEASVCCDDRSDLLPELIETLRSLRLRTLRAEMATLGGRVRNVLVLARDAAGEDDEHSADGEHGGDFLKEALRALVERPGAAGGGRDRPKRRRVVSDMNM >LPERR03G32740.1 pep chromosome:Lperr_V1.4:3:27789372:27791893:-1 gene:LPERR03G32740 transcript:LPERR03G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAPEGVLRKPRSVPSRRPRPMEQLVSQYKDISCTSSRSISPDDDADIEGGGHRRKELYLNGPENRGSTQHRSDLSIKIKRDDRAGGDHDGHNKSSKSKDAKHGGGSVLALACTRNSGSLDGHHLPPKDASVPGEQKFRKVKLKVSGITRPIPTKNVQEASDGGILGAPDGSSHRHKQKDFGGQKHHQDKHNVSPSSDLVRKSKRVPKKRTLDGDSGDEDGGLGYLVKFKITKAVPEQPIATGHAGVYDESLEDDIKKMKLSKVSRNKSVPYEVDEDFTMSRSGRDGRNKLKLGDSNDDEGEPEMDGPMKRLSEADSPSGVKNETSGLITRQRALQGRGGNGEALIEYPDGLPTASSRRQKEKPSEVEIQAKKAEAAQRRKMQVEKAEKEQQAEAIRKILGIDTEKKKEEKKQKERDEKESQEKQEEYKRNCIQYVMGPEGTTVTFPESMGLPSIFSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGQGSSETLKC >LPERR03G32750.1 pep chromosome:Lperr_V1.4:3:27794845:27799537:-1 gene:LPERR03G32750 transcript:LPERR03G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFESESSSLRSRSISKLRARILAQRPTGIFIFFFLPLLETSRRRLLLRFAKLRGFEGIRLASARVQGMASSGGAAISAGPAPPSAGASSVDWHIRPQNPKNPVVFFDVTIGSIPAGRVKMELFADIVPKTAENFRQFCTGEHRKANLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCMSIYGTKFDDENFIAKHTGPGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVIGDGLLVIRKIENVATGPNNRPKLACVISECGEMKQLPHDGHAGGNGGTRVTGTFYGYRRARITLAVQERPGSLPSLVLELGEISTGGHVRIALECEKKPKKSSSSSPEQQSGNVSLLEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDMLAAEPAEGDAGGGGGEVTYMRAGFDRVAGSKDSESFYMVSPDGDAGAGAGGGTELSIFFVRV >LPERR03G32760.1 pep chromosome:Lperr_V1.4:3:27799793:27800191:1 gene:LPERR03G32760 transcript:LPERR03G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPTKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSSSSADA >LPERR03G32770.1 pep chromosome:Lperr_V1.4:3:27801958:27803127:-1 gene:LPERR03G32770 transcript:LPERR03G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAAAAASCHQPPPPLLTNVSPAVAAAVAEASKVAKQEPSMKFNWPLGLAALLKNSDK >LPERR03G32780.1 pep chromosome:Lperr_V1.4:3:27803846:27805618:-1 gene:LPERR03G32780 transcript:LPERR03G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINPAAGEVAGKLAAAATTVRAPPPASRYSAYHPAAGSYAANTYSRSPAMINSPSLPPAPPQPITFFEPNQDRLPTFTAGHGFLPPAAVMSMTTPPLAATSGNHHHNAVVPIPNAPPQEPPKCRRRRNNPAAASHQSSVITDAAPPESDQRAAAAASRPATTTTPAIVPHHNDINQLTSPLFLDTNYTTTTTTTSINNKQKWQLTNRPRTHHPLPHKNTSSVTECLPTSSTDVVDDGEVTGRVNTLTLALGHQPNGVSTHWRMKEYRIPEFQIPPGHDSTQLLDEWVLCKLYHSYAYKQKGKGKVHEEASKPDEVVEDLSVVEYDIRDKPDGAIQGMGVDEYGIRDKQDDPDRGVQKT >LPERR03G32790.1 pep chromosome:Lperr_V1.4:3:27807849:27811117:1 gene:LPERR03G32790 transcript:LPERR03G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >LPERR03G32790.2 pep chromosome:Lperr_V1.4:3:27807869:27811117:1 gene:LPERR03G32790 transcript:LPERR03G32790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSHLVRVAGEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >LPERR03G32800.1 pep chromosome:Lperr_V1.4:3:27811612:27824534:-1 gene:LPERR03G32800 transcript:LPERR03G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFTPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLHELLECMPGPDFPTGGIIVGNQGILEAYKTGRGRVVVRGKTDIETIDVKSKRTAIIIKEIPYQTNKASLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPGIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEIIQAFLDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTTNHAMATESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSSKKLIFQLIVQEATDLKNKFATPRRSSIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGTMRMNDSTSDFIVCQTHDHVLYFSDKGVVYSARAYKIPECTRNALGTPLLLSLSAGEKITSIIPVSEFGEDQYLVMLTTNGYIKRVALNAFSAIRPSGIISIQLVPDDELKWVRRCGNDDLVALASQNGMVIVNSCNKLRALGRKTRGVVAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGMGKRVPLNAFRRGHFNRVGMQGYKVPPDCSLAAVFVVGFSLTDDGESDEQVVLVSQSGTVNRIKVKDISIMSRTARRGVILMRLEHAGKIQSASLISAAEEEEEEQDLESAPLVSVAEEEKQEPEDRSAA >LPERR03G32800.2 pep chromosome:Lperr_V1.4:3:27811612:27824534:-1 gene:LPERR03G32800 transcript:LPERR03G32800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFTPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATAYKTGRGRVVVRGKTDIETIDVKSKRTAIIIKEIPYQTNKASLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPGIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEIIQAFLDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTTNHAMATESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSSKKLIFQLIVQEATDLKNKFATPRRSSIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGTMRMNDSTSDFIVCQTHDHVLYFSDKGVVYSARAYKIPECTRNALGTPLLLSLSAGEKITSIIPVSEFGEDQYLVMLTTNGYIKRVALNAFSAIRPSGIISIQLVPDDELKWVRRCGNDDLVALASQNGMVIVNSCNKLRALGRKTRGVVAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGMGKRVPLNAFRRGHFNRVGMQGYKVPPDCSLAAVFVVGFSLTDDGESDEQVVLVSQSGTVNRIKVKDISIMSRTARRGVILMRLEHAGKIQSASLISAAEEEEEEQDLESAPLVSVAEEEKQEPEDRSAA >LPERR03G32810.1 pep chromosome:Lperr_V1.4:3:27829799:27834161:1 gene:LPERR03G32810 transcript:LPERR03G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHNCAEEIEPVLPDMKCPSCHDGFVEDMGSAGFEPATNSRSDRVSLLAPLLLGMMGSRRSRLRRAIMEIDDDDDDDDDDEDDSDHELEDLTVMQRGGSTLVRLLQTLRDDLRGLDDVDRYRDRDRERERRERERERERERERRRERTERLILINSNNEAIILQGTFGSDDNQEDSNNTSSGVSLGDYFIGSGLGVLLQRLAESELNRSGTPPAKKEAIAALPTVNIEEVLDCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCDSAGGIVSLHDDGDDAGTSSSDVDSTNHPGSPIFSALSTLFSNPSSSSSSYDNAPHSSES >LPERR03G32820.1 pep chromosome:Lperr_V1.4:3:27835176:27835748:1 gene:LPERR03G32820 transcript:LPERR03G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVATIEYLSLAVSVSSLLIRFVLHPLVKDAILMSRSALLSLLAHDNIAITSPPARTPQCDRCAARRAAGDACLLSRHDVAAVVASLRLVVAGDNNEEVVCETMTAVEEVIGEGKLAGEGELREAFYVFDRDEDGYVSAAELWNVLRRLGMEEGARYGDCVRMIAAYDGDGDGLISFHEFRAMMENTA >LPERR03G32830.1 pep chromosome:Lperr_V1.4:3:27835965:27845047:-1 gene:LPERR03G32830 transcript:LPERR03G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRIVAAADDLHTPFCRILLQSTSRRSPWIFTPAGHRHRRLPLPAIAAGALAIPGFRVDTIRIASASPSFHLLKSTASAPPIAVAAAVFAGDAHCPASPARERGKTEEKNQRRLLSKSFVIKGSMI >LPERR03G32840.1 pep chromosome:Lperr_V1.4:3:27839237:27839934:1 gene:LPERR03G32840 transcript:LPERR03G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVATIEFFSLAVSVRPPPSRQLVKDSILMSRSALLAVANPPPRTPQCERCAARRAAGDDACLLSRHDVTAIVASLRLVVAGDNNEEVEFEEEEGCGVCETMAAVKMIGEGKLAGEGELREAFYVFDREEDGYVSAAELWNVLRMLGMEGARYGDCVRMIATYDADSDGLISFLEFQATMENAA >LPERR03G32850.1 pep chromosome:Lperr_V1.4:3:27848177:27850424:-1 gene:LPERR03G32850 transcript:LPERR03G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRAGMNRKQLYTWISRAIDFYKIFKPVLKKLKLKQVPHHDPVYQELPPLNLPGDESPPWPEVFVRAPNPLPALDYGNVGAGGDDGHNYNSPAPSWLQAFVRGLCKFVSDTRKSHGRCLLVIAVVGFGGCLLFYVFRGGGGRQTPGDDDGPPPPPPARPQQQKRHRNSAAASHGRVDCHLHPKELYPLKMCRCSFMIPWYDYERPIIYDVRARLNLVESTFGSRDHQMVRIGLRVLNRPLPEKLPTIYRSLGENFNERAVVAKYNTSQLITQREVVSRQMIRKILTERASNFNIALDDVSITILSFGKEFSPAIEAKQVAAQEDFRAKFIVEKPEQGKSSAIIRAQGEAKSAELIGEAINNNPVFLAVRQIEAAREISHTMASSNNKVFLDSKDLLLGLRPSLTVHEPKVALSAYLFLSLERVGRVLV >LPERR03G32860.1 pep chromosome:Lperr_V1.4:3:27875351:27877320:1 gene:LPERR03G32860 transcript:LPERR03G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGSKEWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPKGRTLGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRAAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQMGNSKEMVKEEASEMVTSQSHSHTHSWGETRTPESEIVDNDPFPELDSFAPAAATATATMMVPKKEATDDFAAAAANAIPRNNSNLFRNNSNLFVDLSYDDIQGMYSGLDMMPSGDDFYSSLFASPRVKPATPGAAGVGMGMAPF >LPERR03G32870.1 pep chromosome:Lperr_V1.4:3:27877810:27882756:-1 gene:LPERR03G32870 transcript:LPERR03G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDEALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVRHIKATYGDYFGITVAGYPEAHPDAIQGVEGATPEAYSSDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILANGIKTLHLYTLNMEKSALGILMNLGLIEESKISRPLPWRRPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPLKSVEDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKVNLKGFLTINSQPSVNGERSDSPSVGWGGPGGYVYQKAYVEFFCSKEKLDQLIEKSKAYPSLTYIAVNKDGESFSNIPTNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWSRGWACLFPEGDSSREILDKVQKSYFLVSLVDNDYINGDLFAAFKDI >LPERR03G32870.2 pep chromosome:Lperr_V1.4:3:27877812:27882756:-1 gene:LPERR03G32870 transcript:LPERR03G32870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDEALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVRHIKATYGDYFGITVAGYPEAHPDAIQGVEGATPEAYSSDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILANGIKTLHLYTLNMEKSALGILMNLGLIEESKISRPLPWRRPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPLKSVEDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKVNLKGFLTINSQPSVNGERSDSPSVGWGGPGGYVYQKAYVEFFCSKEKLDQLIEKSKAYPSLTYIAVNKDGESFSNIPTNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWSRGWACLFPEGDSSREILDKVQKSYFLVSLVDNDYINGDLFAAFKDI >LPERR03G32880.1 pep chromosome:Lperr_V1.4:3:27883935:27886242:1 gene:LPERR03G32880 transcript:LPERR03G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASASPSPAVSVSPWRMSSLRAALPSIRPSSAGKLRSSFSPASAAATAASVGCLSSFSGLAPLSPLLSIGEDTSSCEHRLFGIDVRGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >LPERR03G32880.2 pep chromosome:Lperr_V1.4:3:27883935:27885475:1 gene:LPERR03G32880 transcript:LPERR03G32880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASASPSPAVSVSPWRMSSLRAALPSIRPSSAGKLRSSFSPASAAATAASVGCLSSFSGLAPLSPLLSIGEDTSSCEHRLFGIDVRGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >LPERR03G32890.1 pep chromosome:Lperr_V1.4:3:27891430:27896117:1 gene:LPERR03G32890 transcript:LPERR03G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSTWPSCSPSTRSWGRSCRCCPYAASCLAKRLGFPQGASMDWQGAPPSPSSHVVKKIMRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >LPERR03G32900.1 pep chromosome:Lperr_V1.4:3:27897881:27898708:-1 gene:LPERR03G32900 transcript:LPERR03G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSLLELTAAAAPPPPPARGRVVRILVRDADATDSSSSEDEVVARRQQRRRGRKVKRRVMEAGRRGEEEEGEAAAAAAAATGARRPAGXEDDKNNNSKEEGKKSTSSSYRGVRRRPWGKFAAEIRDPHLRRRLWLGTFDTAEEAAAAYDAASVRLRGSSAATNFSSVRFYCSSLELAKPTIPPPLPLPPKAVKPITLLVRPAKPTVLPRVKEEGESCGGQVKEEGSSCAVQALPAEPMWTMISGKRKKRSGCGTRVRAFHAVTACMEEIGGT >LPERR03G32910.1 pep chromosome:Lperr_V1.4:3:27900659:27903308:-1 gene:LPERR03G32910 transcript:LPERR03G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFDAAKKAADAAAGADDSPEADRCLDALRRLRGIHVTTETLVSTQVGKRLRYLTKHPHSDIQAMATDLLGYWKKVVIEEGKKNGTTENDRSTSSAARSEKAQPMKVDKNSASGSMKSEKREVDVRGQKTESIKVDKTTNNESKNQQVKVERAPKEVTRTPDTKKPSSVPNGPPKLTSLVKCNDATRDKIRELLADAFSKVSGETSKDDREEIRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNRWKFC >LPERR03G32920.1 pep chromosome:Lperr_V1.4:3:27904599:27907384:-1 gene:LPERR03G32920 transcript:LPERR03G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPGAHRTASPSRGALPPAPFPAAAHFASRAAGPFLRQLQEPLHGDVDDDDEDDDEEEAEMADGAACRSQQRCASAPGIGRAEMNGGNGMRQNREEQQWQHGHIYSCGNEQYRHASPREDEPSTIPREMRVEDGYGVIGRREGGPASSYWDLLRAHLSDPLTWVSLNCGCSLGKLFIVLNFCLIKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQEKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKVRGEDLRQCRECEVAIPFSGKVHGWWPVLCYGLKQYPECKLVVRYQSSLSHSGCRFRVPLSLPLFLTRRCKL >LPERR03G32930.1 pep chromosome:Lperr_V1.4:3:27907873:27911581:-1 gene:LPERR03G32930 transcript:LPERR03G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGMSCGSDGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKMLFIGSKIGEGAHGKVYKGKYNDQIVAIKVLNNGNTPEEKTTLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLLGMSLKNYLNSLRPNQLDIHTALSYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKRLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPHELVFIVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDVESEETSSSLNGKNNGVSSIVSRATSKLSVDRAVVLMTQS >LPERR03G32930.2 pep chromosome:Lperr_V1.4:3:27908062:27911581:-1 gene:LPERR03G32930 transcript:LPERR03G32930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGMSCGSDGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKMLFIGSKIGEGAHGKVYKGKYNDQIVAIKVLNNGNTPEEKTTLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLLGMSLKNYLNSLRPNQLDIHTALSYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKRLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPHELVFIVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDVESEETSSSLNGKNNGVSSIVSRATSKLSVVRHLFASKKAGNGRT >LPERR03G32940.1 pep chromosome:Lperr_V1.4:3:27916785:27919585:1 gene:LPERR03G32940 transcript:LPERR03G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERRNGGRNKHSRGHDKAIKLVRDIVEQAAVIDAQEARYIFRNKMMARVQVVVRRLLQLLPYLRLVAAVAVFLSSDPYYHALTF >LPERR03G32940.2 pep chromosome:Lperr_V1.4:3:27916785:27919585:1 gene:LPERR03G32940 transcript:LPERR03G32940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALERRNGGRNKHSRGHVKMMARVQVVVRRLLQLLPYLRLVAAVAVFLSSDPYYHALTF >LPERR03G32950.1 pep chromosome:Lperr_V1.4:3:27919980:27923907:-1 gene:LPERR03G32950 transcript:LPERR03G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVRLREKTSIASLLSAKRNWSGGGIAGGMKNVRREDTKGTKTAGGNKSDKREEYKEARGNTGQRRRWGSTNGQADENKNASEAVRRKRKGDHDSWNGDQSDTSYSKSKLTRNGPSTMTRGKAPARKGDRFRSLDEDDLRSGKRIGVSRGGRSNSMASGISREGKGRSVLSKNSEAPKWKKFDTPTRVNRQKEAVTNANLDDNASESKISDDSGQIAEEKPRPRRTRVLDKSGKKIRVVKKDSVSDTEEALPPKKRKRMKLDPYDTSNKRLEDSTANKDVFSTEKIPEKSSPEESDMSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTNVERDRIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVIKPVMMVSSKTGAGVRNLRGVLGKIARFIKP >LPERR03G32960.1 pep chromosome:Lperr_V1.4:3:27923928:27924633:-1 gene:LPERR03G32960 transcript:LPERR03G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALIRAQPTRFCSPRKAALPSPSLRTCAAARCLAVASGVLSSDSRDHYDGGGVGGGGEGGGAPPPRNQVI >LPERR03G32970.1 pep chromosome:Lperr_V1.4:3:27927490:27930788:1 gene:LPERR03G32970 transcript:LPERR03G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGIRTPATFPPLQKARIPRGSFHEKSLEQQKKGPSSSSLSVSSNNSPLQMATQVVQPQKSLESPQHMVTPVGLSESLGSRSTPCSSGSVGSDSGAAPFDICKKRDNKCSFKLSRSLLEINREKRREMEQFSKEIYLRPGMVLLKKFLKHDDQVDIITRCQKLGVGSGGFYTPGYRDGAKLSLQMMCLGKNWDPNSRSYGDTRPFDEAQPPSIPEVFRKIVKDAMQASNDFLKKKGGDVEELPPLSPDICLVNFYTISGKLGLHQDKDETKPSFDKGLPVVSFSLGDTAEFLYGDTSNVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPNTAPNWLTDKAELRPGRLNLTFRQH >LPERR03G32980.1 pep chromosome:Lperr_V1.4:3:27931092:27934559:1 gene:LPERR03G32980 transcript:LPERR03G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPALKDEFIRLCAGGRLKDALRQPYRDVLWSDAAALFPRLFRACRALRPLRQLHAFAATSGAATADRFTANHLMLAYADLGDLSAARGLFEGIPRRNVMSWNILLGGYIKNGDLDGARRVFDEMPERNVASWNAMVAGLTNFGLDEESLGFFVDMRREGMHPDEFGLGSVFRCCAGIRDVVSGRQVHAYVVRSGLDRDMCVGSSLSHMYMRCGCLQEGETVLQMLPSLSIVSCNTIIAGRTQNGDSEGALEYFCMMRGVGVAADVVTFVSAISSCSDLAALAQGQQIHAQVVKVGVDKVVPVMTCLVHMYSRCGCLGDSETIFFGYCGSDAFLLSAMISAYGFHGHGQKAIELFKQMIDGGVEPSEVTFLALLYACSHSGLKEEGMNCFELMTKTYGLQPSVKHYTCVVDLLGRSGCLDEAEALILSMPVTPDGVIWKTLLSACKTQKNFDMAERIAKRVIELDPHDSAPYVLLSNIRATSRRWGDVSEVRKAMREKNVRKEPGVSWVELKGQIHQFCTGDESHPRQKEIIECLEEMIAKIRQCGYAPDMSMVLHDMEDEEKEISLSHHSEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHVAIKLMAQILDKPHVAGGWVIIRPPFWLLASKGCQIQQRTPATDRDFAVTDAGGAAAMRAEGAVFGLRKRTVLANAASGRPVLTMLDAASGGVMTGPAWDVFRGESSSRSDLLFSAVKESVVQIRTTKVFVYLSGYRSVDQVPDFVVRGSYHDGDCSVFAGNSDDNAIAQVRCVDSQGMCIRLGSAQAFIVSLAVILHQMHHY >LPERR03G32990.1 pep chromosome:Lperr_V1.4:3:27935694:27936467:-1 gene:LPERR03G32990 transcript:LPERR03G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDARYCAAADQATAFAVARVMGATDRDFAVTDAGGETVMRVEGAVFSLRRRTLLLDARAASRVVVTMLDTSGGVGVVAPTWDVFRGESSSRRDLLFSVVKESVVQVRTRVFVYLSGYRSVEQQPDFVIRGSYYDGDCSVFAANSDQPIAKITENLAGTVRGFTRHVYTTRINPGIDQAFIISLAVILHGMHHR >LPERR03G33000.1 pep chromosome:Lperr_V1.4:3:27937549:27938259:-1 gene:LPERR03G33000 transcript:LPERR03G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAPALAVVDARFCAGDAAALSVTKTLSMSGSDFTVTDAATGGVVLRVGGVVFSLRRRCLLLDADRRPVLTVQESAMVMNRRWKVFRGDSTSRRDLLFTAVKPSAIQLWGSTKVSVFLASNDAEQACDFRVTGSYHDGACAVSLGDSDTVIAKASNHEFFPFLSRSKHFVEHLIERRFSVASALMGKNAYSVTVNAGIDYAFIVALVVVLDEMHFQP >LPERR03G33010.1 pep chromosome:Lperr_V1.4:3:27941635:27952217:1 gene:LPERR03G33010 transcript:LPERR03G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHSNLQINQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHASDTIETDAIIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRTSRKVPPRTTKLKYRYPRDSDHKTISRMSREHLQEIISSQQKIFSNYSSRHYHAKFRYTDSSETASSFGELDNFSARAQSSAKLELTKVVPINEVDNTGIASTHINGSDCLEALEADDGQLQATQHEPDKVEEVCKRSPEEQNAMFSNSDRMQSAQEENLLSTVAPVDQNNDRCWHDDTVSDQENFVDALNNTESEGEAHVETKIKRDLGAKMELDEMNLNRDEGEKDPHREFSELGHVIDSSPWLNDSRNGGEPICAISTGKLSVVDCTNDEESSNDVDLMEMDVSSSSSVLSEDNDVFGTNGNMNGFQQYREASLSNDYHAVIAHGSDKQSSQKSSGLDGSSIDSNDCIEKAFHSMEDDQNIAPDGTSMILGRASDVSQNDDKIEVRNVDDSLLPPTISENQLEGLTVDTSASSGEVSSFPDLDPAMCMKDLELDSVAVPKEIVANTPPTCLGTDNIHEHVDELASGVEPINSSMQNPLYESDDNYKDDGIIALGKEPCSTRANTHQEDPMQVSVLPGDFSNGQELPGFTETASPQEDLIEEVGQEEDLTDEVPVLSSRSLNDEKKQSLAEVPLACSNTSLLDNSTSCLEHDESTETRKNAKSDEVLVNVEVAKESITGRLADDMVHFEEDLPNDTKYTEKAEFVATNPRAENSRHDVQLQSSATCREELETMKAPCENLWSLDESREHIFDKSVPQTDNLAPHMEIKSAGEACSDIDDIENLSASHSPKNPVCQEDLPEETSLSAEVPYHCDTENGGAVILNSKMMEEQPENIDLVREPCTQNSFSTNPFMDPGYKVNHALTDPCPNYQPCFSEEEQDFISELLIQHGNTGRIEDLNPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTAAPEGFDDKPASDSNDVSNSFVTSESQFQFCASAIRTGLDQEETRDAPGDILMLFAAKEDPDDKAADSDLKSDEAFIDDKIPELGGFSVPMELEVEQRALHEVDSPSDSDLDNNKIDETCSSPSGNSVAVKENQETCDDLVSHDFISGRIDDLEVPLGNSVLLEPAEEVHGSDEYNYQDAPWPSTDEGRAGVDVYPLSKQIQTQGSEVLVLGEPDSRAVPSSSVNEMIDHADAPPFSTILEAEQEPEDFTLGEHNSQLNNFPLVDEKIDKLDDTSTSSTSLLVEVEREVCVPGESASQIASCSPINEKIDELNAPPLSSSVLIELESEDSVSGDLHPQIIPCSSVNDKTNEPDAVTSTPVLPVELEQEVCSSPELNSKIAPCSLNDDKVCELDGPPCKQLESENVSCCSPEVDHQITPCPSNSVVLTETSTTYSTSALPSTEESYRLSSPVPPSNGPFSDVSYKDSQKPPPLPPLLWRLGKPRLGIGSTKVHMPEPERGKGPILHTAEMDNMTGSLSGMTESLEPVSSQEIKERHQGRTSDNNNQGVEFRRLPKLPTVNDVARTEHSQIFSEACENIKHQEYVSSSETEAEEHQNGTGITDVMISHPSKPSFLVPSYGHAISQQGLQKSISPSDTSDNCEHSSYTHRAVSEDEKTVDDHNAACAMDLHITSSSISGHISENGCNQQPHGELLSATSVDKEHTSDTLCEEDSKLKDHLITSEACSYASKFSASSLLTEEGNIHHVENQYEGPLPSEESSGRLEYPHDDHSLEKEDIRQPDGNAASPDDSNNYINSPHEGGYSHAEQLPVMGWTVRPQMLHPNYGISMEENHFEPKVEDHLSIKKPISIRNIPRNPLVDAVAAHDRSTMRKVSELVPPTDKTKPNERNLLLEQIRNKTFNLKPVSPAKPTTIRTPPRDSTRNLKVAAIIERANAIRQAVGSDDEDGDNWSESSDT >LPERR03G33010.2 pep chromosome:Lperr_V1.4:3:27941635:27952217:1 gene:LPERR03G33010 transcript:LPERR03G33010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHSNLQINQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHASDTIETDAIIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRTSRKVPPRTTKLKYRYPRDSDHKTISRMSREHLQEIISSQQKIFSNYSSRHYHAKFRYTDSSETASSFGELDNFSARAQSSAKLELTKVVPINEVDNTGIASTHINGSDCLEALEADDGQLQATQHEPDKVEEVCKRSPEEQNAMFSNSDRMQSAQEENLLSTVAPVDQNNDRCWHDDTVSDQENFVDALNNTESEGEAHVETKIKRDLGAKMELDEMNLNRDEGEKDPHREFSELGHVIDSSPWLNDSRNGGEPICAISTGKLSVVDCTNDEESSNDVDLMEMDVSSSSSVLSEDNDVFGTNGNMNGFQQYREASLSNDYHAVIAHGSDKQSSQKSSGLDGSSIDSNDCIEKAFHSMEDDQNIAPDGTSMILGRASDVSQNDDKIEVRNVDDSLLPPTISENQLEGLTVDTSASSGEVSSFPDLDPAMCMKDLELDSVAVPKEIVANTPPTCLGTDNIHEHVDELASGVEPINSSMQNPLYESDDNYSAEELNSLPEDDLYKHNVEDLYKHVLEDDGIIALGKEPCSTRANTHQEDPMQVSVLPGDFSNGQELPGFTETASPQEDLIEEVGQEEDLTDEVPVLSSRSLNDEKKQSLAEVPLACSNTSLLDNSTSCLEHDESTETRKNAKSDEVLVNVEVAKESITGRLADDMVHFEEDLPNDTKYTEKAEFVATNPRAENSRHDVQLQSSATCREELETMKAPCENLWSLDESREHIFDKSVPQTDNLAPHMEIKSAGEACSDIDDIENLSASHSPKNPVCQEDLPEETSLSAEVPYHCDTENGGAVILNSKMMEEQPENIDLVREPCTQNSFSTNPFMDPGYKVNHALTDPCPNYQPCFSEEEQDFISELLIQHGNTGRIEDLNPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTAAPEGFDDKPASDSNDVSNSFVTSESQFQFCASAIRTGLDQEETRDAPGDILMLFAAKEDPDDKAADSDLKSDEAFIDDKIPELGGFSVPMELEVEQRALHEVDSPSDSDLDNNKIDETCSSPSGNSVAVKENQETCDDLVSHDFISGRIDDLEVPLGNSVLLEPAEEVHGSDEYNYQDAPWPSTDEGRAGVDVYPLSKQIQTQGSEVLVLGEPDSRAVPSSSVNEMIDHADAPPFSTILEAEQEPEDFTLGEHNSQLNNFPLVDEKIDKLDDTSTSSTSLLVEVEREVCVPGESASQIASCSPINEKIDELNAPPLSSSVLIELESEDSVSGDLHPQIIPCSSVNDKTNEPDAVTSTPVLPVELEQEVCSSPELNSKIAPCSLNDDKVCELDGPPCKQLESENVSCCSPEVDHQITPCPSNSVVLTETSTTYSTSALPSTEESYRLSSPVPPSNGPFSDVSYKDSQKPPPLPPLLWRLGKPRLGIGSTKVHMPEPERGKGPILHTAEMDNMTGSLSGMTESLEPVSSQEIKERHQGRTSDNNNQGVEFRRLPKLPTVNDVARTEHSQIFSEACENIKHQEYVSSSETEAEEHQNGTGITDVMISHPSKPSFLVPSYGHAISQQGLQKSISPSDTSDNCEHSSYTHRAVSEDEKTVDDHNAACAMDLHITSSSISGHISENGCNQQPHGELLSATSVDKEHTSDTLCEEDSKLKDHLITSEACSYASKFSASSLLTEEGNIHHVENQYEGPLPSEESSGRLEYPHDDHSLEKEDIRQPDGNAASPDDSNNYINSPHEGGYSHAEQLPVMGWTVRPQMLHPNYGISMEENHFEPKVEDHLSIKKPISIRNIPRNPLVDAVAAHDRSTMRKVSELVPPTDKTKPNERNLLLEQIRNKTFNLKPVSPAKPTTIRTPPRDSTRNLKVAAIIERANAIRQAVGSDDEDGDNWSESSDT >LPERR03G33010.3 pep chromosome:Lperr_V1.4:3:27941635:27952217:1 gene:LPERR03G33010 transcript:LPERR03G33010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHSNLQINQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHASDTIETDAIIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRTSRKVPPRTTKLKYRYPRDSDHKTISRMSREHLQEIISSQQKIFSNYSSRHYHAKFRYTDSSETASSFGELDNFSARAQSSAKLELTKVVPINEVDNTGIASTHINGSDCLEALEADDGQLQATQHEPDKVEEVCKRSPEEQNAMFSNSDRMQSAQEENLLSTVAPVDQNNDRCWHDDTVSDQENFVDALNNTESEGEAHVETKIKRDLGAKMELDEMNLNRDEGEKDPHREFSELGHVIDSSPWLNDSRNGGEPICAISTGKLSVVDCTNDEESSNDVDLMEMDVSSSSSVLSEDNDVFGTNGNMNGFQQYREASLSNDYHAVIAHGSDKQSSQKSSGLDGSSIDSNDCIEKAFHSMEDDQNIAPDGTSMILGRASDVSQNDDKIEVRNVDDSLLPPTISENQLEGLTVDTSASSGEVSSFPDLDPAMCMKDLELDSVAVPKEIVANTPPTCLELASGVEPINSSMQNPLYESDDNYSAEELNSLPEDDLYKHNVEDLYKHVLEDDGIIALGKEPCSTRANTHQEDPMQVSVLPGDFSNGQELPGFTETASPQEDLIEEVGQEEDLTDEVPVLSSRSLNDEKKQSLAEVPLACSNTSLLDNSTSCLEHDESTETRKNAKSDEVLVNVEVAKESITGRLADDMVHFEEDLPNDTKYTEKAEFVATNPRAENSRHDVQLQSSATCREELETMKAPCENLWSLDESREHIFDKSVPQTDNLAPHMEIKSAGEACSDIDDIENLSASHSPKNPVCQEDLPEETSLSAEVPYHCDTENGGAVILNSKMMEEQPENIDLVREPCTQNSFSTNPFMDPGYKVNHALTDPCPNYQPCFSEEEQDFISELLIQHGNTGRIEDLNPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTAAPEGFDDKPASDSNDVSNSFVTSESQFQFCASAIRTGLDQEETRDAPGDILMLFAAKEDPDDKAADSDLKSDEAFIDDKIPELGGFSVPMELEVEQRALHEVDSPSDSDLDNNKIDETCSSPSGNSVAVKENQETCDDLVSHDFISGRIDDLEVPLGNSVLLEPAEEVHGSDEYNYQDAPWPSTDEGRAGVDVYPLSKQIQTQGSEVLVLGEPDSRAVPSSSVNEMIDHADAPPFSTILEAEQEPEDFTLGEHNSQLNNFPLVDEKIDKLDDTSTSSTSLLVEVEREVCVPGESASQIASCSPINEKIDELNAPPLSSSVLIELESEDSVSGDLHPQIIPCSSVNDKTNEPDAVTSTPVLPVELEQEVCSSPELNSKIAPCSLNDDKVCELDGPPCKQLESENVSCCSPEVDHQITPCPSNSVVLTETSTTYSTSALPSTEESYRLSSPVPPSNGPFSDVSYKDSQKPPPLPPLLWRLGKPRLGIGSTKVHMPEPERGKGPILHTAEMDNMTGSLSGMTESLEPVSSQEIKERHQGRTSDNNNQGVEFRRLPKLPTVNDVARTEHSQIFSEACENIKHQEYVSSSETEAEEHQNGTGITDVMISHPSKPSFLVPSYGHAISQQGLQKSISPSDTSDNCEHSSYTHRAVSEDEKTVDDHNAACAMDLHITSSSISGHISENGCNQQPHGELLSATSVDKEHTSDTLCEEDSKLKDHLITSEACSYASKFSASSLLTEEGNIHHVENQYEGPLPSEESSGRLEYPHDDHSLEKEDIRQPDGNAASPDDSNNYINSPHEGGYSHAEQLPVMGWTVRPQMLHPNYGISMEENHFEPKVEDHLSIKKPISIRNIPRNPLVDAVAAHDRSTMRKVSELVPPTDKTKPNERNLLLEQIRNKTFNLKPVSPAKPTTIRTPPRDSTRNLKVAAIIERANAIRQAVGSDDEDGDNWSESSDT >LPERR03G33010.4 pep chromosome:Lperr_V1.4:3:27941635:27952217:1 gene:LPERR03G33010 transcript:LPERR03G33010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHSNLQINQNMITQGDMPRFILDSYEECRGPPRLFTLDKYGNDVFSFLLRGLTEYIVGIYTLELFFRFDVAGAGASLKRYSDPSFFKTEHASDTIETDAIIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRTSRKVPPRTTKLKYRYPRDSDHKTISRMSREHLQEIISSQQKIFSNYSSRHYHAKFRYTDSSETASSFGELDNFSARAQSSAKLELTKVVPINEVDNTGIASTHINGSDCLEALEADDGQLQATQHEPDKVEEVCKRSPEEQNAMFSNSDRMQSAQEENLLSTVAPVDQNNDRCWHDDTVSDQENFVDALNNTESEGEAHVETKIKRDLGAKMELDEMNLNRDEGEKDPHREFSELGHVIDSSPWLNDSRNGGEPICAISTGKLSVVDCTNDEESSNDVDLMEMDVSSSSSVLSEDNDVFGTNGNMNGFQQYREASLSNDYHAVIAHGSDKQSSQKSSGLDGSSIDSNDCIEKAFHSMEDDQNIAPDGTSMILGRASDVSQNDDKIEVRNVDDSLLPPTISENQLEGLTVDTSASSGEVSSFPDLDPAMCMKDLELDSVAVPKEIVANTPPTCLGTDNIHEHVDELASGVEPINSSMQNPLYESDDNYSAEELNSLPEDDLYKHNVEDLYKHVLEDDGIIALGKEPCSTRANTHQEDPMQVSVLPGDFSNGQELPGFTETASPQEDLIEEVGQEEDLTDEVPVLSSRSLNDEKKQSLAEVPLACSNTSLLDNSTSCLEHDESTETRKNAKSDEVLVNVEVAKESITGRLADDMVHFEEDLPNDTKYTEKAEFVATNPRAENSRHDVQLQSSATCREELETMKAPCENLWSLDESREHIFDKSVPQTDNLAPHMEIKSAGEACSDIDDIENLSASHSPKNPVCQEDLPEETSLSAEVPYHCDTENGGAVILNSKMMEEQPENIDLVREPCTQNSFSTNPFMDPGYKVNHALTDPCPNYQPCFSEEEQDFISELLIQHGNTGRIEDLNPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTAAPEGFDDKPASDSNDVSNSFVTSESQFQFCASAIRTGLDQEETRDAPGDILMLFAAKEDPDDKAADSDLKSDEAFIDDKIPELGGFSVPMELEVEQRALHEVDSPSDSDLDNNKIDETCSSPSGNSVAVKENQETCDDLVSHDFISGRIDDLEVPLGNSVLLEPAEEVHGSDEYNYQDAPWPSTDEGRAGVDVYPLSKQIQTQGSEVLVLGEPDSRAVPSSSVNEMIDHADAPPFSTILEAEQEPEDFTLGEHNSQLNNFPLVDEKIDKLDDTSTSSTSLLVEVEREVCVPGESASQIASCSPINEKIDELNAPPLSSSVLIELESEDSVSGDLHPQIIPCSSVNDKTNEPDAVTSTPVLPVELEQEVCSSPELNSKIAPCSLNDDKVCELDGPPCKQLESENVSCCSPEVDHQITPCPSNSVVLTETSTTYSTSALPSTEESYRLSSPVPPSNGPFSDVSYKDSQKPPPLPPLLWRLGKPRLGIGSTKVHMPEPERGKGPILHTAEMDNMTGSLSGMTESLEPVSSQEIKERHQGRTSDNNNQGVEFRRLPKLPTVNDVARTEHSQIFSEACENIKHQEYVSSSETEAEEHQNGTGITDVMISHPSKPSFLVPSYGHAISQQGLQKSISPSDTSDNCEHSSYTHRAVSEDEKTVDDHNAACAMDLHITSSSISGHISENGCNQQPHGELLSATSVDKEHTSDTLCEEDSKLKDHLITSEACSYASKFSASSLLTEEGNIHHVENQYEGPLPSEESSGRLEYPHDDHSLEKEDIRQPDGNAASPDDSNNYINSPHEGGYSHAEQLPVMGWTVRPQMLHPNYGISMEENHFEPKVEDHLSIKKPISIRNIPRNPLVDAVAAHDRSTMRKVSELVPPTDKTKPNERNLLLEQIRNKTFNLKPVSPAKPTTIRTPPRDSTRNLKVAAIIERANAIRQAVGSDDEDGDNWSESSDT >LPERR03G33020.1 pep chromosome:Lperr_V1.4:3:27951366:27952162:-1 gene:LPERR03G33020 transcript:LPERR03G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPAGGGRGGASVPEAAAAVDGEVSGGVPPVTSRSVVEMWKMDATPARARLLLRGIAWLFSLLALVVMASNNHGHGGAQDFHNYPEYNYCLGISIVAFLYATAQVLRGVHRLSSGRDVIAARKAAAVVDFAGDQARGIFHFNFENNTPMIRSNHQLTLSAAAPVTDYMRQAADNLFTDSAAAAISMAFFAFLAIGLSALISGYNLAMEVLV >LPERR03G33030.1 pep chromosome:Lperr_V1.4:3:27953490:27955534:1 gene:LPERR03G33030 transcript:LPERR03G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAKSPLLEGRSSRGATAAQTLGNIVVSIVGTGVLGLPFAFRTSGWLAGALGVSAAGAATFYCMLLLLDCRDKLREEEEDGDEHDGNYTYGDLGEKCFGAMGRFLTELTIILSQTGGSVAYLVFIGQNISSVFPSSAAADDHPRRRISPASVVLAVLLPAEAALSFIRTLSSLAPFSILADACTVLAVAAVVKDDIIQLLLLAAGGGDGGRSAFAGIWGVPFACGVAVFCFEGFCLTLALEASMAERRMFRPVLLRAIAGVTAVYVCFGVCGYLAYGDDTRDIVTLNLPNNWSTTAIKIALCIALALTFPMMMHPIHEIVEARLFRPPRLRGGVERAAAQASRVAVVGAVAAVACFVPAFGAFASFVGSTVCALLSFVLPALFHLRLVGAAASAWRRAVDGGFLLLGLAFAAHGVYTVVVSWF >LPERR03G33040.1 pep chromosome:Lperr_V1.4:3:27971298:27996107:-1 gene:LPERR03G33040 transcript:LPERR03G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAVGKAAAGGCDVAQGEWVYDEAARPWYAEEECPYIQPQLTCQAHGRPDTAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLSAPTQIPMHPSAMQSLAVAVVALLASAAPFFPVAGAQGGEAAAAFAVGAAPEGCEVGEGEWVYDEAARPWYAEEACPYIPPEMTCQAHGRPDSSYQHWRWQPRGCSLPSFNATVMLEMLRGKRMLFVGDSLLRGQYISLLCLLHRSIPDASKSFETVNSLSIFRAKNYDATIEFYWAPMLAESNSDDGVAHEDRVIRGAAMNKHSNFWKGADVLVFNSYLWWITDSKIQILRGADDDMSKDIVEMESSEAYRLVLHQVTRWVDQNVDPKNARVFFVTASPTHDGCHKQTTPASAADGDAASATTSRRMQQVAGEVLASSPSRVPVGVVNVTAMSVPRRDAHTQTYREQWGTAAAATAATAARQSPEEVAADCTHWCVPGVPDAWNELLYWKLFFPMTIGITPPRKSRSTVAVVVGGGGGEDTWRTEETAKKTTTRVWGTTVSVSVSLRSHFRSLLLLLLVFLFLAVVSVTTKNGDTQHTPPPADDTIAAAIKLQEAAAATLPPSNATDDDGGECDLFDGRWVYDEAAYPLYKESECRVMSEQSACEKYGRTDLRYQHWRWQPHGCDLPRFDAAKFLGKLRDKRLVFVGDSLNRNQWASMVCLIDTGAPELHTTMNSTGSLSTFKIHEYNASVDFYWSPLLVESNSDHPLIHRVTNRIVRAASINKHAVHWTGADVLVFNSFIWWRQHPDIKVLWGSFDKSPAEEGEGDNFAVYKVIGSLRAYELAVRTWADWLEFHVDRTRTKLFFMTMSPTHLRSHDWEEPTTNNTNHNCYGETEPIAASGEGQRRGVVTDDDTAFARAVEAEARRLGERGVAVRLVNVTRLSETRKDAHPSVHRRFWDPVTDEQRRDPSSYADCIHWCLPGVPDVWNQLLYAHFVSLRSHYFSWFLLLLLVLFVVLAVTVTTKNGDGVDDDAENGAGDGECDMSSGRWVYDEEAYPVYKESECRVIWEDLACEKYGRTDLRYQHWRWQPHGCDLPRFNAVKLLENLRDKRLVFVGDSLNRNQWASMVCLIDTGAPQLHTTVNKTRSLMSFKIHEYNTTLDFYWSPLLVESNSDHPRFHRVADRIVRAASINKHAVHWTDADVLVFNSYVWWGSFDNSTTTTEQGDVYKVSKVVSGLRAYELSLRTWADWMEFHVDRTRTKLFFMTMSPTHIRSHDWEEPTTTKNHKCHGETEPIAPDDQLRRGGGGGGSKNTIKFARAVEAETRRLAERGVAVRLVNMTRLSETRKDAHPSVHRRFWDPVSDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHLVS >LPERR03G33040.2 pep chromosome:Lperr_V1.4:3:27983274:27996107:-1 gene:LPERR03G33040 transcript:LPERR03G33040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAVGKAAAGGCDVAQGEWVYDEAARPWYAEEECPYIQPQLTCQAHGRPDTAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLSAPTQIPMHPSAMQSLAVAVVALLASAAPFFPVAGAQGGEAAAAFAVGAAPEGCEVGEGEWVYDEAARPWYAEEACPYIPPEMTCQAHGRPDSSYQHWRWQPRGCSLPSFNATVMLEMLRGKRMLFVGDSLLRGQYISLLCLLHRSIPDASKSFETVNSLSIFRAKNYDATIEFYWAPMLAESNSDDGVAHEDRVIRGAAMNKHSNFWKGADVLVFNSYLWWITDSKIQILRGADDDMSKDIVEMESSEAYRLVLHQVTRWVDQNVDPKNARVFFVTASPTHDGCHKQTTPASAADGDAASATTSRRMQQVAGEVLASSPSRVPVGVVNVTAMSVPRRDAHTQTYREQWGTAAAATAATAARQSPEEVAADCTHWCVPGVPDAWNELLYWKLFFPVRDQAI >LPERR03G33040.3 pep chromosome:Lperr_V1.4:3:27971298:27979621:-1 gene:LPERR03G33040 transcript:LPERR03G33040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGITPPRKSRSTVAVVVGGGGGEDTWRTEETAKKTTTRVWGTTVSVSVSLRSHFRSLLLLLLVFLFLAVVSVTTKNGDTQHTPPPADDTIAAAIKLQEAAAATLPPSNATDDDGGECDLFDGRWVYDEAAYPLYKESECRVMSEQSACEKYGRTDLRYQHWRWQPHGCDLPRFDAAKFLGKLRDKRLVFVGDSLNRNQWASMVCLIDTGAPELHTTMNSTGSLSTFKIHEYNASVDFYWSPLLVESNSDHPLIHRVTNRIVRAASINKHAVHWTGADVLVFNSFIWWRQHPDIKVLWGSFDKSPAEEGEGDNFAVYKVIGSLRAYELAVRTWADWLEFHVDRTRTKLFFMTMSPTHLRSHDWEEPTTNNTNHNCYGETEPIAASGEGQRRGVVTDDDTAFARAVEAEARRLGERGVAVRLVNVTRLSETRKDAHPSVHRRFWDPVTDEQRRDPSSYADCIHWCLPGVPDVWNQLLYAHFVSLRSHYFSWFLLLLLVLFVVLAVTVTTKNGDGVDDDAENGAGDGECDMSSGRWVYDEEAYPVYKESECRVIWEDLACEKYGRTDLRYQHWRWQPHGCDLPRFNAVKLLENLRDKRLVFVGDSLNRNQWASMVCLIDTGAPQLHTTVNKTRSLMSFKIHEYNTTLDFYWSPLLVESNSDHPRFHRVADRIVRAASINKHAVHWTDADVLVFNSYVWWGSFDNSTTTTEQGDVYKVSKVVSGLRAYELSLRTWADWMEFHVDRTRTKLFFMTMSPTHIRSHDWEEPTTTKNHKCHGETEPIAPDDQLRRGGGGGGSKNTIKFARAVEAETRRLAERGVAVRLVNMTRLSETRKDAHPSVHRRFWDPVSDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHLVS >LPERR03G33050.1 pep chromosome:Lperr_V1.4:3:27998575:28005441:1 gene:LPERR03G33050 transcript:LPERR03G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVIKLHDSAPPLLGRAPMPPPPGARDEPSPAAAAVLVHHPRGGGGIAQHPAVAALEDRLAARDRDIQDLLVDNQRFAATHVALQQQLIGAQHELRAVSIAATKARAEREHEVLSLADQAARIEAEALTVVAARAEVDRVHSDVQMLAGACTELADRLQELRGQFARLQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKNMIAVASEIEKLRGDLANAEKRATAVTTTAPVANPGFPTTYGNSEVTYHAGYGNSETAYASTYGSTEAAYTPTYGSTEAAAYTATYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYEGQNTNVQR >LPERR03G33060.1 pep chromosome:Lperr_V1.4:3:28005869:28015225:1 gene:LPERR03G33060 transcript:LPERR03G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRTPLRLILVVVAAALLAAAPLSPAAEAAGEFDVRRHLSTVTRYDVARGSNSVVTAPSISDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPGSDSTNKILSWMKGWESPWKGRVKGGELVSEGEEELHNLAIRVKERFAGLFDEDYHPDVYSIRATQVPRASASAVAFGLGLLSGRGKLGPGKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTTQDVSSLWFLCKQEASLLNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAKEENRPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREESLDIPPIPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGSKIYRDQKSSYFVQVLHNEAPVSMPANLSVQGCGNKDFCPFEDFKKKIVEPHLKHDYNALCKIRPAAREKPSSYSSSMLNFFLDLLSRKGYRFVARDVKTELFVIGLLVYWDGYTTRESGVPGQFHASVPEMYSVVVWLWRVCERSKKVAASVNLKLVLVPTNYTSEFVVPAKSQSQATQSSKLSSASLSLSELNWPATKMASGGGEVKGETVLVTGASGFIGSHLVRRLLSRGYSVHAAVLNPDDKAETDHLHALAAAGDGESRLRVFPGDLLDGAALIAAAKGCSGVFHLASPCTVDRVTDPQRQLMVPAVEGTMNVLRAAKEAGGVRRVVVTSSVSAIVPCPGWPAGEVLDERCWTDLDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVINPGTALGPVIPPTINASMAMLVRLLEGCTEEYADFFIGPVHVEDVALAHILLYENPSASGRHLCVEPIIHWSDFASKVAELYPNYKVPKFPKDTQPGLVRAKAAAKKLIALGLQFSPMEKIINDSVESLKSRGFIS >LPERR03G33060.2 pep chromosome:Lperr_V1.4:3:28005869:28015291:1 gene:LPERR03G33060 transcript:LPERR03G33060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRTPLRLILVVVAAALLAAAPLSPAAEAAGEFDVRRHLSTVTRYDVARGSNSVVTAPSISDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPGSDSTNKILSWMKGWESPWKGRVKGGELVSEGEEELHNLAIRVKERFAGLFDEDYHPDVYSIRATQVPRASASAVAFGLGLLSGRGKLGPGKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTTQDVSSLWFLCKQEASLLNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAKEENRPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREESLDIPPIPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGSKIYRDQKSSYFVQVLHNEAPVSMPANLSVQGCGNKDFCPFEDFKKKIVEPHLKHDYNALCKIRPAAREKPSSYSSSMLNFFLDLLSRKGYRFVARDVKTELFVIGLLVYWDGYTTRESGVPGQFHASVPEMYSVVVWLWRVCERSKKVAASVNLKLVLVPTNYTSEFVVPAKSQSQATQSSKLSSASLSLSELNWPATKMASGGGEVKGETVLVTGASGFIGSHLVRRLLSRGYSVHAAVLNPDDKAETDHLHALAAAGDGESRLRVFPGDLLDGAALIAAAKGCSGVFHLASPCTVDRVTDPQRQLMVPAVEGTMNVLRAAKEAGGVRRVVVTSSVSAIVPCPGWPAGEVLDERCWTDLDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVINPGTALGPVIPPTINASMAMLVRLLEGCTEEYADFFIGPVHVEDVALAHILLYENPSASGRHLCVEPIIHWSDFASKVAELYPNYKVPKFPKDTQPGLVRAKAAAKKLIALGLQFSPMEKIINDSVESLKSRGFIS >LPERR03G33070.1 pep chromosome:Lperr_V1.4:3:28015528:28018630:-1 gene:LPERR03G33070 transcript:LPERR03G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQVSASNPRTVEEIFKDYSARRGALVRALTSDVDEFFGFCDPEKENLCLYGHANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDKSGVDSSGKSRHSTKRTSEGQVKRSRVVAEDYEDDDEEHNETFCGTCSGQYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKTRL >LPERR03G33080.1 pep chromosome:Lperr_V1.4:3:28019536:28021519:-1 gene:LPERR03G33080 transcript:LPERR03G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >LPERR03G33090.1 pep chromosome:Lperr_V1.4:3:28025070:28029193:1 gene:LPERR03G33090 transcript:LPERR03G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVASPEGSGTSSSSVLNSGDAGGGGGGLFRFDLLGGSPDEDECSGEPAPVTRQLLPPPPAPPAATATAAATPLWQPRRAAEDAGLAPQRAVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKK >LPERR03G33100.1 pep chromosome:Lperr_V1.4:3:28034630:28038951:1 gene:LPERR03G33100 transcript:LPERR03G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDDAHPVTAKAALAFYLDFAASTIHASALLTLSSPHSGDLLLDTRSLTVHSAATTTSPPDQIPFSLAAAADPILGSSLTLTLPPNTTSFLILFSTSPAASALQWLSPPQTASSLPFVFSQCQSIHARSVFPCHDTPAARLTYTLLLNVPDNLSAVAAARHVARRDPLADEHQGACDDALWCAQGRIVEEFEMEQPVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDRVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYIATFKFKSIDTETFLEFLKTNVPGIENQIDLQLWVEGTGIPPDAMEPDSAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHS >LPERR03G33110.1 pep chromosome:Lperr_V1.4:3:28039367:28041155:1 gene:LPERR03G33110 transcript:LPERR03G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDDSNADKGFHGAYPSGYPNQPGYPGAYPLAQGYATQPGGYPGAPPGQYPSSSGYPGGQYPPGGYPSSQGGYPPGAYPPSGYPQQPGYMPAGYPGHGPPMQVPPQGGMLGGGHGAGASGYGAMLAGGAMVAAAAMGAHKARPGGGYGMYGHHGGKFKHGKYGKHKKMFGKNKKMFGRKWK >LPERR03G33120.1 pep chromosome:Lperr_V1.4:3:28040993:28042852:-1 gene:LPERR03G33120 transcript:LPERR03G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNDGVEYEFPRFVKLETMSLTLAGATLQIPPATVFRSLTDLFLSNLRVENGNGQLLGRLLSPLISDQPLELQLESSELLELTLEGIRKDCLISLEINAPRLRVLDMKRLYLDSLAISTPRLEEFKLHGMTMMTRLNVGDMPWVRTLNRISIWSRGHYSHVRNHIHFLRCCKFLKFLEVKLMILSQGGVYKDGEVDLRKDIPQLPHVTSLSITICPIFPCDLTTPVACLLKQCRFLKHLQVDMRLLSQSYDRALESTGNQSQTSHDTISLEDLLEINIIGIRG >LPERR03G33130.1 pep chromosome:Lperr_V1.4:3:28042897:28063114:-1 gene:LPERR03G33130 transcript:LPERR03G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLNNANLKLPTAVAFDSLTNLSLSDIQLTADSGGFLGHLLSSACSPRLQKLSLNYLDGLTELQLEARELLELSLEGALYQGYYARIELNTPKLRVLNLEYGPLETLIISAPKLEELTSSFIGWPDVKQLDIGGMPCVRRMDELHFDLIKNIPQLHHVTSLTIILELRMERTIMTGTACLLARCRFLRFLQLYMNFDIKEIDIRRFQGRKYETSLMELLYESSPALQKIKLNFQWNAITERRNLLRRFSFAEVGISLNDDVLAHILASLPTIADVVRACAVSRRRHHLQPRVPNLRFSFTDDISYQKDNFDDDDYLKQREKERVDGYISFVNRHVVKSFSLKLNYVPSTSRLDNNNNKFNSNKVIYELPSYTRLESMILSLNCATLKLPINVIFDSMTDFSLSNMRLVEGSGHLMSSVCCPCLRKLSLDEIDGLTELKLEANELMDLTLLGESCVDVFIELITPRLRVLKFDYIFLGALKISAQNLEEFSSTFVHWRNVEMGQLDMGDLSCVRILSDLELCSHGNPVDYANYNKYAIYLLQRCTSVECLRVFLYSAKLNIATIVTTTADNQATWKDHFRIKLPHLHEIQISGLLGLEYETSLMEALYESSPVLQKIQVKFDNKTAESKILLPRIPFNEVGRWETAPTADSDCKTLSRVAHWEFNVNPIMVLNEFPGSEKLEMMSLTLSGVGLRIPSNVTFHSLTHLSLINVLIEGGSVHLINRLLSPMCCPRLQRLRLHKVYCFKGLQLESSELVELSMKKMSSCGLELKTPNLRILRTEKVHLERLAILAPRLEEFTPSAKLINELSMLNIGDMPWGQTLRDITIRPRAHIGDYSNRNTIRLLRCCKFLRFLNVHLHLSKEEEYNDGEVDSMEDIPQLPHVTWLSIKISSCHFYGFTTSVARLLERCRFLKYLDLDMEPTTNEDQKGHDNISLEYLQEIKIINLHGQNNEARFIKLLYASAPVLKKMTVAFSTFGRHEKFLHQIAKKGKWLATFSRKVKRRRRDRSNHGGGAGRRNLIDHLGDDVLVHVIRFLPTHTDVARACAVSRRWRRLEPLAPVLRFVYPDRVSNPPQERIDRFVAFTNKILARRADQSDNAALIEHLSISLRLLHYRPHRMMKNGTEYALPFVDVAHVNDWIRYGLQRVSNSFALELSLPQNYYKNYNSNDDSNDGMVLDELPDSTKLETIILSLSNACLRFSAAVVYESVTHLSLKNVRLEEDSSRLLSTCCPRLKKLNLCGIVMMAVIEFHLKFDELIELSLDWIPNKLLVLEINTPRLHVLSINHMYVERLVNLAPRLEEFTFSNTTVVSMLDIRDTSCVRVLKRIELRSLRPNNYHDGPYSRTRIQLLQCCKFLEFLELNLSLSQEGSDLKEEFGMMKDIPQFPRVTSLSLQVSSYTVCGITTGSARVGSQKQMDHHIGIIPLEYLHDIKVNGIRGIGYDAWLMKFLYASAPNLKKMTVTFLYAFQRWHVAEMVGVKSEEFLNSITFAKEGKWVSCYNNGLPYPMFEWMPIKESKDVMQSTVTVDAKRRRPNSPPPPDHHTDGGGDGVNLISHLADDVLLHIIRFLPDAKHAVRTAAISRRWRHLWTRAAVLRSGTLCSAW >LPERR03G33140.1 pep chromosome:Lperr_V1.4:3:28063218:28065104:-1 gene:LPERR03G33140 transcript:LPERR03G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLQLPVLTQKKRRRQDASTFDHLSGGGDLISSLDDDVLLHILGLLPTITDVVRTCSVSRRWRHLDALVPILRFINFHGNNYDDLKRREKLDQFMAFLNRQPVLTQKRRRQDASTSDHLGTSADVDLISSLDDDVLVHILGLLPTITDVVRACSASRRWHRLEPCVPFLRFNFDEDGDDTKQREKLDQFIPFVNRVLVRRIGQSDGSIKELEISLMWNYHHYTIASERGAIDIAQIVHAWIPYGMQHVV >LPERR03G33150.1 pep chromosome:Lperr_V1.4:3:28068746:28069090:-1 gene:LPERR03G33150 transcript:LPERR03G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSQLPVLTQKRSRQDASTSDHLDGGVDLISSLDDDVLLHILGLLPNMADVVRTCSVSRRWHRLDALIPILRFNIHDGDDDLKQRERVDRFIAFVNRVLAWRIGQQSNNASI >LPERR03G33160.1 pep chromosome:Lperr_V1.4:3:28071005:28072461:-1 gene:LPERR03G33160 transcript:LPERR03G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMVRSHRGAIVAASPLCQVPSEPRIHHHRQLQDCKRGGQQHKAAELVSPANSSRYLLSSRSAAAAEIQEVEASAAPVVAVDAKVREEQAGDAKNTQTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQFWATPPVIAA >LPERR03G33170.1 pep chromosome:Lperr_V1.4:3:28075315:28076207:-1 gene:LPERR03G33170 transcript:LPERR03G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSELEVDGVVFPPAVTRPPGSGNTHFLAGAGVRGMEIAGNFIKFTAIGVYLEEDAAVPSLAKTWAGKSADELLAGGGEFFRDVVTGEFEKFTRVTMILPLTGEQYSDKVTENCVKAWKAAGVYTDAEGAAAEKFKAAFKPHQFPPGSSILFTHSPSGVLTVAFSKDSSVPEEEDVAAAATIENRALCEAVLDSIIGEHGVSPAAKRSVAARLSELMKAGATGDVPPPPASAAAAVSA >LPERR03G33180.1 pep chromosome:Lperr_V1.4:3:28081552:28083476:-1 gene:LPERR03G33180 transcript:LPERR03G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEEEAVEEEEYGEEMDGSGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEVQKILQEKERRKRIEERKKRKQEKEIALYGRVLTSDDGDGEDVGNDGDEMETDDLPEPEVKTYEDYGTKITVTTSEITREDDDDVGPKRVALASTSYTDKSPSTVAKKSTSLGVKKKPSKRTFRSKSKSRKGDKKRGATKGKRKNKGRK >LPERR03G33190.1 pep chromosome:Lperr_V1.4:3:28084586:28087405:-1 gene:LPERR03G33190 transcript:LPERR03G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGGSGRRRWGQRSGGVVRRTAAAPEDEGVVAGAAPALRRTEGGVSLVGKQRCIHGVLDIRRNTGSITGNNFICSNSREFVKQKKSSGNSTRRSVSVKWKNGMGSSHLQPSVMEELMDIDAAMAETSFSCPRQSKFSNNRQLFRGSSATSSYGSPCQPMLHRSKHSINAKHPPPLENSGRMSNFRYQLVRSAEKSDFISMGPKSVNYSLSEKMSLLLQRHHDRNYTVGALKRRHNISQSVGPINMLGKDEVHKQPTSSPGRHWQTLLDNALLRQKKLLPRNEESTDQSWSSTNSESEKAMCFSSSASIDDLQASISTETSDSSDRSMSSLCISNDRWKMTFKKVRYTLASSLDSVSVTNHKEVEQPSPVSVLEIPDEDCPVTKTIKLDPHSRTGLARCPSVETVAEVRDIGISYYTLGVNASSNHEAIQLVEDIFEEFGDEEEREFSYVLDILIVSGIHGTTEDQLYKVCQSLDCPVGFDVFEKLEKKYMKVAEWSRLDRKLIFDMVSTILSEILAPCLDMHPWVKSAREMAPVWGSEGLLEKILEILVQRRKELGLSKTKPKKKALDRKWQDLSDYIDKLGRDVENKIKDDLLEELLMDLFS >LPERR03G33200.1 pep chromosome:Lperr_V1.4:3:28089275:28093316:1 gene:LPERR03G33200 transcript:LPERR03G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTRGERSTSRPVPLPPRHESDPTEPNPNQPDPTQPNQVDDSPPSDRSPSALANLFLLFLLPTPLAACAAAARERRRHRRIAAAAASDGRASVACGLGRLGAMAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKPEGEAEATAAQKSACCGS >LPERR03G33210.1 pep chromosome:Lperr_V1.4:3:28092922:28093702:-1 gene:LPERR03G33210 transcript:LPERR03G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGPEPEMMDGASIAQEVMLLLARSSGGVHGGEVVARTPPRVFECKTCRRRFPSFQALVWGCHLSICNLESVLRIQ >LPERR03G33220.1 pep chromosome:Lperr_V1.4:3:28095932:28102560:1 gene:LPERR03G33220 transcript:LPERR03G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFAEPKTKYDRQLRIWGDQGQAALEKADICLLTCGPTGTEAMKNLVLGGVGSVTIVDGCLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPPFFSQFTVVIATQLPERSLLKLDSICREANIVLVAARSYGLTGLVRISVKEHTVIESKPDHFLDDLRLHNPWTELKQFAKSTDISDKDPVVHKHIPYIIILVRLAEKWADAHDGHLPSTRQEKKEFKDLIREHMLNLDEENYKEAVESSYKVSVTPGISDDIRQIIDDSSTEVSSSSSDFWVLVAALKEFIANEVNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKKILKRIDRDPDSISRAYIKAFCKNARKLRVCRYRSIEEEFGSPLLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRFPGIFDSEIDEDVPRLKTAAVSVMSEMGLNGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLPGIFIFNGIDQKSQVLVL >LPERR03G33220.2 pep chromosome:Lperr_V1.4:3:28095932:28102872:1 gene:LPERR03G33220 transcript:LPERR03G33220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFAEPKTKYDRQLRIWGDQGQAALEKADICLLTCGPTGTEAMKNLVLGGVGSVTIVDGCLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPPFFSQFTVVIATQLPERSLLKLDSICREANIVLVAARSYGLTGLVRISVKEHTVIESKPDHFLDDLRLHNPWTELKQFAKSTDISDKDPVVHKHIPYIIILVRLAEKWADAHDGHLPSTRQEKKEFKDLIREHMLNLDEENYKEAVESSYKVSVTPGISDDIRQIIDDSSTEVSSSSSDFWVLVAALKEFIANEVNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKKILKRIDRDPDSISRAYIKAFCKNARKLRVCRYRSIEEEFGSPLLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRFPGIFDSEIDEDVPRLKTAAVSVMSEMGLNGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKESTSFSWDKTSVTMYGASEQGKSQMASSHAVRMRNVCIIRPKSRD >LPERR03G33230.1 pep chromosome:Lperr_V1.4:3:28107746:28108288:1 gene:LPERR03G33230 transcript:LPERR03G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPRQEENQEEVSLALSLSTDQSAASSDTSSSSAAKKARRRRNQAVVATSGEGEFVCKTCSRAFPTFQALGGHRTSHLRGRSNGLDLGVVGEKAIKQLRAGGAGGERAAEKHECHICGLGFEMGQALGGHMRRHREEMAGGGGAGAGSAADDWVWRCDAARPEERAAAEPPVLLELFA >LPERR03G33240.1 pep chromosome:Lperr_V1.4:3:28110554:28110991:1 gene:LPERR03G33240 transcript:LPERR03G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDVEAISLSLTLSLFADGVSTTGGGERKRLRRGSSPAASGGGEFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLATGKENPKKLQEKAAASHECHICGQGFEMGQALGGHMRRHREEAAAAAVAGVQAPPVLLELFV >LPERR03G33250.1 pep chromosome:Lperr_V1.4:3:28113368:28116856:1 gene:LPERR03G33250 transcript:LPERR03G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVDAAATASTKQKPRTEPELCSSSSSSSSVSLSPFRCCAAAADLAPLPLPPSSAMANSSSGVAVHDDCKLKFNELQSKRMHRFITFAMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFVTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNEKFKKELNGIQLELQATDASEISLDALKDRVK >LPERR03G33260.1 pep chromosome:Lperr_V1.4:3:28117414:28119849:-1 gene:LPERR03G33260 transcript:LPERR03G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRTIPASTSLKWSRSAAVTMSPSRSRSNSRSKSRSRSSSKSRSRSRSKSRSRSRSRSRSPRRERLRSERVSRHRSRSRSRSPKRRREYRGHRDLICKNCRRPGHFARDCPSAATCNKCNLPGHFAAECTSETVCWNCKKSGHIATECKNDALCHSCNKTGHLARDCPSSGSAKVCNKCFKPGHIAVDCTNERACNNCRQPGHIARECKNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFSDIKCRLCGQSGHISRNCIATVICDTCGGRGHMSYECPSARIFDRGLRRF >LPERR03G33270.1 pep chromosome:Lperr_V1.4:3:28122914:28126360:-1 gene:LPERR03G33270 transcript:LPERR03G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKTFQTSSKPGSDKKYQGALVASPAKAISPKTVKQIVPAKHVILSGESTTQLASFLVKVVALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTSTLCDRSSKRNDELGSNSEADLPSESDETASTSGTRDVVDGTKDTAPENWLVLLFRELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTLRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLVVRLGLACSSLAPSDRPQFGQAVVSQIDNGIVHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCSQCERPRMSAIQAGEGSKSQPRQISIDDGSPVASIGYDEADLPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAS >LPERR03G33280.1 pep chromosome:Lperr_V1.4:3:28132866:28135423:1 gene:LPERR03G33280 transcript:LPERR03G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAVYLDPPNWNQQQQLPTAGNAGAGATAAAAGGGGVDEQSQHHHHHHQLPPMPPPHHAAGAFMMPAASGGGAAVRPGSMTERARMAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSSKSSSSTSAATAGGGGATSSSTSSTATGGSAAAMMPPPQQLQTTHLPFLASLGGGDHYGAGASRLGFAGLSSLDPIDYQLGGGGGAAAAAIGMEQWRLPPQIQQFPFMSRTDAGMPQISGGGIYPFDAEATAAGFAGQFLAGGGNKVAGSSGLITQLASVKMEDSNNGNSSAAMNSSPREFLGLPGNLQFWGGGGGGGGNGNGSGGNGGNGGGGWADLSGFNSSSSGNMI >LPERR03G33290.1 pep chromosome:Lperr_V1.4:3:28146623:28150670:-1 gene:LPERR03G33290 transcript:LPERR03G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHCFLYPLGPSHYSGPYILALATKSLRRLNPSAAAALPLPRRKVTGIVKMAPPPAKTALFVWQVARFAPYEKHISELLKVGKDKTKKKARAKRAKTKREETTNVGARESVIIDSRMSRAEAYESTQSMDKSKFNCWL >LPERR03G33290.2 pep chromosome:Lperr_V1.4:3:28146750:28150670:-1 gene:LPERR03G33290 transcript:LPERR03G33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHCFLYPLGPSHYSGPYILALATKSLRRLNPSAAAALPLPRRKVTGIVKMAPPPAKTALFVWQVARFAPYEKHISELLKVGKDKTKKKARAKRAKTKREETTNVLRKMRANAR >LPERR03G33290.3 pep chromosome:Lperr_V1.4:3:28146783:28150670:-1 gene:LPERR03G33290 transcript:LPERR03G33290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHCFLYPLGPSHYSGPYILALATKSLRRLNPSAAAALPLPRRKVTGIVKMAPPPAKTALFVLC >LPERR03G33290.4 pep chromosome:Lperr_V1.4:3:28150337:28150670:-1 gene:LPERR03G33290 transcript:LPERR03G33290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHCFLYPLGPSHYSGPYILALATKSLRRLNPSAAAALPLPRRKVTGIVKMAPPPAKTALFVCEYIGYGHDYRDL >LPERR03G33300.1 pep chromosome:Lperr_V1.4:3:28152293:28155544:-1 gene:LPERR03G33300 transcript:LPERR03G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGVAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPEKFVVPFSADDLVADAKAAAACVAEGEAATTFRAISGAALSANVSTPLSTSVLLLMPDDSSSASATATVSSGFESSESFAAVPLQPVPRLFPSGPISSGPFSGGFMSGPLERGFQSGPLDAALLSGPLPGVSGRMGGAVVPALRRSLSHGGRRLRNFTRALLARTEKYQDSVDLGSPDAAAAAAVAACGGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHGELRGLLWDQNDDQRHDQSSSIPSTTASDNQDQSDNRRRRRNRGSKPPRGAADDEHRRWKCEWEQERDCSNLKPPTQQQRRLRSCNSENDHIAVLKALTRALHKTETAYLDIADKMVGEFPELALMGSCVLTMLMKGEDMYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVRRVRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >LPERR03G33310.1 pep chromosome:Lperr_V1.4:3:28169249:28171851:-1 gene:LPERR03G33310 transcript:LPERR03G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAVASPPPPRLSPSAAPARAAEQHCLRLLALSTAPTSILQSFAFLLKSGLHSNPLVLTRLFATSAAAAPALLDPLVAALLRPSVPLDAFLVNTLIRAHATSPIPSSRARAAGFFPLMLRGAVVPNKFTFPFLLKACASLPGSPAVGLQAHATALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKGNAVTWSAMIGGYVRGGLSSDAVELFREMQSSGVQADEVTVLGVLAAATDLGALELARWVRRFVDREGIGKSVTLNNALIDTLAKCGDVDEAVAVFEGMGQRSVVSWTSVIDALAMEGRGKEAVQVFEEMKIAGVPPDDVAFIGVLTACSHAGMVDEGCNYFDAMKMEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPMQPNPIIWRSLVSACRAHGRLELGESITRSLLNEYPAHEANYVMLSNVFALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRRVGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQIRTQVNQPVKISHVSSQALHGKHKAAELICSKVTFHNVFSGNVSDAIEDVISLLLSIHEDISPVRQPFQLQAFDVLSLHNIEGQGDTKQVSFKSSR >LPERR03G33320.1 pep chromosome:Lperr_V1.4:3:28173295:28176033:-1 gene:LPERR03G33320 transcript:LPERR03G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWPLSSVAGLLPASLSLTLLLASLVVVVVLGAAAFFFEHIRKIGCTHSLERTAVYAAFFEDPNSLNKVSCPSIYDPAEKYISLIIPAYNEEYRLPEALTETLNYLKQRSAADKSFTYEVLIVDDGSTDHTSKVAFEFVRRHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVHALAKNDETSSAPSQRLSDAEIAVFGSRAHLEKEALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLKIPMTEVSVSWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >LPERR03G33330.1 pep chromosome:Lperr_V1.4:3:28179551:28184948:1 gene:LPERR03G33330 transcript:LPERR03G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGWRARIKDGSPLPGASGIFSRSGAKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKIFSFNDLRVATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNLESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMRVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPIGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSNVGAQTIATLARECLSYEAKMRPSMEAVVTILEELQQSSNVDRKPTAEKHQESTVAGKKAPLASADKNSSTGKPRRKNLAETRERIGPNPTPLVRSH >LPERR03G33340.1 pep chromosome:Lperr_V1.4:3:28185525:28188009:-1 gene:LPERR03G33340 transcript:LPERR03G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMPSATPLALAFSLLLLLSLLSPAADARIPGVYGGGEWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGESCGACFEIKCVNQKGWEWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >LPERR03G33350.1 pep chromosome:Lperr_V1.4:3:28196679:28198861:-1 gene:LPERR03G33350 transcript:LPERR03G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPLPTVLVTGAGGRTGNIVYNKLKERSEQFLARGLVRTEESKQKIGGGDDVYIADIRDSSHLAPALQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNSNHPLNSLGNGNILVWKRKSEQYLADSGVPYTILRPGGLQDKDGGVRELIVGKDDELLQTDTKSIPRADVAEVCVQALQYEEAKFKAFDLASKPEGTGTPTKDFKSLFAQVTARF >LPERR03G33360.1 pep chromosome:Lperr_V1.4:3:28199095:28201404:1 gene:LPERR03G33360 transcript:LPERR03G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPAGIGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVVVGVDVKKVKVPSAHCDSRVRTVCADLMTLMKKQARAMSPQERGFSVILSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCIEVLEKFQSSTEPDPDDDGILRRGGSLVIKFLENEDIPGFAKFCKEKFKKVSLLRPKATRSSSREIYMICEGLR >LPERR03G33370.1 pep chromosome:Lperr_V1.4:3:28202949:28203246:1 gene:LPERR03G33370 transcript:LPERR03G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMRYEGEVEGWETPRREECRIPVVPPCPAPPRKRPVALPEMGKERREPPKGGYFQPPDLESLFKLAPPRRQASATCA >LPERR03G33380.1 pep chromosome:Lperr_V1.4:3:28207364:28215222:1 gene:LPERR03G33380 transcript:LPERR03G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQVATPHPLLPLRASSRRFAPPPSPPPTVVRARAVSSTRTGSSTCPSSPAPALRRRRRRGSVRARAGAGRGGGRGESPYEVLGVSPSAAPDEIKRAYRRLALKFHPDVNKEANAQEKFLRIKHAYNTLMNSESRSKYASGNTDSSRTYGKSRAPSADEEEQFYGFGDFLKDLQTEFQNWEAGLNSEQIPKSLWEELALIFYYVLSSRNFVYCNAAKWQQHKAEAFDPLSVDSIDIVDDWVVDRSALISGQAEQPNWTEINQPVNNIATTGPSDDEFESFIEGVDDEMIQGASQGTREDDE >LPERR03G33380.2 pep chromosome:Lperr_V1.4:3:28203998:28207541:1 gene:LPERR03G33380 transcript:LPERR03G33380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTTKPRALSEEDKKWFMEAMQANTINVVKRMKEITRVMKTPDDVLQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDASTNSRTKALGAISSLIRHNQPGIAAFRLGNGYAALKDALGSDDARLQRKSLHLLQYLLQDNKADRSVATELGLPKLMMHLALSDDPGVREAALGGLLELARDKKTVAGNALPDQDKLKDILKSRIEGISMMDADDLHAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVVGDMFEPPLSAWAASRPPPTQDSESDSGKKDPPLLLGPGPSS >LPERR03G33390.1 pep chromosome:Lperr_V1.4:3:28210044:28210340:-1 gene:LPERR03G33390 transcript:LPERR03G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEETHLARLFCEGERTKRPPPNRTGPEPEEKRMRRKNQKATREREWLEWGWEPVRSVRWPLEVGHRPSRFWLGVGSGSVRLGPCTRTPEVWADQTR >LPERR03G33400.1 pep chromosome:Lperr_V1.4:3:28213249:28217181:-1 gene:LPERR03G33400 transcript:LPERR03G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVLLLLLLLASPAAADEFVFPGFSGGNGVVTSGAAMITSSGLMQLTNETKEVFGHGFHSSPVNLRNSSTGKAVSFSTTFVFAIVPKYPDAHGHGLAFALSPSASVPGAVAGKYLGIFSNGERQPRIVAVEFDTAMDEELGDIDDNHVGVDVGGVRSVASRTAGISLIGGEPLQAWIDYDGESGRLDVTLSPAGVPRPATPLVTSVVNLSSAVAAAGDGTFVGFSAANGAAASSHYVLAWTFLSGAGGGAPEIDLAKIPHLPSPTKSKSKNTPPAKLLLILLLILAVTILLASSATIATIISRRRRRRFAEEEEEDWEIEYGPHRISYKDLHGATRGFRDVIGAGGFGRVYHGVLAGGVEVAVKKVSHGSRQGIREFVAEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMCNGSLDNHLFGAGDRPPLTWQRRGKIVRDVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGTNPQTTRVVGTLGYLAPELSKTGKATTATDVFAFGAFLLEVACGRRPMEFAAGADGDDSPGLVELVLEHWKSGEITAARDPKLIGAGDCDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETMPEDLACSGRLFYSESFDEFVTGFGLPSTTSEITTATTRPTSSSNSTDEQQRLKNAHLQYGKRVMALFLGVIHHLPESPEKHLGSFHHQHLQINLKRVWLLCLARNQCRSIHNPVINYVNAVD >LPERR03G33410.1 pep chromosome:Lperr_V1.4:3:28219891:28224539:-1 gene:LPERR03G33410 transcript:LPERR03G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSFPLSLATNAHAIRAISTSPRPRRARGLTPPGLSSSSSAAAMDAFHVSSQAAAEPLLRTTAGAAAGTAAAAGSNPRLRVRAASRCPNSPRALIIARRRLFYSGAGLLVVVVVFDDMDVVDVQVRTHAQGGELQSSGGAGDAAVGEEFSWASVVLPFLFPALGGLLFGYDIGATSGASISLQSAQLSGTTWFNLSSIQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTASALYISGALVMGFAPDFVILIIGRVLYGIGIGLAMHGAPLYIAETSPSKIRGTLISLKELFIVLGILIGYLVGSLEIDVVGGWRYMFGFSAPLAAIMAIGMWNLPSSPRWLLLSAVQGKGSVEDNKKKAFQALISLRGRSRSERVLADEIDDTLVSIKAAYAEQESEGNIWEIFQGASLKAFVIGGGLVLFQQITGQPSVLYYATSILQTAGYAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGVGGIAVSLFLLAAYYKVLNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILNVPETKGLTLEEIESKLLK >LPERR03G33420.1 pep chromosome:Lperr_V1.4:3:28224567:28225382:-1 gene:LPERR03G33420 transcript:LPERR03G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLTKAVQLGLTLYLVEPKGPFLEGPLSSPYFGSPQPIKTRSERKPNRTLRCTTLVPPRKHKVILVFVFIPRASTR >LPERR03G33430.1 pep chromosome:Lperr_V1.4:3:28227270:28227753:-1 gene:LPERR03G33430 transcript:LPERR03G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTLFLAFVLLALPFAESSGRHHHHHPHIHGRGHDEKGGVGGGGGGRVVAAWPCCDNCGGCTKSIPPQCQCMDARPAGCHPACKSCVKSSLSVSPPVYQCMDSIPNLCLRRCTAAAA >LPERR03G33440.1 pep chromosome:Lperr_V1.4:3:28230683:28232953:-1 gene:LPERR03G33440 transcript:LPERR03G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGEAAENAGERWVEDSSVDFQGRPPLRASTGSWKAAMFIILIEFSERLSYFGIATSLMIYLTKVLHEEMKFAAKNVNYWTSVTTLMPLLGGFLADGYLGRFSTVLFSTLIYLAGLVILAISQLSPRLKPEHNLHLHEILFFVGIYLVSVGTGGHKPALESFGADQFDDGHAGERVQKMSYFNWWNCALCAGVLLGVTLVVYLQERVGWGAADVVLAGVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVVVAAARKWRLQLPDDANELYEVKPQNIKKRLLCHTDQLRFLDKAAIVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMILTVAVYDKLLEPYLRRLTGGERGISILKRIGVGIAFTIVAMAVAAIVERRRLRNTTSPPAATAMSVFWLVPQFVLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDHITGGGWFGKDLNSSRLDLFYWLLAGIGVGNLVFYVVVATRYSYKTVKSGSGRVAGIGVGDDKAGDVEFAATAAY >LPERR03G33450.1 pep chromosome:Lperr_V1.4:3:28245900:28247431:-1 gene:LPERR03G33450 transcript:LPERR03G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGNGPPIQGTTINVADSTVNTKKGCCSA >LPERR03G33460.1 pep chromosome:Lperr_V1.4:3:28249552:28252874:-1 gene:LPERR03G33460 transcript:LPERR03G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSQRPLQRARISRSEEEEATPLCSALLRLTRSFVSMAAPAPKQEELQPHAVKDQLPSISFCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILASRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPNLVPLMGTAFERFAIIMSVALIWLYAFFLTIGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLDGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSIPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLHRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >LPERR03G33470.1 pep chromosome:Lperr_V1.4:3:28254410:28257758:-1 gene:LPERR03G33470 transcript:LPERR03G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESIGGGGCLGGGGDTGAPGPAESGAGSSSPPHRRGEPKRQRVPALREVISEVMWKSSIEKLLMAIEPLIRRVVKEEIESAFTNHATMMARTVMDAVPSTSKNFQLQFMTKLSLPIFTGSKIEGESSLSITVALVDTVTREVVASGNESLLKIEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDVFVGLTRGIGTMGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPVLDDEVWRLEKIGKEGAFHKRLDREKIVTVKDFLTLLHLDAPRLRKILGSGMSTKMWEVTLEHSKTCIITDKVHLYYPDSQSKTAVVFNVVGEVRGVLYDKFVCADDLSENEKAEAHAAVKQAYENWKDVFTCDDETLLANPSQLLDMRPQSLLENEYDQFPAQVSTDNFGLSPSSIPSADIFSVDPSSALDPCQLETAESNENQYHPELPPLGGHGPPAVSQTMEKFSHSLVYEDGNSHIPFNEDYFPCADPSASFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVALKRNKHGK >LPERR03G33480.1 pep chromosome:Lperr_V1.4:3:28259944:28265047:-1 gene:LPERR03G33480 transcript:LPERR03G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTTVVASPSYHYDLLFPPGRRRRLRCCRQPSSRFRGMVVVAAARAAAAAETGVGGGGGNSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHELPAESRSALSYTSLIAAYARNALHEEARELLAQMKSSGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAASVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFASAGYLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATADAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTAVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSGVEPDIDTCENVMVACGRGGLHEDAREVLDYITQEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTTRASNTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLEQALKYGLFPELFRDTKLVWSADVHRMSVGGALVAVSVWLNKLYDRLKEDENLPQLASVVVLRGQMEKSTITRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQSAIDSSNGAAISGFVHMTNSHLPSPGSKLYTRESQAENGAAHLTDDTLLEDDTLASTYLYPLRRPESTTAPAEMEPESAAARQAKESLDLAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAAAAATTTASNPTAVAPSPKTTSLFPSGFRQP >LPERR03G33490.1 pep chromosome:Lperr_V1.4:3:28265224:28267825:1 gene:LPERR03G33490 transcript:LPERR03G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSAAVSAVEGRLSELSTNSEEKGLPRRGKSSSGRTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLTLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIECNGIASSWAGGLNGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDAASGTNASHAARSPRSESSWLSQLPFKSLWHKNA >LPERR03G33500.1 pep chromosome:Lperr_V1.4:3:28268523:28268942:1 gene:LPERR03G33500 transcript:LPERR03G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIVRATRKGYHIKQVPITFAVRVFGSWNLKLGGSEIVEYLKGLVYLMLTA >LPERR03G33510.1 pep chromosome:Lperr_V1.4:3:28272184:28273029:-1 gene:LPERR03G33510 transcript:LPERR03G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDRIPTERFWMRLAEDEGGRVLGRWKLFGCRHGRVLLFNREKKVLVLWDPDTGDHRRVAVPPEIDGKQMVIWNGSVLCAAAAADGGHVHGGFSSCPFKVAVVGVNRDETQMFSCVYSSETGKWSNIIFAVAPFVVFAFAGQAVLGGNALYWLPVGFGHCILKLDLDRHTLAVIERPSNPNSIDLDKCQIILAEDGSLGFATLSNDSLQMWERKVLSEGVSKWVVRKTYELNKVLDLGSGVMIRNLVKMGYADDINVIFLLTNYGVFMLQLDTLQSKKL >LPERR03G33520.1 pep chromosome:Lperr_V1.4:3:28274527:28287099:1 gene:LPERR03G33520 transcript:LPERR03G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGAATEGDAATGGASAGGHSPSTVFVSNLPYTFKSADLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVQDAENSIQQKDGFSVAGRKIRVKLAMHRAPLKERLQKKENAVQTKDGDLTNEAKDADTTNVTDDTSTAKHKETSHKIDTELLQLLKKDTTGSKEVISNTDKVKSSEKQRVAKTVIFGGLQDFTMASEVFRLAGEIGTVVSVNYPLPKEEMELHGLERDGCTTDAAAVLFASVKAAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDTFSLAGFVWDVSIPQKSDDGTSKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVQKKVYTVAAKSSSKDDELANVSDKGSDGESEDNLVGEDDSYELDQETSNLPADDDFKTEIDISRKVLENLINSSEKAEPSGNEGSDIDTDTETEQDTSEKKQKQSHLPAADKLESSKRVAEEEKTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAQAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKTKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMHKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGVERRPIVEFALENVEKVRLQKIWKERRDKSREAAQDKARPRDHSATDGPQANNTRAFNKGNKRKSYDRSSKHSGEGPAEDGSAAGDGGSGTVESVVEDKSKAQRPAKRARKSNKGTTVLDGDRKDATPISDGNQTVSSKHNQADTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGSVDKSLVEQYRSKFLQHGTAYLHGLKQASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >LPERR03G33530.1 pep chromosome:Lperr_V1.4:3:28285542:28286995:-1 gene:LPERR03G33530 transcript:LPERR03G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADFAFKAVAAGLGVATLYLAGTFSVNVYRGLSWHSEQSKLEKEKREE >LPERR03G33540.1 pep chromosome:Lperr_V1.4:3:28289966:28305002:-1 gene:LPERR03G33540 transcript:LPERR03G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELKLTRVIIKLGKVHATESSTDAQKLEISLQLINLRDDCTKLVQALKAGEVTGHDAVRMFLSLEACAAYGGGGRQDDADQQLAGPLGAAVPAVLPPGGQIDLHLLHKFGIVFFDLPTNWIDKADVASMMFSLERAMYASKNFIDTKKGISLDKTELIGMRACCCYQPLRPPCPLVPCSKKPQRQPLFHSSSSIRHQSLHVASKVVVLTTTSRGSADAETLFDDDSNVVRPWYNLFGMSFLDVYDQFPESVWDFLEDSPTVALEKKEHKAWMQLRNAMNNRETRALDTNFDESFADSIVFDKTLYKYAILGCKVNSDEIMHKGLISASERKEVIHALERIEDNIDMGKFKWRDGADVHTSIMEALSDMIGDQAKDLAVDSKYDSCLMILETWSKNSIDHIMSQLKQLQVALVFLAIKNDGFVLPGERETEGMSLFMRIVKVLEDDASDLRRFLAGICSIDGFIFPRISSPVDNAFSKPFSMACFAKSINYFIPNHLRQLLEKVLSLRNKLSNAETAIYDTALAKLSCIKQKRHDGDVAVSKSLNMRFGKAWGSPQSHEIEDAKHHLFSSTKSVVEILDLSIKLVKSIPFDMERTQNSLRRGYYDTMKFAHFLTTKGIDSGTAYALVHLCLDKQLQPSELILDDHELKQINFHCDRVHYLLQYKANIFGDSTDMDACKQMLKWCCKLRIDPATILNQSGARKPLKQAPLPVRRTKVGRHGEARCELRPYESGASRSATRQHVTAPYVLRVLGGGVDRVNVPHDVGDGDMAAASGTKWKYGWR >LPERR03G33550.1 pep chromosome:Lperr_V1.4:3:28316606:28321553:1 gene:LPERR03G33550 transcript:LPERR03G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLLENGAAADDGSGVPVFAEYSLDELRAATDGFSPERIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDTRQFMEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSTKGRALYHDLHAYRVLFDVEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNHLVLMDSCLEGHVSSSDGTELYEARDRPNLKSVVSALGNLQKDASAPSHALLGIQNDKENTEQISLSATGRVYARADLDEVHDILENDGYAEDERATFEVSFHSWTGQVSDSILIKKHGDSAFQSKDFATAVECYSRFIDTGAMVSPTMLVRRSFAYMVLGKMQEGLEDAKKAADISPEWPTAQYLQGMAYLAMGMEPEGHEVLKQGAGLEAQRNARTRTV >LPERR03G33560.1 pep chromosome:Lperr_V1.4:3:28322504:28324570:-1 gene:LPERR03G33560 transcript:LPERR03G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKSGCTVYCLTRLEIKTLELGKGSGALLVAASRDLRPSPSGWGARAAAMAAANEGPSKLEKEQMFGMAEKEMEYRVDLFNRYKESELNMGENSCIDRCN >LPERR03G33570.1 pep chromosome:Lperr_V1.4:3:28324727:28329073:1 gene:LPERR03G33570 transcript:LPERR03G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYPLLRLPCRCSMAPPPRASPAPTISVSMSVEGGGEGDLTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLNFLAEHGPQWWLVRVSMAPGTDYVEHLTKAISRRYPEVSFKIYNPSIKIKKRLKNGSISTKSKPLHPGLVFLYCTLNKELHDYIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGNVESFNKPVEDSELILMDKIKRQFKKPTSKSGTNQNAFTPGASVHVLSGPFAGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAELLECKLIERNPPYQPNFDHIDMIIHPIL >LPERR03G33570.2 pep chromosome:Lperr_V1.4:3:28324727:28331262:1 gene:LPERR03G33570 transcript:LPERR03G33570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYPLLRLPCRCSMAPPPRASPAPTISVSMSVEGGGEGDLTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLNFLAEHGPQWWLVRVSMAPGTDYVEHLTKAISRRYPEVSFKIYNPSIKIKKRLKNGSISTKSKPLHPGLVFLYCTLNKELHDYIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGNVESFNKPVEDSELILMDKIKRQFKKPTSKSGTNQNAFTPGASVHVLSGPFAGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAELLECKLIERV >LPERR03G33580.1 pep chromosome:Lperr_V1.4:3:28329421:28330566:-1 gene:LPERR03G33580 transcript:LPERR03G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFDAVSGVRRAYSELQGAHCPWDPDKMRSADAAVVAKLRHLARLRDRFRRSFATGHIPAPSPTAPPLRDAVAPYEAALDDLRRQLQSKQAELDSLKDKLPVSTNRRHARLHPSKHHNTSSAAGSPTAELFAACAEQARAATRAFAAHLLQLMRATAGMDIAAATRSLTKIPVSSSQIAKHALEAHVTRVLLAGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMDPAELLGLLPTCPFGRYASTKYASLLPPRVEQALLGGGGENRSGGGGGENRSGGGGANQHPRTPFYGEFLRAAKAAWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVAGARGAGAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >LPERR03G33590.1 pep chromosome:Lperr_V1.4:3:28332396:28342523:1 gene:LPERR03G33590 transcript:LPERR03G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAFAAVCALLVSAASPPAAAAEEKANKFRQREASDDLLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIALATYNGVVNFFRVSGYMMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDSSIAKKDASEESRPNIQDKQVANESSNESQPRSTTDPTTQGLDSMKHASKEESAESKSNSTQGQENMELLNNLSSTKAGNSSSVSTTTDNASHAQRRLLQTADKSDQMGSSETHASDAGTAKAATVENSEPLEADADASFDLFRDVEDLPDEYNYDYDDYVDDAMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYFFDREYYDNTEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTESGNFTAHAYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWERPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIRPFPFRAHGRIMSPVLLLDMSKRDENSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSSQGRNNAAYRYNREGIFVKHGSRTYRDEEGKHFWLEFEIVDKYRIPNGNQAPYNVTVTLLVPGNYQGERRIAVNAVYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDELTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >LPERR03G33600.1 pep chromosome:Lperr_V1.4:3:28339275:28342212:-1 gene:LPERR03G33600 transcript:LPERR03G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTSSQGKEVSGINSYKPYNHQRYQSCPPEVYRRQASSYSVPSSELSRSSVRSSGSFRAAAQSLAGVFSCFVPRKARADEEQEISQTTISQGSRSTGYHVSIDSGTGYPQESTELTVAEIFKATSNFSDKNIIRQGSHSSLYRGKLRDGSEIAIKCAKKLNSQYVSAELRRELEILQKIEHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNIAIDIAHAITFLHEFKEQQIIHRNIRSSSVLLTDTLTAKLAGVGVARVVGGGGESSESEDTQGKSAAGYVDPEFLSTYELTDKSDVFSFGVLLVELALQRYRGGEVVVAMDPRMRRSPASVATVEKVMELAEQCVAPARKDRPSMRRCTEALWSVRREFHRRQDAPAAAAAAAAPTQDRSSDWVRVDINPSHSKLITVNFIAVHHSISQEYE >LPERR03G33610.1 pep chromosome:Lperr_V1.4:3:28343769:28347234:-1 gene:LPERR03G33610 transcript:LPERR03G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGKNPPSKSPGSGKRKRGAGDASPASTTTPARAGASSSSSSPATRGRSSSSSSKPEVVLETSDVSAWVRAAAAGDLWRKNTSRRARGGRGRADAASKEATSTMTREAVLRVRRARSVLAPNDLASPYNAPTPVTTREFAAVATSTLIDWVRSAGAGELWNNPGRERDDDDMEPMRKATLDLRRGLSIIEPYDVASPYLERRPHSNISYLSELRKHVESDILKNCASVSKWENPPSKKDIADYRTDGETLRKMGTVVWPLNMNSTPTYELPETSKAPSGKCKCSHPGSAACVKVHVQAATSSLESRMGKEAFSNCGLDAMGRQTLSLWTKKEKKQLGEIGKSITQNKHGQFMKIASEKLSSKRPKDLANYYYNAFLPRRLASLTRADNIDAKDVDTDDDSNEYHSKKMTKGQGSSRSSTPRWLLQVTDLTRCSRGSLMILEEGFVTSPTFRSD >LPERR03G33620.1 pep chromosome:Lperr_V1.4:3:28349360:28353866:-1 gene:LPERR03G33620 transcript:LPERR03G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPNPKKNIFLDICDIFQDESKYIIEKYLYSEIKAALEVKLISPFVRSTDYQIAAVILIETVKIDDSDRDPGPPTPRSLLFAPPLYSSSVVTSHPTRDSTGKRIFISIDLPIGHQYKECRPRRNSSSPTKVLAGKDLPKELEHRRSSPNVIAKLMGIDVLPPAYVAHNRHQEFKDVFEVSEEPQVAVTKERSHHFPEGLPSLRRSAFKLRKLMPSKSPYGDETFDRNVVYLDGFYRLNSLEINNPLFEKRPYDGNYSPNHQYEKYTSSTFRKYPVGLGNSSLKDIRKSSRGRHVDFNSIVVLDPGSGKVQDSGNAFSTPELSHVNKNFRREVKQADFSMINRGKGSQNLLDTEDVNMCRIKGERYLTSNAVDSLLKGQESSFDQFSTVDTDGIGSSQRCVSSEVNSRQSNRSSSNSSPWKIHRKYEEGAVGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNSNGNEGCFIVLPKHAPRLLPHSLLDKNSSCERSPHSNFLSNTSSSYNSGRFHFDSFLDKTRRQQIASPTQDDLRNASGAKHHTSEKHSSASACHGNTGNHSWNLIDNSSTFDCMDEKVLFTTDEDLLKKHTEIVHSSFGSRLSGEQEVSASSFHCHVYETTTISDHMYAAKSCKSLKDVEQPSPVSILEPPTDEDSCCSRNFKNDSQDMPSVERVIDGCEVRYEQEVSLSSDDDSDSSYQSLESFQVEEEWEFSYLLDMLISSGVIVADLQFLFKTWHLPGYPVGPHVFNRLERKYSKIATWPRSERRLLFDLANSVLSEILAPCINMHPWGKFSRKCCPVWGPEGPVEVVWQTIVRQREELAIGNFDVVVLDLEWVEIGEDINMVGRHIAKMLHGDLLDEIILEFLLGCV >LPERR03G33620.2 pep chromosome:Lperr_V1.4:3:28349360:28353866:-1 gene:LPERR03G33620 transcript:LPERR03G33620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPNPKKNIFLDICDIFQDESKYIIEKYLYSEIKAALEVKLISPFVRSTDYQIAAVILIETVKIDDSDRDPGPPTPRSLLFAPPLYSSSVVTSHPTRDSTGKRIFISIDLPIGHQYKECRPRRNSSSPTKVLAGKDLPKELEHRRSSPNVIAKLMGIDVLPPAYVAHNRHQEFKDVFEVSEEPQVAVTKERSHHFPEGLPSLRRSAFKLRKLMPSKSPYGDETFDRNVVYLDGFYRLNSLEINNPLFEKRPYDGNYSPNHQYEKYTSSTFRKYPVGLGNSSLKDIRKSSRGRHVDFNSIVVLDPGSGKVQDSGNAFSTPELSHVNKNFRREVKQADFSMINRGKGSQNLLDTEDVNMCRIKGERYLTSNAVDSLLKGQESSFDQFSTVDTDGIGSSQRCVSSEVNSRQSNRSSSNSSPWKIHRKYEEGAVGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNSNGNEGCFIVLPKHAPRLLPHSLLDKNSSCERSPHSNFLSNTSSSYNSGRFHFDSFLDKTRRQQIASPTQDDLRNASGAKHHTSEKHSSASACHASSFHCHVYETTTISDHMYAAKSCKSLKDVEQPSPVSILEPPTDEDSCCSRNFKNDSQDMPSVERVIDGCEVRYEQEVSLSSDDDSDSSYQSLESFQVEEEWEFSYLLDMLISSGVIVADLQFLFKTWHLPGYPVGPHVFNRLERKYSKIATWPRSERRLLFDLANSVLSEILAPCINMHPWGKFSRKCCPVWGPEGPVEVVWQTIVRQREELAIGNFDVVVLDLEWVEIGEDINMVGRHIAKMLHGDLLDEIILEFLLGCV >LPERR03G33630.1 pep chromosome:Lperr_V1.4:3:28355845:28358437:-1 gene:LPERR03G33630 transcript:LPERR03G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNRTGPLLSGISYCIASCSMILLNKVVLSSYNFDAGISLTLYQNLISVSILLLLELFGVISTERLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNVTNILTAVGEIYIFRKVQNKKVWAALGLMVVSAVCGGITDLSFHPVGYTWQLFNCFLTAGYSLTLRHVMDIAKQSTKSGSLNEVSMVLLNNALSIPFAVILIVIFNEWQYVYEAEVIEDPMFWIFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVNVENLFSIIFGLFAGIFFAKAKMS >LPERR03G33640.1 pep chromosome:Lperr_V1.4:3:28359969:28362880:1 gene:LPERR03G33640 transcript:LPERR03G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGISEDGSWHAKFKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSRGFAFLAYEDQRSTVLAVDNLNGAKVLGRIIKVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKSRWEHDRHREPPKSHKNFPPSTGEQRFPDRAKDESKSTGREGQSSRSEAFRDRDSRIRHSDRSSRDHDRYRHDKSPERSRGDRQRNNERYAQERDEKSDRYKSEGKHDRDQKRSRRDTDPSGHYERRGNEESEKYRKSRR >LPERR03G33650.1 pep chromosome:Lperr_V1.4:3:28362557:28367381:-1 gene:LPERR03G33650 transcript:LPERR03G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLSLRIAPSTPLPLGGGRLRGRRGAVACRGAATFHQLDAVAVREEESRFKAAAAEGCNVLPLKRCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGSEGTNVGRYSVIGAQPAMEIVAKANHVTVMDHEMKSREEEFAPDPMKIPRSIMEQWNPHIVEGLPEAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHESVDKAYEEGKNQLEALLSRLHSINVPTLTAGSVKLNVGQFGSALQKSSMSSENYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDELTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGISFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >LPERR03G33660.1 pep chromosome:Lperr_V1.4:3:28369768:28372952:1 gene:LPERR03G33660 transcript:LPERR03G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVARERGRGGSRVLVAVLMLAMVVSAQCVDPHHRGLKRRRKKHEIHSPIKTVVVVVMENRSFDHILGWLSRERPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPDSVPVYAALAEDFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPAFLISPWIEKRTVIHEPNGPYDNSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDVSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASVSSDLSSEL >LPERR03G33670.1 pep chromosome:Lperr_V1.4:3:28373958:28376664:-1 gene:LPERR03G33670 transcript:LPERR03G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALFSAASLFHDPDDSGDEMQVHADEQAQKMEYEERIHKFPGMELSIREFSSHQLNANLLWPGTFFFADWLEKNKSILHGRRILELGSGTGALAIFLRKALHVDITTSDYDDKEIEENIAYNCKANNLDALPHIRHTWGDQFPVPVPDWDIVIANVKQYPNLTKTLSFLLRGYTGSSQNAGSTTITSKSETQVPVKFPMFLMSWRRRIGKDQSLFLEAFEKAGLEVQHLGELVYLITPSSRLLKR >LPERR03G33680.1 pep chromosome:Lperr_V1.4:3:28377852:28378867:-1 gene:LPERR03G33680 transcript:LPERR03G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDESRVLARRNKSLTREEVEAFWKQHGEMTMTANGSPPVGSPAAMARSAPVFHSREKAAASPRGGVRHIDTAATRVEGFFPDSDAAAEAESPSKSRDWWTRSNWAFLNEPPLEEDASGKAQISYTPQFHVARIATGNA >LPERR03G33690.1 pep chromosome:Lperr_V1.4:3:28381463:28383029:-1 gene:LPERR03G33690 transcript:LPERR03G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSLTKEEVDAFWRRQQRKPPQDTADYDATSATSSPLSSPRAGAGDISPLASPGRQQQEEVSHHPLTLRRLDRMSSMPSPLARAAMTRADPHRYSCHSEPPSPAAASTHHQQRFPDHDTATGTSSSECWWTRSSWAFLNETPSPEQQAFGGRGHTYAACVQFHVSRVVTGNA >LPERR03G33700.1 pep chromosome:Lperr_V1.4:3:28387374:28392590:1 gene:LPERR03G33700 transcript:LPERR03G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAPAAAIVPVGDGDGDGDVALGDWFARILDMELHKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLEVLFPIEAEVLESSSSSAKLRPQNDDAASHDPASSVDVETSDVYRSTLAAMKTIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESSLLDSFSQIQDAENDDDKEVQSREQNSAQNDADGRRDE >LPERR03G33710.1 pep chromosome:Lperr_V1.4:3:28390879:28394302:-1 gene:LPERR03G33710 transcript:LPERR03G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFFSLILPDNAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLNGRTLKPSWFLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPFNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNTQRKYPSGSTVPTGRDVELSGIAVVPSTSPVTSNVSSNQTDQEETMTTPIKDKVDNHAGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >LPERR03G33720.1 pep chromosome:Lperr_V1.4:3:28396933:28403719:1 gene:LPERR03G33720 transcript:LPERR03G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPSLSLSNPSASASVSAGGEAGAGAIASPNSVLSEHAFKRLQLSDDDEEEGESEDYEEGGYGSEEEVVVGEGAEAGDEDELAISRLGLPDQLVSTLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEDDDGRGVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPTWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLHAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVKSLERDVGCRFEFISPPGIEEVLESSAEHVIATLRGVHTESIQYFIPAAERLTEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLIREPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIYLTADEKVQGAVFDLPEEIAKDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGSSGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDWSGGRRSNRSSSFGGRGGSSYGSRSSSSFGGRSSSFGARDSSRSFSGACFNCGESGHRATDCPNK >LPERR03G33720.2 pep chromosome:Lperr_V1.4:3:28396893:28403719:1 gene:LPERR03G33720 transcript:LPERR03G33720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPSLSLSNPSASASVSAGVAGAAPSLRLRAFRCWALRRAVGGGGGRWAAAGAIASPNSVLSEHAFKRLQLSDDDEEEGESEDYEEGGYGSEEEVVVGEGAEAGDEDELAISRLGLPDQLVSTLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEDDDGRGVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPTWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLHAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVKSLERDVGCRFEFISPPGIEEVLESSAEHVIATLRGVHTESIQYFIPAAERLTEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLIREPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIYLTADEKVQGAVFDLPEEIAKDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGSSGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDWSGGRRSNRSSSFGGRGGSSYGSRSSSSFGGRSSSFGARDSSRSFSGACFNCGESGHRATDCPNK >LPERR03G33730.1 pep chromosome:Lperr_V1.4:3:28409383:28410198:1 gene:LPERR03G33730 transcript:LPERR03G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVRVAAAAAAVKAPHTAAAVAWPYAEYMAQWERQVERRQLFLRSYHFSRDVALSPRARVQVA >LPERR03G33740.1 pep chromosome:Lperr_V1.4:3:28413311:28416922:-1 gene:LPERR03G33740 transcript:LPERR03G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGETAAAMKAMSEGAWQGDNPLRFALPLVILQMCLVVVFTRGLAFALRPLRQPRVIAEIIGGILLGPSALGRSKVFLDNVFPPQSLTVLDTLANIGLLFFLFLVGLELDPTSLRRTGRTALAIAAAGISLPFSLGVGASLILRSAIIPSSPLAPLVVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDITAWILLALAIALSGSGSPLVSLYVLLSAAAFVAFAVAAVRPILLYMARRSPRGEPVKESFVCTTLAIVLAAGFATDAIGIHALFGAFVIGVLVPKDGSAVAGAMTEKVEDLVSSLLLPLYFVSSGLKTDVTTITGAKSWGLLALVVVTACAGKIGGTVAASLLMRVPPREAIALGMLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFMTTPAITAVYKPARRRNAAAYKHRTVERAAGDDGEMRVLACFHASRGIPTLINLVEASRGTRRGKLTMYAMHLVELSERSSAISMVQQARRNGMPFSWRGEHEVVVAFEAFRRLSAVRVKAMTAISHLDTIHEDIVASAAEKRVAVVILPFHKMLCCHVDGTMDHQPVDRAFRAVNARVLRDAPCSVAVLVDRALGGAAQVSAPDVSYAVLILFFSGADDREALAYAARMAEHPGIALTVARFTAAAAGDDDEAAIRKHVGDGSSIRYEEVAASERQEVVAAIKMLGKGKNLVVVGRSATVAAAPLVEKTDCPELGHVGSYLATPEFSTTASVLVVQQYQSRGDPSSSHGGGVEGVEESVVPVRPPPPSSSPEKTAGTSRQMEEP >LPERR03G33750.1 pep chromosome:Lperr_V1.4:3:28419005:28429940:-1 gene:LPERR03G33750 transcript:LPERR03G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLWPAATIIGVVLLVVVFPAAAGGDGDVGGMVGVEGTRFVVDGGSGGKRTVYFSGFNAYWLMMMASDPARRGAVVTAFRQAAAHGLNLARTWAFSDGGDQPLQSSPGVYNEAMFQGLDFVIAEARRHGIYLLLCLTNNFNDFGGKRQYVRWAADAGVQNLTSADHFFTNPLVKSYYKNHVKKVLTRVNTVTGVAYKDDPTILAWELMNEPRCDADPTGVTVQAWVEEMAPYVKRIDGDRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYIATHLAAGVDFATIHLYPDVWLWGSTADEQARFFTNWTQSHVAATAEFVGKPLLVTEYGKFLWKDGGGDKTQRNYFLGVVLDAIYASASSGGPLVGGAFWQLLLDDDVVDGMDDLRDGYEIILPDDTSAATIIGEHSQQLAALNGQDAAAPAPETRRHRKTRVGSLVNGGGGDFKVLDGGALLWRLAMEWCAWMFVVDGGRTIYFSGFNAYWLMMMAFNPAQRDTVVTVFEQASTLGLNLARTWAFSDGGNQPLQSSPGVYNEAMFQGLDFVIAEARQHGIYLLLCLTNNFDNFGGKRQYVQWAIDAGHNLTDVDEFFTSTIVKSYYKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRSYADPAGGMVQAWVEEMAPYVKSVDGKHLVTPGLEGFYGEHESKRLNPWGIYYGTNYVATHQAVGVDFATIHLYPDVWLWGSTVDEQARFFQNWTRSHIDATMAYLGKPLLVTEYGTFILKGINVNKTQRNYFLSVVLDTIYASASQGGPLVGGAFWQLLLGGMDNLRDGYEIVLPEDTRAVNIIGKHSKKLAALNGQDAETLRSQASSHWKTHLSISLSSCGTLELLQMLFDRFICLSRSISSFIAEKFILLELHKLDKDKDVNLLEPMNSSDFDAIQEPDAFLIIEKGKLCFSLSKKRIRKASM >LPERR03G33750.2 pep chromosome:Lperr_V1.4:3:28419456:28429940:-1 gene:LPERR03G33750 transcript:LPERR03G33750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLWPAATIIGVVLLVVVFPAAAGGDGDVGGMVGVEGTRFVVDGGSGGKRTVYFSGFNAYWLMMMASDPARRGAVVTAFRQAAAHGLNLARTWAFSDGGDQPLQSSPGVYNEAMFQGLDFVIAEARRHGIYLLLCLTNNFNDFGGKRQYVRWAADAGVQNLTSADHFFTNPLVKSYYKNHVKKVLTRVNTVTGVAYKDDPTILAWELMNEPRCDADPTGVTVQAWVEEMAPYVKRIDGDRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYIATHLAAGVDFATIHLYPDVWLWGSTADEQARFFTNWTQSHVAATAEFVGKPLLVTEYGKFLWKDGGGDKTQRNYFLGVVLDAIYASASSGGPLVGGAFWQLLLDDDVVDGMDDLRDGYEIILPDDTSAATIIGEHSQQLAALNGQDAAAPAPETRRHRKTRVGSLVNGGGGDFKVLDGGALLWRLAMEWCAWMFVVDGGRTIYFSGFNAYWLMMMAFNPAQRDTVVTVFEQASTLGLNLARTWAFSDGGNQPLQSSPGVYNEAMFQGLDFVIAEARQHGIYLLLCLTNNFDNFGGKRQYVQWAIDAGHNLTDVDEFFTSTIVKSYYKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRSYADPAGGMVQAWVEEMAPYVKSVDGKHLVTPGLEGFYGEHESKRLNPWGIYYGTNYVATHQAVGVDFATIHLYPDVWLWGSTVDEQARFFQNWTRSHIDATMAYLGKPLLVTEYGTFILKGINVNKTQRNYFLSVVLDTIYASASQGGPLVGGAFWQLLLGGMDNLRDGYEIVLPEDTRAVNIIGKHSKKLAALNGQDAETLRSQASSHWKTHLSISLSSCGTLELLQMLFDRFICLSRSISSFIAEKFILLELHKLDKDKDVNLLEPMNSSDFDAIQGVN >LPERR03G33760.1 pep chromosome:Lperr_V1.4:3:28435112:28437105:-1 gene:LPERR03G33760 transcript:LPERR03G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGAHAFAATVSGTLGIPLPAIPTPSPSLGRRASLVVVAKAKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLASASTMHKSLSKDLEYSAGPTIEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >LPERR03G33770.1 pep chromosome:Lperr_V1.4:3:28439603:28440280:-1 gene:LPERR03G33770 transcript:LPERR03G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGEMSPDRPGLVFRPSDDKLITLYLPPKITNTPFKNNLISNADVYCSDPADLVANHNPAPGTQDSSSVWYLFCSPRYTSKRVSSGRRQRHPAWMITRRMRHPACGRGKRPPPAASKISSRRVCYRRGLTWMLFWSRFGWTTTWETTTCSAMPRWNSVRGIFFTTSCLVLRRWSSSVVAGTFFQTATGPCIDAEVMERLAAGETVDEILGTTPLIGQQEVQCF >LPERR03G33780.1 pep chromosome:Lperr_V1.4:3:28440706:28444905:1 gene:LPERR03G33780 transcript:LPERR03G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRGKRKQPAPEASPPPPPPFRIIRDEANRTVTILSTELATVSSETMHLKRAYTLRNRTVFVPNTDKPDLGPPQYKKRPRRKKHPRRKKHVWSWQQTPACAKVALEHYNRLNEHDEHELVKAVDSASFFFNGMWMHANFLAKLKGATRCPELIPKFFFAELKVGPDGVDKMECVSCVKIYPGNPEETPIRGCNVCRNENIFHPAVGGHRGDKKSAC >LPERR03G33790.1 pep chromosome:Lperr_V1.4:3:28444916:28445854:-1 gene:LPERR03G33790 transcript:LPERR03G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGEIAPDRPGLAFHPSDDKLITLYLRPKITNTPFEHRLINNADVYSSDPAELVANHTPAPGTQDSSSVWYFFCSPRYTSKRVSSGRRQRAIGAGAESTWKSEGGKKAVNGADGRPVGYLQKFSYGVYDSSTSSKRAFTRLGWCMTEYSLDDDDDVTTNNGSTEKLVLCKVYRSPRAATTKRKADEANVDDQAPPCARARQEVTGSEQHEQSGLLPEFDLTTLLLAPIDDEVERQFSRATTEECWRYAFGDDPMPTMEIAGNGDGGGDFFQTASGPCMDAEVMERLAAGETVDDILGMSSLIGQQEVLCF >LPERR03G33800.1 pep chromosome:Lperr_V1.4:3:28447533:28450207:-1 gene:LPERR03G33800 transcript:LPERR03G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAQRLLAVSTKIVGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVIISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSAVTNPDDLELWLKVDDEIRQKGSTSDMLFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >LPERR03G33810.1 pep chromosome:Lperr_V1.4:3:28451151:28458493:-1 gene:LPERR03G33810 transcript:LPERR03G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIRHRTVEANGIAIHVAEAGGEGSPAVLFLHGFPELWYSWRHQMEHLAARGFRCLAPDLRGYGDTAAPPAIESYTAFHIVGDLVALLDALGLAKVFVVGHDWGAIIAWYLCLFRPERVTALVNTSVAFMRPVFIRSGAAAEPGVAEKDLAPANARHVLRRVLCDRLSTDGKSKQESSDDSSSAPPPLPDWLTEADIDYFAAAFERTGFTGGINFYRNMDRNWELTAPWADAKVVVPTKFIVGDGDLTYHYAGIQDYIHKGGFKADVPLLEDVVVIPGAGHFIQQEKAQEVSDLIYDFLVKAQHATAPQRRSGETMAAAAVRHRTVEANGIAMHVAEAGPAGGDAPAVLFVHGFPELWYSWRHQMRHLAARGYRCVAPDLRGYGGTTAPPDPASYTIFHLVGDLVALLDALELPQVFVVGHDWGAIVSWNLCLMRPDRVRALVNLSVAFMPRRPAVKPLDYFRHAYGDDYYVCRFQEPGVENEFTSFDLKRFFKLALTVQTTGSSAMSLKKMRASNKEITLPPWLSEEDISYLASVYAKTGFAGGINYYRCLDLNWELMAPWTGAKVLVPTKFIVGDGDLSYNLPGVKSYIQGGLKKDVPMLEEVVVIKGAGHFIQQERAEEISDHIYNYIKKFNTGGPTPTLSKL >LPERR03G33820.1 pep chromosome:Lperr_V1.4:3:28462892:28463551:-1 gene:LPERR03G33820 transcript:LPERR03G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLSILAAIVLLLLVCGGPITISAARLPPGASPLVTACTRVPFPKLCVRDLGHRLLDIQTVVASASADGHGAAAIAGAPGQVDFRSLVAVAMEAATEAGAVASTVFEGKLPGFNNSVPDFKRCLDNCTVTMASAMKKIHGATAAMKAGANDVAKTLAVRAIGDVSSCTISCRELTGDMEVILEHSLVQFQKMMRIAVAFITKMKNALPPPPESIP >LPERR03G33840.1 pep chromosome:Lperr_V1.4:3:28470053:28470664:-1 gene:LPERR03G33840 transcript:LPERR03G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQPTPWSTGLFDCCDGCGVCCLTMVCPCITFGRVAEIVDRGERTRCAAGVLYVLLGFVSSCLCQCMYSCSYRGKMRAEFGLLDEPCDDCCVHLFCEPCALCQEYRELKRHGFKPDLGWNHQPHPAPRPQRMGR >LPERR03G33850.1 pep chromosome:Lperr_V1.4:3:28486804:28500516:-1 gene:LPERR03G33850 transcript:LPERR03G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAPPVTGVPIVGSAPWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGASGALYALLGAVTGCQWIYSCTYRAKMRAQYGLAGGACGDGACGDCCVHFWCEACALCQEYREIVARGYDPKLGWHLNAERGGAGAGAGAAPTKQQRKQLAHAGDVEHEMADPTTAPLTGVPVGSAHWSSGLFDCFDDCGLCTVAEMVDRGSTSCGVMYALLCGVTGCQWIYSCTYRGKMRAQYGLDDGACGDCCVHFWCEACALCQEYKELLKAPSRPHQFILLQQQLAQAGEVEDEMVKPDDAPVTGAWSTSLLDCFDDCGLCCMTWWCPCITFGRVAEIVDKGSTSCGASGALYALLCGVTGCQWIYSCIYRGKMRAQHGLAGGGCGDCCAHFWCERCALCQEYREIVARGYDPKLGWHLNAERAAPAVQHMGR >LPERR03G33850.2 pep chromosome:Lperr_V1.4:3:28486804:28500516:-1 gene:LPERR03G33850 transcript:LPERR03G33850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAPPVTGVPIVGSAPWSSGLFDCFDDCGLCCMTWWCPCITFGRVAEIVDKGSTSCGASGALYALLCGVTGCQWIYSCIYRGKMRAQHGLAGGGCGDCCAHFWCERCALCQEYREIVARGYDPKLGWHLNAERAAPAVQHMGR >LPERR03G33870.1 pep chromosome:Lperr_V1.4:3:28503489:28504121:-1 gene:LPERR03G33870 transcript:LPERR03G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGNTLLLLLILLSASTLLQSHTAWATIPLMMACAKTPHPEVCITVLSAIPEIRNGGASVATPRSLAEHAVRAAASIGGAAGTYARAELDIVKDTDLWQCLDECAQDIEEAVSHLDDTEGDVDVDAKFRDVRLFMDVAERDTWSCDESCRDAPESPVKAAMLDKNEAFERFLRVTGALIEQAIGSSAGGAGDAPAASAPEPYESASP >LPERR03G33880.1 pep chromosome:Lperr_V1.4:3:28505040:28509957:-1 gene:LPERR03G33880 transcript:LPERR03G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAGAAAASGTALLVYILLACCCRPQPHAAEAGEEESEALLPSAEAARGRDGGEEEEEEWPYRPPSTCCEAAAVAARTARRTWELTVGRWGLHGIAFGIKRHMKRQHEYGGNDCLQLNGHEAHTEVTSLLEYLKICMFYSKKKFSAFLKFGGYNQEDILIHKARARLMQPSFALVCDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLNEGQISNVVLGYAHCGMVTAARWIAKLALPLLRKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHQFSSCTCLAFAPLSVENLRAEVMVSSKLDDEQDQAQFSLFAAISKRVAFIKSHMLSVSHPIEKNADPDSSISEPLLKHVAEVTQPETNGQHQVEVDLVGATEQDFSAVSVVTCDQKGVNINGAVNTEQEQEQSSLTVKEEHESLKQNGDGKDKQKEMIPSCSSRQFFPPGRIIHMVAMAASPDSNPGEGSSSNEIVYIYETPRDLYEKIRLAPNMIKEHYMPSYISTMETLLEQLRKENNVDTSGESTASFVRNLGKMPGGMPAACLSGCVARFLEAVAELRRSKAALEERRDAAGAKAAVTAARADGDSCMEECRTVEGGAAPDIAGRIGELGKLCSIALALTDASMSKAA >LPERR03G33890.1 pep chromosome:Lperr_V1.4:3:28513652:28516755:1 gene:LPERR03G33890 transcript:LPERR03G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGGGGKAQAVREVCAASAAFSGCPHRRRSPRRPPFVDWYLVLAVPDAAPVDAVRRRFRQLALLLHPDKNTHAKAEVAFKIVSEAHACLTDKARRRAFDDERAASYCAACHDRFRSSSSSTLSATPARQRAERRRTPPATPTTTTGNPHSKHRGGVGGGVRRTQALREVQNRLREECRVIDGCLRANNDAAAAAAGGGARRRQSFPLFDPSDRRLFPGYPHTRPSPPFAGSFSAAEFRRFGEDLGADQSPRWCRGVAGGGGESPVYQIRTAPERATRTKRPCLFYCNCKSHKALAFCIRSYCIVQAVDSVSNAIQNLEISKCYMSE >LPERR03G33890.2 pep chromosome:Lperr_V1.4:3:28513652:28516755:1 gene:LPERR03G33890 transcript:LPERR03G33890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGGGGKAQAVREVCAASAAFSGCPHRRRSPRRPPFVDWYLVLAVPDAAPVDAVRRRFRQLALLLHPDKNTHAKAEVAFKIVSEAHACLTDKARRRAFDDERAASYCAACHDRFRSSSSSTLSATPARQRAERRRTPPATPTTTTGNPHSKHRGGVGGGVRRTQALREVQNRLREECRVIDGCLRANNDAAAAAAGGGARRRQSFPLFDPSDRRLFPGYPHTRPSPPFAGSFSAAEFRRFGEDLGADQSPRWCRGVAGGGGESPVYQIRTAPERATRTKRPWIISIKSEAFCIRSYCIVQAVDSVSNAIQNLEISKCYMSE >LPERR03G33890.3 pep chromosome:Lperr_V1.4:3:28513652:28516518:1 gene:LPERR03G33890 transcript:LPERR03G33890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGGGGKAQAVREVCAASAAFSGCPHRRRSPRRPPFVDWYLVLAVPDAAPVDAVRRRFRQLALLLHPDKNTHAKAEVAFKIVSEAHACLTDKARRRAFDDERAASYCAACHDRFRSSSSSTLSATPARQRAERRRTPPATPTTTTGNPHSKHRGGVGGGVRRTQALREVQNRLREECRVIDGCLRANNDAAAAAAGGGARRRQSFPLFDPSDRRLFPGYPHTRPSPPFAGSFSAAEFRRFGEDLGADQSPRWCRGVAGGGGESPVYQIRTAPERATRTKRPWTT >LPERR03G33900.1 pep chromosome:Lperr_V1.4:3:28518990:28520960:1 gene:LPERR03G33900 transcript:LPERR03G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEKGSGSIDPDERTASGEPKACTDCHTTKTPLWRGGPSGPKSLCNACGIRYRKKRREALGLDAGEGGADRQEKKKSKREREREREEVTVELRMGGFGKEVVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA >LPERR03G33910.1 pep chromosome:Lperr_V1.4:3:28525231:28530323:1 gene:LPERR03G33910 transcript:LPERR03G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGGATSSSRNSVERFYLPPHSRRQQQQQQQRLRSPTSPSLSPSPSPRSARQKAAAPPASSVAAGVLTDGDSRVDSDDSSSTSSKPSVASTATATTLTDVNVTVVDEGGNLERFLTSTTPSVPFQCLPKTSLKTWRTGDSTNASPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPFLSAIQLYADPSRSASRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHQMANGNLRTDTDSYASASSPIFQYLERDPPFCREPLTDKVSILASRFPALKEFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDTTTPACPSYGGISHCANATGKLSLPAFGLASYKLRSSIWASDGAQGQRFSSLMEEAGNWLSCVQVEHPDFRFFVSRSGAWR >LPERR03G33920.1 pep chromosome:Lperr_V1.4:3:28530983:28531213:1 gene:LPERR03G33920 transcript:LPERR03G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSSVPPRDGDERTASSSAAAATWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRIVRRW >LPERR03G33930.1 pep chromosome:Lperr_V1.4:3:28531892:28534337:-1 gene:LPERR03G33930 transcript:LPERR03G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRLLHLLRAAPASPAYAAAPSSRGHPHRVLLPTSGMRRASVACSAGGGKSDGEGMTYKGAGVDIDAGTELVRRIGKMAPGIGGFGGLFPFGDHYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCEQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDQVIDGKNIVEGDILIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPTSNDMSTTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTGTWKVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGSNHAYRIGEVIRGNGVQYV >LPERR03G33940.1 pep chromosome:Lperr_V1.4:3:28538562:28547496:1 gene:LPERR03G33940 transcript:LPERR03G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGSGSNSPVSRFSEDSKDFDGATPNSRPNLFLEDASSLKESSEHSCSSSVIDEEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSLHNNNIHDELIGRSYVGSPLKMPSSPLKMPGSPLKMPGSPHKMPGSPIDRFRMEALPLRFAKRTQSVAQHKSPPVKNPSHISNRNAADIMEAAYRIMRPGVEDISSYRIRDVGYANDVRVYSQREIAIVQQRLPRMNEALKKRDGLTSYRLPGGKPLGGSLKRSGNTFTSGVSQSNGGAPVGAKAKASIRLSPDSRATNVQGREGINKVSRKIATQRDPERSMVERNGINQSKNNNQVGMTSSSNVLVQNNRKQNAMVKHKVNPKPPTPNQQSSNGRSINGTMKKVGTTSTLSENNTQSNRNLESRPAGHANRRQNSSAKTIPKPRRLPDGRTLTEKIHPSDKDSADRSQRRVRHNIVIDEQSPFSTNKKKISTDIVSFTFTSPVDKPLSGYRLPTHLVEKQFTKNGSSVPNSSETSNAKFDSIDGDYLGLLLEQKLRELTSGLRSPYCKPTKGVRIYAPSSVLEDSQSVCETSSIASTDYDRESVQSYKDGNASFTQVDLASKSGQSSHSVKYDNDAMDQMEIERLHLSPHSTWDASISTETCSSTESWRSANGTKIFSSTEGATKSDSAHFSKFLEVDAFSEYSDTASSITVTTTDIPPSESSSSCRMDYRQEIDFIREILNASPVNGHICSCLERFVNSDILDPQLLEDLNGDIRLAVGVAEGKAIRMSRRLLFDCVNEILSVKCAYYFNAGYGSWFLGMAVLKKLTAEEMYAEMTDLKVDEEWMVDELVYKEMSSPLGSWIDFKMESYEAGIDITTELLGSLIDEMVADLLLLDGGQSNMSSNPVGVDNTFRRKFDKEEYLERARQREREEKEDARKGKDKGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPASFSEQDLDERIMKQQQEEEERKRQRKEKKKEKKKELAAQNEPEDIDPDVAAMMGFGGFGSSKK >LPERR03G33940.2 pep chromosome:Lperr_V1.4:3:28538555:28543561:1 gene:LPERR03G33940 transcript:LPERR03G33940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGSGSNSPVSRFSEDSKDFDGATPNSRPNLFLEDASSLKESSEHSCSSSVIDEEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSLHNNNIHDELIGRSYVGSPLKMPSSPLKMPGSPLKMPGSPHKMPGSPIDRFRMEALPLRFAKRTQSVAQHKSPPVKNPSHISNRNAADIMEAAYRIMRPGVEDISSYRIRDVGYANDVRVYSQREIAIVQQRLPRMNEALKKRDGLTSYRLPGGKPLGGSLKRSGNTFTSGVSQSNGGAPVGAKAKASIRLSPDSRATNVQGREGINKVSRKIATQRDPERSMVERNGINQSKNNNQVGMTSSSNVLVQNNRKQNAMVKHKVNPKPPTPNQQSSNGRSINGTMKKVGTTSTLSENNTQSNRNLESRPAGHANRRQNSSAKTIPKPRRLPDGRTLTEKIHPSDKDSADRSQRRVRHNIVIDEQSPFSTNKKKISTDIVSFTFTSPVDKPLSGYRLPTHLVEKQFTKNGSSVPNSSETSNAKFDSIDGDYLGLLLEQKLRELTSGLRSPYCKPTKGVRIYAPSSVLEDSQSVCETSSIASTDYDRESVQSYKDGNASFTQVDLASKSGQSSHSVKYDNDAMDQMEIERLHLSPHSTWDASISTETCSSTESWRSANGTKIFSSTEGATKSDSAHFSKFLEVDAFSEYSDTASSITVTTTDIPPSESSSSCRMDYRQEIDFIREILNASPVNGHICSCLERFVNSDILDPQLLEDLNGDIRLAVGVAEGKAIRMSRRLLFDCVNEILSVKCAYYFNAGYGSWFLGMAVLKKLTAEEMYAEMTDLKVDEEWMVDELVYKEMSSPLGSWIDFKMESYEAGIDITTELLGSLIDEMVADLLVSDTSL >LPERR03G33950.1 pep chromosome:Lperr_V1.4:3:28547766:28548443:1 gene:LPERR03G33950 transcript:LPERR03G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIKGLVFSPTDNQLTDVYLRRYLLRLDDLPSSCFHVADVYSASPDRLLANLAPAPGTGNGDDGDGDDRVWYVPTPVRLLGGKIARRRKDRTVVGDGGGGCWRAEGWAEDVRGSAGGGRMQKLSYYRAEYSGGGGIKREWIMVEYSLPGSEHLVALCKLYRSPRYSRYITPPSMTSSPSTSVASGSKRKADQEEADHRDAPACSVRRQNPPPDAGQETAEPFC >LPERR03G33960.1 pep chromosome:Lperr_V1.4:3:28549288:28552599:-1 gene:LPERR03G33960 transcript:LPERR03G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLPVSGVMAIPKRSSSSTAAVVVAAVVAIASVAAVAGEVYFQEKFDDGWEDRWVKSDWKNEDNTTGEWNHTSGKWNGDADDKGIQTSEDHRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVGQKKFGGETPYSIMFGPDICGHDTKKVHAILTKNGKNHLIRKNVPCETDQLTHVYTLIIRPDAKYSILIDNTERQSGSIYDDWNILPPKKKRDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEITEPDATKPEDWDDEEDGEWTAPTIPNPEYKGPWNQKKIKNPDFKGKWKAPLIPNPEYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKGFEEESANSKVNDDDDDASDDEDDDKADVTAEQTKDLADEKPHDITASADEKPEIYKGDSSSEKKDEL >LPERR03G33970.1 pep chromosome:Lperr_V1.4:3:28553798:28555919:-1 gene:LPERR03G33970 transcript:LPERR03G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDESAAGGAAAGEAAVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >LPERR03G33980.1 pep chromosome:Lperr_V1.4:3:28557419:28567744:1 gene:LPERR03G33980 transcript:LPERR03G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRLLSACGGVWPTSPSPPAQPSSASSSAAAAADEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVDSSPPLGTCLALFDGHAGPDAARFACDHFIPNLQEAASGTQGVTADAIRDAFLATEEGFLALVSRTWETQPDIATVGTCCLVGVVHRMTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEGVRQELMAEHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHTQYNTERIKPKFRLPEPFSKPILSANPSIISHCLQPSDCFIIFASDGLWEHLSNQQAAEIVHSHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKGSMDGTGAASSDGGAFLEFVDYAISMLSSSSGGDGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSINEKNFLSPKSVIEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHGVLKKGREVMLTGCCLRTAMEGSGRTRILPTEYMVMLLDENEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFFARIEKIESLEPFGSTERKQIVLVDNDDATIKFVLWGEQVFLANLFSVGSMLALDRPFISNCVHNNHESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLPCVSSDHMASQVILPRDLHGSVDFSKYPFRVNVSDLHDKMVGVSLFGTVKSVCKANVSGASFYLEIEDSTGVVLTKLKFIELWSLGRICRVRLDHVDVSSLKLHLTIADDSEKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFTVAIANTSKQIEEYIEDKKALPVWEITRAQKCE >LPERR03G33980.2 pep chromosome:Lperr_V1.4:3:28557419:28567744:1 gene:LPERR03G33980 transcript:LPERR03G33980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRLLSACGGVWPTSPSPPAQPSSASSSAAAAADEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVDSSPPLGTCLALFDGHAGPDAARFACDHFIPNLQEAASGTQGVTADAIRDAFLATEEGFLALVSRTWETQPDIATVGTCCLVGVVHRMTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEGVRQELMAEHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHTQYNTERIKPKFRLPEPFSKPILSANPSIISHCLQPSDCFIIFASDGLWEHLSNQQAAEIVHSHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKGSMDGTGAASSDGGAFLEFVDYAISMLSSSSGGDGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSINEKNFLSPKSVIEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHGVLKKGREVMLTGCCLRTAMEGSGRTRILPTEYMVMLLDENEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFFARIEKIESLEPFGSTERKQIVLVDNDDATIKFVLWGEQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLPCVSSDHMASQVILPRDLHGSVDFSKYPFRVTRQSKCWPYGVHVWFDLYLEFNKHICRVRLDHVDVSSLKLHLTIADDSEKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFTVAIANTSKQIEEYIEDKKALPVWEITRAQKCE >LPERR03G33980.3 pep chromosome:Lperr_V1.4:3:28557419:28567744:1 gene:LPERR03G33980 transcript:LPERR03G33980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRLLSACGGVWPTSPSPPAQPSSASSSAAAAADEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVDSSPPLGTCLALFDGHAGPDAARFACDHFIPNLQEAASGTQGVTADAIRDAFLATEEGFLALVSRTWETQPDIATVGTCCLVGVVHRMTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEGVRQELMAEHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHTQYNTERIKPKFRLPEPFSKPILSANPSIISHCLQPSDCFIIFASDGLWEHLSNQQAAEIVHSHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKGSMDGTGAASSDGGAFLEFVDYAISMLSSSSGGDGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSINEKNFLSPKSVIEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHGVLKKGREVMLTGCCLRTAMEGSGRTRILPTEYMVMIEKIESLEPFGSTERKQIVLVDNDDATIKFVLWGEQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLPCVSSDHMASQVILPRDLHGSVDFSKYPFRVNVSDLHDKMVGVSLFGTVKSVCKANVSGASFYLEIEDSTGVVLTKLKFIELWSLGRICRVRLDHVDVSSLKLHLTIADDSEKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFTVAIANTSKQIEEYIEDKKALPVWEITRAQKCE >LPERR03G33990.1 pep chromosome:Lperr_V1.4:3:28571333:28577621:1 gene:LPERR03G33990 transcript:LPERR03G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVPGGAASAAAVEAEVVPTFSSLDPVYGGEGSQLDEARLRFARLADKFHAVYASRPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIVMGEPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKVSLATDLIAIPVSHKLEYRLLKLTKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMEAKKAVSSVTTLSDVEGLCVSFAGKEGGSDPGVAVKKLLHEEPYTAEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >LPERR03G34000.1 pep chromosome:Lperr_V1.4:3:28580420:28586790:1 gene:LPERR03G34000 transcript:LPERR03G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFTKSVLFQFNKIFRRLKSFVSHHAHPPPSPPLPAPETMTAAADVTVCKVEGGLLTSPSTFPYFMLVALEAGGLLRGLILLILYPILLLGHDHAIKVMAMVSFAGIRKDGFRLGTAVMPKLFLDDVSEEVFEAATAMAGDRRRRRVVCVTAMPREMVEPFLKEYLAVDAVVAPEMRTIRGYYTGLMEDDGEVMKRVDMDEVIGGDDRVVVVGIAGIGRSFHQLFQNYCKEVYVATTESARRRRRRLHPRRYAKPLIFHDGRVAFRPTPAATLATFMWLPLAFPLAVLRTAIFLLLPFSLSVPLLAALGMHCRHISHAAAGLNLFVCNHRSLLDPLYVSAVSARADLAAATYSISRLSEMLAPIRTFRLTRDRAADRAAMQAHLSSSSSGGCGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSEVGIFHGTTASGWKLLDPFYLLMNPSPAYVLQFLDPVAVGERRGGPEVANEVQRRIAEALGYACTALTRRDKYLVLAGNDGVVAVAGNDKSRGN >LPERR03G34010.1 pep chromosome:Lperr_V1.4:3:28590336:28591426:1 gene:LPERR03G34010 transcript:LPERR03G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSRMDGKVAIVTGGASGIGEAAARLLASRGAVVFIADIQDELGEAVAASVGGACRYIRCDVTDEAQVEETVAAAVAAHGRLDVMLSNAGVLLPTGPVTDMDLAALDRVMSVNFRGAAACVKHAARAMVGSTRGAIVCTASVASCQGGFGPASYTASKHAVVGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGLTGMSPEEMEAATEPHSVLRGKVLKASDVAEAMVFLASDEAAYVSGHNLVVDGAITAVNYAVLQSMGL >LPERR03G34020.1 pep chromosome:Lperr_V1.4:3:28592694:28595865:1 gene:LPERR03G34020 transcript:LPERR03G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPAKGKPSPPAPPPPPPGRCHFWLPNKRRHCANSPLPTSQYCGNHLPESASDAGTPCRRRVPCPVDPSHTVLEENLETHVSKCPFKKQAAALAAQPFYSKGINSRGGEGVTSAAKRATVHRLTEDELRALIEKIKSVHGAAAVAMRDSHLVTDACDKWMRNQADRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENVVENAPAVVEFGAGRGYLTQMMADCYGIRNVFLVERRSYKLKADRSLRQNEGITLKRLRIDIEDLNLQGIEALNGLQYLAIGKHLCGPATDMTMMCCLHERYSHAHEKEYGKNNLRGLALATCCHHLCQWNHYANKTFLSELGITEEDFHAMTWFSSWAVEGGHSSQDSSLEVEDSTPDDRREKAEKPDVEVSGIDRLIGGIPAGERAALGFMCKDIIDTGRLLWLRGKGLEADLVSYVPSNISPENHLLIAKCTSSSG >LPERR03G34030.1 pep chromosome:Lperr_V1.4:3:28596458:28602861:-1 gene:LPERR03G34030 transcript:LPERR03G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARVGAQKRLFGWDLNDWRWDSERFVATPVPTTAAAAASGLALNSSPSSSEEAEASVPRNVNVRGDFDKRKRVVVIDDDDDDDVEEYEEPVGNGGGSLSLRIGGDAVAHGAGVGGGAGDEEDRNGKKIKVQGGSSSGPTCQVDGCTADLSAVKDYHRRHKVCEMHAKATTCVVGKTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVTGSALAEDKVNSYLLLGLLGVCANLNADSAENLRGQELLSNLWKNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANTLVNTAVAEAAGPSNSKMPFVSGDQCGLASTSVVPVQSKSPTVATPEPPACKLKDFDLNDTCGDMEGFEDGYEGSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELYDNMSSYLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQVMLDRPLAISSHHYCKILCVRPIAAPFSTKVNFRVEGFNLVSASSRLICSFEGCCLFQEDTDNIVDDAEHDDIEYLNFCCPFPGSRGRGFVEVEDGGFSNGFFPFIIAEQEICSEVCELESVFEPSSHEQADDDNARNQALEFLNELGWLLHRANRISKQDKFPLASFNIWRFRNLGIFAMERDWCAVTKLLLDFLFIGLVDMGSQSPEEVVLSENLLHAAVRRKSAQMVRFLLGYKPNESLKGTAKTFLFRPDAQGPSKLTPLHIAAATNDAEDVLDALTDDPGLVWINAWRSARDDAGFTPEDYACQRGNDAYLNMVEKKINKHLGKGHVVLGVPSSMHPVISDGVKPGEASLEIGMNVPPQASQCNACSRQALMHPNSTARTFLYRPAMLTVMGIAVVCVCVGLLLHTCPKVYAAPTFRWELLERGAM >LPERR03G34040.1 pep chromosome:Lperr_V1.4:3:28612589:28615857:1 gene:LPERR03G34040 transcript:LPERR03G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWSVALTTFFFCILLIEVICSSEASVDCTYGSPQLKILSSSVPTEKQNAYLFLKPFHRSCRARQLAVEFVNGVVVPNLQLNVKAVVVTADERQLGALHRTLESVHASLAAAGLDRRVKVSPEMSLPSLRAAMAKKNQRNKGDQWRRVMEFVRKSGSFIVVEIGGDENGDLAVTVADVAAAVDDSGVGVAFRVNRRDSPMVRLIGDAVKGKRSMRVLAEASAVSSPSPRRELDDVYAPVTNPTTMPSTTNPVTVPATNPATNPGVVTVPSTNPAAGYSNNPTNLPPLYPEPTPVTMPDPTTTTPASTTPFTSPVTNPTTTPVTNPATTPAVAYPYPQQGGVGVGGGVPMTPTPTYQQPPATVQPAAPATAGMTWCVAKTGLMDSVLQNGLDYACGMGGADCSAIQPMGSCYNPNTMQAHASYAFNAYFQRNPSPTSCDFGGAGMLVNVNPSSGTCMFQTSAGYGGVGNSPAATGTVPVGGVTPVGGVTPIGGMTPMGPAVGGAGLTPAVSGSGSGSTVLNANSPGTGGNSMYGSGTGTGTGSDNPTSLTGGAASLSCGWVLCLVWIFTFAYVKEKV >LPERR03G34050.1 pep chromosome:Lperr_V1.4:3:28616408:28618719:-1 gene:LPERR03G34050 transcript:LPERR03G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKARRLSGSRHFRQRMVLATLTSTAITIEDIRSGGAAPGLRPHELRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLRGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRAPNINSTLKAANWVDEGMVKRIRGVTFSIRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLISADATVSYPNVDEMNEESESPELTSPEDLGVQVASMLLEEVAQGGVVDSTHQGLLFILCALSPPDVSKVRVSQLTPYAIETLQNIHDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >LPERR03G34050.2 pep chromosome:Lperr_V1.4:3:28615100:28616399:-1 gene:LPERR03G34050 transcript:LPERR03G34050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPHQRKGGCSKKTESPTESSTRGELTNYHQQQQEEEEKDYTFSLTYAKVNIQTRHSTQPHDSEAAPPVRLVGLSEPVPVPVPEPYMELPPVPGLLALSTVEPDPLPLTAGVNPAPPTAGPIGVMPPIGVTPPTGVTPPTGTVPVAAGLFPTPPYLQKFTAFV >LPERR03G34060.1 pep chromosome:Lperr_V1.4:3:28623560:28628097:1 gene:LPERR03G34060 transcript:LPERR03G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQQQLAVAPDRPRPDRVEHTVACHDWVHALNWPPPLPFCCCCYFLLLLTLLACCAAPVVVRCIVVWPASLPLSPPLFLFLSLFLPDAAGEGKGEGVADPFLLPSALLWSGDLGVSALKASCFLFDTGLAAATKIRLVGGKMRRSASLLQVLLVFITMVGTQLSSVFGTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNKTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDAIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYIADVSMDNVSMAIRITGNFGEHPDNKYDRNALPMISNITIENLFGVNVGVAGILEGIEGDNFSSICLSNVSLSSHVSNSEQIVDRHQFAMMVAVLREYMHIHSDIGRFFEMKRSRGESVSGNYENKRK >LPERR03G34070.1 pep chromosome:Lperr_V1.4:3:28628437:28633913:-1 gene:LPERR03G34070 transcript:LPERR03G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVEESKDGTIPVASAFAGHQEAVQDRDHTFLLKAVEEAYLGVDCGHGRPFGAVVVRNDEIVVSCHNMVLYHTDPTAHAEVTVIREACKKLGKIELSDCEMYASCEPCPMCFGAIHLSRIKRVVYGAKAETAIAVFGFDAFIADALKGAGHYKSANLEIKRADGNGALIAEQVFENTKENGDDAAAVRRPTHTLMPMTASGRPAAANGISDGFRMEWKRDWPTQASNLCKRTEKRRTHLTHSPPLHLPSSLEGCCEIFLLLPAMEEAQVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYRGVDCGHGGPFGAVVVCNDEIVASCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPLCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGHYQKANMEIKRADGNGALIAEQVFENTREKFQMY >LPERR03G34080.1 pep chromosome:Lperr_V1.4:3:28635573:28636688:1 gene:LPERR03G34080 transcript:LPERR03G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQNPLEDEYLLGEILLRLPPADPARLVRVSAVSKPWRRILADPTFSARYAAFHRPSGPPVLGVLHNPTNRELDRFVPTAAAAAFRPSGDRRGRHILDCRHGRVLLYDYEYDYSRGRELGFVVWDPITGDEHVIGGDVMDRLTHAAVVPTGAGGDAFIVAFVGVENDKYLCDAHSQFYSSDTGKWSMHIYIHLDFDRHHLEDRPAAQIGESLYFVGKSGTLLRYRYGLARDFFERRTVSDDVLAVIHPPADAKRDLLRRGHAIVMAAPDQNELRLGILYRHKLHLWAMVDKEFSPSSTRNSVGQWGRRTVVDLDPVLPWPVGDNNSKAKELLCLTVAAEIPNVIFIRCTEEDCVFAVDLESLRIRKLCE >LPERR03G34090.1 pep chromosome:Lperr_V1.4:3:28638537:28648496:1 gene:LPERR03G34090 transcript:LPERR03G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCNPSFFTGNRKNGNVRPNPIHFISILKSEALSLSTSLPRGGRREAEAARRAAPPGRRPVVVVMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIAVGRKGTEVLTNEAGEVTRSLKRDDAYKDLNKAIEAGDGDLIEKLSKRTVKVTKKHNEDCKKLLSLMGVPVIQATAPGEAEAQCAALCENHKAFAVASEDMDSLTFGARRFLRHLTDLSFKRSPVIEFEVSKVLEELGLTMDQFIDFCILSGCDYCENIRGIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEIRVLFKEPNVSTDIPDFLWTPPDEESVEKIKAANDKFFLGRCASLLLMESLGRSWDAISRALASTPFIRSLQAFIVFRNNGGCDLQRLNEMLIPNYCHTNALLELSGLELLSLRMEAIVEGSKYECLLFDLDDTLYPFSAGINLACRKNIQDYMRHHLQIEDSQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLRLDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLRRLGLQDCFEGIICFETLNPPALTCNGPHKPPSSFSDELSSDLDDPDELDGFRPKSPILCKPSIEAMAAAIRIVNADPEKTIFFDDSVRNIASGKAAGLHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDTVLASAAAETAVVA >LPERR03G34100.1 pep chromosome:Lperr_V1.4:3:28649074:28649895:1 gene:LPERR03G34100 transcript:LPERR03G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFAKQTHPPNPLTPPAARPPLSLSLAAGRRWQSLGPSRIAPPLPSANTSCPIARARRTGGAMSKPADQSPPSDMEVDATAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRLILMQKGSEKSQCFI >LPERR03G34110.1 pep chromosome:Lperr_V1.4:3:28650449:28653754:1 gene:LPERR03G34110 transcript:LPERR03G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVPEKLSKGVSTLSLGDEKRKEAFLLDMLENYESALGEANTKTPCRIARFPPPFQSAPCNPIVLDMAYNAIEFPNIENKMKKEKKGLLSRFWG >LPERR03G34120.1 pep chromosome:Lperr_V1.4:3:28654009:28654309:1 gene:LPERR03G34120 transcript:LPERR03G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVAASASLLFLLFLLRRRRQGNPAPLEALTFSIYSPLSTSASPGSSADGDSSPPPPAASVRQAARPYAMVARLDKPIGTWLLAWPCFWYYGY >LPERR03G34130.1 pep chromosome:Lperr_V1.4:3:28654755:28657502:1 gene:LPERR03G34130 transcript:LPERR03G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDETAPDRPGLVFRPSDDKLITLYLRPKITNTPFKNNLINNADVYSSDPADLVANHNPAPGTQDSSSVWFFFCSPRYTSKRVSSGRRQRAIGAGAESTWKSEGGKKAVNGADGRPVGYLQKFSYGVYDSSTSSKRAFTRLGWCMTEYSLDDDDDVTTNNGSTEKLVLCKVYRSPRAATTKRKADEAGVDDHTEDAPPSVRPRQEAAAGSEQDKQSSCLLPPGFDLDAFLESLWMDHNMGNNNVFSNATVEQLPCAPAMDLVGGDGDFFQTASGPCMDAEVMERLAAGETVDDILGMSPLIAGNGDGGGDFFQTASGPCMDTEVMERLAAGETVDDILGMSSLIGQQEVLCF >LPERR03G34140.1 pep chromosome:Lperr_V1.4:3:28660341:28660990:1 gene:LPERR03G34140 transcript:LPERR03G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDEMSPDRPGLVFRPSDDKLITLYLRPKITNTPFKNNLISNADVYCSDPADLVANHNPAPGTQDSSSVWYFFCSPRYTSKRVSSGRRQRHPAWMITRRMRHPACGRGKRPPPAASKISSRRSLWMDHNMGNNNVFSNATVEQLPCAPAMDLVGGDGDFFQTASGPCMDAEVMERLAAGETVDDILGMSPLVGQDLVQCF >LPERR03G34150.1 pep chromosome:Lperr_V1.4:3:28661366:28663444:-1 gene:LPERR03G34150 transcript:LPERR03G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRGGDQPEAEAPAAKPFLVVLVRKGVKPPPLPTVCRENRLLKLKRAYALRNRKVFVTHTDKPNLAPPQYKKGAMAGEALGGGGGAALVARGDEGSGQEKEPRFNTSWQTPTCAKVALEHYNRLNEDQYEMVKALKSIPFTFNGIWLHVNFLAKLKGATHCPDLLPKFFFAEVKPEPDGVKGMSCVSCVQIDPGMQVWFMCIQ >LPERR03G34160.1 pep chromosome:Lperr_V1.4:3:28664635:28671948:1 gene:LPERR03G34160 transcript:LPERR03G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSSFDEDDDDDATVSSAATSPSSRSPSPRPRPRAAVLGAPRVAAQLSSTEDDAVVGEVFDDVTDDGEEEEEVLDEVSNGFFRIARVPTQPSESEDLSPISGGEAAAVSVSSPSESGYLGAAEGSLKEEGFVGARNLADVFDAGSTLGFEDVIVGAVDSSLEGSFQSSRSIDDTEVTTLPDLGDRDFGVDKQGDQDFDAEAVNGVVPEPLEGEPGGTDDSGMHVENELEVKVGRSDNEVAELPPVLGTPEKQDAGLEFRHDSFDAKDATENHEDATYSVDSSPGYIATGDATSELLQVPANVDDLHFVAADDHQNDNESAELPPVLSAPEKQDAGHELNNDDSDAKDATENHKDSTYFVDSSPGYVTTGDGTSELLKVPANVNDLHFVADGHNNDDEETDGGYNASDDFVSIPTFGDNAVETPVNDSEDNVPASKGSRFGLSDTDDEFHDDSVEDQEDGETNGKEIEFFDYAALAELLRAANRSPGQGMAKVFPIETSVPLQDPPTVSMPEVAPHPEKEMTDEERKLYRKVDMARIKYLRLLHRLGYDTEHQIAIQVLYRLSLAEGYRRIRVANHSSELESAWKKALQLEAEGTEDLEFTCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTSVKEISGVVGGVKFRVVDTPGLGTMPMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQCQDINNLSLLQCITSVLGSSIWHKTIITLTHSAAAPPEGPSGTPLNYEMFATQRTHAIQQSIRQATNDPRFENTVAHVENHHLCQRNTQGEKVLPNGLIWRRFLLLLCYSVKTIQTDSLSVPRTSTVDLFKRLRMPPLPYFLSSLLQSREHPRCAADQDVGGVDPDELISEDEEDDYDQLPPFKPLSKSQVEKLSKEQQKMYFDEYDYRTKLLEKKQLKEQLRRLKEMKREGNSHDVLGDDDNPDYAYEKDRSVMPDWALPSSFDSDDPVYRYRCLEPTPNLLVRAVTNPDGWDHDCGFDGVSLQYSLEAANAFPASLMAQVNKDKRESTIHLESSVAAKHRENISTLAGLDIRTIMDQLAYTLRGEGKFKNSKKNTATGGLSMTFLGDTMVTGAMFEDKLSVGDRLTLLANTGAVSIKGDTAYGLNMEATLREKDYLMGQDLAILGASLVRWRKEWNMAAKLDSQFSMGRASKVSVHVDLDSKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFADRAGYMLPDK >LPERR03G34170.1 pep chromosome:Lperr_V1.4:3:28670650:28671847:-1 gene:LPERR03G34170 transcript:LPERR03G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFPGEGSGSWAHEVKQALRDKLRRAYATAGAARPAATASCGPSNGDDCRSSAAEDPIRRVILCMHSHGLLDCKANA >LPERR03G34180.1 pep chromosome:Lperr_V1.4:3:28674546:28680284:1 gene:LPERR03G34180 transcript:LPERR03G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVGALRRRGAATAGGSRFFAQSLHRFVSTLVVAEHEGGFVKPSSLSALAAAEAIGKENRVSLLLGGSGPSLHKSAEHAASSHPLVSEVLVADSDAFAHPLAEPWAELLRSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSVSEPRVFVRPIYAGNALCTVRYTGQDPCMMSIRSTSFSPTEAMSETKVAPITQVDLSFLSEGSSGKSAWVDLKSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >LPERR03G34190.1 pep chromosome:Lperr_V1.4:3:28678228:28683839:-1 gene:LPERR03G34190 transcript:LPERR03G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEAMRLFVKILEEEDPGWYSRVPDFNPEPVVDIEMHKPKEDPKVIPPSTNGISIPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVSGQRPKPRYEHGATMVQDKMYIFGGNHNGRYLSDLQISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVVFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKAKEEHASDSTSRVLEPEVELSHDGKIREIAMDIADSDLKKDDASELLVSLKGEKEELEAALSREQLQTIQLKEEIAEAEAKNADLTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >LPERR03G34200.1 pep chromosome:Lperr_V1.4:3:28687071:28694038:1 gene:LPERR03G34200 transcript:LPERR03G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLETSFPSFGEREVHHRFFHLKTASELRMDDIPILLSEYGKLLST >LPERR03G34200.2 pep chromosome:Lperr_V1.4:3:28687071:28696105:1 gene:LPERR03G34200 transcript:LPERR03G34200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLETSFPSFGEREVHHRFFHLKTASERRKYEGKKKTSKPTHHPDTLPCKRLRGEGKAKRAIKRRGQGPGRRRLGHALPTPLLSLADLHSEEESEKPKAFAFRSVARTQGFKVAVKSPSSVSFFSQGMQVPTLKASKKLDVLAMATYKVKLITPEGQEHEFEAPDDVYILDAAETAGVELPYSCRAGACSTCAGKIKTGKVDQSDGSFLDDAQQEDGYVLTCVSYPKSDCVIHTHKEGDLY >LPERR03G34200.3 pep chromosome:Lperr_V1.4:3:28687071:28694030:1 gene:LPERR03G34200 transcript:LPERR03G34200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLETSFPSFGEREVHHRFFHLKTASELRMDDIPILLSEYGKLLST >LPERR03G34200.4 pep chromosome:Lperr_V1.4:3:28687071:28696105:1 gene:LPERR03G34200 transcript:LPERR03G34200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLETSFPSFGEREVHHRFFHLKTASERRKYEGKKKTSKPTHHPDTLPCKRLRGEGKAKRAIKRRGQGPGRRRLGHALPTPLLSLADLHSEEESEKPKAFAFRSVARTQGFKVAVKSPSSVSFFSQGMQVPTLKASKKLDVLAMATYKVKLITPEGQEHEFEAPDDVYILDAAETAGVELPYSCRAGACSTCAGKIKTGKVDQSDGSFLDDAQQEDGYVLTCVSYPKSDCVIHTHKEGDLY >LPERR03G34200.5 pep chromosome:Lperr_V1.4:3:28687071:28693358:1 gene:LPERR03G34200 transcript:LPERR03G34200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLETSFPSFGEREVHHRFFHLKTASEDLVVHQSFAS >LPERR03G34200.6 pep chromosome:Lperr_V1.4:3:28687071:28693375:1 gene:LPERR03G34200 transcript:LPERR03G34200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFAEKRFLSDIAVYDVENRLWYTPECTGNGSDGQSGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVICGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQTPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVTGSAAGAQHSSSQESQAGESPMTDLAKRLGIPLSLEGPASFVDEINDKELIELHSLIFGESPPTSDQHACIQALRDHWTSITANSIQLQELGPLLRDYQRLILRRYLYGLGGTSELCFLIQKPL >LPERR03G34200.7 pep chromosome:Lperr_V1.4:3:28694263:28696105:1 gene:LPERR03G34200 transcript:LPERR03G34200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERRKYEGKKKTSKPTHHPDTLPCKRLRGEGKAKRAIKRRGQGPGRRRLGHALPTPLLSLADLHSEEESEKPKAFAFRSVARTQGFKVAVKSPSSVSFFSQGMQVPTLKASKKLDVLAMATYKVKLITPEGQEHEFEAPDDVYILDAAETAGVELPYSCRAGACSTCAGKIKTGKVDQSDGSFLDDAQQEDGYVLTCVSYPKSDCVIHTHKEGDLY >LPERR03G34210.1 pep chromosome:Lperr_V1.4:3:28697461:28700860:-1 gene:LPERR03G34210 transcript:LPERR03G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >LPERR03G34220.1 pep chromosome:Lperr_V1.4:3:28702565:28705134:1 gene:LPERR03G34220 transcript:LPERR03G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGTAVLVALVVAACGLIWLRSRRVSNEMRDIPGTMGWPVIGETFSFISDFSSPAGILTFMRDRQQRQVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKYFNFINELAIQTLDTWLGRRVLVLEEASSFTLKVIANMLMSLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKTLLRKHGGKDDGDKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPQVLHKLREEHLEIKERLDDSSAHLRWSDANRYEIKKGTSVNLDVVSIHHDPTVFADPYKFDPNRFDETLKPYSFLGFGSGPRIWTPLENDDSVQPTLVRMLRNKYPIVAVAI >LPERR03G34230.1 pep chromosome:Lperr_V1.4:3:28706543:28707674:-1 gene:LPERR03G34230 transcript:LPERR03G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEEFRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDLETVAAMVEVGVTVTVVTGLVPIDGLSDH >LPERR03G34240.1 pep chromosome:Lperr_V1.4:3:28710742:28711386:1 gene:LPERR03G34240 transcript:LPERR03G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYEDWCKNNGDCDCSWKKCLIWTTIIAVLTGVIILLIFAFAVVFPPKATANDAVLLRLALSPGSPSPANSTASYNITVTLSLRNPNIYRGINYDPIAVAFSFNGSRFDESATVPAFYHKPRKTATFHLTVNGDGKPVKLTAGGVAAFRAENATGKFGIEMRMDTTMQYKGRKTKCPLAVICPLELQLVDPEVAATAFQRTKCTILRAKKSGC >LPERR03G34250.1 pep chromosome:Lperr_V1.4:3:28713469:28714119:1 gene:LPERR03G34250 transcript:LPERR03G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYESECCGGSSSYRYRKFVIGFWFGLAILAVITVAVVLAVGYGRVSRLKVAVDDDALTRFTATATAVAYNLTVSLVVVNPNWAMAVTYRSLAAAYLFDGKRFDVVAAVVSSGYKQPARRTAVFRLTSGSDAAPVSLGKDGEREYRKEADDGGGVFDVEVDISGEVKYQLHNTWCRLEARCSLKLQLAAGYGGGGGGRVVFQKTTCDVLRSSMSGC >LPERR03G34260.1 pep chromosome:Lperr_V1.4:3:28717116:28719475:-1 gene:LPERR03G34260 transcript:LPERR03G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERGRSSQRVVVAAAAAAGSCFSLVAICERKSKNPTSSPPKFSVDSSAWDVMNLRPVVLIFLLLVLIITSQFEWKQQVGEAEANPIATRRRQQALLREDAVKEKIILAQEKNIQQLNVLIQSLQLQLLHCRLSNSTARTTLNHSTKDSDVDEINN >LPERR03G34270.1 pep chromosome:Lperr_V1.4:3:28720618:28724977:1 gene:LPERR03G34270 transcript:LPERR03G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAAAKLGRDLLEAARAAEFAAWVRGVRRRVHQHPELAFQERRTSALVRAELDAIGVAYAWPVARTGVVATIDGGAGPGPVFALRADMDALPIQGKVKLVFQPAEEGYAGGYHVLREGILDDVLAIFALHVDTDLPVGAVGSRPGPFFAGSARFTATITGKGGHAAWPHLAVDPIATTASAVVSVTFIKGGEAFNVIPESVTLGGTLRSMTTNGLSYLRKRITEVIEGQAAVNRCTAAVDFMEEKLHPYPATVNDEGMYTHAKAVAESMLGEANVRLRPKSMAAEDFGFYAQKIPAAFFTIGVVGEGITAMGETTTNKMHSPHLVVDEEALPVGAAFHAAVAIDYLNKNA >LPERR03G34280.1 pep chromosome:Lperr_V1.4:3:28723835:28729293:-1 gene:LPERR03G34280 transcript:LPERR03G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSEWRRRSKVTPDLARDQEGTRTLNLTVLRRVDPAVADILMTAAHVVLYSFDDTKYQWSRKPVEGSLFIIKRNAQPRFQFVVMNRKNTENLTEDLLGGFEYEIQVPYIMYRNAAQETTGIWFFDPQECKQVGRLFSRIHNAFSRVSPRAGVSTTKSEFGDPEVVPAVSSNEDTIKLSTSSIMVPNDAEYKFLSAPPKAAAYVGATMDEANAIQSNKSVGMFHPSTHASPRAIPPQSPAIQSNKSVGIFHSSSHASPRAIPPPSLAIQSNKSAGMVCSSSHASPFAIPPQSPATHSNKSVGMVHSSTRASPSAISTQSPALHGLHPSQISSVPVMPLDAHRSSSTSIQPTNLANPLFFPPPMPSLQTASHAASSLCSTVPLHPPITVQQPQSAPLHQPVSLPTASSIPPYGMPLLQPFPPPNPSPFLTSGVSNGPVITRDQLKDGLLSLCQVACR >LPERR03G34290.1 pep chromosome:Lperr_V1.4:3:28733031:28738367:1 gene:LPERR03G34290 transcript:LPERR03G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGNDPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVHGDEEEDGVDDLENEFNWRGDKNDSQFLAESMLHGHMSYGRGDLDGVVQPFQPTPNVPLLTNGEMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDNADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIQRETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRVNALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRKENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVIGCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR03G34290.2 pep chromosome:Lperr_V1.4:3:28733031:28739352:1 gene:LPERR03G34290 transcript:LPERR03G34290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGNDPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVHGDEEEDGVDDLENEFNWRGDKNDSQFLAESMLHGHMSYGRGDLDGVVQPFQPTPNVPLLTNGEMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDNADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIQRETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRVNALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRKENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVIGCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR03G34300.1 pep chromosome:Lperr_V1.4:3:28744177:28750497:1 gene:LPERR03G34300 transcript:LPERR03G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGMENGEVGGGRVPRPTNPMVTPLLTDLYQFSMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRLIANFKLTEVEIRFLRSAMPTCEDGFFEYLISIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRHVAGKSKNLLEFGLRRAQGLDDIIDRTLTSSDGSNKCEDFVTLVQNWLARIKDASSLRGTFGETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSIETRKFFRAIEEEFGFVGFGKMNITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGEDEPGPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSKLREELPSINEIRNRCIAHLDRMRADHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >LPERR03G34300.2 pep chromosome:Lperr_V1.4:3:28744177:28750497:1 gene:LPERR03G34300 transcript:LPERR03G34300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGMENGEVGGGRVPRPTNPMVTPLLTDLYQFSMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRLIANFKLTEVEIRFLRSAMPTCEDGFFEYLISIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRHVAGKSKNLLEFGLRRAQGLDDIIDRTLTSSDGSNKCEDFVTLVQNWLARIKDASSLRGTFGETNLSELAAFTSYALAFPNSFLALVDTYDAAGIRLDSGDLAYLSIETRKFFRAIEEEFGFVGFGKMNITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVSIPCKKRCYRLYGKEGYPLVDIMTGEDEPGPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSKLREELPSINEIRNRCIAHLDRMRADHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >LPERR03G34310.1 pep chromosome:Lperr_V1.4:3:28750714:28751667:1 gene:LPERR03G34310 transcript:LPERR03G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSSTRSEVEAAEKAFKLAESRFLANDIAAALRTAREAQRRFRAHNLPASLLANAVAAYEVHAAASSRRNWYAVLAVAGNGDHRSTSTSVVTHDSLKQQYRRLCLLLHPDKNHSAAADGAFKLLRQAWDALSLRHPPGSAAVPPPASRPKPAETPVNRGPPFSADAANPKTRKRPRTRTVNCHHCGYSFTSVVDEEFYYGVKCIHCKQWVSKPAWRREPEPAGSAPPPPPNRQRERSPSPPPPWERERETSPSSSPPPARSGSSSTSKFPCPGKCTRCGAKYTARVSVGTWHIRCEACHVYVMVCVRNPYYATTV >LPERR03G34320.1 pep chromosome:Lperr_V1.4:3:28761271:28767061:1 gene:LPERR03G34320 transcript:LPERR03G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRKLLNIGNKSESVPGNPTRFSPVATHQTFESESNYPLQSTCSALYIGRSLHPSIQQQQFRLASSPTTSPELSARRLFPDLPGIDNAIIAYEVHAAFAAARATSTTNMYAVLAVGDRSPSPATTVSHDSLKLQYRRLCLMLHPDKNRSAAAEGAFRLLREAWDGLSRLHPPGYSAVAPFIPPPPPPVSETFERKRLQARSQQAEEASRRAEEFFLAGNIASAHRLARRANRLCPSLPSVANALAAYDVHAAAVANPDGQPDWYAVLGIDRSSSLTLDAIKKHFRRRSLLVHPDKNRSAAADGAFKLLAQACDTLSDRVNANANAGAEAEKQDWWSEYWKRHPKFSEAASRRRGAAADEEPTPRREREPPMVIYCKRCDREFVRDVYEFGVTCRRCHRTVRPPWERSKPSSPTKKPSSPKPELFPCPGQCPRCGTQFASMVSAGRWQLKCKACSKFSLVNVQGPDMASCTR >LPERR03G34330.1 pep chromosome:Lperr_V1.4:3:28765120:28765913:-1 gene:LPERR03G34330 transcript:LPERR03G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLYEEAAEKAFMYAETRFLDNDIAGALRAAGDARRLFRDLPGIDNVITAYEVHAASHANNNNYAVLAVGDRNRSPATAVSHDSLKLQYRRLCLHVVAEVNYCRSASASAAAATSEGDAVADADAAAAAGVGEVPVPRSLHEVWCQVHGQGVNWHVSSAVPSLQHMRHGIR >LPERR03G34340.1 pep chromosome:Lperr_V1.4:3:28767596:28769702:-1 gene:LPERR03G34340 transcript:LPERR03G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKASGGGGKRGAAKDPADALRPDKRRRDMDDSDDAELDSDMKEIVSLLRQIKDKAHKDGQKKTEQTLSSVATEIQTIVQDTKGKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHIQTFKDLFSKFEDEKEKLLVRYELQRKKEKATLSELEKTFSEKIANAEDSLKKMKQDDKSISNLRKSLGSFLGPDDEFGADDD >LPERR03G34350.1 pep chromosome:Lperr_V1.4:3:28771044:28776854:1 gene:LPERR03G34350 transcript:LPERR03G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVSPATAAAFLPRTPNPSHSTHPRAAALSRYNSGRALTAAAAAAATFPGRIPCPHQGRIWLEQRGLQQALYWHIEARVVLDLHEVPDAVNGRELHFSMVDGDFKKSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAESIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAISPSKFKEAPPGFGGVLASPLSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIILRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREIGFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDFIEKAGAESGISTTTSCVPTNEDTIAIDYIEGRQSEQASLSCSSSPAKQRPKVPGLQKDIEVLKSELERFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIGRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRANSDDEKMAPEDEGSGCQLDRIKVMHGDKFLAYRTEEAIATDDT >LPERR03G34350.2 pep chromosome:Lperr_V1.4:3:28771044:28781381:1 gene:LPERR03G34350 transcript:LPERR03G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVSPATAAAFLPRTPNPSHSTHPRAAALSRYNSGRALTAAAAAAATFPGRIPCPHQGRIWLEQRGLQQALYWHIEARVVLDLHEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAESIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAISPSKFKEAPPGFGGVLASPLSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIILRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREIGFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDFIEKAGAESGISTTTSCVPTNEDTIAIDYIEGRQSEQASLSCSSSPAKQRPKVPGLQKDIEVLKSELERFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIGRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRANSDDESKAGSSYSITNKHASKPDKPSVSPDKQKWLLKMKDLDANWIEY >LPERR03G34350.3 pep chromosome:Lperr_V1.4:3:28771044:28776113:1 gene:LPERR03G34350 transcript:LPERR03G34350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVSPATAAAFLPRTPNPSHSTHPRAAALSRYNSGRALTAAAAAAATFPGRIPCPHQGRIWLEQRGLQQALYWHIEARVVLDLHEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAESIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAISPSKFKEAPPGFGGVLASPLSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIILRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREIGFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDFIEKAGAESGISTTTSCVPTNEDTIAIDYIEGRQSEQASLSCSSSPAKQRPKVPGLQKDIEVLKSELERFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIGRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRANSDDESKAGSSYSITNKHASKPDKPSVSPDKQKWLLKMKDLDANWIEY >LPERR03G34360.1 pep chromosome:Lperr_V1.4:3:28777553:28780132:-1 gene:LPERR03G34360 transcript:LPERR03G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRREAAGDVAAAALLLCLLLLPLLSHGADMPLGSSLSPTNSASWSSPNNTFSLAFTASPTSPSLFVASISYAGGVPVWSAGGGAAVDSAGSLRLSTTGDLQLVNGSGAVLWSSSTGGQGVTTAALQENGNLQLRNSSATMWQSFDHPTDTVVMAQNFTSGMNLTSASYQFSLDRVSGNLTLKWSGGSTVTYFNKGYNTTFTANKTLSSPTLAMQTNGIVSLTDGTLTSPVVVAYSSNYGESGDMLRFVRLDSDGNFRAYSAARGSNVATEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPSDPRGGCRRKIELQNCPGNSTMLELPNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLTGGGSSSGRNSGVRGWVVAVVVLGAVSGLVLCEWALWWIFCRNSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGTLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFADAPGGKMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHYNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETGRKKYSVWAYEEYDKGNIFAIVDKKLPGEDIDMVQVERALQVSFWCIQEQPSQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGGSGSASMVSTFTSSAAPVAPTPSPNVDEVQQEKAVGRSASARNRDRASNSLLGQEPYMTM >LPERR03G34370.1 pep chromosome:Lperr_V1.4:3:28784884:28788111:1 gene:LPERR03G34370 transcript:LPERR03G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVVPVAYQGNTSASVADWLNKGDNAWQLVAATVVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALNQGLLVGRAALPATAHYRADGSMETAMVEPFYPMATVVYFQCVFAAITLILIAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYCGGYVIHVSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASLAVLNTNICTAMSLIVWTCLDVVFFKKPSVVGAVQGMITGLVCITPGAGLVQGWAALVMGVLAGSIPWYTMMILHKRSKLLQRVDDTLGVIHTHAVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGDGAAQFGKQIAGGLFVVAWNVVVTSLICVAINLVVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDVDTAGGRTPGAVTPV >LPERR03G34380.1 pep chromosome:Lperr_V1.4:3:28788675:28789549:1 gene:LPERR03G34380 transcript:LPERR03G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHLTISLLFAVAVAMAAAEQGPAANYLVFVDPPPSGVLCTTYQLGILAAALGSEEKAKGAILYNYKNVVSGFSARLTPSELEAVKKQPQVNRVLPSATLSLMSSNFDGVS >LPERR03G34390.1 pep chromosome:Lperr_V1.4:3:28792294:28793358:1 gene:LPERR03G34390 transcript:LPERR03G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCDDPDGSNKTMQADSHGGSEAAAAAGGEEVDATAVLTYLTFLEQKIGHLRGIISSTPHPPPQIVSAELSCIVLQLLSISNNLAATRHGSDGDDTAVHAINKHDVISSDADDGEDAVSPPAARGSSYEVVQIEKEEILAPHTHVCAVCGKGFKRDANLRMHMRGHGDEYKSAAALATSPSPANATARRRFYSCPYIGCKRNREHKSFQPLKTAACVKNHYRRSHCDKSFTCRRCNVKKFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAVFDGHSPALPPDEYDDDDDDVTTAAGSGQPLHGADLRCSSDIKGSMDDGRGSLSPMGLDYCEFDGIDLFAAAAFDF >LPERR03G34400.1 pep chromosome:Lperr_V1.4:3:28794039:28795376:-1 gene:LPERR03G34400 transcript:LPERR03G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSPALPVLTSTKTAASSLSANHDLLTSPNAIFSNKRYEGRLIIQPNIEFGKTQNSRTQRKWRTFSADQAQAIVVDAGDSKTWEETKQILTSLDFSVEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVSGVLSYIRSLGFSDDDLRKLLKKFPEVLGCDLDSEVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >LPERR03G34410.1 pep chromosome:Lperr_V1.4:3:28796818:28802705:-1 gene:LPERR03G34410 transcript:LPERR03G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAQDFQPRAFSIKLWPPSDSTRLMLVERMNKNLSTESIFSRKYGLLGKEEAHDNARRIEEVCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLDVLKRGPTTTAEPEVPVVDTPLQPADTVFDISGGKRAFIEADEAKELLSALTKPGNAYTRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSELIPSTEKLKVLHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTHLKKLDLRDNLFGVEAGVALSKTLSKLPDLVELYLSDLNLENKGTVAIVNVLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHLDLKELDVSTNMLQRVGARCFAQAISNKPGFVQLNINGNYISDEGIDEMKDILKSGKNSVDVLGSLDENDPEGEAEDDNEEEEDDDGELDSKLQGLKITLQLAVLISKIAHLDYPKEWYAYNSCQELSTKRLAVDQRNCAEITNHLFEYTWNIWKSDVQTILQNLSMLSQRSDFQDMLVIQQPHRQVLLVNPGPIANGDDEGALITALAPAHTNKKHRFVSAQKMMCLSEIMLFLVLLWGGGVSNMSMSYAPEAELTLISKTNQYVASKLNFQFDIHLKNKSYVTDGENKDKQAFDLFDPVVVVIGWGNPRVIRDHFLAQNKVAML >LPERR03G34420.1 pep chromosome:Lperr_V1.4:3:28805312:28813166:-1 gene:LPERR03G34420 transcript:LPERR03G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARASALLSRKRCFTAANRIRPLVRAFCDAPPSLHDAAPGVAASSQDQSKKVDGVKARPHELDIAIVGGGMVGLAVACALCRYFLFEYFLNVPYGDSNMPLTKHLRVGIIDSNPALKSRNYLKKDGIPDSRVSTVTPATISFFKDIGAWEHVQQQRHAFFGKMQVIFLACPDKTIYSVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEESGDIEKMIYPTRLTSLAFPSKSRQAPTSETISNGHSSEELHRNNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDKFSNIVWTMSPEESLRHKSMSPEDFVKAVNNALDFGYGPHPQSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIEVVSERMAFPLSLKHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIADGVSVGADFGDISLLKRYENDRKAANVAMAAVLDGFQKMYSVDFGPLNVLRAAAFHGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSMDNDDDPQIQHVLGEIQHYNHIFGGTPRSLTQRLQDLPFFIRRLFRELMDPQRTLPLVFRARMIMMVALSAIYVLSPVDILPERVLGLFGYFDDFLVLLIVFMHLAAVYRSLLLYRHGGH >LPERR03G34430.1 pep chromosome:Lperr_V1.4:3:28814862:28817859:1 gene:LPERR03G34430 transcript:LPERR03G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQAKSPPQGDGGKPASCAAADDDDQPSASAELRELWSMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNYDLLTLSLQRAVLLLLLAAAPIAALWLNVGPILVSLGQDPTISATAAAYARYALPDLAAAAVLQPLRVYLRSQGITKPMAACSAAAVAIHVPLNLILVFGLGLGVRGVAAAQAMTNTNMVVFLLVYIRWSRACDATWKGWAARPSDVASGLGGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPDPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRPRRARMAAMVALGCALVIGVIHVAWTAALSRRWVELFTHEASVVRLASAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVSLAFGARVGFGGLWYGLLSAQAACVALVLLAVVCRTDWRLEALRAKKLTGIEIVNAGGGEECKRLVAAEGEVGDV >LPERR03G34440.1 pep chromosome:Lperr_V1.4:3:28829958:28830308:-1 gene:LPERR03G34440 transcript:LPERR03G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESFKRPGTIPFKWELQPGVPKQQPHHGGDTSSSPAPAPASLLLLPPRLLALPNTSGANILASTPSSSHRRSLSARFTASLVLPFTRQRRGVRPSKDEADDIAFTVLYGDKII >LPERR03G34450.1 pep chromosome:Lperr_V1.4:3:28832381:28836506:-1 gene:LPERR03G34450 transcript:LPERR03G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKKHQQGTEEIAMEDAGAGVVEICSGEEERKGAASPAAAEGGVVAGAGAGGEGDGRTLVVGVKADAASRTLLTWTFINVAAPGDRIVAVHVVLTSAPEAATAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSVHSVAKYCSKKLPVKCAVLAVDSGKIVFRRESNVHSGKVSAELPGCGDNEMYCEVPFVARQCKEEPLPLVEPKDDGGGGGGEEEEEEDHDVGTNGSQLVNVVSEEQQLSSTDPAELLRDQVQGEIDPSDVKGEESTMEQKDEISELPGEGASVLYCVLPERNGHSTASTSSRQSDDLTDPPTEGDGELYCILPPRNGNSGRSSGDSSRSTTSLKHNDSTTLSAQGDGELYCRLPRTGHSGRSSGGSKRSFGAKRLIRRSSSFSSDIQLNSETSPSKKDGSVCSIATEQTSSVVSTEAGDSPKDAARNVDTPSGSPLSLRRMIEGRPDRCRLRRRIFSHQRSSSFEWAKISMVQWAMRLPSRYASVSDNRSLKSDASPRLNCDSECESTSAVDTESIFSFSLYDISWPPSELESLQEKYSSMCRLFSYEELKLATSNFSPDMLIGKGGTSQVYKAQLSDGTLSAVKILKPSVDALQEFITEVEIATSLQHDNIVSLKGFSSDNYSLMLVYDYMLQGSLDKALHGKNDSKDSLSWEKRNTIATSIAKALEYLHHGSVTQSVIHGDIKSSNILLSEDFQAQLCDFGLAKQVSASTSHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCAKGQESLIGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQVLKLLEGDDETIHWARSQVTASFDGSDEEAVTPDSNMQSHLNLALLGVEDDTVSHCSTEQTIDTSADGYWSRSSSFD >LPERR03G34460.1 pep chromosome:Lperr_V1.4:3:28836510:28836836:-1 gene:LPERR03G34460 transcript:LPERR03G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAGRQAGRRIAQRNGEKVGKSGCQPQQRQEEGYNGGREKKLERETNKPPLQPREREREDATVAKRRKRSQRNGIIAAALEAGNDAHGGHGGGGLASGVVTPLLID >LPERR03G34470.1 pep chromosome:Lperr_V1.4:3:28845628:28847721:1 gene:LPERR03G34470 transcript:LPERR03G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAALVAASLLASPAPAESTTSGGGTIVFTTLGRSRYEFDIFSLPLTPSPAAAGELRLTDGVSVNYNGNFAAAASDSVLFVSERNGSLNLYLSPIPSSRREALESSSSSSPAAANLSPLLPWEPIALKDRPSLTPDGSHLVYVSTAVPAEEPRSSWAAVYSTELSTGLTRRLTPVGVADFSPAVSPSGDWTAVASPGEAGWNGEVEDLRTDIYVFLTGDGSRRSLAIHDGGWPSWADETTLFFHRRDSDGWYGVYRAEISITGDEADTVSVERITPPGFHAFTPAASPGAPGLVSVATRRPGSDYRHIEVIDVSGDGENAYFEVTKTVSPHAHHFNPFISPDGDRVGYHRCRGIRNGGGDAPLLLESIKIPGESRPPAEKLSLFRIDGSFPSFSHDGKKIAFVGLPGLYVVNSDGSGGRREIFSGNAFPTSWDWKRKNVIYTSIGPDFATERTQVDVVAITLATTNNNDDDDDVTISIKKLTTGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAVDGEEGGIRRLTDGPWSDTMCNWSPNGEWIAFASDRHNPGGGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFSPDSKSIVFTSDYAAVSAEPVSNPHHYQPYGEIYTINIDGNNIRRLTHNSFEDGTPSWTPNFLEPKDVGEKLQASGRCAFQDCHWLNIEDDAQPEELIYGKSC >LPERR03G34480.1 pep chromosome:Lperr_V1.4:3:28848129:28850936:-1 gene:LPERR03G34480 transcript:LPERR03G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHHHLRRGWCCSFAATPHSPDHRPLPAAAPARKLPPKSPSFQSSPSSRLAGLIDPRRILSPGRVSPINPDGSPAAAAVGANSDEVEATAREQQAPPPFVAVREEEEGTGLDLKLCLRGRDGRGVVMELDSAVLCESSAFFAAMAPPPEATVGGGGSKRIEVDGVENVDAFKEAVELMFEPDPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEVVPWNESEEERLKNLFARCTFDEAISKDVMARLKPQCQISSDDVTVHLIQSVTGSTNSGARKEMQSLVNGLLSKSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFKEDCHTDNTIRDSKPMIERVGKQTENLKWLFDILVNNDMAEEFVELWAKQEDLIRMHGQASAMFRYELSRISANVFVALGKGKVQCPSDLRSQLFHGWFRPMLLDFGWLQRCSKGLDVRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSIAFQVWWRRSFVRSSVESHR >LPERR03G34490.1 pep chromosome:Lperr_V1.4:3:28853442:28856298:-1 gene:LPERR03G34490 transcript:LPERR03G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHARHLFDGMPRRDTVSWNTLISGYSGLGSFRLAVDAFRDARDSGDGVDRFTYAAVLAACAGARDWRNGRVVHGLGVVSGLARTVVLTNSVIDMYAKCGMIDEVRLVFDRAEERDEASWNLLLSAYVRMGWPQVAVNVLVWMHRSGVKLDSFALSGILKACSELGSSEDVRRMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVKLFSDLLKKRIRPSKFTFKSVLEVCNLINAVRCGRQIHAHVIFNGFQDDEFIASVLINLYSKARSVNDCLRCFHMTPKEDVFLWTSMITAFVRNDHFEKALYLFRELLHTGKETDQFTISSVMSACAALNMPTSCKQVHCYAVKNGLDQFTVCGNSQIAMYRNVGDLKASKQTFQQISCLDTFSWSAMILSYAVHGYESKALELFETMKNHGFMMNEIAFLAVLIACGRQGLADEGFRYYENMVPDDGFSPDVKLKACMVDLLGHVGKIADAEDFVMSSGSENDPILWHALLRACRVHGDKERGITIGEKLMELEPFTASLYVMLYNLYMDSGKISLAMRTRGLMRERGITMEDGISWTEFGGSIHNFIDGDSTCSHNTIHKTLEELLVRVKEKSEHGGTKIWELGFQSRKFNESSISSHGELLAVAFGLSTWPSVVPVRVMKNQRISWESHETLKLLSESENREITVRDPTHFHHFTRGSCSCRDYW >LPERR03G34500.1 pep chromosome:Lperr_V1.4:3:28857686:28861833:-1 gene:LPERR03G34500 transcript:LPERR03G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSAGEYSGAAVLLHGDLDIWITEAKCLPNMDIMSERMRRCFTGYGACGPSSCGGGGGGGGEARRRGSNKKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVARLEFHVKDNDVFGAQLIGVASLPVDQIASGAQIEGWFPVDGNCSSGGNNPELRLSVRYKPIQENPLYKHGAGAVPNAYFPLRRGGSVNLYQDAHVAAGELPVIELAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPSTRPLPGGTPLTLGELLKGKAHEGVRVVILLWDDKTSHDKFLLKTDGVMHTHDEETKRFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFNKDFHNPTFPVNSYGPRQPWHDLHCKVEGPAAFDVLTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPSADEANAHVCDEKDLENWHVQVFRSIDSGSVKGFPKIVQDAESENLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPSTAPMQQILFWQGQTMSMMYKIIADALQMQGLLESHPQDYLNFYCLGKRELAASDTMSPTSLCNDNSVLRTAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWASDHGNPPRGQVYGYRMSLWAEHLGAVEESFRRPETGECVRRVNEMAEENWRAYVSPDMEETSGHLMRYPIKVDKDGRVRPRPGHECFPDVGGKVLGTQSSLPNALTT >LPERR03G34510.1 pep chromosome:Lperr_V1.4:3:28863872:28866332:-1 gene:LPERR03G34510 transcript:LPERR03G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSENAAPAPAPEPAPAPAPTPPPSSSPPVKSGIPPRYDLDAKWDACLDLSIRRVAYSTLAGTFGGLLLFRNPTTRWASVALGAGAGIGAAYTECSYIFYGAPPKWSPKVSTAPSGGKDK >LPERR03G34520.1 pep chromosome:Lperr_V1.4:3:28867696:28871528:1 gene:LPERR03G34520 transcript:LPERR03G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHANFLAKLKGATRCPELIPKFFFAELKMGPDGVDKMACVSCVKIDIGNPVETPIRGCDVCRNENIFHPAVGGHRGDKKSVC >LPERR03G34530.1 pep chromosome:Lperr_V1.4:3:28871038:28871952:-1 gene:LPERR03G34530 transcript:LPERR03G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGETAPDRPGLVFRPSDDKLISLYLRPKIAKTPFKDNLINNADVYSSDPANLVANHNPAPGTQDSSSVWYFFCSPRYTSKRVSSGRRQRAVGSGAESTWKSEGGKKAVNGADGRRVGYLQKFSYGVYDSSSSSKRAFTRLGWCMTEYSLDDGDVTTNGSPEKHVLCKVYRSPRAATTTKRKANQEALVEEAPPSARPRQETSSGSEQDKQSSCLLPPGFDLDAFLESFWMDHNNNVSSNATVEQLPCAPAMELVGGDGDFFQTTSGPCMDAEVMERLAAGETVDDILGMSPLVGQDLVQCF >LPERR03G34540.1 pep chromosome:Lperr_V1.4:3:28874656:28876615:-1 gene:LPERR03G34540 transcript:LPERR03G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGAKMPNPPPAAAGRLVKVTLLGGAAVYAAFNSLYNVEGGHRAIVFNRLEGIKEKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVFLDSKELLLGLQQLNLDSKNK >LPERR03G34550.1 pep chromosome:Lperr_V1.4:3:28877609:28881670:-1 gene:LPERR03G34550 transcript:LPERR03G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGSAGSSSRPGHGFKGPASSVEFLGREMQEMHLRDANADEKGIEQDITDGSNAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTDRDELYLNLVLEYVPETVNRIARQHNRMNQRMPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELNGIPPEDVERLVPEHARRQSLFMALRT >LPERR03G34560.1 pep chromosome:Lperr_V1.4:3:28883870:28888247:-1 gene:LPERR03G34560 transcript:LPERR03G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAALASLALQPPLRHTTRRRRALAPPPTTTTSWPRRRGAAPAAVAARPHARCRAVAADERPTDPSFPDDGAVSGVGERAEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDVKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLEAFVGVDAVQEWKKLLDAIIPISAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVENILIENGRAVGVKLQSGQIVRAKKAVVSNASMWDTLDLLPPDAVPRSYKDNVNATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDGDQNVVLISVPSVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSARYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >LPERR03G34570.1 pep chromosome:Lperr_V1.4:3:28889404:28890645:1 gene:LPERR03G34570 transcript:LPERR03G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRCSMETEEEKEEEVDQEEQEKREEERIYCLPSEEVRDGYFIHKAHAALHYYNINHPGAEYDLVKPLMAARVFCRADMWSHVSLSARRRGQVAPPVEYFFAEVRDGPFDSQPFIVESCTMIENPQSCSGNKCSMCPERYRIVHPSERELLCGKNLAPLPFTCPVAVPAI >LPERR03G34580.1 pep chromosome:Lperr_V1.4:3:28890757:28893340:-1 gene:LPERR03G34580 transcript:LPERR03G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCRDPDKWAQLAKDYASSNLFLLREAATIYSKQLPHRTRHLPLVIVLLKATASCADPILGRALHAEAVKSANGGDRLVATTLVSLYSKCGLLADARGVFDEMPDRNAVTCNAMLAGYAAACDMVNAVALFHGIGSRTPVTWATLIRGFAEKGDMAEARRWFEAAPPSMRTVVTWTVVVQGYVAAGDMETARELFDMMPARNVFVWSSMVTGYFKAGNANEAQEVFDRIPVPNLVNWNSLIAGYAKMGCCEKALEAFHSMLENRIKPDEFTMAGVLSACAQLGSLEQARKIHKLIIQHRIRKNQFVLNGLVDMFAKCGDLAFAQKIFDNMQWRSTECWNSMISALASHGRSDEAVQLFSKMECSAQKPNEITLVAVLGACTHGGFVDEGLGIFKKFDVYGVAAGVEHYGCLVDLLGRAGRLREAYEIVKNMLVEPNEVIWGSLVGACKVHGDAEMSEVVLSEISQLHSHRVSSNDAEYVLLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELDIPEHQVCAGSGQFVSRIGPTDFLKDLEAFVGADGLIRSRSGKL >LPERR03G34590.1 pep chromosome:Lperr_V1.4:3:28894746:28898591:1 gene:LPERR03G34590 transcript:LPERR03G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPAASRLDFYDFIGRMRRPAAADLFHSIRSFLTSFSHGDPNSAAAADEDGGKVQAFFAEMETAIREHPLWANATNQEIDHALEGLEKFVMTKLFDRTFGSSVEDVKADMEISEKIGLLQHFVRPHHLDIPTVLRNEGAWLLAVKELQKINSFRSPRDKLTCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETKLISEVEYYLTNLISAKMFIINVNGRSLSMEESVFQAHMESARLTDHISVASTSSSQGLGTSITGLSEESGDPEGLRFPFMDSETESLTPAEVKQMHELYRQVVTKYTMLSKALRKLSVDEDQPLNSVND >LPERR03G34590.2 pep chromosome:Lperr_V1.4:3:28894908:28898591:1 gene:LPERR03G34590 transcript:LPERR03G34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAIREHPLWANATNQEIDHALEGLEKFVMTKLFDRTFGSSVEDVKADMEISEKIGLLQHFVRPHHLDIPTVLRNEGAWLLAVKELQKINSFRSPRDKLTCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETKLISEVEYYLTNLISAKMFIINVNGRSLSMEESVFQAHMESARLTDHISVASTSSSQGLGTSITGLSEESGDPEGLRFPFMDSETESLTPAEVKQMHELYRQVVTKYTMLSKALRKLSVDEDQPLNSVND >LPERR03G34590.3 pep chromosome:Lperr_V1.4:3:28895940:28898591:1 gene:LPERR03G34590 transcript:LPERR03G34590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETKLISEVEYYLTNLISAKMFIINVNGRSLSMEESVFQAHMESARLTDHISVASTSSSQGLGTSITGLSEESGDPEGLRFPFMDSETESLTPAEVKQMHELYRQVVTKYTMLSKALRKLSVDEDQPLNSVND >LPERR03G34590.4 pep chromosome:Lperr_V1.4:3:28894746:28896176:1 gene:LPERR03G34590 transcript:LPERR03G34590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPAASRLDFYDFIGRMRRPAAADLFHSIRRTFGSSVEDVKADMEISEKIGLLQHFVRPHHLDIPTVLRNEGAWLLKSCKRSIPSDHHEISLRAL >LPERR03G34600.1 pep chromosome:Lperr_V1.4:3:28899042:28901585:-1 gene:LPERR03G34600 transcript:LPERR03G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTRPHLLSLSAHLPHQNEAKLITVVNAVLDLVVPPASMVMLAFAWPTLSFIRGVEWAIKSLTVESMEGKVVLITGAASAIGEQVAYEYARRNANLVLVARREHRLFGIRDNARAIGAGQVLVIAADVVREDDCRRLVADTISYFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSRGRVVVNAAVESWLPMPRMSLYSAAKAAVIDFYETLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARMVVAGACRGDAHVKHPNWYDVFLVFRAFAPDVLAWTFRLLLSTAAPS >LPERR03G34610.1 pep chromosome:Lperr_V1.4:3:28902623:28904412:-1 gene:LPERR03G34610 transcript:LPERR03G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDQAMKARFEGWIKTYKDEVEKARRFKLFKSVARFADANLKIDKGREAIMRRPTRYRVNTSQNECVSDVKCRNAMASLFRFCTL >LPERR03G34620.1 pep chromosome:Lperr_V1.4:3:28905743:28907277:-1 gene:LPERR03G34620 transcript:LPERR03G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPAPWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIEVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEDATSFAEREGLSFIETSALDATNVDKAFQTVLTEIYRIISKKALASDEAGAGANAVREGQSIQVSATDSSSFTSRCCSF >LPERR03G34630.1 pep chromosome:Lperr_V1.4:3:28910009:28916592:1 gene:LPERR03G34630 transcript:LPERR03G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASTVVVVMAKGKGKGGSSGGNKVDRRPPRITSNVKQSLRILKFWKPNCGQHKHPRLTFNPCYQDYERRQTSGPQPATRYRKKKAIKEVLPDDTDIYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREVKVVVVFDAAASGLSTHKETYKGVDVVYPGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKIFQHKLKPKVVHALKDLRNKLEEQERRKK >LPERR03G34640.1 pep chromosome:Lperr_V1.4:3:28915232:28916206:-1 gene:LPERR03G34640 transcript:LPERR03G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGSGKDRREDDSEKKESGGGGGGGFMEKVKDFIHDIGEKIEEAVGFGKPTADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVILLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVDKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSIASAELNEKATIEKQGITTMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRLKKDDDDDEVLTVS >LPERR03G34650.1 pep chromosome:Lperr_V1.4:3:28916826:28918781:1 gene:LPERR03G34650 transcript:LPERR03G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMALSISAAAATTLLPTLPPSSSSTRRIRFALPRRAAARAVSVGYAAGFYGGAAQAAAASGEDNEVGDEEGASSGFGAGLGIGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >LPERR03G34660.1 pep chromosome:Lperr_V1.4:3:28919238:28924228:-1 gene:LPERR03G34660 transcript:LPERR03G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELQEMIERLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYDYEGKTDDKIKTVEVVEELRSKRADMQAILLLDAFNKDRASLPQPTPAPQISVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIRDKLKELEEERSKRRMDRPDDNRRSREHSKDHNGQASRDRDAERRDRVEPRESRREHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERRRHDRY >LPERR03G34680.1 pep chromosome:Lperr_V1.4:3:28939911:28945443:-1 gene:LPERR03G34680 transcript:LPERR03G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPPAQEAIKALALGPDVSRVSSGDVLADAITDLRLALNLDPLPRRAAEGLAIFFDDLLSRAQARDWFDHILPSLARLLLRLPTLLEDHYRSGGYQEESRGLRILGSQDGGIVLVSQELAAALLACALFCLFPTADRAQARLPPINFDSLFAALCNNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLHRCPVSDSITYPDMDAWMKSGVPLCTFRVFSSGLIEDEEQETLEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLIREVNKAFCGFLDQSNHQLYVKLVQDLNTKDSCASIIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSYINYYTFESGSLKRLEEVTQWILLHRWTVGELWHMLVEYSSQKLGRETNEGFFTWLLPKDIPNDICLLSHIHG >LPERR03G34680.2 pep chromosome:Lperr_V1.4:3:28940413:28945443:-1 gene:LPERR03G34680 transcript:LPERR03G34680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPPAQEAIKALALGPDVSRVSSGDVLADAITDLRLALNLDPLPRRAAEGLAIFFDDLLSRAQARDWFDHILPSLARLLLRLPTLLEDHYRSGGYQEESRGLRILGSQDGGIVLVSQELAAALLACALFCLFPTADRAQARLPPINFDSLFAALCNNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLHRCPVSDSITYPDMDAWMKSGVPLCTFRVFSSGLIEDEEQETLEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLIREVNKAFCGFLDQSNHQLYVKLVQDLNTKDSCASIIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSYINYYTFESGSLKRLEEVTQWILLHRWTVGELWHMLVEYSSQKLGRETNEGFFTWLLPKDIPNGDMMTGLLT >LPERR03G34680.3 pep chromosome:Lperr_V1.4:3:28939911:28945443:-1 gene:LPERR03G34680 transcript:LPERR03G34680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPPAQEAIKALALGPDVSRVSSGDVLADAITDLRLALNLDPLPRRAAEGLAIFFDDLLSRAQARDWFDHILPSLARLLLRLPTLLEDHYRSGGYQEESRGLRILGSQDGGIVLVSQELAAALLACALFCLFPTADRAQARLPPINFDSLFAALCNNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLHRCPVSDSITYPDMDAWMKSGVPLCTFRKMRNKKPLKLTLQIDIWEEEHFPEAVCRYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLIREVNKAFCGFLDQSNHQLYVKLVQDLNTKDSCASIIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSYINYYTFESGSLKRLEEVTQWILLHRWTVGELWHMLVEYSSQKLGRETNEGFFTWLLPKDIPNDICLLSHIHG >LPERR03G34680.4 pep chromosome:Lperr_V1.4:3:28939911:28945443:-1 gene:LPERR03G34680 transcript:LPERR03G34680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPPAQEAIKALALGPDVSRVSSGDVLADAITDLRLALNLDPLPRRAAEGLAIFFDDLLSRAQARDWFDHILPSLARLLLRLPTLLEDHYRSGGYQEESRGLRILGSQDGGIVLVSQELAAALLACALFCLFPTADRAQARLPPINFDSLFAALCNNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLHRCPVSDSITYPDMDAWMKSGVPLCTFRVFSSGLIEDEEQETLEVDFANRYLGGGALSRGYSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLIREVNKAFCGFLDQSNHQLYVKLVQDLNTKDSCASIIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSYINYYTFESGSLKRLEEVTQWILLHRWTVGELWHMLVEYSSQKLGRETNEGFFTWLLPKDIPNDICLLSHIHG >LPERR03G34690.1 pep chromosome:Lperr_V1.4:3:28947871:28950077:1 gene:LPERR03G34690 transcript:LPERR03G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCCVCSRGIFGACLNSMGYPKPPLNVLDDGMILAYSFEKNFAFDSEDISKSFPCDIHQSVLALASLNASLVRESGDGNKIDENLRIEVLLPNGQCREGTLHHYNLHYNVALVSINDKDFHALQQILNLAAGIGGPLIGLEGQVICMNFYDTKIGIPFLPGNLVLAYFERESNVAEVGNGSDPSDAIDWKIGGDDSVKLNMFSSDTSQQFSQKSKGLSEGSNLSKWDVIICCRGDASGQPLSNSNKNLSWLNFELEIYFCLHSFV >LPERR03G34690.2 pep chromosome:Lperr_V1.4:3:28946086:28949958:1 gene:LPERR03G34690 transcript:LPERR03G34690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGFGEQVHSLAVRAGFAGDAWIGSCLIGMYSRCGSLPAAKDVFDRMESPDVVGYTSLISAFCRNGEFELAAEALIQMMNQGLNPNEHTLTAILTACPRVLGEQIHGYLIKTMGSQSQSVYSLTALVDFYSRNGESDLAKSVFENLQCRNVVSWCSMMQLYIRDGRLEDALQVFGDMISEGVDPNEFALSVALGACGSIGLGRQLHCSAIKHNLTTDIRVSNALLSMYGRTGLVEELEAVLNKIENPDLVSWTTAISANSQNGFGERAIALLCQMRSEGFTPNDYAFSSVLTSCADVASLDQGMQFHCLALKLGCDSKICTGNALINMYSKCGQIGSAKLAFDVMQIHDVTSWNSLIHGYAQHGDAYMALEAFSNMCSNGVKPDESTFLGVLLSCNHSGMVKEGEMFFRLMIEQHNFSPKPSHYACMIDMLGRNGRFDEVLCMINDMPFKPDALIWKTLLASCKLHRNLDIGKLAADRLMEISDRDSASYVLMSSIYAMHGEWEDAGKVRRKMDETGIKKEAGCSWIEIKNEVHAFAARDMSHPDSASIYRMLGELVAVMQHSDELEDIHMHTI >LPERR03G34690.3 pep chromosome:Lperr_V1.4:3:28947937:28950077:1 gene:LPERR03G34690 transcript:LPERR03G34690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPKPPLNVLDDGMILAYSFEKNFAFDSEDISKSFPCDIHQSVLALASLNASLVRESGDGNKIDENLRIEVLLPNGQCREGTLHHYNLHYNVALVSINDKDFHALQQILNLAAGIGGPLIGLEGQVICMNFYDTKIGIPFLPGNLVLAYFERESNVAEVGNGSDPSDAIDWKIGGDDSVKLNMFSSDTSQQFSQKSKGLSEGSNLSKWDVIICCRGDASGQPLSNSNKNLSWLNFELEIYFCLHSFV >LPERR03G34700.1 pep chromosome:Lperr_V1.4:3:28951322:28955742:-1 gene:LPERR03G34700 transcript:LPERR03G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDDEEDHGKEHSNAQSNKTDVPDIDVDVEPLLIILLLFHKSAKHKSSKPPASQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQVSRLKHENLVEMLGYCVDGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVSAAPEPAPATEN >LPERR03G34710.1 pep chromosome:Lperr_V1.4:3:28958273:28968572:1 gene:LPERR03G34710 transcript:LPERR03G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEATSRCWSWVEFVAVVISDVDGNPNPPPTTRQILRHRLRRRRGAFQNLNHLPHARPAARIRMRAEKPELEDNLEIILVDAIAADQFGIPRRRDLAGFPVFEDELDEPRRLLVAAAGAADEQECAEGVHVGGRGRLAGLAQLRRQARPCPWASGYLGTMANTNVVENDTALPLEAFRRRTGDQWNPCPNTSFVSFVSCIRPEDVTIAAPLVATPPPPENPVNTNSSAPPPRPRATMTRRSRSVIGEAAAMASQETRAELRRD >LPERR03G34710.2 pep chromosome:Lperr_V1.4:3:28958859:28968572:1 gene:LPERR03G34710 transcript:LPERR03G34710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPSSAQFPTLNCRSCVEFNSIVEQLVFVIPKKTGCSRLTKKPRAEATSRCWSWVEFVAVVISDVDGNPNPPPTTRQILRHRLRRRRGAFQNLNHLPHARPAARIRMRAEKPELEDNLEIILVDAIAADQFGIPRRRDLAGFPVFEDELDEPRRLLVAAAGAADEQECAEGVHVGGRGRLAGLAQLRRQARPCPWASGYLGTMANTNVVENDTALPLEAFRRRTGDQWNPCPNTSFVSFVSCIRPEDVTIAAPLVATPPPPENPVNTNSSAPPPRPRATMTRRSRSVIGEAAAMASQETRAELRRD >LPERR03G34720.1 pep chromosome:Lperr_V1.4:3:28963116:28966072:-1 gene:LPERR03G34720 transcript:LPERR03G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRGRWHGDPITLLLLLVIVARGRGGGADEFVFTGFSGGGGVATSGAAMVTSSGLIQLTNETKEVLGHGFHWSPVRLRNASSGKAVSFSTTFVFAIVPKYPDAHGHGLAFALAPSMSVAGAVAGKYLGLFSNVEGRPRREGEGEVVAVEFDTAMDEELGDIDDNHVGVDVGSVRGVTRRRHVTLSPAGAPRPATPLVTRVVNLTSAVAGNDTTYVGFSAANGAAASSHYVLGWSFRASAAGVAPDIDLAKLPHLPSPIKSKSKNTPPAKLLLLLLLLASAAAIMARRRRRRFAEEKEDWEMEYGPHRISYKDLHGATRGFRDVIGAGGFGRVYHGMLAGGVEVAVKKVSHGSRQGTREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYEYMSNGSLDSHLFGSSATTPLTWQRRAKILRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGTNPQTTRVVGTIGYLAPELSKTGKATTATDVYAFGAFLFVGGAGGGDEESPGLVELVLEHWKSGEITAARDPKLISGDCVDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETVPEDLACSGRLFYSESFDEFVTGFGLPSTSEITTATNSTHDQQRLVASVQMTSDDSLKPT >LPERR03G34730.1 pep chromosome:Lperr_V1.4:3:28968744:28970958:-1 gene:LPERR03G34730 transcript:LPERR03G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRIPLLLAVIVVAAFPAAKSAPTSSSSPAFKTVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLADRLSLPSFLPPYLSLAAAGAPPAAEDGRHAAAAAHGVNFAVAGATAIEHEFFERNNLTVDITPQSIMTELGWFEAYLRRSPPETRAAVGDALFWVGEIGANDYAYSFMAADTIRQEQIRTMAVDRVTTFVEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCVASVNKQSRAHNRHLLAGLRRLRQKYPAAVIAYADYAAAHLAVMRSPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACSSPAKYVNWDGVHMTEAMYKAVAGMFFQDGSGRYCSPPFSAVLDRKTKGH >LPERR03G34740.1 pep chromosome:Lperr_V1.4:3:28974198:28980308:1 gene:LPERR03G34740 transcript:LPERR03G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSQLLARPRALALHYHPPSRPPTTIPRFRGRASPPRRLLVLRPVAALGGGGGGGFAEVGELFGRVEAFLYTVADAAVSSEAVVQGGGGAKETAAAAGDWLSGITNSMETVLKVLKDGLSAIHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPQQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESANVGKFEPAGKSDALPKVSKPQASQEPKPSGPQRGERFRKLKEEESRRKIISEKEEISEKAEQTEQAGTQSGIIDGKQNSDVSAGDNVDKQESHENEPIIANGNGGLSHSANERIPNGNTKEDIIQESTDSPSSVMNPTSNDNHKSRDEENEQDAVSEDVEDRSL >LPERR03G34740.2 pep chromosome:Lperr_V1.4:3:28974198:28981180:1 gene:LPERR03G34740 transcript:LPERR03G34740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSQLLARPRALALHYHPPSRPPTTIPRFRGRASPPRRLLVLRPVAALGGGGGGGFAEVGELFGRVEAFLYTVADAAVSSEAVVQGGGGAKETAAAAGDWLSGITNSMETVLKVLKDGLSAIHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPQQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESANVGKFEPAGKSDALPKVSKPQASQEPKPSGPQRGERFRKLKEEESRRKIISEKEEISEKAEQTEQAGTQSGIIDGKQNSDVSAGDNVDKQESHENEPIIANGNGGLSHSANERIPNGNTKEDIIQESTDSPSSVMNPTSNDNHKSRDEENEQDAV >LPERR03G34740.3 pep chromosome:Lperr_V1.4:3:28974198:28981200:1 gene:LPERR03G34740 transcript:LPERR03G34740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSQLLARPRALALHYHPPSRPPTTIPRFRGRASPPRRLLVLRPVAALGGGGGGGFAEVGELFGRVEAFLYTVADAAVSSEAVVQGGGGAKETAAAAGDWLSGITNSMETVLKVLKDGLSAIHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPQQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESANVGKFEPAGKSDALPKVSKPQASQEPKPSGPQRGERFRKLKEEESRRKIISEKEEISEKAEQTEQAGTQSGIIDGKQNSDVSAGDNVDKQESHENEPIIANGNGGLSHSANERIPNGNTKEDIIQESTDSPSSVMNPTSNDNHKSRDEENEQDAV >LPERR03G34740.4 pep chromosome:Lperr_V1.4:3:28974198:28981200:1 gene:LPERR03G34740 transcript:LPERR03G34740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSQLLARPRALALHYHPPSRPPTTIPRFRGRASPPRRLLVLRPVAALGGGGGGGFAEVGELFGRVEAFLYTVADAAVSSEAVVQGGGGAKETAAAAGDWLSGITNSMETVLKVLKDGLSAIHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPQQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESANVGKFEPAGKSDALPKVSKPQASQEPKPSGPQRGERFRKLKEEESRRKIISEKEEISEKAEQTEQAGTQSGIIDGKQNSDVSAGDNVDKQDIIQESTDSPSSVMNPTSNDNHKSRDEENEQDAV >LPERR03G34750.1 pep chromosome:Lperr_V1.4:3:28980723:28981061:-1 gene:LPERR03G34750 transcript:LPERR03G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHRTLLLLVAVLFAAITVALADEAKPTILTPVADTPLGSFDGETPDADDAMDDDDAAPVGAPIGTTMTEPQPELTTTPGGAGASAGSSLGVAAHIGAVVAAAVTGVYAF >LPERR03G34760.1 pep chromosome:Lperr_V1.4:3:28981810:28982712:-1 gene:LPERR03G34760 transcript:LPERR03G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGQPSCSSVSIGKAIRRAIRKRINGKPPARRKRPAEAAAAVSDGGGGEVRVSKELARSSSSLRSPEPAVRVVLPSGVVEAYSGVVLACTVIRNHPPGLCLAYPDVFRNPHAARVRPLEPLFPGQKFYLIPDHTMIRLQREIPESSVGAVDDGDTEKEEEEEEDDVTSSTEMTTTTEEEDEEDCSGGDDGECGEGRSWCCARKYFEEKERWEECQFKQMVARGLAVENAAAATKKKKKGKGRRKKRSTGGEGVAATGCRTVRFAPAAAAVATARRMWEPSLPSVEEESSETLPCSE >LPERR03G34770.1 pep chromosome:Lperr_V1.4:3:28983640:28988934:-1 gene:LPERR03G34770 transcript:LPERR03G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSNPSSLDAAAPFEKPRMVVKKILAESQPEGDGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELQSKDISCAEKDGVEAKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIQEGWNAFVYIIDGEGVFGRENSQPVSAHHCVVLGPGDGMSVWNKSGKPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYEFRLVDKKFLFPLKSSPEKKKDQWLTAVVYHWMLQEKWTAKTQSPTQTKSNPSIHSPLTIIIPSCRLFHGHSFLPPFTPPPAAAAADAMLTAATAAAALRPAPFSPSTARPLPPVALLSFHPRTHHRLHLSATAEGAGTTAQEGAASPSSPAPPLDEARLSQFAADWQAARAEKESGKILKLQVLRANSGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKELVGSSISVKVVEVNEEERKLVLSEKDASWSIHSSQVKNGEIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNDGDTVKVIVVNVDLEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIIASPSETDILPGLDGICNELLQEDGITDVKLGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQEVYLTTSLDQEDIKKAVQRVLGRVP >LPERR03G34780.1 pep chromosome:Lperr_V1.4:3:28990207:28992851:-1 gene:LPERR03G34780 transcript:LPERR03G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFDLLCEADGESGEAAVAILVGKKKAEAEALAAANPPQPTKSKDVKGNNNSQGNGSGRQVYNYDYYNNGGYGYQNQHYNGNGHGYHYGYRQGGYQGNNQYQHNENYSDYGYGEDYNNGYNNGGQYKKNLQYRPKEKQVSETASACSAENKSEEKLDTASETGKESVAGDAVAKPVSGDVAKDDSKKEGVDPEKRVPVRNTLSGSAKRKLKKQHPKADEVSGKTDKGPERKEFVKEQEKKNMTLKEYEKVCEEKRKALEDMKSEGRKVTAEVFEVMKLLEKKKLDDENASKKAENVRHKEVAVKQVKAPKAININDFMKPADGRAYYPRPPRRPQDDGFSQGPYNGGHRGGYNGGFRQNSRDNSAVPQRDNADRGGNGRGNGGYQERRDVPYNGRGNGGYNSRGNGGFQGGYNNDNNNGEFQQQISGNGGYRQGGYRGDGYQQQGRGYSGNGGSYQQQGRGYSGNGGGYQQQQGRGNGGYQQQQQQGRGNGGYQQQGQGNGGYRRGGDGQQQGNGGNQSNGSMFSPAHFPALTGSSPAPAPAAAAPAQVQAQAAQASAPAPAQAKSQAPSPAAAAPAAQTKKAPAPVPAKKA >LPERR03G34790.1 pep chromosome:Lperr_V1.4:3:28994017:28995349:1 gene:LPERR03G34790 transcript:LPERR03G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEVKGAANMWDLLSDNDPGHVIGAPPETKAKKRCRSKSKKNKKAEEATTAAAEEEEEADVDGEVVVTAGGGEEKKEEAAPAPAPAPKAMDAREAQLWDDELHEQLRLMKLKCGIPEPDPCPCLPSLGEVLRLIVAAGLGAFFYSVLTTASPAAHLNL >LPERR03G34800.1 pep chromosome:Lperr_V1.4:3:28995290:29003765:-1 gene:LPERR03G34800 transcript:LPERR03G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSGSGQMAALCSPAQPLLPSTLLPLRRRSRRRLPPLSSSAALLLSPARRRGRCGVPRCDAASASPSAGSTLEHPVRRKCSPLLESALLPGGNGLSTHDWMAVPDIWRTAAEKYADRVALIDPYHEPPSEFTYKQLEQEILDFSQGLRVIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSKSVSGIPLYDFKDITQLGQESRVTLRHSREQDQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFVSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPAKPSFITYMMNWLSARIVAAILWPLHNLAKKLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDIETDEVLPDGSKGVVKIRGPQVMKGYYKNPSATNKVLDQEGWFNTGDIGWIIPHSPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSNLINQIVVVGQDKRRLGAIIVPNYDEVLVTAKRKSILDGNDELGKDNVLNLLYDELRTWTADCSFQIGPILIVDEPFTVDNGLLTPTLKIRRDKVTAKYQIEIDALYKVD >LPERR03G34800.2 pep chromosome:Lperr_V1.4:3:28995290:29003765:-1 gene:LPERR03G34800 transcript:LPERR03G34800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSGSGQMAALCSPAQPLLPSTLLPLRRRSRRRLPPLSSSAALLLSPARRRGRCGVPRCDAASASPSAGSTLEHPVRRKCSPLLESALLPGGNGLSTHDWMAVPDIWRTAAEKYADRVALIDPYHEPPSEFTYKQLEQEILDFSQGLRVIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSKSVSGIPLYDFKDITQLGQESRVTLRHSREQDQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFVSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPAKPSFITYMMNWLSARIVAAILWPLHNLAKKLVYKKIHSAIGISKVLLLIPVCLFLFYLDNPCSSLECEMSSLKNNVDYNMLYGFCGNLQAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDIETDEVLPDGSKGVVKIRGPQVMKGYYKNPSATNKVLDQEGWFNTGDIGWIIPHSPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSNLINQIVVVGQDKRRLGAIIVPNYDEVLVTAKRKSILDGNDELGKDNVLNLLYDELRTWTADCSFQIGPILIVDEPFTVDNGLLTPTLKIRRDKVTAKYQIEIDALYKVD >LPERR03G34810.1 pep chromosome:Lperr_V1.4:3:29005077:29013459:-1 gene:LPERR03G34810 transcript:LPERR03G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLCSYSPTTRLFPSSPFLLRRRRCRRGGFPRWRCDAAASPFADSKLQYPVRRKCSPLLERDFLPGGDGLDTHESDDWMAVPDIWRTAAEKYADRVALIDPYHEPPSEFTYRQLEQEILDFSQGLRVIGVAPDEKIALFAENSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFVVLLWGEKSCLNNKAVNGLPLYDFKDITQLGRESRNTLCHSREQGQQVVFETISPDDVATFIYTSGTSGTPKGVMLTHRNLLHQIKNLWEFVPVVPGDRFLSMLPPWHAYERACEYITFTYGIQQIYTTVKYLKEDLQRYQPQYLVSVPLIYETLYSSIQRQISSSSTARKCVALALIKISLFYMEAKRIYENSSYVFSSSIGKGTVLSNNPIKPSVIVYIVNWLSARIVAVLLWPLHSLAKKLVYKKFYSAIGISKAGISAGGTLPMHVDKFFEAIGVKLQNAYGLTETSPAVVARRPFCNNPLATNKVLDQEGWFNTGDIGWIAPHCPIGPSRKCGGMLVLQGRAKDTIVLTTGENVEPAEIEEAANKSELINQIIVIGQDKRRLGAIIAPNYDEVLATAKRKSILDGNNELAKDKVLNLLSDELRTRMADCSFQIGPILLVDEPFTVDNGLLTPTFKIRRDKVTAKYHKEIDAMYKKTFMMIAGSFGRK >LPERR03G34810.2 pep chromosome:Lperr_V1.4:3:29005077:29013459:-1 gene:LPERR03G34810 transcript:LPERR03G34810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLCSYSPTTRLFPSSPFLLRRRRCRRGGFPRWRCDAAASPFADSKLQYPVRRKCSPLLERDFLPGGDGLDTHESDDWMAVPDIWRTAAEKYADRVALIDPYHEPPSEFTYRQLEQEILDFSQGLRVIGVAPDEKIALFAENSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFVVLLWGEKSCLNNKAVNGLPLYDFKDITQLGRESRNTLCHSREQGQQVVFETISPDDVATFIYTSGTSGTPKGVMLTHRNLLHQIKNLWEFVPVVPGDRFLSMLPPWHAYERACEYITFTYGIQQIYTTVKYLKEDLQRYQPQYLVSVPLIYETLYSSIQRQISSSSTARKCVALALIKISLFYMEAKRIYEAGISAGGTLPMHVDKFFEAIGVKLQNAYGLTETSPAVVARRPFCNNPLATNKVLDQEGWFNTGDIGWIAPHCPIGPSRKCGGMLVLQGRAKDTIVLTTGENVEPAEIEEAANKSELINQIIVIGQDKRRLGAIIAPNYDEVLATAKRKSILDGNNELAKDKVLNLLSDELRTRMADCSFQIGPILLVDEPFTVDNGLLTPTFKIRRDKVTAKYHKEIDAMYKKTFMMIAGSFGRK >LPERR03G34820.1 pep chromosome:Lperr_V1.4:3:29017057:29017839:-1 gene:LPERR03G34820 transcript:LPERR03G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLFEDIFTVTRLDPDGRKFDRVSHIEARSDQLDMYMQLDVATDVYPMHPGDKFTMVLVSTLNLDGTPDTGLFTQVVPLASYFVYTRVDLVILSNIECCKL >LPERR03G34830.1 pep chromosome:Lperr_V1.4:3:29020269:29026749:1 gene:LPERR03G34830 transcript:LPERR03G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQGYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKALQKLNHPNIVKLKEVTMENHELFFIFENMECNLYDVIRERPAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFSFFQIPPRNLWELIPNASLEAINLIQQLCSWDPQRRPTAEQSLHHPFFNVCNWIPRTLHDTSHTKTTESRSNPRLELNLWGFGTEPEDSCLDLTLSLKPSFPGTGFWPLVASDRPMGDVPAMSSWSQAYVADSQATLPAVGFSGSPFGLSPVQPNLFENRSFATPIRQVNFF >LPERR03G34830.2 pep chromosome:Lperr_V1.4:3:29020757:29026749:1 gene:LPERR03G34830 transcript:LPERR03G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKALQKLNHPNIVKLKEVTMENHELFFIFENMECNLYDVIRERPAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFSFFQIPPRNLWELIPNASLEAINLIQQLCSWDPQRRPTAEQSLHHPFFNVCNWIPRTLHDTSHTKTTESRSNPRLELNLWGFGTEPEDSCLDLTLSLKPSFPGTGFWPLVASDRPMGDVPAMSSWSQAYVADSQATLPAVGFSGSPFGLSPVQPNLFENRSFATPIRQVNFF >LPERR03G34840.1 pep chromosome:Lperr_V1.4:3:29028220:29034393:1 gene:LPERR03G34840 transcript:LPERR03G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPRYEKTARPDGQLASAHLHVDKTQQSVDLCWEGGLASPRLLGLMGEEFSAAVLLGLDHSYLFYAQPELTLEKHKEKKHKKDKKDKERKEGKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEENKDPRSREDLVRKIQNDKGATTQSVQNVSVSNERGRQGFSAAPALENERTAANKMHIHSINASRKTEGSGEKIISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKEGMGQRISNISILVQKRTESPNKETARKETSTSSPLLPSPAIHKGNGKVGRPMEIAPASTQRFDSPSTSSTGAGTDRGMPRSTIPSPSITIRRPNGLVRPPENISISSKKPDAGGASPAMGKEKELGARIQQNNVIDQKLVNSKPPIVEKIADGRAERMEKVRDVALDNAKKEDKKRDRHEKKKRKEKDKHKEKKKEKEAKKEKEEQNNNKEHDKLGGNNINYQVDNSLHTKSSAPPLAPPADDAKTTPADENLKKRKNHEMNGYLQNHHDMRPTKLPRPALSNTHVENGSASHVAAPLSSVKPEAINIEKAERLHKKEEKINGNQEGQRSSIEPWPQDPLAASENTAPSKKLPHPDSKYLSQIYIIPEALQMMEWHGHDDQDWLFDHDSTRPKNSNSETEADGAPQVWAQPLKIDQADVIALPYVIPF >LPERR03G34840.2 pep chromosome:Lperr_V1.4:3:29028220:29034393:1 gene:LPERR03G34840 transcript:LPERR03G34840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPRYEKTARPDGQLASAHLHVDKEKHKEKKHKKDKKDKERKEGKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEENKDPRSREDLVRKIQNDKGATTQSVQNVSVSNERGRQGFSAAPALENERTAANKMHIHSINASRKTEGSGEKIISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKEGMGQRISNISILVQKRTESPNKETARKETSTSSPLLPSPAIHKGNGKVGRPMEIAPASTQRFDSPSTSSTGAGTDRGMPRSTIPSPSITIRRPNGLVRPPENISISSKKPDAGGASPAMGKEKELGARIQQNNVIDQKLVNSKPPIVEKIADGRAERMEKVRDVALDNAKKEDKKRDRHEKKKRKEKDKHKEKKKEKEAKKEKEEQNNNKEHDKLGGNNINYQVDNSLHTKSSAPPLAPPADDAKTTPADENLKKRKNHEMNGYLQNHHDMRPTKLPRPALSNTHVENGSASHVAAPLSSVKPEAINIEKAERLHKKEEKINGNQEGQRSSIEPWPQDPLAASENTAPSKKLPHPDSKYLSQIYIIPEALQMMEWHGHDDQDWLFDHDSTRPKNSNSETEADGAPQVWAQPLKIDQADVIALPYVIPF >LPERR03G34850.1 pep chromosome:Lperr_V1.4:3:29037557:29039412:1 gene:LPERR03G34850 transcript:LPERR03G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSAGVFPFSIGCMSQSAVDVADPHDKKTATTHNDPSSSASVAAMAAASQSTEEEGGGEKAKVGAAAASAATSGIVATGVQRLIKGIKSLSQIFAVYDEEDEDEEEEREMVIGLPTDVQHVGHIGWDGMSKVGDMVNAFSIPSSLSLRQLEMAMEAAHA >LPERR03G34860.1 pep chromosome:Lperr_V1.4:3:29043726:29047600:-1 gene:LPERR03G34860 transcript:LPERR03G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAGGDGDVKPLDPTAVASVVFYGLAADSLLHRAAGEAVVYSQLYPFAGLRNYTSGIIHHVRLQGLEPGTEYFYQCGDPGIPAAMSEVYAFRTMPAVGPGSYPARIAVVGDLGLTYNTTSTVEHMVSNQPDLVLLVGDVSYANLYLTNGTGTDCYSCSFAKSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFAFPSTESGSFSPFYYSFDAGGIHFIMLAAYTDYSKSGEQYKWLEKDLAKVNRSVTPWLIAGWHAPWYSTFKAHYREVECMRVAMEELLYSYAVDIVFTGHVHAYERSNRVFNYTLDQCGPVHISIGDGGNREKMATSYADEPGHCPDPMSTPDPFMGGEFCGFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDMYGSVGDEIYILYSRRLLCWLPSVSFSRRRRRRPERRRWC >LPERR03G34860.2 pep chromosome:Lperr_V1.4:3:29039371:29043726:-1 gene:LPERR03G34860 transcript:LPERR03G34860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAYSACSAMAGMSRNKGIGAASNTDKESIMRHVVVQCGTSWDTPRTSNTGGSHAESSAAAKAGTAPLVEALKSTANQDVSCFHFPGHNRGKASPPSLSELIDSKTFLHDLPELPELDDLFSPKGVILDAQKQAADLFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKAVKELEEDGKKVGAALVTSPTYHGICSNIQGIVDICHLKGIPVIVDEAHGAHFRFHGEFPCTAIEQGADLAVQSTHKVLCSLTQSSMLHMVGHLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLSTIPGISVLDLSNFLSDFPAIDPLRITLSASDLQLSGYEADDILAEKHQIVSELVGTQAVTFAVNLGTRWHDVQRLVHSVNHLSEKYFSQNGSSSRKDNHVCSPLDKFSIKLTPREAFFSKKRRVCIEDSLGEICGELICPYPPGIPVLIPGEVITQDSLSYLINVRDNGMVISGAADGELKSIMNGSLSLNIDSGSQASDTSLHTSMVFQKHVEKVAELRTHLLLCVYSWSHTVVAEPPKET >LPERR03G34860.3 pep chromosome:Lperr_V1.4:3:29040782:29043726:-1 gene:LPERR03G34860 transcript:LPERR03G34860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAYSACSAMAGMSRNKGIGAASNTDKESIMRHVVVQCGTSWDTPRTSNTGGSHAESSAAAKAGTAPLVEALKSTANQDVSCFHFPGHNRGKASPPSLSELIDSKTFLHDLPELPELDDLFSPKGVILDAQKQAADLFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKAVKELEEDGKKVGAALVTSPTYHGICSNIQGIVDICHLKGIPVIVDEAHGAHFRFHGEFPCTAIEQGADLAVQSTHKVLCSLTQSSMLHMVGHLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLSTIPGISVLDLSNFLSDFPAIDPLRITLSASDLQLSGYEADDILAEKHQIVSELVGTQAVTFAVNLGTRWHDVQRLVHSVNHLSEKYFSQNGSSSRKDNHVCSPLDKFSIKLTPREAFFSKKRRVCIEDSLGEICGELICPYPPGIPVLIPGEVITQDSLSYLINVRDNGMVISGAADGELKSIMNGSLSLNIDSGSQASDTSLHTSMVFQKHVEKVAELRTHLLL >LPERR03G34870.1 pep chromosome:Lperr_V1.4:3:29051938:29052952:1 gene:LPERR03G34870 transcript:LPERR03G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIRLPRPIFGLYSDPTNPRRIDCFPFGDNKSITLFIMERFPKLGMNCNSQFEALTYYNPPGVTPSVKFWEWRLVPEIPLESKQSEMISEISSFASLLDVSGICISIKSVGTYCLDAVTEDASWRKIGNWTLPFHGKVEYVPELKLWFGFTDNAQQHLAAADLSSGLDMDSQPQLVLTAPWKETVNLPEEWKECKESQLVNLGSGRFCIVSFFQNLNGGRRQSHKNNFAVFTGVEVVPCNTVHDANGGSGKVQQLQMIVHKSLCHNSNRTTIDVVL >LPERR03G34880.1 pep chromosome:Lperr_V1.4:3:29054184:29058856:1 gene:LPERR03G34880 transcript:LPERR03G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >LPERR03G34890.1 pep chromosome:Lperr_V1.4:3:29057969:29060111:-1 gene:LPERR03G34890 transcript:LPERR03G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAVALLLMVKVGPLREAAMRGVEQAKTGKGPATVKTIACTLSVIFMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFALFLAFVIDRLHHYNRKLITLRKAANTSREEVEKLQMENRSFREKEEKSSSEIKKLQQEIAKLNESMKKLKSESEDNEKKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >LPERR03G34900.1 pep chromosome:Lperr_V1.4:3:29062627:29080254:1 gene:LPERR03G34900 transcript:LPERR03G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGRGLEGGEHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTDAPSKASPLRAGSDPAVRKLKDERRSNQEDDLRQHETTVCKPYAESPNHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGPERGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAHVTTSEADYIKRYQQRKYESTGCSCFGWFKN >LPERR03G34900.2 pep chromosome:Lperr_V1.4:3:29062542:29073903:1 gene:LPERR03G34900 transcript:LPERR03G34900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTDAPSKASPLRAGSDPAVRKLKDERRSNQEDDLRQHETTVCKPYAESPNHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGPERGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAHVTTSEADYIKRYQQRKYESTHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRPVNPNNHHGDTDALSKASPLRAGSDPAVRKPKDERHSKSQTKKMIFVSTKPLFANHTHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGVSSPSRDRRGSSEGNRGSAPTTPGRSKFRPSGRGDETVKPKLMMHAINY >LPERR03G34900.3 pep chromosome:Lperr_V1.4:3:29062627:29073903:1 gene:LPERR03G34900 transcript:LPERR03G34900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGRGLEGGEHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTDAPSKASPLRAGSDPAVRKLKDERRSNQEDDLRQHETTVCKPYAESPNHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGPERGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAHVTTSEADYIKRYQQRKYESTHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRPVNPNNHHGDTDALSKASPLRAGSDPAVRKPKDERHSKSQTKKMIFVSTKPLFANHTHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGVSSPSRDRRGSSEGNRGSAPTTPGRSKFRPSGRGDETVKPKLMMHAINY >LPERR03G34900.4 pep chromosome:Lperr_V1.4:3:29062542:29073903:1 gene:LPERR03G34900 transcript:LPERR03G34900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTDAPSKASPLRAGSDPAVRKLKDERRSNQEDDLRQHETTVCKPYAESPNHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGPERGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAHVTTSEADYIKRYQQRKYESTHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRPVNPNNHHGDTDALSKASPLRAGSDPAVRKPKDERHSKSQTKKMIFVSTKPLFANHTHRYGAHTNDDNAARKTGIEKSPIHPRHQARVANKGGVSSPSRDRRGSSEGNRGSAPTTPGRSKFRPSGRGDETVKPKLMMHAINY >LPERR03G34910.1 pep chromosome:Lperr_V1.4:3:29067494:29071486:-1 gene:LPERR03G34910 transcript:LPERR03G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPLVSMVKEKASSYLLEQYKVMEGMEEQHEILKRKLPAILDVIADAEEQAAKHRQGVKAWLEALRKVAYEANDFFDEFKYEALHRKAKGHYKKLGIGVIKLIPTHNRVMFHYKMGNKLSKIVNAIEVLIAEMNAFRFEFRPEPPMSLKWRKTDSRISGHSMDIANRSRAEDKQKIVNKLLAQASNVDLTVIPIVGMGGMGKTTLAQLIYNDPEIQKHFQLLLWLCVSDNFDVDFLVKNIVEEVRKAKNDDKRTKNSLPKSKVEATPKEKNDGDNKILPQDELKEVVGGQRYLLVLDDVWNREAIKWEGLKSYLQHGGSGSSVLTTTRDQSIAQLMAPTQEAYNLKSLNESFIKEIIERRAFSPQQERPPELLEMDYEIDVEMLIQLWMANGFIPEQQGECPEIIGKRIFSELVSRSFFEDVKGIPFEFHHIKYRKVTCKIHDLMHDVAQSSMGKESAAISRKLSKTEDFPYSARHLYLSGYRPEAVLNASLEKEYTGIQTLICTPQDEKGLVRDRSINKDLQNLSKCRSVRALKIQGGSFLKPKYLHHLRYLDLSASKIKALPEDISILYHLQTLNLSQCNYLCRLPKGTKYMTALHHLYTHGCSRLESMPPDLGHLICLPMLTNFVAGTCSGCSDLGELRQLDLGGRLELRQLGNVTKADAKAANLGKKEKLTELTLGWTDHYDETENNHKEVLEGLRLHERLKVLRIYHCGSSTCPTWMNKLQYMVKLQLYGCKNLEKLPPLWQLPALEVLRLYKLDGLNCLFDSGTHTPFTFCRLKELALSSMKNFETWWDINKVQGEEPIFPWVEKLLIKSCHKLTTLPKASNTILESPGRIITVCRSAFPALKEMKLDGLRIFQRWEAIDKTPREEVIFPQLDELAISRCPKLTTLPEAPKMTDLSIDKGSEQISLQAASRYITSLLSLCLDFSTDDDTETTDDDTENLSELLHENEKWNHKSPLELMNLDGCNLLFTHPSTPVLWTCFSQLLDLKIYRIDVLYSWPEKVFQGLVSLRRLYIDECRNLSGHTEACEQSTPARSELLPRLESLEIIYCEYFIEVPNLPASLKLLKISYCHGLKSIFSQPHDTRLVSAESVTQPDRSSLTSGSSYDANDCVLPHIESLTIEWCGGLEVLHLPPSIKRLGIYDCEKLHSISGKLDEVQALTISSCLSLKSLESCFGEVPSLQHLALFRCFSLESLPKEPQAYSSLRFLKIRYCNGIKLLPLSLQQRLDYLEEKELDACYEGNITCSFFVLL >LPERR03G34920.1 pep chromosome:Lperr_V1.4:3:29083728:29087755:-1 gene:LPERR03G34920 transcript:LPERR03G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLLSMVKEKASSYILEQYKVMEGMEEQHEILKRKLPAILDVIADAEEQAAKHREGVKAWLEALRKVAYQANDVFDEFKYEALRRKAKAKGHYKKLATMKWRKTDSKISDLSLDIAKRSRVEDKQKIVNTLLAQASNGDLTVLPIVGMGGMGKTTLAQLVYNDPEIQKHFQVLLWVCVSDNFDVNLLAKSIVQAAPEEKNDNISTKKLPQDELKEVVSGKRYLLVLDDVWNREASKWEALKSYLQHGGIGSSVLTTTRDKAVAQLMATTKENCDLKYLDKKFIVEIIEKSAFSSQEERPPELLKMVGDVAKRCSGSPLAATALGSTMRTKTTKKEWKAVLSRSTICDEENGILPILKLSYNCLPSYMRQCFAFCAIFPKDHEIDVEMLIQLWMANGFIPEQEGECPEIIGKNFFSELVSRSFFEDAKGIPFEFHDIKGSKVTSKIHDLMHDVAQSSMGKECAAVATKLSNSEDFPYSTRHLFFSGDTPEAILNASLEKGYPGIQTLIVCDRSVKEDLQNLSKYRSVRALKFNRCSLPAKYLHHVRYLDLSKSKIEALPDDISILYHLQTLNLSYCDNLRQLPKGMKYMTALRHLYTHGCLKLKSMPPDLGHLIYLQSLTYFVAGTCSSCSNLRELRELGLGGQLELHQLENVTKADAKAASLEKKEKLTELNLIWTDHSNEAHNNHNEVLESLMPHEGLKVLGIYSCGSSTCPSWMNKLRDMVELRLDGCRNLEKLPPLWHLPALQVLRLKGLDGLNCLFNSDTPKPFTFRQLKKLIIYDMEIFDTWWDINEVQGEEPIFPLVEMLTLDGCARLTALPKASNAFSESCGRVGTVCRSAFPALKTMALYNLDIFQRWEEVDGTPREEVIFPQPDSLAIHSCPELTTLPEAPKLNYLDIYKGGEQIELQAASRYITSLSTLRLCSRSNDTETTLVVKQNSSELAHEKEKWNHKSPLELMHLRGCNLYFSHPSALAMWTCFEQLLDLYIWEVDVLYYWPKRVFQCLVSLRRLDIYECKNLTGRTHACEKFTPAQSELLPRLESLQIRCCGSFVEVPNLPVSLKLLEIKGCNELKSIIFRQQQDTRLVSAERFAQQDRPSLISGSSSKVNNHVLPRLESLTIMSCNRLKALHLPLAIKKLELMLCQNLQSLSLSGEFPSLQHLSLCDCGSLVSLPERPQAYSSLTFLKIHSCSGIKLLPLSLQQRLDYLEEKELDACYEVVDHVNALDV >LPERR03G34930.1 pep chromosome:Lperr_V1.4:3:29090400:29095560:-1 gene:LPERR03G34930 transcript:LPERR03G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVASPAPPPHQATDLFGEPIEAHPPWFKPDSFLRADFDPDAYVSDLRSYVPLETLAAELRSHLASLRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLAELRDKVASFRAAAAAALAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSGDKGYLGNTTAPPNVEARTDVMETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLIQKIVPQNYAKVVAGVSSDDLEDDYEQIMQCVEKDCKFILEISSSENSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKTDVTKFRSEPAYADFMRQWHVAVYFTLKFQDIAGGLDSALTAAITPVGMHENQAKPMTLLLKQSIKLLESLQACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLAARKASDGGSSTPADAEWSLSVPVEDFIYVMHDVNAVIAELSESGQFVEHVNQLLASCQPEVLAVVKQSILQAVEPLKELLPAIMNVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKAKLCRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDASDNAISDTDKICMQLFLDIQEYARNLRAIGIDATEIESFRALWQCVAPRDKQDNIQF >LPERR03G34940.1 pep chromosome:Lperr_V1.4:3:29105347:29116476:1 gene:LPERR03G34940 transcript:LPERR03G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRQAGPLFSSSSSMAPASKAAAVVGEGTARQAASFVVGAVATLTVVLLFQYSTPDYGRAARAPVQFSTSSRDHCAGAGNNGTAKAAPAIAGAGSGEEANVTGKTPTIAPVAKKSKPASSPPTRQSDHHVPSSTHLEEEEEGGEFQGLATAVKRVAMDDKTVIITCVNHAFAAPNSLLDLFLESFRIGDGTPELLRHVLIIAMDPTAFSRCQAVHPHCYLYTMPGIDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVTVYADMAISSDVFFGDPDKIDNFPNTGFFYVKPNARTIEMTKSWHDARSNHPGLNEQPVFNIIKKDLMKKLKIKLQYLDTAYIGGFCNHGKDLSKICTMHANCCIGLASKISDLRGVLDDWKNYTRMPPWAKQNARWTVPEAQDGTLLLVTT >LPERR03G34940.2 pep chromosome:Lperr_V1.4:3:29105659:29116476:1 gene:LPERR03G34940 transcript:LPERR03G34940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSQYSHTSTSDSSVTWFSSSSSMAPASKAAAVVGEGTARQAASFVVGAVATLTVVLLFQYSTPDYGRAARAPVQFSTSSRDHCAGAGNNGTAKAAPAIAGAGSGEEANVTGKTPTIAPVAKKSKPASSPPTRQSDHHVPSSTHLEEEEEGGEFQGLATAVKRVAMDDKTVIITCVNHAFAAPNSLLDLFLESFRIGDGTPELLRHVLIIAMDPTAFSRCQAVHPHCYLYTMPGIDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVTVYADMAISSDVFFGDPDKIDNFPNTGFFYVKPNARTIEMTKSWHDARSNHPGLNEQPVFNIIKKDLMKKLKIKLQYLDTAYIGGFCNHGKDLSKICTMHANCCIGLASKISDLRGVLDDWKNYTRMPPWAKQNARWTVPEAQDGTLLLVTT >LPERR03G34940.3 pep chromosome:Lperr_V1.4:3:29100853:29105718:1 gene:LPERR03G34940 transcript:LPERR03G34940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPASPYGDLEEVLQRAATADKTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEQCQVVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTIVELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNNKTIEFYKSWQQGRWRFFGKHEQDVFNLIKHEQQAKLDIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >LPERR03G34940.4 pep chromosome:Lperr_V1.4:3:29100853:29105718:1 gene:LPERR03G34940 transcript:LPERR03G34940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKMKGGETVGSSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWRSSAEDTTAPLHPQEVTADADAGDEQLVAAAAGNFTVVAAPAPAPVQAPASPYGDLEEVLQRAATADKTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEQCQVVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTIVELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNNKTIEFYKSWQQGRWRFFGKHEQDVFNLIKHEQQAKLDIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >LPERR03G34940.5 pep chromosome:Lperr_V1.4:3:29102937:29105718:1 gene:LPERR03G34940 transcript:LPERR03G34940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRPMTPLSGDFRARQSAPASPYGDLEEVLQRAATADKTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEQCQVVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTIVELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNNKTIEFYKSWQQGRWRFFGKHEQDVFNLIKHEQQAKLDIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >LPERR03G34940.6 pep chromosome:Lperr_V1.4:3:29100853:29102625:1 gene:LPERR03G34940 transcript:LPERR03G34940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKMKGGETVGSSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWRSSAEDTTAPLHPQEVTADADAGDEQLVAAAAGNFTVVAAPAPAPVQVTLY >LPERR03G34950.1 pep chromosome:Lperr_V1.4:3:29113904:29118875:-1 gene:LPERR03G34950 transcript:LPERR03G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIIIVWYKIELNFLTTTSSACVARARLSKGTRGQGDMGDGNKRVLLTAAGDEVSRGIASALATHGCRVVLVGDEGALAGTAEEARRRCGAGGGVAVVGLDLLACDEAAVDAAVGSAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKTNVVTPWFLVKAIAKRLRDSQSSSGGSIVFLTQFIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDKFPVSVGKEKAEKATREVMPLGRWLEPDKDLASTVLYLVGDESRFMTGNTIYVDGAQSIRNKYTYGEEEIIAELEDATLELELAPDELEVVLGGKELLGGEVNTGHGVEIAVWVNGLTTGESSRVHGDYEDMAEEFWCAVADAKTLQKEVQQRVGSREGMVHTRLLFLATGAIVGVLPVTLASSPLPAPAIAGAAFAVPLLPAPAQWSLELVENCTGALAARP >LPERR03G34950.2 pep chromosome:Lperr_V1.4:3:29115273:29118875:-1 gene:LPERR03G34950 transcript:LPERR03G34950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIIIVWYKIELNFLTTTSSACVARARLSKGTRGQGDMGDGNKRVLLTAAGDEVSRGIASALATHGCRVVLVGDEGALAGTAEEARRRCGAGGGVAVVGLDLLACDEAAVDAAVGSAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKTNVVTPWFLVKAIAKRLRDSQSSSGGSIVFLTQFIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDKFPVSVGKEKAEKATREVMPLGRWLEPDKDLASTVLYLVGDESRFMTGNTIYVDGAQSIVRPRMRSFIRNTI >LPERR03G34950.3 pep chromosome:Lperr_V1.4:3:29113904:29115049:-1 gene:LPERR03G34950 transcript:LPERR03G34950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFWCAVADAKTLQKEVQQRVGSREGMVHTRAIVGVLPVTLASSPLPAPAIAGAAFAVPLLPAPAQWSLELVENCTGALAARP >LPERR03G34960.1 pep chromosome:Lperr_V1.4:3:29119983:29123271:-1 gene:LPERR03G34960 transcript:LPERR03G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLGRNVTAEHINPQNCRKSSRLTQTAVAENKVNDLITSSSKKQTGRGLQKKNRVLRSEKKLNSVCDSTATGNKVADVPSSSFLNHKQSHENDEDRSCDTIFSPNFHNQKEGVTDCLNKGLEHEEVTNSMPCNNFDGLNNRSDDIHMQSAFGSTMLEDDEFSELDSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVEFDDFDPYVFIKDLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYVKEFLDTVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRIYRESCVYVEGNYLKDLSVLGRDLARVVIVDNSPQAFGFQLDNGVPIESWFDDPNDRELLTLLPFLESLVGVEDVRPYIARKFNLREKVATAPSISMHF >LPERR03G34970.1 pep chromosome:Lperr_V1.4:3:29123293:29124184:-1 gene:LPERR03G34970 transcript:LPERR03G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFASRGPPSAHDIDVGPPDALTGRISRPKPITYFVLIITLSFPIPISLPSLPVQKYPSLSGRPPPLGFRFRRLLRPIYPPPSSRILPPVITLKVAPPPSVCSCSSTYTGC >LPERR03G34980.1 pep chromosome:Lperr_V1.4:3:29132660:29132866:-1 gene:LPERR03G34980 transcript:LPERR03G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSVS >LPERR03G34990.1 pep chromosome:Lperr_V1.4:3:29137597:29144354:1 gene:LPERR03G34990 transcript:LPERR03G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLRFAGVARDSAPSISAAASRIGCAKAAASARARRCVTGRGLVVRCQSGAAAVVVRKDGAATAAAEKATGFTVVMKFGGSSVASAERMREVADLILSFPEENPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHIRTIDELGLDRSIVSGLLDELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNGHGTKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIEDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGRALGLREVQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPARESGIPVRVKNSYNRRAPGTVITKSRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKECIEALHSAFFENGFMSEVEGEDLQQNGSPLNSNGVIYGN >LPERR03G34990.2 pep chromosome:Lperr_V1.4:3:29137597:29145316:1 gene:LPERR03G34990 transcript:LPERR03G34990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLRFAGVARDSAPSISAAASRIGCAKAAASARARRCVTGRGLVVRCQSGAAAVVVRKDGAATAAAEKATGFTVVMKFGGSSVASAERMREVADLILSFPEENPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHIRTIDELGLDRSIVSGLLDELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNGHGTKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIEDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGRALGLREVQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPARESGIPVRVKNSYNRRAPGTVITKSRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKECIEALHSAFFENGFMSEVEGEDLQQNGSPLNSNGVIYGN >LPERR03G35000.1 pep chromosome:Lperr_V1.4:3:29143832:29144334:-1 gene:LPERR03G35000 transcript:LPERR03G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWKLSGLMDDGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLEMQNETDS >LPERR03G35010.1 pep chromosome:Lperr_V1.4:3:29146212:29148765:-1 gene:LPERR03G35010 transcript:LPERR03G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKEEHLAGDDGDVTEWKKVAELRRVVEAQDPACKYPLGNRRSYYQRPTCRSGQEEDDYSLRRFLRARDHNISKSSSMLLKYLQWKREFKPGNRAIAADEVRNELAQEKLYLQGHDRHGRPLIYLFGARHFPSRRDLDEFKRFVVFILDQTCARLSNSNSNSGGIRQEKFAAVADLAGWGYYANCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLEATLREAIDESNLAEEYGGKLKLVSLATMVS >LPERR03G35010.2 pep chromosome:Lperr_V1.4:3:29146212:29149003:-1 gene:LPERR03G35010 transcript:LPERR03G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIRVKDDGEELVAMDYCKEEHLAGDDGDVTEWKKVAELRRVVEAQDPACKEEDDYSLRRFLRARDHNISKSSSMLLKYLQWKREFKPGNRAIAADEVRNELAQEKLYLQGHDRHGRPLIYLFGARHFPSRRDLDEFKRFVVFILDQTCARLSNSNSNSGGIRQEKFAAVADLAGWGYYANCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLEATLREAIDESNLAEEYGGKLKLVSLATMVS >LPERR03G35010.3 pep chromosome:Lperr_V1.4:3:29146212:29148765:-1 gene:LPERR03G35010 transcript:LPERR03G35010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKEEHLAGDDGDVTEWKKVAELRRVVEAQDPACKEEDDYSLRRFLRARDHNISKSSSMLLKYLQWKREFKPGNRAIAADEVRNELAQEKLYLQGHDRHGRPLIYLFGARHFPSRRDLDEFKRFVVFILDQTCARLSNSNSNSGGIRQEKFAAVADLAGWGYYANCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLEATLREAIDESNLAEEYGGKLKLVSLATMVS >LPERR03G35020.1 pep chromosome:Lperr_V1.4:3:29147099:29150276:1 gene:LPERR03G35020 transcript:LPERR03G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPILTLYWTSVLGFDHPPQLGHLLPLRHVAIVAGENRGEEGNRERKLQDKQTYSPAGYLCFSWVGGTEAELIDGGSLGAIQQQQQLVVEICSAFTIPINHKPED >LPERR03G35020.2 pep chromosome:Lperr_V1.4:3:29146619:29150276:1 gene:LPERR03G35020 transcript:LPERR03G35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNDRQTPYPIIRGFHSSHHINQAKKISLLVTLGIIVKCQQLTRSATTTK >LPERR03G35030.1 pep chromosome:Lperr_V1.4:3:29151847:29154274:-1 gene:LPERR03G35030 transcript:LPERR03G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAFLLLLFLVAGGGLPPQFVAGEDPTPTPWPPQFHAKLIMDFHGNLSLADLWYDWPGGRNLHVIRYQLAAGEPFYDNEWNNGTSFFYTPARRSCRSAAVGVGILPPNWLPPGSVYLGRRPAGGFDCNVWGKADFITYYEDVVTKRPVKWVFYTGRTSHVMSFEEGAVLEDAGWQAPEYCFGKDDETSNEALISEPVVGHDLGFIPKVFLREVFIYENIGVTVRVAACMNMSK >LPERR03G35040.1 pep chromosome:Lperr_V1.4:3:29156375:29156740:1 gene:LPERR03G35040 transcript:LPERR03G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGSAAVAVVACVLLAHQAAEAAVFTVGDRGGWGMGAGSWANGKKFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTTPGGARVYKSGSDRVTLARGANYFICNFPGHCQAGMKIAVNAA >LPERR03G35050.1 pep chromosome:Lperr_V1.4:3:29157461:29159833:1 gene:LPERR03G35050 transcript:LPERR03G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTMRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVSPKELQDVLPSIINQLGPDNMEHLKRIAEEMQKQVAAAGATAQVKEENDDDDVPELVPGENFEEVAQETKA >LPERR03G35050.2 pep chromosome:Lperr_V1.4:3:29157749:29159833:1 gene:LPERR03G35050 transcript:LPERR03G35050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTMRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVSPKELQDVLPSIINQLGPDNMEHLKRIAEEMQKQVAAAGATAQVKEENDDDDVPELVPGENFEEVAQETKA >LPERR03G35060.1 pep chromosome:Lperr_V1.4:3:29160993:29161427:-1 gene:LPERR03G35060 transcript:LPERR03G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSAPHLPALAAAKKISRPTSSSNPTPSAAAAKKPARAGWSEAAVAAVSVSVHPARRLKCGTAVYVRTRYVKITARCWLVIWLPARVVSSSDAYHYTVKYAADLHAMFAGRMVRVPVAHVRPPPNRPAAAGGGVAGERSIW >LPERR03G35070.1 pep chromosome:Lperr_V1.4:3:29162898:29166480:-1 gene:LPERR03G35070 transcript:LPERR03G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRGHGSRRILSYPTLRAAVISSPAAIPDAQSAAAGQQPPPMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQGGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQHFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPEGVAMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >LPERR03G35080.1 pep chromosome:Lperr_V1.4:3:29166706:29171470:1 gene:LPERR03G35080 transcript:LPERR03G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAGSSLPLPVSERPRTARVSAFSRARAASPMTWRVVSIGSPRARSGRCVAAAAGGGGGLAPAMRETLDKVVTSNKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAMCS >LPERR03G35090.1 pep chromosome:Lperr_V1.4:3:29168853:29173317:-1 gene:LPERR03G35090 transcript:LPERR03G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQRDVLHVCAGAAAVVQSPNTVRDGDVRVGDGLESRHERGGVRVAKNWSTMSPRNSTLARANPGVEVGGREHISSTAPPPPPPGRRRRLPAIRPTMEGNSNNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVLISKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISARVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRSYKSIYEIPSIKEDPVKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFNDEFENEKNFLGGALGAKAKEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWASNLEKLLDLVEKSCHQIHKETMIHKAVLCLACYGQILQSQDVKLFSAQATPVCFGNSCAGCLVLTTV >LPERR03G35100.1 pep chromosome:Lperr_V1.4:3:29175911:29181185:1 gene:LPERR03G35100 transcript:LPERR03G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAASAPMLLTLMGLSAFSDNLVEFLNQWNSFIRHAAAISDDLQPLIAAAAEPAVPNSAPFVDPEPTPPSPVPNLVAEPAPAPVPNREPGGGGGDPSAEQLGRICERMASGALLRFVTTRIGDLSWLLHAVPTALRRAPNPAELVLRAIGRYYIRCGSRDTEAACELLLLSYVRAGCPLRPGQEVGDDELRAEAREAALSWRSRLVRSRGRVANAAANDARGLILFMAAFGVPVEFPPQEIHELLHVAGGLACADVLKCSKHFVDKLRDVVAHMLNKGIYHETVATIVAFELQDVFPLSAIATCVIERVGRTKDLEPGLKENDEEKLALLKSLSKYVKDDKLCSSESFTIADRIAVMEQRLAKPQQPFTGTKRKRTEREGSVQYTRGPKCQYTPAASSASANLEGLKQHVWSNN >LPERR03G35100.2 pep chromosome:Lperr_V1.4:3:29175911:29178914:1 gene:LPERR03G35100 transcript:LPERR03G35100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAASAPMLLTLMGLSAFSDNLVEFLNQWNSFIRHAAAISDDLQPLIAAAAEPAVPNSAPFVDPEPTPPSPVPNLVAEPAPAPVPNREPGGGGGDPSAEQLGRICERMASGALLRFVTTRIGDLSWLLHAVPTALRRAPNPAELVLRAIGRYYIRCGSRDTEAACELLLLSYVRAGCPLRPGQEVGDDELRAEAREAALSWRSRLVRSRGRVANAAANDARGLILFMAAFGVPVEFPPQEIHELLHVAGGLACADVLKCSKHFVDKLRDVVAHMLNKGIYHETVATIVAFELQDVFPLSAIATCVIERVGRTKDLEPGLKENDEEKLALLKSLSKYVKDDKLCSSESFTIADRIAVMEQRLAKPQQPFTGTKRKRTEREGSVQYTRGPKCQYTPAASSASANLEGLKQHVWSNN >LPERR03G35110.1 pep chromosome:Lperr_V1.4:3:29182125:29184372:1 gene:LPERR03G35110 transcript:LPERR03G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNSSLLVRPIGLRLPAASLPVVGRRTSRRVAVVTAAAPERRPPAASSSSYVVMPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRTSPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVVYRVTVRGTDGEAHREAAGTTSLNDSRFDDPVAAAEEAAFCKACARFGFGLYLYHEDDTP >LPERR03G35120.1 pep chromosome:Lperr_V1.4:3:29185921:29191121:1 gene:LPERR03G35120 transcript:LPERR03G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPPPVLKRPKLENDDAPESKPQFQPSSAAHSTKEEDDIAEEAVVALIAHRELDVERCKLKLAHYQSLLDTAEKKLSDAQERLARYRDRRAPPTNPKPSPPPPARPQLVIPGPNTRPHPRPEPMPGLKKTAAPSSSSAAPPQERLRAVEKMPKRKIEQKEHQNLIQSVKKSSATVLRFYGGTVVPSQHKRKLRCLELCPTNDQLVVTSALDGVIALWQVQPKGPSISLLSTTDCFSPNHRWPEDVAWHPDGETIFAVYSADNGDYQVSVMNRSMPKQKTFLPVKPHTKGIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWTHKKLHKDSHSSAVMGVAGLQQKSTILSVGADKRIISFDLTAGRTESKNLIDCKCMSVLPNPCDFNLYMVQTAAPGKQLRLFDIRLRQIEVHTLGWKQESSESQSALINQSWSSDGWYLSSGSADPLIHIFDIRHNGQNPCQSVQAHQKRVFKALWHKTAPVLTSISSDLNIGIHKYS >LPERR03G35130.1 pep chromosome:Lperr_V1.4:3:29191798:29195031:1 gene:LPERR03G35130 transcript:LPERR03G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSIKTEETSSSSPAAVAAEEKPPSQAAAPPPPRRAAAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQPPAALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMYWNDPEALQKFGRAMGVGPSGEAAAAAAGEEAEEDGGEEGEYEDESIIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEDVLKLLEKHAFV >LPERR03G35140.1 pep chromosome:Lperr_V1.4:3:29195985:29198681:1 gene:LPERR03G35140 transcript:LPERR03G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHDGHLSLISTAAAADNPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPVDLYHRGTRRLAVASGGGAVSCLEEDGDGHETWVRVERLEKGLCGDSRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRLICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTAALKGNTDCTQIKNKIVQTVTETGGEVDYVEIVEQESLAPVEKIDVPVVICVAAWFGKVRLIDNIEIDARS >LPERR03G35150.1 pep chromosome:Lperr_V1.4:3:29200797:29202095:-1 gene:LPERR03G35150 transcript:LPERR03G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNKDAAAASASDPAAEEDTSIEALARRVQEHMTLSSNPSARRHKFWETQPVGQFRDAGDASLSDGAIEPPTPLSEVRADPYPLPSAFEWFTCDLDDDSLLSDLYSLLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRSWHIGVRAKESKKLVAFISGVPARIRARDAVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPQEDVVESYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESLLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >LPERR03G35160.1 pep chromosome:Lperr_V1.4:3:29203037:29209401:-1 gene:LPERR03G35160 transcript:LPERR03G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAIARRSLASPAALLAGVFARDATPHHALPIACGFPRRLLHDGAGTGAGASDHGRKPGPLTLYRDLVSQGKLQHDIYQENVATQLDNLLTRLERYEREMEDYHARLSMWETTREKQRRRLLVEEAEDKQRDGVWIDERRGFLDKLVSRKRRGNIEPGVGKWVSFLNREKKLDTLVGQKPVAPIAPKGLYIYGNVGSGKTMLMDIFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWRRRDDDKSIESSAFSWISSLPFDGKIKEWLIGEEKYKQDTQHKHILLAVADKFLVDRQANKSGASILCFDEIQTIDVFAVVALSGILSRLLSTGTDGMQREIFLDFLSKLDESCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDSRSMYEAMWHDITRQTGGNIISVTIPVMFGRCLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTVFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFEGEAEGTKLRRDVLAEGNVGVSPSPTGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVERVHSSLQNQSSVLAKISTVLQSSPSV >LPERR03G35170.1 pep chromosome:Lperr_V1.4:3:29211992:29212542:-1 gene:LPERR03G35170 transcript:LPERR03G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSQLMHMNRLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKDEKAGKECGLPVIMPGDNIPKFFARPCPHEMCLPAAEESEAEVQVKCSVSV >LPERR03G35180.1 pep chromosome:Lperr_V1.4:3:29212585:29217365:-1 gene:LPERR03G35180 transcript:LPERR03G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQRLASLMQELRSHVNAGRHRDALAFFSRMMASDPDLPPLSHPSFAFAFPLLLKSSSALRLPSSSSTIHAFAVKCGLLSSPFLASALVSSYGACASPALARHLFDELPHSNAVVSSAMISVHIRSGDLAAALRELDRMDVVPTASCFNSVIAAVAESGDHPARARAIELYRRMRGMGVRPSLVTLLALVPSCTALGALSSIKEVHGFAVRHGMFVDCHLVSSLIEAYGRCGSLMGARRVFDQVQERDVVVWSSMASAYAFHGHANVAMSLFSQMEMGNVRSDGIMFLGVLKACSHAGRADDALKYFDVLTKRFGVEACGEHYSCLVDVLGRAGRLHQAYHVIQTMPFKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPDNAGNFVSLASIYSGLGMHDKAAQVRMEMEQRGVQILPGSSWMIHRKSRQAVRSASSTTSRHQQMHVGHSTSQYHGWFRLPGRARQSTSHHPLSVQGALQV >LPERR03G35190.1 pep chromosome:Lperr_V1.4:3:29218406:29221677:-1 gene:LPERR03G35190 transcript:LPERR03G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARAPNAGLGAGARFGAVVPDPQDQ >LPERR03G35200.1 pep chromosome:Lperr_V1.4:3:29223925:29227827:1 gene:LPERR03G35200 transcript:LPERR03G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKQTALPSPSPNRPPAAKDRSTPKPRKSPNPAAATTKKQKPAAQSGSKRKVKDDASAAKSGTPAKKANRQQKNQPEVPKPRKHKGANSTPTPTKKMKMKDKEPTPSKKQPTPTPTKRKLGDVDPQQERSQTPTPTKKRKDKAAAAADADHGACSFPMARVRQIMRAEDATIRPSNEAVFLVNKATEIFLRRFADDAYQNALKDRKKSIVYDNLSTAVCNQKRYKFLSDFVPQKVAAEDALKAPVISQVNQP >LPERR03G35210.1 pep chromosome:Lperr_V1.4:3:29229736:29230374:-1 gene:LPERR03G35210 transcript:LPERR03G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPCAAAAFFFLLLAPPLATAKSPISLPPASAPTADKHLHPNSTTTAPADAAFAPSKPPSAAAAAHSPPAPPGTSPLPAPSSHSPIPHSSAAPAPSAAETHSSASAPASKDFDEDEGKENDNDDDDDKEKTPAPAPSAEEIKAAATAAEEDGETERHGGELNGGKKAGVVVGAFSAAAVVGLAAVVWKKRQANIRRSRYADYSARLELV >LPERR03G35220.1 pep chromosome:Lperr_V1.4:3:29231259:29232790:-1 gene:LPERR03G35220 transcript:LPERR03G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGGGGGAGGMAWEVVKRHFSRKRAVDARRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVPVGNSKKFLYTPYTPDSEKSEEEAAAELSKAASSQGGKATKGKQRKRATTL >LPERR03G35230.1 pep chromosome:Lperr_V1.4:3:29233052:29235906:1 gene:LPERR03G35230 transcript:LPERR03G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRLCDGAVKSSPCARNLAAAAPFCPRSRRGILPCHQNKQPTFRLLRASPSFRTKSSRQMQWSINAMADDSTDQSGDNNTRLFSVIQSFLSKLYGKLNKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFTFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEMDEFLESCNPFNIDINIFSLW >LPERR03G35230.2 pep chromosome:Lperr_V1.4:3:29233314:29235906:1 gene:LPERR03G35230 transcript:LPERR03G35230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRLCDGAVKSSPCARNLAAAAPFCPRSRRGILPCHQNKQPTFRLLRASPSFRTKSSRQMQWSINAMADDSTDQSGDNNTRLFSVIQSFLSKLYGKLNKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFTFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEMDEFLESCNPFNIDINIFSLW >LPERR03G35240.1 pep chromosome:Lperr_V1.4:3:29234972:29236543:-1 gene:LPERR03G35240 transcript:LPERR03G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATVVVIQSAALPPRRRRRNTTRRPPPSGEATLTPTLRAVPPPPPATHPTLDGVLSDLESHPRLLTADLLSSLLSAIPLHPSPRRNLARLRRLLPVSLLRRHTALTRRLLHLHASLGLISYAHHLFDHMLPPHARNEDAFPWNCLAAGYAHLGRHDDALALYLQMDEEGVPRGRFTFTTALRSCSGVGVGSVAITLALGRGIHRDAVRAGLAFDVPVCDALVDMYARCGDIRRALQVFDAMPDRDEVSWNIMLAGCLQHGLSEQAIELWRRMLQQGHKPDSITLSTMLLILLSACGDNGSKRGLEIHAWAIRHGLETEMSVTNALITMYCGKNKESHALSVFKSMTVRDLQSWNARIAAHLQDYRILMIFRRMVDSGVRPDETTFELVLSACDSLGLVEGGMRLFSEMENEYRILPTIEHLTCMVNMLGKAGMINEAYEFVSKRKPLDNEPSVLRALLQACLMHCNARIGEIIAKRLINLEPDNAHNFIMLMDIYQKAGRLVQAEKVKKMMRDRGLSCQI >LPERR03G35250.1 pep chromosome:Lperr_V1.4:3:29237945:29239534:1 gene:LPERR03G35250 transcript:LPERR03G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGKIKTVVVLVMENRSFDHMLGWMKSLNPEIDGVTGDETNHIIAGDPSSKPIHFSATAEYVDPDPGHSIQAIYEQVYGVPFIDSTTTPINPPSPATMMSGFAQQAEKEKPGMSATVMNGFRPDAVPVYRELVRNFAVCDRWFASCPASTQPNRLFVHSATSHGLVSNDSKLLVAGLPQRTIFDSLHEEGFTFGIYYQYPPSTLFYRSLRRLEFAGKFHPFDLQFRRDCSEGKLPNYAVVEQRYFDLKFLPGNDDHPSHDVGEGQRFVKEVYEAIRSGPQWKETILVVTYDEHGGFYDHVPPPAAGVPSPDGIVSAAPFFFNFDRLGVRVPAIFVSPWIEPGTVVHRPVGPFPSSEFEHSSIPATVKKLFNLRSFLTKRDEWAGTFDVVLNRDSPRDDCPITLPEPVKMRSTSSLTAATAEENAATLTEFQEELVQMAAVLNGDHADEEVYPHKLVEGMTVADAVRYCNEAFKVWREECDRCGKCGEDGSHIPTVVKPPASRRRRGSFASKMLACFACGPSSSSSS >LPERR03G35260.1 pep chromosome:Lperr_V1.4:3:29240170:29242861:-1 gene:LPERR03G35260 transcript:LPERR03G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAPSAGKRQGSGGREGDQLVITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRIVREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELRPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESLTEESDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPAEEAAKTQEETERMAKKVIYALMVSLFGDVKVAEEGKFVITVDGQVAHLDGRSGDIECENASLKERIRTAFHRIQGAVRPIPLKSS >LPERR03G35280.1 pep chromosome:Lperr_V1.4:3:29247803:29249446:1 gene:LPERR03G35280 transcript:LPERR03G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDRGRIYYHVLGVGVMLLTSISLVFVGTLKFHNVCTRGVLTWPMVAFGIFLMLISLIAFVIFGYVAVGGVDLRDVTVREYKLEHYSGWLRDRVAHPNYWAQTSTCLRHKDVCNGMKKLIRDPETGIYSGCCKPPSWCALTYENGTTWTPTPAPAPAAAAVAKATTTNVDDCSRWSNDQETLCFQCDSCKAGLLDHTKKEWSMAAIAPVLALIWIILSGWATSHMAYE >LPERR03G35290.1 pep chromosome:Lperr_V1.4:3:29260805:29271598:-1 gene:LPERR03G35290 transcript:LPERR03G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPSPAPASNPAPPPQRQEATAAGDVVATYLGLSFAVFLASLPGGAARHLASLQSRGRALAAAAALYAQQRQQQDGFGDDFYTAAAAAAASGMQPWMERSKGWQDLKYESSEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLNSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREQNWLVSREVQFFDLDSSYCSSGPGSLPKEGEQRTRHKVKDSYTY >LPERR03G35290.2 pep chromosome:Lperr_V1.4:3:29260805:29271598:-1 gene:LPERR03G35290 transcript:LPERR03G35290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPSPAPASNPAPPPQRQEATAAGDVVATYLGLSFAVFLASLPGGAARHLASLQSRGRALAAAAALYAQQRQQQDGFGDDFYTAAAAAAASGMQPWMERSKGWQDLKYESSEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLNSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASARTRRSSRLPSASSLTVMYTPGSSCITHSVTSSSLALLIDTSSLDEQLVVLAASIPSEAAGLFPARYSYTY >LPERR03G35300.1 pep chromosome:Lperr_V1.4:3:29263615:29265743:1 gene:LPERR03G35300 transcript:LPERR03G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLSGTHRHQHGHQRRGGSPPPARGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGSASSTPAWDFSRPAEGEAREWVAQVEPGVQITFVSLAAGAGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQSQVGSTRESPAATPSPAPLTPDRVTSWSAFVRPPSAPRNQQQQHSFRPMSPPPPSSSNPSERAWHQQQQQQNQQQRAGKSPAASDGMEAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGSRELRRVRFSRERFAELNAKLWWEENKERIQTQYL >LPERR03G35310.1 pep chromosome:Lperr_V1.4:3:29273696:29280272:1 gene:LPERR03G35310 transcript:LPERR03G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGILLRHCSVGPASSPHPVSRVPDLQAPGCIAWRHFSTSKPSPLAKLDRFSSISCLYSQARWASQAAAVKETETSGGKISIGPKPKQIKEDDKDDGLVYEGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSASTTAALHWFVSPYIHKLRWRPGSDSFEAEMMSWMATPLRKTVKFADIRPPETNRPFVTFKAEGNFYFVDAEHFSNKALLARLTPQKQSHESAFKNL >LPERR03G35320.1 pep chromosome:Lperr_V1.4:3:29274959:29277826:-1 gene:LPERR03G35320 transcript:LPERR03G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRTDDDEDANGDESRNSSKPTASKSQTPPVPKPRSPRRQGASRLSFVDDEEEDDAEEGPLSLRRRPAATVRQTRTASPAAGTLHRLTPSRDRLKSSPAVKAAVPAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPAADAPRQRLAGAAAGPTPATNTTAAAVEPVVVLKGLVKPMSQASIGPRNPSLQNEDDEHDESEEEEEEEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSRDAAGGSSDEEDDETRGRVAMYAEKSDSQRNTTGVFGAINNRGPAASLGVINDGFTKVEDDKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGKAAPVQVQPQPSGYSVDPRYQPSFSSVLPGTSVFASGSAEFLSIAQQADVASKALQENIRKLKETHKTTVDALVKTDTHLTEALSEISNLEGGLQDAEKKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVAAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSAGKSASDEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSNLRIVKDKFEGWKSQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTNFFGMEWHKILFDYGVEDKESDNDPHNADWDLIPVLVEKVALPILHHRIVHCWDILSTQKTKNAVVAINMAISYLPTSSKALHQLLAAVNSRLTEAIADISVPAWGSMVTRAVPGASQYAAHRFGVATRLLKNVCLWNDILAKPVLEKLALEELLRGKILPHMKSIILDVHDAIARAERIAASLSGVFSSPSQKLQPFIDLVVELGNKLERRHTSGISEEETRGLARRLKGILVKLNEYDKARAILKTFQLREAL >LPERR03G35330.1 pep chromosome:Lperr_V1.4:3:29280670:29282873:-1 gene:LPERR03G35330 transcript:LPERR03G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRKRPAPFAGFSPFARSLLFSAVASSSKPLPPPDDPPAAAAADDDEIPSENAASRREMPPPKRAKRAEPSSDEEQYSSDEESYSSDSDSDDGEGSSEEFDTVQADFAFYDPKPGDFHGVRLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDDEGGEGNGADKSSAGGDDDLFGLISVLNLGRYGEQRCIKDLKDYLLAVCGDKDTKKKLKQLLEEKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLLVVRVLERKTPAKQKARNSTEDDEPIIYPKLEDEIFCELSSWSFTFPIHAEQSTQQEMKNYKEMGLVMAVKAEAIPKFRKKLENLLSE >LPERR03G35340.1 pep chromosome:Lperr_V1.4:3:29283191:29283786:1 gene:LPERR03G35340 transcript:LPERR03G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGDAEQPAPETKKSSAAEPPRTLVFTYGTLKRGFSNHGLLQDLAVNSDATFVGAATTAARLPLVCGPYRVPFLLNLPGSGHRVSGELYAVTAKGLERLDELEGVSRSHYERLPVSVLLAEGAQVDAVAYYAHRGYADDLWARSGEKGFPDYSPAVAAGYIRRKDRPQQLTFLEQIRVFVSSPSS >LPERR03G35350.1 pep chromosome:Lperr_V1.4:3:29285640:29288515:-1 gene:LPERR03G35350 transcript:LPERR03G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHVFFKQHGWTDGGKVDAKYTSRAAEQYRQILQKEVAKSSTDNVLPSSPVAASQPQNPSNDFPEFKLPDAPAENTNGKQEPDVTNSPKAPTQTPKAPTYPTFATSAKKPIGAKKVGGGKTGGLGVRKLTTKPSEGLYDQKPEEPKPAAPAITTSATKSGPSLHSRFEYVENEPAADSRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAASKTQIQESDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQATQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >LPERR03G35360.1 pep chromosome:Lperr_V1.4:3:29289704:29292362:-1 gene:LPERR03G35360 transcript:LPERR03G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRAGGRNPNQLRPFSCTRNPLERAHGSARWSQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMIGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTTKAEEQQLKSFAHLVFPNSRKSASSKAPNQKEEDSERGLITSITHGVMSEDDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >LPERR03G35370.1 pep chromosome:Lperr_V1.4:3:29291966:29295859:1 gene:LPERR03G35370 transcript:LPERR03G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKEPASDGAAAAAIRSLFSADNPFRRKPSDDEPAPPAPTDAAAAATLGKHHEPVPDGAEPSAKERTDEPRRKRKREEVDAGNERRRLGAPLPVVGEKRKVPEDVAAAAAAGAGEDEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEVDSVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFDGNHIRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEMYQRFCGSSGSEGDVEAIRVIRDPESSVGKGIAYVLFKTREAANSVCRKRDLKIRDRLLRLAHAKSADATATPKKTMDAGKTKGGSKHKIVLTPSSKSHEGSDKTKRKASTLSYQGLKASKSGVVKKAKVSQRPSNQGKQEGRTSEKGQDSSRKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKNLPALPPVMAWHGTSAASV >LPERR03G35370.2 pep chromosome:Lperr_V1.4:3:29291966:29297042:1 gene:LPERR03G35370 transcript:LPERR03G35370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKEPASDGAAAAAIRSLFSADNPFRRKPSDDEPAPPAPTDAAAAATLGKHHEPVPDGAEPSAKERTDEPRRKRKREEVDAGNERRRLGAPLPVVGEKRKVPEDVAAAAAAGAGEDEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEVDSVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFDGNHIRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEMYQRFCGSSGSEGDVEAIRVIRDPESSVGKGIAYVLFKTREAANSVCRKRDLKIRDRLLRLAHAKSADATATPKKTMDAGKTKGGSKHKIVLTPSSKSHEGSDKTKRKASTLSYQGLKASKSGVVKKAKVSQRPSNQGKQEGRTSEKGQDSSRKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >LPERR03G35380.1 pep chromosome:Lperr_V1.4:3:29296341:29296868:-1 gene:LPERR03G35380 transcript:LPERR03G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPAARLLFFLLLVAVAHSSSSSSSPFDAALATLQKQIGYEFRSPDLLRRAMTHASYSRENGRALAVLGLAAAQSAASLRALAADHDASASAVSRRARDASAESACAAAAARVGIPSIVRVAAGTKATAAPVVCGALRALVGAVAVDANSTHAAEEVFWKLHVLAADSAKAAM >LPERR03G35390.1 pep chromosome:Lperr_V1.4:3:29298202:29302419:1 gene:LPERR03G35390 transcript:LPERR03G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVAAAAAAGAVTTAVAPPAAAAAVSNGVSATAPPPFLMKTYEMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNSQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVATNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDPSHRFESMGNSDNFLLENYMPAGQGFDSSSSTRNSGVTLAEVPANSGLPYVAAANSGLSAICSSSTPQIQCPVVLDNGIAKELQNMAAMPSVSKAVAPGPNDIDIPEFPDLQDIVAEENVNTPVGGFQMPGPEGVFPLPEEADDSVPIETDEIMYNDDTQKLPGIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQENGWTKVENMANLTEQMGLLSSNHIG >LPERR03G35400.1 pep chromosome:Lperr_V1.4:3:29304415:29305488:-1 gene:LPERR03G35400 transcript:LPERR03G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATAALLLAACTMMITSATIAVAWSGFEGVPTMSFDEGFSPLFGEDNMEKSPDGRTVSITLNRYTGSGFISSSYYHHGLFSSSIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTAESHRFSILWSSSLIVFFVDGVPIREVPRSPAMGADFPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVIRGCRATAAIVADEERDSCAAAEMELLTAEYGVMTARKRMEMRRFRQRQMLYTVCYDTNRYPEAFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRGKRRPLITAAMAVPPPALVSLQQAD >LPERR03G35410.1 pep chromosome:Lperr_V1.4:3:29309025:29312222:-1 gene:LPERR03G35410 transcript:LPERR03G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNAKALVDHVLGPAIRKRKRGGEFSQNDPKQNLALFDGSSGHKKSKIICGANDIERYNNFKISGMPVRVLSYQQGGWRDFPEDVVNLVQQSFKLKSPITSAVFQNRQVLFDFMRMICLDSAMAIDKPIAWIDDHGKCFSPDSCSGVIPSEPLQHGKNEFFKSIHDLSSSYEAHQHDGMSSSAAESSSSASFNVVLSDAQKVNDAVEDKQKVLNEGHEVVGEDKKGHLIHSNGTSDGTMQAPCINQNNGTRPDSAVRNLLFQGLGHLTEKDVIGIYRTPLLDQQGRPRYTLFQEEVQITKSQRGNANERYAWLACTKDTMEEMMMRGALKIANPLQGPTCGVGAHLAPANYSNICAGYSDIDENGILRMMLCRVIMGNVEVVSSGSKQCQPTSESFDSGVDDLQKPKHYIIWDANVHKHIYAEYAVIIKVLSMNNGDSASNISEIRNSGSLDSSTKDDSFHTLASQADQQETCVLGHAPDPRSPSSPWMPFSMLFAAISTKVPRSDMDLVHKYYEEFKRRKISRADLVKQLRQVVGDKLLVSTVLRLQQKLPPMAANEQAPKALGRGGGFADMIGYGVRLSKESSSKCAKMVML >LPERR03G35420.1 pep chromosome:Lperr_V1.4:3:29325682:29330004:1 gene:LPERR03G35420 transcript:LPERR03G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEQEANAGTAQAAAGDLADVVARASSSSNANARPLLHHHHHHHHHHHSPLPLPLPLPLPPMPPHHQYYQEQIWHPTTTIACSDAAPVPGVSSSLDSYLSPPASSFGMPQQQLAVQISQHAAAGDVLMGGACPAADDAEDAINRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSGRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARSHHTNATASTSNNRHKQQQQQPPAALISATCSSSSSSSSSSCNAVHADMLGGQPANMMMITEAGAGLGNSADFQPAADEVFAELEELEPDNPTMIDPNMVYSMASNSRPAGSYEWHRF >LPERR03G35430.1 pep chromosome:Lperr_V1.4:3:29340388:29341503:1 gene:LPERR03G35430 transcript:LPERR03G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRTAESSEISGGSGWADLPPELLEAILKLVSPRHRVTARVVCSSWRTCARASFPSDLPFDSPRLLLRRPAGDLAFFSLRRAEILPFALPTAAAARCCGHIGGWLAMAFDEDREIALWNITSGESVAIPRPPLFPVAKMLLSAPPTSPGWVVAVLGRSGTIALIQPPGGRWMTIEHGAEHGGFQDMAIWRGRLCALGCDGTVVAYRVSLSRRVAAASVLRAVQHPVGYAAAAAAGGQQRIRGRWWNYLAVDIAGELVVVRREYSVRRDAVDMEVEVVRYAAAEKKWETVEELAGQALFVGSVASMAAPATEGSGIRENCVYVARREVEMLVPHAIGVYSLADGDATGLAISGGHSVAAEPVWINPSIA >LPERR03G35440.1 pep chromosome:Lperr_V1.4:3:29342347:29342574:1 gene:LPERR03G35440 transcript:LPERR03G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLAVTPSAADLRFKASATDAAFARGPSLEGLTLTLEKPGSFLIDLKPHSKARGFITS >LPERR03G35450.1 pep chromosome:Lperr_V1.4:3:29342575:29346462:1 gene:LPERR03G35450 transcript:LPERR03G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALLLDRRLSLTYTHSTTLSPVGPAAPPSRTAVDCSLAFDPANKLSLSHSLGSGGCRVKYSYAHGEQRLTTIEPCFDTAKNAWDFAVTRKFTGGDAVKGTYHASTKLLALEWTRDSKIGGSFKVATSFDLSDQSKAPKLIAESTWNYEI >LPERR03G35460.1 pep chromosome:Lperr_V1.4:3:29348168:29349799:1 gene:LPERR03G35460 transcript:LPERR03G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSASENARFENALATYDIDTPRRWELVAAAVGGKTADDVRRHYDKLYVDVAHIEADGNHAAGHPNGAAANNVNGAANNLTGRGN >LPERR03G35470.1 pep chromosome:Lperr_V1.4:3:29352057:29353169:1 gene:LPERR03G35470 transcript:LPERR03G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAERPENLGGSLPVPNVQDLAGRPDDLTPTILRRYLRADPTSTTNIDQVNDGIIPVVDLGRLVAGDEEEASKLRQACEEWGFFQVVNHGISDDTVEEMKRDVTAFFNLPLAAKSAFAQRPGWIEGYGQAFVTSDDQTLDWSDIFFLATQPPSYRDLRFWPPEDGQLITFRRSVERYSAATQRVAGDLLAAMAFNLGLRDAGDMTCLAAAQSMRMNYYPPCPSPAARDRVLGVSPHSDAVGLTLLLQVSPTVAGLQIRRPDGGGGGGWMGVDPIAGALVANAGDVIEVLTNGRYKSIEHRAVVDATRERVSVAAFHSATFGSTYAPLHEMVGDGGVPKYRSITVEDYVRLVVSSKLDGKNIMDAMKVN >LPERR03G35480.1 pep chromosome:Lperr_V1.4:3:29355330:29357405:1 gene:LPERR03G35480 transcript:LPERR03G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAAPAFLFLSPPPGKLPANPRRPKPPCASSSPSLAEQLEPLSRRLLHGKPTPTEHAPDPTWVNPSKPKPNVLSLRRHRRRSPSSHPSSAPLQPLLRSIRALPDHADLAPTLHAFFPPASPPSASDALLLLNYLHPSWRKSLSLLAWLRRLPAGAFPLDTILFNVALKSLRAARQWPQAERLALDMVDSGVPLDNITYSTLITAARRCRQFGKAVEWFERMYAADGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGTGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGVKPNIFVYNALLEALGKTGKPGLARSLFDEMAAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPVDSILCNTLLSMCADVGLVAEAEQLFSEMNDTDMADVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVEGGIEPNIMSYTIVIQCLGKAGRIRDAVEVLEAGMAKGLRPDDRLCGCLLSVVALSNGEETEMMLGCLEKVRSDLVKLIRMLRDAKVGIDDLTVELKRILNAAAPEVRRPYCNCLIDICCNHGYPLERAVELFRLARVYGLYSKIQTRKDEEWSLDLRSLSVGAAKTAFDDWMKTISEQKEEALPQTFSVYTGSSTHKFAQGLATAFAAHLEQVAAPFHASESQLGSFISTRDDLLPWLQNNRSSPVVAV >LPERR03G35490.1 pep chromosome:Lperr_V1.4:3:29358088:29367399:1 gene:LPERR03G35490 transcript:LPERR03G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALVLLLDVGPSMHGVLQQVENICSTLVHKKLVYNKSDEIGVVLFGTKETCNELAKELGGYNHVVVARDIKVVDEGTTNALQNLPRGTSPGDFLDAIVVGLDMLIRKFGNMKGKQRMCLITDAQHPLRDPPQGTKKDQVDTIADQMKRHEIKMDCIVFRESGVPHNAVMDENDQLLYHFRERSVTKVVQVDSPTSLLGALRTRNVLPVTVFRGDLEVSSNFKIKVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTNRSNISRHYFMKDVFSFVPEPGNTKAIVAVSSLARAMSEMNKVAILRCVWRHGQGNVALGVLTPNISSVKNVTDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTEEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYKFLDLKSKQPDANVPPLDKCLKRITEPDPDVIDYQAPVIKKIGNAFELKENPKKKKARTQDRLTYTAADDQAKLLEEPSAEKVGIAEILFPAEEKVEIGDHNPVQDFEAMLAQRSSSTWVQKATVEMQKYITALIQDTRDGDNHQKALECLVALRKACIIEQEPKEYNGFLTKLYQKYKTADDAKFFQFLLSKNASLISKEEAPDSDVTEEMVRNFCPKPEPFSQ >LPERR03G35500.1 pep chromosome:Lperr_V1.4:3:29367162:29369730:-1 gene:LPERR03G35500 transcript:LPERR03G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPITAARSAVFPAHRVQVMGAMLNRTSASFSGQRASFPSIRLQPVPKRFQVSCSAKQDTINKVCEIVKNQLAVAEGTTITGETKFVDLGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAATLIDKLVSEKDA >LPERR03G35510.1 pep chromosome:Lperr_V1.4:3:29374205:29384070:1 gene:LPERR03G35510 transcript:LPERR03G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAATVGVSTDAPPVPTRFVWPYGGRRVYLTGTFTRWTEHLPMSPVENCPTVFQAICSLSPGTHQYKFYVDGEWRHDEQQPTMTNHYGVVNTLCLTRDFEQTNTILSPSTPGSRMNMDVDNDNFQRTVSLSDGITQEGSQRTSEAAIQISRGRVSEYLNGHTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSCRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQIYGRNEGPWRTNNHLVHATPYESLREIALKILQTGVSTVPIIFSTSSDGSYPQLLHLASLSGILKCICRFFKSSTGNLPILSQPVCTIPLGTWVPTIGDPNGRPLAMLRPNTTLSSALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLMKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >LPERR03G35510.2 pep chromosome:Lperr_V1.4:3:29374205:29384070:1 gene:LPERR03G35510 transcript:LPERR03G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAATVGVSTDAPPVPTRFVWPYGGRRVYLTGTFTRWTEHLPMSPVENCPTVFQAICSLSPGTHQYKFYVDGEWRHDEQQPTMTNHYGVVNTLCLTRDFEQTNTILSPSTPGSRMNMDVDNDNFQRTVSLSDGITQEGSQRTSEAAIQISRGRVSEYLNGHTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSCRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQIYGRNEGPWRTNNHLVHATPYESLREIALKILQTGVSTVPIIFSTSSDGSYPQLLHLASLSGILKCNLPILSQPVCTIPLGTWVPTIGDPNGRPLAMLRPNTTLSSALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLMKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >LPERR03G35510.3 pep chromosome:Lperr_V1.4:3:29375940:29384070:1 gene:LPERR03G35510 transcript:LPERR03G35510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKFYVDGEWRHDEQQPTMTNHYGVVNTLCLTRDFEQTNTILSPSTPGSRMNMDVDNDNFQRTVSLSDGITQEGSQRTSEAAIQISRGRVSEYLNGHTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSCRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQIYGRNEGPWRTNNHLVHATPYESLREIALKILQTGVSTVPIIFSTSSDGSYPQLLHLASLSGILKCNLPILSQPVCTIPLGTWVPTIGDPNGRPLAMLRPNTTLSSALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLMKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >LPERR03G35520.1 pep chromosome:Lperr_V1.4:3:29401240:29402340:1 gene:LPERR03G35520 transcript:LPERR03G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQHEDMDKLVFDAAVLSGEREIPSQFIWPAEESPGSVEAEELDVPLIDVGAGVDSDEVVRLVGEACERHGFFLVVNHGIPWSLLEEAHRCMDAFFTLPLASKQRAQRRPGESCGYASSFTGRFASKLPWKETLSFRYSSGGGDGVADYLAGKLGEEHGRHLGDVYERYCGEMSRLSLYLMEVLGESLGVGRRHFRRVFERNDSIMRLNYYPSCQRPRETLGTGPHCDPTSLTILHQDDVGGLEVWAEGTWRSIRPRPGALVVNVGDTFMALSNGRYRSCLHRAVVNSRSPRRSLAFFLCPEMDMVVTPPSQLVDDRYPRAYPDFTWRSLLDFTQRHYRSDMRTLQAFSHWLNLQQHTTTAAT >LPERR03G35530.1 pep chromosome:Lperr_V1.4:3:29417724:29419528:-1 gene:LPERR03G35530 transcript:LPERR03G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSPASRLPASLSHPPRCSAIAAAFSGPRPARRARLGLASTRRLRLRLGATEQQQQQQEAENDDEVDSNVLQYCSIDGKKQKRTLGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYASGNPIMSDAEFDELKLRLKKDGSGIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATTLALGVFFFIDELTGFEVNIFQLPEPFGFIFTWFAALPLILFIAQSITNAIVKDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCANCTTEMVYDSKSRLITLPE >LPERR03G35540.1 pep chromosome:Lperr_V1.4:3:29421402:29422989:-1 gene:LPERR03G35540 transcript:LPERR03G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLFLASAVALLLPPPVAGDIPPSNKLVFILAGQSNMAGRGGVVGSHWDGIVPPDCAPNPSILRLTADLRWEQAHEPLHAGIDYNRTCGVGPGMAFANALLRSGRAGAVAALALVPCAVGGTRMAEWARGSDLYSDLVRRARVALETGGRIGAVLWYQGESDTVRWADANEYGRRMAMFVRDLRQDLAMPHLLLVQVGLASGLGQYTETVREAQKGIKLRNVRFVDAKGLPLQDGHLHLSTQAQVQLGHMLAQAYLNYGTSTL >LPERR03G35550.1 pep chromosome:Lperr_V1.4:3:29423327:29424058:1 gene:LPERR03G35550 transcript:LPERR03G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLGMLPTVIFLLAGQSNMGGRGGATKGPWDGVVPPECAPSPRILRLSPDLHWEEAHEPLHAGIDVHNVLGVGPGMAFAHTLLSSSSTSGVIGLVPCAQGGTPIANWSRGTDLYDRMVTRARAATAATGASLGALLWYQGEADTIRREDAEVYAGRMEAMVRDVRRDLHMPDLLVIQVGIATGQGKFVDLVREAQRKVRLPRLRYVDAKGLPIANDYTHLTTPAQVRLGKMLAKAYLSTL >LPERR03G35560.1 pep chromosome:Lperr_V1.4:3:29425714:29426001:-1 gene:LPERR03G35560 transcript:LPERR03G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGAKEEEKLKEKEKLCRRCKATYAPSGNTALSCRFHPSLFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDHA >LPERR03G35570.1 pep chromosome:Lperr_V1.4:3:29428718:29431410:1 gene:LPERR03G35570 transcript:LPERR03G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQQQVALAASNKKKKMPSRFRRICVFCGSSPGKKASYHLAALQLGHHLVERGIDLVYGGGSVGLMGVVSRAVHGGGGQVVGVVPKSVLPRELIGETVGEVKAVSGMHQRKAEMARHSDAFVALPGGYGTLEELLEVITWSQLRIHQKPVGLLNVDGYYDSLLSFIDNAVHEGFVTPAARRIIVAAPTPSELLSKLEDYVPDDDDDDSKKLTWETEVSMEQQNIGAAAVYPPKPDMAR >LPERR03G35580.1 pep chromosome:Lperr_V1.4:3:29434086:29443737:-1 gene:LPERR03G35580 transcript:LPERR03G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLRDLSQPLDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRAELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNIFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTVTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLTSLATTIADLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIKEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYPYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMIGRILY >LPERR03G35590.1 pep chromosome:Lperr_V1.4:3:29446500:29446919:-1 gene:LPERR03G35590 transcript:LPERR03G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHYSSDHRRSWTARVLSSASLPPARLLVFFAIVVFFLSVSSYVDYKAIERRAEIGARVFAAPLAAVTIFVLFLVLQHRRRYWTLQRYAPGTTVQYESGGGGGPWVVALLVAVLLLMLSFQSSVHSIWFRPLWDSDY >LPERR03G35600.1 pep chromosome:Lperr_V1.4:3:29449080:29455045:1 gene:LPERR03G35600 transcript:LPERR03G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLFSSLSVPLLSAIFGAVIALLFLSGYLRRKRAVIAHLPPAATAAAPDQPKQLRPSNQPKKGQHRSHHHAAAAADKDAAKKHHHLDVNTLRGHTDSVTALHFSDDGCNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAVAFSEGASSVVVAAQALLGSSLYMYADVGAPPVGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKVWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYAKDKSVKEIITASKDGTIRVWNINVRYHLDEDPKTLRVLAIPLHDSKGSACQYDHMTLSPDGKVLATTSGSTLQWLCVETGAVLDAAEKAHEGDITGIAWAPRTIPNGGVPAFVLATAGVDKKVKLWLAPKVVST >LPERR03G35610.1 pep chromosome:Lperr_V1.4:3:29459830:29461314:-1 gene:LPERR03G35610 transcript:LPERR03G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKPASRTMAGKKANVGILAFEVASLMSKLLHIWRALSDAAVARLRHDIINLDGVRKVVSDDDAVLLALACAELADALRVAADSVAALASRCVDPFLREFGDAFAEFADTGRDRHRWAATSWKEMETRAHKMEKQVAATGALRRAMEELAEAEHGLRRLMIQSSNNNAGCHRRSMSASKISVAAEQQQVIFGKKQEVKQLRQTSLWACTFDAVVSSMARAAFTILARINLVFGGDDDHRATPLHRSLTLSSAVHPSVDAPPPHPMSRKSMSMEEAMFDHQRQSVHVAAKRRGYSSASAALVPPPGTLGAAALAPRYAGVIISIERMARSPRLVGPEERDELYGMLTASVRAQLRARLRGAVAAADPGLASQWRAALAGILDWLAPMAHATMRWQADRSFEHRKTATAAMGGGGVVLLLQTLQMADRGKVEAAVAELLVGLNYLWRFDKEMSCRALFAMHHHMLLDAAAAGEVEVDDDCRAKSGNGTVNNACV >LPERR03G35620.1 pep chromosome:Lperr_V1.4:3:29463308:29464676:-1 gene:LPERR03G35620 transcript:LPERR03G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAWCCLPSPTPTTPPPGRKNKVKPAERIPRSGTKPTYLGVQKSPPSLALCPATNNCVSTSEDITDSNHYAPPWNYNPKDGRRGKPITKDEAMKELIQVVTQTKPDNFSPRLVDKTDDYVRVEYESPIFGFVDDVEFWFPPGNKSIVQYRSASRSGFIDFNANKKRALRLALENKGWASESTI >LPERR03G35630.1 pep chromosome:Lperr_V1.4:3:29465239:29466681:-1 gene:LPERR03G35630 transcript:LPERR03G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAQDESDSNKQGGVTRRTTWVAHEFRAQRGIAVPLMGMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGLSFANVTGFAVLTGLCGAMEPICGQAHGASNGKLLRKTLVMATMLLLAASVPIAFLWLHVDALLRRCGQQPDITSNARAYLVCLLPDLAVTSFLNPLRSYLSAQGITLPTLFASALGLGIHVPLTCWMAGARGIQGVATAVWISDLAVAVMLAAYVAIIEHEHEGDGGWWLGQTGWGDWVRLVRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRSVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNELGAGHADAARRASWVSIAGGAVGGVAGGLLMLAARRPWGYLYSPRGDGGVREGVSRAMKVMAALEVVNFPLNVCGGIVRATARPAVGMYAVLAGFYLLALPVAVALAFKARLRIQGLLVGFMVGAAASLAVLLTVISRMDWQAQADKARTRAAADVCTHPC >LPERR03G35640.1 pep chromosome:Lperr_V1.4:3:29471166:29473999:-1 gene:LPERR03G35640 transcript:LPERR03G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNKQQQKQLGLLMVAALALAVAPSPAWCRELYYSNSSSSSYEESASAASRGSEGPMVTAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMNFTDSIPTGRYSNGLIPTDFIVQGLKVKQLLPPYLGVELSTEDLRTGVSFASGATGYDPLTPVIVSVITLDQQLEYFGEYRKRLVAAVGEEETARIIEGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGADSFLDKVAALGARKIGFVGLPPIGCVPSQRTLGGGPHRNCEEKRNYAAKLFNSRMEEAIANKDPKTRTVYIDIYGILNELVVNGAKYGFTETTKGCCGSGKIEVTGLCDSRFVDVCDDVDAHVFFDSYHPTQNAYKIIVQNIFDNYLQFLLA >LPERR03G35650.1 pep chromosome:Lperr_V1.4:3:29480077:29485450:-1 gene:LPERR03G35650 transcript:LPERR03G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGGASSPDPDHSSPPPPSTPKRSPWKQPSSSSIPNGGDVRPPPPPVVIDATNWPALSEAAAAKTPKPTAATDSSSSPAASSAVSKHFSPSHHATRHSKPSPRRTTSDWDHSAPAGRGAQPRTHSSSNNNGGGGRRGGGGRGGRRGGFDAFYRAPPIAIAPYMRAAPPPPPPPMPPFMGPPPPPISPMRPFAGPVVFHEMPSPVSPVSPMYYVGPPPPPEALRGLPFPPTMVGPPPYPYYQPMPDPDPEPEPEPEPDENPQDHRAKLLKQIEFYFSKDNLCSDVYLRKNMDDQGWVDIALIAGFKKVKASTDDLQYIKDTIQSSSILEMEGDKIRRQNDLNKWVIPRESNPDVFSNSSAATSPKVNNLTAQLGGLGLQESAASTSGMVDENHHEVLPNGPTSTNNQAPVVEDSAGKL >LPERR03G35660.1 pep chromosome:Lperr_V1.4:3:29486767:29491008:-1 gene:LPERR03G35660 transcript:LPERR03G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYRSSSSSASSPAVGRRYYFPKPTRPISASFEDSPDWADDIDSIHLATATASASLPTTAYPSPTPSLPPEPSSACRERKVAGATLVWKELSVSLCDDTARGRRRRSDRVVKSSTGYALPATLTVIMGPARSGKSTLLRAIAGRLRNAHRVYGQVFVNGSNQRLPYGSYGFVDRDDVLIDSLTVREMLFYSAHLQLPGLFSSKTSIVEDAIAAMSLGDYADKLIGGHCFIKSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESAVAKLTEKEGPNLKSKGRASNTARIGVLTWRSLLIMSRNWKYFWSRFALYMLLALSVGTIFANIGHSLSSVMARVSAVFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSGTMVFLLGHFLSSIPFLFLVTISSSLVFYFLTGLRNEFNFFMYFVITMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLMGGYFRIRGALPSSLWKYPLSYISFHVYAVQGLLENEYVGTSFAVGAIRTIPGVQAVGGSYEISSSENSKWVNLLALLVMAIGYRVILFALLRLNVRKHMRFGRWLCWTPELLLQSD >LPERR03G35670.1 pep chromosome:Lperr_V1.4:3:29493377:29497246:-1 gene:LPERR03G35670 transcript:LPERR03G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSSLLLAASSPLRRDSRRRSSSSLPSRRSRTHVAVRASAKEIAFDQGSRSSLQAGVEKLAAAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRTVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIVAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVENTTVEQLGIARKVTISQSATTIIADVATKDEIQARIAQLKRELSQTDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLEDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKDSEWEMGYNAMADKHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKAASGTPCGGQVLVFSVIEMTARCGTSVANSEVVQP >LPERR03G35670.2 pep chromosome:Lperr_V1.4:3:29493743:29497246:-1 gene:LPERR03G35670 transcript:LPERR03G35670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSSLLLAASSPLRRDSRRRSSSSLPSRRSRTHVAVRASAKEIAFDQGSRSSLQAGVEKLAAAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRTVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIVAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVENTTVEQLGIARKVTISQSATTIIADVATKDEIQARIAQLKRELSQTDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLEDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKDSEWEMGYNAMADKHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKAASGTP >LPERR03G35680.1 pep chromosome:Lperr_V1.4:3:29498158:29501064:-1 gene:LPERR03G35680 transcript:LPERR03G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGEQQDPDVLRWDLHLLLPPEAALHYQRTTTTKSNNNHLDSVDNDEMIAHALQEELSHVAFAEASGHLDSSSCVLTQRWFHPSIVHLPSAPPLKEDEDDDAAPAPLPPAAESREPFSSCSSPGDNNIDAQECFIELVDHFSALDGQVGKRLNDMIPVPHVPKTNGEIPSFDEAFSDHQRLLDRLVLYDLAELKVKGDGNCQFLALSDQFYRTTEHHRFVRQQIVKQLESHPEIYAGYVPMDYREYLKKMMKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPALENKKKRWWHF >LPERR03G35690.1 pep chromosome:Lperr_V1.4:3:29504179:29507893:1 gene:LPERR03G35690 transcript:LPERR03G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAVGRRSGSTTPTPAHADQPVAHPQSKRTRKPSQQQQRRLDLEQEVAELKKQLWNEQAVHDILHRALHRPLPPANANSDTPPSLLRNIPAFIPPKQSTSRVASDDAMQAKELLAELVLVEEEIARLEAQIETMKKKKDTDSTTSIIHHHHHQQQQQQPPSTDNNIITNANNNGIRGGDANIKSMFFISQAINGDTAAATATHSSHHPHLGGSIMSPKLPPSPKLPTASRNSVDNNSNTSPTKKTLQPNKLSERIVKCLICIFIRLLRSSRVAELQDSNTTTTNNSKALGRQLLQQHQGGGASFRIDTGLMMNKQQQEECRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSSFDLRSFSTSPLLTKLRGMLEALQHVDLRFLTHQQKLAFWLNIYNTCIMHGILHNGLPSSSEKLLALKNKATLNVSGQKFNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRGRYGLNTSEPNILFAMCCGIRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTTSTTRRLMIPSLIHSNMHDFAKDMDSLLRWIIDQLPTSWSLRKSMVDCLRVHHSSHHHHLNIQDLA >LPERR03G35690.2 pep chromosome:Lperr_V1.4:3:29504179:29507893:1 gene:LPERR03G35690 transcript:LPERR03G35690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAVGRRSGSTTPTPAHADQPVAHPQSKRTRKPSQQQQRRLDLEQEVAELKKQLWNEQAVHDILHRALHRPLPPANANSDTPPSLLRNIPAFIPPKAKELLAELVLVEEEIARLEAQIETMKKKKDTDSTTSIIHHHHHQQQQQQPPSTDNNIITNANNNGIRGGDANIKSMFFISQAINGDTAAATATHSSHHPHLGGSIMSPKLPPSPKLPTASRNSVDNNSNTSPTKKTLQPNKLSERIVKCLICIFIRLLRSSRVAELQDSNTTTTNNSKALGRQLLQQHQGGGASFRIDTGLMMNKQQQEECRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSSFDLRSFSTSPLLTKLRGMLEALQHVDLRFLTHQQKLAFWLNIYNTCIMHGILHNGLPSSSEKLLALKNKATLNVSGQKFNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRGRYGLNTSEPNILFAMCCGIRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTTSTTRRLMIPSLIHSNMHDFAKDMDSLLRWIIDQLPTSWSLRKSMVDCLRVHHSSHHHHLNIQDLA >LPERR03G35700.1 pep chromosome:Lperr_V1.4:3:29507995:29510097:1 gene:LPERR03G35700 transcript:LPERR03G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPLTGRLWIHAASKVPDPDTISAMEAFYTEIYAVDGITDITFPQHYPVSRLLGCVDVVGCLTSQDLASWEHVPQSVRLEALTDFCWLCQNPQKLVVPFEMRGQQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLKPGSLQVASSKSSPDKSPSVTAAIAGARAATTQYSRKNHNNLATTSSPTEQTRQRFHSQSPADSSTSANTDDTLPSIAHNISPYLSNHGIPSIVHNTPIVSQNHNLPSLVHNNWPSLQNQNLPYLQHQNLPTNVLNRRESLLQNQSPSSLVQSGQSYLQNQNSEPQRSPRLQNQSGAPSRWH >LPERR03G35710.1 pep chromosome:Lperr_V1.4:3:29513759:29514331:-1 gene:LPERR03G35710 transcript:LPERR03G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQDTPSEQRLITAGLISFLESRRATAGASRHPQQQQAFRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTAEEAAMAYDQAAFAMRGSAAVLNFPIDQVQRSMEKDGLNDMLLHGHGDGGPVIALKRRHSCSGRRRNKQPPAEAGGQQQQVMELEDLGADYLEELLAASQSQEDSSSSFSASSHHSI >LPERR03G35720.1 pep chromosome:Lperr_V1.4:3:29517617:29535400:-1 gene:LPERR03G35720 transcript:LPERR03G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRFVVGSHVWIEDADEAWMDGLVEEINENELVVNCTSGKKVTINVSAAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYTLNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQVEGRTVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGMISGAAIRTYLLERSRVCQISDPERNYHCFYMICAAPPEDCKRYKLGDARSFHYLNQSNCIELDGLDDSKEYVETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSNLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVSATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQTKVRSHLARKKYIQLQISATQFQAVCRGTITRWRYENIRREAASLKIQTCYRKHHARKTYTDICSASTTIQSGLRGMAARRKLHFCRQTKAAVVIQSQCRCYLVHSQYVRLMKATITAQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAELEKTLVPEICVDATQVSELTAENRRLENLANGSLPGDEQTPHGTSMEYGRASYIERHHESVEALINCVTENVGFSEAKPVAAVTIYKCLLHWRTFETDKTNVFDRLIQIFGSAMQKQEGNADLAYWLSNSSSLLIILQKSLKPAGSSGTTPLKRTQTQTSFLGRMVFRASSITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKREISSVLALIIQAPRTAKAGLLTDQGNNWQAIVSHLNDLLKTLQENCVPAIFARKIFTQLFSFINAHLFNSLLVRRECCSFSNGEYVKQGLEELEAWCTQAKPEVIFKKFRISYDEIINDLCTELSVQQLYKICTQYWDDKYNTESVSEEVLNEMRTLMNGGSGQDASDSTFLLDEEISMPLSLEEIGDSMDAKEFQNMSLVALFLVDSGELGWLVLCKLWCGRGCGCGWKPESIERGSAVEAFGSGDAPVLIVVGAERPGGGIGTTAGIVAAGDWKRSKLELKFTLFSSTGCSWKKVGTVVTPASTRPFTPALLSSNA >LPERR03G35730.1 pep chromosome:Lperr_V1.4:3:29536889:29553490:1 gene:LPERR03G35730 transcript:LPERR03G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLAADPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITVQGSDNLGLRSVEDYNEKLVECIGGTKTNYDPDVDKSLVLYKPGMQPPPPVQNDNTTQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFNIDNLGYLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSVSHGDANGEDAAKADLRTAQHSGAPSEYLHGPYQSAYPQWAIHPPYPMQGMPYYPGVSPYYPPPYPPMDDPRYHHSERRVSRKYSSDGKDSDEESGQSGSEVESSHGHKLHKKGKRSGKKKPSVVVIRNINVKSKKYGSSESESQTGSDVASEDSDDSHTKYSKRKHKSSSSKKKESRKTILEPGDEYRKDEASHRQDGDQGNWNVFQSFLLRDEEKTRDNDTDLFANEKEPPPARRKERRTTDDPLLLVERDYADVNEGRTVGFNSAYGRIRPTRMLSGDELMISSDGKSFVDGDIKEIEAGGGGYRRGAGDDFMVYGQEKPMDSGCYLDPLAEAQYKSPTLMEKNMHSVGDESFMIPVRSNSHDNLGPESCTTIDIDVELPGTVQKTSYAKAEGQLFYEPDELMPEREFEDVTYGYDPAMDYDSQMQIQPAITVEDANAEDASLGFEGEVKKVDKNKKLRSSQESLDKRKDTLARRLPSSKSRVTDAQKRAQNLRAYKADLQKAKKEQEEEQVKRLEKLKQERQKRIAARSSTSNSISAPQQAKAKPSPKISPSTHKSSKFSDAEPGSFSPLRKLPARTTPESDRQKTGKASKLSESSTNAVSKSTSSMAEMKKEKNGRNELSSERLKKLAEPKSNALTDHPSNPKSASADHLRRRSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSTSVKNRTDAKELRDGEPRGLGNETSPTSEVTDGNKANGEVSRMSNNDDNVVVEKTVVILENEVVSVPPVILPPGRTAENETSSNDRTRKPSPELEYTAIRAPPSPAALPEAESFTIHRHDDQGNSYEAMTEHGKDEAEELTLAAVEKPYQAPYARVTSLENDSAISHALPVQESETLVHAESIRARVPDPVCTVSVEETHETNERPRNKESKGFRKLLKFGRKSHTSGTMDPDASSVDGAVAGDGSMLKTLISQDDSGSSSKASRSFSLLSPFRRQKVIVL >LPERR03G35730.2 pep chromosome:Lperr_V1.4:3:29536889:29553796:1 gene:LPERR03G35730 transcript:LPERR03G35730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLAADPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITVQGSDNLGLRSVEDYNEKLVECIGGTKTNYDPDVDKSLVLYKPGMQPPPPVQNDNTTQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFNIDNLGYLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSVSHGDANGEDAAKADLRTAQHSGAPSEYLHGPYQSAYPQWAIHPPYPMQGMPYYPGVSPYYPPPYPPMDDPRYHHSERRVSRKYSSDGKDSDEESGQSGSEVESSHGHKLHKKGKRSGKKKPSVVVIRNINVKSKKYGSSESESQTGSDVASEDSDDSHTKYSKRKHKSSSSKKKESRKTILEPGDEYRKDEASHRQDGDQGNWNVFQSFLLRDEEKTRDNDTDLFANEKEPPPARRKERRTTDDPLLLVERDYADVNEGRTVGFNSAYGRIRPTRMLSGDELMISSDGKSFVDGDIKEIEAGGGGYRRGAGDDFMVYGQEKPMDSGCYLDPLAEAQYKSPTLMEKNMHSVGDESFMIPVRSNSHDNLGPESCTTIDIDVELPGTVQKTSYAKAEGQLFYEPDELMPEREFEDVTYGYDPAMDYDSQMQIQPAITVEDANAEDASLGFEGEVKKVDKNKKLRSSQESLDKRKDTLARRLPSSKSRVTDAQKRAQNLRAYKADLQKAKKEQEEEQVKRLEKLKQERQKRIAARSSTSNSISAPQQAKAKPSPKISPSTHKSSKFSDAEPGSFSPLRKLPARTTPESDRQKTGKASKLSESSTNAVSKSTSSMAEMKKEKNGRNELSSERLKKLAEPKSNALTDHPSNPKSASADHLRRRSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSTSVKNRTDAKELRDGEPRGLGNETSPTSEVTDGNKANGEVSRMSNNDDNVVVEKTVVILENEVVSVPPVILPPGRTAENETSSNDRTRKPSPELEYTAIRAPPSPAALPEAESFTIHRHDDQGNSYEAMTEHGKDEAEELTLAAVEKPYQAPYARVTSLENDSAISHALPVQESETLVHAESIRARVPDPVCTVSVEETHETNERPRNKESKGFRKLLKFGRKSHTSGTMDPDASSVDGAVAGDGSMLKTLISQDDSGSSSKASRSFSLLSPFRRQKVIVL >LPERR03G35730.3 pep chromosome:Lperr_V1.4:3:29536564:29553796:1 gene:LPERR03G35730 transcript:LPERR03G35730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLAADPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITVQGSDNLGLRSVEDYNEKLVECIGGTKTNYDPDVDKSLVLYKPGMQPPPPVQNDNTTQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFNIDNLGYLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSVSHGDANGEDAAKADLRTAQHSGAPSEYLHGPYQSAYPQWAIHPPYPMQGMPYYPGVSPYYPPPYPPMDDPRYHHSERRVSRKYSSDGKDSDEESGQSGSEVESSHGHKLHKKGKRSGKKKPSVVVIRNINVKSKKYGSSESESQTGSDVASEDSDDSHTKYSKRKHKSSSSKKKESRKTILEPGDEYRKDEASHRQDGDQGNWNVFQSFLLRDEEKTRDNDTDLFANEKEPPPARRKERRTTDDPLLLVERDYADVNEGRTVGFNSAYGRIRPTRMLSGDELMISSDGKSFVDGDIKEIEAGGGGYRRGAGDDFMVYGQEKPMDSGCYLDPLAEAQYKSPTLMEKNMHSVGDESFMIPVRSNSHDNLGPESCTTIDIDVELPGTVQKTSYAKAEGQLFYEPDELMPEREFEDVTYGYDPAMDYDSQMQIQPAITVEDANAEDASLGFEGEVKKVDKNKKLRSSQESLDKRKDTLARRLPSSKSRVTDAQKRAQNLRAYKADLQKAKKEQEEEQVKRLEKLKQERQKRIAARSSTSNSISAPQQAKAKPSPKISPSTHKSSKFSDAEPGSFSPLRKLPARTTPESDRQKTGKASKLSESSTNAVSKSTSSMAEMKKEKNGRNELSSERLKKLAEPKSNALTDHPSNPKSASADHLRRRSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSTSVKNRTDAKELRDGEPRGLGNETSPTSEVTDGNKANGEVSRMSNNDDNVVVEKTVVILENEVVSVPPVILPPGRTAENETSSNDRTRKPSPELEYTAIRAPPSPAALPEAESFTIHRHDDQGNSYEAMTEHGKDEAEELTLAAVEKPYQAPYARVTSLENDSAISHALPVQESETLVHAESIRARVPDPVCTVSVEETHETNERPRNKESKGFRKLLKFGRKSHTSGTMDPDASSVDGAVAGDGSMLKTLISQDDSGSSSKASRSFSLLSPFRRQKVIVL >LPERR03G35740.1 pep chromosome:Lperr_V1.4:3:29544175:29544441:-1 gene:LPERR03G35740 transcript:LPERR03G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLVVMVMCWAVAATAVQGNCRDECLAGCHGWAIICHLSCNSACLGEVGISAMSTVTPQEDQRPSQQEAAAHSVSMLRDLKPDNTN >LPERR03G35750.1 pep chromosome:Lperr_V1.4:3:29554272:29559461:1 gene:LPERR03G35750 transcript:LPERR03G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKREEEALVFAIGAEVEVGSTDDDTGFSFYEGTVEAHLSGGYVVAEGGGCGREFVRAADVRPRPPRLDSPPEGFAMHAMVEAFHNHRWCSGVVTALPLPPARRRMYTVAFPTTREVMDFHEAALRPLQVFHRRRWLPPAHLLQDVESSAFKEGSLVEVSRSPESFGESWNPATVLKVIGSTNFLVQYRHVGGDGELITEIVDYQYIRPSRAIFCMDSKYRFSVSSHVEVFYKGSWWPATVLESSNGEFGKMYTVKLKNCRTGMDGVECVDKLTVENKVLRTQFDWDGRKWIRCVTKEKKPVNKRPPLTSRKKPITTDLALSNDSDEIRDKTMPYFDKMLKTSNVQQPINPLMSVCDGRDKTKDLSCSYPGGTIKQQNAVLALASQTSLPLQSSITGSGRLKYDASLTLGSPTELSSSQMDVMPSVPQSGDLQVSLFGMFGQLRTIQQSPLSRMQSPSPGVCRIEGSKKASTDEEKQSIDEGCSLISSARNSFNFGSFAGIDMSRKRKECVSFQAPKELGMDPKTTKKSRVDKRIEGTYNIAAVFDEPMFDNVVPNQSSVNENCQNNKKDGMYKVDHEANVVELDSTAESGQSMDDSTIPRLSSFGMSQYIDAEHDNSLIISNNVQDTPISKHATRTPDSCHPLIQKFLHVHENIMADQPSESLATIVELPFVKTSPMWAQIESMEIFSNVPQRPNFRQLQQHLPELREGMALGLMVSFNNLAESIKKLNVDDDNAVFEDKMKCISLLEADGFNVRRLRSRLETLLGLKNSWSEIQDMMNQSEKKIAQEQIDSQQRCTEINMLSMVVQQLELHAHLFRCIKHRIISQQMSHAVETSRLNVQASKLKQSSLSTEQQFSSVVAAPW >LPERR03G35760.1 pep chromosome:Lperr_V1.4:3:29563257:29564111:1 gene:LPERR03G35760 transcript:LPERR03G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVSSSNPPAPPPKDYRDPPPAPLVDVGELGKWSLYRAVIAEFTATLLFVCVTVATVIGHKRQSDSCAGAGVLGIAWAFGGTIFVLVYCTAGISGGHINPAVTFAMLLARRVSLPRAALYVIAQCVGATCGAGLARALHGGGHYERHGGGANELAAGYSTVAGVGAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLAVHLATIPITGTGINPARSLGPAVVYNAGRAWSDQWMFWVGPLAGAAAAMLYHQYILRGAAAKAFASSYLAHFAA >LPERR03G35770.1 pep chromosome:Lperr_V1.4:3:29565809:29567262:-1 gene:LPERR03G35770 transcript:LPERR03G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVNINENKEEEGSKCNCKCKHKQVEGKEEEEAAPAAAAEEAEKKEEEEEQAAAEASDAGSKLEEAQVVILGVELHCTGCAKRIRRCIERCKGVEGVEVDMAANQLTVSGIVDPQALCARLRQKTLRSATVISPPPPADQPVPSVVHSQLVSDVTTVELLVNMHCEACAQQLHKKILKMRGVQSADTNFSAGKLTVTGTVSGEKLSEYIHRRTGKLATVVEAEKIDEPPAPEADKKEENKEEEKAAAEDSSKQNEEKQQLEGGVGDEKGDNNKADQPVPDEEAAADAPKLCTSNSVVDGFPPEEMMKRILYWPPYAVATVVGAGAGNYYSTSPTYHGHTSNSCAPMNLHYTASWVPPPPPPVYYSTATASSYMVQRPPPAPQMFSDENPNACAIS >LPERR03G35780.1 pep chromosome:Lperr_V1.4:3:29572893:29576282:-1 gene:LPERR03G35780 transcript:LPERR03G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVARLQLHLRVFPATPPSLQLQGPLSKFRLPPPPTRIRCSSSSPTTVAYQTLRRDDFLVVTFYKFVPLHDPRAEVATHLHFLQGRDIHGRIYINEQGINAQYSGPHKDALAYADWLRKDHRFSDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLENRKTLDVSSSGTADLSSSETAGDSSGRKLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLPESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKNEGSAGWVGNLFVFDGRLSLPPATYKPGADDDDQLRNRSSSELGRCYPCGSEVVELRHRNCANIDCNRLYLRCVEELRGCCCKSCTAAPRLRPLLPTHQRYEKWHLYRHLDLGAPSSSS >LPERR03G35780.2 pep chromosome:Lperr_V1.4:3:29572893:29576282:-1 gene:LPERR03G35780 transcript:LPERR03G35780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVARLQLHLRVFPATPPSLQLQGPLSKFRLPPPPTRIRCSSSSPTTVAYQTLRRDDFLVVTFYKFVPLHDPRAEVATHLHFLQGRDIHGRIYINEQGINAQLEGGCSHLPLVDPSMRATPLTPSEWRERLENRKTLDVSSSGTADLSSSETAGDSSGRKLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLPESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKNEGSAGWVGNLFVFDGRLSLPPATYKPGADDDDQLRNRSSSELGRCYPCGSEVVELRHRNCANIDCNRLYLRCVEELRGCCCKSCTAAPRLRPLLPTHQRYEKWHLYRHLDLGAPSSSS >LPERR03G35790.1 pep chromosome:Lperr_V1.4:3:29576567:29580867:1 gene:LPERR03G35790 transcript:LPERR03G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDSAPFVIYSSVKVANPGEVSQTQQTKGKKKKKKRRARGRAPSRRRRPPALLHLGSDMRRLWRSASSWAAAVAEHARSGRPDAALTVFRRVLALHPVVAAADELACSALLRFCDARLAYQIHSQACRRGLLASNPVLACSLLGFYAATASSPTAALLPAAKLFDEMAHKDSISYTAMISALVRAGHRSQALALYPCMLQVGAPPTHHTFAHLLSACASGRLHRQGTQLHAQLLLWGTDLNLVLKTALLHMYCNCGYMDYAHTVLHSTPQTDVVLWTAIITAYSKAGHLQAALHMFTSMTRAAVLPNAFTYAALIAACSYSRALQPGRQLHARLFKFALEHDTSVCNALIDLYSKSSSRLLDLLHAFHAVDKPNVVSWTAFIAGLACHGRDEEAFLAFSQMRVSGVLPNSFTVSTLLKGTSSSLAFLHAMKIHGYILKTSFESLDASVGNSLVDLYVRFARMDDAWAVTTTMAFVRDRFTYTSLARVLNQMGLQQRALKMVVRMFHEDVDVDGFSLASFLSSAASLASIEAGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCIRDAKSVFQSIRKPTVVSWNAFMSALVSDKCYNEALSAFEDMTLVGAKPDDITFSLVLFACNHGGLVDIGIKYFNSMSTLFGVLPQRSHCTLFLDMLGRAGRLTEAASIIDGIPAHPNQSMCRAMLKSP >LPERR03G35800.1 pep chromosome:Lperr_V1.4:3:29582070:29585259:-1 gene:LPERR03G35800 transcript:LPERR03G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMNCADSPMSFDAAMSMAPGFLVCLLVLVLFPRLGGFLVGASPVLVLAALLLAIVLVYSDEAELEEEDHLHLIRAPSPNPNHIMRIRMMSSCMHRPPPHASSSSSSSSSDEAAPSSSSHQDDDDDEEEEEPNNKKLLQEAAAWTAEDEKSIESIGSLELERNAAVEKLMCSRSSMLQRRRNLLEDAPGSAPSMPNPFFSVDASTGTGVPFSRHHSFAVARARPSADPSASGGGSSSSSSSASDRADAGQHMDMQQQQQQQEAADDPTKPKKTVDVELISDSSDDDDVPSQSQPPASPVPASRISLSDDDDDDGESFEVESITRQVNETFHAHAVAHAAAAAAAAAASSAREGEEKEEKNSSSSSSNTLASTERKRREVLASAPDASSSSAPPISPPHTPAAPKPKAAATAKSSRYKPPSKKSRAQQPNTMMNSTMTATSFQSPPIFKLQSIRPQQHRLPPQQQQLIGSIWATPTRKKDLVLKPVQATLGPNSTGGRGGSSLSDVIQEFYSFLNDKDSKRLEELIAPDCTIEDNAYYKPLDIKTSQTYFRRLMDVMGKNVKFAIDEVSQGVEPTLAVMWHLEWNGKTIPFTKGCSFYICSGKVAPLVIRKVHIFQESPVKPCKYSLEILKTVTRLFDAFPNIAEGFLNNPEGAMQPFVRLYKYFVEPFIVPFIAYYTHFWTYLAKLLTVVLNMLYRTIKW >LPERR03G35800.2 pep chromosome:Lperr_V1.4:3:29582070:29583824:-1 gene:LPERR03G35800 transcript:LPERR03G35800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAQQPNTMMNSTMTATSFQSPPIFKLQSIRPQQHRLPPQQQQLIGSIWATPTRKKDLVLKPVQATLGPNSTGGRGGSSLSDVIQEFYSFLNDKDSKRLEELIAPDCTIEDNAYYKPLDIKTSQTYFRRLMDVMGKNVKFAIDEVSQGVEPTLAVMWHLEWNGKTIPFTKGCSFYICSGKVAPLVIRKVHIFQESPVKPCKYSLEILKTVTRLFDAFPNIAEGFLNNPEGAMQPFVRLYKYFVEPFIVPFIAYYTHFWTYLAKLLTVVLNMLYRTIKW >LPERR03G35800.3 pep chromosome:Lperr_V1.4:3:29582070:29583457:-1 gene:LPERR03G35800 transcript:LPERR03G35800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSFQSPPIFKLQSIRPQQHRLPPQQQQLIGSIWATPTRKKDLVLKPVQATLGPNSTGGRGGSSLSDVIQEFYSFLNDKDSKRLEELIAPDCTIEDNAYYKPLDIKTSQTYFRRLMDVMGKNVKFAIDEVSQGVEPTLAVMWHLEWNGKTIPFTKGCSFYICSGKVAPLVIRKVHIFQESPVKPCKYSLEILKTVTRLFDAFPNIAEGFLNNPEGAMQPFVRLYKYFVEPFIVPFIAYYTHFWTYLAKLLTVVLNMLYRTIKW >LPERR03G35810.1 pep chromosome:Lperr_V1.4:3:29585387:29585621:-1 gene:LPERR03G35810 transcript:LPERR03G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNDDDIFGGDGIDHASPFFPLKRRKREKKKMWRMHGLWLFKDPHPPPPY >LPERR03G35820.1 pep chromosome:Lperr_V1.4:3:29587573:29594374:1 gene:LPERR03G35820 transcript:LPERR03G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFVSSPACCLQLHRVGRLQAAASPARLHLQLRLRLRPLRADSSPSPPDSFAGWSDEDDQDEQDKGGPFPGLLGPGLAGLFFLAGLTFAAISFRTNGPRTQMETLPTKSEQAPYSIDNTCKEDCLEDAQDLLPRDSKDEASLDDEAHGSTYLLPGVSGGAIGHETHHPLQSSDIVPNDNYAPSEGAGPSDGTPYVEDTLDYEIAFPENQRLDEPLISDALTLDSGGAVPIQEIPDTAVEDASDANEKDTEKNPELHSKNGISPSRLTDYMDNGNANQVLSFGSNDLSTEADNPGNGMGTLASYKNEGTHQIESQNILYESITPDQSFSSSGIPAPTLLSAALRVRTGQIMVPAAIDPAQANALAALQVLKVIEPDAQAGELCTRREYARWLVVASNCLSRNTSSKVYPAMFIENVTELAFDDITPEDSDFPFIQGLAEAGLISSKLSRSDMNVPQDASDSHILFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILLLLNSSMYKASGYIDVDKINAAAWPALVADLVAADQSITALAFALFKGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKIAEAAVNAHGELVAQVEKDLNASFERELTKEREKIEILEKLAEEARVELEHLRAERVEEYNALTRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHAKALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEMKIRSCRSLERIIQHVSSFISSLKQGAAEARQRCTDLAAAAALKAKKLSSEARCNFRSFGSTIGDKSKRVVEDCKEGLEKFAHRFKTD >LPERR03G35820.2 pep chromosome:Lperr_V1.4:3:29587573:29594374:1 gene:LPERR03G35820 transcript:LPERR03G35820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFVSSPACCLQLHRVGRLQAAASPARLHLQLRLRLRPLRADSSPSPPDSFAGWSDEDDQDEQDKGGPFPGPGLAGLFFLAGLTFAAISFRTNGPRTQMETLPTKSEQAPYSIDNTCKEDCLEDAQDLLPRDSKDEASLDDEAHGSTYLLPGVSGGAIGHETHHPLQSSDIVPNDNYAPSEGAGQEDNLVASDGDGSLVSPLSNSAQPAIIPYDSSDKLCGVGPSDGTPYVEDTLDYEIAFPENQRLDEPLISDALTLDSGGAVPIQEIPDTAVEDASDANEKDTEKNPELHSKNGISPSRLTDYMDNGNANQVLSFGSNDLSTEADNPGNGMGTLASYKNEGTHQIESQNILYESITPDQSFSSSGIPAPTLLSAALRVRTGQIMVPAAIDPAQANALAALQVLKVIEPDAQAGELCTRREYARWLVVASNCLSRNTSSKVYPAMFIENVTELAFDDITPEDSDFPFIQGLAEAGLISSKLSRSDMNVPQDASDSHILFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILLLLNSSMYKASGYIDVDKINAAAWPALVADLVAADQSITALAFALFKGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKIAEAAVNAHGELVAQVEKDLNASFERELTKEREKIEILEKLAEEARVELEHLRAERVEEYNALTRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHAKALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEMKIRSCRSLERIIQHVSSFISSLKQGAAEARQRCTDLAAAAALKAKKLSSEARCNFRSFGSTIGDKSKRVVEDCKEGLEKFAHRFKTD >LPERR03G35820.3 pep chromosome:Lperr_V1.4:3:29587573:29594374:1 gene:LPERR03G35820 transcript:LPERR03G35820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFVSSPACCLQLHRVGRLQAAASPARLHLQLRLRLRPLRADSSPSPPDSFAGWSDEDDQDEQDKGGPFPGLLGPGLAGLFFLAGLTFAAISFRTNGPRTQMETLPTKSEQAPYSIDNTCKEDCLEDAQDLLPRDSKDEASLDDEAHGSTYLLPGVSGGAIGHETHHPLQSSDIVPNDNYAPSEGAGQEDNLVASDGDGSLVSPLSNSAQPAIIPYDSSDKLCGVGPSDGTPYVEDTLDYEIAFPENQRLDEPLISDALTLDSGGAVPIQEIPDTAVEDASDANEKDTEKNPELHSKNGISPSRLTDYMDNGNANQVLSFGSNDLSTEADNPGNGMGTLASYKNEGTHQIESQNILYESITPDQSFSSSGIPAPTLLSAALRVRTGQIMVPAAIDPAQANALAALQVLKVIEPDAQAGELCTRREYARWLVVASNCLSRNTSSKVYPAMFIENVTELAFDDITPEDSDFPFIQGLAEAGLISSKLSRSDMNVPQDASDSHILFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILLLLNSSMYKASGYIDVDKINAAAWPALVADLVAADQSITALAFGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKIAEAAVNAHGELVAQVEKDLNASFERELTKEREKIEILEKLAEEARVELEHLRAERVEEYNALTRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHAKALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEMKIRSCRSLERIIQHVSSFISSLKQGAAEARQRCTDLAAAAALKAKKLSSEARCNFRSFGSTIGDKSKRVVEDCKEGLEKFAHRFKTD >LPERR03G35820.4 pep chromosome:Lperr_V1.4:3:29587573:29594374:1 gene:LPERR03G35820 transcript:LPERR03G35820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFVSSPACCLQLHRVGRLQAAASPARLHLQLRLRLRPLRADSSPSPPDSFAGWSDEDDQDEQDKGGPFPGLLGPGLAGLFFLAGLTFAAISFRTNGPRTQMETLPTKSEQAPYSIDNTCKEDCLEDAQDLLPRDSKDEASLDDEAHGSTYLLPGVSGGAIGHETHHPLQSSDIVPNDNYAPSEGAGQEDNLVASDGDGSLVSPLSNSAQPAIIPYDSSDKLCGVGPSDGTPYVEDTLDYEIAFPENQRLDEPLISDALTLDSGGAVPIQEIPDTAVEDASDANEKDTEKNPELHSKNGISPSRLTDYMDNGNANQVLSFGSNDLSTEADNPGNGMGTLASYKNEGTHQIESQNILYESITPDQSFSSSGIPAPTLLSAALRVRTGQIMVPAAIDPAQANALAALQVLKVIEPDAQAGELCTRREYARWLVVASNCLSRNTSSKVYPAMFIENVTELAFDDITPEDSDFPFIQGLAEAGLISSKLSRSDMNVPQDASDSHILFSPECPVSRQDLVSWKMALDKRQLPEVDKTSMYKASGYIDVDKINAAAWPALVADLVAADQSITALAFGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKIAEAAVNAHGELVAQVEKDLNASFERELTKEREKIEILEKLAEEARVELEHLRAERVEEYNALTRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHAKALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEMKIRSCRSLERIIQHVSSFISSLKQGAAEARQRCTDLAAAAALKAKKLSSEARCNFRSFGSTIGDKSKRVVEDCKEGLEKFAHRFKTD >LPERR04G00010.1 pep chromosome:Lperr_V1.4:4:38:8042:1 gene:LPERR04G00010 transcript:LPERR04G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPSTAMYPLHRCKTIYLVRHAQGIHNVEGEKDHSAYMSPHLFDAHLTPLGWNQVDCLHEHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENPVDGVSASPLMVENAGHSSRPAISSLNCPPFLAVEACREHLIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRESHPTIREEVGKHFANCELRSMVLVDTSMLGSKSPTYNYPGRTPAGLDLPSDAGFG >LPERR04G00020.1 pep chromosome:Lperr_V1.4:4:6103:9111:-1 gene:LPERR04G00020 transcript:LPERR04G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNIGQINSTQLTDAVWKNFFQGKLTYTHWNKGEQAMAPIVSTTGGSLLEARDSRVFGPVPMTDILGRVVYSLRTAVDHGPVENSRMAMNQDSSVLAVELDVEEMAKNNKV >LPERR04G00030.1 pep chromosome:Lperr_V1.4:4:11900:17952:-1 gene:LPERR04G00030 transcript:LPERR04G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTADHFSKKPEQGVVLLGDDRDPVERVEEALQCLPPYDPSVHRMTDDKPPFLYWKIRDFAHAYRSGSTTPSAVAEHIIAGVEQWSNKKPPMPMLVYFNADDLRKQADASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRPVEKDAVCVARLRKCGVVFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALAYAQQQLEQMVESPLCVPNLVSPNSKNILESVKIGKYTEWFHDVSDRDISNTCEDALKLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLKPHYKAGKRTAFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPKIPESALKSGESDYVVSACLMRFVLAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRMASAIEVLCDDIMNN >LPERR04G00030.2 pep chromosome:Lperr_V1.4:4:11900:17952:-1 gene:LPERR04G00030 transcript:LPERR04G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTADHFSKKPEQGVVLLGDDRDPVERVEEALQCLPPYDPSVHRMTDDKPPFLYWKIRDFAHAYRSGSTTPSAVAEHIIAGVEQWSNKKPPMPMLVYFNADDLRKQADASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRPVEKDAVCVARLRKCGVVFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIVGLKTTYGRTDMTGQPAKLTSSAFLSLSSLQSPLCVPNLVSPNSKNILESVKIGKYTEWFHDVSDRDISNTCEDALKLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLKPHYKAGKRTAFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPKIPESALKSGESDYVVSACLMRFVLAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRMASAIEVLCDDIMNN >LPERR04G00030.3 pep chromosome:Lperr_V1.4:4:11900:17952:-1 gene:LPERR04G00030 transcript:LPERR04G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTADHFSKKPEQGVVLLGDDRDPVERVEEALQCLPPYDPSVHRMTDDKPPFLYWKIRDFAHAYRSGSTTPSAVAEHIIAGVEQWSNKKPPMPMLVYFNADDLRKQADASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRPVEKDAVCVARLRKCGVVFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALAYAQQQLEQMVESPLCVPNLVSPNSKNILESVKIGKYTEWFHDVSDRDISNTCEDALKLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLKPHYKAGKRTAFTLDTRTSLALFGSFTSTDYVASQRISITAPKIPESALKSGESDYVVSACLMRFVLAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRMASAIEVLCDDIMNN >LPERR04G00030.4 pep chromosome:Lperr_V1.4:4:11900:17952:-1 gene:LPERR04G00030 transcript:LPERR04G00030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTADHFSKKPEQGVVLLGDDRDPVERVEEALQCLPPYDPSVHRMTDDKPPFLYWKIRDFAHAYRSGSTTPSAVAEHIIAGVEQWSNKKPPMPMLVYFNADDLRKQADASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRPVEKDAVCVARLRKCGVVFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIVGLKTTYGRTDMTGQPAKLTSSAFLSLSSLQSPLCVPNLVSPNSKNILESVKIGKYTEWFHDVSDRDISNTCEDALKLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLKPHYKAGKRTAFTLDTRTSLALFGSFTSTDYVASQRISITAPKIPESALKSGESDYVVSACLMRFVLAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRMASAIEVLCDDIMNN >LPERR04G00040.1 pep chromosome:Lperr_V1.4:4:17909:22458:1 gene:LPERR04G00040 transcript:LPERR04G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKHQQLLRHQFATSSPPSSPLRHSSSSSPRAAAHHHQQHLLGGYPHPFLLFSRRPLPRFAAFFLLGSFLGLLHFLGSHLPLRPHLPLPSNSHSPSSIDSIPIPHHHHPLQQPRSSSGGGEKKLLIVVTPTRARPSQAYYLTRMGHTLRLVDPPVLWLVVQDGNPTPEAAAALRRTAVMHRYIGCSHHNASSLPHQLNAALEVVENHRLDGIVYFADEEGVYSLHLFHRLRHIRRFATWPVPVISQDKNEVLLQGPVCKQGQVVGWHTTEDGNKLQRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSMFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDAYTVEN >LPERR04G00050.1 pep chromosome:Lperr_V1.4:4:21900:24554:-1 gene:LPERR04G00050 transcript:LPERR04G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGAEVAEPEVSRLCLEAQQHILAGRWLDMASLMLASADLLLQSSRLPDKDKDLECILAIICNLVTKAESHDEALQIADLICAKLTQHPDDKPALRIKVLFSLYNLLGSPYGKAFVYKKALDLAAAGKAADCIIPTFKNIDGFVAEWAIGKLEQRDLFLAAARILKDQKGMSKEYYNFLNKYLATFEESGDDAEAITDAKEEAVAAIIEFVKSSDLYQCDLLNMPAVSQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEECVTKMRLMSLLDLSSHCAGEIPYSAIIGALKMNDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMAQWQSLRAKLGVWRGNIASAINTIQANKVTDEASQGIQGLMIR >LPERR04G00060.1 pep chromosome:Lperr_V1.4:4:25792:28004:-1 gene:LPERR04G00060 transcript:LPERR04G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPLQDPVAEAHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGCLAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDPSDDFSFPTLFPDAMRPVTDPVANFFDRMLCARSRPSEIALPVTDPTKASRRRERGERVLEERMAADHGGDTEAPAQGHSTAED >LPERR04G00070.1 pep chromosome:Lperr_V1.4:4:45709:48278:1 gene:LPERR04G00070 transcript:LPERR04G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVHLLPRRWFLLSSLLLVAAAAAAAPVVHGYGGLTVGFYEKSCPEAEAIVRKVVAAAVHDDPTTTAPLLRLHFHDCFVRGCDGSVLINSTKKNTAEKDAKPNHTLDAFDVIDAIKEKLESKCPRTVSCADILAIAARDAAVRQGQWSKDGNLYEVETGRRDGRVSSAKEAVTYLPDSFDGIRRLITRFASKGLSLKDLAVLSGAHALGNTHCPSIAKRLRNFTRHHNTDPTLDAAYASKLRRECRSERDNTTQLEMVPGSSTTFDATYYSLVAQRKGMFHSDEALLRNDLTRGLVYQYMSSQHSFLRDFGVSMVNMGRVAVLTGTHGEIRRTCSLVN >LPERR04G00080.1 pep chromosome:Lperr_V1.4:4:51952:66458:-1 gene:LPERR04G00080 transcript:LPERR04G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYIFLGLLLLFSLEAPPCSAASDTLVEGQVLSIGDKLVSRNGKFALGFFQPSANISKYSSDNTTSWYLGIWFNKIPIYTVVWVANRERPITEPKLKLTQLKISGDGNLAIFDHDATESTIWSTHIDNRTETSMNTSVVLQNSGNLVIESPSNVVLWQSFDYPTDVALPNAKIGWNKVTRLNRVGVSKKSLIDMGLGSYSVQLYTNGTRKVTIEHRNPSLVYWSWSPDESGMKIPALKALLHMNPQTSGLITPNYVNNSEEEYYSYNSSDESSSTFLLLDMSGQIRFNVWSQDKQSWQSLYVQPVDPCRSYDTCGPFTICNGNAQPFCDCMESFTRKSPQDWDLGDRTGGCSRNTPLDCTGNKTTSSTDMFYPITRVKLPRDPQSIQEATVQSKCAETCLSSCSCTAYSFQNGICSIWHGELFSVNQNDGIEIHSEDVLYLRLAAKDLQTLRTNKRKPNVGVVTAASITSFMLLMVMFFLLIWRNRFKWCGVPLHNNEASSGIKAFRYTDLVHATKNFSEKLGAGGFGSVFKGILSDSTTIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGHKRLLAYEHMLNGSLDAHLFQSNGMLSWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFTPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFGMVLLEIVSGRRNSAQEYISDSCHVSYFPVQAIEKLHQGDVRNLVDPRLHDDCNSEEVERVCKVACWCIQDNELDRPTMSEVVRVLEGLQELDMPPMPRLLAALTKCSIEAKLATIAEIRSDLNDTLLAGQVLTVSDKLVSRNGKFTLGFFQQEAGTSKSDGWYLGIWFSKILFGWNKRTGLNRQGISWKSLIDPGLGSYSVELDTNGTKGVIQMLRDPPKVYWYGLTSPTLVPELRSLVAMNPRTRGLIIPEYVDNAEEEYYMYTSPDGSSSSFLSLDMSGQIKLNYWQIVYAHPADPCNPFATCGPFTICNGISNPVCDCMESFTRKSPRDWNLGDRTGGCTRNTPLNCTVSSNKTSSSDMFRPIAHVKLPYNSENIQDAIGQSKCAQACLDSCSCTAYAYQNSKCSVWHGDLFSVNENDGIENHSDYVLYLRLAAKDLQFCVTNAHSVGNDLEEQIQVVYWCAITQQPRYSDLVHATKNFSKKLGAGGFGSVFKGVLRDLTIVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDAHLLQSNPTVLNWSTRYQIALGVARGLSYLHQSCRECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVMTTFRGTIGYLAPEWISGVAITPKVDVYSYGMVLLEIISGMRNLPNVHSSNSYHAAYFPVRAINKLHEGDVPSLVDPQLSGDFNLEEVERVCKVACWCIQDNEFNRPTMGEVVLVLDGLQEFAMPPMPKLLAALTSSSDVATM >LPERR04G00090.1 pep chromosome:Lperr_V1.4:4:70850:73528:-1 gene:LPERR04G00090 transcript:LPERR04G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQGSDGDGGCSGGAWDGNWAGRILEIIYVHYKKALDTLPLEHIPALAPRLLNAGVCFGFADPVTNIITNMLLLDCEGQLDLNEGGGGKKRKRRGNTSRDEVLSKIVTGDGSSPPESRTIAERSFYGLLSFLISYFRDLPTWDALRYLYLARVDLLVAVHLIQDDRCCRRRRKQQFCIRPQAVSAALKCAAYSARLPNTDAFLTGSFTLVSHLSLITHKTLSCLLSVEDISWLSRLLHEQLKLKKSDKPMVFAAQRLHHLDINASIGKVPAGRLMESMRDILLDRIHTQYLKAISLLPMEDLRIRHHRSLLKAGYCYGSFNPVNNIIVNTIWYDSTFPPSENFEVDMISTLAHIESRSLNGLIAFLRGSITDLSENDAMIYLMKYNLDSCKIIEMSRQEGYATSVVDDSGYKVAVDAASHPKSEEFVEFAMRRLPMVQSVVMSLLQDSRMLSSSVVCRLSTLLSDSSIEFLKPAVRLTEDAMKFFSSCKEDFLTQQSLVCRQVKAALKSYEQTTGNCYELCIICCVNDYVGKRRIGDLVKRQFSHVNFWANSSDGTSTIFFSQSSVMMKTTKIISLFATLCHMEHKYMGTRIVHPTENGWEGAREYEKITCGEHKLTNHAIISGGRLNDIRGGGIFAREYIYLDPAWDVKLVHAINHGARMRNRDVYAEMKKNESDGAVGQNS >LPERR04G00100.1 pep chromosome:Lperr_V1.4:4:73539:75104:-1 gene:LPERR04G00100 transcript:LPERR04G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVEPSVSTTTRRRTERTLRGGRPQPDGALPSLGSRARSLDAAGRPLSQPPRPHPAPAIEEGHRQLDLHPCRRVSPELLPRVPELSHATTWPEHLLSPDRPQGATT >LPERR04G00110.1 pep chromosome:Lperr_V1.4:4:78239:80425:-1 gene:LPERR04G00110 transcript:LPERR04G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGEYYNKEGRGQAAILGIGSAVPPYELPQSSFPDYYFDVSNSNHRQDLKAKFAKICERTMIEKRCVYMSEEFLRSNPSVTAYSSPSIDVRQRLTDATVPELGAAAARVAIADWGRPASEISHLVMCSTVSGCMPGADYEVVKLLGLPLSTKRCMMYHIGCHGGGTVLRIAKDIAENNPGARVLVVCSEVISMALRGPSDSNMGNLVGQALFGDAGAAVVVGTDPVESCGERALFEMVAAMQDIIPDTEEMVVAKLREDGLLYSLHRDVPVHIEANIEAIVNKSGVGAKAADWNEDVFWLVHPGGRDILDRVARRLGLRHDKVEVSREVMRKHGNTLSSCVVIAMEEMRRRSAERGMGTAGEGLEWGLLFGFGPGLTVETILLRAPLTHPPPCAHAAVRPQ >LPERR04G00120.1 pep chromosome:Lperr_V1.4:4:95380:104804:1 gene:LPERR04G00120 transcript:LPERR04G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTKSPPSDLAGAGEKRKREEDATINSNSGQHPMWKTSLCSFFRRRGVSAADGCSHGESCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAEEEVEEETVTVDDTALDKCLVGLPRGWAADRLKTFLQDKARTAKTKTRKLYAYTLLHMHDSIFRWQAGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLAENQSGGKEIKIADANRRSHQKLHPERPVSDNGVSTENGTSVDIPPGGTSAPEAAISSKKSVRDAVTPLAHMSYNDQLEHKKNSIAQILKRLTRNARKACPTAIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCNYALMFQDFLQSSSLPVWNRIDNCGFWRQFTVREGRCSAQAVAQNAETQISEVMLIVQVCSTGVDEALMKDEFDKLTVALEQGAATCTPPLPLTTIVVQDHKGISNAAPADCPLIPLMVPNIDQSEGMVDKTRIHDHISNLRFSISPTAFFQVVGIEMNESAVSDAQRNALINGVENCRFVCGKAEDVMGSLLTEYLGSPQQEIPVSEGEVSVTSKDKEATDDSQNNGENMDSLMPKKDSGEDQQFRDTSADCSSVIDEIKGTSTDRDSNGLEGSHDENNEAEAVGEDICGEVLLISEPVDMKVSDCLEKSKTCQGGSSTSNNDVTATTACQFQDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >LPERR04G00130.1 pep chromosome:Lperr_V1.4:4:108089:111846:1 gene:LPERR04G00130 transcript:LPERR04G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEKLEGTSGTTTSQDTASDQHRNQPHLSIDIPPTTVVNNMAGSSFLTPTPTQAADITPTPSLSSSRSIRTAPPKPQRSPSFMLRQTVKNLLPAGSFKSSVKFFNARISRTSSLPVTDVSQETDKTSIIPVVDKTGYIHRSQSLPMNMKKFNNAKSFKRMNSLGGVYRVVPSTPAVPATTSNVIPDIVPTEPGVGDEDGEDIPEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEEVQNLPVTLVRVQSMQAETNRVTNNSANTSRYDQFRMWQGAPILVIVSILAYFCFLEQLLVAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAGRVVQPPAAQTRRRRRQQQQSPASAANSNNVEQRNAGTDVENPPVLGS >LPERR04G00140.1 pep chromosome:Lperr_V1.4:4:114236:114652:1 gene:LPERR04G00140 transcript:LPERR04G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSNSNVASEVSSILAKLNEHLAGDDKEAAAGSNIITLAGENNGATMEVAGGGDVEDLVVVEAAGDEDEEEEEEEESVVSAYTNSNYQAVNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDDHALHVREESIN >LPERR04G00150.1 pep chromosome:Lperr_V1.4:4:119725:121435:1 gene:LPERR04G00150 transcript:LPERR04G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVKNMVIAFLVPLPSILFFFSFVRPTTTTSSSVSSWCAAHPLLVANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYKAHPAAVADTARSAVAVALTWAWSARLTHNYLRREGWEWGKREDWRFAEMRGQYGKAWWWMSLFAVYLSQQVFLIGICLPMYAIHSSTQPWGIWDLAATMACIAGIVIAHFADTQLHSFVTRNEKLKKVGEPTVATLEDGLWRYSRHPNYFGEQLWWWGLYLFACNLGQPWMLVGPLVNSMCLGYVTVLVERRMVKQVHRAEAYKLYQKRTSVWIPWFRKAIPEPNHHKDT >LPERR04G00160.1 pep chromosome:Lperr_V1.4:4:124197:125812:1 gene:LPERR04G00160 transcript:LPERR04G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNALSGLGGGGQLDHSTADNANTALYACFAVFGVLGGGVHNLLGPRITLLAGALTYPLYAASFLYYNHHKSKSSQAFPVTAGALLGAGAGLLWAAQGAIMTSYPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRGDDAASVNDGTYIAFMAFMLLGAALALLLLPPSRIVRDDGTRATRVTYSSVSTEGWEILKLFTNWRMLLVLTPAWASNFFYTYQFNNVNGALFTLRTKGLNNVFYWGAQMVGSAGIGYLLDFGFESRRRRGLFGVALVAVLGTAIWGGGLANQLRYTDGKWGEKLIDFKEGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRMVNQQSDWSWTTGLLLYYCKKY >LPERR04G00170.1 pep chromosome:Lperr_V1.4:4:127688:128715:1 gene:LPERR04G00170 transcript:LPERR04G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPAVYDGGHSHSHSRRRRRRQIYGDSPGNVARGCVNIQLSVTDAIKRILKCDQVRHSVYK >LPERR04G00180.1 pep chromosome:Lperr_V1.4:4:128918:145309:1 gene:LPERR04G00180 transcript:LPERR04G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVGSAIVGDAVRRTFTGIISKNEDSSDEGGNIERLEMAHIKMEAAIETSNKWQITDTPLLRWQKKLKRASQECDDILHRCRQRTLEDKEIEEQIKQSSFPRRVAHATKSFIFSFTGHNNDEYSSSAVRKFERIADSANDFLRFVQLGGRPRQYLFFDPLIAHLFAGKYLKYQILHDGSRYHYFAIRPMNFEERGLEAMMSFIYEDCKVPKNSFRLGFMMRLSESTDIMGITVRCLQLVTPHFKSTADIVIRELTQLPTQDFSRLPPNDAYGSKEHWDNVHSTLTQWFRPDPVCCTKGCAPAHSSNSTKTSSMSSIFPEPVSEVFLQCHISLSEYNVLQGSSTARYGTSSVQNFPPLKLGILFMPHDSAKELKPSDGESYAVEAIDGEKQQTSHVNVHPHQLDEMLLPKAINYLYHNAEASTYQMSWKSKHGSAHLCVEKISMATPLRARRTTRRQGRRNKIQALQMQEQIKNGQWKQVARDFLKLLAVRSSDNLQGSFIMIETVRSAIVGDAVGRIFSGVISKNEDNLDEGGNIERLEMAHIKMEAAIETSNKWQITDMPLLRWQKKLKRASQECDDILHRCKQRALEHRENEGRIKQSSFPSRIAHATKSFIISFSGKNNDDYSSRTVVQRFERIADSASDFLRYVQLGKSLRYQMLHDGSQYHYFVIRPMYFEERGLEAMMSFIYEDYKVLKNSFRLGFTLRLSESTDIMGITIKCLQLVTPHFKSIAEVVIRELTQLSTQDFSWLPPYNACGKMEHWDNVHSTLTRWFRPDPLCCHEGCVPACSSNTKTSMSRIFPEPVSECHISLSEYNNLKGSSATSYGTSSPDNFPPLKLGILFMPHDSVEDLEPANTTEGYVVEAIDGEKQHTKYVNIHPHQLDEMLLPKAIEYLYHNGEVSTYQMSWKSKHGSAHLCVEKTSMSTLPLAHRKTRRGRRNKSQAIQMEEHIKNWQWKQVTQDFLKLLAVRSPDNSQGSIERLEMAHIKMESAIETSLRKWQITHTPLLRWQKKLKPNQQKKKQSDEPLHRRVSHATKSFVFSFIGYDNAQAAVFSEDLRGLRILGGRPRQYLFFDPLIVHLFAGKSIRKVQTSWGYSQVFTKLVAPHFKPTADAVIRELTQLPTQDFSWLPPYDEYGGREHMNNCHISLSSVSGYDMSSLDNFPPLKLGILFMPHDSVEDVLKP >LPERR04G00190.1 pep chromosome:Lperr_V1.4:4:147190:147975:-1 gene:LPERR04G00190 transcript:LPERR04G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRRHPPPLILLFLLLAATIAGASQSTRSRAAADFVRRSCRATRYPQVCERSLMPAAPSVGRSPRLLAQAALAVGADRAHSCSPYLAGGGGSSKRSGGAMGDCADTLRDAEERLRQSAAEMKRMGRAGSPRCLTT >LPERR04G00200.1 pep chromosome:Lperr_V1.4:4:154690:172886:1 gene:LPERR04G00200 transcript:LPERR04G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASGALVAGGAFSLPLWYWWCTGLAFSLPMMAAEFFFDLRLVTPPGVVVNVARGANVDEAELVRALAEGRIAGAGLEVFDDEPNVPKELMGMDNVVLTPHQAVFTPESMADVGRLTVANLDAFFAGKPLLTSVAVSEREKMAPPSPNAAGVVSDAGRPPLVLLTRPLFSEFAAALDGRFTFVLAADADEATAAEARVLLGPALAPVTADLVDRFPKLEILVATSAGVDHIDLDACRRRGITVTNAGDAFSAESADYAVGLVVAVLRRVVAAEAYLRQGRWAKDGDYPLATKVSGKRVGIVGLGSIGSMVARRLAAFGCIISYNSRSEKPSVPYKFYAGVRELAADSDVMVLSCALTEETRHMVNREVMEALGKDGVLVNVGRGGLVDEPEMVRCLQEGVIGGAGLDVYENEPAVPPELFAMDNVVLSDHRAVITPESIQGVLDVVAGNLDAFFSGKPLLSPVKL >LPERR04G00200.2 pep chromosome:Lperr_V1.4:4:149957:154903:1 gene:LPERR04G00200 transcript:LPERR04G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAGTKWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDERLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNTYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGNVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >LPERR04G00200.3 pep chromosome:Lperr_V1.4:4:154691:157799:1 gene:LPERR04G00200 transcript:LPERR04G00200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQWRGGAAAMSLSTMVATSSAAPASGKPPAILLLRPVDPPFAVALRERFRVLDFLSSGEPLPAFLAAAAAVPDPPRAAVVMGGGAVRVDGVLFDAVPSLRCVVSTAAGIDHIDLPECARRGVVVANSGKVYSADVADHAVGMLIDVMRRVSAADRYVRRGLWPLQGDYPLGSKLSGKRVGIIGLGNIGSLIAKRLEAFGCVISYNSRKPKDSVPYSYFADVRALAADSDVLVVSCALNKETRRIVDGEVLDALGPGGVLINIGRGANVDEAELVRALREGRIAGAGLDVFDGEPKKPAALVAMDDKVVMTPHVAVWTTESRSDLRDHTVANLEAFFSGEPLLTPVTMLP >LPERR04G00210.1 pep chromosome:Lperr_V1.4:4:162412:164181:-1 gene:LPERR04G00210 transcript:LPERR04G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKKHWFFSSSSRSRSRSSSSPAPATDEAPPPPLPTVKPGRPSPETYPGCMAMMHYIMFSPGAGCVGRPPSSSHAAAVAVVATNAASPLSPAGGGIQAPRNSLDLETEQQLRRDIQIGVQIEPAFDTLMARSSRRPSAAPSEAETPRTPSLVARLMGIDGLPESSPSPAWEKKKRVIPESISLRQRQPLISRSLPDTPRASTSSARPTAAPTWDVVDHPRLSLQVLKDNVLDRAAQYMSMPTSPTSGGGGKKKKSSRRRDAAEIVRQAKETVTNRKSKKNSAAIIGKENASPVHHQYQSGKENAPPATRAPLADVQQPHAPRLPLQPRTTPPPPQRRAKPSRPPPPPPPLDPPPPRATAPAVKCKRPDGCERFATRIKKQPSSPAASSTEYKDIAVVSGDRNNVAATGPSEEDSPEYVYLRRVLERGGFMRGGGTRRAWKGHSVATPVDPLVFHLLELEMPPEEEAKQLGPLRHRWNRKLLFHLTQEMLAEQLLGLDPTTSSSSSSPEAEALVARLWRRARSFPAADCKVVEDVLALVASDVEAAAASRRVVERRLLAEEADDVKDDVAEHLLDALLHELCSAVRAG >LPERR04G00220.1 pep chromosome:Lperr_V1.4:4:176958:180975:1 gene:LPERR04G00220 transcript:LPERR04G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVKAIVETLLVHLLVSETEMIDFPLDIGEGNEINFDNCPRSKLQSHFIKYLGPKKKGSFQHYGFWLVELSHLLEAGQFLSDKIDIVVLLYAIWPYNSHYLPTDENFGEFISYLLEDGINLADVKRSSINKDE >LPERR04G00220.2 pep chromosome:Lperr_V1.4:4:174672:177121:1 gene:LPERR04G00220 transcript:LPERR04G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQALDKIRFESLTDKSKLDAQPELFIRLVPDKATKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFVSYPIYLWTEKTTEKEISDDEEDDGESKGKEKEGDIEEVDDNDSKNKSKKKKVKEVSHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYTKFYEAFSKNLKLGIHEDSQNRAKLADLLRYQSTKSGDELTSLKDYVTRMKEGQKEIYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVAATKEGLKLDEDSEEEKRRREERKKAFEPLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSAYMSSKKTMEINPENGIMEELRKRADADPNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDDASAADDDADMPALDEANAEESKMEEVD >LPERR04G00230.1 pep chromosome:Lperr_V1.4:4:186536:195186:-1 gene:LPERR04G00230 transcript:LPERR04G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLVLPLLLAISTPAMADVVSYSFPAMGGYRASNGDLVVVTNTSILSPATFLFDAQLFPVFNQSDGFLLLADTVDLWRAAAGDNANTSAIVEASFNTSFTVDKAAFLSSAVAFVILLDSFPPLASHHGVPAPTAGAMFLFLVCCSSSLLASCSCCSDETTFSFPSTQLEDTLALLKDAEVSRGGTILNLTPPSSNKSGSGAAILPSPVTLRRVDSSSGVQQQEASFHTSFTISVLSSDDQKPNLSPADEASAKQHNEGEEGLAFVIVPTINGPPVRGTAGDSFVAVEFDNIGVSIKAGGNITTTATTPTLTTNKTSNYTVWIDYEGDEHRLSIYMDLEDRPKPQEACLDVSLNLSSLVPDRAFMGFSASTGGLGLHRYSITSWNLTVKQAHSSHYGDINWPVILPAVLGSILITAIMNVIVAALYFNSKYKKLKMELVLSDALRRLPGMPREFKHETIRKATNNFDEGRKLGNGGFGAVFRGTLRQSAGKKDGETATVSSVGVEVAVKKFTRDENRCYDDFLSEVDIINRLRHRNVVPLVGWSYEKGELLLIYEYMPNGSLDQQLFPKNKPGHILSWTTRYNIVMDIAAGLHYVHHEHEHMVLHRDIKASNIMLDSAFHARLGDFGLARIVVGHDKNSYTDLAVAGTWGFIAPEYSVSHKATRKTDVYAFGVLVLEIVTGRRAICKFQGTFQLLTDWVWRLHREGRLLDAVDNGVVSSMEEFNADDAIRLLLLGLACSNPNPSDRPSMMEVMQVVARSVPPPAVPIVQPAFVWPPDGGIELDSDGIYSTTSDLNVSMCRQWEHKTSSSDALAEK >LPERR04G00240.1 pep chromosome:Lperr_V1.4:4:198168:199915:1 gene:LPERR04G00240 transcript:LPERR04G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKAASSASPSTTTTISFFMAVILLLAIASTLSVVDAVDVGVEEELDAARAAIRRAARRQRYHNNPGVNGSVDDDALMWRVYRNPAAFRRSYVEMERRFKVYVYQEGEPPILHEGPCKNIYSIEGRFIEQLELMSPVDDAGGGVRTWDPTRAHAFFLPFSVSQMVKFAYLPLSQDRSPLRDIVADYVRVVAGRHPFWNRSSGADHFMLSCHDWGPYASRGQPELYRNAIRALCNANTSEGFRPAKDVSVPEINLYDGDTPRELTMSPAPELASRPFLAFFAGGRHGHVRDLLLRHWKGRDTANFPVYEYDLPAAATGAGGDNGRRGEYYSFMRRARFCLCPSGHEVASPRVVEAIHAECVPVLIADGYALPFADVLRWEAFSVSVPVADIPRLRETLDRIPAAEVERLRRGVRLVKRHFMLNQPPRRMDMFNMILHSVWLRRLNH >LPERR04G00250.1 pep chromosome:Lperr_V1.4:4:203777:204524:1 gene:LPERR04G00250 transcript:LPERR04G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPELHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPGCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFFADGDPKPPPSDDCEEMPAWRAAAPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAVLVVAGLVFYGATVAYALTNYLP >LPERR04G00260.1 pep chromosome:Lperr_V1.4:4:206720:213013:1 gene:LPERR04G00260 transcript:LPERR04G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHANPQMHLEFKDLSELPDGEMDARLEVLEFLSHWGLINFHPFPPAGQGLSELVESITSADTEGKISVVEKLFQFETMQSYLIPAPNQPDVAAPIYAPSLLSEPTLAEDFITQVESSVEYHCNSCSVDCSRKRYHCRTQYGVKSSVEQRKQQTLRATQDKCRNGTRRGAKRSTQMEPKPSAAATATPTTYRRRGKPKKRKAKATKPPSPSPQPKRQARDRHPSSSDGDDTRHSPPNPATEEDASKLPPVAEEAEAIHVLPTIDGEERSSKKANMELKPLPPSAAPIYCRRRKPKRKAKPSSPSLPPKHQAMERDPSELPPPQIRPLTHSAHQSPLNPTEDEDAPRLDEGELDPAPCTQEVAKLPSTSEEVEAVLSRGASVHVIPTFADFDLCCDCYDEGKLDSGMSQTDFILMESADIPGFGSTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLQMPIMDSFLHDGDANETSHETAEKVSAEQGTSRVTEKTEVGKAEGTKTNNGKAAAKTRLNLTETGGNLDDHVVANTNTNCSIDIIVDASGKTGESNRSSDTEPTKKETSGENTSNFVDNVLKNAFEAVGHIPNKEDLGSFTEAGNPVMALAAFLSGLVDHDDATMLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDLPVSSANTDGGQQKDKDAISNPNVTDKDENLKEGSALSVEEQSTTSTSSKNTRELSNTKESKNGSSQVDPKSTGANDYENPICEVVKSASDNIRGHSSTSLHVSLNNRNEPCSISSQEASAGSTKDTSEIEHEVTDLSTVQQHESIRTSLKNGNIQDPNSIKDMVADGLSIQRLQQAAATAISAAAVKAKLLAKQEEYEIQQLAALVIDKQLHKMQAKMAFFTEVENLVLQARSHTEKTRKKLLMDRNAIIASRMAAMPPRPPPNQPGMPGSRLPVGYGVNQQHLRRS >LPERR04G00260.2 pep chromosome:Lperr_V1.4:4:206720:213013:1 gene:LPERR04G00260 transcript:LPERR04G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHANPQMHLEFKDLSELPDGEMDARLEVLEFLSHWGLINFHPFPPAGQGLSELVESITSADTEGKISVVEKLFQFETMQSYLIPAPNQPDVAAPIYAPSLLSEPTLAEDFITQVESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDEGKLDSGMSQTDFILMESADIPGFGSTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLQMPIMDSFLHDGDANETSHETAEKVSAEQGTSRVTEKTEVGKAEGTKTNNGKAAAKTRLNLTETGGNLDDHVVANTNTNCSIDIIVDASGKTGESNRSSDTEPTKKETSGENTSNFVDNVLKNAFEAVGHIPNKEDLGSFTEAGNPVMALAAFLSGLVDHDDATMLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDLPVSSANTDGGQQKDKDAISNPNVTDKDENLKEGSALSVEEQSTTSTSSKNTRELSNTKESKNGSSQVDPKSTGANDYENPICEVVKSASDNIRGHSSTSLHVSLNNRNEPCSISSQEASAGSTKDTSEIEHEVTDLSTVQQHESIRTSLKNGNIQDPNSIKDMVADGLSIQRLQQAAATAISAAAVKAKLLAKQEEYEIQQLAALVIDKQLHKMQAKMAFFTEVENLVLQARSHTEKTRKKLLMDRNAIIASRMAAMPPRPPPNQPGMPGSRLPVGYGVNQQHLRRS >LPERR04G00270.1 pep chromosome:Lperr_V1.4:4:213317:216060:1 gene:LPERR04G00270 transcript:LPERR04G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARQPLRLLLAAVGAAAMLSFLLLLAPPQNLSFFSSYSYSPYAHRPKLLFLLAGQSNMAGRGAVLHPLPEPYLPHPRILRLAASRRWVPAAPPLHADIDTHKTCGLGPAMPFAHRLLLRGHHVDDELLVLGLVPCAVGGTRIWMWAKGQPLYESAVARARAAVADGGGSIGGVLWFQGESDTIEIDDATSYGGKMERLVADLRADLQLPNLLVIQVGLASGEGNYTDIVREAQKNINIPNVLLVDAMGLPLRDDQLHLSTEAQLQLGNMLAEAYLKFNSSRGSML >LPERR04G00280.1 pep chromosome:Lperr_V1.4:4:217267:218862:-1 gene:LPERR04G00280 transcript:LPERR04G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAVPGAHLSPSSIHALLLKAGLLHIGAHLPTALLSSYAALGRPDHARNLFDEMPERGLVPRTAMARAHVASGQAAQAIAVFGDMVSDGVFPDNVAVAVALGACHGAGSWTSKRNPGKMIHALVVTTGIVPDVFVYTELIRVYGECGELVVSRRVFDDMPARSTITWNAMLHQYARHGKVHIAYELFLAMPRRDVVSWNTMMAGYCVAGRCREALGLFHQMVSPSSCTVHPNVPTMSTILGACAGAGCLETGIWVHAYIERNRMNDDGSLDRCLIDMYCKCGSVDNALQVFDKAPRKRDLFSWTTVICGFAMHGRAFDALRMFNMMQDNGICPDDVTLVGVLSSCAHGGLIDEGLGYFYSMEAKFGITPKIEHYGCMIDLLGRVGRLQEAYNMIRTMPMNPNTVIWGAFLSACKVHGNVELGKIAAEEVTRLDPDDPWGRVMLSSMYAKVQDWTALARERREMNSLQMKKTPGCSSIALKGEVHEFVAGGNQHPQHAEICGVLQDVEAQTNGD >LPERR04G00290.1 pep chromosome:Lperr_V1.4:4:218896:224518:-1 gene:LPERR04G00290 transcript:LPERR04G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGSSAGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPPDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLANANWPYALAGRYGMQSAGWPFATNNTNQFSAAPKDWRSGDWLCSCGFHNYSSRTQCKQCSAPVPSGIPSTTMKTTVQDTSSTLGTKRLASEELANDWDNKRLNPGNASYPLSTAGSDNLFVGIEQGAGSSNGQTTYSNFDNGNSIALPGQVSGMPGLIGKGAKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASVHPASLLDDGRRESSGRKAQNKTTRTDVLFVGLAGRRTSTTTTSLSAPHRGEVED >LPERR04G00300.1 pep chromosome:Lperr_V1.4:4:229724:233903:1 gene:LPERR04G00300 transcript:LPERR04G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGLPSTSKLEVTGRSRIELKLDGIQHIISGKTTELKKLLKKLEKITEEGSQFLQLLGSTVNNANHTANPATEDTGIVTTSLPVTEVIIGRDEERVKIINMLRKAPSDDEASSSNVRCYSIIGIYGVGGSGKTTFVQYVCDYERTGDYFSPVMWIHVAQSLNVQRIYKEMLEKATKPSDEYKSLSLEDLQTKLKEALKGKRFLLVLDDIRADRDVVRMQYRMDQLISPLRDGKAGSKVLVTTRFKDVVMSLGAQDLIPIPEFKEEDFFNLFMHYALDGARLNNQERETFLMIAKKLKGSPLAARIVGARLRKQDANVWKRVGNQHLLTDTMGALWWSYQHLNVQVRRCFAYCSLFPQGNMFKRDELIDLWMTESFVKTNDSAELMEDVCQNYFEELVSCSFLQPKDVYGSKNKWFGMHDLLHELAAMVAGTDCFRVETGDMKEFPTDVRHLFVCSNDQTKFTEKICKLKKLCTLILITTSGGLGITIEELKNMLKKLKKLRVVYVDVQGELVRIPRCICVLDFSNVKNMKHLKSLRDIRNSGFVFPNSDVSGFPGIEDLISLRELSDFRVRKDKGYELKQLKSINHLRGKLRISGLGSVESKEAALEANLTDKKGLTSLSLEWSGQHSCSPNLQVEILEGLCPPSELTELEISQYDGLRCPSWLSSENQNGLLRNLQDLQLCRCENLEDLPEIGKLFVHLRHLRLIRLRKLKKLPKLPDSLQNLQIQQCRSLVVTCREDVGMIRSLFVEQATQTEPSLNITATEVVVDIDRFANEQTDRFNTILCDIFSKCGSLPGELIRGHVREEDYNQFALPASVVDRLIISYCFVTNTTLHTCLRGSANLVSLNLRCLPFLTAIPSEVMEAMAKLCDLSIEDCIQFIHLEGLNRLGRLQQLTIAKCPKLRTLAEDEKVQILNGLVVDGMPLVSRLLTQQGCSSLWTLRIDNSEELMGEGILDQLTSLTSLELSCCNWDRLPNNLMNLTSLTYLHLDCCKKIQSLQELPASLQCFEAEDCNELFMKSCQKTGDPNWQKIAHVTVKRFSSSP >LPERR04G00310.1 pep chromosome:Lperr_V1.4:4:234882:238396:-1 gene:LPERR04G00310 transcript:LPERR04G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTHLHLLTPTPPRIRPRRRGAMSVTVRSSLIDPDGGALVDLVSPPERRAALRAEAEALPKVRLAAVDVEWAHVLAEGWASPLRGFMREDEYLQSLHFNCIRLPDGKGVVNMSLPIVLAIGDRDKEEIGDRPDVALQGPDGGVLAILRSVYNYENYYWIEHGSFRIGMTSTWEICSLLSRIGDDLHVSDDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVASV >LPERR04G00320.1 pep chromosome:Lperr_V1.4:4:246676:251012:-1 gene:LPERR04G00320 transcript:LPERR04G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSIPLPHPSPPPRPAAPPQVFLRRAVLPPPPPPGAHVHYFRAASPIPIFRAAAGPRGAASSRPPRPPPPPQAATAPPPAPAPAPARVAPPQQPPVSVAPPPTPSTASTSVSEEVAATPGTGNPMANAAENEEKNESVHPEVGKAETIQGPDKESATGTGKGIKRPRKQKGFGKGSLQSNEGDAGPSLFSSNNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKEEIESLYDEESRLDGEIMEAQEKLNALLVNENTKKLLYVSKEDINAIPRFQRSTLIAINAPRGTYIEVPDPNADMDIYEDLDNQEKHYQIILRSAMGPVDCFLISDHQESFNPDQQIASNLDAVVTSGSSQALQQMDYVPSQAPESGESTDVREHTSEPSKRDDPVPGILKIVPSHNDITADYWLCSDADVSMTDTWAT >LPERR04G00330.1 pep chromosome:Lperr_V1.4:4:253356:259096:-1 gene:LPERR04G00330 transcript:LPERR04G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKIGNRNCSLKPLVGRPFGSLFSVGPSGLVPCTDDSSRDDKMQDAADAPAQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGASGDDIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSASSSIDIIRMYNLSSDTTSRIVQAPLSDLRSLQNSGNASSVLNDNIQAEAPEANAVPVENTQPSVPQQVDTALSDEQAQSTEVEPIDIDISEPLLDEQVNQDDKSSLGKEDGSSIASKSLRAGQAPSAERMKYWSEHGFSSLIVAAPDHDADSFVADLLPLLSYSAPFAIYHQYLQPLAACMRSLQVSKMAIGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGTRIHNGCVCRAGPQEKTNPNGSSRRLVPSPAR >LPERR04G00340.1 pep chromosome:Lperr_V1.4:4:264633:268742:1 gene:LPERR04G00340 transcript:LPERR04G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDRDSGSSSRNPHGAPVEEEEGGGVYGLEEDDDFRLPMNHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGIIEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRKKLNIELEETEDHIKKREVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEQYENSSERITMKNLPNTGNQDQRQTLKFGFSRMVPSKAPVGHISKKPKVATKVPSVFSNESDEE >LPERR04G00350.1 pep chromosome:Lperr_V1.4:4:271416:274626:1 gene:LPERR04G00350 transcript:LPERR04G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTVLLPSSSSSSSSKTTAATVTETSDRRRHHHHQSHTKRKKKPPPPPSLSPTPRTPPPPGSVSHRAMAAASSSKIKSGSSKQKKQVVAAAAGKKALKTTTPPASSWEQVKSLLSCRSATAASRVHDPAAARHGAGACGASLCAIRDVVDAASSSTTLDRDTTPLTGRSSRRRAGGHHQQPSSSLRGLSGCYECRAINVEPISRRYPRPRELCACSQCGEMFTKAESLEHHQAIRHAGSYCLVHNAARTVARFEAYRDAVRTAAARAAARAAADGNELLRFHSAPLACPLGLNGATSLCSSSDDDDPAAPCGVCAAIRHGFAPWVAAHPLGVRTTASSGRAHDCATATAKQSGCRAMLVCRVIAGRVRRSDGEEEGAFDSVAGDEAASTSVYGNLEELFVANPRAILPCFVVIYRVADHH >LPERR04G00360.1 pep chromosome:Lperr_V1.4:4:293466:296251:-1 gene:LPERR04G00360 transcript:LPERR04G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDRWTEIYGGSIDAVAARIIDLLEEDTSRETRSVMYFDGWYGMWASAILKVVAKRLRSSPEKLRLDKIIHVDCSLWQSKRALQKAIAQELDLPLSVMAMFDQHDEEDDFNGIEQGAREVIPDVTRKIMTDLLNTRFIVIFHDGSDDGHIDLLQECGVPSKMGFWSKTLWTSQGRFQSWAGEDLKKTARGRLSVVAVFPTDISELVLLHENAKEAATYTGIPEPGMSPKIVKECIMYYKLLSLEGGNHGIDWATHAANYWLQVTTDAITEDQHHSQVLAAALFPDLSSSGILKTIILDGCVELEEIGPDNLPPLLESCSFSSNNNDNVDVSSTIESISFRGCTQLKSVLLRGLFQKLTKLDMSGTCIKILDLRPMRGIWSLKQLFLLGCVKLHAILWPTNAESLEVLHIDTCSSNISHAAGVAESSANLKWYISLLDERLLGSLNNIESPYSGHVEVSSPASVATAAADCCESGRIIIKTIRRSVDNKQPWFMTTKSWQQQPAVASYYRLYADVGLEVQHLELQATISNYIGLQEDQRMRRRPLRAPHLPVSQDKSYEARRWLHLRWCRVERCPNIEDVVFTPPSIGRRSIFWYLKTFWASGTGWARNDVLSLTVFHLKTCKSYTWTAAPA >LPERR04G00380.1 pep chromosome:Lperr_V1.4:4:305561:310490:1 gene:LPERR04G00380 transcript:LPERR04G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSPSPPPSPVSTMDLFLHSNSNSTWPLPNAAADALVYVAVGRSPEKTLGLLRWAFRRFGCGHVALLHVHQPSPLIPTLLGKIPAAQATEELVMSHRRSEKEEMNKILLAYLTFCHRAQVQASLLVTENEQIHDGIVTLVKDHEITKLVMGSTPDTCFKLKASYGKTSFMAKNAPPFCEIWFVWRGRHIWTREATTAIGNNLSLYNEDDVMIRKRIRFSSNSNNAESILDEVYMMGETSTPAGRYDCTISDSGQPKDYCDPIFDANHFHNANIPNLQHARSAFTSSFQSGSSVDMESLVLCPQDMFDKNLKQVMIEAERSRKDAFVELLKRKETESRLTSVIARAKESEFAHKQEMKIREELEGLLTATKKQHEELVKHKEKAIARLDSSMKKLASLDARAKTINVRMTEAAAELESIQSSIETLNQGNPKTEKVELRLTDQVEESAYAHAMLPNCSSTVCADGLHNFKELALSDIQAATCKSSERFKIQPIGHGCVYKAEIMNRSIMIYKLHPHITQSSVQFHQKVLMLSKLRHPHLVTMIAACPEASCLVYEYVPNGSLHDRLSSKCSIPQLPWKIRARIIAEISSALLFLHSYKPQMIVHGDLKLENILLDADLHCKIADCGIYQLFMEDEANNTDPVYQMTKTLTARSDIYSFGIVILQLLTGKKAEGLPNEVRNAMSNGKLWSLVDPTAGVWPPEVVRRLAEFGLKYTEAGNRELQLTREAVRDLEQLHLIRDRQEVMHDPQVGTDGITYEGRAICESIGNGPSITPNHALRFAIHDWLSQSSTPCP >LPERR04G00390.1 pep chromosome:Lperr_V1.4:4:313038:316989:-1 gene:LPERR04G00390 transcript:LPERR04G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLQPALWTEIKAGNIDEAAERIIDFLDDMSRETTVIYFNGWYGVGASAILKVVAKQLKSSPEKLGLDKIIHVDCSLWQSKRVLQKAIAQELELPLSVMALFDQYDEEDDFDGVEEGARGLIPDVRTQMLEHLWTRRFLVIFHNGSGSYIDLWEYGVPVIGVSNKRVLWTSQGRFCPTAVGDAQEIAGMSDVAIYVLPGDHNVYSSEFLLHGEAEDVARYTGIPKPGMSPEIVKECIMYYYMLTQRGGNHSTDFGKHMANYWVCSGVIQSTATRSAWNIAQALHTNMILGSNYRWDVSALHVPFDSMDASFFCTVSRVSLEAKMFQHSSAHVLRVIHLYRCIFSFASPPFLVSKCLRFLLLDHCQDNQNNKENPVALTTTDRAIMGEFLRMLWVLELSYTEWYWLLSTEALDKMTELRELNVKGVKDWRLFLLECEELRAILWPTTYASVEVVHIDTTSTKHGQATAIAGSSASPANFKWYISPSDGRLLRSLKGRTYSLYNAHVEISSPAAVAAAAIGCELGTIHGIINKSRTTVISSGEQHWPTKTISQQQQPAANNKLYADVISNAHHLQLEATTDHDWTWPCQPRKDVSHYIRLQDEGIHTRSLPPLPDSICGRASSLHVHDSLSLTSIRGHSDPDKRSVNKNIDGQSNVPHFWYILEWCRVERCPKIEGAVFTPPATTYGIEDIFVNLKILWASHLPNARYIWNWGTHTRRKSWMRYDKSLLNLQYLHLDCCPRLIHVLTIRLQNLNFSWPRLETIEIVYCGDLKEVFPLEDDDFMGLEEQLEFSSLKHIHLHELPALQRICGNRRMLAPKLETVKIRGCWSLTCLPSLLGRSSSSSKPKVECEKEWWDGLQWDGVDVGHAPSLYEPNHSRYYKKKTLPRGSLLSEVLQRYKCP >LPERR04G00400.1 pep chromosome:Lperr_V1.4:4:325876:326325:-1 gene:LPERR04G00400 transcript:LPERR04G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFLAEPLKATTVTCIPRLRGGARRPRRAASSAGAPPPVSSSVLDRVRDVVLRLAMLSAASTKGALPPQQQAAIKTSPGRSAAPTPSRRAAAARMSPSSAYADSYRSEAVDECIEFLKRSAAGAGSVPAATTVGVANSPSPLHACAM >LPERR04G00410.1 pep chromosome:Lperr_V1.4:4:337240:337881:-1 gene:LPERR04G00410 transcript:LPERR04G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNRSCEIPASRPPNHKAVGVLAAAPRHTTPAVDQLEEAKQELEKERSEKKKMAGCILSLQEELTNAMRELTKLKARDDDIDLQVEDLKFVEIEKQNPPPANIIDEFQKRRYVTFADNPPPEDVVMELHHHHHHRAHNHNAPAPPLREVRFMRQMSAGHGMAATAEEERRKIRKKKPLIALVGGLFMRKKKKTGSSSCCHDDSMVNPRTSF >LPERR04G00420.1 pep chromosome:Lperr_V1.4:4:339458:339865:1 gene:LPERR04G00420 transcript:LPERR04G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSDHHSADEKLYRPGFDTLFQHFCIHPGGRRVLDEVQKGLGLSDADMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGIDCSSVVWECVKPPAQPENGPWAGCIHRYPVQLPKVAKRV >LPERR04G00430.1 pep chromosome:Lperr_V1.4:4:354109:356384:1 gene:LPERR04G00430 transcript:LPERR04G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVWFISLAGLGAVYLTVIFLRLLPYLTLYLRKPKDLLRCYGSWAVITGPTTGLGRSMAMELAGRGFNLILLDHNPDNLIEVSNTIKKSHPSVQTRSVVFDLSLIGTEEGDEAARRLREAVEAVANDDNGGGVGLLVNNAAVAKPGALYFHEVDVERLVTMIRVNAMGLTEVTAAVLPAMVRRGRGAIVNVGSGSTVAVPAFPLYTVYSSTKRYVEQLSRTLYVEYKSKGIDVQLQVPFYVHTNMLTSAIKDRMLLPAFVATADDYTRAAARWIGHGHIAVPDARQQLQWFLAAFVPDFAHNWYRLRKHLQHRAILWNLN >LPERR04G00440.1 pep chromosome:Lperr_V1.4:4:362703:364980:-1 gene:LPERR04G00440 transcript:LPERR04G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSNGVHGLSMFGRAEIDTRAPFRSVKEAVALFGEKVLAGELHAGAGRRRLATSSDHQSQIHGITPATPQRRATTTPTTWPTVNHHQQLAGAVAAAATRSHVAPPPVTAELEEAKQELEKERSEKKKMAGCILSLQEELTNAMRELNKLKSRNNDDTKIIDLQVEDIKFVEIDDAINNNPQPQQHRRQQQSSPSPGGEFQKRRYVTFADPPATASAYRGPPAPPPPLPEVVVMEPHHHQYHYHGREVRFQRQMSAGHEAVKTETAAADEAAAKKKKKKPLIPLVGALFMRKKKSSSSCHDDSVLNSRSVSF >LPERR04G00450.1 pep chromosome:Lperr_V1.4:4:368792:374602:-1 gene:LPERR04G00450 transcript:LPERR04G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRVRGAARVASPARNDGKGGAQAEWLRIYDGIVAVLRKTQAQAEELAAERDHLAKFVKIQHDFWTSRVNRIEKGRKVEAIRRRYEAASVEVLLGDKDRQGRCYQKLAELTENDLEDFRTSIVALAAENYELKLKLKEFESHAELGENTVDHIHSPRDLRAELKKLKLAYKTLSSQNDKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKDHEIGRLQAEAVDTKTKILILEDKLQEMLSLVKEKDLEIETLKHGQPMSSQTKKKDINQTHGKSRSQGPPSRDKSTNSQSTPLGRKVKTSRQYASSAKQKQVQSRNNSRRQKAEGDMSEVGQKRKRASPLSHGLQRCSSARQQSKASTSTAVEPSLFSPIFRVPKVARASAVGIGVVYGTIKLSILKSFQYGLYHCGSS >LPERR04G00450.2 pep chromosome:Lperr_V1.4:4:370685:374602:-1 gene:LPERR04G00450 transcript:LPERR04G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRVRGAARVASPARNDGKGGAQAEWLRIYDGIVAVLRKTQAQAEELAAERDHLAKFVKIQHDFWTSRVNRIEKGRKVEAIRRRYEAASVEVLLGDKDRQGRCYQKLAELTENDLEDFRTSIVALAAENYELKLKLKEFESHAELGENTVDHIHSPRDLRAELKKLKLAYKTLSSQNDKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKDHEIGRLQAEAVDTKTKILILEDKLQEMLSLVKEKDLEIETLKHGQPMSSQTKKKDINQTHGKSRSQGPPSRDKSTNSQSTPLGRKVKTSRQYASSAKQKQVQSRNNSRRQKAEGDMSEVGQKRKRASPLSHGLQRCSSARQQSKASTSTAVEPSLFSPIFRVPKVKTPTPP >LPERR04G00460.1 pep chromosome:Lperr_V1.4:4:380347:384782:-1 gene:LPERR04G00460 transcript:LPERR04G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAGVSDDGDDDGPPAYTVPRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYTYLPPAVAAAEEATFAGLIQSLKSLRALLFQPRLGAWRCSDPAMYLKPFLDVVQSEEAPPAATGVALSSVLKILRIDVFDECSPGARDAIQAVLAAVSGCRVDRIADPGAEEAVLLRVLQVLAALLRARAAPLLPDHAVCNAVNTCFQVVQHAASSGRGSELLQRTARHCMHEILQAVFARLPDIPATNEEDDDLSVSAAAAGFGARAMADIFNFLCSLLLNAPDMVATGDGHGAFITEEDVELFSLVLINSAIELGGESIGKHPKLLRLIQDDLFYHLIRYATDSSPLVLSMICSTALNLYHFLRRFLKLQLEAFFTYVLLRLGAGGGGPQLQEVAVEGLISFCRQPTFVIEMYVNYDCDPLMKNVYEEVGKLLCKAAFPASTPMLNTVQLQAFEGLVNMLTTIADNVEVDKAPDQSAYAVDISDYRLFWTERWDAAAKDTWVDFVRKRKVRKKKVAIAANHYNRDEKKGMEFLKLCHLVPTPMEPRSMAYFLRYSPGLDKNKIGEYLGDPEELSLNVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFFEQQTTEVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINAGKDLPREYLSELFHSISSNAITVFSQGAATVDMTASRWADLVKRSRAVEPFTPCDFKHKLSREVFVAVSGPAVATLAAIFDYTDDEETLRQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGDSIRGAWKNIVDCLLKLKRLKLLPSSSSERDSSAAAGVIFPSSHRGVGTSRHVSGMIGRFSQFLSLDSGGGDSSLLLSVGSEFENNLKIIQQCRIGSIFTETGRLPDESVQNLGRALIFAAGGRGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFAIFWPHLHDCFAAVSQLPLFSPCPFAEKAIVALFNVAVKLLLSGDGNNGGDQQRRVAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMAENAGGIQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLIQWHKSGYSDPGTNNSWYSNNSSTSSSTSSSSSMAMMDVVDPSARMMMGNLATNMFIKLAEALRKTSLVRREEIRNQAVSELGRAFAIAAAGELDFGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATQLLADVFVLFLPTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPMMLKRMIVEMKDKEVLVPREGDELWEITHIQIQWIAPAVKDELFPD >LPERR04G00470.1 pep chromosome:Lperr_V1.4:4:387874:388887:1 gene:LPERR04G00470 transcript:LPERR04G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFVAYRDTSTGLLVTDRRNIATRYLKRPCFVLDVASTIPVQIIYRLVTSRRQGLFGFLNLLRLWRLRHVSELFAKLEKNIRFSYLWIRLIKLLCVTLFALHCAACIYLWMAFNYKSKELTWIGSQIHGFEDRSVWFCYTYA >LPERR04G00480.1 pep chromosome:Lperr_V1.4:4:393571:395922:1 gene:LPERR04G00480 transcript:LPERR04G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKPNPANGDKKVNHDNPDENGDGKTETLCNPPVNVECDSTMCEEMDRSDGDSLMDVSIPCIQSRPTKKSKVQSDEIDKDGAGTLGNKEESKDLNLIKGLEEPSNNPSSCRTDLITAPRLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAFPDTVARTVELIRISKMLLGKVARG >LPERR04G00490.1 pep chromosome:Lperr_V1.4:4:409144:412208:1 gene:LPERR04G00490 transcript:LPERR04G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRYAGWFARDLPTLSRVTKVLLPLPDDAVNQPTQVIIPMDCFHNLASLDDRTYQIVNTSVAKRFGSQAIDNMNLGDFISNNVPSIGKFIADFSESELVPSVPALSVMSNAFLGLVRSQLKANHGEWVNSVNPNLGPGLREGIHEAIALGDNAPLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPVVGMEAAALANYQARAFSLQSIAVLSGFCQVSIPLGTRNGVPVSVSLLARHGADHFLLNVAHELYQTLIDEATKAWAS >LPERR04G00500.1 pep chromosome:Lperr_V1.4:4:414679:417908:1 gene:LPERR04G00500 transcript:LPERR04G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARMATSAAGDHGAFMERFLLPPPPSPPQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLATLAAGATSLGTTVMDEMAYSINGENAHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRTSHGLVSTQNVIPMAQMFDTVGWFARDISTLSRVTKVLLPLPDDDTVTVNQPTHVTIPLDCFQILGSPDDRTYQVVNASVAKKFGLAIDNMNLGDFISNNVPSIGKFIAEFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVSTVKPNLGPGLRERIQEAIASGDNESLEDFQAIRAEFKSALSALLKDHGILAIPTVPGPPPMVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGTRNGVPVSVSLMARHGTDHFLLNMAHELYPTLVNEATKAWAS >LPERR04G00510.1 pep chromosome:Lperr_V1.4:4:422006:423432:-1 gene:LPERR04G00510 transcript:LPERR04G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFEDATFALKVGEMSDIVDTDSGVHIILRTA >LPERR04G00520.1 pep chromosome:Lperr_V1.4:4:431188:435176:-1 gene:LPERR04G00520 transcript:LPERR04G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGRRKLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTKLLDRVISVEYAFRDDTEQGDRYDGARGGHGKRDDSPYRRSVSPVYRSRPSPDYGRPRSPVYASYDRSPVNDRYRSRSPVQRSRSPLASRRAYD >LPERR04G00530.1 pep chromosome:Lperr_V1.4:4:436982:437914:1 gene:LPERR04G00530 transcript:LPERR04G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLATAASPVKLQLQKQSEGSAAAAASGSRRQQMAVKMEKNSVTAVKQLKPRFAVELDGLNCFETLIPR >LPERR04G00540.1 pep chromosome:Lperr_V1.4:4:443281:446709:1 gene:LPERR04G00540 transcript:LPERR04G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAAAKFLAPVAAARSGGDSRAPPPPFPSASLRPRRKPAPSRLRTVLAVSSDVLSGNKSAPAAAAHSAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKQLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESGPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >LPERR04G00550.1 pep chromosome:Lperr_V1.4:4:448769:451267:-1 gene:LPERR04G00550 transcript:LPERR04G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAVLVGLLIGWAWRPRWALAVVGDTPPETPALPPPPSCRNNEPAAVAPRAVMAAAAPKEEDEGLAVGTGDLMHLRRLVEEKDGGPSWIHMMEKTLPTMRYQAWRRDPQDGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHEMLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRRNKPRRVDLYYSSWCIRPVESRNGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQIAKTAGEPLSKYAAMAHVNTKVDPDELITEDDKTGTSSTNNAEAEKPKHWTGNIHKALLIGGAVALACTFDRGLLTKAVIFGTARSSDMPLWQIRAGLFMEDLVQGKCLAD >LPERR04G00550.2 pep chromosome:Lperr_V1.4:4:448975:451267:-1 gene:LPERR04G00550 transcript:LPERR04G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAVLVGLLIGWAWRPRWALAVVGDTPPETPALPPPPSCRNNEPAAVAPRAVMAAAAPKEEDEGLAVGTGDLMHLRRLVEEKDGGPSWIHMMEKTLPTMRYQAWRRDPQDGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHEMLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRRNKPRRVDLYYSSWCIRPVESRNGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQIAKTAGEPLSKYAAMAHVNTKVDPDELITEDDKTGTSSTNNAEAEKPKHWTGNIHKALLIGGAVALACTFDRGLLTKAVIFGTARRFAGPGRR >LPERR04G00560.1 pep chromosome:Lperr_V1.4:4:464088:481874:1 gene:LPERR04G00560 transcript:LPERR04G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSRQCLFLTFLLHLLLQSPCSSYALKQNEPSRKIYIIYLGEREHDDADVVTDSHHDMLATVLGSKEEALESIVYSYRHSFSGFAARLTKAQASEIRGLPNVVSVRENQIHRLHTSRSWDFLGMDYKQPNGLLAKAKYGDGIIIGVVDSGITPESASFTDDGYGPTPSKWKGICQVGPSFDANSCNRKLIGARWYIDDDTQDSMSKIEILSPRDVHGHGTHTASTAGGNVVHNASIFGLGAGTVRGGAPRARVAIYKACWNTPKDQAGCSGAGVLKAMDDAVHDGVDVLSLSIGGPLDDAGTLHVVASGITLVYSAGNDGPIAQTVENSSPWLLTVAAATTDRSFPVVITLGNNQEFVAQSLVRTWQSESQFSEIQAYHGADCDADNIDSNVKGKIVFCFLGKFNPAADFYRITNATAANGGKGVILPQYKTDLFLSDLLFTLKIPFIPVDYEITYKIYQYLMENDVPKAKISLPKTMIGSEDSAPKVAVFSSRGPSYIYPGVIKPDIAAPGVSILAASPKTAKYESVPYHFSSGTSMSCPHVSGIIAVLKSLHPGWSPAALKSAIMTTATTFDNKGMPIQANGNVPKIADPFDYGAGFVNPNLAADPGLIYDISGSDYFKFFNCMGGLAAGDNCTTERGSLADLNLPSIAIPNLRTFQAATRTVTNVGQVNAVYKAFLQAPAGVEMAVEPSVLVFSREKKVQSFKVTFKATRRVQGDYRFGSLAWHDGGSHWVRIPIAVRILYIVYLGERQHEDADLVTASHHDILTSILGRHGFSGFSAMLTQSQAREIAGITPESLSFDDAGYGPPPSKWKGICQVGPSFGTNSCNRKIIGARWYAYDVPNKTVKQEILSPRDVDGHGTHTASTAGGNIVHNVSLFGLAAGTVHGGAPRARCDANNIDSTVKGKIVFCFLTKLNPAVDFYNISKIVGDNGGTGVILSQYNTDSLLQDVLLTLKTPFVPVDYEIAYKIYQYIITSDDTPKAKISLTRTTTGSEVSAPKVAAFSSRGPSSIYPGVLKPDIAAPGVSILAAAPKTAFYSSAPYHFASGTSMSCPHVSGIIAVLKSLHPEWSPAALKSAIMTTATTFDNNGMPIQANGYIPKIADPFDYGAGFVNPTMAADPGLIYDINPSDYLKFFNCIGGLGSKDNCTTEKGSVTDLNLPSIAIPNLRTSETAVRTVTNVGDQHDAVYKAFFETPAGVEMTVEPSVLVFSNEKKVQSFKVTFKATCKVQGDYTFGSLAWHDGGSHWVRIPIAVRVVIDEIYSKIS >LPERR04G00570.1 pep chromosome:Lperr_V1.4:4:481298:482882:-1 gene:LPERR04G00570 transcript:LPERR04G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADARRRRRPENRRLDGHLDASRSLEESLVHCIVLVTNVGDSAHCSFRCSEIGNGD >LPERR04G00580.1 pep chromosome:Lperr_V1.4:4:482192:483088:1 gene:LPERR04G00580 transcript:LPERR04G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATGLPRAVGVGAHQLHALVVVLSPGTAAAISSGAAAAVFSLAPAGALQPTARRSSPSAPSVRRRIKSAPSSHKELRRSPSTELRASGARRSSPRGINERGGIVL >LPERR04G00590.1 pep chromosome:Lperr_V1.4:4:506389:509604:-1 gene:LPERR04G00590 transcript:LPERR04G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLQYIFEIVLAVCTALLLTDSTTAQSAHNNYTDHDALLIFKSYITEDPSGALSSWRQGSSVCSWTGVRCNRHGRVSVLDLRSLNLAGQISPNIGNLSALQSIYFQKNRLVGMIPDQLGRLSLLETLNGSSNLFSGSIPSGLVNCTHLVTMDLTANSITGMIPISLNSLQNLKILKLGQNQLTGAIPSSIGNLSLLTTLDASTNTLAGEIPRELGRLCRLQYFDLSINNLTGTVPRQLYNISSLAFFAVAMNKLYGGIPNDISLGLPKLHIFIVCYNKFTGKIPQSLHNITNIHSIRISHNFLTGTVPPGLHHLSNLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENHIEGMIPDSIGNLSSSLANLYIGGNRITGRIPPTIGRLTRLTLLNMSDNLLHGEIPLQISFLKDLNALGLSENNLSGPIPMQIGNLTALTTLDISKNRLANTIPKELGQLNRLLYLDLSCNDLNGSIPHTIFSLTSLSSVLNISNNALTGTIPEDIGRLGNIVAIDLSYNLIDGSIPTSVGKCQSIQSLSMCSNAISGVIPKEIENLKGLQILDLSNNRLVGSIPEGLAKLQALQKLNLSFNDLKGFMPRRGIFMNRSAVDIHGNPELYYINSTGFQSYSGEHRKLVIALAVPIVSTTILLISVSAMFMLWKSKCSWNVTKVGTVVDNSILNGKLYPLVSYEELYHATENFNERNIIGVGSFSSVYKVVLNSTSIFAVKVLDLNKIGATNSWVAECEILSSIRHRNLIKLVTLCSSIDFTGNEFRALVFEFMTNGSLDDWIHGSRRHEDSGIGLSAVEVLSIAIDIASGLEYMHDESCRAGQVVHCDIKPSNVLIDSDMTAKIGDFGLARLHTHTYTTDEESISTSHNMKGTIGYIPPEYGYGAKTSTSGDVYSYGIMLLEMITGKSPVDEMFGGEMNLEKWVRISSPHQAGEVVDKRFMIIASEESSIDGQQQQFDTKDIQLLLETLLVPMVDVALSCIGESPESRISMNDALSRLKRISEKYLKSHSFTLTA >LPERR04G00600.1 pep chromosome:Lperr_V1.4:4:513027:514051:1 gene:LPERR04G00600 transcript:LPERR04G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSSCSDRQITLAVKAEDSTSASPPDKLVNTISLYHSNDAINSLSFDTFIKQKHQFKKHQGVAALQLMKDQHRASGIRDTINLLEDEQVSAVEGSVGNISDVVEPVIISLTFRTSAGRTYGPYGGEEGTPFFISIDNGCNVDVGFFGRAGLILDAIGLQLSKHTRAQGRHDRW >LPERR04G00610.1 pep chromosome:Lperr_V1.4:4:514577:516766:1 gene:LPERR04G00610 transcript:LPERR04G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQRRFSWLAVLIIVIVSISVYTSAFLGGVALGRALERNKSPAAFNTAVDDDTHGRSSSVVKKVGPWGGSGGWHDFGLRGFTVPRRLNSITLYHSNNGTIHSLSFDYYIRHKLVQNGPWGQPQSFDSVAVGETVTAVMGTIGHFRDVIEPVITSLTFRTNTGGTYGPYGGSGEHGTRFSMLADKGCIVVGFCGRAGWLVDSIGIYHRKKARH >LPERR04G00620.1 pep chromosome:Lperr_V1.4:4:520120:520819:1 gene:LPERR04G00620 transcript:LPERR04G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSTSVSFRARGMVVLIQAMVLICFVIMSSSYTCEARRFLIPFFREEKPPIALHPVSCPFPPPSCPKN >LPERR04G00630.1 pep chromosome:Lperr_V1.4:4:535976:537248:-1 gene:LPERR04G00630 transcript:LPERR04G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAAERTKQFQKLLSIALGRLSSRMAVYMDSGLSNVVVAASPVDGSSTNTSPKRRREG >LPERR04G00640.1 pep chromosome:Lperr_V1.4:4:538898:544478:1 gene:LPERR04G00640 transcript:LPERR04G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLLCLLNFKPRTLQLPEVRSIEQSIVHSLSTTRSQDFLGLDYTQSAGILHDANYGDGVIIGIIDSGIWPESASFRDDGLGPLPSKWKGKCIAGQAFGTNLCNRKIIGARWYDKHLNPEDLKGEYRSARDANGHGTHVASTAAGAMVTNISFHGLAAGNARGVAPRARIAMYKACWGHGGCSKAAVLKAIDDAIHDGVNVLSLSIGGPSSEYYGSLLAVTSGITVVFAAGNKGPAPRTVENASPWAISVAAATIDRAFPTVISLANSTNNFVGQSLFYEPDGNDKWYAIYVTRCNSGPSATIDVTLAAGKIVLCYSPDTVSSIPPFEIMSSALKTFKEAGAKGIIFSTYALDGLENLQDCGSMPCVLVDFEVAQQMLESAKVNTGLVLKVAAARTWIGGEVLAPKISTFSSRGPSPLFPDFLKPDVAAPGSDILAAVQDSYRFNSGTSMACPHVSGVATLLKAIHPDWSPAIIKSALVTTASNERYGLPIVANGLPQKIADPFDYGGGFIDPERAVDPGLAYDVNPEYYISHLDCFLRTTTNSSCGSAIRNLNLPSIAIPTLKEPTTVLRTVTNVGQADAVYKAVVQSPPGVQILVEPSVLKFSQGKKKQSFKVTFRMTHKFQGKYLFGSLTWCDGGAHSVRIPIAVRPVLFDDYADI >LPERR04G00650.1 pep chromosome:Lperr_V1.4:4:559368:592196:1 gene:LPERR04G00650 transcript:LPERR04G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVFVLATLLLPLQISYCVLAQQNVSKKLYVAYLGEKQYEDPVGSIIYSYKHGFSGFAAMLTELQAEKLAELPEVRSIKPSIAHPLQTTHSQDFLGLDYTKPTGLLHDSKYGDGVIIGIVDSGIWPESASFSDHGLRPVPSKWKGTCQTGKAFRSNQCNRKIIGARWYDKHLSPEDLKGEYRSARDSHGHGTHVASTAAGALVPNISFHGLAAGCARGVAPRARIAVYKACWGTGCHDASILKAFDDAIHDGVDVLSLSIGKSGAEFEGSLDAMKNGITVVFAAGNEGPAPRTVTNASPWSISVASATIDRAFPTLITLDNSTTSIVGQSLFYEPKDNNNLYEIYLSSCLIKSGEKINATLASGKIVVCYSPDSVSITSPRDYLSLAVLAAKEAGAKGIIFATYALDILDYFVNCGEMPCVFVDFDVVGQMSSVVKVAPARTWIGGEVLAPKISTFSSRGPSPLYPQFLKPDVAAPGSNILAAFEDSYKFMSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNDRFGLPIFANGLPQKIADPFDYGGGFIDPNRAVDPGLAYDISHKEFNASFDCGSSCESVLQNLNLPSIAIPNLTAATTVLRTVTNVGQADAVYKAVVQSPPGVRISVEPSVLKFRQGKKKRSFKITFSMAHKVQGGYLFGSLAWCDGGSHYVRIPIAVRPVPPPMASIIFLLATLLLLPLQTSYCVVAQQNNASKKLYVAYLGEKKYEDPEKTTASHHDMLTRILGRQTISFTIFINHTMLKHEHRSVQRRSSWFDYLQLQAWILWVRRNAHRTPSEKTCSIKPSIAHPLQTTHSQDFLGLDYTKPTGLLHDSKYGDGVIIGIVDTGIWPESASFSDHGLGPVPSKWKGKCQAGKAFSSNQCNRKIIGARWYDKHLSPEDLKGEYRSARDSNGHGTHVASTAAGALVPNISFHGLAAGCARGVAPRARIAVYKACWGTGCHDASILQAFDDAIHDGVDVLSLSIGKSGAEFEGSLDAMKNGITVVFAAGNEGPAPRTVTNASPWSISVASGTIDRAFPTLITLANSTTSIVGQSLFYDPKDNNNWYEIYVSSCLIKSGVKINATLASGKIVVCYSPASVSITSPHDYLSLVVLAAKEAGAKGIIFATYALDILDYFVNCGEMPCVFVDFDVVGLLSSVVKVSPARTWVGREVLAPKISTFSSRGPSPLFPQFLKPDVAAPGSNILAAFEDSYKFMSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNDRYGLPIFANGLPQKIADPFDYGGGFIDPNKAVDPGLSYDISHKEFNSSLDCGSNCESVLRNLNLPSIAIPNLTAPTTVLRTVTNVGQADAVYKAVVQSPPGVRISVEPSVLKFRQGKKKQSFKVTFSMTDMVQGDYLFGSLTWCDGGAHNVRIPIAVRPVHKTELQTTRSQDFLGLDFTNPTGLLHDAKYGDGVIIGIVDTGIWPESKSFSDDGLGPVPSKWKGTCQAGQNFSSNHCNRKIIGARWYDEHLTAKDLESDYRSARDAGVHGTHVASTAAGALVPNVSFHGLAAGYARGAAPRARLQVYKACWGLEAKCHDASVLQAIDHARHDGVDVLSLSIVGGNEEYYIRQKEYYSSLQAVNHSITVVFGTGNDGPAPRTVRNAPPRATSVASATIDRAFPTPITFANSTRNFVVHGSVYGQSFFRKFDDKDDSWYEVYHSSCLFGTPQTSNVTLAAGKIVLCYTPASSSIIVAACHVAQQIKESVDKNTALVVKVSSARTWIGGEVLAPKISTFSSRGPSPLWPEFLKPDIAAPGSNIMAAYGDFYVFLSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNEKYGLPILADGLPQKIADPFDYGGGFIDPIRAVDPGLAYDLSNNVNPKDYISLDCQFANSSCDYKYLNLPSIAIPNLTAPTTVLRTVTNVGQADAVYKAVVQSPPGVRISVEPSVLRFRQDKKKQSFKVTFSMTHKVQGSYLFESLAWYDGGAHYVRIPIAVRPVVSDNYADV >LPERR04G00660.1 pep chromosome:Lperr_V1.4:4:594156:599477:1 gene:LPERR04G00660 transcript:LPERR04G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHQWMADEEPGFRGKMIRDMTTKCMAIIDSQQENHYRGFHIHSVRFEEEAFTNTTNKVDYMDHISRKFVDLEARIRHQAWIVPSARRPSSQQQNVHNTQQNSGQMPDQAETMAAPCPLNCNTVAVGPVATCVQPSHRSMQPQSPHQQQAKQLHPTKIAGYNPTFLDQQPQEQSVVGENLKLNHLLGQSASATGTQLRQLAEKQRQSQLMRMNKQYMRGSQQQNCTQRNQILGVQQANVAKMQIGHPGVQNNRQNVRKECQPMTPPQQRIGVAQQSSFVCQSPQTSGAIISPGEVDWREEIFQKITSLKEAYLSEVKEFDKMVRVPNLTKEQIRALPMEKARGYRRALVLKQLIKSMLDFMQIPESKMHKSFHGLFPRFLNNLEKLRRFKIETRNAETNTENQSQNCREQPQIVNLIANASPLTCDASRQQKQQEQLMDAKTSKMEQAIITRTPTAHQENNGYYLLGLSSPCFSPGDMQPLSATTLKECFTPSPLTKPRGAVQVASPHVTASSALVKSSIAKPGIVQVVSPCTSVKSRLSSPTGRSEVARVASPSTSDKSTLPSISNPRTVQAALPCPIAKPGTAQAALPCAPVKSTSQSPLARPGAVRVDSPRASVTLNLRSTVDMPQTAQAASPCASVNSMVSLDTDHQAEDQVPHGPEIVDSKNPISRLVQLVRSSSPEVLRSSVNAMRLAIWEADRIPEPPLPYQSRNGRMKRVFDNETSPLCNTDESDMTSECGEFGDESSVGTSKRRKTVVNANDALVNEIKAINSKLIDTVISIADENGTDGIISWNRGGTLIKLSYSAVSLAPNLKSLFAAPEMSIVMPVKLLVPADYPRSPPMFVDNDRDDEEMRKLSDISHAVVATFRQILCDLPEPRSIEVMAGAWDGCVRRAVVELAHRHGGGTFSSRCSQWESSKVQGHTMDK >LPERR04G00670.1 pep chromosome:Lperr_V1.4:4:601178:601843:1 gene:LPERR04G00670 transcript:LPERR04G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSEFLGGGTESVVSCIEWTLAHLIIQPDIQHKLRREIISFSGVTSPAAAAAPYLRAVILESLRLHPPVPLTMRHVTSPRALEDVLSLQPAGDGVRVHFILGRIGRDGEEWRDPDEFRPERFVAGGEAEGVGPLPGPKEVRMMPFGAGRRFCPGMGLGMTHATLFVAALVRGFEWSPAAAGEGGGVDLTEVDGFFKMMRTPLRARATPRHDDARPRMT >LPERR04G00680.1 pep chromosome:Lperr_V1.4:4:603785:604282:-1 gene:LPERR04G00680 transcript:LPERR04G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGRGKAKGTKAVSRSAKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLSAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKMLGSVTIAAGGVLPNIHQNLLPKKSGKGKADIGSASQEF >LPERR04G00690.1 pep chromosome:Lperr_V1.4:4:609646:611027:-1 gene:LPERR04G00690 transcript:LPERR04G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHSDRMDSLFSPHTMLVNGPIIVGAGPSGLAVAASLREQGVPFMVLERADCIASLWQKRTYDRLKLHLPKQFCELPRMPFPDHYPEYPTRRQFIEYLEDYAAKFEINPKFGNEVRSARYDETSGLWRVLAAATADGGGGVETEYIGRWLVVATGENAESVFPDIPGLESFRDGGDVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLSDHGAMPAMVVRDAVHVLPREVLGKSTFELAVLLMQWLPLWLVDKILVLLAWVFLGNLARLGIRRPAAGPLQLKNTHGRTPVLDYGALARIRSGEITVVPGVTRFGKGFAELADGRVISLDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPHGWKGEAGLYAVGFTRRGLSGASADAVRIGKDIGKVWKEETKPTNKAGGYHRRSISVIF >LPERR04G00700.1 pep chromosome:Lperr_V1.4:4:629956:632148:-1 gene:LPERR04G00700 transcript:LPERR04G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPPSFANDCEEEDDFHWDAAAEAELQAIEAAYAATKRRRLPDWPTPSSVRACPNPVAASRGSPAPSWIPSTPAFRGNVKAMYQPVVFRGKIVYCRTPSEVEKATRDILRKIETMKASGQVSLGFDLEWRPFPRRGVPPALKSLLEDSSTVKVGICIDNDARKMFNDYDVHVQPLMDLSNLANAKLGVPPKRWSLASLTEMVTCKELPKPSNIRMGNWEAYALSKQQLQYAATDAYISWHLYEVLQSLPDNNVEVEKETVTST >LPERR04G00710.1 pep chromosome:Lperr_V1.4:4:635643:646491:1 gene:LPERR04G00710 transcript:LPERR04G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFDPPVSILTTPHTDNDSSSFMRNNNRKDAVAGHDSTSSYQPWDSMKCKEDTRYMSLAIEDVSNLALDYQAQSSESNYAISHGSNTDSKEEISPGSGYNRKQSCFSSSNCSWESSSEVESVPSTPDTSRNVVGKMRIRSKMFEPRLDHIASYPSASPDTRRLYAAEGKADSILDYHSEHSFRRSNQSTVFSNCDGQYTKHYSEIIDIPRRANCMDETAWSSSQWYCDNWGTSLPRGLQYGDEIPSLSSENYGAKRPSLSSRQSYGDEIPSLSRRQNYGDEIPSLSRHQCYQDRIPLHRRQWCHPQAHPQRSYQRGASHGNCDSGDNFLSSVASNQRVKMATSKHTVTGSDHHRTINKDNALRNSDNTLEQVRGPRANKLENALTSKTEKDIVSPLVRRDQFNRQNFIVQYEQAKFFMIKSFSEDDIHKAIKYNVWASTPRGNNKLDAAFREAQILKKEKDKECPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKQDMWNGFFPVTWHIIKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEMLKIFKDYQQKTSLLDDFNFYEEKERARCAKKGNNAESTHESRLLFFGTGARLSDDFKSVENLKASMQSTNLYET >LPERR04G00710.2 pep chromosome:Lperr_V1.4:4:635643:646491:1 gene:LPERR04G00710 transcript:LPERR04G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFDPPVSILTTPHTDNDSSSFMRNNNRKDAVAGHDSTSSYQPWDSMKCKEDTRYMSLAIEDVSNLALDYQAQSSESNYAISHGSNTDSKEEISPGSGYNRKQSCFSSSNCSWESSSEVESVPSTPDTSRNVVGKMRIRSKMFEPRLDHIASYPSASPDTRRLYAAEGKADSILDYHSEHSFRRSNQSTVFSNCDGQYTKHYSEIIDIPRRANCMDETAWSSSQWYCDNWGTSLPRGLQYGDEIPSLSSENYGAKRPSLSSRQSYGDEIPSLSRNCNSRSSRQSYGDVIPSLSRHCNSLSSRQSYGDEIPSLSRHFNAPSGRQNYGDEIPSLSRHQCYQDRIPLHRRQWCHPQAHPQRSYQRGASHGNCDSGDNFLSSVASNQRVKMATSKHTVTGSDHHRTINKDNALRNSDNTLEQVRGPRANKLENALTSKTEKDIVSPLVRRDQFNRQNFIVQYEQAKFFMIKSFSEDDIHKAIKYNVWASTPRGNNKLDAAFREAQILKKEKDKECPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKQDMWNGFFPVTWHIIKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEMLKIFKDYQQKTSLLDDFNFYEEKERARCAKKGNNAESTHESRLLFFGTGARLSDDFKSVENLKASMQSTNLYET >LPERR04G00710.3 pep chromosome:Lperr_V1.4:4:646117:647499:1 gene:LPERR04G00710 transcript:LPERR04G00710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPEKKCVDEKEDVAEGCSPAEEIDRRRQAAAETAEDRRRQAAAEPIRKKRRRGSESQLFSPTQDLVCFRLGNGAGTPELFTRTRTRTRGAPDGEQQALVLRRRWTTGAAGRREDAGGEGAEADGEMSGGFGKHRNY >LPERR04G00720.1 pep chromosome:Lperr_V1.4:4:643825:645188:-1 gene:LPERR04G00720 transcript:LPERR04G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPMADANITSLHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQPSSSLPSRDISRQNVSQRSRFDLTGEIPRLLTGISESREIFNHQDAIQRSAAIPGQQGEHNYNQDPALTLEAREGEGSSLVESSEATRLNQLACPLCRGDVKGWKIIKEAREYLDEKSRACSWETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGDDMFSPDREGSMPNEPSGSLLTTFFLFHMISSSPMRSGDETRSSSRGLRRQRRRYLWGENLLGLQYEDDDEDDEEDNLDEDVQRPRSRRSRSAMANGYTGLSRFIPAKLCSQSLL >LPERR04G00730.1 pep chromosome:Lperr_V1.4:4:652470:659964:1 gene:LPERR04G00730 transcript:LPERR04G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPMGNERPRPQHQGVPGRPVSAFVPGAAVAPAPPSFGAAAAPRAPFAPPGAAASPAGAPFAAAAPPAAMAGYRGPPPPQRPFGAAPPPQGPFAAGAPPPSPFASAPSSQGPFGTAPPPSQGPFTGVTPPPQGPFGTAAPPPGPFATTPPSQGPFASAPPPFRPPPSLTQPQSPTAGAMAPPASYVRPPPVQSQPPPPVQGYYTGPPTANPQFPMSRPAFQQPVQTMPPPPMAGFGNQAAYPTAGPPTGTLQSLVEDFQSLSVSSAPGSLDPGLDIKGLPRPLDGDEEPVKVLESYPSNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGAAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCAIDPSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFITFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGNGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLGKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILCMCKSLALRGGYADVSLDERCAAGFSMMILPVKSLLNFIYPSLYRVDEVLAMEPDRIDGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLSPELVNSILGVSLANFPDLSKIHLRECDNEYSRNFMKILRTLREEDASYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >LPERR04G00730.2 pep chromosome:Lperr_V1.4:4:652570:659964:1 gene:LPERR04G00730 transcript:LPERR04G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPMGNERPRPQHQGVPGRPVSAFVPGAAVAPAPPSFGAAAAPRAPFAPPGAAASPAGAPFAAAAPPAAMAGYRGPPPPQRPFGAAPPPQGPFAAGAPPPSPFASAPSSQGPFGTAPPPSQGPFTGVTPPPQGPFGTAAPPPGPFATTPPSQGPFASAPPPFRPPPSLTQPQSPTAGAMAPPASYVRPPPVQSQPPPPVQGYYTGPPTANPQFPMSRPAFQQPVQTMPPPPMAGFGNQAAYPTAGPPTGTLQSLVEDFQSLSVSSAPGSLDPGLDIKGLPRPLDGDEEPVKVLESYPSNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGAAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCAIDPSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFITFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGNGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLGKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILCMCKSLALRGGYADVSLDERCAAGFSMMILPVKSLLNFIYPSLYRVDEVLAMEPDRIDGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLSPELVNSILGVSLANFPDLSKIHLRECDNEYSRNFMKILRTLREEDASYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >LPERR04G00740.1 pep chromosome:Lperr_V1.4:4:661141:664483:1 gene:LPERR04G00740 transcript:LPERR04G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAKGKRGRGAGQIV >LPERR04G00750.1 pep chromosome:Lperr_V1.4:4:691480:695497:-1 gene:LPERR04G00750 transcript:LPERR04G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASSPPPPPPPVRSDVSRTLAPTAVSIKPARHHLRPATGRRPPSASEDPFSVSFATGIVSSLRRPVDPAGTSDPAAVPRAVDHTAADPGFSFNHIAKRLWRAPSSRIE >LPERR04G00760.1 pep chromosome:Lperr_V1.4:4:705675:706181:1 gene:LPERR04G00760 transcript:LPERR04G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALGALLLAYAAHAQQCGRQAGGKKCPNKLCCSQSGYCGNGPQYCSSNNCQSGPCAGLLGDEQCGRQAGGKKCPNDLCCSSYGYCGSSAAYCGNGCQSGPCNGLLGPEQCGHQADNKECPNDLCCSSYGYCGSGDAYCGNGCQSGPCDRRYGAATALSRAILARI >LPERR04G00770.1 pep chromosome:Lperr_V1.4:4:708122:711311:1 gene:LPERR04G00770 transcript:LPERR04G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLKVTLPMPMGRNGDGGCGCGCGGGDSLHRRPVIILPSSAARTPLQHLDRGRQGCRAVPWRLLRLVGKAIEAVVTAAVDETLLFGRRWLHPWSLKDKSSHPPWNPQSKVGGDAPLKKRSYKEQ >LPERR04G00780.1 pep chromosome:Lperr_V1.4:4:719309:723484:1 gene:LPERR04G00780 transcript:LPERR04G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRLSAAAATPSPSSSSPLLRRLSSQPQPAAEAAAEGGASTGEWVRRAGALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKASQNQQIVDAIGTPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGNGLLKFKAVRLGDDSWFSFMQRSDWEILLMDAILDVPTDDGKHRTIRVTIPDNTAPPPIDCKACKSQPTPTPPSPSSPSPAQKK >LPERR04G00790.1 pep chromosome:Lperr_V1.4:4:725581:737520:-1 gene:LPERR04G00790 transcript:LPERR04G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEDATGGHPDNPDSAAASSSSPAGDKGLPRSSTMPSGLRGVDKIIQLLILLNSYSMIKFLKKKLKMLNRIATVKDDGTVVADVPSSLETSIIDGFADDDGDIAFEEPWDGADIPVRPPMQIVILIVGTRGDVQSFVAIGKCLQDYGHRVRLASHANFKEFVLSAGLEFYPLGDMVKNKGFLPSGPSEIPIQRKQVKEIIFSLLPACKEPDPDTGLPFKVDAIIANPSAYGQLVNFLIPFLISYQNVDSMIWLGIRDMINEFRKEKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPDPLLKWLEAGDKPIYVGFGSLPVQDPAKMTEIIVKALEATGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFNLQKLVDAINFMIEPEVKVRAVELAEAMESEDGVSGAVRAFLRHLPS >LPERR04G00790.2 pep chromosome:Lperr_V1.4:4:725581:737520:-1 gene:LPERR04G00790 transcript:LPERR04G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEDATGGHPDNPDSAAASSSSPAGDKGLPRSSTMPSGLRGVDKIIQLLILLNSYSMIKFLKKKLKMLNRIATVKDDGTVVADVPSSLETSIIDGFADDDGDIAFEEPWDGADIPVRPPMQIVILIVGTRGDVQSFVAIGKCLQDYGHRVRLASHANFKEFVLSAGLEFYPLGDMVKNKGFLPSGPSEIPIQRKQVKEIIFSLLPACKEPDPDTGLPFKVDAIIANPSAYGQLVNFLIPFLISYQNVDSMIWLGIRDMINEFRKEKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKVQDPAKMTEIIVKALEATGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFNLQKLVDAINFMIEPEVKVRAVELAEAMESEDGVSGAVRAFLRHLPS >LPERR04G00800.1 pep chromosome:Lperr_V1.4:4:738052:742433:-1 gene:LPERR04G00800 transcript:LPERR04G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSHASALVNNHLSRKQTANHLPNFLHLHAPVHAGHGFGDAQGHAEDPRECEQLACTQGGPTK >LPERR04G00810.1 pep chromosome:Lperr_V1.4:4:742341:742688:1 gene:LPERR04G00810 transcript:LPERR04G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKIREMVCGLLAGEVVVDKRGGMGAAVHGGKHPLGLGGEQARQVPLGRGPPLARGDGDGKEKISVDTVAVSIVSSKKDGKNDAYRRGGTKQSEAEGMRWMRELLGRVAREKT >LPERR04G00820.1 pep chromosome:Lperr_V1.4:4:744275:751422:1 gene:LPERR04G00820 transcript:LPERR04G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGCGGGTAARMDPDAATELVRKGATLLLLDVPQRTLLGLDTQVFSIGPKFMGIKMVPPGPHFVYYCSPNRHANEFAPTVGFFLTTQPSEVIVRKWHAQEERLIKLSEEEEIRYTEAVRRFEFDSQLGPYNLDSFGDWKQLSTYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDEKFTKNAAVQSERRGCYYTTIPASIKLSNTSGNELTALNLDKTSLLESVLSKNYQGQEDLLLGELQFSFIAFMMRQSLEAFMQWKALVSLLLSCNEAPLHTRTIMFVKFIRAIYYQLKHGFQRTHDSSSGEDMGNSLFLDEAWFSRDIFLYRLSKDFFAVILEAPVVDGDLLSWTRKLKSLLETTFGWDLDDNTVNLIDEDDEFAPVVVELDGS >LPERR04G00820.2 pep chromosome:Lperr_V1.4:4:744275:751422:1 gene:LPERR04G00820 transcript:LPERR04G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGCGGGTAARMDPDAATELVRKGATLLLLDVPQRTLLGLDTQVFSIGPKFMGIKMVPPGPHFVYYCSPNRHANEFAPTVGFFLTTQPSEVIVRKWHAQEERLIKLSEEEEIRYTEAVRRFEFDSQLGPYNLDSFGDWKQLSTYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDEKFTKNAAVQSERRGCYYTTIPASIKLSNTSGNELTALNLDKPLHTRTIMFVKFIRAIYYQLKHGFQRTHDSSSGEDMGNSLFLDEAWFSRDIFLYRLSKDFFAVILEAPVVDGDLLSWTRKLKSLLETTFGWDLDDNTVNLIDEDDEFAPVVVELDGS >LPERR04G00830.1 pep chromosome:Lperr_V1.4:4:759994:764050:1 gene:LPERR04G00830 transcript:LPERR04G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLPPLLPSPMHHHLLLLLLLLLSLHLFSSAAASSEVAFLTQWLNTTAIRPPDWSPSSSSPCKWSHIGCDAATGHVTSITFQSVHLAVALPSAGICAALPSLSSLVLSDANLTGEIPADLHLCRRLAVLDLSANSLTGQIPSSLGNATSLASLALNSNLLSGDIPASLGNLAATLTELLLFDNRLSGELPPSLGELRLLESLRAGGNRDLSGEIPDSFSKLSSLAVLGLADTKISGEIPASIGKLRNLQTLSIYTTSLSGAIPPELGECSNLTNIYLYENSLSGVLPPELGKLTQLQKLLLWQNSLTGPIPESFGNMTSLVSLDLSINAISGVIPASIGRLPALQDLMLSDNNITGTIPPALANATALVQLQLDTNEISGLIPPELGRSLAGLQVFFAWQNQLEGAIPATLAGIPNLQALDLSHNHLTGVIPPGIFLLRNLTKLLLLSNDLSGPIPPEIGKATSLVRLRLGGNRLAGEIPAAVGGMKSINFLDLGSNRLAGKIPGELGNCSNLQMLDLSNNTLSGEIPPSLAGVHGIQEIDVSHNQLTGGVPAEFGRIDSLSRLVLAGNSLSGEIPPALGKCRSLELLDLSDNGFSGEIPDELCSIDGLDIALNLSRNHLTGQIPARISSLSKLSVLDLSYNSLSGELTPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQMATSSLAGNSGLCTKGGDVCFVSIDANGRPVMNAGEEEAQRMHRLKLAIALLVTATVAMVLGMIGIIRARGMSINGKSGHGVGGGGGSSDTESGGGGDMAWPWQFTPFQKLSFSVEHVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPTTTTTTHDAIAAAAGGVNVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKKNRLLMYDYMANGSLGAVLHERGGAQLEWDVRYKIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRRKGGADVLDPALQGRSDAEVEEMLQVMGVALLCVSPTPDERPTMKDVAAMLKEIRLDRLEEYANVDVLLKAGASPPRAAATSTSSTPPCRQQSGGPSNSNSSSFSSSGLMMYNNSSIKAKSPFD >LPERR04G00840.1 pep chromosome:Lperr_V1.4:4:769227:770448:1 gene:LPERR04G00840 transcript:LPERR04G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQAAGKASKLLEQDNIRLHDREITNLEHQIQTLRMKVQNHETYEDRKRKEYKNLETKYNNLQSKYNNLQANYNNLQTNYNNLEIGHNALKRQMNGGFCC >LPERR04G00850.1 pep chromosome:Lperr_V1.4:4:811210:820653:-1 gene:LPERR04G00850 transcript:LPERR04G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLPILLCMLLDTCHLIAGVATRPPPVSFSFNFSDPSSTYSLQDLQFDGDARNDPEKRLVDLSPAGTCAGCSAASRMAYAHPVQLYHQTSAGVFQVASFSTRFTFAIIPIDNGPRGDGMAFFLASYPSKLPPMSSGGNLGLITQGITTAIGEDRFIAVEFDTVNNSFDPKGGIDHISIDISSVSDPKWVVNTTILPSNLTMNGTMEAFVEFDSTTRMLNASLWLRDPSSSYAEVSAILPDPISSLLPQQVAVGFSAGTASKKELNQILSWSFNSTLAPLNKDGHHKAWLLGGLIVGVVVVLALVVWLLLACRKQKRLRNAFDRGTGGARRFEYSDLADATDNFSNDRKLGQGAFGVVYNGFLRRLGREVAVKKIYQKTFSCHKNFFSEISAISEAKHKNLVKFFGWCCRGYSWNISHLMCSCCWREKNKELFLVYELMKNGNLSDHLHKGEAAAVLSWPIRYKIAKGIGSGLFYLHHECDRYIVHRDIKPDNILLDGNFNAKIADFGLSRIADQDNATLQTNQAVGSTGYMDPQCMKDGQVRFNRSSDVYSFGIVLLEIACTGKIREQIWSMYRSRSESDDVVVEAADARLASTGGFNRREMERVIVLGLWCSCLEIEHRPSMQQAMDVLERDAPLPDLNMVVNSTLASAD >LPERR04G00860.1 pep chromosome:Lperr_V1.4:4:829417:838858:-1 gene:LPERR04G00860 transcript:LPERR04G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHILLPLLIFILASPDYPGSVVAAADALPPVSFSYNFSDASTYRLEELHFLDDAKEPVDGVVDLTICSSRCQGRMSYNHSVPMYRRNGTSIEFASFATRFTFAIKPIDGGCQGDGMAFFLANYPSAMPGDSDGGDLGLIDGATMTAVGPNRFVAVEFDTFNRSYDPPGNHIGIDLSSVKNSVRTTVLPLNLNGSMTAFITFNSSTRMLVASLWLHDGHPSSAANPYQVSAQLPDLDTLLPAPEVAVGFSASTGECKELHQILSWSFNSTLAPTKRDKYKKAGLVGGLTTGGVLVLALMVWFLFSCRKQKRSRNTFERGTGGARQFKYRDLADATNNFSEKLGEGAFGAVYKGDLKQLDCEVAVKKITRESSEGHKDFFSEVSTISQAKHKNLVKFYGWCSRGHSWNILRFMCSCFRKEKNKELFLVYELMKKGNLNDYLYKSEAPEVLSWQIRHKIAKDIGSGLLYLHHECNPYILHRDIKPGNVLLDDDFTAKLADFGLSRMANPGNATLQTTAIGSNGYMDPQCMRDGSDVRFNRSSDIYSFGIALLDISCARRHRDQIWELYRSGGDVVDAADTRLTIGGGLDRRDMKRVIILGLWCSSYEAKHRPTMRQAMDVLERDAPLPDLNNLIVVNSTLASSDQLDNASSSLPDNGYHCEEAPLFAGNSSSQLNRRASF >LPERR04G00870.1 pep chromosome:Lperr_V1.4:4:842189:844975:-1 gene:LPERR04G00870 transcript:LPERR04G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFAAAAVHSGGLAFRPLPRSSPSPNVARNGGGVACRAAAAAPPRRRVAVASAALGEDLRPAIDEYPEGILSGEWPENFSLLSYADLRAYLESQIVTTDQMSPTAKLGDVMSRPVQVAMADQRLADIDAFFAAQSGLPVLDEDGRCVGVVSKKDKAKACNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQSLSR >LPERR04G00880.1 pep chromosome:Lperr_V1.4:4:851115:857128:1 gene:LPERR04G00880 transcript:LPERR04G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDKQLTSSVKKVTSRELPNKGANIVNMSQGTSPLPKDKSHATDPGKAVGTKRSDAPASPGYHNVYVRRKVENDHSKVNSSQELKGNGRDKTKEQETQQNVQHDQINKPELASPIAESGVKMSPKSPDKTNEQIVTEKNEPHVASGSTAQDDTHKSSNQVPAQDNTHKSSNQTYLENCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELRRMKALNVLGKVSPNGSSKQPPL >LPERR04G00890.1 pep chromosome:Lperr_V1.4:4:878042:884108:1 gene:LPERR04G00890 transcript:LPERR04G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETARRWVTALGAGLMLLVLLAGTLALTASEGGGRLLDGLRAGSTAAASSTRRWLRDSSSPAAASTRSRRGSEASASAMTVPGAVDDPEEVVSEVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDPDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVNPKQGTLRYAVIRDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRRGSAC >LPERR04G00890.2 pep chromosome:Lperr_V1.4:4:879361:884108:1 gene:LPERR04G00890 transcript:LPERR04G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHVPYVSLAWIDDGDVALSLSHYRSRRGSEASASAMTVPGAVDDPEEVVSEVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDPDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVNPKQGTLRYAVIRDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRRGSAC >LPERR04G00890.3 pep chromosome:Lperr_V1.4:4:878042:884108:1 gene:LPERR04G00890 transcript:LPERR04G00890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGAVDDPEEVVSEVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDPDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVNPKQGTLRYAVIRDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRRGSAC >LPERR04G00900.1 pep chromosome:Lperr_V1.4:4:888445:891454:-1 gene:LPERR04G00900 transcript:LPERR04G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACCQMPVVEEAREEVGGGGGTLLPSTHHTPIAAPIESLRSLHLDMASCCISSTPHLASLGWFDKIKSTFNSKKLDEANPVVGCNITLILSRTEWTKFKRWIMLDEWTNREYSIEKGYYYTYDCLINRDWWKILKWVLDACKPLYCVLRYADRQKNATLSRFLPRILKARQELKIHLNSSQEEKRVMEKINLRITRLFDTGFMRAIAIYAAGALDPNTHYRYKGHKFLDEPVIAKRKRANDGEGAKMDKKKKGAKVRSTRCTKGRLKDINALIDKDKSVPKICLVVLPLSLSFAGHVTMPNYEPLF >LPERR04G00910.1 pep chromosome:Lperr_V1.4:4:911563:912327:-1 gene:LPERR04G00910 transcript:LPERR04G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGGHFHQFQQPTLAYTWDPQIATAPFDHVVFAGGEFATAAELRQALLRAVAELEATRAAHQAELRRMESEAARLAALVAAAAAERDELRRHCHSLVLLLHHHQSTQLNPSPPPAIPHSGVAAAVDEMSPPPPLDAGEEAEIEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPGTIKSDAGDNNNNSFSSASATSSSPESNCSGGGGHATAATLVAAPPAIPYHMIPFCM >LPERR04G00920.1 pep chromosome:Lperr_V1.4:4:926947:928184:1 gene:LPERR04G00920 transcript:LPERR04G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASKMRRRRRRRQGRMQRWRRGQGPPEQMSPYIVSLLPASHDGAGAAAKCGGGDDPRRSVGINERRKPMKSYFNSRLE >LPERR04G00930.1 pep chromosome:Lperr_V1.4:4:928994:937255:1 gene:LPERR04G00930 transcript:LPERR04G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVGVVKYGERVWALRCATMDNIRSSIEKSSDKVERSSSKLKDMLDNNNQNMKKQQENMVLGAHLLFDIFKRLFVDLMIEWESWDLWAYRDLRHHGTVEGIYRMVEIELSLMYDILYTKAAVIHTWYGYCIRVMSSVATTASLVLFVISNRDGYNRADVTITFVLLVGASVLEIVSILRALVSTWTCKFLNARKCHRSLRVVLCLRRLMIGEGNREWSNHMGQYNLISFCTRDRAEIRGRIAKMIGLENKWNKSHYSTFEPVSPTVKELILQELEKKAETTEMEATPADFRNYRGSWVLERSGLFDRLGWSVSGIDLDESILVWHIATDIFLHFHDKSTEPKEEARLGTPLEMTATVAMSCIEVEEGSKLQPGSTAGIMLVKGMLPLLGGEVAMAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVNGLDGNGRTVGASVESMGNPYLGSCQLLYGERVWALKCADIENLGSSLDIPAGEYSKLDRRGNLGEEEEVLLGAHYMFSLCRSEFVDRKPTVAAYKAAAAIKQGRQFELKGAMYMYDLAEVELSLMYDFLYTKAPVIHTWHGCCIRLISPFGLLVTFLLFQLSGIKHSYSRVDVAITYFLLIGAIILEITSLFRALGSTWICASLHARGWDRLHALVLCLRRLVSAGSNRRWLHSIGQHNLLDFCSRDKKKLKDRITKAIGLGDWWKKLHYASTITVSLEFKELVIMQIVKMMRDSSRWNIRYVRSRAILTDCGIFEDIGWSVDGKDLDECILVWHIATDIYVACCKDNQEISKPETASLVKAINVLSNYMGYLLLVRPYLIPGGVRRSLYPDNCPYLEEFWSRISTGEDRSNHSREEENRNKHSREEGNMRSQSREEENKNSRCHSIGGEDQIRISSGEDKQHSTEEVEDSIRISTGEDDMRHSIREDEDLSRVSTGDVEDKIEISIEEEDGCNYSVLPQSDKLAKYLLERFSDGSFQIAYHKGPHLARKLIDNQWNLPNMLDVIFGVWVEFLCYTAHNCTEVSHCRELGRGGDFLTVVRLVIYHIELFGINR >LPERR04G00930.2 pep chromosome:Lperr_V1.4:4:931401:937255:1 gene:LPERR04G00930 transcript:LPERR04G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVNGLDGNGRTVGASVESMGNPYLGSCQLLYGERVWALKCADIENLGSSLDIPAGEYSKLDRRGNLGEEEEVLLGAHYMFSLCRSEFVDRKPTVAAYKAAAAIKQGRQFELKGAMYMYDLAEVELSLMYDFLYTKAPVIHTWHGCCIRLISPFGLLVTFLLFQLSGIKHSYSRVDVAITYFLLIGAIILEITSLFRALGSTWICASLHARGWDRLHALVLCLRRLVSAGSNRRWLHSIGQHNLLDFCSRDKKKLKDRITKAIGLGDWWKKLHYASTITVSLEFKELVIMQIVKMMRDSSRWNIRYVRSRAILTDCGIFEDIGWSVDGKDLDECILVWHIATDIYVACCKDNQEISKPETASLVKAINVLSNYMGYLLLVRPYLIPGGVRRSLYPDNCPYLEEFWSRISTGEDRSNHSREEENRNKHSREEGNMRSQSREEENKNSRCHSIGGEDQIRISSGEDKQHSTEEVEDSIRISTGEDDMRHSIREDEDLSRVSTGDVEDKIEISIEEEDGCNYSVLPQSDKLAKYLLERFSDGSFQIAYHKGPHLARKLIDNQWNLPNMLDVIFGVWVEFLCYTAHNCTEVSHCRELGRGGDFLTVVRLVIYHIELFGINR >LPERR04G00930.3 pep chromosome:Lperr_V1.4:4:931613:937255:1 gene:LPERR04G00930 transcript:LPERR04G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQLGGEVAMAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVNGLDGNGRTVGASVESMGNPYLGSCQLLYGERVWALKCADIENLGSSLDIPAGEYSKLDRRGNLGEEEEVLLGAHYMFSLCRSEFVDRKPTVAAYKAAAAIKQGRQFELKGAMYMYDLAEVELSLMYDFLYTKAPVIHTWHGCCIRLISPFGLLVTFLLFQLSGIKHSYSRVDVAITYFLLIGAIILEITSLFRALGSTWICASLHARGWDRLHALVLCLRRLVSAGSNRRWLHSIGQHNLLDFCSRDKKKLKDRITKAIGLGDWWKKLHYASTITVSLEFKELVIMQIVKMMRDSSRWNIRYVRSRAILTDCGIFEDIGWSVDGKDLDECILVWHIATDIYVACCKDNQEISKPETASLVKAINVLSNYMGYLLLVRPYLIPGGVRRSLYPDNCPYLEEFWSRISTGEDRSNHSREEENRNKHSREEGNMRSQSREEENKNSRCHSIGGEDQIRISSGEDKQHSTEEVEDSIRISTGEDDMRHSIREDEDLSRVSTGDVEDKIEISIEEEDGCNYSVLPQSDKLAKYLLERFSDGSFQIAYHKGPHLARKLIDNQWNLPNMLDVIFGVWVEFLCYTAHNCTEVSHCRELGRGGDFLTVVRLVIYHIELFGINR >LPERR04G00930.4 pep chromosome:Lperr_V1.4:4:928994:930766:1 gene:LPERR04G00930 transcript:LPERR04G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVGVVKYGERVWALRCATMDNIRSSIEKSSDKVERSSSKLKDMLDNNNQNMKKQQENMVLGAHLLFDIFKRLFVDLMIEWESWDLWAYRDLRHHGTVEGIYRMVEIELSLMYDILYTKAAVIHTWYGYCIRVMSSVATTASLVLFVISNRDGYNRADVTITFVLLVGASVLEIVSILRALVSTWTCKFLNARKCHRSLRVVLCLRRLMIGEGNREWSNHMGQYNLISFCTRDRAEIRGRIAKMIGLENKWNKSHYSTFEPVSPTVKELILQELEKKAETTEMEATPADFRNYRGSWVLERSGLFDRLGWSVSGIDLDESILVWHIATDIFLHFHDKSTEPKEGQVGHAIGDDSNGGHVVYRGRRREQTTTGQHSGNNVGEGDAAIGEMSVAVDDDDMEITEEHETA >LPERR04G00950.1 pep chromosome:Lperr_V1.4:4:957766:961438:1 gene:LPERR04G00950 transcript:LPERR04G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVQVPILQSYSSPLQSAEALISDYSWKVADKDKSIYGVLVAGSTGDSHPSTEGCGQLRSPSPAHLAAAKILLT >LPERR04G00960.1 pep chromosome:Lperr_V1.4:4:967666:972357:1 gene:LPERR04G00960 transcript:LPERR04G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSDKPESAFLDCWIGVCTGAAIDYAGSTIGFTGVEDDPTSTICLLHGLGRPILPMQDAVKQTQSKPIYEQHARSSPLARASGLLAASEPRRRWELPVLELCRFHAAAKSSQPWSRACSPLSLGAPSPEAALVPRHRQELPALEPSRFPVDTGISLPPHRPGPVPPLEAPCVLAAPDPSWPRGAVTGGEAEG >LPERR04G00970.1 pep chromosome:Lperr_V1.4:4:975359:982735:1 gene:LPERR04G00970 transcript:LPERR04G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNFILFTYFILSLYVGSYATVAEDLPFHFSFNFTKTYRSEDLIFEETAGPHGKLVDLTCNSFSQEIQGCKGRMSYAHPVPFYDNKTGQVASFNTNFTFAILIDENTMNNKGDGMAFFISRFPSTIPTNSGGGNLGLVDNQTRPAYGHGGIVAVEFDTYNNSWDPQESFDHIGIDINSVESVNTTILPSFSLNGTMTASVTFDNTTRMLVASLVTQLIHLDGNISLKQYEVSTQLPDPVSSLLPPEVAVGFSAATGSSTELHQIMSWSFDSSLAHQSNDKGYIKSADRHKKAVVTGGLIGGTLVLVLLVWFMLSCWKWTRMRKELDKKLSGIELFQYRDLAAATNQFSDTQKLGEGAFGVVYKGFHKESNRDIAVKKIKSSGKMKDFFNEVRVINDTRHKNLVKLLGCCCNAYSRRNIVDMMCSFCRRKQQDEVFLVYELVNNRNLDYHLHHKQDKVLSWPKRYKIAKGIASALHYLHHECDPYILHRDIKPGNILLDDNFNAKLCDFGLSRIAKPGNATLMTTAIGTHGYLDPQYIRYGSVRFNRSSDVYSFGIVLLEIACTGKSRDEIWGLYRERRDVTKAADKRLQSGDDFDRSEIERVIVLGLWCSMINVNNRPSMRKAMDVLEGEELPDLNIIVQSTSASTDQYEFCASAAKKV >LPERR04G00980.1 pep chromosome:Lperr_V1.4:4:995851:1000858:1 gene:LPERR04G00980 transcript:LPERR04G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLLVLLFLLHLMTCSLDVPHRHCSAAATANPSPLPPQSFSFNFDFTNTYTYRLEDLRFEGNAAVHGTIVDLTCNVPQCTTGRMSYGRPVPLWDTTTNEVASFATEFTFKIVTPNDKARGDGMAFFLASYPSHVPPNPSGENFGLIAGDGENAAANGPDRFIAVEFDTYDDTFERPRPVGDHIGIDVSAKLGDPRALLPSEVAVGFSAADGATFQLNQILSWSFNSTLPAAHPVQKEKGHHKKKGMAGKFAIVGALISVLLVWLILSWWKWRRSSRDIAKRTEGVRQFKYNELAAATNEFSSENRLIGAGPFGEVYKGFLKELGREVAVKKILNDNNNFFDEVKTISTAKHKNIVELLGWCMKRSSNVVDFMCWCTPKAKNNTAIFLVYEFLDNSNLRVHLHEKEAVLPWITRYKIVKDICSALLYLHHDRRPYVLHRNIKPNNILLDKEFNGKLADFGLSRNPAENGAKQTRRQASYLDPDFRKTGKFKRSSDIYSFGLVLLEIACKKDENSYAQVWNRYIEKSLVQAADDRLRGEFDEKGMERVIALGLWCCQPNVPMRPTMQQAMDFLENDGPLPDLVKPEASS >LPERR04G00990.1 pep chromosome:Lperr_V1.4:4:1020110:1022362:1 gene:LPERR04G00990 transcript:LPERR04G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWMIRMVFVLNSWVIRIFVLLSFTAHLLVVLLAGARRHMAIGVPITILWTANQLGRSATTYALSKLALGSTPQELELVTFWGAFLLLHAGGPDNITAYSMEDNVLSTRHKVEMFFQVAGALYAMYKNIVVRSGAGTMMWVSSLMFIMGIVKYWERSKAVQLGNLENMRSSIKKKKEEDKTSRGRMKNVRRPCSCENYEEALLVAHGLLDITKGAFVDCSIDERQIPEYVARRREIFPSSGWKMMYKVVDMELSLMYDILFTRTAMVHTWHGYAIRAAFPFLTATAFIMFWFDSKQGQRMADVLITYLLLASTLLLDIRWLLRAVASTWTYSFLNDRPRLWLHHAILCSGKWRLLRRLIVSLDLSLFLGKQPSSYRKWSGKIGQYNLLQECTRDKDKRTSNCLSSLVKKVASEDHWMEYEYHYLRGVNISQDGVKKQLFNSFWEYMRSAYPVEDDEQKKNNDEKFCKEKKEEVEHYCKMCCCIDEGLRAMRVRELEDALDFLPEFQESILILHIATEVFLLNIESEQTEASRSRKNMKAIKVLSDYMVFLVAVRPSMLPGLKLRSPYEAFQKALDAIWLTGKSSCSNSRTRETCLVQILSDMEKTESKVGPKKRIWRSGYRTRNRTLTFTSKLYESSIILSDGVKLADLLLMWILNRKREIKDVKSAKKFEQMFPTLMESDKANDDPAHIFFYDLAKLLKLLFNEWVRLLINASVKCTRDAHAKQLSCGGELTTIVWMLVEHAGIFRID >LPERR04G01000.1 pep chromosome:Lperr_V1.4:4:1042349:1043489:-1 gene:LPERR04G01000 transcript:LPERR04G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKHTKLKVRGASETEVKSVLRNEFKESIDYRNCSKNPESSSLKVDVRGTVDVGKLYERLKKMSSSVKIESIIPEDVKEEIERYKKDLDRIKKQKEDLESKLRKKREENKALQAQKTAAEEDQKRLKRDKEYLNSKVDTKREENRRLAEENKKLQRKINDLEQKHKGGTSTTAIEYHGVEYHQKLHHMHQEVHKHEVHIRKLRISDDNAGNAIERVNQLRLQ >LPERR04G01010.1 pep chromosome:Lperr_V1.4:4:1047629:1072062:-1 gene:LPERR04G01010 transcript:LPERR04G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPAASGSGGKGSARVVDSHLHVWASPQQATDKYPYFRISLGRSRRSVINLKIRRCLAVAEPGSHGGVSPSHRGKSCRREGRVRLRLHMDHITSSSLQMPGWMIRMVFLLNSWVIRILVMFSFTAHLLVVLLAGFRRHMAIGVPITILWTANQLGRSAATYALGKLALGSTPQELQLVTFWGAFLLLHAGGPDNLTAYSLEDNILSTRQKVEMFFQVIGAVYAMYKNIVVGSGSGTMVWVSSFMFILGIFKYWERSKAMELGNLENMRISIKKKKEEGKRSRRSLRNVWRASSRENYEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPSSDWKMMYKVVDMELSLMYDILYTRTAMVHTWGGYAIRATSPFVTAAAFVLFWFDSKKGQRMVDVLITYVFLASTFIIDIRWFLRAVASTWTYFFLNDRPRFWFHHALLCSGKWRLLRRLIVSLDLSQCLYKEPSCYRKWSGKIGQYNLLQECTRDKDNRTSGYLSSVVMKVVSEDKWMEYEYHNLRGINISKDVKEKLFDCIWEYMWSAYPAEDDEAKKEEEEEKKKKEGAKKPEKKKSDPIIDELQGVKRVRELEEALDFLPEFQESILMLHITTDIILLYTKSEQHAASSKPKDEYAEAIKVLSNYMMFLVAVRSDMIPGLKLRSLYEATEDALAKIWRKQESSRCISRKEEKYSLADILRRMEKTRIEVDKRDESYKWRPGYRTRNWKPEFITELYNVSIVLSDGIKLAELLLQWLHSNYSVKFPKNGYESKFGQLFPKLTKVMKYVIYNDDPKKLARLLQHMFEEWVRLLINASVKCSRDSQAKQLSRGGELMTIVWILVEHAGIFRVEHHRR >LPERR04G01010.2 pep chromosome:Lperr_V1.4:4:1049950:1072062:-1 gene:LPERR04G01010 transcript:LPERR04G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPAASGSGGKGSARVVDSHLHVWASPQQATDKYPYFRISLGRSRRSVINLKIRSAKMPSIDVLPSPSQEAMEEYPRATVERAAGAKVACASASTWTTSHPPASSLH >LPERR04G01010.3 pep chromosome:Lperr_V1.4:4:1047629:1072062:-1 gene:LPERR04G01010 transcript:LPERR04G01010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPAASGSGGKGSARVVDSHLHVWASPQQATDKYPYFRISLGTEMPGWMIRMVFLLNSWVIRILVMFSFTAHLLVVLLAGFRRHMAIGVPITILWTANQLGRSAATYALGKLALGSTPQELQLVTFWGAFLLLHAGGPDNLTAYSLEDNILSTRQKVEMFFQVIGAVYAMYKNIVVGSGSGTMVWVSSFMFILGIFKYWERSKAMELGNLENMRISIKKKKEEGKRSRRSLRNVWRASSRENYEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPSSDWKMMYKVVDMELSLMYDILYTRTAMVHTWGGYAIRATSPFVTAAAFVLFWFDSKKGQRMVDVLITYVFLASTFIIDIRWFLRAVASTWTYFFLNDRPRFWFHHALLCSGKWRLLRRLIVSLDLSQCLYKEPSCYRKWSGKIGQYNLLQECTRDKDNRTSGYLSSVVMKVVSEDKWMEYEYHNLRGINISKDVKEKLFDCIWEYMWSAYPAEDDEAKKEEEEEKKKKEGAKKPEKKKSDPIIDELQGVKRVRELEEALDFLPEFQESILMLHITTDIILLYTKSEQHAASSKPKDEYAEAIKVLSNYMMFLVAVRSDMIPGLKLRSLYEATEDALAKIWRKQESSRCISRKEEKYSLADILRRMEKTRIEVDKRDESYKWRPGYRTRNWKPEFITELYNVSIVLSDGIKLAELLLQWLHSNYSVKFPKNGYESKFGQLFPKLTKVMKYVIYNDDPKKLARLLQHMFEEWVRLLINASVKCSRDSQAKQLSRGGELMTIVWILVEHAGIFRVEHHRR >LPERR04G01020.1 pep chromosome:Lperr_V1.4:4:1098651:1107876:1 gene:LPERR04G01020 transcript:LPERR04G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFLWLCCKAPKSTSVIRGLPDVVSVRENYIHRLHTSRSWDFLGMDYRQPNGLLGKAKYGEDIIIGVLDTGITPESPSFTDDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDILSSMSKNEILSPRDVEGHGTHTVSTAGGNIVHNASILGLATGTVRGGAPRARVAMYKTCWSEGGCSAAGQLKALDDAVYDGVDILSLSLGSPLEDLGTLHVVAKGITVVYSAGNDGPIAQTVENSSPWLLTVAAAIMDRSFPVVITLGNNDKFVAQSFVLSRQTTSQFSEIQVFEGDNCNAESIHSTVKGKTVLCFGTTFDPQPDINSIIKVTGEEGGAGVIMPGYNTDRLLQDGPLTLPIPLAKLTTRSPTGFTSTPSRENDGSAKVKISLTQTTIGKVNAPKVAAFSSRGPSSIYPGVIKPDIAAPGVSILAAAPKAYIDGGTPYHFESGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALTYDNDGMLIQANGRVQKIADPFDYGAGFVNPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKRSLADLNLPSIAIPNLKTFQTATRTVTNVGEVNAMYKAFLQHPAGVDMAVEPSVLVFSKERKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >LPERR04G01020.2 pep chromosome:Lperr_V1.4:4:1098651:1107876:1 gene:LPERR04G01020 transcript:LPERR04G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFLWLCCKAPKSTSVIRGLPDVVSVRENYIHRLHTSRSWDFLGMDYRQPNGLLGKAKYGEDIIIGVLDTGITPESPSFTDDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDILSSMSKNEILSPRDVEGHGTHTVSTAGGNIVHNASILGLATGTVRGGAPRARVAMYKTCWSEGGCSAAGQLKALDDAVYDGVDILSLSLGSPLEDLGTLHVVAKGITVVYSAGNDGPIAQTVENSSPWLLTVAAAIMDRSFPVVITLGNNDKFVAQSFVLSRQTTSQFSEIQVFEGDNCNAESIHSTVKGKTVLCFGTTFDPQPDINSIIKVTGEEGGAGVIMPGYNTDRLLQDGPLTLPIPLAKENDGSAKVKISLTQTTIGKVNAPKVAAFSSRGPSSIYPGVIKPDIAAPGVSILAAAPKAYIDGGTPYHFESGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALTYDNDGMLIQANGRVQKIADPFDYGAGFVNPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKRSLADLNLPSIAIPNLKTFQTATRTVTNVGEVNAMYKAFLQHPAGVDMAVEPSVLVFSKERKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >LPERR04G01030.1 pep chromosome:Lperr_V1.4:4:1119484:1119999:1 gene:LPERR04G01030 transcript:LPERR04G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIGSTRQEQQLATLWVAFFLLHAGFPDNITAYALEDNVLSFRQRIDVFFLMIGSVSPTYIFLKNTFLTKGDSMLGVSSLICLMAIAKYLEGTICASIRSNMENMRSSSKKKKKPTRVTIYPNLSRRRGGRELDDEQILLHAHAMLNITKGAFIDDSLNGLDDNDELDRT >LPERR04G01040.1 pep chromosome:Lperr_V1.4:4:1120033:1121535:1 gene:LPERR04G01040 transcript:LPERR04G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMYDTLYTKATIVHSWFGYARIAGATALVMFWFHSKEGQASADVLITTYVLLATTVILDIRWLLEAVASTWTYSFLNKRPQLWLHHALLCSGKWRLLRHLIVSLNLLLLLTNEEPTKYRMWPGTIGQYNLLREYCTPDKKRPTGILSSVVKWIASEEIWMEYEYHYKRGLHISKVSGLFERIWENMRSAYTPKIERAPAPMYAKMAAEQFLRGRQLDEALDFTPAFQESILIWHIATVVFLVCSDQYTSSSKDVQAINALSNYMVFLVAVRPSMLPGLKLRSLYEAIHQALEGIFPTKELSGTLAEKMEKLVSSLIDMERRPRTSTVLEPRPRSSNWKPGVTTHKSRPENASDLYDKNIILSDGTSFARVMLGQLKNTYISERYKEPQGTPINLELKRYRRLTEMIPGLEDPNNSFDTPMSEMLGHIFKTWVRLLMYASVRCTRDSHARQLARGGELTTIVWILNEHAGIFGIDQSDQAAHGGVKAYIYDEPFNSY >LPERR04G01050.1 pep chromosome:Lperr_V1.4:4:1139309:1140566:-1 gene:LPERR04G01050 transcript:LPERR04G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKVRGASETEVKSVLRNEFKESIDYRNCSKNPESSILKVDVRGTVDVGKLYERLKKMSSSVKIESVIPEDVKEEIERYKKDLDRMKKQKEDLESKLRKKREENRRLAEENKKLQRKINDVEQKHKGGTSTTAIEYHGVEYHQKLHHMHQEVHKHEVHIRKLRISDGNAGNAIERMNQLRLQ >LPERR04G01060.1 pep chromosome:Lperr_V1.4:4:1145025:1152146:-1 gene:LPERR04G01060 transcript:LPERR04G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCTQILLLLCLLDAPHYTNAAAADYPAAPFSFSLDLSNTSAYRDLRFEGNATTVQGKFVDLTCSASGLNKAECQPGRMSYNRSAVPLWDRTTNELASFATNFTFKIINQSDASRGDGMAFFLASYPSSLPGNSAGRGLGLINSADYIAYGPDRFVAIEFDTFSNDWEVPRQAGDHIGIDISSVAHSIKTASINFSRKGVMEASISFDNTTMMLVASVKFIDDPTSAPVNISAKLPDPRTLLPPEVAVGFSAATGSAYELHQIFSWSFRSTLAAPEQKDLAYATSSAPMPSGSGAGELLRAGSGADELLRSGVATGVERRGEAAPDLSRSNRRRRHRPDKMKEVIVVGFSIGGPVTLVLLVWCIVSWWKWRCTISEFDKGTRGVRRFKYHHLAVATNHFSMENRIGTGAFGEVHKGFLQELTELDRAREVAVKRILKESREGNKDFFDEVQAISRAKQKNLVELLGWGMKHSWNIIDFICWRTQKRSDLFLVDELVNNGNLHMHLQEAVVLPWRIRYKIVKDIGSALIYLHHDRDPYILHRDIKPSNILLDKEFNAKLADFGLSRTADNGTIQSSMVVGTANYLDPECMKTGKFNRSSDVYSFGLVLLEISCKNDENSYAQVWQRYIHKTLMQAADSRLLGEFDARQMERVILLGLWCCQRNTAMRPSMQEAMDFLDHGTPLPQLTNKPEDTTQVKLDV >LPERR04G01070.1 pep chromosome:Lperr_V1.4:4:1159453:1159845:1 gene:LPERR04G01070 transcript:LPERR04G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAKTRALAVVVEGANGAAQAPAAPPPSPPCPPRRRIVDTVVRAMRSSPPVFVLASPASPPSSPKLRPPVHVAGERFMLAAHRGARPFYGSWVEFAAATAATAPGPTMEVDGISIIIEDTFSEGSSPA >LPERR04G01080.1 pep chromosome:Lperr_V1.4:4:1176050:1178220:-1 gene:LPERR04G01080 transcript:LPERR04G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWRYTGSSGGSFRSRKTKKKGENDWFSPLGPPRLKPVAVHITLLQFAKRHFPPPPHCSCGLVTLASIASPATHFLLGTGGPELAGDEPASSSPG >LPERR04G01090.1 pep chromosome:Lperr_V1.4:4:1188681:1189679:-1 gene:LPERR04G01090 transcript:LPERR04G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVDDPVCSYSNFLTPAFYFVFSFSTASSCFFRLSSCATLVHHSSKTAICRRVMGLVWISPFNCPVRTVRHGLLPSLCRQH >LPERR04G01100.1 pep chromosome:Lperr_V1.4:4:1191508:1198817:-1 gene:LPERR04G01100 transcript:LPERR04G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRASHRIKCAGASTARASSFHPGRCGLVPWGVRVNPNLVALSAHASSQPPGLGEPRGRLWLELIGRRLHRIGLRLQFDLQIVRAHLQFARLCLQRQGTNQCVFYVAESIMSRGQRRSIDLSESIGGIGSLKKINTKLSKKH >LPERR04G01110.1 pep chromosome:Lperr_V1.4:4:1199867:1200266:1 gene:LPERR04G01110 transcript:LPERR04G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGEGSNAQRWFDDVAKLPRSPRLIYDERGEPPLLRELERLPQPRLKKRPLATHCMWNAMPECASSSSATNVAQGEPQIVPSRQEAPRPVRRLSRPRGKRSPLGHDPL >LPERR04G01120.1 pep chromosome:Lperr_V1.4:4:1227018:1230102:-1 gene:LPERR04G01120 transcript:LPERR04G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGWMIRTVFLLNSWVIRILVMFSFTAHLLVVLLAGFRRHMAIGVPITILWTANQLGRSAATYALGKLALGSTPQELQLVTFWGAFLLLHAGGPDNLTAYSLEDNILSTRQKVEMFFQVIGAVYAMYKNIVVGSGSVTMVWVSSFMFILGIVKYWERSKAMELGNLGNMRSSIKKKKEEGKRSRRSLRNVWRSSSRENYEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPSSDWKMMYKVVDMELSLMYDILYTRITMVHSWGGYAIRVASPFATAAAFMLFWFDSKQGQRMADVLITYVLLTGTVLLDIRWLLRAVASTWTYSFLNDRPRFWFHHALLCSGKWRLIRHIIVSLDLSQCLYKEPTSYRKWPEKIGQYNLLQECTRDKDNRTSNYFSSVVKKVASDDKWMEYEYHYLRGIHISKDVKEKFFDCMWEYMRSAYPVEDDKAKEEKKKEAEEKKKEGKEKAGVQKPYPIIDERQGVKRVRELDEALDFLPECQESILILHIATDVVLLYTESGQNAASSKPIDEYVEAIDKLSSYMMFLVAVRPDMLPGLKLRSLYEATEDALAKIWRKQGSSCCISRNGEKYSLTDILRRMESNKIKVDKWEKPYKWRPGYRTRNWKPEFITELYNVSIVLSDGIKLAELLLQWLHSNYSVKFPKNGYESKFEQLFPRLTKVMKYVMYNDDPKKLARLLQNIFEWSYHK >LPERR04G01130.1 pep chromosome:Lperr_V1.4:4:1256630:1259002:-1 gene:LPERR04G01130 transcript:LPERR04G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRWMNGVMLFLNTCSIQVLIVISFVSHLVLVLFAGVRRHKASGWRRLLLWLANQGASWAPTTALSKLSSVGCTAQQEQLVTLWVAFMLLHAAKPDNITAYALEDSVLSWRKKVDVLLQLAGPVSPLCILYKNFCNGDTMLRISSIICIMSIAKYLEGSYFALWRGNLEKIRSSMKEKKSSTPKRRRSLHGVQCDCGGRKHDDEQTLLVAHDLLSITKDALIDYLPDENDDAEEEEALSGTWNETDTLYDVVNIELSLMYDILYTKAPMVHTWGGYLMRIASPFAGATAFVLFWFHSKECLAPVDVIITYVLLASTVILDIKWLLRAVASTWTYSFLRDRPRSWLHHALLCSGKWLMLRGFIIYLKLFCFLADEEPTSYRMWSGIIGQFNLFQECTCDENEKTSNYVSSVLKCIAPDNIWMEYEYHYLRGNHFSGHVREKLFDRVWKNMELAFPERNQRIQDETGSPVCMKAIEGLRPPGFDQEINDALDFTPDLQETILILHIATNIFLSCAESRQIDTSEWGKAIKELSDYLTFLVAVRPSMLPGLALSSRYEAVLDALRTQWKKNNKNASSSCCCSNSDTRQKCLADILLYDWYQGNKNASSSCCSNSEKKTGRTPQRTYKWYQGNKTEILAPGAYLSVLYDSSHILLDGARLANLLLCWKPGFTIETDEDDVFGDRLRRQFPDLMKSGETTEEYEMPMEVTDIIFREWVRLLINVSIRCARDSHAKQLGRGGELTTIVWILAEHARKFRVKKTTQNEPDSCDDSDSPDIPAYSACLLSEIYCVSD >LPERR04G01140.1 pep chromosome:Lperr_V1.4:4:1272770:1273742:-1 gene:LPERR04G01140 transcript:LPERR04G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVRGASETEVKSVLRNEFKESIDYRNCSKNPESSILKVDVRGTVDVGKLYERLKKMSSSVKIESVIPL >LPERR04G01150.1 pep chromosome:Lperr_V1.4:4:1294083:1296374:-1 gene:LPERR04G01150 transcript:LPERR04G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLIRLVIFWDAWMIRVVILYSFAAHVALVFTCRRRKATGRKTLIVWLAYTSAQWAPTYALGKLSIGSTNQWLRLVTIWAALLLFHAAGPDNISSYSLEDNILSWRQVLELVLQVFGALYILYKNVYVLFSVWSSGGGFDTIGLISSAILVMGIIKYGERVFAQRGAELEKMRSSSKKKVQKKKLRKIKMQMSNEQILLVAQDLLHITKGAFVDNMTYEYDADKQEIILPKTWNEILYNVVDMELSLMYDILYTKAAVVHTWFGYGTRFTSPFITTATFFLFWSHSKESLQQPDVFITYILLGFTVILDFKWLLRAVASTWTYSFLNDKPHCWLHHSLLCSKKWRLIRRYIVSLDPMTLLFCKEPTSYRRWSGIIGQYNLFDECTGDGLTWKLKVFKWLAERASFDDQWMEYRYYNSKGFRLLSYLYQSSCVRNKLFESIWEFLKLAYPPIPPLPHKKPMALLPKPKEKPKTPPTWPEPQQFIDRELEETMDFAPAFQQTILIWHITTDIFLLMSDEYESPSPQVRAIKVVSNYMAFLVAVRPSMLPGLKLRSLYEGALEALKTNMDNQQSSCNSTERMKNLAQGLIHKEKEADPLRQGIKLSSYRPGYSSYRSSPPDASKLFGENIILSDGTKFALVLLGWVSEKYDNIDIHIKIDKKPSYRRPEDRYKRLKDLIPELENLEERRRKAKPDDDPLKFISRSDMLDYIFIAWVRLLMYASVRCTRDSHAKQLAFGGEFTTIAWILNEHAGIFRIQKDNEKV >LPERR04G01160.1 pep chromosome:Lperr_V1.4:4:1330011:1330952:1 gene:LPERR04G01160 transcript:LPERR04G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVEVSGSSSSGRSIPNHAAENIINGINRQILGAEVEQYKMENRDLMRQNIELTMQNHELMEHSEVHMELAVVRVKHEELNRKYKSLNEKRNEDQAKLRENKEETVRLTKEKEDQQAYFKREKEGLEKEIRELKAQLDCCVIL >LPERR04G01170.1 pep chromosome:Lperr_V1.4:4:1335961:1340524:-1 gene:LPERR04G01170 transcript:LPERR04G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRACLQLVLFHKTAANGSPGGNDSPGGGDKKRTFKVELCFAAKIPMSAIAQAIRSQESENSQEALKVLDIILRQHSAKQGCLLVRQSFFHNNPDNFVDLDGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIEFLLANQKVDHPDKIDWQKAKRALENLRVKTITANSEFKIIGLSDRSCNEQMFSLRQRNGNNGDFDAVEITVYDYFVKNKGMDLRYSANLPGINVGRPKRPIYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQNPQDRMSVLNELKAGNGDDIFPRNGRWNFTNKKLIQTCCVDKWAVVNFSARCVVRKLIQDLIRNASAKGIQMDDPLDVFEESPSLKRAPVARRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQKVNDQYLLNVLLKINAKLGGINSLLQIEASSAIPLVSNKPTIILGMDVSHGQPGQSDRPSIAAVVSSHQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELDQIIEACKCLDEKWTPKFTVIVAQKNHHTKFFQAGSPDNGTTRPIHYHVLHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAAARVGTFLKFEDMSDTSSSQGGHTSVGSIPVPELPRLHEKVRSSMFFC >LPERR04G01170.2 pep chromosome:Lperr_V1.4:4:1335961:1340524:-1 gene:LPERR04G01170 transcript:LPERR04G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRACLQLVLFHKTAANGSPGGNDSPGGGDKKRTFKVELCFAAKIPMSAIAQAIRSQESENSQEALKVLDIILRQHSAKQGCLLVRQSFFHNNPDNFVDLDGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIEFLLANQKVDHPDKIDWQKAKRALENLRVKTITANSEFKIIGLSDRSCNEQMFSLRQRNGNNGDFDAVEITVYDYFVKNKGMDLRYSANLPGINVGRPKRPIYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQNPQDRMSVLNELKAGNGDDIFPRNGRWNFTNKKLIQTCCVDKWAVVNFSARCVVRKLIQDLIRNASAKGIQMDDPLDVFEESPSLKRAPVARRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQKVNDQYLLNVLLKINAKLGGINSLLQIEASSAIPLVSNKPTIILGMDVSHGQPGQSDRPSIAAVVSSHQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELDQIIEGTTRPIHYHVLHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAAARVGTFLKFEDMSDTSSSQGGHTSVGSIPVPELPRLHEKVRSSMFFC >LPERR04G01180.1 pep chromosome:Lperr_V1.4:4:1355482:1356411:1 gene:LPERR04G01180 transcript:LPERR04G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNILRFLRKQAYKTRILSHTSLVLEYGSLQKSRKQKEGTNLCAFYVAESIMSCGQRRSSDLSDLEYRRDRVAEEDQYKAIQEALAGFLNDKVIDRKGQHYYNGRLA >LPERR04G01190.1 pep chromosome:Lperr_V1.4:4:1366992:1367237:1 gene:LPERR04G01190 transcript:LPERR04G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPHLLPADLEVEDLDLRVMAGGGGGSSRWRIRGVAAEFDVIAMAALKTRLAWSWQQVRREGRAHFDRTWEGGVLGLDG >LPERR04G01200.1 pep chromosome:Lperr_V1.4:4:1373393:1379201:-1 gene:LPERR04G01200 transcript:LPERR04G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRQATNGQMIGKKLSVLRNLEGIRIRGFDLLWWIGVDAKFCRRPLTVVDRCVGQWDEAPPTDVDGDDLGVDRLNN >LPERR04G01210.1 pep chromosome:Lperr_V1.4:4:1396247:1399207:1 gene:LPERR04G01210 transcript:LPERR04G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVAMMQANLGNMRSSSKKSKLERRRIFFRDTRDQLGGNEHALMVAHDLLYITKGAFVDHLDDDHPLDFEDVRSQVFRNGWKEMVKVVEMELSLMYDILYTKAAVVHTWFGYAIRVVSPVVSATALLLFWSSFHAGKDDHQRRHDDIVITYILMAGTVFLDIRCLLRAAVSTWTYTFLIDSPCCWLHHGFPARWRVLRRFLVSLYPCRLLHKEPTSYRMWPGTIGQYNLFHECLHHDTTSVMSGLVKKVASDDQWMEYEYHYSRGTVISAHVRELLFDCIWQYMKLAYPVDLSKMKGACEPCSCSARVDSVRELEEALDFLPEFQESILILHIATDVFYLSAQESDHNGVSSKQLVKAIKTLSDYLVFLVAVRPSMLPGLKLRSLYEATQFALEKIWSGKRSSSSCNSARTEEKCLADILRAMEEEEGETVLWNPNSWRRGYRTRNWKPDFISKLYDSSIVLSDGIRLAEIMLRWLRHGRWCSNFGIIRGSINELPSNYQLRWYKGYLQSEDHPEDYSSNDEFLLQRAHSLFHICKRAIVDSVINVDADKDDDRESTKIIRKLMKEPMLWRVMEMELSLMYDILYTKAGVIHTWIGYSIRTLSPFAIATSFLLFHFSGNKDHHRVVDIVVTYVLLCGALFMETTSLLSSLGSCWALHFLCTTQLSWLRHEALCAGRWHRLRRMVLSLRKIVTVMTAGYFNRSREWSGTIGQFNLLSFRAGQ >LPERR04G01220.1 pep chromosome:Lperr_V1.4:4:1403042:1403426:-1 gene:LPERR04G01220 transcript:LPERR04G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRRLSDLSNLEYRRDRVAKEDQHKGIQEALAGFLNDEVLDRKGEHYYDGRLEPASVDYNIDLDDPNFD >LPERR04G01230.1 pep chromosome:Lperr_V1.4:4:1434070:1437015:-1 gene:LPERR04G01230 transcript:LPERR04G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKEATTVGGGAPYVRTKRSGRRVGIGGTCWAPSAATTPEGKRPGQTISTGHKNYELMLQLQLGIRHSMGKSAAVPMRELSTAEFDPRRSFGRGSHLRGQRHLWKLFSVDPADYMLAICGNDALRERSSPGKSGSLFYLTQDDRFMIKTVRKSEVKLLIRMLPSYFQHASQYRGSLITRFYGVHCVKPLNGQKWHAWR >LPERR04G01230.2 pep chromosome:Lperr_V1.4:4:1435833:1437015:-1 gene:LPERR04G01230 transcript:LPERR04G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKEATTVGGGAPYVRTKRSGRRVGIGGTCWAPSAATTPEGKRPGQTISTGHKNYELMLQLQLGIRHSMGKSAAVPMRELSTAEFDPRRSFGRGSHLRGQRHLWKLFSVDPADYMLAICGNDALRERSSPGKSGSLFYLTQDDRFMIKTVRKSEVKLLIRMLPSYFQHASQYRGSLITRFYGVHCVKPLNGQKVRVV >LPERR04G01240.1 pep chromosome:Lperr_V1.4:4:1444612:1444803:-1 gene:LPERR04G01240 transcript:LPERR04G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAWYIAAVSVSQETGGMWSGTGRGMAVVRGSSRRRSWQTSMADGRGKDGEGALDGFCQEEG >LPERR04G01250.1 pep chromosome:Lperr_V1.4:4:1456143:1460243:1 gene:LPERR04G01250 transcript:LPERR04G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGITLGFSFLVVAILFTLIMFQKRKIKDHFKRNGGSVLQGVDNIMIFSKHDLKKITKNNSEVIGQGGFGKVYKGMLEDNTMVAVKTSIEVNEARKEDFTNEVTIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLQDILHGDANHSLPLTLELRLDIATQAAEGLRYMHSSTNHTIRHGDIKPANILLTDKFVPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKAYDEMNTGRDMFDKEIAIEEQDIFILDEIGRLATDCLKEKVEERPDMNEVAERLVILRRARKHGHETYSFSPTYHDENSIERNPNSLGAEFRANSCATPSPS >LPERR04G01280.1 pep chromosome:Lperr_V1.4:4:1506634:1524829:1 gene:LPERR04G01280 transcript:LPERR04G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLVGLLLLTASAAESFSGQSAGCQTKCGNVDILYPFGIGAGCFNGMAGFEILCNTSSDNGGLVPTLAATKPPIPVQSLSVHPDPVVEVMLPVAYNCYNSSGDTVMSFDGSVDLKQNGAYRISDRRNMFVVLGCNTIAFTQNGYSGGKGLYRNDYYAGCTSYCSDAQSTQDDKCAGLGCCHVEIAPGLTDNNIAFQIWPRGEQVEFSPCDYAFLVGKDNYHFRRAHLKMNINQTMPVWLDWAIRNGTAAASSTPSCPSPEAHTKKPADYAYACLSDNSECVNSTNGPGYYCKCSKGYRGNPYVADGCKDIDECADKYPCYGDCTNTPGDYDCTCRRGYQPSGGGPKEQECSEKFPLPAQLALGITLGFSFLVVAVLFTLILLQKRKIKEHFKKNGGSVLQGLDSIMIFSKHDLKKITKNNSEVIGQGGFGKVYKGMLEDNTMVAVKTSIEINKARKEDFTNEVTIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLQDILHGDANHSLPLTLDLRLDIATQSAEGLRYMHSSTNHTIRHGDIKPANILLTDKFVPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKAYDEMNTGRDMFDKEIAIEEQDIFILEEIGRLAIDCLKEKVEERPDMNEVAERLVILRRARKHGPESYSFSPTYFDENSIERTPNSLAAEFSANSCATLSAS >LPERR04G01290.1 pep chromosome:Lperr_V1.4:4:1530242:1530558:-1 gene:LPERR04G01290 transcript:LPERR04G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSDLRIVRAKTTKGPAPKSFGPGCQDLHGWYINMANATRQNKEDCTIGSHKCEPFLSPDYVFIVQPRQTRHWFVIYNELILNFHTNM >LPERR04G01300.1 pep chromosome:Lperr_V1.4:4:1531507:1532297:-1 gene:LPERR04G01300 transcript:LPERR04G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNITAEVWSELRAKKTLLSSSQAARSINYSRQGMSTHTGSELGKRMMPVRNLEHLSYFLTSTTPALETGAKARGTQNRDDSVTFPNKADAELVLTVEKSSQSAVESVRYEDDILTRAPGNPKHEGQTQRDWLYSGSLLKYSVQYKKRKLSKAAKEANLKFDEWLAREVDMIWLEFHKANAPWPQPQVSNVQLEVSRTDYRSSVC >LPERR04G01310.1 pep chromosome:Lperr_V1.4:4:1532459:1532713:-1 gene:LPERR04G01310 transcript:LPERR04G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNHSDSASTDTTTTTSSQNPRTCNKLPDTKLIITTVDQSVFASTCGIIGHEFLGVLHDDFKKILTEKKELA >LPERR04G01320.1 pep chromosome:Lperr_V1.4:4:1559117:1560153:1 gene:LPERR04G01320 transcript:LPERR04G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANNDKITARLAKPVWALSSYMMFLLAVRMQPPPPPPPDMLLGLVCSTLVVPGCMHPNHAESGSGLMD >LPERR04G01330.1 pep chromosome:Lperr_V1.4:4:1560725:1562779:1 gene:LPERR04G01330 transcript:LPERR04G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPLDLWNAWATQILVLLSLTLQVVLHVLAGVRRREGNIVERFLLWLAYQLADSTAIYAVGHLSLSSAAREHKLVAFWAPFLLLHLGGPDNITAYSLEDNKLWKRHLVTLVVQVLGVGYVLYKNIAANGTLVVLASILMSIVGTAKYGERTWALWCANFSTIGNSLKVVPRDKHQHFYVQFEHLGLGTGGEEYLLQRAHSLFHICKRGIVDSVIINDEADQDPADKTDRIAISDLLQRHKDHKMMWTTMELELSLMYDIIYTKAYVIHTNLGYIIRTMAPLAVVASLLLFHFSGKGGGRIDIAITYVLLGGALVLETRSLLDSLGSTWTLVFLCTTPWSWLRHVTLCSGRWQRFRRVLHSLSWPARILMPRSSRRWSRRIGQYNMLYSCTGEITTTTAATSNQQWLKNLSTMVGFTDWWDMKCTSSTITIPEKVLASLQDMHENFEPYDLNTMGLLRHNWGDLAMGKNRGKKTRPMLFKRLENYHGVDFHESILIWHIATDLILAKIEQDGSSRSVDLEAIRAMSDYMMFVFVDHLDMLPGLPHNLLFQKTKINIAKSCKTSDGVFHQLWHGARKSYRLMQTNKLARIILELPEEDVKPGPRTPRLSYARTIAARLSDWKDEDPVDVLHDMWIDFLMYAANRCNRESHAKKLNKGGEFLTIVWLMIEHFQQLAKAHKKHSNV >LPERR04G01340.1 pep chromosome:Lperr_V1.4:4:1571462:1573843:1 gene:LPERR04G01340 transcript:LPERR04G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQVLTGANKLISSNGKFALGFFQSGSISRDGNLVILNIANKSIIWSSQIDNTTNTNRNITVVLSDNGNLGILHASNPTIVWWQSFDHPTDVFLPGAKIGRNKITGQKYSFTSKINFEDPALGLYCMELDPSGARQYYDKLCNSSMVYYSTGEWNGRYFNSVPEMSSNVFLDSRFVDNNDEEYFTYTPFDKTVTTICLVDASGLTKQLIWVEQLQDWELVFIRPKDSCDVFSVCGPFTVCNGNTLPLCNCMKEILVEVTKGLETWGQTRRMHKKYPSLTNKFFPIPSVRLPYDANSMEIVASAQECMQYNDTTSTNGEILYLRLAAAELQSWEHNRRRRTTGVVVGASVSAFSFLAFILPLMIWRSKRRSCGHPMDEIKEGAGIIAFRYAHLQRATKNFSEKIGGGGFGSVFKGILSNSTTIAVKMLDSARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDRRMLVYEYMVNCSLDAHLFQRNGTILNWRTRYHIAIGVAKGLSYLHESCHDCIIHCDIKPENILLDASFIPKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVAITQKVDIYSYGMVLLEIISGRRNALEECKSSGNQAVYFPVQAAHKLLKGDVRSLVDPQLQGDVDMEEIETACKVACWCIQDDDFNRPTMGDIVQIEGLVELDMPQVPRLLESILSTPDTTCI >LPERR04G01350.1 pep chromosome:Lperr_V1.4:4:1577491:1597485:1 gene:LPERR04G01350 transcript:LPERR04G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQQFVAQLSSDEQINPEESLASYCKPVELYNIIQHRAIKNPPYLQRCLLYKKRAKQKKRIQITISLPGSNSKELRAQNVFPLYILFARPTSDVSIEGHSPIYRFNQACLLTSFNDSGNNDHTEAMFVIPDLETSITTQAYGLTFILISFINKNEGRVGQNLDENNSSENHVDRSSLQMESPISVCMSPGYLEPKFLEHDSCLSFCSRKADATVPYQLHIKLSAAEAGAKDILKSPYSSFSYSDIPSSLLLRIVRLRVGNVLFNYKNIQMTEVTEDFACPFCLVKCGNFKGLECHMTSSHDLFHFEFWISEDYQAVNVRLKKDSITEQKLIKAVRTFTTGQGLRRVEEPKHFRLRMHMHMHILWNQDQLTICWWKNALIDHPKKLHGSDHSPSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKSQPMTFEEVLSDYDSEDEVDDDIADLEDRRMLDDFLDVTKDEKRIMHMWNSFVRKQSILADSHIPWACEAFSRHHGEELVQNPALLWGWRLFMIKLWNHSLLSARTMDICNKILDGIKK >LPERR04G01360.1 pep chromosome:Lperr_V1.4:4:1601327:1602385:1 gene:LPERR04G01360 transcript:LPERR04G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEEAALAAAVVKGKRSKRQRAPPHAAVVTIASAAASTAEESMESSSSLSLSGGAAAMAMAAEGSSTTSSPPPPPPSNTRGDEAVSGCVTEEEEDMAHCLMLLASGGHGERPAAKDQAKFRSRRPADGGAGAGEFVYECKTCSKCFPSFQALGGHRTSHKKPRLLAPPPPPADDKIDTSAAAAAAEEKSSPAPAKASPPRPATKATPITTAAADPTVLAIPVMIPKQEVVDAGAAAIAGGVAKQPRVHECSICGAEFSSGQALGGHMRRHRPLIPASASAASTVSVLDGGEAPRQKEKSLLELDLNMPAPCDDAAANEATSPAAATAAFAFAVKERSPLMVPAALVGCHY >LPERR04G01370.1 pep chromosome:Lperr_V1.4:4:1607900:1612324:-1 gene:LPERR04G01370 transcript:LPERR04G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSPLPSAWPAAGLSDLPPELMADIHTRLSFLDRLAFAAVSSAPSRSAFKPEPPWLVLPGNTPETSTIFSLADRRAATARASEPTMRDHVIIGSSQGWLVTADDRGTMRVVNPVTGDQGELPAITTIPFIRDIGGYFSILLKPFVDIRYGGVDDLASLSPPPYFRQCGTYTSSDHNMRRYFYRKVILSASPSRRAGGGGEGYAAMLLLQNPFGTPAFATAEDRRWRIAPSRDGVHRPIHHKGKFFSVTYTGIVEEWERGEGGEFRSKVVTTMMADDDDGQWQCCSKYIAAAPDGRLMIVIKKAKDVKYYYAWNQWSCSFKVFDEMTQRWEVAKDIGDLALLVGINSSMCVSTTKHPELKAGCVYYTDDEMGKASLRKGAGAARRISDHHDDDRRRNVGVYRLKDGAVGNMAGLGQHKSWPPPAWRRGRDPPQGEVLLSVTWPRAYSISLVEGMRLSFVGNIRPIKI >LPERR04G01370.2 pep chromosome:Lperr_V1.4:4:1608782:1612324:-1 gene:LPERR04G01370 transcript:LPERR04G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSPLPSAWPAAGLSDLPPELMADIHTRLSFLDRLAFAAVSSAPSRSAFKPEPPWLVLPGNTPETSTIFSLADRRAATARASEPTMRDHVIIGSSQGWLVTADDRGTMRVVNPVTGDQGELPAITTIPFIRDIGGYFSILLKPFVDIRYGGVDDLASLSPPPYFRQCGTYTSSDHNMRRYFYRKVILSASPSRRAGGGGEGYAAMLLLQNPFGTPAFATAEDRRWRIAPSRDGVHRPIHHKGKFFSVTYTGIVEEWERGEGGEFRSKVVTTMMADDDDGQWQCCSKYIAAAPDGRLMIVIKKAKDVKYYYAWNQWSCSFKVQVFDEMTQRWEVLKHIGDLAILVGINGSMCVSTEQHPELKAGCVYYTNDDIGTDKGYRASPLRDGTLDKIPELANHHIWPLPAWFTPSFPLAPSRDGVEDAIHHHRGKFFSVTFTGVVEEWERDGVDGEFKSKVVTTRMDSGDGDEHRKYLAAAPDGRLMIVVENTKKSSSRWACSFTVQVFDEMTQRWEVAKDIGDLALLVGINSSMCVSTTKHPELKAGCVYYTDDEMGKASLRKGAGAARRISDHHDDDRRRNVGVYRLKDGAVGNMAGLGQHKSWPPPAWFTPCFP >LPERR04G01380.1 pep chromosome:Lperr_V1.4:4:1615387:1616644:1 gene:LPERR04G01380 transcript:LPERR04G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSDLPPELLADIHGRLSFVDRLAFAAAVAAPSRAAFKPEPPWLVLPGDTPTRSTLFSVADRRSATVRASDPATRDYVIIGSSHGLIVTADDRGRMRLANPVTGDQVDLPAISTIPFVTDRGDHFDMVMEPFLQIRYSGVEDEPGTCRQFHADPHGTHTLTADRMRLSLYNKVVLSASPRDDDDFAAMLLVAGYYGAPAFATAKGGQWRFAPSRDGVEDAIHRRGKFVSVTYTGVVQEWERDGETFTSKVVTTAIGDRDDDQRRPHDAPQVSGGGTGWAADDRCQEDQQVLLAPMGVQLQGEARWEDDIGDLAILVGINSSMCVSTAKHPDLKAGCIYYTDDEMGMASVREDARRWWDTGKVSWFNAHDDDDSKRNVGVYSLKDGKLESLPELGKHLSWPPPAWFTPCFP >LPERR04G01390.1 pep chromosome:Lperr_V1.4:4:1617393:1619702:1 gene:LPERR04G01390 transcript:LPERR04G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVWVVDIIWHTVLLAVDGVGRLWSSWSMEILLGVSFLTQLVLTVTAGFRWRGASTARRNVIWFFYVGADYVATTALGHLSVSGTAGRRRLVAFWAPFFLLHLGGPDSITAYQLEDNQLSARYVLELALRVVGAVYIVYKSVSGSWALIPASWLMLLVGVAKYAEKTLALHAANLANVRSSIERQRRRRRRRTEELDGSRRRDTPLPPKLEFFAEGELVMKAHALFHICKNSMVDSSVEAEMTSSNADDGAARRTKETLFQLEWTQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAISPVFTAVSLVLVELSNAGGHHRRSDVIITRVLLVSTFLLETASLLRAVGSTWTGFLLHRGLRHGWIRHEALCSNRWLRFHHAVASIGRIVANTQAHRKWCGKIGQLSVLRLIITGNGHHHRSWDKESERYSEKKTMVIPPDVKELVFRRVRNQLLDLRARMTSTQDADMDLRKMAANLRTKRGQQALQNRNLLSELRWSLGDELQLGILTWHIATEIYLSLSRKATAITTTAVAVEDDGVVARRVRAIRTLSDYMMYLLAVRPDMLPGLITRKLFELTCEDLARIWSKNNLSPSSVAEDIESLSSSYPYFSPKKFFQLHNRRRVSPSLNYTSTRSEEELAKMLIDQWLKRVDNDDSNGGIALNKYLSRGVELAMKLLNLESASMSRNKEEVDIMQVILEVWVDMLFYASYRCSKESHAKQLSQGGELTTILWLVAEHVGLFVVRKTAKGIEEENWRRRKRSKQVA >LPERR04G01400.1 pep chromosome:Lperr_V1.4:4:1621128:1621788:-1 gene:LPERR04G01400 transcript:LPERR04G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKCASSTLPSVQTESKCGRPLGMRFHFKSGNLYIADAYMGLMRVGPGGGEATVLATTADGVPLRFTNGVDIDQVTGEVYFTDSSMNYQRSQHEQVTATKDSTGQLMKYDPRTNHVTFKVP >LPERR04G01410.1 pep chromosome:Lperr_V1.4:4:1621793:1622026:-1 gene:LPERR04G01410 transcript:LPERR04G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTPLAVFAFIFVLLFSPSPAAAARLMPRPKPIDAHRSQHLDLGGSLVGPESVAFDGKGHGPYSGVSDGRIMRQS >LPERR04G01420.1 pep chromosome:Lperr_V1.4:4:1622717:1623219:-1 gene:LPERR04G01420 transcript:LPERR04G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVYYIDDGKRNVDVYNLRDGTVKEIAGLGNCKCNNWPLPARFTEADFKNLDDMQYVGAYAPRF >LPERR04G01430.1 pep chromosome:Lperr_V1.4:4:1623241:1623599:-1 gene:LPERR04G01430 transcript:LPERR04G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRDVDGVEDATHHNGKFYSVTNVGVVHAWRRRRRIHHQWGGDLTIINKILATLPQMFDEMTARWVEPDVEEMAGVGVLVGARR >LPERR04G01440.1 pep chromosome:Lperr_V1.4:4:1623896:1635611:-1 gene:LPERR04G01440 transcript:LPERR04G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMRSDATAAERDYSNLAPDLITDIHRRLTFTDRLAAATVFGAAGHALKPEAPWLFLLPAAATAGDTPADTNRLYSVADKRVAAARPPGVTMRDCYVIGSSGGWVVTADKKARLHMVNPVNGEHHALPAITTCHFFFVTNPNWPLFHVNIIQDQLISAGGETSTSTSTATARRLPLCTVVSDQMRGWVYRKVILSASPRPGNYAAMLLLDVDRHRGTPAFATSSDPTWRVAASSAARHGGDGVEDAIHHNGKFYSVSYSGIVEEWSQRSASAQFTSRVVSPTPAKDAVNDDVEEDRGIGIGRPSEWHIKKEKYRRNYIVAAPDGKMMVVTKYFKAVEVGQYRKKERRVCFKVQVLLESGGRWKNKASIGQLALFVGGCNSVSVMTKEHPEVRAGCVYFAADELAKGPLTRESDPEEYFGYSQHDDKRKVVGVYSLKDGARAEELAGLGEHANWPPPAWFMPYLPPKSSAGEVDFTKLPTDLVAGIHTHLTFLDRLTPAVLFAAAGHSLKPESPWLAIPGNGGSVPATAAAPTRLYSISDRLVGEARAGEAAMRDCFFLGSGDGWLITADKTSRLRMVNPITGIHHPLPAITTCPFFYTTSWTGRGSHVNLTPEPFMRARYGGEPPAPEEAEQTMIGRSLYTVAAVQMRQFVYRKVVLSAGARPGDYAAMLLLGRDLAAPMFASSDHPAWRVAASRDGVEDAIHHEGKFYSVTYTGVVEEWDRRGGEFTSRTVATMPPEKLNGDRKYMAAAPEGKLMVVTKFFKDVTYQETHQYYGGYNKDKRVFFEILVLDGGGRWREAADVGESAALFLGTNASMFVSRRECPELVAGGIYFTDDDVARRLPFGRSDEERYSYYEKDERKMVAGVYNSLERHRARKLPVVIKRRMEATQKSPPVEDEHHEVEDEHWDMEEDGGDVEEYGDEVEDDEHEEEEDEEQDDVAEEHEEEEEVELELENNGTCPPPVWFKPGTTISVSLGRMRVANVVTGEQWKLPAITTISFLNAD >LPERR04G01450.1 pep chromosome:Lperr_V1.4:4:1637441:1651696:1 gene:LPERR04G01450 transcript:LPERR04G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLHINSLLAPTTPPRRASASASARPLTQAQAHSARAAALGIRWSPGVAAAAAASPRTPMAVGEECAAAASVASQGFLSDARAYWVTRSLIAWNVNDNETSLFLYASRDATMRMSDGVIQGYDSKIELQPEPASLPDSVAQKFPFISSYRTFRVPSSVDVASLVKCQLAIASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGAIFSKKDVCLYLWAPTAQDVSVCFYDGPAGPLLQTVQMKELNGVWSVTVPRDWENRYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPCWDELSDEKPNFDSFSDISIYELHIRDFSAHDHTVDCNFRGGFLAFTCQDSAGIRHLRKLSVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQASIVAIQEEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFDVFSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHLMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKSTMIKAKSALQSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDAVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLITHTGEAKKGSEIHTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMTALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFTYETNNWGVGLPPRDKNEENWHLIQPRLENPSFKPSKNHILSVLDNFVNILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLVPGVIVMSIKDAQNERSGMALLDKNFSYVVTVFNVCPHEVSIEIHDLASLGLELHPVQVNSSDALVKQSTYQVSTGRFTVPRRTTAVFVQPRR >LPERR04G01450.2 pep chromosome:Lperr_V1.4:4:1637441:1651731:1 gene:LPERR04G01450 transcript:LPERR04G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLHINSLLAPTTPPRRASASASARPLTQAQAHSARAAALGIRWSPGVAAAAAASPRTPMAVGEECAAAASVASQGFLSDARAYWVTRSLIAWNVNDNETSLFLYASRDATMRMSDGVIQGYDSKIELQPEPASLPDSVAQKFPFISSYRTFRVPSSVDVASLVKCQLAIASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGAIFSKKDVCLYLWAPTAQDVSVCFYDGPAGPLLQTVQMKELNGVWSVTVPRDWENRYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPCWDELSDEKPNFDSFSDISIYELHIRDFSAHDHTVDCNFRGGFLAFTCQDSAGIRHLRKLSVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQASIVAIQEEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFDVFSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHLMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKSTMIKAKSALQSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDAVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLITHTGEAKKGSEIHTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMTALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFTYETNNWGVGLPPRDKNEENWHLIQPRLENPSFKPSKNHILSVLDNFVNILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLVPGVIVMSIKDAQNERSGMALLDKNFSYVVTVFNVCPHEVSIEIHDLASLGLELHPVQVNSSDALVKQSTYQVSTGRFTVPRRTTAVFVQPRR >LPERR04G01450.3 pep chromosome:Lperr_V1.4:4:1637441:1651696:1 gene:LPERR04G01450 transcript:LPERR04G01450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLHINSLLAPTTPPRRASASASARPLTQAQAHSARAAALGIRWSPGVAAAAAASPRTPMAVGEECAAAASVASQGFLSDARAYWVTRSLIAWNVNDNETSLFLYASRDATMRMSDGVIQGYDSKIELQPEPASLPDSVAQKFPFISSYRTFRVPSSVDVASLVKCQLAIASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGAIFSKKDVCLYLWAPTAQDVSVCFYDGPAGPLLQTVQMKELNGVWSVTVPRDWENRYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPCWDELSDEKPNFDSFSDISIYELHIRIRHLRKLSVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQASIVAIQEEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFDVFSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHLMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKSTMIKAKSALQSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDAVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLITHTGEAKKGSEIHTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMTALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFTYETNNWGVGLPPRDKNEENWHLIQPRLENPSFKPSKNHILSVLDNFVNILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLVPGVIVMSIKDAQNERSGMALLDKNFSYVVTVFNVCPHEVSIEIHDLASLGLELHPVQVNSSDALVKQSTYQVSTGRFTVPRRTTAVFVQPRR >LPERR04G01450.4 pep chromosome:Lperr_V1.4:4:1637441:1651696:1 gene:LPERR04G01450 transcript:LPERR04G01450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLHINSLLAPTTPPRRASASASARPLTQAQAHSARAAALGIRWSPGVAAAAAASPRTPMAVGEECAAAASVASQGFLSDARAYWVTRSLIAWNVNDNETSLFLYASRDATMRMSDGVIQGYDSKIELQPEPASLPDSVAQKFPFISSYRTFRVPSSVDVASLVKCQLAIASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGAIFSKKDVCLYLWAPTAQDVSVCFYDGPAGPLLQTVQMKELNGVWSVTVPRDWENRYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPCWDELSDEKPNFDSFSDISIYELHIRDFSAHDHTVDCNFRGGFLAFTCQDSAGIRHLRKLSVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQASIVAIQEEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFDVFSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHLMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKSTMIKAKSALQSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSRMDIIKVMKQTPGIGLAGNLKDYVLITHTGEAKKGSEIHTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMTALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFTYETNNWGVGLPPRDKNEENWHLIQPRLENPSFKPSKNHILSVLDNFVNILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLVPGVIVMSIKDAQNERSGMALLDKNFSYVVTVFNVCPHEVSIEIHDLASLGLELHPVQVNSSDALVKQSTYQVSTGRFTVPRRTTAVFVQPRR >LPERR04G01450.5 pep chromosome:Lperr_V1.4:4:1637441:1651696:1 gene:LPERR04G01450 transcript:LPERR04G01450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLHINSLLAPTTPPRRASASASARPLTQAQAHSARAAALGIRWSPGVAAAAAASPRTPMAVGEECAAAASVASQGFLSDARAYWVTRSLIAWNVNDNETSLFLYASRDATMRMSDGVIQGYDSKIELQPEPASLPDSVAQKFPFISSYRTFRVPSSVDVASLVKCQLAIASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGAIFSKKDVCLYLWAPTAQDVSVCFYDGPAGPLLQTVQMKELNGVWSVTVPRDWENRYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPCWDELSDEKPNFDSFSDISIYELHIRIRHLRKLSVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQASIVAIQEEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFDVFSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHLMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKSTMIKAKSALQSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSRMDIIKVMKQTPGIGLAGNLKDYVLITHTGEAKKGSEIHTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMTALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFTYETNNWGVGLPPRDKNEENWHLIQPRLENPSFKPSKNHILSVLDNFVNILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLVPGVIVMSIKDAQNERSGMALLDKNFSYVVTVFNVCPHEVSIEIHDLASLGLELHPVQVNSSDALVKQSTYQVSTGRFTVPRRTTAVFVQPRR >LPERR04G01460.1 pep chromosome:Lperr_V1.4:4:1649997:1651522:-1 gene:LPERR04G01460 transcript:LPERR04G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGSFWVQIMPAILFLQLLFRAVGTDGASSFSFANDCQYPVWVGVLSGATSPPLARSGFYLPPSGTFQLAAPSSGSWSGTFWARTGCAVDSSTGRFSCATADCGSGDVACNGRGPSPPVTLAEITLASPGSGGQDFYDVSLVDGFNVPVRLSPSGGSAGECRAVACAGDVNAACPSDLRVVVAGSGGVVACRSACDAYRSARYCCTGEYGSPAACGPTNYSQVFKTACPAAYSYAYDDASSTFTCVGASNYDVTFCPRT >LPERR04G01470.1 pep chromosome:Lperr_V1.4:4:1661644:1662636:-1 gene:LPERR04G01470 transcript:LPERR04G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEFQFFQGVEQEMLMLSHGGGGGGVAAPPATAAAASDEVLTYHLAVLRDKVQQLEPLVGMVAYPRRDATGAGAATAMAASTACSVLHDITAAASAVSHRLDQQQMFAAAPAHGEGAGQVFDHGPSLVFPATTTVTDRVPASSTSVVVAGDGDIDVVELEASYLLARYTHYCAVCGKGFKRDANLRMHMRAHGDEYKTSAALSSSAGGEKKSRRRCYYSCPAEGCRWNRRHERFQPLKSVVCAKNHYRRSHCPKMYVCGRCGGKEFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLLGHVALFAAGHAPVPVAPAAPPAVERSSRD >LPERR04G01480.1 pep chromosome:Lperr_V1.4:4:1664935:1665225:1 gene:LPERR04G01480 transcript:LPERR04G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAKTRALAAVVEGANGAALAAQAPVAPQPSPPCPPRRRIADTVVRAMRSSPPVFVLASPASPPSSPKLRPPVHVAGERFTLAARRGARPFYGS >LPERR04G01490.1 pep chromosome:Lperr_V1.4:4:1672582:1679202:1 gene:LPERR04G01490 transcript:LPERR04G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPISTIALATWTSRPPPPPRPPPIDRSADIRSAAPPAFVGMADNEKDAAPEVEKEAAAEGSTPRGADWEVVSLTASAYAAAPGPGGVAAAETKGFDASQVEGRGSSSALFMSDHFVFPPSEHENLPIETSFDDIQTEKDAHEASTSVEDEGFKNVGGNYGAGSERIQFYDEGRNLSAEDVEKMSEAAEYGSFHAQDGGHGFGANQDDDDGGADDSHGKSDLPSESADSRSHDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHINQFKWRSSSAVRG >LPERR04G01500.1 pep chromosome:Lperr_V1.4:4:1674587:1678689:-1 gene:LPERR04G01500 transcript:LPERR04G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNPPGMQMPPTGQMAPAGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIASQDHKPNERSCNHHRPESICFLGLVIQA >LPERR04G01510.1 pep chromosome:Lperr_V1.4:4:1684712:1687532:1 gene:LPERR04G01510 transcript:LPERR04G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPWSLLRGSLTGALIGVTINDRYASFVTVHGSSMLPTLECHPAKDRALVSRLCLDTRFGFSRGDVVLFRSPTDHRELMVKRLLALPGDWIQVPSAKEIRQVPEGHCWVEGDNADVSWDSRCYGPIPLGLMQGRVTHIVWPLNRIGRVERKMPEGRVMQR >LPERR04G01510.2 pep chromosome:Lperr_V1.4:4:1684712:1686991:1 gene:LPERR04G01510 transcript:LPERR04G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPWSLLRGSLTGALIGVTINDRYASFVTVHGSSMLPTLECHPAKDRALVSRLCLDTRFGFSRGDVVLFRSPTDHRELMVKRLLALPGDWIQVPSAKEIRQVPEGHCWVEGDNADVSWDSRCYGPIPLGLMQGRVTHIVWPLNRIGRVERKMPEGRVMQR >LPERR04G01520.1 pep chromosome:Lperr_V1.4:4:1687436:1690986:-1 gene:LPERR04G01520 transcript:LPERR04G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLMRLMRRRSLLQTAGGRAPPPAMATAGESPFFSTLQQEAAADPVQIPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLDDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILDMDVMEKVLEVKSEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRKEAEAMQPVPVD >LPERR04G01530.1 pep chromosome:Lperr_V1.4:4:1698461:1702650:-1 gene:LPERR04G01530 transcript:LPERR04G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRQDEEDDFSGVTESSRAEVADIRREIYRTFMDLTCLLILNNGSDEAVDIASFGFPLNDWYNLRDNRMLWTFRGRLPLNPEIEKKVDDSHLFLYTYYYAYDGIRKALLATEATEITRRSRELLHLDSTIAAECCLYLLSLNYQGGHNWATHACNYWVCDGIIFSHPRWMQEDQAWELAAALHQEIRLEDYSCSRVPVFADELDTPPERWILVTKDAMEKKPTLYTTSLFVGYTSVILLPNDMFHQANNLRVLRLCNCAFSFSSPPFRCCSSLRFLGLDKCQDRPQEDREDKNMTSPALEIFHRLWVLDICHTDWELTFPTKNTTEQQMAVDIREVHIKKGRIWHNNFPWRQLKNLRKLRVIEATHPWGKTGEMDEFADMLKLELLDLSKNNMIQVLPRLSGAGNLKILILDGCVRLEQVGPEGIPPSLESFSFDSRIDRAEITSISLAGCSSLLSFTLRGPLPKLENLDLSGTIIKMLDLRDVQGSRIGQMIMLRCEKLHSIIWPEKGLPNLSVLCIDSQVCHVETNRQQAYATVMDVRFIQSLVLRSEDKFCWKCDKTHVNICMSSTAKEAVLKNGTIGCYNTQKVAGSSLQMSIINITQPVCYKDINLDMISAIYEGSSAPQVEPLDLHVEIGEGISYVNMVSEQALSAVAFVMNGAESLHAHDNSLITSLNPPKHVILRGDGEITWQHLKWCCIERCRRLDTVFATDYTEVCFRKLESFSAAELLMANSIWGRGSTIQASDDESFKKLRSIHLYSCRKLTFVLPLSWATTRSHLPSLETLLIVCCGELRQVFPVEPDALSKISFEHPTGVRLNFPKLKHIHLHDVPKLRQICDVKRMFAPELKTIRVRGCWSLKRIPASDSCPVVDCEKDWWEKLEWDGVDVGHDPSLFKTRHSRYYKKAMPRGSLLR >LPERR04G01540.1 pep chromosome:Lperr_V1.4:4:1705396:1709415:-1 gene:LPERR04G01540 transcript:LPERR04G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGEVLSIILSKLQSLGFCADLRIGDLAAADSDPSEVFDAVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPGVWASAAESVCRDATLAAPVKLIYYKYLGALDRWIQRLVEAHGPFLDVNGNGRKEPEESIDSDSIGRENEDPLLECNGRGLQHTMLMKRKRENMVGMLGWVREIAENACEAGTVAAGLANGYYSLALAARKAVLGKRARRASMTNGSLPQEIFPMDCNCCMSSTTAGIDTQPKCSIKNQLVFPQPGPDINELTTAVENINGPLIGKEQQNNVIGQAKYVNWKHHNSDCWHFTSQQRNKIPVGSEFQAQVPQWTGEPPVNYDNAETRKWLGTKVWPPENDNRKLSYFYNPIGKGRELSCGCNFPGSVECVRFHVAERRLQLRRELDSAFYMWGFDCMGEEIALSWTDKEEANFRACVQLNAPSSGRNFWKRLHILFQLKSRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFEFLGNRLGHNATKYDSSRYTFCIESTHCMDLDQ >LPERR04G01550.1 pep chromosome:Lperr_V1.4:4:1712171:1713131:1 gene:LPERR04G01550 transcript:LPERR04G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHGGAADGGDSIGSEKPCNRSYCHRTGAQSLQGASASSLGGIRHKARREDLEKGSRTVTVVYHVNTEIEDEYWLFLENVCVYENEDFLVEYEGKVIRYGGGEVVDDDGNLENPAKEEEEKKVVVSLDEPSDDSMMSFMELDPLDNSTPRQKAQKVTEDKENMDEEDEHVVSIKGKGAYKCGENGIGK >LPERR04G01560.1 pep chromosome:Lperr_V1.4:4:1725844:1729698:1 gene:LPERR04G01560 transcript:LPERR04G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLRVNLTKLGTPTLWRYWKHFNLVSMNPNPSKEQLFHGVQQHFQTQVILGFIQAAKRLKTLYRS >LPERR04G01570.1 pep chromosome:Lperr_V1.4:4:1742493:1743678:-1 gene:LPERR04G01570 transcript:LPERR04G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAEMISKPDWSCGLPHKVLESIAKKLSSGCCLLPPVLILPFDPESSSEGNVTFYSITEDKAINMLLPEVHGKVVCGVSHGWLALMDEAASVTLLNPFTRGRVMLPPADRNVALASFKTVSMVDGGWVLHYVSGDTKPIKLQNMRDIFFREIVLSAPPASGPSGGADCKAMAVLTYSTEIAFCCFGDSSWTLIDSKLEYPVSSIVHCQDKFIAIGSLGEISILSGTTDGTAPLTASPLLLLPPPANIQQRSYMDVNGQLYLVGSILRVSCGAWYETVVHKCDILGEMPCWLKVTDAEDMAFFVSQDFSTGFGVASISNTYWNCVYLSEHRNCHQEESINHHLEIVNITTNQSDLQAYHPIIPGLEALCWIRPNIWN >LPERR04G01580.1 pep chromosome:Lperr_V1.4:4:1754033:1758369:-1 gene:LPERR04G01580 transcript:LPERR04G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAILLSHQKVCGKVGGWVALMDETASVAVLNPFTNSHIMLPPARKHVVAASSETMVMVDGKYAVQNAF >LPERR04G01590.1 pep chromosome:Lperr_V1.4:4:1763648:1764862:-1 gene:LPERR04G01590 transcript:LPERR04G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSPDWSSGLPKELLEIIAKKLSSGHDAAAFRSVCSPWRAALPFAAFVPLLMLPFDPLSPAPETKATTALTLYSLEKDKVFAMALPELGGKVVCGSSRGWLALMDEAASVTLLNPFTGGRVALPPADERVAAASSKTVAPVLADAGRRRWELRRSSGGVELVTLDMMRDVFFREIVLSSSPGKNSGDMVAMAVLADSSEVAFCRVGEKEWTLAETYVGCCICAVVHCQGEFIAIGCLGEISVISRIIAGENVRPPTARPMSLLPEPADMCHRSYLVLNGHLHLVGFALRVFHSEWPFDHQAVVYRCDLTAGETPVWSMVADVGDTGMFVSKYFSLGFGGASVSKIKRNCIYLSEPRYSDQGEEVGDHSPELVDLTTGNSEEIGNPAMQGLEALCWIRPNLWT >LPERR04G01600.1 pep chromosome:Lperr_V1.4:4:1767610:1775768:-1 gene:LPERR04G01600 transcript:LPERR04G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLDNSPEGALYVGLLLVAISFPSLSQPHQFCNRSWLHVVGYIFQVFHKTMWFIYHTVVYISDLHGDISMWCKCHGGQGHRFASPQGTWQGCMWCVPLVAGSHEAASVTLLNPFTSVHVMLPPTDRNIALASFKSVSMVDGLGWVLHYVPGVVKSINLKNMWEVFYS >LPERR04G01610.1 pep chromosome:Lperr_V1.4:4:1779784:1781843:-1 gene:LPERR04G01610 transcript:LPERR04G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCDATAASVVPVPCVTLNSGHAMPVLGFGTGSSRTPADLPATIVHAIRLGYRHLDTASMYTTEGAVGAAVADAIRSGVVASRGDLFVTTKLSLFDADPARVVPALRESLSRLGLDYVDLFLIHWPVTMSKKAAADGDSESSEVSRVVAFDMEGVWRGMEECHRLGLAKSIGVSNFSAAKMSTLMALASVPPAVNQVEMNVGWRQEKVRQVCGQGGVVVAAYSPLGAYGAHWGSDAVMNSGVLHDVATRRGKTVAQVALRWLHEQGVCFVARSFNEERMKQNMEIFDWDLSEEDKKMIASIPQRRACQGEFFVSPDGPYKSLHDLWDGEI >LPERR04G01620.1 pep chromosome:Lperr_V1.4:4:1795200:1795595:-1 gene:LPERR04G01620 transcript:LPERR04G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSVKRTVPDWSNGLPQDLLKFISNMLTSGQDVSSFHSVCNTWRDVLPFERFTPILMLSFHPELHESSITFCNMIEEKTIVMSLPEVNGKVACGASHAWVAVMDEAPSVILLNPFTSSHRSVFKEGGDG >LPERR04G01630.1 pep chromosome:Lperr_V1.4:4:1803802:1806161:1 gene:LPERR04G01630 transcript:LPERR04G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGWGDRCGGQTEAVPCCRGACDVTQEEEMDDPSNTTVASENPKLLPNADAYMDTNNLDVQTQVPGQTKKWPTFMELLCGEEDFDLLPFEANEAEGNNYNYAETMSLLGNNPYDIWTGGAQLEPQEHMVTLGYFSGIGSQLPGSQTVKLLDGNIGSCLASPRDNQLQGGHLALLMSYISAFAAPDQQIPTSLVMRQSIEQPAMSHSAIQKSDNVNSTSGIGHSDCTFDTGTEEMFGGVANLSPSKGDLGLQGGHLALLMSNISAFAPPDQQIPTRFVRSRSIKQLMASHCTIQKSDAVNSTSGIGHSDCTFETVSEKMFGGGVNLSLPNGDPDKQIPTSFVRSRSIKQLMASHSAIQKSNVVNSTGGIGHPDCTFETVSEEMFGGGVNLPPPNGDHGPF >LPERR04G01640.1 pep chromosome:Lperr_V1.4:4:1809215:1813332:-1 gene:LPERR04G01640 transcript:LPERR04G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVNMPGGDGGDHHHHHGECRRLRRRHRRFTLRRYVPAATASEEPIAGGAAAASSGEKRTRPALSSSSSSSDGSSSDDGEEGCSSAGAAAHRLPVPAAVWPVAFGSVSLAGRMREMEDAISLRPFFFTWIDGSPIHFFAVFDGHGGPHVSALCREQMHVILAEELAAEAAALRQRPSPATAEEDAWRAALSRSFSRVDALAAVACACGRAAVPACRCPLSGQTGAIIGSTAVVALLIRDRLVVSNCGDSRAVLCRAGFPLPLSSDHKPDRPDEKARIEAAGGRVVYLNGPRVRGILAMSRALGDKYLKPEVICEPDITITERTVDDECLILASDGMWDVISNETASDVARQCLEDGSPAGSHAAGSGEAAPSTSTGALAAVRQESEPRCYRAAALLARLALGRDSSDNISVIVIDLKGRS >LPERR04G01650.1 pep chromosome:Lperr_V1.4:4:1831206:1834100:1 gene:LPERR04G01650 transcript:LPERR04G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVLKAWATEYSLNQHFMHHHNQHLEKGRRVVGGEGKIDPHNQLAGYHQGEEEGGGAQEDGEGKGVGGASIGWVVARDEGLRREARGKLVELHVVVVYWSSIRHHFGTKKEEVQGNYVAYLQLPSVNRSASFQFISWMESNGDLDIYVRQIIQ >LPERR04G01660.1 pep chromosome:Lperr_V1.4:4:1853325:1853813:-1 gene:LPERR04G01660 transcript:LPERR04G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSARDHGQQHHHHQHSRPWSCSFSLPAGFSWPPQRSPSSSSYTCGYCRREFRSAQALGGHMNVHRRERARLRQCPNPSSIVHGGRTHPLPNLNFSPPSPPLPQQQEYYCSGDRPAVVYSFFSTTATAMAVTKGLEVDLELEVGGGIEEGLDLELRLGCS >LPERR04G01670.1 pep chromosome:Lperr_V1.4:4:1875436:1879621:1 gene:LPERR04G01670 transcript:LPERR04G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVGREGAAMRRIPSLLRLSIRFFFFFLLLSLSAAAAADFSHCGGCDDGGGGIWSTDNILQCQKVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSEAGDEMVLTHQLRQMEATDSHSLSIPIDNPDVLEIKATKDAKVLEADSALGVASRGQLESGPIAAIRMPMLSVSNFKGGTPEVMETSYAILALVLPKDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQSMREKLAEQHRDLLRAKHETVMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTANREYLSLVRRAFHLHSLIKEAIGVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIMLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSQRLQGPNSTNSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLHVILVDSDDTNRAVTHKLLEKLGCRVLSVTSGIQCINSFASAEQSFQLIVLDLTMRTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >LPERR04G01680.1 pep chromosome:Lperr_V1.4:4:1888431:1890098:-1 gene:LPERR04G01680 transcript:LPERR04G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSRMVKPVAAAAAEEDGKVGILSFEVANAMSRAANLYRSLSDGEAARLLGPHCLGSHAVRALVAGDDGRLLALALAEKLDALNRVAAVASRLGRRCTLHALLGFDHVYADLLAGRSAATATSLAVATPSEAASLVRKLDRLAAATAALYAELEALADLEQSARKLPAGEARRAALLEQRTRWRRHDARRLRDASLWNWTYDKAVLLLARAVCAIYDRIRLVFGNPMQGIELLVAASSRWSSSTTTARQLSNGSGPVSANSSGHVVRSTNLGDVRSGPIGRIDVDTPRSGNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLVPFSGEQAVSMTGNKNDRIGRRARFGPKSTVTSLAPASTIGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYQMLPSSLRASLRRSLKSYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIQWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDFSSSLDFDDCMEWQLQ >LPERR04G01690.1 pep chromosome:Lperr_V1.4:4:1920254:1920841:1 gene:LPERR04G01690 transcript:LPERR04G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPDNQCCSQWGYCGFGSDYCGTGCQSGACCTQQRCGAHAGGATCSNNQCCSQDGYCGFGNEYCGPGCQNGPCRANLECGHRAGGRLCANNMCCSQSGYCGLGHQFCGVGCQSGACCPERRCGRQAGGAKCPNPHFCCSGRGYCGLGANYCGSGCQSGDCDRSVAAHNGTVPILFNNQTTAPFDGIIKSVAEQE >LPERR04G01700.1 pep chromosome:Lperr_V1.4:4:1931128:1931796:1 gene:LPERR04G01700 transcript:LPERR04G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKNSIALALLAFVAVMTTTTHAQTCGKQNSGMICPNNLCCSQWGYCGLGSDYCGTGCQSGACCSSQRCGSQGGGKTCPNNQCCSQYGYCGFGSEYCGTGCQNGPCRADIKCGPNKLCPNNMCCSQWGYCGLGSEFCGSGCQSGACCPEKRCGRQAGGAKCPNNYCCSQHGYCGLGGNYCGSGCQSGDCSKSANGDALPAVLSSNQTVSFDGIIKSVAEEE >LPERR04G01710.1 pep chromosome:Lperr_V1.4:4:1945704:1947791:-1 gene:LPERR04G01710 transcript:LPERR04G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLAASNTEHETTNLALLIVIPMAMAILLLISFSSHINKLWTMASRKKRKQPKLPPGPAPMPVIGNMHQMLMNKPVFRWIHRLMDEMNTEIMCLRFGNVHVITISSPEMAREMLRKNDAVLASRPASFATRAVSLGYKNTIMSPAGDQWKKMRRMLASEILSPAMERRLLGPRVEEAEHLINLVDTHCTDNSIGGTVDVGHVARHFCGNIIRKLVFGRRHFDSRLHGPPGRDEEAHIDALFTALDYLGAFAVSDYFPALVGFDLDGHEDIVNGLMKTFARLHDPIIMERMEEWRAIRRSGDQKREVADFLDVLVSLEDAHGKPVLSTDEVKAETLEIILATVDNPSNAVEWALAEMVNNPEVMKKAVDELDVVVGKEKLVKESDIQKLTYLKACIREAFRIHPYHPLNPPHVATADTTIAGYLIPKNSHVMLSRVGLGRNPRAWDNPLEFRPERHLMNNSANVVLAEPELRFISFSSGRRGCPAVSLGTSITMMLFARLLQGFTWSIPHGVDKIELQESASSLQLHKPLLLEAKPRLQLHLYESNYIS >LPERR04G01720.1 pep chromosome:Lperr_V1.4:4:1959690:1961150:-1 gene:LPERR04G01720 transcript:LPERR04G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHRPLLRSLPSSSTSRSRSLLHLLSRPLSFHPSATSPNHPQQWQPPPPPQWSPSPPPPRNYQHQQQPPPPPRHNYGPPPPQHQHQAPPPPPRNNYGPPPPRQNYGHPQNQAPQAGPGELIGMAREGRVKEAVELLDKGARADPHAFYELAAACSNPKLLEELRKIHDFFLRSPFRADLQVNNKMLEMYAKCAAMTHARRTFDHMPDRNMDSWHIMIDGYSVNGLGDVALQLFEEMKSKYGITPTAHTFLLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAMEYIEKLPFEPTAMVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >LPERR04G01730.1 pep chromosome:Lperr_V1.4:4:1960357:1961238:1 gene:LPERR04G01730 transcript:LPERR04G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAHALSTSKKVCAVGVIPYLLFISSNSCSATSPSPLTEYPSIMMCQESMLRSGIWSNVLRAWVMAAHLAYISSILLLTCRSARKGERRKKSWIFLSSSSSLGLEQAAASSRAGRRAHPCRAAPPPPSPAPPSPSQSAPLAPPAAPDSAGGRSSGAAVEARSCCAEEAAAPDADAAGAEGRSCGAAEEAAAAGAGSFWEAEEKETIGEEEEAAIVGDGWGRWRKGGRRGGGRGGGGGSGIWRWRRKGGSEGEDGEKAAAAARAIGV >LPERR04G01740.1 pep chromosome:Lperr_V1.4:4:1970191:1973329:1 gene:LPERR04G01740 transcript:LPERR04G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGNSSSGGWGGRRVEYGRTYVVRPKGRHQTTIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFSNGMPYPITISAVISLSGWLPCSRTLRSKMESSHMAARRAASLPILLSHGRVDEVVSYRNAERSAETLRSSGLGHYTIPEEMDDVGKWLSSRHGLDRSR >LPERR04G01740.2 pep chromosome:Lperr_V1.4:4:1970191:1973454:1 gene:LPERR04G01740 transcript:LPERR04G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGNSSSGGWGGRRVEYGRTYVVRPKGRHQTTIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFSNGMPYPITISAVISLSGWLPCSRTLRSKMESSHMAARRAASLPILLSHGRVDEVVSYRNAERSAETLRSSGLGHYTIPEEMDDVGKWLSSRHGLDRSR >LPERR04G01750.1 pep chromosome:Lperr_V1.4:4:1974919:1975397:-1 gene:LPERR04G01750 transcript:LPERR04G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQSNEDSVEERMDIVKMNNPRSGPKIVRVVDAMEIDSQSSNAVLEDEQLMNANGCTCWKSKCRHLNCRCFKVCMLCIYTSFDIQPIFTTSCNHAYAKHVVVNMIE >LPERR04G01760.1 pep chromosome:Lperr_V1.4:4:1977925:1978338:1 gene:LPERR04G01760 transcript:LPERR04G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKKPPAARRASRQGSLRLRALAPGSIDVPQTGDGSCHAGAAAGGVIPLLTPLHIAAAESSTGSDDLPAAASASASRRLQAEVVAGGGGRCVGVEKTRCAVAWRQWHPAIPFVNDQAAASSSSSSVGFVFQNCV >LPERR04G01770.1 pep chromosome:Lperr_V1.4:4:1987951:1989255:-1 gene:LPERR04G01770 transcript:LPERR04G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDIVSKSSPELVGPSTKPASPAGDVILSSFDKALAFAPFTSFHVFTNAIADPAMTIKRALSQALLYYYPIAGRLTGGGSGKRLRISCTGDGVAFVAATANCSLADVKLLDPPFASILRELAVDYPAEGCREVDPLMLMQVTEFACGGFVVGVTWNHAVADGVGIAQFLTAVGDLARGITRPSVFPVSCGDASLPEIPPLVAAIEKTMMRLENKNFAYLDITIPSMVIARVKAEFAGGEPCCTVFEAVTAVLWRSRTRAVVSDPDAPAPLVFAANVRRHVGVKEGYYGNCVTSQVAVPTSGAVANSDVADVVRLIRRAKEKIPLQFKSNNSGDVDDDGMDGDGMDQRELSVLFGYNALYVASWRNIGFEAPDFGGGRAARVMCHVEPTAVPSCVACLPRDDSDGGGGASVLSLCVRDEHVDAFLAEFGRISSE >LPERR04G01780.1 pep chromosome:Lperr_V1.4:4:1995409:1995606:-1 gene:LPERR04G01780 transcript:LPERR04G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGGEGEKSIIDGDVANKGPELGGCDSTGAPEMSITEVRGMELESPSPEKATGDAKREGEGGG >LPERR04G01790.1 pep chromosome:Lperr_V1.4:4:1995662:1996248:-1 gene:LPERR04G01790 transcript:LPERR04G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKTALSQALVFAANVRNHVGAKEGYYGNCVMSELAMPTSGEVANQRLRIIRRAKEQIPLQFKNGSDGMNGGDVGLGVLFGYNAFYVASWQNIGFEAPDFGGGKEAWVMCHVESTAVPSCVVWLPRVVIGCFISKTFDTDVRTLRMQWPPHSARTSSKKKELYFILT >LPERR04G01800.1 pep chromosome:Lperr_V1.4:4:2003639:2017349:1 gene:LPERR04G01800 transcript:LPERR04G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADASNNSAGGVAAAAAGDADDESTFLHALELICGFVVPMTLKAAIELGLLDALTTAADDGRALTADELAAQLPAADKAEAASSVDRILRLLASYNVVRCSTEAGPSGEAIRRYSPAPVCRWFAGNNPRGSMAPMVMFAVDEDYLSPWHHMGAAMAAGGQTGFERAHLMPIFQYMGTNSRLNTVFNQAMAQQSVILISKLLERFHGFDGIGVLVDVGGGTGTTLKMITSRYKHIVGVNFDLPHVIAHAPTLPGVKHIAGNMFESVPNGDAVFLKSMLHLHNDEDCIKILKNCHQAVPNNGKVIAVEIVVPAIPEPVPAARNPFEMDMIMLNNFRGGKERTELEFAKLGMDSGFGGAFRTTYILANYRALEFNKYNSAGGVAAGDADDEATFLHSLELICSFVVPMTLKAAVELGLLDALTTAADGRALTAAELAAQLPAADKAEAACSVDRMLRLLASYNVVRCSTEAGPGGEALRRYSPAPVCRWFAGNNSRGSLAPMVKFVVDEDYLSSWHHLGAAVAGGGQSGFERAHRMPIFEYIGTNSHLNTVFNQAMAQHSEILMSKLLERFHGFDDIGVLVDVGGGTGTTLQMITSRYKHIIGVNFDLPHVIAQAPTLPGVKHIAGNMFESIPTGDAVFLKSMFHLQSDENCIKILKNCHQALPNNGKVIAVEIVLPEIPEPVPAAQNPFQMDMIMLNNFKGGKERTELEFAKLAMDSGFSGGFRATYILANYRALEFSK >LPERR04G01800.2 pep chromosome:Lperr_V1.4:4:2005618:2017349:1 gene:LPERR04G01800 transcript:LPERR04G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSFECRPSHHGHPVESARRSIMTLVAALHAVASRTSKPCVKHIAGNMFESVPNGDAVFLKSMLHLHNDEDCIKILKNCHQAVPNNGKVIAVEIVVPAIPEPVPAARNPFEMDMIMLNNFRGGKERTELEFAKLGMDSGFGGAFRTTYILANYRALEFNKYNSAGGVAAGDADDEATFLHSLELICSFVVPMTLKAAVELGLLDALTTAADGRALTAAELAAQLPAADKAEAACSVDRMLRLLASYNVVRCSTEAGPGGEALRRYSPAPVCRWFAGNNSRGSLAPMVKFVVDEDYLSSWHHLGAAVAGGGQSGFERAHRMPIFEYIGTNSHLNTVFNQAMAQHSEILMSKLLERFHGFDDIGVLVDVGGGTGTTLQMITSRYKHIIGVNFDLPHVIAQAPTLPGVKHIAGNMFESIPTGDAVFLKSMFHLQSDENCIKILKNCHQALPNNGKVIAVEIVLPEIPEPVPAAQNPFQMDMIMLNNFKGGKERTELEFAKLAMDSGFSGGFRATYILANYRALEFSK >LPERR04G01800.3 pep chromosome:Lperr_V1.4:4:2003639:2005840:1 gene:LPERR04G01800 transcript:LPERR04G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATADASNNSAGGVAAAAAGDADDESTFLHALELICGFVVPMTLKAAIELGLLDALTTAADDGRALTADELAAQLPAADKAEAASSVDRILRLLASYNVVRCSTEAGPSGEAIRRYSPAPVCRWFAGNNPRGSMAPMVMFAVDEDYLSPWHHMGAAMAAGGQTGFERAHLMPIFQYMGTNSRLNTVFNQAMAQQSVILISKLLERFHGFDGIGVLVDVGGGTGTTLKMITSRYKHIVGVNFDLPHVIAHAPTLPGTYPYTKHVTLIASVQVIFITWEIYAHITQLHNAVHT >LPERR04G01820.1 pep chromosome:Lperr_V1.4:4:2040125:2040625:-1 gene:LPERR04G01820 transcript:LPERR04G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSLSSIRRRRQKLPLIKCPRCKQKNILKLTATTATNIGRIFYTCPNHEKGGSGCDFWYREEGYVKYLKRNGFNDQEEDEYVVYADVKPAVNWEMQGREEEKPTDLKLSEDDELKKMTEVILLDTAAPCSSPREEER >LPERR04G01830.1 pep chromosome:Lperr_V1.4:4:2051699:2062057:-1 gene:LPERR04G01830 transcript:LPERR04G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYCLVVLLFLVSCSSSSAVVAPVNSSRKLVDRLPGFSGPLPFSLETGYVAVDDVRFFYYFIQSERSPEDDPVLLWLTGGPGCSAFSGLVYEIGPLTFDFDGYRGGLPTLLYRPDSWTKVSNVIFVDSPAGTGFSYAITAQGFKSSDTIVVRQLYSFIQKWFDDHPHFFSNPLYISGDSYSGIIIPTLMMEITKGIGSSDNRLLNLKGYIEGNPLTHSPHDENSKIPFLHGMGIIADEFYEVARKSCRGEYNNPGNSEECANSMQAIGDCIRDINSEHILEPQCTEAQSPIFENNVLQDGRTKLFESAVSSVCRDTNYILSRLWANDKAVWESLGIHKGTVTTWKRCDDNLHYRYKKEIVNVVEYHLSLITKGYRGLIYSGDHDSGISFVGTQGWLRSLNLSITDNWRPWYVNSEVAGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGEPL >LPERR04G01840.1 pep chromosome:Lperr_V1.4:4:2067395:2081491:-1 gene:LPERR04G01840 transcript:LPERR04G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLADYCLVPPMFLVSSSYAVVAPAISSRKAVDRLPGFTVDEVRFFYYFIQSERNPEEDPVLLWLTGGPGCSALSGLVYEIGPLSFDFHGYKGGLPTMLYKPDSWTKVGNIIFVDSPPGTGFSYAIKEQGLNSSDTTIWFDDHPKFFSNPLYISGDSYSGIIIPTLTMEIIKGIGSSDDRHLNLKGYIAGNPLTDIPNDDNSRFPFLHGMGIIADELYEAARKSCRGDYNHPENSQCANLMQTIWDCIRDVNEVHILEPRCEELESSIIDNFDSHDGRTKLLESAVSSVCRNATYLLSKIWANNETVRESLGIHKGTVTTWQRCDYGMHDRYKKEIVNTVDYHLSLITQGYRGLIYSGDHDSRISFVGTQGWLRSLNLSITDNWRPWYVNSQVAGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMATTRENRDGSGSSGGGGEQQSNTDAAIKSPC >LPERR04G01840.2 pep chromosome:Lperr_V1.4:4:2067395:2081241:-1 gene:LPERR04G01840 transcript:LPERR04G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHGRYVAVDEVRFFYYFIQSERNPEEDPVLLWLTGGPGCSALSGLVYEIGPLSFDFHGYKGGLPTMLYKPDSWTKVGNIIFVDSPPGTGFSYAIKEQGLNSSDTTIWFDDHPKFFSNPLYISGDSYSGIIIPTLTMEIIKGIGSSDDRHLNLKGYIAGNPLTDIPNDDNSRFPFLHGMGIIADELYEAARKSCRGDYNHPENSQCANLMQTIWDCIRDVNEVHILEPRCEELESSIIDNFDSHDGRTKLLESAVSSVCRNATYLLSKIWANNETVRESLGIHKGTVTTWQRCDYGMHDRYKKEIVNTVDYHLSLITQGYRGLIYSGDHDSRISFVGTQGWLRSLNLSITDNWRPWYVNSQVAGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMATTRENRDGSGSSGGGGEQQSNTDAAIKSPC >LPERR04G01840.3 pep chromosome:Lperr_V1.4:4:2067395:2081491:-1 gene:LPERR04G01840 transcript:LPERR04G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLADYCLVPPMFLVSSSYAVVAPAISSRKAVDRLPGFTVDEVRFFYYFIQSERNPEEDPVLLWLTGGPGCSALSGLVYEIGPLSFDFHGYKGGLPTMLYKPDSWTKVGNIIFVDSPPGTGFSYAIKEQGLNSSDTTIVRQLYRIGSSDDRHLNLKGYIAGNPLTDIPNDDNSRFPFLHGMGIIADELYEAARKSCRGDYNHPENSQCANLMQTIWDCIRDVNEVHILEPRCEELESSIIDNFDSHDGRTKLLESAVSSVCRNATYLLSKIWANNETVRESLGIHKGTVTTWQRCDYGMHDRYKKEIVNTVDYHLSLITQGYRGLIYSGDHDSRISFVGTQGWLRSLNLSITDNWRPWYVNSQVAGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMATTRENRDGSGSSGGGGEQQSNTDAAIKSPC >LPERR04G01840.4 pep chromosome:Lperr_V1.4:4:2070913:2081241:-1 gene:LPERR04G01840 transcript:LPERR04G01840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHGRYVAVDEVRFFYYFIQSERNPEEDPVLLWLTGGPGCSALSGLVYEIGPLSFDFHGYKGGLPTMLYKPDSWTKVGNIIFVDSPPGTGFSYAIKEQGLNSSDTTIWFDDHPKFFSNPLYISGDSYSGIIIPTLTMEIIKGIGSSDDRHLNLKGYIAGNPLTDIPNDDNSRFPFLHGMGIIADELYEAARKSCRGDYNHPENSQCANLMQTIWDCIRDVNEVHILEPRCEELESSIIDNFDSHDGRTKLLESAVSSVCRNATYLLSKIWANNETVRESLGIHKGTVTTWQRCDYGMHDRYKKEIVNTVDYHLSLITQGYRGLIYSGDHDSRISFVGTQGWLRSLNLSITDNWRPWYVNSQVAGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGEPL >LPERR04G01850.1 pep chromosome:Lperr_V1.4:4:2103570:2104874:-1 gene:LPERR04G01850 transcript:LPERR04G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSSITITKSPTLLVGPSEPTPSGTVKLTGMDMALSALPMAALFAFERPIDQPAETIRKALSQSLVLYYPIAGRLVAAVHDGIGIACTGDGVAFVAASASCGLREAMLLDEDSSSPAISLDELTVTFKRMYMEMGDSPLVMTQVTEFACGGFVVSVTWNHAVADGVGMAQFLRAVGEVAGGGSSPTVIPVRWDSSLPELPPAIVSSTAAMVSCQPGDYVSSHIKIPMSFINRVKSEFSHVAPSSPSPCSVFEVVVAAIWKCRARATMSIDGAGVDDPVALVFTANVRKQAQAKPGYYGNCFGFQTIVATRGEVANGGVVELVKRVKEAKERIPYTFEMAGGGGGGDRMMQEVAGVRQYDTLYVSSWWGLGFDDVDFGGGTAARVMYNLEWKVVPSCFLCGKKGKDGVGAMAFCVELEHAVPFHVELGRLGEK >LPERR04G01860.1 pep chromosome:Lperr_V1.4:4:2106977:2107423:1 gene:LPERR04G01860 transcript:LPERR04G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDEGYSASESDNSHGIYTIDDNSNAASASGTLAQHLTTIQAILSETPYDAATNPDIANRAKRIRAVATNLDSAFEDTSTDPPPEPTNDEAARRVATATAEATAQATRTVAANNTNGNANAEGQAPEKNLEADPERCHPRNNTRAG >LPERR04G01870.1 pep chromosome:Lperr_V1.4:4:2125706:2127992:-1 gene:LPERR04G01870 transcript:LPERR04G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVAAWPVLAEVGLGRRERKGEREKKEEERYVEPTRDAKHSRFRIRLALTVSTVAAILLVISCFIVFVKRRKSGKFKLFVIGKNSNHMENIEELLENYGSLAPKRYKYSQLKDITRSFSEKLGEGGYGMVYKGTLPDGQSVAVKFFHDLTRNGGEFINEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLDKFIYAESSK >LPERR04G01880.1 pep chromosome:Lperr_V1.4:4:2140104:2145709:-1 gene:LPERR04G01880 transcript:LPERR04G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDWPESPSQEVLYADEIDAVRAVLGSGLAEADVVAALSRCGGNAERAINALLDVADTTAAKIAPVKAERDASGAAPKKPPPPTHVKLEVLDVDEPLGSQESNGCSAKPLKVKEHTDDFPHRVKKEERYDGVPAKRGGAGAGDGISLVPRPKKRTRVEDDEVEMIDLTATNPLPYLNPRPIRAVPPPEAMEMYESRQRVRAPAPATSAPANDWRMVVAPPDAEFGDFPEERDWFLVGRSYVTGLSTNRGRRRMDAGELVHFSFPSFERTYGGIKVSNKKAAALAEIVRFSTKRAGEIGKLSTEWTKCLVPLVSSSKVKIQGKIVLPTVELRLMQEIMLYVSFYIHRSVFTAVGDNSSLNLVAPANADFSTNPLYRLFGLLNLRAFAKADITPEELSTGKRPNLRGDGNEDEPMAIVGLENRRTAGQTFQEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDGDQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGQASTQFQSVTQSTRGGILADAMGLGKTVMTIALILSNPRGEVEQDMRGARGRDTRAQTSGSSVRGGTLIVCPMALLGQWKDELEAHSTPGALSVFVYYGGDRTADLRFMAGHSVVLTTYGVLQSAHKNDGSSVFHRIDWCRVVLDEAHTIKSPKTKAARAAFELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWCDATWWHKLIQRPYENGDEKGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEIVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDQLAQRFLEGVQRDSVRRSAPPSQAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCGECLLSSWRTPTGGPCPLCRSPITKAELITLPSQCRFEVDPENNWKDSCKVQKLIMILEGLQKKREKSIVFSQFTSFFDLLEIPFNQKGIKFLRFDGKLSQKHREKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMHKVQARKQRMISGALTDDEVRTARIEQLKMLFT >LPERR04G01890.1 pep chromosome:Lperr_V1.4:4:2163497:2169648:1 gene:LPERR04G01890 transcript:LPERR04G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHWFLAITLVFFVVAIRARLDDDDHEEKPDTIYLVVVRGEPLAATSWRPQDEQLQNASSSFLHKTAKISRAARQHDRILRRTARPGAFYRKLYGFHHAVNGFAVHTTATHAARLRAAREVAAVEEDSGTVLMTTYTPRMLGLPDGVWRRRRDGSGDGRFFENDGEGVVVGVVDTGVDPTHPSFAYVPRRRSRRKRRRRRKTCPVDVCSVGPRFPRGSCNGKIVAARYFAAGALAAALPLDASRDLSPFDVDGHGSHVASIAVGNRGVPVTMGGAMFGFASGMAPVYKAIYPAGGTMADLISAIDQATQDNVDVLVLSIGPDARPTSKVTFVSMLDIALLFAARAGVFVVQAAGNRGPAGSSVVSYSPWVTTVAAGTTGRSYTAHLVLGNGRRISGLGLSAPTPGADCHLQFRLVAAKDIAGVERADECQDATALRRRADVFRGSIVICSFSSGFYNGTSTLTAIVDTAKTLDFAGFVLVAGAQYGDFVAQPLPFSVPGVMVPRVADADAIWSYYAAHTSYAGDDATVFSATAEIAEGRMAAFTAAPAVARYSSRGPDVVDMASTPADVLKPDILAPGDQIWAAWSGANEPILAGERFAIMSGTSMAAPHAAGVAALVRQRHPSWPPSAVASALSTTASTHDARGIPIVSDNVAGAVRRRPATPFDYGAGFINPAGALDPGLVFAPVFDDYIGFLCSLPQLNADDVRATTGTACPSPELDSPADLNLPSITVSALRGSISVRRTVTNVANNTEIYLCAVLPPAGVAVDVRPEWFEIAPGEKQEMVVELEATWPASGEFSFGEIVLTGSLDHLARLPLSVRPVATSTNMNE >LPERR04G01900.1 pep chromosome:Lperr_V1.4:4:2169585:2182572:-1 gene:LPERR04G01900 transcript:LPERR04G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLLAERGANLVVINPGSANVRVGFASQDVPFNIPHCIARHITQQENDTPRLSVRDKKLNCLATSSQNAERERAYDIIASLLKIPFLDEEMPSTNQTLPPKMGRVDGLSSQQKKDESKFTWTDVTEKNRPVDEDADMTPPQRSSPDSNDTNSEENKYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSILLRDLGFSTAVIHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKTKESYCQIRSGSSDAVILVHSYEHEKSVGQQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDNLYSSGNGGYGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGILDIGRDAWIHREDWAKHGTGNELLQHITGRTERGSLAK >LPERR04G01900.2 pep chromosome:Lperr_V1.4:4:2173444:2182572:-1 gene:LPERR04G01900 transcript:LPERR04G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLLAERGANLVVINPGSANVRVGFASQDVPFNIPHCIARHITQQENDTPRLSVRDKKLNCLATSSQNAERERAYDIIASLLKIPFLDEEMPSTNQTLPPKMGRVDGLSSQQKKDESKFTWTDVTEKNRPVDEDADMTPPQRSSPDSNDTNSEENKYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSILLRDLGFSTAVIHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKTKESYCQIRSGSSDAVILVHSYEHEKSVGQQKTKLSALNVPRL >LPERR04G01900.3 pep chromosome:Lperr_V1.4:4:2169585:2173439:-1 gene:LPERR04G01900 transcript:LPERR04G01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDTWQTSDNLYSSGNGGYGMWDNYPMFPTRLKKFDNIGLVEAIVSSILSTGRIELQKKLFCSIQLVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGILDIGRDAWIHREDWAKHGTGNELLQHITGRTERGSLAK >LPERR04G01910.1 pep chromosome:Lperr_V1.4:4:2192280:2192903:-1 gene:LPERR04G01910 transcript:LPERR04G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPILLWNHTKHYSPAVIIIYCGNWSSSPSTVSKPNSVYKLDSSSSARRRLKRHACLKYTAYAAVRSWIVGAGAGGDTVFFFDTNTGNGIRGPNLESPKSCPVITSVGNKVYAFSRNPCWKRHHKSDPDFPPWFELLDLNGSKVVKFAATAAADDEEHDEELMDAHGGNCRSLLACHGISARYSSASSRPHLLCGHSLLHIGIVQS >LPERR04G01920.1 pep chromosome:Lperr_V1.4:4:2196274:2197410:1 gene:LPERR04G01920 transcript:LPERR04G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKASSSGGGDAKRAKKTHEKAAGTSSIAAVTLVPASRGHEASDPVSPFGEAAVHLSIKKKLEEAEADLVKMKELHAGAVKQKEDSDRKAEELQEHLEAIKGALRLSFTSLHQTAIGLGIVTES >LPERR04G01930.1 pep chromosome:Lperr_V1.4:4:2220414:2222423:1 gene:LPERR04G01930 transcript:LPERR04G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAILLCSIIMVPVGDFAVGGIGGDEFIYNGFAGRHLIVDGAASITKDGILALTNTSSDIQGHGFHPHPINFDVLGTFSATFVFTISSDQYGRSGEGMAFVISWSPDFSSASPGPNLGLVGRYGSSVNHFCAIELDTVADPELADIDDNHVGIDLNRLTSISSTPAGYHYKDEIDNVVLPSFEALRLSSGSPMQVWVDYNGQESRLNVTLALVPMPKPYTQLLSASGINLSYLLGASFGRTSKLYVGFAASTSDKRGGSHQILGWSFNVSGHGSATQLHASATTTRATVQSRKQQAWLRQMAAFVCVRACRAFMCNSLPCALVVVEETRNRQDNNWEAELGPRRFRYKDLHRATNGFTRLLGKGGFGRVYGGVLAVSGKPVAVKRLSSESSSRQGLPEFAAEVIILGRLRHRNLVRLLGYCRHKDELLLVYEHMPTAAWTGTCTARLIAPCSGRRGFGVASALLYLHEDWEQVILHRDVKASNVLLDAEMNGRLGDFGLARLHDHGADAQTTHVAGTRGYLAPELQRFHKATKATDVFAFGAFVLEVVCGRRPVGLNARGEMLVLVEWVRETWAAPGGSIADAMDPRLEDYAAAEVELGLLCSHPLPAARPGIRLVMQYLDGDMALPEFSPDYLYIKDVDQVLIDVSSSSVATTITDLSGGR >LPERR04G01940.1 pep chromosome:Lperr_V1.4:4:2234284:2237483:-1 gene:LPERR04G01940 transcript:LPERR04G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFIQQVSKNLGMAMPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >LPERR04G01940.2 pep chromosome:Lperr_V1.4:4:2234284:2237429:-1 gene:LPERR04G01940 transcript:LPERR04G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFIQQVSKNLGMAMPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >LPERR04G01950.1 pep chromosome:Lperr_V1.4:4:2240908:2245952:-1 gene:LPERR04G01950 transcript:LPERR04G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSLHGLSLLDGSDDGILAPMVPSQLSSILNLVSHHRLNQSFHVSPARFTSLTGSAFSRYLEPPRLAPAVEVGNSFRSEQFFSLFPNSSFLKESIRSPPYVTVDVPPMIALVPQPTRSNQGLRSKLPLMVGHRRWHREILLEDSLQSLQMPHLAPVKPEDRGRSTCTQRGTHDTVMTLSCVGHWVIFVLDSTLPADVVDGVMKDLGIIASTSNNVTQDWHEKIHSDPQAWGPSDSRENEAQSSDASNTTHYCCNMSLDTFMTRTLNVGVVNLQMCNPLLPNTVAYAGGSESNVGGIVVPFATEFGNVKFHEEEKKKIMADKFLSEIVLADPKRVKRILNNRASAARSKLNKMKYTTELERKVQVLQRENATLCVQVTLMQKNNQGLLSQNNEMKIRLQTMDQQAQSGNALTEILTTEVEQLKVIAGEISSPDVPRGSYQPVISISSPPSQNPSHHFLPLLEPNIKGESSRCHSLLDGLGDGIQAPTVPSHVSSIVNLISHYRINQSSHPSPIHFTSLTGSLFSLLGTTTVGSYGNPSFRLNKLLVTTVDFTK >LPERR04G01960.1 pep chromosome:Lperr_V1.4:4:2250318:2257312:1 gene:LPERR04G01960 transcript:LPERR04G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSLAASAAAAADDGDRVAELRALDATLAGVHGLVSAGVTAVPRIFRVPNPEPSPPPAPPPSIPVIDLGAGDRVSLVAAVRRAAAEWGFFQVTGHGVPREVADAAVEATRAFHESAAGEGSEKARLYSRDPARAAKYNCNFELYQSKVANWRDTLYLRMAPDPPAAGDLPEYCRDVFFEYSNNIKRLRDTLFKLLSEALGLKQSYLTDIGCNQGEMILCHYYPPCPQPELAIGTTRHSDSGFLTVLLQDQTGGLQVLHDNQWVDVVPIPGAYIVNIGDLMQMISNDKFRSVEHRVVAKSSGPRVSIACFPSNPASTRMFGPIKELLSDDSPALYRETLKFCRRRIATTTTKSLTPPNAQTPKYPTHKLVIHDASKRKPTTMPDADAAVYDRAADLRALDATHSGVHGLYTSGSTTLPRIFHVHGNPNNDQSSSHSAQADEPPSVPVIDIGGADRAGVVEAVRRAAAEWGFFQVTGHGVPPAAMSAAVGAARAFHESGGGEGSDKARLYSREPGRAAKYHCNFDLYESPVTNWRDTLYLRMAPDPPPPDDLPEYCRDALFEYAKQVKNLGDTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDSWVDVTPTPGAFIVNVADLLQLISNDKYRSVEHRVVAKNAQPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYRETLVRDYTARYYSVGLDGKFKTALSEFRV >LPERR04G01970.1 pep chromosome:Lperr_V1.4:4:2263643:2265413:-1 gene:LPERR04G01970 transcript:LPERR04G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLPHAAPASLGGGADLISLSLAGARCCVAAVGRVGTVHGVPSFESRGWRRTQPRAGGAGLGSRRGGSGVGSESLSSTPPHLLWLPLLFASSTGGSVEAYARDSDGSVCLCHPQFPTNIAAIQGMHDLGFQYGNYRKGVDDLQNHGLQCNNPTIHNVKGRIEFVLGKVACDGDVNGLHMDDTSQISSCDKLNY >LPERR04G01970.2 pep chromosome:Lperr_V1.4:4:2263643:2265413:-1 gene:LPERR04G01970 transcript:LPERR04G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLPHAAPASLGGGADLISLSLAGARPLFGDEIEVALPVSGLRCCVAAVGRVGTVHGVPSFESRGWRRTQPRAGGAGLGSRRGGSGVGSESLSSTPPHLLWLPLLFASSTGGSVEAYARDSDGSVCLCHPQFPTNIAAIQGMHDLGFQYGNYRKGVDDLQNHGLQCNNPTIHNVKGRIEFVLGKVACDGDVNGLHMDDTSQISSCDKLNY >LPERR04G01980.1 pep chromosome:Lperr_V1.4:4:2270533:2288692:1 gene:LPERR04G01980 transcript:LPERR04G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLVVLVVFAVGLIGGGAGAVAASSPRGDEERFGLVIAEGGGGNGGRFFDGGGDGGLRGELPCRSYSGSSRTCEEMNGSGSFNTTCVINSSSSLDGDLCVYGHGSVVVSPHVKIICPVAGCYISINVSGSISIGHHVDLIAGSVSLYASNVSLDHHSTVNTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMDADHQFGGDGGGRVMLTASQFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSLVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGTVRGSIIHIHRARTVTVTDNGTISASELGCQEGIGKGKFLKYGAGGGAGHGGRVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTFKGGIGGGSGGTILLFLQGLLLEKNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIAAVNGLVASSGGSGNDDGHFGEAGTVTGEKCPVGLYGTFCTECPVGTYKNVVGSDSSLCTPCSLDNLPNRADFIYVRGGVTEPSCPYKCISSNALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIDLYTVYFQYLHILVPGLGSNGAEERKSIVFKSMLNLNMTIPVFALADHELSIKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVSGLNAQMRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHHHEHASEYIDRSRRNFKVPFQGSNKVNQDQPCTSYAASRKRLTGGVNGGIINEGTLKSLDCKRDYLFPFSLLLQNCRPIGYAIVAIIYGALYSGLSSLSVSSVLHVPNTKSFKSREDNEWWILPIILFLFKSLQAGFVNWHVANLEIQDYSLFSPDPDRFWAM >LPERR04G01980.2 pep chromosome:Lperr_V1.4:4:2270533:2288692:1 gene:LPERR04G01980 transcript:LPERR04G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLVVLVVFAVGLIGGGAGAVAASSPRGDEERFGLVIAEGGGGNGGRFFDGGGDGGLRGELPCRSYSGSSRTCEEMNGSGSFNTTCVINSSSSLDGDLCVYGHGSVVVSPHVKIICPVAGCYISINVSGSISIGHHVDLIAGSVSLYASNVSLDHHSTVNTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMDADHQFGGDGGGRVMLTASQFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSLVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGTVRGSIIHIHRARTVTVTDNGTISASELGCQEGIGKGKFLKYGAGGGAGHGGRVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTFKGGIGGGSGGTILLFLQGLLLEKNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIAAVNGLVASSGGSGNDDGHFGEAGTVTGEKCPVGLYGTFCTECPVGTYKNVVGSDSSLCTPCSLDNLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIDLYTVYFQYLHILVPGLGSNGAEERKSIVFKSMLNLNMTIPVFALADHELSIKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVSGLNAQMRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHHHEHASEYIDRSRRNFKVPFQGSNKVNQDQPCTSYAASRKRLTGGVNGGIINEGTLKSLDCKRDYLFPFSLLLQNCRPIGYAIVAIIYGALYSGLSSLSVSSVLHVPNTKSFKSREDNEWWILPIILFLFKSLQAGFVNWHVANLEIQDYSLFSPDPDRFWAM >LPERR04G01990.1 pep chromosome:Lperr_V1.4:4:2289502:2292590:-1 gene:LPERR04G01990 transcript:LPERR04G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPAQSADTLRTALAMGADRAVHVLHDPDPQRPLLPLAVAKILRAVALQEKAGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILDKEKATVEREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLNVDIRSDMEVLEVTEPPKRKAGVILSSVDELLDKLKNEARVL >LPERR04G02000.1 pep chromosome:Lperr_V1.4:4:2300097:2303073:-1 gene:LPERR04G02000 transcript:LPERR04G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVFRWDRAPYEFVFQNGLEARRKDIGSPASPDEIYFNLEHYATSGGRPLDTRRDATHAYVSTTISSSWYPNVNPGSVDLLYRYEIYAPGGIWMSQTLGNRYSYSAQDEVVFPAGIAPQYIRSAQIFELTNDRKYTRRRRVKTILYRNRNFNPQSHPARRIRIQSPVYHYLDENNQRRKLVIQDVPERQLSLDNANDEVNDYYTEGVTALDNYIDSAFRSTRKNEAYIFIKEENVIMNYGPATRDDKIVSGLRYIGSIFRSLVGTAFAEHGIDAAFGCHDNDSFFCARSEAMIFSSNLCARINFAPRTTRDRIIQGPKTISQMFSFFKGTSFEKGIDAAFESSVTGEAYLFKGSEYAIIDYSRPTLIEIRPIVDGFKCFRNSYLFARDIGAALASHVLKDAYLFKDNNYVLFHFTPRETNHYIIGGPKEIVPRNWPSLKGILPRKNKALDIYESPEPNPVRDQDDQ >LPERR04G02010.1 pep chromosome:Lperr_V1.4:4:2306276:2306954:-1 gene:LPERR04G02010 transcript:LPERR04G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKYQLLYTFVKNLDLSVYQVLQTSDLEDLFHLPLSTLAFEEFKELTNLIQFLDPQNDNGSWMFNPPSRVGKVFSEKI >LPERR04G02020.1 pep chromosome:Lperr_V1.4:4:2309583:2311958:-1 gene:LPERR04G02020 transcript:LPERR04G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGDLVTPSPPKGWDFTPGKFISSSVQRDFGSTVHFSPWFGSCPFFLVVDFVRFNFHLTPNSIAIALSCCLGGSAHGFLVSHLKGNSFQFQVCSKSVGLLVVSLRNYVCQDYHCRFFLWRDAGPNWKWELSYWEQEEEAQWTIVSRKTRSFDRHVLRNESSARLLKGQYQAQFDKHDELANRRYRAFSQEGWILILGVPPDFKTDEMVEKIVNVFDTLIWWHKHDRMLGRLLVKAKYTSRAYVPAKIVVGKSQEKGENGESLTFFTFLLNGQFTEHQINEQAAQAAQAAQAQAAQNVHAMQVEIEDNQHGEVQDSMSVEDFSFDDFSSSLDSVCWEQGSKQIIPFGAPTLAVPFATFPIEACIAFAESVIKPLLWALDPPLQSSISTNLWTGMSTTWTLKVPNLKEASIPCALSSIATTSTRKRVTRALPFSIPSDNRTQQPRAKRTKTKQHILVDTL >LPERR04G02030.1 pep chromosome:Lperr_V1.4:4:2372415:2374997:1 gene:LPERR04G02030 transcript:LPERR04G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDSRSIARVHELWIEWEIQCLVLVSFSLQAFLLFASGFRKRHRLPVLQGLLWLAYLSADSVAVFVLGRLTLQTGDPRHQLMIFWAPFLLLHLGGQETIAAFSMADCALWKRHLLNLLTQSTLAIYVVAKQWGGDRRLLAPMLLIFICGVGKYAERAWYLWRAGSRVPGSSSIPDHVTGARREFEREVFWYYDRLNHIVAENLQLHFDLVMELATRGFQLSLDFLMDVIPAKSLRPETDWNEGLLRRIISSENRADLVYKLAEVHLSLIYDYLYTKFGGLLGVLLLHGPTTLVLTSTALSLFLIAQVDQKGTPTSNYYGTDVTISYILLAGAVALEISSILMWFKSSYWPYMTISYLQHRDCLRAFRTVLLFIVRHLSRQSRVEWSVKLPQYNMINTCKRENQAGPLERMARCIGIERGYTTHVVVSPDVKNVLLDQLLEIATSSTAQQLDFANFHGQWARNGIDWPCSSESTADQHSSSGGIAAQDALRISAIQQLDFVSSAILWHFVTDICLLTVHEEAAGSISRLRRCSEELSNYIIYLIVKCNVMLGSDGHYVVKVARRDVMLFLGMVGSRTEFIQKVRDGDPDVNLKEFPALDRAHRVCSVLLKMKAHDRWKLISLVCVEMLCYVAHNCGAGFHAKHLSTGGEFVTHVKMLLFIIGVPLRGHSKEQLFPSEEIEERKFFKSSHPLRRG >LPERR04G02050.1 pep chromosome:Lperr_V1.4:4:2389699:2394173:1 gene:LPERR04G02050 transcript:LPERR04G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQNIVNDIEHIMKPDGANGSVHGHEHDETDAVAATREQREEQERRNCADAHARRPYGLGRGLSRNLTTKKRKASTSSRLTAAQAESKKKRKKKTVIEESTPDVELTSSDDAMDAVDTAAAQIPEDDDTYNANVSPGLQSSSQATHRTPLRTSSSAPQSPFQQPRQESPSAQPTPHQQPSS >LPERR04G02060.1 pep chromosome:Lperr_V1.4:4:2429639:2435815:1 gene:LPERR04G02060 transcript:LPERR04G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDADLSTPPMVSPPLTPWAALLRPALAWKYQLSTPPQAPSLFSPLPFPWGGLTTQPHPHPYHIQWLNDSEKVKVTQTSRVSFSIGSYDDSVDYDVVPMQACSFLLGRPWEYENNATHHGRSNKYTFVHKGKKITLLPLTPAEIVKDKRERAANAKKELDDKSENPQASDTASPLLHYGYILSSIPSYHGVDSDAYIEWEKGLDHIFAQCHMCERRKLRNVTSALIGSALIWWENLSMSSHDNLPRTWDDMKVLIREIFVNRLVVPTYNDDVHMLEEQPRAVPLACDTNI >LPERR04G02060.2 pep chromosome:Lperr_V1.4:4:2429639:2435815:1 gene:LPERR04G02060 transcript:LPERR04G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDADLSTPPMVSPPLTPWAALLRPALAWKYQLSTPPQAPSLFSPLPFPWGGLTTQPHPHPYHIQWLNDSEKVKVTQTSRVSFSIGSYDDSVDYDVVPMQACSFLLGRPWEYENNATHHGRSNKYTFVHKGKKITLLPLTPAEIVKDKRERAANAKKELDDKSENPQASDTASPLLHYGYILSSIPSYHGVDSDAYIEWEKGLDHIFAQCHMCERRKLRNVTSALIGSALIWWENLSMSSHDNLPRTWDDMKVLIREIFVNRLVVPTYNDDVHMLEEQPRAVPLACDTNI >LPERR04G02060.3 pep chromosome:Lperr_V1.4:4:2429639:2435815:1 gene:LPERR04G02060 transcript:LPERR04G02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDADLSTPPMVSPPLTPWAALLRPALAWKYQLSTPPQAPSLFSPLPFPWGGLTTQPHPHPYHIQWLNDSEKVKVTQTSRVSFSIGSYDDSVDYDVVPMQACSFLLGRPWEYENNATHHGRSNKYTFVHKGKKITLLPLTPAEIVKDKRERAANAKKELDDKSENPQASDTASPLLHYGYILSSIPSYHGVDSDAYIEWEKGLDHIFAQCHMCERRKLRNVTSALIGSALIWWENLSMSSHDNLPRTWDDMKVLIREIFVNRLVVPTYNDDVHMLEEQPRAVPLACDTNI >LPERR04G02070.1 pep chromosome:Lperr_V1.4:4:2436734:2437000:-1 gene:LPERR04G02070 transcript:LPERR04G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVRPDRMGVETASEKMSMNPFYEIAVEEALRLREAGATAEVVAATVGPTQSADTLRTVLAMGADRRRPHPS >LPERR04G02080.1 pep chromosome:Lperr_V1.4:4:2464636:2468472:-1 gene:LPERR04G02080 transcript:LPERR04G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLMEIFGWDIAEATNQILEYVQGRPEKKVIYFHGWDGFGASPVLRSIAQVLRSQKMDGPKELHFDRIIYIDCSSWKSRREMQRAIADELKLDYPTMAMFSRQDEEDDLNGLHHGSRDVIPSVATEIDRTLRDCRFMMIFLNGSDDEIDVTRFGIPQMTEFRDNRMIWTFKRRLMTIHSRRSEIAKKLRNTDLFFSAALIHGEYQINDSDFHALVHGEASSIVDRYRGKVDIDPTMVTDCCLLELSLHYNFHRSTRFGWASHSSNYWICNGIMQDTAREINNLLHGEIRWDCDTSLLHNVLKELKPLFLLKNASCVVKHRWISVTSQEQVPGCMQTIPATASSFFLAFERSDKSPASEISDQPTTLPYDMLKHSSNLAVLVLSYCSFSFTSPPFLACHGLRFLGLEHCKHNKMEGYGGYTDQWACLLSLWVLDLRYTDWDEMISEEKMDVMGNLKELNIEGAKCWQQYMSKLQKTLPHLYRLRISKPMVQHQPETTTSNSRSISFLEKGKLEILDLSGNSEMKSVPINLSKASSLQVLVLDGCDALERVDRLPPTLISFSLDGFGPASHWTPGVNLLPPEEFRPNSSMDNKNAKTSIISLEGCTQLENLFLRGLPNLVELDLSGTAIKILDFTTMVVQVPGLKRLFLIGCKCLRAITWDQKILPSGIIQVEPQLELLCIDTRAGIQHCRTSIDKMMNIPFGLQAHAIFADARLARSLWPAIDYYRSEGSLVNVYFNIHITSSSPVCSNLEDGQCEEAHRVLLLERQQYHDVHGMVGDGPMQVFPQAPTRELDRHVRISQESQGLESELQGYIPARNNLGLLMRRCAESLHLHDISTSINMPKNEWNYLRQCRVENCPKLDTVFPGTYGFEALEIIWASDLLMAQSIWSKGDSYYLYGSFKRLRHLQLRNCPRLQFVLPLPVLASSTPSLETLHIIRCGNLRHVFVLDEEHPKARVRVVFPNLTTIHFHDLPMLWQICEVQMLMVAPVLEAIKIRGCWGLRRLPAMEGRGLHVKKPTIEIEKDVWDALEWDGVNVLPWTDAAFCKFGVIVRVLCFLAGYEMD >LPERR04G02090.1 pep chromosome:Lperr_V1.4:4:2479895:2484359:-1 gene:LPERR04G02090 transcript:LPERR04G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATNKISSHCNGGKAVAFFDVPALCLALAGPNLIQNQQNNQQILLMWLPVTRPGRTLTMGSYQKLP >LPERR04G02090.2 pep chromosome:Lperr_V1.4:4:2479895:2484809:-1 gene:LPERR04G02090 transcript:LPERR04G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIRDCQISKTCFTIVPALCLALAGPNLIQNQQNNQQILLMWLPVTRPGRTLTMGSYQKLP >LPERR04G02100.1 pep chromosome:Lperr_V1.4:4:2487130:2491932:-1 gene:LPERR04G02100 transcript:LPERR04G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSDDRFQELATRKGGIIKNGREILFQAFNWESHKHNWLGNMEKKVVDIAKSGFTSVWLPPPTQSLSPEGYLPQNLYCLHSSYGSLDQLKVLLQKMNEHNIRAMADVVINHRMGTTKGSNDQYNRYDGIPLPWREHAVTSCSGGKGNRSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRKTIGFQDFRFDYAKGYAAKFVKEYVEESKPLFAVGEYWDSCEYSPHDGRLNYNQDNHRQRIINWIDGTGGLCAAFDFTTKGILQEAVRGELWRLCDSSGKPPGVMGWWPSRSVTFIENHDTGSTQGYAYILSHPGIPTVFYDHFYDWGDSFHANIAKLMEIRKCQDIHSRSAVKILEARSNLYSAIVDDKLCMKIGDSSWCPSGPEWKLAACGDRYAVWHK >LPERR04G02110.1 pep chromosome:Lperr_V1.4:4:2497658:2499686:-1 gene:LPERR04G02110 transcript:LPERR04G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLRWSRLLSVVLPATGGSGSAWGFKAMLWLASSLLVPNHAKGDMQAADMYTGIARDVAVKEIFWSSGGKCN >LPERR04G02120.1 pep chromosome:Lperr_V1.4:4:2499692:2500018:1 gene:LPERR04G02120 transcript:LPERR04G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARSPAERRGRGWRPDLVAPSSGLPDLTSRSSGSPDLTGRSSGAAGATSSTSCRGWGEGAAPSPRRAAAAEPPPRRATAAEQLSRRAAAVTGGGREVERTQRRDR >LPERR04G02150.1 pep chromosome:Lperr_V1.4:4:2540870:2541103:1 gene:LPERR04G02150 transcript:LPERR04G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTEVMAAQTQQLQALVSNQAGRGSSSFGEFMQTKPPTFTGAEQPMDTEDWLLIIEKKLTLVHISLGDKVVFAIN >LPERR04G02160.1 pep chromosome:Lperr_V1.4:4:2563847:2566074:1 gene:LPERR04G02160 transcript:LPERR04G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNDKAPLEENTQKVLLELTDISSIVERSGLDLVAVVDISGSMGWDGKQDKLKTAMKFVISKLGPMDRLSIVSFNDQAKRLCNLRCMTQATKEDLMNKVENDLKDGGGTNMRVGLEKGLEVLASRRFRSNRVASVILMSDGQQTIGDARTVSIGDVAVYTFGFGADQDAKVLEAIAGKSWGGTFYNVKDGESLTVHFSALLAGVLSVVVQDLKLTLRAQRGHSEIQKVDAGRYTPDNNPSDITVSFGDLYSGEVRKVVVHLLLPAVHSEYSATVFIAQCTYSTQGTRFNSPPGGGLSCLIRRTRSASSSGAMKPEVKAELIRIDHVTRLKDARETGNIDSARDKLVLAKEALDIELFNLKLRLIDLLKAELEKLLLLSMTWKELCAGLLACIRSHECQRFGSIGDVNISIFRHTLIYVYVKQATEFEENPGRLPPSVEADVKAAEAELLVREADRHPRPRIWVGSPERRRSKWAWTMVLILCTALVIGVILASLSVFAVYLLYKPRMPYLAVSNAQLELLQYGQDGTIDYLQVSITIVAVNNNSKADASFSGFDLALGFHGAYVALLRAEPFVVARESSLPLQYNVVSAGRALDPTGKHLMDDSLKAGVVPFDLFGKARTKWKVGIFVKLRFRTRISCRLRFFFPGNGTVMPTDRDKCRSRSP >LPERR04G02170.1 pep chromosome:Lperr_V1.4:4:2583457:2587058:1 gene:LPERR04G02170 transcript:LPERR04G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRQPLAMMALAAAATAAFCCCYSASTKFDFHEATVDAIQLAFENGSLTSSTLVLFYLDRIARLNPLLHAVIEVNPDALHQAARADADRAAGHHYCGPLHGVPVLLKDNIATRDGLNTTAGSLALLGAVARRDAGVVAQLRRAGAVVLGKANLPEWANFRSSPPGLRGWSAPGDQARNPYVLSADPCRSSTGPAIAAVANMAAVTLGTETTASILCPAAANSVVGIKPTVGLTSRSGVIPFTARQDTVGPLCRTVADAVHVLDAIVGYDVFDAKATKAASKYIPAGGYMQFLRIDGLKGANLRWADLMHRTQLGHRIDFVLDSPLTVRQMVYKQHLNTMRQHGAVVIENLEIANLSIINDGAKSGLLTALLAEFKLNLNNYLTDLSYSPVHSLAEIIEFNNAHPVEEKLKEFGQSILLMSENTTGIGPAEKAAIRRLNELSMNGVEKLMNDHQLDAIVAPDSAAAVILAFRGLPGVVVPAGYDEQGIPFGVCFGGLQGYEPRLIEMAYAFEQVTKVRRPPAFRT >LPERR04G02180.1 pep chromosome:Lperr_V1.4:4:2588491:2594608:-1 gene:LPERR04G02180 transcript:LPERR04G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPHQPSSSGEIEVEITVESSSSGASPSRIELLSMVKKHSHLIGGTVVGAEDIASDVGMDDKFWHEMLDLFFVRGRVSKRREEDDLVFFVNNMKLHGFSDNMEDPPPFFVRRWAPMLERIININSAEVDWERSFYLNLIAHTSYTVTVAICGIRDLQNRAEKRKPLSLVYKVTKTVYASPSRVNFHLDRRKAIETVPAYPNICFSVDDFDDTFDAVVMSDPEHCYCVILNAHDGAAFPEDTESKNASSKLLSGVNTESNQENSPKRTLFSGYVSYQNVREAYDAGRSKFGTLLSLGHDHTKLDKLYMRGPEGRGEVEVAVSGVADQSHENSRKNPGDSFRVLVHRAASAASKLAKHAYEAASTKKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKTCRLDVV >LPERR04G02180.2 pep chromosome:Lperr_V1.4:4:2588491:2594608:-1 gene:LPERR04G02180 transcript:LPERR04G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPHQPSSSGEIEVEITVESSSSGASPSRIELLSMVKKHSHLIGGTVVGAEDIASDVGMDDKFWHEMLDLFFVRGRVSKRREEDDLVFFVNNMKLHGFSDNMEDPPPFFVRRWAPMVTKTVYASPSRVNFHLDRRKAIETVPAYPNICFSVDDFDDTFDAVVMSDPEHCYCVILNAHDGAAFPEDTESKNASSKLLSGVNTESNQENSPKRTLFSGYVSYQNVREAYDAGRSKFGTLLSLGHDHTKLDKLYMRGPEGRGEVEVAVSGVADQSHENSRKNPGDSFRVLVHRAASAASKLAKHAYEAASTKKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKTCRLDVV >LPERR04G02190.1 pep chromosome:Lperr_V1.4:4:2601348:2602142:1 gene:LPERR04G02190 transcript:LPERR04G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQIEPFHLFVVTTWLLLVQLALTRINAVVAGDEIRYQKRGPPYKLLVQGPNYEQLVIGFWIIYLDLSSTYRLQIVNNPRLFFLEMVIFALILAKTLFQSYAFRKARQSYALGRNPGLVSSYMKQLQLHEASQLDEQSAGDHVYPPPLLVMEDEMQVDKNPEGYVFSGDSGSSPTKNTGLVTLDKVWQLDNVFPLSTPPIKDLCLSFALFKLTRCQLAGCALTNAGSRGTQNFFWCLMMNDGKKRLRACLDLGTFSTPWTFY >LPERR04G02200.1 pep chromosome:Lperr_V1.4:4:2602500:2604113:1 gene:LPERR04G02200 transcript:LPERR04G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRWGRRQWRRVSAVAEAAVTRVETEGIFSRSEERLFGVVADELSFLHDYYFSSLPMSYSKFWLPILSICISLSTIGYCITVGVVIMGNLILTSADDEMGYQAYCQFWCNEGVLIGDEHTKNFGSLYFAYLPMRQVDEALEWKNGPEFDTTASPKTKPTCFSKATGRLLVILMWHIATSILEARHLYQQGPSLASLHKIAAIHLSRYCAYLVISHPRILSYFLMTLHGARSCTKLSRKDSENAFAGHDAFLGISAPKEKHQRVIELLSEKSKDELVINGLCLAKQLVELIEDEETA >LPERR04G02210.1 pep chromosome:Lperr_V1.4:4:2613160:2613473:1 gene:LPERR04G02210 transcript:LPERR04G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDLLLSWFWEKTVKKRINNLKDINNSGPSGTKNIALVTLDRVCQQDNIHLLVSSAPLKDLSLSFALFKLLWCGLAWYSLTNAGSSETLNIFYSLLMRV >LPERR04G02220.1 pep chromosome:Lperr_V1.4:4:2614230:2615065:1 gene:LPERR04G02220 transcript:LPERR04G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPSVAIKMQQVDEEMGRQNGPVFNPTTFSLSKGTIALTQIETGETFLWACNNSGSSDTILTWHIATSILEVRHSYKQGSPLISVHKVAATHLSRYCVYLMSCYPELLPDNNIKWIKSLYKVIRMDSQLALIGHDVATGLSDPEAKYKKVVDLLTANSNDEVLKNGVRLGKQFVDLMDEEMAWRVMAIFWSEMVLYIAQSSSAQAHLEAIARGCELLTPLWALLAHAGIVSLP >LPERR04G02230.1 pep chromosome:Lperr_V1.4:4:2621353:2623967:-1 gene:LPERR04G02230 transcript:LPERR04G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNGHAVPCTNGQSVTCRRSTTDAAAEDNEETTCMHAQELVYAFAVTMTLKAAVELGLLDALATDAAAGDNGMTAAELAAQIKAMGKAEAATSVDRVLRFLASFGVVRCSTETGPDGTVLRRYTPAPACRWLTANHGEGSLGPLTVFAVDEDNFSSWHHMAAAVAGGGATPFERAHGLPIFEYMGTNSRLSALFDKAMAKESMIVVNKLLDHSHVFDGVGVLVDVGGGDGSTLGMITSRYKHIKGINFDLPHVISEGPSRPGVENVAGNMFESIPKGDAVYLKWMIHMYSDEDCIKILKNCHRALPANGKVILMQSVLPATPENTRTARDSFTMDMIMLVNFQGGKERTEQEFVKLARDSGFTGPFRLTYIFCNFYALEFTK >LPERR04G02240.1 pep chromosome:Lperr_V1.4:4:2630609:2653019:1 gene:LPERR04G02240 transcript:LPERR04G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGLHRLLLAVVLLVHAAATGCRAFQTEEATVDAIQLGFTNGSLTSKQLVLFYLDRIARLNPLLHAVIEVNPDALYQAACADADRRRGRSHGYGPLHGVPVLLKDSIATRDRLNTTAGSFALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTLEGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVHVLDAIVGYDYHDAKATKAASKYIPPGGYMQFLKPDGLRGKRLGIPNGFFNFPNGSVQQIVYQQLLETVRKQGAIVVENLDIANLTVIQDVLNNGEQIVLAAEFKSSLNSYLSDLSYSPVRSLAEIIAFNNAHPIEERLKDFGQLIFLVAENTTGIGSPERAAIRQLNKLSADGLEKLMKEEQLDAVITPNDSLSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEIAYAFEQATMVRKTPKHAYPVMSPVLPCLPSLLPRRGDRRGKRAERSLKTTCNSAMTQLRHPLAVAIMSLAAAAAAFCCCCSATTKFEFHEATIDAIQLGFENGSLTSTALTRFYLDQITRLNPLLHAVIEVNPDALEQAAHADADRAASGHRRCGGKLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAAHLRSAGAVILGKGNPSEWSNFRPVDSGWSARGGQTLNPYVLSAGPCGSSSGPAVAAAANLAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGYDELDAEATGAASKFIPTGGYGQFLRMDGLKGKRIGIPNGYFTEGAYGKAQLRVYLEHLATLRKHGAVVIENIDLATNFSAFQDDLNSNEKIAMQAEFKLSVNAYLSDLLHSPVRSLTDVIAFNNAHPVEERLQDFGQPDLIAAQETNGIGPVEKAAIHRLNELSADGLEKLMSNHQLDAIVTPNSDASNLFAIGGMPAITVPAGYDRQGVPFGICFGGLKGYEPRLIEITYSFEQATKIRRMPANRSTPAIMAHPRLQLAAAFVTLAAFAAVAGCSASAKFKFEEATVDAIQLGFKTGTLTSTQLVRFYLDRIRKLAPLHAVIEVNPDALAQAARADADRAASGHHYYGGPLHGVPVLLKDNIATRDRLNTTAGSLSLLGSVVRRDSGVAARLRAAGAVILGKTNPSEWSHFRYSGSLSGWSARGGQTLVFVKFPLKNPYVLSADPCGSSSGSAVAAAANLAAVTLGTETDGSILYPASKNSVVGIKPTLGLTSRSGVIPITPRQDTVGPMCRTVSDAVHVLDTIVGYDALDAEATGAASKYIPVGGYAQFLRMDGLRGKRIGVPNGFFTKELYGKKELTVYRKHIASMRKHGAMMIQNIDIIENLTEVQNIIWTIGIIPMQAEFKLSLNSYLSDLLYSPVRSLADVIAFNKAHPLEERLKDLGQDLLISSEKTNGIGPHEKTVLELLHELSADGLEMLMKKHQLDAIVTPNSDASSFFAIGGMPAITVPAGYNDQGVPFGICFGGLKGYEPRLIEMAYSFEQATKVRRERYCKANQSTPSVPKYSNLGEDEGNRLSMRPIASASPSQHTTTTSPPSTPPIKGLVLALSSPHLLLELALS >LPERR04G02240.2 pep chromosome:Lperr_V1.4:4:2630609:2653019:1 gene:LPERR04G02240 transcript:LPERR04G02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGLHRLLLAVVLLVHAAATGCRAFQTEEATVDAIQLGFTNGSLTSKQLVLFYLDRIARLNPLLHAVIEVNPDALYQAACADADRRRGRSHGYGPLHGVPVLLKDSIATRDRLNTTAGSFALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTLEGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVHVLDAIVGYDYHDAKATKAASKYIPPGGYMQFLKPDGLRGKRLGIPNGFFNFPNGSVQQIVYQQLLETVRKQGAIVVENLDIANLTVIQDVLNNGEQIVLAAEFKSSLNSYLSDLSYSPVRSLAEIIAFNNAHPIEERLKDFGQLIFLVAENTTGIGSPERAAIRQLNKLSADGLEKLMKEEQLDAVITPNDSLSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEIAYAFEQATMVRKTPKHAYPVMSPVLPCLPSLLPRRGDRRGKRAERSLKTTCNSAMTQLRHPLAVAIMSLAAAAAAFCCCCSATTKFEFHEATIDAIQLGFENGSLTSTALTRFYLDQITRLNPLLHAVIEVNPDALEQAAHADADRAASGHRRCGGKLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAAHLRSAGAVILGKGNPSEWSNFRPVDSGWSARGGQTLNPYVLSAGPCGSSSGPAVAAAANLAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGYDELDAEATGAASKFIPTGGYGQFLRMDGLKGKRIGIPNGYFTEGAYGKAQLRVYLEHLATLRKHGAVVIENIDLATNFSAFQDDLNSNEKIAMQAEFKLSVNAYLSDLLHSPVRSLTDVIAFNNAHPVEERLQDFGQPDLIAAQETNGIGPVEKAAIHRLNELSADGLEKLMSNHQLDAIVTPNSDASNLFAIGGMPAITVPAGYDRQGVPFGICFGGLKGYEPRLIEITYSFEQATKIRRMPANRSTPAIMAHPRLQLAAAFVTLAAFAAVAGCSASAKFKFEEATVDAIQLGFKTGTLTSTQLVRFYLDRIRKLAPLHAVIEVNPDALAQAARADADRAASGHHYYGGPLHGVPVLLKDNIATRDRLNTTAGSLSLLGSVVRRDSGVAARLRAAGAVILGKTNPSEWSHFRYSGSLSGWSARGGQTLNPYVLSADPCGSSSGSAVAAAANLAAVTLGTETDGSILYPASKNSVVGIKPTLGLTSRSGVIPITPRQDTVGPMCRTVSDAVHVLDTIVGYDALDAEATGAASKYIPVGGYAQFLRMDGLRGKRIGVPNGFFTKELYGKKELTVYRKHIASMRKHGAMMIQNIDIIENLTEVQNIIWTIGIIPMQAEFKLSLNSYLSDLLYSPVRSLADVIAFNKAHPLEERLKDLGQDLLISSEKTNGIGPHEKTVLELLHELSADGLEMLMKKHQLDAIVTPNSDASSFFAIGGMPAITVPAGYNDQGVPFGICFGGLKGYEPRLIEMAYSFEQATKVRRERYCKANQSTPSVPKYSNLGEDEGNRLSMRPIASASPSQHTTTTSPPSTPPIKGLVLALSSPHLLLELALS >LPERR04G02240.3 pep chromosome:Lperr_V1.4:4:2630609:2653019:1 gene:LPERR04G02240 transcript:LPERR04G02240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGLHRLLLAVVLLVHAAATGCRAFQTEEATVDAIQLGFTNGSLTSKQLVLFYLDRIARLNPLLHAVIEVNPDALYQAACADADRRRGRSHGYGPLHGVPVLLKDSIATRDRLNTTAGSFALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTLEGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVHVLDAIVGYDYHDAKATKAASKYIPPGGYMQFLKPDGLRGKRLGIPNGFFNFPNGSVQQIVYQQLLETVRKQGAIVVENLDIANLTVIQDVLNNGEQIVLAAEFKSSLNSYLSDLSYSPVRSLAEIIAFNNAHPIEERLKDFGQLIFLVAENTTGIGSPERAAIRQLNKLSADGLEKLMKEEQLDAVITPNDSLSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEIAYAFEQATMVRKTPKHAYPVMSPVLPCLPSLLPRRGDRRGKRAERSLKTTCNSAMTQLRHPLAVAIMSLAAAAAAFCCCCSATTKFEFHEATIDAIQLGFENGSLTSTALTRFYLDQITRLNPLLHAVIEVNPDALEQAAHADADRAASGHRRCGGKLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAAHLRSAGAVILGKGNPSEWSNFRPVDSGWSARGGQTLNPYVLSAGPCGSSSGPAVAAAANLAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGYDELDAEATGAASKFIPTGGYGQFLRMDGLKGKRIGIPNGYFTEGAYGKAQLRVYLEHLATLRKHGAVVIENIDLATNFSAFQDDLNSNEKIAMQAEFKLSVNAYLSDLLHSPVRSLTDVIAFNNAHPVEERLQDFGQPDLIAAQETNGIGPVEKAAIHRLNELSADGLEKLMSNHQLDAIVTPNSDASNLFAIGGMPAITVPAGYDRQGVPFGICFGGLKGYEPRLIEITYSFEQATKIRRMPANRSTPAIMAHPRLQLAAAFVTLAAFAAVAGCSASAKFKFEEATVDAIQLGFKTGTLTSTQLVRFYLDRIRKLAPLHAVIEVNPDALAQAARADADRAASGHHYYGGPLHGVPVLLKDNIATRDRLNTTAGSLSLLGSVVRRDSGVAARLRAAGAVILGKTNPSEWSHFRYSGSLSGWSARGGQTLVFVKFPLKNPYVLSADPCGSSSGSAVAAAANLAAVTLGTETDGSILYPASKNSVVGIKPTLGLTSRSGVIPITPRQDTVGPMCRTVSDAVHVLDTIVGYDALDAEATGAASKYIPVGGYAQFLRMDGLRGKRIGVPNGFFTKELYGKKELTERLKDLGQDLLISSEKTNGIGPHEKTVLELLHELSADGLEMLMKKHQLDAIVTPNSDASSFFAIGGMPAITVPAGYNDQGVPFGICFGGLKGYEPRLIEMAYSFEQATKVRRERYCKANQSTPSVPKYSNLGEDEGNRLSMRPIASASPSQHTTTTSPPSTPPIKGLVLALSSPHLLLELALS >LPERR04G02240.4 pep chromosome:Lperr_V1.4:4:2630609:2652088:1 gene:LPERR04G02240 transcript:LPERR04G02240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGLHRLLLAVVLLVHAAATGCRAFQTEEATVDAIQLGFTNGSLTSKQLVLFYLDRIARLNPLLHAVIEVNPDALYQAACADADRRRGRSHGYGPLHGVPVLLKDSIATRDRLNTTAGSFALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTLEGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVHVLDAIVGYDYHDAKATKAASKYIPPGGYMQFLKPDGLRGKRLGIPNGFFNFPNGSVQQIVYQQLLETVRKQGAIVVENLDIANLTVIQDVLNNGEQIVLAAEFKSSLNSYLSDLSYSPVRSLAEIIAFNNAHPIEERLKDFGQLIFLVAENTTGIGSPERAAIRQLNKLSADGLEKLMKEEQLDAVITPNDSLSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEIAYAFEQATMVRKTPKHAYPVMSPVLPCLPSLLPRRGDRRGKRAERSLKTTCNSAMTQLRHPLAVAIMSLAAAAAAFCCCCSATTKFEFHEATIDAIQLGFENGSLTSTALTRFYLDQITRLNPLLHAVIEVNPDALEQAAHADADRAASGHRRCGGKLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAAHLRSAGAVILGKGNPSEWSNFRPVDSGWSARGGQTLNPYVLSAGPCGSSSGPAVAAAANLAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGYDELDAEATGAASKFIPTGGYGQFLRMDGLKGKRIGIPNGYFTEGAYGKAQLRVYLEHLATLRKHGAVVIENIDLATNFSAFQDDLNSNEKIAMQAEFKLSVNAYLSDLLHSPVRSLTDVIAFNNAHPVEERLQDFGQPDLIAAQETNGIGPVEKAAIHRLNELSADGLEKLMSNHQLDAIVTPNSDASNLFAIGGYNDQGVPFGICFGGLKGYEPRLIEMAYSFEQATKVRRVPRFKS >LPERR04G02250.1 pep chromosome:Lperr_V1.4:4:2654014:2656503:-1 gene:LPERR04G02250 transcript:LPERR04G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTSEMDSSMQASAAVTPQKKRKAAALVDQISTPVKPTQKVVAAAAAEQIWTPEKPEQPSAARKRARASGGVAFSVTGVRRAALEMRRVVAAPAAAAAAGEEDELEAVARQLGVAPAPVRSPVKRKAKLPESYEMLCEFFNCFESSTRLLRMKGSKATFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDETTCCMKPDLQVNLLVDAVEGVAKQKGETGYSALRRIFRQRLVDFFRDHPEGDDIPEHELPHPFSQTTSGAPQAVPEDIPKPVCAVQSSSASDRQNVAMSHMPHSFKRMFSQRSVIAAATTGITSPLEKVEPSIMSPLSRKSLLRSPVSGSMSIDGESEAHGKSVLDVAFSSGVSEGTPAKFTSTPVRLMAATPVLQTPKRPVSGTGCDTPPLKMAKRSARTKLFMTPTKDASSMDAEKQSTSASTVDGDDEFLSFLPKSLLQSVKDKETRALEQKQTGFADRIKREKLLASLPSIFDIIFLIYQSRQCSVMTKQELIHKIIASNPKIVDRGEVEYQLRLLEEIIPDWISEKTARAGDVLCCVNTEMSQSEIRQRLYSAE >LPERR04G02260.1 pep chromosome:Lperr_V1.4:4:2666345:2674646:1 gene:LPERR04G02260 transcript:LPERR04G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNSQAMDQQNLLPSNPTSDEQNLLPNTLEDEDYPHYLLSSHEVEMPSRSMIGQQNTSLNLWDSAGSSSMGCVADHDSLLQAKREHFAPALSIRAPLIIEGRRREGSSSYPSQSLNIDLNLNQADQFDSENVDMVPGNGQPGINAFPLNRGLSITDHVLRHTNSSSATGNSSQIASLSDGTTGQEVSMFGGHRSSCKRKNIDGSIAESSANGSSRNHQRNNIILEPSPSSHESTSGLTVPASTNYVFSYSPVEQLNQNTNTSANAALSDHNHSLYGDAYERERFLRSTRMRISPNEYDQSSPNLLPEGSLRSSVYQPSQQQSLFIPVQPRAMSSSTSSLSRPYVPAAVTQFSQNLHRASSSGNYGSRTGISSNSADTPNQLSLQDPSRTSVRSNFPEPLLLGSLFPPDSTELLSMPVGRSNQQNSSSTIRTAVNVGPQQTPGLNASQPTSSSRGSVDIVRRSLHAASVPQSRGSSVTSQQHRAHSSTSHEIRSHQPGSSSRANQQHYVRAVPPSIDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLTEEKIRTGLKQWKYVSIQFEEPATGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKKWLVIKNLCPICKKTGLGT >LPERR04G02270.1 pep chromosome:Lperr_V1.4:4:2674138:2675789:-1 gene:LPERR04G02270 transcript:LPERR04G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERKVLDALDTAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSNSPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIIAIIVSAALKSAFDAPAYRDDRAGSTAPQADYAWRIVLMFGAIPALMTYYWRMKMPETARYTALVAKNDKQAAADMARVLKNLEFADEQEKAAAEEAAAETARREQYGLFSKEFARRHGRHLLGTTVCWFVLDIAFYSQNLFQKDIYTAVEWLPKADTMSALEEMYKISRAQTLVALCGTIPGYWFTLGGFFFMTAFMLGLAVPYHHWTTKGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGSFGFLYAAQSTDPSKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENGDVETEQPAAANSYRQTVPDGGSISDLA >LPERR04G02280.1 pep chromosome:Lperr_V1.4:4:2686007:2693703:-1 gene:LPERR04G02280 transcript:LPERR04G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLLLKIEESEHAFATPASDCWCINYQRGFQRGFKRGFQIGYVKARVIGPLDRALQQLCTFEPSLMHQRIGDVKQVHQAAPLSIAVSDIVRDHQMEQNSETIIKHIMMNLDANGNGTDGNIGLVAIVGAAGIGKTTLANIIFNSDQVHNFFDARIWLNVTANFSDPDLLQSAISSISDGNERFLDMSSLSSMFHDMVKSKRVLLVMDDVWSDNPWNDLLQYPLSGCCPGSCVILTTRNENVAAMMKATHIHQVHKLKLDASWSLLKNLIISRQTDERMFDGLENIGKKILEKCDGLPHAIKLVAGELQQKRRTKAAWEYMLKDQQSSQKGLPEVLYESIYLSYDDLSPHLKQCFLYCSVFPEGETINCDKVVQMWIAEGFIPTVENSKLPEALGQEYYTELVTRNLLEPIDGNFDECCWAMHSVFHSFAQYLAEDETLALQEGQNTSDLHEQFLRISVSSKKVEYNDIQKQKLLRSLVSFGSIILKPGDSLTALQNLQILHVNNTEISTLLGSLCHLERLKYLDLSNTDVSALPHSIGKMKYLQYICVRGCQKLDQLPKSIVNLQKLRFLDISDTLVKTVPKYFGRIKNLVSLKGFPTNTDHTGKCNLEELEPLSQLMHLTLRGLQNISAGSSFASAKLCDKRHLKTLWLCCTASEGDERQSHELFNEVCPSPSLQHLTITGYFGRRLPKWLTTTSLENLRMLKLENLTSCKELPNSLGQLPNMESLCVQHAPCIRRIREELFFQSTFGDDSDEDEGNIRISAAFPKLIKLVFHGLVGLRKWDWNAQLEAMRALQNLHISSCKLTHLPPGLSCQTVGLRVMKIERVKELRSVENFSSVVELYLHSNSNLEKIANLPTMQMLRISKCPKMMVLEEVKALSSMELKDYEMRTLPEYLKNVQLRQLKIDCKFELLYKIGQQDGTLEWEKISHIQNVEAYADGFDDDKRLYTRKTWMKQKVHQNRKIITRNILVVVNFQLPSNVCTKVNHLHFCEPGGMRCGDRGGYRKRRQGGRVGGVRKHGEGVGDFHRHGAVDADVRRWRRPWALGGI >LPERR04G02280.2 pep chromosome:Lperr_V1.4:4:2686007:2693703:-1 gene:LPERR04G02280 transcript:LPERR04G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLLLKIEESEHAFATPASDCWCINYQRGFQRGFKRGFQIGYVKARVIGPLDRALQQLCTFEPSLMHQRIGDVKQVHQAAPLSIAVSDIVRDHQMEQNSETIIKHIMMNLDANGNGTDGNIGLVAIVGAAGIGKTTLANIIFNSDQVHNFFDARIWLNVTANFSDPDLLQSAISSISDGNERFLDMSSLSSMFHDMVKSKRVLLVMDDVWSDNPWNDLLQYPLSGCCPGSCVILTTRNENVAAMMKATHIHQVHKLKLDASWSLLKNLIISRQTDERMFDGLENIGKKILEKCDGLPHAIKLVAGELQQKRRTKAAWEYMLKDQQSSQKGLPEVLYESIYLSYDDLSPHLKQCFLYCSVFPEGETINCDKVVQMWIAEGFIPTVENSKLPEALGQEYYTELVTRNLLEPIDGNFDECCWAMHSVFHSFAQYLAEDETLALQEGQNTSDLHEQFLRISVSSKKVEYNDIQKQKLLRSLVSFGSIILKPGDSLTALQNLQILHVNNTEISTLLGSLCHLERLKYLDLSNTDVSALPHSIGKMKYLQYICVRGCQKLDQLPKSIVNLQKLRFLDISDTLVKTVPKYFGRIKNLVSLKGFPTNTDHTGKCNLEELEPLSQLMHLTLRGLQNISAGSSFASAKLCDKRHLKTLWLCCTASEGDERQSHELFNEVCPSPSLQHLTITGYFGRRLPKWLTTTSLENLRMLKLENLTSCKELPNSLGQLPNMESLCVQHAPCIRRIREELFFQSTFGDDSDEDEGNIRISAAFPKLIKLVFHGLVGLRKWDWNAQLEAMRALQNLHISSCKLTHLPPGLSCQTVGLRVMKIERVKELRSVENFSSVVELYLHSNSNLEKIANLPTMQMLRISKCPKMMVLEEVKALSSMELKDYEMRTLPEYLKNVQLRQLKIDCKFELLYKIGQQDGTLEWEKISHIQNVEAYADGFDDDKRLYTRKTWMKQKVHQNRKIITRNILVVVNFQLPSNVCTKVNHLHFCEPAFVTFSCGIRDRSRRCGDRGGYRKRRQGGRVGGVRKHGEGVGDFHRHGAVDADVRRWRRPWALGGI >LPERR04G02290.1 pep chromosome:Lperr_V1.4:4:2693730:2693921:-1 gene:LPERR04G02290 transcript:LPERR04G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAFTSDLSILISHIADIESDQSFADELESRIVRIRDVLAHMDTEGSKVTELSSQLLEI >LPERR04G02300.1 pep chromosome:Lperr_V1.4:4:2718619:2721947:1 gene:LPERR04G02300 transcript:LPERR04G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLINLGTSDKEHNAQTKDWMKQIRDISYDIEDCIDDFAHRLPKDPDGDVFCSFIRMVMHDVRTWYPRRDIATKLVELKNRAEHVGTRRTRYGVCDLGAGKDSSKEADEAAYLAAENQVSTRQIVSVKEPVGMADAKKKLEPWIKDSSKKGRSVLSIVGFGGVGKTTVAMDLYRKFGDQFEHRASVNVSQKFEIEAILSNILSQVKPQATFQKGDQGRRRTKTESIEHQIKEELAQHLKGKRYLLVFDDVWSASAWEKIRNCLPSDETRSIIIVTTRFQAVATICIRDKNDLLHKVEHLPDDESKDLLEQSVSEYYHSKDNQRGQIIIPKDILELCCGLPLAIVTLAGLVACRRERFDQQWKEICIFLPPKSVNCHTPEGVKKILNYCYNDLPGDLRTCSLYLSVFPKAQKISRKRLTRRWIAEGFVSEKHGQSIEELAETYFNQLIIRKILQPVEHSNNGKVKACQVHDMVLEYIIAKSSEENFITVLGGHWLMPTPRNKVRRLSLHSSDLNHVKETTRRINLSHLRSLTVFGNLSQLTSLSFKYGILQVLDLEDCKGFKKHHVKAIFKMLLLKYLNLRRTDVKKIPSKIGKLKYLETLDIRETNVKELPDAIVQLDKMTEILGGNKHTQEALKMPKDIEKKPMKSLRTLLGITIDPELTAVPDLHEYTSLRKLAIYKLNIKEKDPNFRTFRSSIEYLGGCSLETLVIHDMVSDFLNSLDLMTSPPKYLYELELHGMLIKIPQWISYLGDLRMLTLSISVLRTDTLLLLSQLQSLFSLTFSFNNKDQDPYLEEILYKNKSDSNGEIVVPDSGFKNLKLLRFFTPVLPTLIFSEKAMPELERLYMRFKVLEGIFGMETLERLQEVHLGVNDKASKFTKSVLTDLAKAARKYPNKPRVIVDEYYDGVK >LPERR04G02310.1 pep chromosome:Lperr_V1.4:4:2733684:2734076:-1 gene:LPERR04G02310 transcript:LPERR04G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDIDARWSTAAINGGSFLSMSCVRQRRWLDVAPGSFGGCEDDVVIRRLRLAAKLTVGGADEVGV >LPERR04G02320.1 pep chromosome:Lperr_V1.4:4:2739978:2741799:1 gene:LPERR04G02320 transcript:LPERR04G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLKVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSNSPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSAFDAPAYRDDRAGSTAPQSDYAWRIVLMFGAIPALMTYYWRMKMPETARYTALVAKNAKQAAADMTTVLNVEIVEEQEKAEELARREQFGLFSRQFLKRHGRHLLGTTVCWFVLDIAFYSSNLFQKDIYTAVEWLPKADTMSALEEMYKISRAQTLVALCGTIPGYWFTVFFIDVIGRFAIQLGGFFFMTAFMLGLAVPYHHWTTKGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAVIGAFGFLYAAQSTDPNKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDAPEASMPMAEHRTAPAPPA >LPERR04G02340.1 pep chromosome:Lperr_V1.4:4:2757770:2759516:-1 gene:LPERR04G02340 transcript:LPERR04G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRVYGITLILMVAFSLASGLSFSMFEGKKNVVAVLCFFHFWFGVGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNVAAGIVGMIVSSAFRHSSPSKIDYAWRIILMFGAIPAALTYYWRMTMPETARYTALISKNAKQAAIVMSAVLNVNITPDNRAVCELATQDEYGLFTFQFLHRHGLHLLATTICWFVIDVTFYSLNIFMNDIFMEIGLLPRLDSEYQHTLQRMTTMTGVCTLITICATIPGYFFTVAFVDCIGRVKIQLIGFTMMTVFMLCLAIPFDQWPKHRNKYGFAVMCGLIFFFTNFGPNTTTVIIPAEIFPARLRSTCHGISGAVGKIGAIVGVILIGCNLVGFVFTLVLPESKGKSLEELTGEIEEPSQEDDDGSEVALSQYIHTMLF >LPERR04G02350.1 pep chromosome:Lperr_V1.4:4:2759634:2760501:-1 gene:LPERR04G02350 transcript:LPERR04G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQQPQVLQALDMARTQLYHFMTIVIAGMGFFTDAYDLFFISLVADLLGHDPRGPPQVNKHPRPRPEIFRGPTPSPVGGKFTPPPSP >LPERR04G02360.1 pep chromosome:Lperr_V1.4:4:2777127:2779785:-1 gene:LPERR04G02360 transcript:LPERR04G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQLRVLQALDVARTQLYHFMAIVIAGMGFFTDAYDLFSISLVADLLGRVYYHSKLPPNIYAAVTGIALCGTVPGQLIFGWLGDKMGRKRVYGITLLLMVVSSLASGLSFSKREPKNVIAVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLASGIVGMIVSTAFKDSHPSKIDYAWRIVLMFGAIPAALTFYWRMKMPETARYTALITKNAEKATMDMSAVLNVNITPDDEAVGELARQGQYGLFSVEFLHQHGLHLLGTTVCWFVLDVAFYSLNIFMKDIFMHVHLLPRPDWNEIHPLRRMITITALQTLIVLCGTLPGYFFTVAFIDRIGRVKIQLIGFTMMTVFMLGLAIPYYYWINHSTGFAIMYAFTFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKLGAIVGVFCFLNTDYSVRDSEKNYSIRIFLFVLTGCNLVGFIFTLLLPESKGRSLEDLTGEIVEADPSRDANEIVSPQHIHTVLL >LPERR04G02370.1 pep chromosome:Lperr_V1.4:4:2848891:2849118:1 gene:LPERR04G02370 transcript:LPERR04G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLPLLILAMVMANACAVMSRMAPRDEQLAHGVRMAATAEGTSIDNHHAIPRPEYDSWSSPGNMPGSGHDIGE >LPERR04G02380.1 pep chromosome:Lperr_V1.4:4:2851507:2851746:1 gene:LPERR04G02380 transcript:LPERR04G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFAILLVFAMLTQGMAIRGMGTTEQDNAGESTIDKHTLLAVGSGTTVDNHHAIPRDQYNNHAGDDGGGSSGTGDTNN >LPERR04G02390.1 pep chromosome:Lperr_V1.4:4:2876587:2876844:1 gene:LPERR04G02390 transcript:LPERR04G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLVILMVFINLCTGLPRNMEKDGFHSVTTLRQKIIRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVPQSTQGIAQDVGGN >LPERR04G02400.1 pep chromosome:Lperr_V1.4:4:2887433:2888432:-1 gene:LPERR04G02400 transcript:LPERR04G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLVVSTEMVPAAQARECETASNHFKGVCVMMANCGNVCLTEGFTGGRCSGFRRRCMCTKEC >LPERR04G02410.1 pep chromosome:Lperr_V1.4:4:2904552:2906016:1 gene:LPERR04G02410 transcript:LPERR04G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIVILLLVVIASEVAPAQARECETASNKFSGMCMIDANCDHLCKTEGFLSGTCRGFRRRCMCTTSC >LPERR04G02420.1 pep chromosome:Lperr_V1.4:4:2909996:2910747:-1 gene:LPERR04G02420 transcript:LPERR04G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKFFPAVVLLLLVVVATADLAPVQAVARQCETKSERFAGLCMVEENCANVCRTEGFMNGRCSVWVRNCICIKPC >LPERR04G02430.1 pep chromosome:Lperr_V1.4:4:2925205:2925570:-1 gene:LPERR04G02430 transcript:LPERR04G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCSILLLLGLVLLFSNAIVEVSASQNKVVFSRKGLKDERKLAVTGTGSSLGGLQGQSTSTSIGGVTNNNNIESTNAETGDTSSAYTPMSTATTTDSHHDMSVDQYRKIIHNNQINKP >LPERR04G02440.1 pep chromosome:Lperr_V1.4:4:2931678:2932010:-1 gene:LPERR04G02440 transcript:LPERR04G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASTVAVLVMIAMLMMSVTVYSDHARSHGVMNKQITAVASSHGSSGGSVFKVGIVPGRKLISEAANTDTSVGVSGAGTTSTTTTTIDSNRYMTAKWYGQYMNQFGGRQP >LPERR04G02450.1 pep chromosome:Lperr_V1.4:4:2936449:2940281:1 gene:LPERR04G02450 transcript:LPERR04G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIGGWVASALISNLVDKVCSYLGDQYEYQKEDTKNKMRILKESLLRIQEVIHKAERLQGKDTNIGNQLRGIKDAAYQAEDVLDLFDYRVLEAHVEVMDKVTTQAEVMGKVTANSISSSIASSSSSCSSSSSSITTLSTTSSTISSDSTVKRSVSALKRFLFSDEDLTKLASVVTTFNEIDNRMKTLLELLKLENRTPEQPIQWRRTTSMLGNTKLFGRVSEGKRLQNLLMQTKEKSSQPYDVIAIVGIAGVGKTALVQKEYSYFYDKQEHFDFMVWLHVSDKFDVERLTKEMVQSGNLSISADLNSISSLDQLQRILKDKLKGIRILVVLDDVWNEMSSQWETLCKPLQSTSKGSKVIVTTRSQNVANMNGATVTIHLDGLKKEDYWGHFSQCAFHDANPSNFPKLEYIGRQLVKKLAGSPLAAKTVGNLLKMKPDEDHWRTVSERKLWQIEQKEDDIIPALRLSYEHLPDHLKQCITYFSLFPKNYQLPGDVLIHMWRAHGFVNKETPDEAAYRYIDDLLQISFIRKAANLEDHYVVHDLLHDFAESVSNGEHFRIEHDFHVSIPTNVRHLYVNASNISIVYASFEKNIEMKENLRSLIICKTGRIRTSNFNIALEETLKELRNLRVLVLRHPESVLPNNVQHMVHLRYLDIKETNQFTHLPTSLFGLYHLQALSLQSLFENKLKIGLVDGISRHKIDWQAHIPQGIPSQQCKEKKRNSIITENLMHPSIDMCTVTFYWPTGSQQKTSSAMNMRPEKVHAELFYIWKGNNKLPTANMKSGTL >LPERR04G02460.1 pep chromosome:Lperr_V1.4:4:2942477:2944602:1 gene:LPERR04G02460 transcript:LPERR04G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMAAATLASNPKLCGEVVRCEYCRSNLLFFHGLGSNNDSAPHRSPTPFSPTPRAPVIIYDASPKIIHAKPNEFMALVQRLTGPGSVAAPPQDYPMGEAVSEPEPVPGQTFFPLELLLSPSAAMSPAARLATIEWSVRPMPDPRAGVHRHHERRRRPRGDSQLDPFRRHPLPHSLRLSRRPPSLARRNHAMQCRSNYEAARRLSLFWKNEESSALHSKGVMPNPNLKELIATECVANIHCIELISCHRQKTLPPFGGILAYPPEEDIEDQEKIDTKFYSNAAVGFPSLKGLIFEGRDHWKEWSGMELKHFLTLKSQDIKIQNCKQLTRGLGGGCPYHQSITNFIR >LPERR04G02470.1 pep chromosome:Lperr_V1.4:4:2964681:2965407:-1 gene:LPERR04G02470 transcript:LPERR04G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPVMPYGSSTLGGLATKVDVLAKGIMEVPGEVDKVSKDSSYDLARQVMSLILASYQARDPGFNPYVPTDDFPEGMEEDARRCVADAIEAIMDFLIIHTFAPTWTQ >LPERR04G02480.1 pep chromosome:Lperr_V1.4:4:2975833:2980400:-1 gene:LPERR04G02480 transcript:LPERR04G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSALPEELVLWEILVRLPPKTLLRCRLVCRSWRRLTSTHQFLLAHHRRQPSIPVLTRRKKKISDIVTFDRRDADPPQLHPVAQLRRDETFHLLASCDGVLILRSLKTSSRCSICNPTTRQYADLPLISGSMVMGFYHHRLTGEYRILLHREEEEDLIPNPGYRYACYVYTLGSSDMPRRIGWLEASSFCTPVLLQDSLHWFSTSMAMILVFDTTAESSRWIRAPANTMGGTLRIVGLFEMDGALGMYRHDYNKAVVEIYVLQDYEREVWSLKYRVELPLQEINARGYNIQNTHGYYWNVLVSSKDGDLLVLAKSKHYLFYIDTDGKLLSASQCDGSYFLFTLVKHKHSLVPHAFFPLLEEVGDVFLLVDCKWWLLHVVTEGKLLAGFHHDGDAPRLGCSCSLSPPNHNCLLLLGPRFPSICGEPEPAKWGRSPSHDGVGGGLAWWSLVSAVARRGGRVHHNPQGLQIR >LPERR04G02490.1 pep chromosome:Lperr_V1.4:4:2989499:2990698:1 gene:LPERR04G02490 transcript:LPERR04G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLTYINGWCYFICNPTTRQYAQLLLYRSGQLVYEDLIPGDRHACYVYTLGSSDMPRCIGWPGAKGNIVPVLLHGSLHWYKITKGMILVFDTTAESFRYCLA >LPERR04G02500.1 pep chromosome:Lperr_V1.4:4:3132461:3134363:1 gene:LPERR04G02500 transcript:LPERR04G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVSKSSPELVTPATTKTTTKPAAPTDEIINLSPFDKAIGFSPFTSFHIFNNGIADPAMTIKRALSQALVYYYPIAGRVLITGGDDMIRISCTGDGVSFVAATASCGLDDVKLFEPPFVALLRELAVDYPAATGCGGGEADDPLLMMQVTEFACGGFVVGVTWNHVIADGVGIAQFLTAVGDLARGITRPSILPVSCGDDSLPELPPLVAAMEKTMARQXRRGIAQFLRAVGELATGMPRPSILPVSCGDDSLPELPPLVAAMEKTMARQELNRRFAYLDVTIPASVIARVKAEFAAAEPEPPCSVFEAVAAVLWRSRTRAVFGGLSGENADTTPAPLVFAANVHKQVGAREGYYGNCVTSQVVVANCGEVARGGVADAVRLIRRAKEKIPLQFESNGMNAGDGMDHHDLGVLFGYNAFYVASWRNIGFEAVDFGGGAPARVMCHVGPTAVPSCVACLPRRDGGDGGASVLLLCVKEEHVDAFLAELDCLDQVTQVNFPKSDVSLEIHDVNWY >LPERR04G02510.1 pep chromosome:Lperr_V1.4:4:3139229:3145968:-1 gene:LPERR04G02510 transcript:LPERR04G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEAAALQRLLLLLVAALLLLAGVVRGQQMPPATVPDELNKLTTDVASFISDEFSFCVAKPTEDWNEAFNYTSDLGFVQNCMRETGGDLAGRLCTPEEVKFYFSSLYDRKGEKNFFLKTNINCNRSSWVQGCEPGWACSVGSESPSQSNIPPRTSKCQACCEGFFCPRGLTCMLPCPLGSYCPRATVNVTTGLCDPYKYQMTPNTTICGGADTWADFGSTEDMFCPAGYYCPTPTKKEPCSRGHYCRLGSTHEEKCIITGSCKEKTENEKIVILGGCLVGLLFVFLLIIYNCSGQFLTIRERRKAKSRENAIQLARQQLKAHEGWKAAKRLARKHEAPLFMGQELSDSAVFSAQSSDEISEVMPSVVVDVSNDEEIVAGKDRPPPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVTEQKEITRPLLKVEFKDLTLSLGRRKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRNKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTVGINVPERVNPPDHYIDILEGIVKPESGINAKHLPLNWMIYNGYEVPRDMQDDLKAMGEQRPPHLGSSPSNGSTPHCLPHVRNAFAEERDRLDHHLSKPKDLSSRRTPGVISQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPTFGMPGYIYTIIAVSLLCKIAALRSFSLERLHYLRERESGMSSLAYYLARDTIDHFSTVVKPIVYLSMFYYFNNPRSSIADNYIVLLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQKNTPSILKNLCYAKWALEGFIIVNAKRYPGVWLITRCGLLYRSGFDIHHYMLCILVLFMYGLFFRIVAFVALILLKKR >LPERR04G02510.2 pep chromosome:Lperr_V1.4:4:3139229:3145968:-1 gene:LPERR04G02510 transcript:LPERR04G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEAAALQRLLLLLVAALLLLAGVVRGQQMPPATVPDELNKLTTDVASFISDEFSFCVAKPTEDWNEAFNYTSDLGFVQNCMRETGGDLAGRLCTPEEVKFYFSSLYDRKGEKNFFLKTNINCNRSSWVQGCEPGWACSVGSESPSQSNIPPRTSKCQACCEGFFCPRGLTCMLPCPLGSYCPRATVNVTTGLCDPYKYQMTPNTTICGGADTWADFGSTEDMFCPAGYYCPTPTKKEPCSRGHYCRLGSTHEEKCIITGSCKEKTENEKIVILGGCLVGLLFVFLLIIYNCSGQFLTIRERRKAKSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQGHLSRTFSRRKSFRQHPDSENSSHRLQEAPLFMGQELSDSAVFSAQSSDEISEVMPSVVVDVSNDEEIVAGKDRPPPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVTEQKEITRPLLKVEFKDLTLSLGRRKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRNKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTVGINVPERVNPPDHYIDILEGIVKPESGINAKHLPLNWMIYNGYEVPRDMQDDLKAMGEQRPPHLGSSPSNGSTPHCLPHVRNAFAEERDRLDHHLSKPKDLSSRRTPGVISQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPTFGMPGYIYTIIAVSLLCKIAALRSFSLERLHYLRERESGMSSLAYYLARDTIDHFSTVVKPIVYLSMFYYFNNPRSSIADNYIVLLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQKNTPSILKNLCYAKWALEGFIIVNAKRYPGVWLITRCGLLYRSGFDIHHYMLCILVLFMYGLFFRIVAFVALILLKKR >LPERR04G02520.1 pep chromosome:Lperr_V1.4:4:3157873:3158448:-1 gene:LPERR04G02520 transcript:LPERR04G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSDLILYNLVPSSSQPLNPSLPIPNPDLSISSSAADVDATTRRVRARKSPSSSTDRHSKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAAVPVAGGGGGAPSVAVAAAHGGPFVHVPYYTALLMQPPNADEPPMASAAAAAAAAASASVTTGEENN >LPERR04G02530.1 pep chromosome:Lperr_V1.4:4:3163773:3168178:1 gene:LPERR04G02530 transcript:LPERR04G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQQGRVRRAKNGRSQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDEFIGSMIAEAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTFVPAIKETIEDPDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNASSVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >LPERR04G02540.1 pep chromosome:Lperr_V1.4:4:3177968:3179376:-1 gene:LPERR04G02540 transcript:LPERR04G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKRYMYMSDEFLRSNPSVTAYNSPSINIRQSLTDATMPLLGAAAARRAIADWGQPASKITHLVMCTTVSGCMPGADFSLVKLLNLPLTTKRFMMYHAGCHGGGTALRLAENNPQSCTRLREERINQMVVSRLREEGIVYNLHRDLAFHIAANIEALMRKVIGALVDLNEEVFWVVHPGGRDILDRVARVLGLRDEKVAVSRERRSVERGMRTAGEGLELGLLLSFGPGLTMETILLRAPPIRPII >LPERR04G02550.1 pep chromosome:Lperr_V1.4:4:3180236:3181680:-1 gene:LPERR04G02550 transcript:LPERR04G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQELYKSGQAAILGIGTAVPPYVLPQSSFADYYFDISNSNHQNDLKAKLAKICKPLHLLYSSFSRLRHLSPPATIDEEVQLPARGCNPAPSPIEDDKSASYHRREGEATPWLPTATTVVAPPSAAGHRADTVFAASSYSSLAATAREQPCLHRRCHRSYPQPLGTVVGRASHARHSATCAVTGLSTLAHVLSPREFTACSATCDVVLVSIPPVVTETPFTGRNEG >LPERR04G02560.1 pep chromosome:Lperr_V1.4:4:3199728:3209261:-1 gene:LPERR04G02560 transcript:LPERR04G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHKLNILDSLPDDTQGGQDPEVRHEKIRLQVCGALNLTMETAFDMSENDFRTWKYEFPKVPWQDNSDDCGFFVFKFMRLWDGHQFFQSIPTESRQLRMDFLAYILSYKDNKAELPPLVSRWIKKISEETIIARKGRQSWSSHVMNTKLLNAAREGDVKKFDELLEGEGGSPIPPTRTSSVLNSSRDEPSDVITSNQHGVTRPKLDDAVAIERAIDIPEHSSAEDADEVPATNQAEIAREATYTVRLLRSILEGATAEGDGVLHIAARFGHEEFVRNVCCKAELILEHTCAAVSDFEEVERTQAVWFGEFLRARNHRGETCLHEAVRWGSKEIVLLLVEADAKLNDSTSDALVQIVNDEEASPLYLATTLRKADIIKILTDEQSTIYSPSYTGPGGKTALHAAVLLDKVLSKELLEWKKDLINMPDVFGSTPLHYLASNGGSDIARLLLEKNSESAYWADSNGSLPSHVAAANGRVDIIQQLLKVCADCLWLLNDSGQSILHIAVEKKKEKAVKYICGEQNFTRILNLRDMAGNSAIHSAVRTGNQWIFFSLIRNKEVKLSLVDKEEHTPLDLAVLSLPAGLKHVQAPRSWILYDLVLAGADLGTCRWDHLKSKKEEADRGHLSKAISKSAGLLAVCSVLILNIAFAAAFNVANSYNSFSHERTARRQSINTRRSRARKHAFKAFVLSDSLAFLFSSVTTFCCTFAGFSTMDKRTRSGYLVMGFRFLRYSAVSMIAVFMLGLYVVVEPVDVRIATVACVFALVAVSVLFMTPVVTMLLHMWFLSRRLGFRAWWYNVLCNRRHRHRVPFQFHPLAQLSLSVLGSFLVVCVTITGFSYL >LPERR04G02570.1 pep chromosome:Lperr_V1.4:4:3235747:3236220:1 gene:LPERR04G02570 transcript:LPERR04G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSGAGMECRRRLQRESGEAMDSSGHDNMYDMDGTLGISCMFDDMSGIVRIAKLWELQDYEMGVWSFKYQIKLPVLEMTSITDSIYYYPTVMAENGDVLISKGKLVDKFLWDGVCPNVTGHWFRENLVSHAFFQMQDGACQTATFFPRAVGNRDAG >LPERR04G02580.1 pep chromosome:Lperr_V1.4:4:3239184:3243217:1 gene:LPERR04G02580 transcript:LPERR04G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPDSIPKAAGGRRTRGGRRAAVHGVPEELLWEILIRLHKKEILRCRAVCRTWRRLATPADFLLAHHRLQPSLPLVSFRGEISWPSSTNGEFTDASVQTLDLRRRTPAERRRPVLAFNDYNLLRDFTIHASCDGLLLLSLSTLSHRLFVCNPATASGLCFRISSAAILLPCTHTPPPHRILFWRCEKKNKDVVCYVLTLGSSRKPRRIGLPVVSDSMKPVLCRGILAATRHPSIMLHGCLPWGSSGYFSHSDVVVFDTVLESFIRMIPSPGPSRKPDDYEMGVWSLKYQIRLPMLAMTRITHGKHYYATVVPENGDVVICCWGSSFMFHCDSEGKLLDKFSKYYWTLENCSTADATVASPGNPTRQQLIWVHTSAGIVE >LPERR04G02590.1 pep chromosome:Lperr_V1.4:4:3250396:3256260:-1 gene:LPERR04G02590 transcript:LPERR04G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGTPPQCERLPPNEPPRAWTITGERASPSLSSRLTGFAGGRSGGGEAGGEATGHLIGGLMALTLVRVKVGPWGGIGGVAWDEGGHDASGGGYTGIHSISVGSSSCIDSLLFEYDDNGKRVKGTLHGEGKSAVREELDFPSEFLTHVSGYHDEYLRSLQFRTNRNKTFGPYGVKYENHKDCRPFEISMEDAGSIVGFSGRSSRFIDAIGIYIAVWNPEMFYDSMRMKGILAYRTSPLRLQVRAIEQHKKEEEERQQIQKEIHRQKNLLAKLQAQLEQDEQEKQKEGMSLERLKEEREQLARQILELEELVRQKQQERESLQKQIEEQLARIRELQTRRRRADVQMANQKLRILTTQLEDTKDVEERATSYIQKARARMSLQ >LPERR04G02600.1 pep chromosome:Lperr_V1.4:4:3257963:3261172:-1 gene:LPERR04G02600 transcript:LPERR04G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCMLLYFVIKLCYSQFVTVYLLQIITADNVGVAVEKIIDKLRKTDASRVIYFDGWEGMGASAVLRAVLTRLKSDKIIKSELKFGTIIHIDCSIWKSRREMQRKIAEELEIAWARDLIDGQDEADDINGINESSRDVIDDIGIAINDMLMSRRFLVVFHNGSDNEMTDVANFGLPLYQPYKGNKILWTFRGRFRLNTEIQNRVQDADVFISAKFHTKICGKNELQDQSHWWDILCEEAAEVASNTCSGVAKLHPTTIAKCWLYISKLNCVGRDIMDFNWTSHASNYWVCDEIIQEWEIGDALQQEMWQEWDDPGLYYMMGNTENWISTTHLISSSYGFLTLSSVAETVSSFFLAAQQIETEAEFTMELLEYFFKSKVNRVDFLQNYNDMFQHAENLRVLKLSRCTFRFASPPFICCRRLRFLGLDNCLDLNFDAGEEVQSWKCFHGLWVLDLNYTEWVFSQQMIEEMDNVKELNLKGVNPYNLKNIWKWQHNKISKFSLIKTIDHHFKATKDANDPITFTFSNMEKMEILDLSGNSAMQEFPDLSKATCLKTVTVDGCVELESVNTSDLPASLEEFSIVATSEQYPNAANITTISLRGCCRLKKLVLSGLPKLEELDLSGTMLEKIDLDAMQAEKLNRLVLLGCLRLRAILWSNVQKPQLDELLVNTVGVNLEGKRHKSLSSVQDNDSLFQSHVIVKDSRFLLSLQLFATQKRYVHFCISPVFVNYSKGKGESKQNVVMQCSTEQSRIVHVDRTLAGCIYSDIFDRVVALSAAPVICPCPPLPLESKCKGSCKVEIRSRKELQSNDSNLNIFIDIVHSLKVQDDFWMTSVPGSNWGRIKWCCIERCPNLHSVFKLSDHDQIIAFSWLETFWASCLQTAHYIWNMEFKHANVDSFKKLQYIHLDSCPRLIHVLPLCNNLPSLEIIQILYCTNLIHVFPLNTVDTINFPKLRHIHLHELPNLKGICEAEVMSAPKLETIVIRGCWNLRHLPDVIELREPRPIVDCEKEWWDNLLWDKPQEDGYNQSSLYKRSSAQHYKKALPKGSFLRSLYPNASIPQEEKLPYRIARLE >LPERR04G02610.1 pep chromosome:Lperr_V1.4:4:3265814:3270135:-1 gene:LPERR04G02610 transcript:LPERR04G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKRNKNPSSSVVIVSVELADFPGGGEGFELVARFCYKNGRIPLCPSNLPLLHCAAVFLEMTDDVSPCNLLTQSDAFVDGLYYWTWPEVLAAVKSCESPSLSPAADHSGLTEKLISALFSKIVTSNNNLEFAGVVGTPTTNRSSSSCSSSPESSSVRPCLGAKEWWFDDVASLLPATVEKAMRILGSYGVENKNLILTRFLLHYLRRHRRRTTSPESSPSLARLADTAVHGVALVGGTAFSCRGLFWVLRIVTAVGISKECRAKLERLMGLMLHHATLDDLLVSSSSSCAGAGDDGGGVYDVSLVMRLVRVFVGGSEEEEEEDCDGGGLVPSARMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIKPAAAKPSSSRPDPSQTPRRVVVARNVVDLDAMDEKELLKLNLQKMQSRVVELERACKEMRGQMSKMAKNGGKSSLFGAAAASCNQTGGRGLPRLC >LPERR04G02620.1 pep chromosome:Lperr_V1.4:4:3281175:3288235:-1 gene:LPERR04G02620 transcript:LPERR04G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGGEEEKKEGDARWGWDPMRSGSAPPTMEGAGAAAVAAEVVDGGFGGGGGGGSYFSPMDGLGARLDEVGRRRGPVAQEHFGNSVSLSNGAPGLHLNGKREFDGQQFGPTRVHSVGAMLNHSAVNVGSPWVETRTDDAEFHRHNQHRFMPNMEKMNAFARRDLQSTYFSDTDLSNALSRMNLSNHVEYDERSLAKELLYEMEMRKQNMHFSSKIANDSRSPLSGNAFCTPGSEHLDVHSLHKYGDGVLHRQNSIMDNPYITRSNNHHIKNVDRLSLSDLALMQLSNLHEENNYHNYADMVNLVNPLRSRRNTTDLELARSRNLFLEELSAQRCLQEDNIFLSKSGPSYNDNVYRDEPRAAYSRMQRSGSHLYSQLRGIPCHGDQQQRILSSRRSLGRNMGSQIYQDNPVASCLETPLDIANRNGAGSLELINVVGHVMEVSMDQYGSRFIQQKLEEASADDREKIFPEILANAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQKVIDVVDLERKISIVGELKNSVLRCISDQNGNHVIQKCIECVPEDHIPFVIEDILQKIFPLCTHQYGCRVIQRVLEHCHNPATQSAVMDEIVEQAFDLTEDKFGNYVVQHVLEHGKPEERSSIIRKFYGHVVSLSQQKYASNVVEKCLYFGTPEEREALIGEIVSSGQTFQGLMKDQFGNYVVQRVLQTCDDKFLGVILSSIRMHLNELKNYTFGKHIVARVEKLIITGVIGWPGGVDE >LPERR04G02630.1 pep chromosome:Lperr_V1.4:4:3294250:3301420:-1 gene:LPERR04G02630 transcript:LPERR04G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSLSDRSFIIDSDEDDAAVEDGKRRGGGDESDDGSDSSSSCGSPRVAGSQPSSYTQQWPQSYRQSIDMLSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTTTTTDSDEGDGQQKHEDARKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEVGPYRQCTYTQGVLNGVNVLCGVGILSTPYAVKQGGWLGLIILALMAVLAWYTGVLLKRCLDSKEGLETYPDIGHAAFGTTGRIVISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGTFTLNSHALFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLIDHIEAKDEGAALNLPGIPIAIGLYGYCYSGHGVFPNIYSSIKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPQNLVASKIAVWTTAYILPCACFLAILRRTVTWYQVLICVFIIIVGLCCAGVGTYSSLSKIIQNYK >LPERR04G02640.1 pep chromosome:Lperr_V1.4:4:3329028:3334662:1 gene:LPERR04G02640 transcript:LPERR04G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSATKASSINSGFAMKDVLFDPVHKMQAGLPRSHAWVLLKTPQCHCHKSFHLLNIVDCLIVTLHQQANPPTPITVIGPVQHNREYPEYRLSTKKKNGDEYKVHQYGGSKKQ >LPERR04G02640.2 pep chromosome:Lperr_V1.4:4:3327774:3334662:1 gene:LPERR04G02640 transcript:LPERR04G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANLRSRAAVTAAACLAAARGDASPPQAQMVQRAPSSPSRLEGLRRGRHRPRRACEEEEKPHDRTCAAKGAESIGSSHHGEEDQTQPPPQDCLIVTLHQQANPPTPITVIGPVLSTKKKNGDEYKVHQYGGSKKQ >LPERR04G02650.1 pep chromosome:Lperr_V1.4:4:3331543:3333984:-1 gene:LPERR04G02650 transcript:LPERR04G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLFFPMLLQLLSPTYAQAQKNITLGTTLAPQGPASSWLSPSGDFAFGFRPVEGNTSFYLIAVWFDKIGDKTVAWYAKNTEQDPLTVQVPSDSVLHLTNDGALSLKGRSGQEVWNPQVTGVAYARMLDTGNFVLLGADGKPKWQTFDMPSDTILPTQVLPCSKTRDKSLRARLDVNDYSNGRFLLDLQTGGNLALYLVAVPSGSKYQQYWSTDTTGNCSELVFGETGKVYFALTNGTQINISSGAGIGSMANYFHRATLDPDGVFRQYVYPKKASAGILGGEAWTVVSMQPQDICHAIVSGVGSGVCGFNSYCTFDGTKNQIASCQCPPWYKFFDEQRKYKGCKQDFQPHSCDLDEAMSLAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVVDCFCAMAVYNQSTNTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSRSIIDTNSDKWKRSRKHWVLGSSLLLGTSILVNFVLISILLFGTYCRITRKKNISLSQLSSKSQLPLKTFTYKELEKATACFHEVLGAGASGVVYKGQLEDELETNIAVKKIDKLQPETEKEFMVEVQTIGQTFHKNLVRLLGFCNEGSERLLVYEFMTNGPLNRLLFDSVRPHWNTRVHIALGVARGLLYLHEECSKQIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRRNVELEVIDEEQMILTYWANDCYRCGRIGLLVEGDDEAIYNIKKVERFVAVALWCLQEDPSMRPTILKVTQMLDGAVAIPCPPDPNSFISSLP >LPERR04G02660.1 pep chromosome:Lperr_V1.4:4:3350265:3351189:1 gene:LPERR04G02660 transcript:LPERR04G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEELPEADHLAFEAYAKDLRRKMLSCYRRTRQGVIKQEEFKLPVIIKSKVLMCRGRLTLSNDLVEVVFGTYYPSARKDVFGA >LPERR04G02670.1 pep chromosome:Lperr_V1.4:4:3360294:3362717:-1 gene:LPERR04G02670 transcript:LPERR04G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLPLFQLLLLNCITSSHAQINITIGSSLTPQGENTSWVSASADFAFGFRTVEGNSSSYLLAVWFNNITDKTVVWYAKTISNGQEAVQVPPGSILKLADGALSLRDPSGNEVWNPRVTDVGYARMLNTGNFKLLGIDGTTKWETFDSPSDTILPTQVLPLKTALYSRLLATDYSNGRFLLNVQGDGNLVMYPAAVPSGYSYDPYWASNTVGNGSRLVFNETGRIYFALTDGTQINITSAGVDSMGDFFHRATFDTDGVFRQYVYPKSTMARRLWQEEWRSVDALPQNICTAIQTKIGSGACGFNSYCFFDGTKNTTSCLCPQRYKFFDDERKYKGCRPDFEPQSCDLDETTSMMQYEMTPIDRINWPLSDFEKYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSTLQATVLLKVPRSTNTPYMLSSGSSKWKDKKYWILGSSLLFGSSVLVNFLLISVLFFGTYCGITWRKKIQLSQASNNSGLPPKIFTYSELDKATGGFREVLGTGASGVVYKGQLQDECGTNIAVKKIEKFHQEAEKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTLLFTDTRPHWSLRVQIALEVARGLLYLHEECNKQIIHCDMKPQNILLDDNCAAKISDFGLAKLLLLNQTKTNTGIRGTRGYVAPEWFKNIGITSKVDIYSFGVILLELVCCRKNVELEATDEEQTILTYWANDCYRCGRIDLLVKGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKVTQMLDGAVQIPTPPDPSSYISSLA >LPERR04G02680.1 pep chromosome:Lperr_V1.4:4:3362020:3372081:1 gene:LPERR04G02680 transcript:LPERR04G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSIQKTARRYEEEFPSTVRNPNAKSAEAETHEFRSKSWNKGKNRRDYCSPLPFHPDVLSVWDSTDPCGGGAPLASQRIGALATAAAGMLQIPAGTDADPSRRTGVHLPPPRRVLHKENMSV >LPERR04G02680.2 pep chromosome:Lperr_V1.4:4:3362020:3372081:1 gene:LPERR04G02680 transcript:LPERR04G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSIQKTARRYEEEFPSTVRNPNAKSAEAETHEFRSKSWNKGKNRRDYCSPLPFHPDVLSVWDSTDPCGGGAPLASQRIGALATAAAGMLQIPAGTDADPSRRTGVHLPPPRRVLHKENMSV >LPERR04G02680.3 pep chromosome:Lperr_V1.4:4:3362020:3372081:1 gene:LPERR04G02680 transcript:LPERR04G02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSIQKTARRYEEEFPSTVRNPNAKSAEAETHEFRSKSWNKGKNRRDYCSPLPFHPDVLSVWDSTDPCGGGAPLASQRIGALATAAAGMLQIPAGTDADPSRRTGVHLPPPRRVLHKHTISSKITPKL >LPERR04G02680.4 pep chromosome:Lperr_V1.4:4:3362020:3371318:1 gene:LPERR04G02680 transcript:LPERR04G02680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSIQKHEIAGVEHSSIAHISDSWIPYLIAGWIAKRECSICELEDRARWDLYCFLSTGAGLGIPNDGLVSDLVEPDSKEV >LPERR04G02690.1 pep chromosome:Lperr_V1.4:4:3369073:3371499:-1 gene:LPERR04G02690 transcript:LPERR04G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLPLLQLFLLYCITSSHAQINITIGSSLTPQGLNTSWVSTSADFAFGFRAVEGNSSSYLLAVWFNKITNKTVVWYAKTSSSGQEAVQVPPGSILKLADGALSLRDPSGNEVWNPGVTDVGYARMFNTGNFMLFGTNGTTKWETFGSPSDTILPTQVLPLGTTLHSRLLVTDYSNGRFQLNVQEDGNLVMYPAAVPSGYLYDPYWASNTVGNGSRLVFNETGRIYFTLINGTQTNITSAGVDSMGDFFHRATFDTDGVFRQYVYPKSTKARHLSQEEWRAVDALPHNICRAILNWVGSGVCGFNSYCTFDGSKNMTSCLCPQSYKFIDDEKKYKGCTPDFEPQNCELDETAAMMQYDMTPIDRVDWPLSDYEQYSPIDETECQRLCKIDCFCAIAVFEKATNTCWKKKLPLSNGKMDDTVSRTVFLKVPRSTNSQSVLSSGYSKWKEDRKHWILGSSLLFGSSLLVNFILISILLFGTYCSITSRKKMQLLEPPNNSGLPPKIFTYSELEKATGGFREVIGTGASGVVYKGQLQDECRTNIAVKKIEKLQHEAEKVFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMGNGSLNGFLFSDTRPDWSLRVQIALGVARGLLYLHEECNKQIIHCDMKPHNILLDDNFASKISDFGLAKLLLLNQTQTSTCIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLEIVCCRKNVELEAGEEEQTILTYWANDCYRCGRIDLLVQGDGEAISNIKKVERFVAVALWCLQEEPTMRPTMHKVTQMLDGAVQIPTPPDPSSYISSLA >LPERR04G02700.1 pep chromosome:Lperr_V1.4:4:3374972:3377945:1 gene:LPERR04G02700 transcript:LPERR04G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPNPHSQLLPQSLLVPDDDGVLQAPNTRSAPHPRTLRVIISAPDPVTLRIQEGVCGLRRRQVVSARDVGVTKFDFIRELRASLFGAELWKLSINVSGADPLTPSPPTNVLLDPQRHYIWR >LPERR04G02710.1 pep chromosome:Lperr_V1.4:4:3385761:3388175:-1 gene:LPERR04G02710 transcript:LPERR04G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLFFLQFLLLLSSPSTQAQNISLGTSLTTQELNNAWLSPSGEFAFGFRPIEGNSSFYLLAVWFNKISDKTVTWYTKSSEQDPEPIKVPSGSSLQFTSTGVLSLRDPTNREVCNPGAAGAPDASMLDTGNFVIAAAGGSTVSWETFSYPTNTILVTQVLSPGKVLRSRLLTTDYSNGRFLLNIETQRAALYTIAVPSGNLYDSYWSTPSDENATNQVTDLVFNMAGRIYISMSNGTQINMTFGVTSSMENYYHRATLDPDGVFRQYVYPKKPGGISQAWTVVSTKPENICNAQTKVGSGTCGFNSYCMFDGNSNQTSCGCPDQYSFFDKERKYRGCKPDFELQGCELDEGAAMAQYEFNLINNVDWPQADYEWYTPIAMDECRRLCLIDCFCAVAVFHENTCWKKKLPLSNGIMSSGVQRTVLIKVPKSNNSQPELRETRKWKSDKKHWILGSSLLLGGSVVVNFVLISVLLFGTYCTITRKEVQQLQPSRDPGLPLKAFTYAELEKATDGFKEKIDKIQHETEKEFAVEVQTIGRTYHKNLVRMLGYCNEGTQRLLVYEFMINGSLNRFLFGDARPQWSLRAQLDLGVARGLLYLHEECSTQIIHCDIKPQNILLDDNCIAKISDFGLAKLLRTNQTQTYTGIRGTRGYVAPEWFKNIGITAKVDVYNFGVILLELICCRQNVLLEAPEEEQSILTYWANDCYRCGRVDLLIDGDDEANLKMKKVERFVAVALWCLQEDPTMRPSMLKVTQMLDGAIAIPTPPDSSSVIYSLS >LPERR04G02720.1 pep chromosome:Lperr_V1.4:4:3390375:3390635:1 gene:LPERR04G02720 transcript:LPERR04G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWAVAAFSPCPWCGGGDEGTRKPRSPSSKRGVVSAVVLTCNGGGRGRADGDSESCGDRLAEGRRCGDGHVPTYLWFQRSSQPES >LPERR04G02730.1 pep chromosome:Lperr_V1.4:4:3398328:3405419:-1 gene:LPERR04G02730 transcript:LPERR04G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFISYIVLAEGVEEDRNVKHGASDGQHISNGLINKSVEPALSHPLLKGHIIQMEPTSYPSGRKIKLSLEPTATEAHLPTISCPKGTIPLLQNSKVNLKTEYNFNPISNISHGGGETAGYRISDEIYGTQATINVYEPKVRGVNDFSASWALMVNGPKGHHEAIGVGYMVWPNYVGDNFARFHIYWQENSRNMPCFDHICPGFVQVSESVGIGGRIKPVSIYDGDQYEITVTISKDPKTGNWWLAYGRDNTPLGYWPSSIFGYMKNNANLGFWGGQVHGPTVELHPPQMGSGQFASTGPGKSAYVRNIKVINKDNEYFIPSDTISSQKVQDHYAMIVEISTSTMMECISIMVDLVIAQSDLIISVLAYSINKSMF >LPERR04G02740.1 pep chromosome:Lperr_V1.4:4:3412641:3413762:1 gene:LPERR04G02740 transcript:LPERR04G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKALLLAILGCLCLCNAVLAARELADDSAMVARHESWMVQYGRVYKDTVEKARRFKVFKANVAFIESFNAGNHKFWLGVNQFADLSNEEFRVMKTNKGFNPGTGRVATGFRYENVSIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADDKCKKVTNSVATIKGYEDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYKGGIMTGSCGTDLDHGILAIGYGKASDGTNYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >LPERR04G02750.1 pep chromosome:Lperr_V1.4:4:3415125:3418206:-1 gene:LPERR04G02750 transcript:LPERR04G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTPAAATTVPEDEYRGGQVLLLPFPAAQGHTNPMLQFGRRLAYYGLRPTLVTTRYVLATTPPPGEPFRVAAISDGFDDAATGGMAACPDFAEYGRSLGAHGPRTLAELLASTEPAVRVLVYDPHLAWARRVARDAGVPAAAFLSQPCAVDLIYGEVFAGRLALPVTAADARGLYERGVLGVELGHDDVPPFAARPEWLPAFTHASVRQFDGLEDADDVLVNSFSDLEPKEAAYMEGTWRAKMIGPLLPSFYLNDGRLPSNKEYGFNLFRSTVPCMDWLDKQPHRSVILVSYGTVSDFDKAKLEELCYGLCNTGKPFLWVIRSNEEHKLSNELRRECDGKGLIVPFCPQLEVLAHEATACFFTHCGWNSTLEAIVNGVPLVAMPHWADQPTIAKYMESVWGIGVRVHMDRNGALKREEVERCIREVMDGDRREGYRQNVIRLMNKAKETMQEGGSSDNNIAKFAAKYLK >LPERR04G02760.1 pep chromosome:Lperr_V1.4:4:3418275:3437874:-1 gene:LPERR04G02760 transcript:LPERR04G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAGRRRRHGYWRRRRQVSAGRLALPVTAEDARGLYERGVLGVELGNDDVPPFAARPEWQPTFIDTLVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAARLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQCEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYTESVWEMGICVRRGKNGALKREEVERCIKEVMDGERKDDYRQNAMRLMNKAKEAMQDGGRSDKNIVEFATKRSRFLCCFLSHCGWNSTLEAIVNGVPLVAIPHWADQPTTSKYMESLWGMGVRVRHDKGGGLQREEVERCIREVMEGDKKEDYKKNAVSSSTPAPASTATCTILDDDCSGGQVLLLPFPAAQGHTNPLLQFGRRLAYHGLRPTLVTTRHVLATTPPPGEPFRVAAISDGFDDAGGMAACPDFAEYVRSLEQHGSRTLAELLASTEPAVRVLVYDPHLAWARRVARDAGVPAAAFLSQPCAVDIIYGEVFAGRLALPVTAADARGLYERGVLGVELGHDDVPPFAARPEWHSTFIDKSVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAAKLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQYEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYMESVWEMGVRVQRGKNGALRREEVERCIKEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.2 pep chromosome:Lperr_V1.4:4:3418275:3437874:-1 gene:LPERR04G02760 transcript:LPERR04G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAGRRRRHGYWRRRRQVSAGRLALPVTAEDARGLYERGVLGVELGNDDVPPFAARPEWQPTFIDTLVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAARLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQCEAKGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAIPHWADQPTTSKYMESLWGMGVRVRHDKGGGLQREEVERCIREVMEGDKKEDYKKNAVSSSTPAPASTATCTILDDDCSGGQVLLLPFPAAQGHTNPLLQFGRRLAYHGLRPTLVTTRHVLATTPPPGEPFRVAAISDGFDDAGGMAACPDFAEYVRSLEQHGSRTLAELLASTEPAVRVLVYDPHLAWARRVARDAGVPAAAFLSQPCAVDIIYGEVFAGRLALPVTAADARGLYERGVLGVELGHDDVPPFAARPEWHSTFIDKSVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAAKLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQYEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYMESVWEMGVRVQRGKNGALRREEVERCIKEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.3 pep chromosome:Lperr_V1.4:4:3418275:3435582:-1 gene:LPERR04G02760 transcript:LPERR04G02760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAARLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQCEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYTESVWEMGICVRRGKNGALKREEVERCIKEVMDGERKDDYRQNAMRLMNKAKEAMQDGGRSDKNIVEFATKRSRFLCCFLSHCGWNSTLEAIVNGVPLVAIPHWADQPTTSKYMESLWGMGVRVRHDKGGGLQREEVERCIREVMEGDKKEDYKKNAVSSSTPAPASTATCTILDDDCSGGQVLLLPFPAAQGHTNPLLQFGRRLAYHGLRPTLVTTRHVLATTPPPGEPFRVAAISDGFDDAGGMAACPDFAEYVRSLEQHGSRTLAELLASTEPAVRVLVYDPHLAWARRVARDAGVPAAAFLSQPCAVDIIYGEVFAGRLALPVTAADARGLYERGVLGVELGHDDVPPFAARPEWHSTFIDKSVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAAKLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQYEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYMESVWEMGVRVQRGKNGALRREEVERCIKEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.4 pep chromosome:Lperr_V1.4:4:3418275:3435582:-1 gene:LPERR04G02760 transcript:LPERR04G02760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAARLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQCEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYTESVWEMGICVRRGKNGALKREEVEREVMEGDKKEDYKKNAVSSSTPAPASTATCTILDDDCSGGQVLLLPFPAAQGHTNPLLQFGRRLAYHGLRPTLVTTRHVLATTPPPGEPFRVAAISDGFDDAGGMAACPDFAEYVRSLEQHGSRTLAELLASTEPAVRVLVYDPHLAWARRVARDAGVPAAAFLSQPCAVDIIYGEVFAGRLALPVTAADARGLYERGVLGVELGHDDVPPFAARPEWHSTFIDKSVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAAKLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQYEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYMESVWEMGVRVQRGKNGALRREEVERCIKEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.5 pep chromosome:Lperr_V1.4:4:3418275:3437874:-1 gene:LPERR04G02760 transcript:LPERR04G02760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAGRRRRHGYWRRRRQVSAGRLALPVTAEDARGLYERGVLGVELGNDDVPPFAARPEWQPTFIDTLVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAARLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQCEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYTESVWEMGICVRRGKNGALKREEVERCIKEEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.6 pep chromosome:Lperr_V1.4:4:3418275:3437874:-1 gene:LPERR04G02760 transcript:LPERR04G02760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAGRRRRHGYWRRRRQVSAGRLALPVTAEDARGLYERGVLGVELGNDDVPPFAARPEWQPTFIDTLVRQFDGLEDADDVLVNSSSDLEPKEAAYMEETWHAKMIGPLLPSFYLDDVRLPSNKDYGFNLFRSTVSCMEWLDKQPSRSVVLVSYGTVSDFDAAKLEELGHGLCNSGKPFLWVVRSNEEHKLSHELRRQYEAKGLIVPFCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGIPLVAMPHWADQPTIAKYMESVWEMGVRVQRGKNGALRREEVERCIKEVMDGERKDDYRQNAMRLMSKAKEAMQDGGRSDKNIVEFAVNSKYHIDPSIFFQIGLCFIESHDLVRQHLF >LPERR04G02760.7 pep chromosome:Lperr_V1.4:4:3435892:3437874:-1 gene:LPERR04G02760 transcript:LPERR04G02760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAGRRRRHGYWRRRRQVSAGRLALPVTAEDARGLYERGVLGVELGNDDVPPFAARPEWQPTFIDTLVRQFDGLEDADDVLVNSSSDLEPKVPDFFHLSFQNKPFFLGCGMGTRWAKRTTA >LPERR04G02770.1 pep chromosome:Lperr_V1.4:4:3437589:3438071:1 gene:LPERR04G02770 transcript:LPERR04G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRPCTTRGRGLGFQRSTSDARRRGCGDQGSAKPFVVPADPGGFGRSHDRRTRDGRARGSRCGLNWRRRERRSQLPAAAVASIRAAGVDPPPSPSIRDHRRRSAPPLSIRATTAGTSRPPVDQARHRSSSPPDRPSSSMHVAAIDLRIRRRSARERESW >LPERR04G02780.1 pep chromosome:Lperr_V1.4:4:3437966:3444782:-1 gene:LPERR04G02780 transcript:LPERR04G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMTNTIVSDDHDANAGGAYALLVPLPAQGHMNPMIQFGHRLAYHGLRPILIATRYILSTAAIPKPGKFPFRVAEFSDGFDAGGMASCSDPVEYCRRLESIGSDTLARAMHAEAARAGGRRAAVLVYDPHMAWVPRVARAAGVAATAAFLTQPCAVDAIYGEVWAGRVPLPVKDGGVFRRRGVLGVDLAAADVPPFVARPEAYPKYLDVSIRQFEGIDGDDHVFVNSFHDLEPTEADYMESTWRAKTVGPMLPSFFLDDGRLPSNTAYGISIYGDGSEQCMIMEWLDMQAPCSVVLASYGTVYSLDCDELEELGNGLCNSGKPFLWVVRSSEAHKLSEEIREKCKGKGMIVSWCPQLEVMKHNSTGCFLTHCGWNSTMEAIASAVPMVTIPQSADQPTIAKYVETAWGIGVRARLDEKGSIRKEEVEICIKEVMDSKRSAEYRRNATKWMQKAKEAAQGGGSSDKNIGEFVANHTPTSIMGSTPPPTPLTNATANIDDESRAGGTGVHVLLLPFPAAQGHTNPLLQFGRRLAYHGLRPTLVTTRYVLATTPHPGEPFRVAAIDDGFDDATGAMALLPDPADYHRSLEAHGSRSLAELIVSEARAGRPVRLLVYDPHVPWALGVARDAGVAAAAFMSQPCAVDLVYGEVCAGRLPLPVTAKDVSGLYAGGVLGVELGPDDVPPFVAAPELTPAFSAMAVAQFAGLEEADDVLVNSFDDLEPTEAAYMEATWHAKTVGPTLPSFYLDDDRLPSNKAYGFNLFSSTVPCMEWLDKQPPRSVVLVSYGTFSTYDTAKLEELGNGLCNSGKPFIWVVRSNEQHKLSDEIRRKCENRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAIPHWADQPTTSKYMESLWGMGVRVRHDKGGGLQREEVERCIREVMEGDRKEDYKKNAVRLMKKAKESMQEGGSSDKNIAQFAANWALLSVSPTPDAQIDARSGGGWRGLTPAGVERCWPALPLHQLSLSRADRRRMRKSMAATCIDDDGRSGGELLR >LPERR04G02790.1 pep chromosome:Lperr_V1.4:4:3448399:3450233:1 gene:LPERR04G02790 transcript:LPERR04G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSTNTTVNGGGGYVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVSRYVLSTTTIATTTNCPFPIAAFSDGFDAGGLASCGDAADYLRQLEAAGSDTLAALIRDEARSWRAVRVVVYDSVLPWARRVARAAGVAAAAFMTQMCAVDVIYGEVFAGRAGMPLADGSALRRRGLLGVELGPDDVPPFMAAPDWYPALTDSALSQLDGLENAADVLVNSFRDLEPLEAEYMESTWRAKTVGPTLPSFYLDDDRLPSNRNYGFKLFSNNSAPFMAWLDKQAVGSVVLASYGTVANLDTAQLDELANGLCNSGKPFLWVLRSNEAQKLPEDLRDKCEGRGLIVPFCAQLEVLAHPATGCFLTHCGWNSTMEAIVTGVPIVAMPQWADQQTAAKYVESAWGIGVRMRKGMVRREEVERCIQEVMDGDKVEEYKRNAAMWMKSSMEAIQNGGSSDKNISEFAAKYL >LPERR04G02800.1 pep chromosome:Lperr_V1.4:4:3453294:3455084:1 gene:LPERR04G02800 transcript:LPERR04G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQIRLPGGVVFQIKEEEQNPGSGEAGVVETWETFPEFLPRRSCRRQWRLHGEAGRLGLGGEWTRGSMGVQFRGSTVAGEAPGAGATASAALLGEGDGIGGAAVVEAA >LPERR04G02810.1 pep chromosome:Lperr_V1.4:4:3458436:3460851:-1 gene:LPERR04G02810 transcript:LPERR04G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDSDEDGDILSALPDCLLHIIMSFLPARQAVQTCLLSRRWRDLWRSMPCLDIDGDKFMSTTAASSSSFHSATASTSTPMVNWVKMENFTTNMLFTHNAQSLDRFRLNLPDHMRITGHPDDVERQAKRWILRGFRYQPKEIQIAIGTISDSIFRTKLPFLGATSARRLKRMQLSGLALGKLFGDCIRSWCPVLESMELKNCNIDFNEIVSDTIKSLAIVDCHGCHPQVDLVERKTLAVKAPNLTSLFLRYKALGYRVIFVDQMDSLVEASICQTCWHMILFHDDLYVDVLGRLLNVENLNLSCSPPPEVEPSPNISINVPTFHKMNTLRFHQCDLGRNLHILRSFLKNAPNLEKIILENCKIPDNLGKRKRKARVNKRRPSKRKGVIISDSQDSKLIRITYRDGDIKNLIELLLDNRRKLESNTIVITKF >LPERR04G02820.1 pep chromosome:Lperr_V1.4:4:3465532:3466657:-1 gene:LPERR04G02820 transcript:LPERR04G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLLAILGCLCLSSSVRAARELSDDSAMVARHESWMAQYGRVYKDAAEKARRFEVFKTNVAFIESFNAGNHKFWLGVNQFADLTNYEFKAMKTNKGFIPNTVRDPTGFRYENVSIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLNTDKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYAAADGKCKGGSNSAATIKSYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTASDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMQPSYPTA >LPERR04G02830.1 pep chromosome:Lperr_V1.4:4:3489252:3491098:1 gene:LPERR04G02830 transcript:LPERR04G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNLSKKLAVALVVLLAIASIHTIAARELVDAAAMAARHERWMARHGRAYADHAEKARRLAVFRDNVAFIDSVNAAAANGRHKLWLEENRFADLTNAEFRAERTGLISPSRGGNGGAPTTPFRYANVNAGDLPVSLDWRSKGAVIPVKDQGNCGSCWAFSAVAAMEGAVKLTSGKLVSLSEQELVSCDVDGEDQGCSGGLMDDAFKFIINNGGLATESDYPYTANDDTCNITASYADFTAAEAATITGYEDVPANDETALLKAVANQPVSVAVAGGDRHFQFYKGGIISGAAGCGTELDHAITAVGYGVASDGTKYWLMKNSWGTSWGEDGYVRLERDVKDKQGVCGLAKMPSYPTA >LPERR04G02840.1 pep chromosome:Lperr_V1.4:4:3492252:3494050:-1 gene:LPERR04G02840 transcript:LPERR04G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIHLDPATVAEALRRGEDPRNLEECTKQILTYAYESLPNPPIPITSAPRCPRSRSASAAAPDGVDRISLLPDTILANIVTRLPIKDAARTATLSRRWRPVWRATPLVLLDAHLLRRSFARSPPTRADTPGLVAAVSRILASHPGPFRCVHLLCGFMGSQMAQLEHWLRFLAAKGVEDLVLVNRPWPLDSPLPAAILRISTLTRLYIGLWKFPDTDRLPRNTAFPRLRELGIYSVVMEKEGSEIEWMVERSPVLETLNIMGANKQAVRIRLESKSLRCVQISLSFVRSFALVDAPCLERLVLYGSCRRGDDSCDRVKIGHAPNLQVLGFLETGNHMLEIHDSFVSAGIRSTPSALFTSVKILGLLVNFGVSHDAKMLPNFLKCFPNAEALHITCDKCTEATSLVISPNFWDAAGPIESIVSHVNVLTIREFKGEPNAISFLQYFVQNAQMLKNMAVVLANPSYTSYSIDTLGTARILRSVKWASKICSTQVYKSDDPEGGQVWSFKRGSDYGIRDPFVY >LPERR04G02850.1 pep chromosome:Lperr_V1.4:4:3518863:3520709:1 gene:LPERR04G02850 transcript:LPERR04G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYFSYNSYEFSLPAEVIAFPNLLESFRNGRSTPLVLQSVGASIISKLVSKGFSYIGFDATEKVKQLEIKVLQLELALGLEIAEVCPHMNRLDPLLNNLKSAFYEAEDILDVEYHRLERQIQPRRFRRNWRCKIQSTLPTCSCLKSQDGSNTSRVVRTNISQKELEKCLANIENIVDEAHKILPLLNLPSHSNADKRETFGANSRYAVTTSAPPTVVIGRDTDRDKIIDMLYQNTGDSLTYSVIGIHGIPGSGKTTLAQHVCAREKMEQHFHPITSLLSRAFARL >LPERR04G02860.1 pep chromosome:Lperr_V1.4:4:3522588:3524474:1 gene:LPERR04G02860 transcript:LPERR04G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLLLVLDDVWYKKGVSEHELQKVVSPLKVGYRGSKILATSRTENALLALGAVRCFRIADMDDDVFLRLFMHYALEGAVIDERDRTVFEEIGSEIAKKLSRSPLAVSTVGGQLRMRPCVEFWIEVCNLDILNETIGALSWSYRHFDEQVRRCFAYCSVFPRRYRLKRYDLINMWVAEGFIKSTDAGEDMEDVAGRYFDELVSTSFLQPAGQRTELVGTVDCFTVHDLLHDLAERVAGTDCFRMEDGWTGALPQNVRHLFVDTYNKMMIFEKISEMENLRTLIIPGDKWDVPIEETEYEIMFMRLRKFRVLNAQALTTYMILFPESICLLKHLRYLAFRTGYRSTQVLPRTFTKLYHLQILDFGRCRDVVFPLGEDMSNLISLRHVITVASLNFPNIGRLKTLPFLTVKKEQGYELHQLHHLNNLRGNLRIHGLENVHSKDEALEAKLVDKYCLTELTLACDEGSCNSSDDEGEVLEGFCPPRQLQKLEIRGYHSSRYPNWMVDKNMNGPKYLHELKLSKCSRLRPAPQLFEYFIHLCSFWLFYCNWDTLPDNIEHLTALKELTISECPNIHTLPVLPQSLLYLRLSHCSYEFTRSCVTIGHPNWQKIQHILEKEIDYNRVLTFDL >LPERR04G02870.1 pep chromosome:Lperr_V1.4:4:3552012:3552431:1 gene:LPERR04G02870 transcript:LPERR04G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVANALSLATSFLMKESSLLRQPLSLVNAFSNLVLPKRTVFDWPPPVIPKIDWASQPVTQWALIQLEFDSSPMFMTEEVLDVQPINMQAPASPIVSSVVPLLLRKAPVKKRDRKTVLYNPYRRHSARLQQSKENLDL >LPERR04G02880.1 pep chromosome:Lperr_V1.4:4:3579299:3608626:-1 gene:LPERR04G02880 transcript:LPERR04G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHVHGCCHIHTAPPAAPWPPPLVSFYPPDRFCHSRRLHRSAVRLRYAVRAQLTNATAAGRKEPATNHAFQNILVSLPMPGGGEYGKFYSLPSLNDPRIEKLPYSIRILLESVIRNCDNLQVTKNDVEKIIDWENTSQKMAEIAFKPTRCILMDNTGVPTVVDLAAMRDMMAHLGCDPYKINPLIPVDVVIDHAVRVDVARSHDALDKNMELEFSRNKERFGFLKWASSAFHNMQIFPPGSGTVHQVNLEYLARVVFNTDGIMYPDSVIGTDSHTTMINSLGVAGWGVGGIEAVVAMLGQLRDGVTATDLVLTMTEMLRNHGVVGKFVEFYGVGVGELSLPARATIANMAPEYGATMGFFPVDHVSMIEAYLRANNMFVESHEQLTERVYSSYLELELTDVEPSVSGPKRPHDRVPLNNMKADWHACLDNKVGFKGFAIPREYQDKVVKYDFQRQPAEIKHGSVVLAAICSSTNTSNPSVIVGAGLVAKKAYEFGLKVKPWVKTSLTPGSVVAREYLKHTHLQNYSNHLGFHLAAFGCATCVGNSGELDQSVSAAITENDIVSVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDSKEVYLRDIWPTTKEIEQVVKSSVLPHMFTETYESIMKCNQRWNELRIPEAALYPWDPSSTYIRKPPYLECIAMSPPGPRMIKDAYCLLNLGDSITTDHISYSGKIPVDSPAAKYLHVCGVDQKKFSSYGGRRGNNEMVVRGAFANMRIVNKLMNRKIGPKTIHVPSGEEFYVFDAAMKYKSEGHDMVIIAGAEYGSGSSCDSAAKGPMLLGVKAVICKSFERIHRSNLVGMGIIPLCFKDGEDADSLGLNGHERFTIHLPTSIGEIHPGQHVTITTDNRKSFTCTLRLDTELELAYFNHGGVLPYIIRSLAGAQK >LPERR04G02880.2 pep chromosome:Lperr_V1.4:4:3579299:3608626:-1 gene:LPERR04G02880 transcript:LPERR04G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHVHGCCHIHTAPPAAPWPPPLVSFYPPDRFCHSRRLHRSAVRLRYAVRAQLTNATAAGRKEPATNHAFQNILVSLPMPGGGEYGKFYSLPSLNDPRIEKLPYSIRILLESVIRNCDNLQVTKNDVEKIIDWENTSQKMAEIAFKPTRCILMDNTGVPTVVDLAAMRDMMAHLGCDPYKINPLIPVDVVIDHAVRVDVARSHDALDKNMELEFSRNKERFGFLKWASSAFHNMQIFPPGSGTVHQVNLEYLARVVFNTDGIMYPDSVIGTDSHTTMINSLGVAGWGVGGIEAVVAMLGQLRDGVTATDLVLTMTEMLRNHGVVGKFVEFYGVGVGELSLPARATIANMAPEYGATMGFFPVDHVSMIEAYLRANNMFVESHEQLTERVYSSYLELELTDVEPSVSGPKRPHDRVPLNNMKADWHACLDNKVGFKGFAIPREYQDKVVKYDFQRQPAEIKHGSVVLAAICSSTNTSNPSLVLASLQKKPMNLALSHLQNYSNHLGFHLAAFGCATCVGNSGELDQSVSAAITENDIVSVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDSKEVYLRDIWPTTKEIEQVVKSSVLPHMFTETYESIMKCNQRWNELRIPEAALYPWDPSSTYIRKPPYLECIAMSPPGPRMIKDAYCLLNLGDSITTDHISYSGKIPVDSPAAKYLHVCGVDQKKFSSYGGRRGNNEMVVRGAFANMRIVNKLMNRKIGPKTIHVPSGEEFYVFDAAMKYKSEGHDMVIIAGAEYGSGSSCDSAAKGPMLLGVKAVICKSFERIHRSNLVGMGIIPLCFKDGEDADSLGLNGHERFTIHLPTSIGEIHPGQHVTITTDNRKSFTCTLRLDTELELAYFNHGGVLPYIIRSLAGAQK >LPERR04G02880.3 pep chromosome:Lperr_V1.4:4:3579299:3608626:-1 gene:LPERR04G02880 transcript:LPERR04G02880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHVHGCCHIHTAPPAAPWPPPLVSFYPPDRFCHSRRLHRSAVRLRYAVRAQLTNATAAGRKEPATNHAFQNILVSLPMPGGGEYGKFYSLPSLNDPRIEKLPYSIRILLESVIRNCDNLQVTKNDVEKIIDWENTSQKMAEIAFKPTRCILMDNTGVPTVVDLAAMRDMMAHLGCDPYKINPLIPVDVVIDHAVRVDVARSHDALDKNMELEFSRNKERFGFLKWASSAFHNMQIFPPGSGTVHQVNLEYLARVVFNTDGIMYPDSVIGTDSHTTMINSLGVAGWGVGGIEAVVAMLGQLRDGVTATDLVLTMTEMLRNHGVVGKFVEFYGVGVGELSLPARATIANMAPEYGATMGFFPVDHVSMIEAYLRANNMFVESHEQLTERVYSSYLELELTDVEPSVSGPKRPHDRVPLNNMKADWHACLDNKVGFKGFAIPREYQDKVVKYDFQRQPAEIKHGSVVLAAICSSTNTSNPSLVLASLQKKPMNLALSHLQNYSNHLGFHLAAFGCATCVGNSGELDQSVSAAITENDIVSVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVVKSSVLPHMFTETYESIMKCNQRWNELRIPEAALYPWDPSSTYIRKPPYLECIAMSPPGPRMIKDAYCLLNLGDSITTDHISYSGKIPVDSPAAKYLHVCGVDQKKFSSYGGRRGNNEMVVRGAFANMRIVNKLMNRKIGPKTIHVPSGEEFYVFDAAMKYKSEGHDMVIIAGAEYGSGSSCDSAAKGPMLLGVKAVICKSFERIHRSNLVGMGIIPLCFKDGEDADSLGLNGHERFTIHLPTSIGEIHPGQHVTITTDNRKSFTCTLRLDTELELAYFNHGGVLPYIIRSLAGAQK >LPERR04G02890.1 pep chromosome:Lperr_V1.4:4:3625367:3626338:1 gene:LPERR04G02890 transcript:LPERR04G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVCFISPAWLSPRLGSARKQKRRETDLAVAAATFPCKLRLLFPFPAAAVAVAAIPYPIWPSCGLASLACYCRRGLRLHLATGATSDDDGEAAGNHRKWIQGWKVGSCG >LPERR04G02900.1 pep chromosome:Lperr_V1.4:4:3636608:3636913:1 gene:LPERR04G02900 transcript:LPERR04G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWQSLGNMMLKSRCSRTVEDERSCQVCQTRGRLGTKAHNGVANTVDQQRRPSRRSRHENGVDGEMPEQHAIAGEGQSVVEEEPT >LPERR04G02910.1 pep chromosome:Lperr_V1.4:4:3636924:3637193:-1 gene:LPERR04G02910 transcript:LPERR04G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCVTPLGHNVSFFIVFTAVCASTSSSSALVVISRQVLRRLLQAPPPCLPQAATVGRSRLVVQLPLHRLPTLPSPRWSCYITFVFDC >LPERR04G02920.1 pep chromosome:Lperr_V1.4:4:3640277:3642095:-1 gene:LPERR04G02920 transcript:LPERR04G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVLPVPMDAATMAEFRQRGQIPEEVEKDIGTVLSYIHYALPDAPVSGEARLTAVPPDDDVDRVSLLPDALLHRIVSLLPVKDAARTAALSTRWREVWVSTPLVFVDADLLPAGQSNSSSGADVAREEARAVTFAVSRVLAAHPGPFRRLHLTSSLMDQYQGLLASWLQILAMKGIQELILVNRPWPADLSLPATFFGMATLTRLYLGLWKFPSTADLPRGVCFPNLRELGFSLIGITEEDIEFVLARSPVLETLCLQANTLERYRVASRSLRCVVMIGCPQDVDLVNTPRLERLILLQSCGSSMRVRIARAPSLRAVGYLDLGQHVLEIGNTVIKAGTRASPSTMIPSVKILALQVHFGVRNEAKMLPSFLRCFPNVETLHLQSRNTKDLTGKLNLKFWYDAGAIECIQSRITVMIFRGFQAARGEINFLKFCLENARMLKKLIIVSTKGRFASIDEANSAVKPLFTTKWACQCCSLVVLESACSEGSMNWNFERGSDFSLVDPFAIIMQASWIDISASS >LPERR04G02930.1 pep chromosome:Lperr_V1.4:4:3666222:3670297:1 gene:LPERR04G02930 transcript:LPERR04G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLRPTSPQITLHSRNPLALARSPFRRNSLAPPRVSPPCRRHGRSSCSCQGSHCCSACVRRGSTYERVIAMRAQEKGKFSIEQEETISIAKRKLIAKTKKKMTPLNIDKVASSCSSSPVRKNGDGGGVAGGKDGNDDACGVCAGGGQLICCDTCPSTFYPDCLSIHQI >LPERR04G02940.1 pep chromosome:Lperr_V1.4:4:3671468:3671779:1 gene:LPERR04G02940 transcript:LPERR04G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVVAMAIVVVLLLLMALAPPTEMALAAAVTGEARLRPPALVPFRARFHGRRQPSFRGRAENGCMPRGHRVPPSAPSRYANYHTLDAGLCDSDHGGGSRKP >LPERR04G02950.1 pep chromosome:Lperr_V1.4:4:3674205:3682225:-1 gene:LPERR04G02950 transcript:LPERR04G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLPWWLSTTACSPPPSSSFPDLLAFLFLSPCPQRALLAALDLAFLLAALFLAVRARLSRSSRRGITNGNGSHAAAAEEEPLLAKPPPPPTPGRSGRLRHGIALAVSVALAAASLVLLVTAVLLLPRTTAWLAAECAFLAAQFAAHFAAAGVVAAEKASAARAHPVHLRLFWAGTAALAALFSASAAARFAAGEPILPDDFIAFPGFVVSIPLLYISITGSTGLGEETAILPIGGEERHATATTYATASWLSLATFSWINPLISKGSRAALAAEDVPPVAPDDTAEAAYALFVSNWPAPPSPETKVRRPVVTTLLRSFWPQFLLTAVLGVARLSVMYIGPSLVDRFVNFVRRGGELTQGLQLVVILLAGKSAEALASHHYEFQGQKLGMRIRAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEITVALTLLYTHLGPAVLTAVAAIAVVTVVVAFANRRNLEYQFKFLGKRDERMKAITELLNYIRVIKLQGWEETFGDRIRELREAELGWLAKSMYFMCANTVVLWSGPVAMAVLVLGTCVLTGVTLDAGKVFTATAFFRMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDAELDDSTVERVDDAGIPMGGVVVEVCDGFFAWDMRGKKESEEGEDGDGDEDDDEKDVDDTPVLETVLKGINLEVKRGELAAVVGMVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVSQTAWIQNGTIQENILFGQQMDAERYKEVVRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSNIFKECLRGMLKGKIILLVTHQVDFLHNVDNIFVMRDGMIVQSGKYNELLDANSDFLALVAAHDSSMELVDQSRQVVKSEHSHPKAVARISSLRSRSIGKGEKVLVTPDIEAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGVVGMLGFALVWQVSDMASDYWLSYETSGSVPFNPSLFIGVYVAIAAASIILQVIKTLLETVLGLRTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDDVLAFFVGLTISMYISVLSTIIVTCQNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFHENLDRINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAAWKTEDHLPSPNWPTHGDIDIDDLKVPTEYTFNFERHNQPVQGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRNNIDPIGQYTDAEIWQALEACQLKDAVSSKPQKLDAPVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRLPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFDAMVQEYANRSSNL >LPERR04G02960.1 pep chromosome:Lperr_V1.4:4:3682567:3683092:-1 gene:LPERR04G02960 transcript:LPERR04G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGVDGEDRCGVDGKDRCRSGAPSGDGVEAGDRRRGKTGGGGSWGWRLTSWRRPAAGIEGDGRRGEAGGGGGGSRRRRLGGYSFTYE >LPERR04G02970.1 pep chromosome:Lperr_V1.4:4:3689232:3698888:-1 gene:LPERR04G02970 transcript:LPERR04G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPRWMAAAATTTTACSPQSSSCPDLLSFLFLSPCPQRILLAALDLAFLAASLLVLLLSQRRRQSLLVGGGAGDGPEREALLSKPPPSGRPSRAAAVRYALAVGASGVFAAASAVLLVLAVFLLPNTAWRVGESAFLAAHLAAHAVAAWTVVASGRGGDGAALPLRLRVFWVVTALVAALVSASAVFRWSDGSLLLPDDPLAFAGLALSLPLVYVAVTGDAADSRGGEPTHDDANAAAPARTPYDAASWLSRATFSWINPLISKGYATDSLAADDVPPVSSAHRAEESYALYMSNWPERPSRHPVGVALWLSFWPRVLLTAALGLVRLAAMYVGPSLINQFVNFVTHGGTAWEGLRLVLILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGDKVRELRKTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGAYLAAGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVEHIDCSSVDAAAVKVQNGMFAWDVLVDGTVDDGRQDHGAENGREERPQMEMVLKGIEVDVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVTQTAWIQNGTIQDNILFGQPMHPERYREVIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVLVMKDGAIVQSGSYSQLLISSSDFSALVSAHHSSMEVPGAAEQASHDQTSGYSQATTVSAKTPVKSKSSKENVGTSVAPSKEAGSSKLIQDEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSIFLGVYVSIVAASIVCDAISTLFVTFLGFKSAQVFFNKMFNSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFAMSMCISVVSSIAVTCQVAWPSVIAVLPLVLLNIWYRNRYITTSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYAANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKENDMVAVERVNQYSTLPSEASWEVADCLPSPNWPRKGDINIKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPAEGHIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPVGRYSEDEIWKFFVSIKPNKFIPLKALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRVILKHSRILFMDEATASVDSQTDATIQRIIREEFTDCTIISIAHRIPTVMDSDRVLVLDAGLVKEFDAPSKLMGRPSVFGAMVQEYANRSYNTEASD >LPERR04G02980.1 pep chromosome:Lperr_V1.4:4:3710055:3710429:-1 gene:LPERR04G02980 transcript:LPERR04G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSAFGTVMVFNDPMTEEKSLPPSLEETAVRAQGLYMYDTKKLPGNAWFAFSVVFNSTVHGHCTHNIIGADIAYQKAKGLPVVGGTGDFFMARGIATVQDDVAEGITYFRLLMDTKLYECYV >LPERR04G02990.1 pep chromosome:Lperr_V1.4:4:3748826:3749959:1 gene:LPERR04G02990 transcript:LPERR04G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWNKDLAKVVDNFGDSPLHFAASVEHSRKEVVLGSSFWFRLRGSMYGMTKLLLQANKSSACQPDRNGSFPIHVAASMGRLEDCAGLLDVHGRIFVHVAVEKRRHCIVSFACKSHAWLASVLNMKDRDMNTALHLANAQKWIRRLLAATSVEGTSYRRDWFHEIYTPKVPRMEEINKMTDSTQFLGISSVLIVTITFAAAFAPPGGYIADDRPNRGAQTLMGCRHR >LPERR04G03000.1 pep chromosome:Lperr_V1.4:4:3787090:3788984:1 gene:LPERR04G03000 transcript:LPERR04G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIYSSLATFGLMYLGMSAVDFSIRLRYFTISLILIRSPVRSLGVAFALGIYVALAPICCGHKNQT >LPERR04G03010.1 pep chromosome:Lperr_V1.4:4:3789918:3810384:1 gene:LPERR04G03010 transcript:LPERR04G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTVSAASSSLSSTDSPTSAPPGGVPQSITALLNNPLPSATSFSSSSYWLTWPPPTPLPDASPPPPSHPCDVTRADFAPYLAAVADPFARFADIRLHASAELAASDDAADAPAASSGLAACLREVPALFFKEDFALEDGATFESACPLGDDTLQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEVVVAVGRIRELREVVRVLNGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQSNVKRKASVPLNGIEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARPIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIIEWIMGNLEGSLSSDTSNPVPQQRGSGVSDFSQENDYGVTSRVSNTLTWGNSKFPFSQGKINDMSSTNSIKNVRADVLRENAEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFVAASEKIGGRLGYNIRGIVQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVLLLSSTYSPANGMEMSITDDNSKLSEHRLQLKASPEQVVSAENNTDNGNVVHENKAEYTSQTEKNVAGHVRSAQQTIVHGGVGYHMMLSEYVEISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQLIIFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDNDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRWLSASLRLELADGEASHAASQRALQHPFNNVNFIQFNPSWQVVQIFHSHITEAFSKLEVSTPQAKNRLCRDVQHILVCIRKLPAENFSAETIPNYGLLDDFLADKFGTKVDE >LPERR04G03010.2 pep chromosome:Lperr_V1.4:4:3789918:3805651:1 gene:LPERR04G03010 transcript:LPERR04G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTVSAASSSLSSTDSPTSAPPGGVPQSITALLNNPLPSATSFSSSSYWLTWPPPTPLPDASPPPPSHPCDVTRADFAPYLAAVADPFARFADIRLHASAELAASDDAADAPAASSGLAACLREVPALFFKEDFALEDGATFESACPLGDDTLQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEVVVAVGRIRELREVVRVLNGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQSNVKRKASVPLNGIEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARPIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIIEWIMGNLEGSLSSDTSNPVPQQRGSGVSDFSQENDYGVTSRVSNTLTWGNSKFPFSQGKINDMSSTNSIKNVRADVLRENAEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFVAASEKIGGRLGYNIRGIVQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVLLLSSTYSPANGMEMSITDDNSKLSEHRLQLKASPEQVVSAENNTDNGNVVHENKAEYTSQTEKNVAGHVRSAQQTIVHGGVGYHMMLSEYVEISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQLIIFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDNDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRWLSASLRLELADGEASHAASQRALQHPFNNVNFIQFNPSWQVVQIFHSHITEAFSKLEVSTPQAKNRLCRDVQHILVCIRKLPAENFSAETIPNYGLLDDFLADKFGTKVDE >LPERR04G03030.1 pep chromosome:Lperr_V1.4:4:3821423:3826810:-1 gene:LPERR04G03030 transcript:LPERR04G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSASTRKRKAPITCEEDRLSWVPIKYDVNADVEDEYRVFLENVRVCKNGDFVLKYDGEEIWYGGEASVKKDKNKRTRKRRRRRKVVEVMVISSDDSANESNPIEGGATQQKAKKQKDKKERRRRRRKRREMVEVVVISSDESADVSNLVLGRAFLQKEAKELEENQAEMEEKDKTKEVDENQEEMEEKYEEKEVDDIEEDSTGVIWPAHINDREESEFKQGLIDALSKPFSKEEYDNLYRMATICKPVMMEFRTRSGSKFYYSKDRVGKSYLKQYPDLQDQVKETSHPNHVALLRGVFYWLENVAQQGRFSPWTNEHRRYKVIYS >LPERR04G03040.1 pep chromosome:Lperr_V1.4:4:3842462:3842650:1 gene:LPERR04G03040 transcript:LPERR04G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDFLAGMEEGAQTRVEDAVESIMVGFEGTELAFQLAYNDTRPKDGTDDEDDDSGDVPAA >LPERR04G03060.1 pep chromosome:Lperr_V1.4:4:3884804:3886380:-1 gene:LPERR04G03060 transcript:LPERR04G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRTDVDGNGDLRCLLASNASFFFLSPALGSFTAVLVLLWPGREGSRISLIVPAVPRIGEDEPPPSWDFVLTLKAPRSAR >LPERR04G03080.1 pep chromosome:Lperr_V1.4:4:3919102:3919787:-1 gene:LPERR04G03080 transcript:LPERR04G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQNHHAATAPSSIDSEKPNKKRRRARIGARLRGGASASSRAGVGCKRWREDLDKGRPIGYDASAEIEDEYRLFLENMRVYRNGNFVVEYDGKVIRYGEGEVGADGGNREDPDESSDDSIMSFLEPDPLDDTAPWRKTKKVTDIMKEMDKENEAVVPIKGKGTCKGGKNRIGK >LPERR04G03090.1 pep chromosome:Lperr_V1.4:4:3952130:3953426:-1 gene:LPERR04G03090 transcript:LPERR04G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAPLMKKTAELKQSGLTGIWVARHFLKYRLNPLKDRVHPTFDYTGHHDPTRESEVDLEEEEVGNKLQALFADGVDIPTKKNKPRCRSFHIYRPSPRLDSRPSSVANIARPDQAPTPEGKAVDFFNELYNDDEEATEATTAATTIHADSPQGAKRNLIIASDSDNEAADHKPNTSFTGKDDDAPPQPSSTSVAEKSMAVPTGSQSELVKGDAPSTTLPLSPQATAMEICPVVAQVATSSAIVPTVNNTPSTTASIAPAAISQSTLSSALALTTTVDVPSADKGKQVQVSPLAIESSAGSDSEKTVSDSNFL >LPERR04G03100.1 pep chromosome:Lperr_V1.4:4:3956397:3958246:1 gene:LPERR04G03100 transcript:LPERR04G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYINWPKIEAYNNPMFTAVADLCKERELYDIMALQSDWCDEIIAQFWTILYFYSTEDGTPSIKRRSNGDDYQATIDTFARVLNLDPKADLLKHHLHVHGLLPHYNILNKLFRYSIGTKGGDSSTIQGFSWDLLYYCRSRKPKISIMYYIWDEMQLTANDAQRAPVYAPYIQLLIDEVVPHAFIKDRVHSPYAPRNQTFRSSSLAPSGSCAPSSTHAPTSRLSSSSSSSSPIRRFLKAIFSMCTVNATSIHENAVKIRKIENRQKAFLRSQHVDVSNDDTSEIPPPCFQDPFGDDGAGSSAAGSSAARGSRSHFFGFDPSTAFHENSGVTDAAYQGEESSEEFDDAEESDDDRKSSY >LPERR04G03110.1 pep chromosome:Lperr_V1.4:4:3985854:3987954:-1 gene:LPERR04G03110 transcript:LPERR04G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICNMFANIGSRWSIIASHLPGRTDNDIKNYWNTKLKKKLLGPAAGAGAAPPRSLQRQLHNHRSLLLPNSPLPNHSGSYGGGFFSGVGSFQHQEQTMPTLTLPTNTTHDYMLGSQQSGLQMASASALLNHLQGVAHHHQLQVKEENSSNMIVFGSDQQSCSSSDGRELIFDGSAYSYGGGYNSSCIDQVVDNKLFQYQQDHQAVQDQQIVYNYDEIKQLLMNTTTNAAGQDHGGGGGSMEGLIGSSQGKVTMM >LPERR04G03120.1 pep chromosome:Lperr_V1.4:4:3997494:4002033:-1 gene:LPERR04G03120 transcript:LPERR04G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGLAAALVLLLLLHKARRWRNPRYDGGRLPPGSMGFPVLGETFQFFSPDASFDIPAFIRHRLARHGPIFKTSLVGHPVVVSADEELNHMVFQTEGQQFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLKEHAGIIRDVELAVSTSLCTWSTLPAVDLKEAVSTMVFDLAANKLLGLKPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQILEERKQSTKLQQAGGGKGEHRGDFLDCLVQEITKEKPVMTERMALDLMFVLLFASFHTTSLALTLAVKLLADHPLILDELTVEHETILNGREAGSEKDGITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIQFKGYTIPAGWGVMVCPIAVHLNPDIYPYPLTFNPSRFKDKPDISRGSKHFMAFGGGLRFCVGADFSRLQLTIFLHFLVTKYRWISVGANRVVRSPGLEFPDGYRIQIVQRD >LPERR04G03130.1 pep chromosome:Lperr_V1.4:4:4006275:4012418:1 gene:LPERR04G03130 transcript:LPERR04G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEGSRSHGADGSDPSTDSRRSFKRNEISDDFTRSLKKNKRIDFTSGPENKTTCNVGGDMTESLSSGQKVLFACSGIAVERERHVTKFMTSTNLLRVFNEAKMYHDDLKVEVRHEGKVAIGYLGEYILEHGIATVNVVAFPDMDIILPNHRLNIVPHSKVVSVGRGISGELMTTCGILIANPRESRYCPSCVMVSTCKISEALEGGLLCDSDGNFLGINLFSTMEGTLFLPASILIDQLACFQPLRKIKFPVPLENVKGIRVGKRLKVRGDFLEKNKCLDLDSMGYPKPPASMSNGLVKVSGMNLLKQSLPTYKKILLRLLHSMIVVLLPNKQCREGTLQHYNLHYNIALVSVKDFNSRHPANIQHRLHIKCFKVIAVGCSFETGTLMDARGQHSGWPVTADYRFLRRSTCEITKVGIGGPLVGFDGKFLGMNFYNKKPETPYVPYHAIVKVLEHFKTKGTVAEFGHVDKPSEKLDWTIPGDRSLRPNRWPVPMPRWCRPEDLD >LPERR04G03130.2 pep chromosome:Lperr_V1.4:4:4006275:4012418:1 gene:LPERR04G03130 transcript:LPERR04G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEGSRSHGADGSDPSTDSRRSFKRNEISDDFTRSLKKNKRIDFTSGPENKTTCNVGGDMTESLSSGQKVLFACSGIAVERERHVTKFMTSTNLLRVFNEAKMYHDDLKVEVRHEGKVAIGYLGEYILEHGIATVNVVAFPDMDIILPNHRLNIVPHSKVVSVGRGISGELMTTCGILIANPRESRYCPSCVMVSTCKISEALEGGLLCDSDGNFLGINLFSTMEGTLFLPASILIDQLACFQPLRKIKFPVPLENVKGIRVGKRLKVRGDFLEKNKCLDLDSMGYPKPPASMSNASLVRNPGEGNKFDESLRVGAPNCSMIPSLLRYCLRLTVHHLQIVVLLPNKQCREGTLQHYNLHYNIALVSVKDFNSRHPANIQHRLHIKCFKVIAVGCSFETGTLMDARGQHSGWPVTADYRFLRRSTCEITKVGIGGPLVGFDGKFLGMNFYNKKPETPYVPYHAIVKVLEHFKTKGTVAEFGHVDKPSEKLDWTIPGDRSLRPNRWPVPMPRWCRPEDLD >LPERR04G03140.1 pep chromosome:Lperr_V1.4:4:4015347:4024179:1 gene:LPERR04G03140 transcript:LPERR04G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRAAAAIAASSAAAFSTSKRTYARRTKPAPATAAADARAAIAGSTAADPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSNNRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDETGERIIGARIRDMLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTTITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPTNGCVTDHLHILGGYDWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVAAIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCECAVDFIARRCRLAFLDTDAAGRALPRIIEILALEHKWDKARQKFELQKGKEFLETCKSSKNAQFRDGKHNGQ >LPERR04G03140.2 pep chromosome:Lperr_V1.4:4:4015347:4024179:1 gene:LPERR04G03140 transcript:LPERR04G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRAAAAIAASSAAAFSTSKRTYARRTKPAPATAAADARAAIAGSTAADPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSNNRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDETGERIIGARIRDMLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTTITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPTNGCVTDHLHILGGYDWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVAAIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCECAVDFIARRCRLAFLDTDAAGRALPRIIEILALEHKWDKARQKFELQKGKEFLETCKSSKNAQFRDGKHNGNNNFLRFSLHFGLHL >LPERR04G03160.1 pep chromosome:Lperr_V1.4:4:4058404:4068267:1 gene:LPERR04G03160 transcript:LPERR04G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSSSPPAPALFRNKYWILRHGRSIPNERGIIVSSLENGTKPEFGLTPQGVEQARLAGESLRKELEELGVPLDSVKIRYSPFSRTMETAREVAGVLGVPFDTPSCIPAMELRERYFGPSYELLSHEKYAEVWAVDEVDPLMAPDGGESVVDVATRFAQVLSSAEMEFLGSAILIVSHGDPLQIFQAVLKETKENSSFLDEVSDLKKRGMIASSVLSQHRKFALLTAELRRLV >LPERR04G03160.2 pep chromosome:Lperr_V1.4:4:4059538:4068267:1 gene:LPERR04G03160 transcript:LPERR04G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRWTKRVEGGRRSGGLFRQMEDSGSSSPPAPALFRNKYWILRHGRSIPNERGIIVSSLENGTKPEFGLTPQGVEQARLAGESLRKELEELGVPLDSVKIRYSPFSRTMETAREVAGVLGVPFDTPSCIPAMELRERYFGPSYELLSHEKYAEVWAVDEVDPLMAPDGGESVVDVATRFAQVLSSAEMEFLGSAILIVSHGDPLQIFQAVLKETKENSSFLDEVSDLKKRGMIASSVLSQHRKFALLTAELRRLV >LPERR04G03160.3 pep chromosome:Lperr_V1.4:4:4059578:4068267:1 gene:LPERR04G03160 transcript:LPERR04G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSSSPPAPALFRNKYWILRHGRSIPNERGIIVSSLENGTKPEFGLTPQGVEQARLAGESLRKELEELGVPLDSVKIRYSPFSRTMETAREVAGVLGVPFDTPSCIPAMELRERYFGPSYELLSHEKYAEVWAVDEVDPLMAPDGGESVVDVATRFAQVLSSAEMEFLGSAILIVSHGDPLQIFQAVLKETKENSSFLDEVSDLKKRGMIASSVLSQHRKFALLTAELRRLV >LPERR04G03160.4 pep chromosome:Lperr_V1.4:4:4059538:4068267:1 gene:LPERR04G03160 transcript:LPERR04G03160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRWTKRVEGGRRSGGLFRQMEDSGSSSPPAPALFRNKYWILRHGRSIPNERGIIVSSLENGTKPEFGLTPQGVEQARLAGESLRKELEELGVPLDSVKIRYSPFSRTMETAREVAGVLGVPFDTPSCIPWNFGNVILGHHMNCFHMRSSAILIVSHGDPLQIFQAVLKETKENSSFLDEVSDLKKRGMIASSVLSQHRKFALLTAELRRLV >LPERR04G03160.5 pep chromosome:Lperr_V1.4:4:4059578:4068267:1 gene:LPERR04G03160 transcript:LPERR04G03160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSSSPPAPALFRNKYWILRHGRSIPNERGIIVSSLENGTKPEFGLTPQGVEQARLAGESLRKELEELGVPLDSVKIRYSPFSRTMETAREVAGVLGVPFDTPSCIPWNFGNVILGHHMNCFHMRSSAILIVSHGDPLQIFQAVLKETKENSSFLDEVSDLKKRGMIASSVLSQHRKFALLTAELRRLV >LPERR04G03170.1 pep chromosome:Lperr_V1.4:4:4082395:4087555:1 gene:LPERR04G03170 transcript:LPERR04G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKHLLERGCRPVVFESEADIGGVWARTPECTSLQTPRPMYQYSDFPWPESVTEVYPDHHQVVDYLGAYARRFGVLDCVRFRHRVVGMDYVGVGEEAVAAWDRWAGNGEAFGSGDGEWRLEVDDGDGHVETHKADFVILCIGRFSGVPNIPTFPSGKGPEAFDGQVIHSMDYSKMGTENAKEMIKGKRVTLVGYLKSALDIAAECADVNGTEYPCTMIVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGFLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALVSCVIAITPKDHYNRLEEGSIVLKKSKTFSFCKEGVLVEGESSPIKSDIVIFGTGFRGDQKIKDMFTSEYFQSIAVGSASTTVPLYRECIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPTISAMQNDVLEWEKFMKRYSRGYFRRSSIGILHIWYNDQLCRDMGCNPRRKKSFWADLFEVYGPGDYVNLHPKKE >LPERR04G03180.1 pep chromosome:Lperr_V1.4:4:4101140:4102897:-1 gene:LPERR04G03180 transcript:LPERR04G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSVIVDIITWVVYFVWTKWTIEIVVVSSFVLQLVLFFLSGDRRRRDTGFVRGLIWYSYQLADYLATYGLGHLSVSTPSVPWERQQLVVSRRSSSSERKKKKAVAADGLSWPIILLAGVIHTWHGYCIRVVSPLATAVALLLLYFHLSTTSDGGHGSAVLVDIAITYTLLVGAILLDMVSLVSAAGSTWAYAYLVLVSKATPRHVWLYHEAVCNRRWHRLHRVLEYIRRRFNADDGRSWSGEIGKHTMLVQKHEKKGWCQELAKKMGLQEAPSTVSIPPKVMELEQILVWHIATDVFLRRLRSTRERSRSREDEEDNSAITQLRLEAIELLSNYLMFILVERPHMLPGLALRRQYKETSKHLERIRSTSGDDDDDDSLANMLYTSTSDMTNDTALRGSALDRAAGLARTLFDYVRQPAVKVEFLFDMWVELLLHVGHQCSRESHAKQLSNGGELTTLVWLLAELAGKFYIDKEIEIERVRHRRQRGLPEW >LPERR04G03200.1 pep chromosome:Lperr_V1.4:4:4126645:4128700:-1 gene:LPERR04G03200 transcript:LPERR04G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTITYAVVDAFTDQPFKGNTAAICLLEDIWEDEQWMQSVATEFNTSITVFLVRAGADDGSAGKSDADAVNSRFHIRWFTPVRESELCGHGTLAAAHYLISSGHLKCDAIDFLAKSGVLTAKKIVDSKQFSTSIEKCTYLEMPSVPETLNGASINNVLKTISDSAADLIVELNSSEEVVNVHPNIAELVQCAGRGVAVTGTAPAGCSYDFFTRFFCPKYGLNEDPVCASVHCALAPYWGKKLGKQLMTAFMASSRSGILYL >LPERR04G03210.1 pep chromosome:Lperr_V1.4:4:4131640:4134312:1 gene:LPERR04G03210 transcript:LPERR04G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPVVDAEYMAEVERARRDLRALIASKSCAPIMLRLAWHDAGTYDKDTKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSDCPEEGRLPDAKQGAAHLREVFYRMGLSDKDIVALSGGHTLGKARRERSGFDGAWTKDPLKFDNSYFIELLKEDSEGLLKLPTDKALVEDPTFRRYAELYAKDEDAFFRDYAESHKKLSELGFTPPRSAFMYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKKLG >LPERR04G03220.1 pep chromosome:Lperr_V1.4:4:4136921:4152249:1 gene:LPERR04G03220 transcript:LPERR04G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLNILRSLRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLTTEPLQTECPKILYLVRSQLNFMKLIANQIKSDEPKGFQREFFLYFVPRRTVACEKILEEEKVHQKLTVGEYPLYLVPLDEDVISFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASAKAAELLNSMQQEDPVNMDDMGAPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGGQQDGKVDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKATNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLIVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGNSAINASLEVHQGLDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKEVMI >LPERR04G03220.2 pep chromosome:Lperr_V1.4:4:4137207:4152249:1 gene:LPERR04G03220 transcript:LPERR04G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLNILRSLRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLTTEPLQTECPKILYLVRSQLNFMKLIANQIKSDEPKGFQREFFLYFVPRRTVACEKILEEEKVHQKLTVGEYPLYLVPLDEDVISFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASAKAAELLNSMQQEDPVNMDDMGAPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGGQQDGKVDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKATNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLIVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGNSAINASLEVHQGLDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKEVMI >LPERR04G03230.1 pep chromosome:Lperr_V1.4:4:4158053:4161439:1 gene:LPERR04G03230 transcript:LPERR04G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVRMLLLLSLWFSASGTSVALVSNPGGVTGNGSDSDVAALLAFKSQLADPFGILSNWTTATSFCDWSGVSCSRRRPRVTSLVLYDVPLKGNISPHLGNLSFLSVLDLPNIGLTGTIPADLGRLRRLQFLVLARNSLSGVIPPTVFDLTQLKLFALGKNSLSVQIPRELQNLQNLRYIDLRVNYLTGPVPDYLFNNTAWIKHLYLANNSLSGAIPVAIGSLPLLKHLDMGYNNFSGPVPESIFNMSKFEIVSLESNWYLNGSIPGKIPQELAQLKKISGLYLDHNQFTGSIPTFVANFSQLTLFLIHANRFTGSVPTAIGSSGTIECFNIGANYLQGSLDFLATLSNCRKIWEVGFDFNYFTGTLPDYVGNFSSSLINFSEVGNKLSGLLPVTLSNLSNLVWLDLSENKLSGTIPGSIMLMDKLTILNLSGNSMFGSIPRQIGQLRNLHTLSLNDNNFSEALPKDLGNLTNLAYLVLSNNQISSAIPASLFHMDNLIILDLSQNSLEGAIPEDIGQLNHIDKIDLSANSLFGRIPDSLGQVQMTAYLNVSHNSLNGSFPSSFDKLINLISLDVSYNDLSGTIPQYLANFAYLSSLNLSFNNLHGPIPEGGIFSNITLKSLTGNPAFCGGVSRLGFMPCQSNNNNNKRHILKFLLPTVIIVVVVITTCLYMMMRKKSKQEDRILSPNRAEVLNNRLISYHDIVRATDNFSESNLLGAGSFGKVFKGQLSNGTMVAIKVLNMQLEQAARSFDSECHALRMARHRNLIKIDTTCSNMDFKALIFPYMPNGSLEMQQYSHDGEQLGCLQRLDIMLDVSMAMEYLHHHHSEVVLHCDLKPSNVLFDQDMVAYVADFAIAKLLCGNDNSVISASMPGTIGYMAPEYGSVGKASRKSDVFSYGIILLELFTGKRPTDPMFVGELSLRKWISRAFPSNVMDVVDNRLLLQDSSCSLHNFLVAVFELGLLCSHELPDQRMTMSDVVVRLTKMKKDYMACMARMQSP >LPERR04G03240.1 pep chromosome:Lperr_V1.4:4:4160115:4162545:-1 gene:LPERR04G03240 transcript:LPERR04G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKMPPSGIGPWRLLNDRFKLER >LPERR04G03250.1 pep chromosome:Lperr_V1.4:4:4169811:4174095:1 gene:LPERR04G03250 transcript:LPERR04G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRRARYAAAAAVAGGQIEPDWKYFLDNVREEHGSYGIHAPSDGANPSSYLHYENSRVRWGGASTSSRGRGAGSKRHRRRMMEEEPAMYYDLDPNMDEDYRVFFKHLRLLPKGGFVLEYKGKVIAYDAAGPAFSSDESVSAMGGPESNPPDRRARRQKAKNVEEEDHEEEEDVKNVVALPTRAKDDNMVKVDKRKKGKDVVAVPAWEGNGVVTAEEGRKKKNLKKEVAFPSKGKDGPIGELMVIKLEEEDEQLPIMPAVDELGTTSRPTNLINGYETNARIASGSHGVIWPIHINDRKESDFKQRLIDVLDKPFSQGEYEKLYGMATIRKQLTKERRTRLGVKYYYSNHEKGKSYLDSFPDGTAMMGMLKDLAKQVEKASHPNRLALLRGLFFWLEVYNLSIPVAVCVTTH >LPERR04G03260.1 pep chromosome:Lperr_V1.4:4:4208046:4210586:1 gene:LPERR04G03260 transcript:LPERR04G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAIMNLSSSSSFSLHRGISLLVHLIILLSCHVVKQSAAKSVNNSEMDRQSLLSFKAGISSDPLGVLRSWSTDSFDFCNWTGVRCGMTPPLRVTSLHLNSYQLNGSLPPSLGNLTSLTRLDLSNNQLFGSIPKELGNLQYLQSLILASNTLDGNIPGLLGTRSRSLRVVNLANNKIGGGIPHSIVTSSSLTVLNLTNNLFSGTIPASLFNESSHLAVIDLQRNSFSGPIPNFYKMSALQILDLAYNNLSGSIPPSLGNVSSINRISLAMNNLEGSIPETLSNIKNLTILSLGFNRLTGYVPAMLYNISSLISIRLSENNLIGSIPSSIGYSLPNLERLYMSNNSIVGFIPASLANASNLQRIFLDNNKLVGSVPSLGSLSNLYGLDLGYIYLESQDWTFLKSLTNCTQLRIVVMGRNALNGTLPRSVGNLSVSLEFLHFEKNQLTGPIPAEIGNLVGLISLQLGQNQFVGTIPVELSNLSNIQRLDLGHNLISSAIPSAIGNLSQMIILDLSGNKLSGQIPSAVGFFSDLFVLYLGNNNLSGNIPVNLRNCTQLIKLNLSSNSLNGPIPIQVLNSTIFLMSLDLSNNLLTGSIPTHIDELINLVTLNISFHKLSGEIPKCIVNLLLLNQLDLSNNYFEGPIPTGGNFQNSSAVTLDGNTRLCSNSSDSIFRFPVCPTIALAKGKNNARLPKILIPPITIAMVSFLCFMVTLLKGKQAHTVSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGRFQFETDLVAIKLFHLDEIGAYNSFLIECEVLRNTRHRNIVKAVTVCSTVDLENDEFKAIVFEFMANGSLDMWLHPKLHQNSQGEV >LPERR04G03270.1 pep chromosome:Lperr_V1.4:4:4213271:4217108:1 gene:LPERR04G03270 transcript:LPERR04G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLPVVFVLLFLVSSCKADDKLTPAKPLSPGEVLVSGNGVFALGFFSPTTTTADLYVGVWYNQIPVRTYVWVANRDNPIKTTSSSTSVKLVFTNGSDLVLSDSNGGRTVWTTANNITDGDGGGGATAVLLDSGNLVVRLRNGSEVWRSSDHPTDTVVPNVSFSLSATADHRFVAWRGPDDPSSGEFSMGGDTGSDLQIVVWNGTRPHWRRAAWIGASIAGVLQSNTSFKLYQTIEGGDTDSTAANDGGGGGYRFKLTVPDGSPPMRMTLDYTGEVSFRSWDGNASSWTVFAQFPTPACDTYASCGPFGYCDGADVAATPACKCLHGFVPVDGVDFSRGCRREEEIGCDGGGFLTLPSMKTPDKFLYVRNRSFEQCEAECRRNCSCTAYAYATLNNVDPMEDRSRCLVWMGELVDTGKFKDGAGGENLYLRIPSSQGIKMKSSTVLKIVLPVVAGLLLILAVAGGLVCKSRGKQRSNEVQSKYLFQRMDDSTEFGKENVEFSSVSFDVILAATDNFSDSNLLGKGGFGKVYKGVLEDGIEVAVKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLFGCCTHEAEKLLIYEYLPNKSLDAFLFDATRNNVLDWSTRFKIIKGVARGLLYLHQDSRLRIIHRDLKASNILLDTEMNPKISDFGIARIFGGNDQQANTTRVVGTYGYMSPEYALDGYFSVKSDTYSFGVILLEVVSGLKISSAHFKVDCSNLIAYAWTLWKDGNARDFVDSSIMDSCPLHEILRCIHLGLLCVQDQPNARPLMSSILFMLENETAMLPAPKEPIYFTTRNYGTDEDSRDDTRRSVNSTSVTAIEGH >LPERR04G03280.1 pep chromosome:Lperr_V1.4:4:4236690:4239100:1 gene:LPERR04G03280 transcript:LPERR04G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFIMFGLLFSLQCNFPAFSATNGSALMTDQVLVSGDKLISSNSKFALGFFQIGRSKTSDNTTLLNWYLGIWFNKIPKFITVWIANRDKPITDPIFKLSKSFDHPTDVLLSGAKLGRNKVTGQKYSLISKKNSEDPAPALYCMELDSSGSKQFNAKVCNSSMVYFSTEQWNGRYFNALPEMSGNVFLDSKFVDNDEEEYFTYTPFYKTVITICLLDVSGLIKQFLWVEELRDWEIVFFRPKSSCDVFSVCGPFTMCNDNALPLCNCMKGFSVKSPKDWELGDRQEGCTKNTLLDYNKNKSTTGLTDKFFAIPSVTLPYDAHSMETVTSAHKCMQVCLRKCSCTAYSYGKNGCSLWHYNIINVKQYNDTADTNEEILYLRLAAAEVQSWEHNKRTIIGVVAGVSVSAFSFLVFVLLLLICRSKRRSCGHPMNKIKDGVGTVACRYAHLQHATKKFSEKLGGGSFGSVFKGILSNSTTIAVKMLDGARQSEKQFRAEQWYNSKLEYKVTDSHRGCYESCHDCIIHCDIKPENILLDALFVPKVAHFGMAKLPGRDFSRVLTTRGTIGYLAPEWISGVAITQKVDVYSFGMVLLEIISGRRNTLGDCKSNNDQAVYFPVQAAHKLLNGDVGSLIDKNLHGDINMEEVEIAFKVACWSIQDDDFNPPTMGGVVQVLEGLIELDMPQVPRLLETILSTPATTCM >LPERR04G03290.1 pep chromosome:Lperr_V1.4:4:4252034:4253994:1 gene:LPERR04G03290 transcript:LPERR04G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTANNITDGGATAMLPDSGNLVVRLRNGSKVWRSFDHPTDTVVPNVSLSLSATADHCFVACRGPDDPSSGEFSMGGDTGSDLQIVVWNGTRPHWRRAAWISAPIAGVLQSNTSFKLYQTIDDSNSFKLTMPDGSPPMRMTLDYTGVVSFRSWDGNASSWTVFAQFPTPACDTYASCGPFGYCDGADVAAAPAWIPTCLEKEVLEDGIEVAVKELSKNSGQGVEEFRNEVVLIAKLQHRNLVRLFGCCIHEAEKLLIYEYLPNKSLDAFLFDDTRKNVLDWSTCLKIIKGVARGLLYLHQDSRLRIIHRDLKASNILSDTEINPKISYFGMARIFGGKDQQANTTRVMGTYGYMSSEYALDGYFSVKSDTYSFVVRFLKFYDVVQDQPNARPIMSSILFMLENETAMLPAPKEPIYFTRRNYGTDEDSRDDTRISINSTSVTAIEGH >LPERR04G03300.1 pep chromosome:Lperr_V1.4:4:4264166:4265203:-1 gene:LPERR04G03300 transcript:LPERR04G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPADLAGSRQQKATRGLFMVYFEYFQLGFATFPLLRNFVGKYTQGNGSDSAIFESVLLLKPKRPMIEHGKPA >LPERR04G03310.1 pep chromosome:Lperr_V1.4:4:4276416:4277107:1 gene:LPERR04G03310 transcript:LPERR04G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFIMFGLLFSLQCNFPAFSATNGSALMTDQVLISGDKLISSNSKFALGFFRIGRSKSSDNTTLLNWYLGYGLTRSQSSQLDKPITDPIFKVSKVIVSRDGNIVILNNVTKSMIWSSQIENRQNTSRNTNASNPSNVWWQSFDHPTDVLLPGAKLGRNKVTGQKYSLISKKNSEDPAPGLYCMELDPSGSKQFNAKVCNSSMVYFSTEQ >LPERR04G03320.1 pep chromosome:Lperr_V1.4:4:4277137:4285870:1 gene:LPERR04G03320 transcript:LPERR04G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVFLDSKFVDNNEEEYFTYTPFDKTVITICLLDVSGLIKQFLWVEELQDWELVFFRPKASCDVFSICGPFTMCNDNALSLCNCMKGFSVKSPRDWELGDRQEGCTRNTPLACSKNKSTTGLTDKFFAIPSVTLPYDAHGMEIVASPHECMQYNDTADNNEEILYLRLAAEEVQSWEHNKRTIIDVVAGVSVSAFSFLVFVLLLLIRRSKRRSFGHPMNKIIDGVGIVSFRYAHLKHATKNFSEKLGGGSFGSVFKGILSSSTTIAVKMLDGACQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDRRMLVYEHMVNRSLDFHLFQSNGTILNWSTWYQIAIGVAKGLSYLHESCHDCIIHCDIKPENILLDVLFVPKVTDFGMAKLLGRDFSRILTTMSGTIGYLTPVWISGVAITQKVDVYSYGMVLLEIISGRRNTLGNCKSSNDQAVYFPVQAAHKLLNGDVGSLIDKNLHSDINMEEVERACKVACWCIQDDDFNRPTMGDVVQVLGLIELDMPQVPSLLIFLSCNTITPSSAQPSNRSENDMQALLCFKKAITNDPTGALSSWNSSLHFCRWKGVACGRTSPFHVVSIDLTSMELSGVLPACMGNLTSLKTLVLARNNLEGTIPESLAKSLSLIKLNLSRNFLTGDIPASLFNGSSKLITVDLQMNLFSGKISLPRSMGKSLQFLGLTGNFLSGLIPKSLANISSLNSVLLGQNNLSGQIPESLGQIANQSKLDLSGNRLSGFVPTTVYNMSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLIMSLNRFDGSIPASLANASNLEMLDLSSNLLSGFVPALGSLRNLNNLLLGNNSLEAEDWTFLTALTNCTQLLKLAVERNNINGNLPKSVGNLSIDLQWFKFGGNQISGGIPDELGNLINLTVLDINSNMLSGELPSTIGNLRKLYILNLSTNKLSGQIPSTVGKVSQLGKLYLDDNNLSGKIPGSIGQCRMLNMLNLSVNRLDGSIPVELVSISSLSLGLDLSNNKLSGLIPQEIGNLHNLGLLNFSNNQLSGKIPSSLSQCVVLLSLNMENNDLDGSIPESLSQLSAIQHIDLSENNLSGKVPTGGIFQEPKYVNLQGNKGLCANIQILGLPICPTSPVERKNNKRLLLKVVAPITIALFSVFCISFALVTLWKRRLISFSWFIDVLRLCNDMLNQCSGMLNMLCLSHEKRSEEPTTPISNEKLKKVSYGDILIATNWFSSIHTISSTHTGSVYVGRFKSDKILVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLSQRICIATDVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESFADIGGTIGYMAPEYGMGCQISTGGDVYSFGVLLLEMLTGKKPTDDTFADGLSIHKFVDSMFPDRLEEILDPYMAHEEYQVYPADLSESCIKQLAALGLSCSMESPKDRPGMQDVCVKLCAVKEAFLQFSDFTLMEQ >LPERR04G03330.1 pep chromosome:Lperr_V1.4:4:4297743:4303847:1 gene:LPERR04G03330 transcript:LPERR04G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFRVITIDFTSMELSGILPDCMGNLTYLQTLLLSRNLFGGNIPESLAISPSLIELNLSRNNLSGEIPDSLFNGSSKLVTIDLQMNNFSGKIPIPRNMASLQFLGLTGNELSGSIPPSLANISSLSSILLGQNIFSGPIPESLGQIANLRKLDLRGNDLSGYVPATLYNKSSLEFIGIGNNNLIGKIPPDIGNTLPNLNSLIMSLNRFDGSIPASMANASNLEMLDLSSNVLSGFVPALGSLRNLNRLFLGNNRLGAGDWSFITSLANCTQLLELFMDGNKLNGSLPRSVGNLSTHLENLKFGGNQITGTIPDEIGNLINLTLLEINSNMLSGQIPLAIGNLRELFTINLSVNNLSGQIPSSIGNLSKLGQLYLDNNNLTGNIPANIGHCKRLNMLNLSVNNLDGSIPVELVKISSLSLGLDLSRNNLTGSIPQEVGSLSNLGRLNFSNNQLSGEIPSSLDQCVLLLSLNIEGNKLSGMIPQTLNQLKAIQEIDLSQNSLSGQIPMFFENLTSLGHLNLSYNNFEGPIPTGGIFQKPNSVNLEGNNGLCALISIFTLPICATSPAKRKINTRLLLIAIPPITIALFSILCIIITLIKGSTAEQSSNYKETMKKVSYGDIVKATNWFSQVNKINSSSTGSVYIGRFEFETDLVAIKVFHLDEQGAHNSFYTECEVLKCTRHRNLVKAITLCSTVDFENNEFKALVYEFMANGSLEMFLHPKLYQGSPKRVLTLGQRISIAEDVSSALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDYGSAKFLSSNCTNPEGFVGFGGTIGYIPPEYGMGWKIWTGGDVYSFGVLLLEMFTAKRPTDTLFVNDLNLHKYVDFAFPDRISEFLDPHMPQDEKLLHDQWMQRFIIPMIEIGLLCSKESPKDRPGMREVCARISSIKQEFDKIM >LPERR04G03330.2 pep chromosome:Lperr_V1.4:4:4299281:4303847:1 gene:LPERR04G03330 transcript:LPERR04G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVLGGKISPAASHPASFLLCSLLIFLSCNTITPSSAQTSNRSETELQALLCFKQSITNDPTGAFSSWNTSVHYCRWHGVVCGMASPFRVITIDFTSMELSGILPDCMGNLTYLQTLLLSRNLFGGNIPESLAISPSLIELNLSRNNLSGEIPDSLFNGSSKLVTIDLQMNNFSGKIPIPRNMASLQFLGLTGNELSGSIPPSLANISSLSSILLGQNIFSGPIPESLGQIANLRKLDLRGNDLSGYVPATLYNKSSLEFIGIGNNNLIGKIPPDIGNTLPNLNSLIMSLNRFDGSIPASMANASNLEMLDLSSNVLSGFVPALGSLRNLNRLFLGNNRLGAGDWSFITSLANCTQLLELFMDGNKLNGSLPRSVGNLSTHLENLKFGGNQITGTIPDEIGNLINLTLLEINSNMLSGQIPLAIGNLRELFTINLSVNNLSGQIPSSIGNLSKLGQLYLDNNNLTGNIPANIGHCKRLNMLNLSVNNLDGSIPVELVKISSLSLGLDLSRNNLTGSIPQEVGSLSNLGRLNFSNNQLSGEIPSSLDQCVLLLSLNIEGNKLSGMIPQTLNQLKAIQEIDLSQNSLSGQIPMFFENLTSLGHLNLSYNNFEGPIPTGGIFQKPNSVNLEGNNGLCALISIFTLPICATSPAKRKINTRLLLIAIPPITIALFSILCIIITLIKGSTAEQSSNYKETMKKVSYGDIVKATNWFSQVNKINSSSTGSVYIGRFEFETDLVAIKVFHLDEQGAHNSFYTECEVLKCTRHRNLVKAITLCSTVDFENNEFKALVYEFMANGSLEMFLHPKLYQGSPKRVLTLGQRISIAEDVSSALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDYGSAKFLSSNCTNPEGFVGFGGTIGYIPPEYGMGWKIWTGGDVYSFGVLLLEMFTAKRPTDTLFVNDLNLHKYVDFAFPDRISEFLDPHMPQDEKLLHDQWMQRFIIPMIEIGLLCSKESPKDRPGMREVCARISSIKQEFDKIM >LPERR04G03340.1 pep chromosome:Lperr_V1.4:4:4317639:4324021:1 gene:LPERR04G03340 transcript:LPERR04G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARQRVSLLLLVAIGCCCAFGVMAQVPIPERTDGFVYGSGKRPALGETVVVEAYLDPVCPDSRDAWPELKKAVDHYGKRVTVLVHLFPLPYHSNAFISCRSIHTVNKINPSFVYPLLERFFKYQEGYYNQPTYGKTRATVDAEVTKNLVVPIVGEANLAAYKAGFNDSQSDHATRISFKYGCARGVTGTPYFFVNGIPLSDSGSPMEYNKWISILDPLVGKV >LPERR04G03350.1 pep chromosome:Lperr_V1.4:4:4324860:4325351:-1 gene:LPERR04G03350 transcript:LPERR04G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSFPSSQADDQVGTEIRRWWQATCRLQWCHLKSLLTLPLQPAELRVVCEDRNDFTTVLQKPLP >LPERR04G03360.1 pep chromosome:Lperr_V1.4:4:4325415:4325828:1 gene:LPERR04G03360 transcript:LPERR04G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGEDGAATYPLPNSRVGGPQAQRGGGMGKGRGATTVAEAAVSAAVRVRGGEAGWEWRIRVGGHMGERKRHQIWRRRGEVVAFVVTTAGRLGATARHPPSPASPGSPDLGVARPPPLDRTGSGGGEKGGGNGSCR >LPERR04G03370.1 pep chromosome:Lperr_V1.4:4:4326683:4333040:1 gene:LPERR04G03370 transcript:LPERR04G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLHPLLLLLLVAGGAGGGRERAAPSLEFHHRFSSPVRRWAEDRGHVLPGGWPEHGTADYVAALTGHDSRRALSAAGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPPSAASGSASYYIPSMSSTSQAVPCNSEFCELRKECSTTSDCPYKMVYVSADTSSSGFLVEDVLYLSTEDALPQILKAQILFGCGQVQTGTFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQETPLDVNPQHITEITVGNSLTDMEFSTIVDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGDLFPVIDEGQVISIQQHEYVYCLAVVKSAKLNIIGRYDTDSSNPLSIDSRNSSGFSPSAPENYSPEETKGGNPASLNNFLT >LPERR04G03380.1 pep chromosome:Lperr_V1.4:4:4333459:4334567:-1 gene:LPERR04G03380 transcript:LPERR04G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASALARELKNIKSELHFMQERCGLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLTESYEDVMQGMRLDFSSPLGKIDSDEEGEYENRGVAVIPADKLEVLGSSDE >LPERR04G03390.1 pep chromosome:Lperr_V1.4:4:4338335:4339783:1 gene:LPERR04G03390 transcript:LPERR04G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLERGRLLHGVRLEPRLRHLLRRQRRFRNDGQRAYRGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYKIMCDYNADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQRVPCAKQGGVRFTINGRDYFELVLVSNVAGVGSIQSVSIKGSKTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTSSDGQTLTFPNVAPAGWSFGQTFSTSKQFS >LPERR04G03400.1 pep chromosome:Lperr_V1.4:4:4340905:4341657:-1 gene:LPERR04G03400 transcript:LPERR04G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRVNVKELISNVSVYTSTTESSGGMGLVFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHMLHPVYASDSTTAAEMEQL >LPERR04G03410.1 pep chromosome:Lperr_V1.4:4:4360336:4362353:1 gene:LPERR04G03410 transcript:LPERR04G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVAGWAAMDESGKIVPFTFKRRENGVDDVTIKVQYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVTGFKVGDRVGVGCIAASCLDCDHCRQSEENYCDKVALTYNGIFWDGSVTYGGYSNMVVAHKRFVVKIPDSLPLDAAAPLLCAGITVYSPMKQHGMLDAAAKGRRLGVVGLGGLGHVAVKFGKAFGLDVTVISSSPAKEREARESLKVDNFVVSTDQKQMQAMTRKLDYIIDTVSATHSLGPILELLKVNGKLVLVAAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDVCGEHNITCDIELVSTDRINDALDRLARNDVRYRFVINVGGDSKL >LPERR04G03420.1 pep chromosome:Lperr_V1.4:4:4365625:4366391:-1 gene:LPERR04G03420 transcript:LPERR04G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESEEKTINGLLELAGNAARDNKKTCIVPRNIQLAVRNDEELTKLRDGATIASSRVMPNIRQHLLPRKAGSSKASHTAADDN >LPERR04G03430.1 pep chromosome:Lperr_V1.4:4:4372615:4373899:-1 gene:LPERR04G03430 transcript:LPERR04G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHARPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >LPERR04G03440.1 pep chromosome:Lperr_V1.4:4:4394539:4396822:-1 gene:LPERR04G03440 transcript:LPERR04G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAAGYARGAATRSPACCAAMSFSQSYRPKAARPPSTFYGESLRVNTGRSLPSGRQSKAASRAALSARCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEVMKMKSDGHSLTHARVRSSRILALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLVEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETMYGSSRLTAGATVGAAA >LPERR04G03450.1 pep chromosome:Lperr_V1.4:4:4403590:4408307:-1 gene:LPERR04G03450 transcript:LPERR04G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSVLGESRWTKMGSKIAVRNVQALAASADQQTAETLERYIRPDIDRDAVLVEHSGEVPVIDLGKLLDGVTGEAEAAVLKFACEEWGFFQLANHGIPEEVIVNIKRDLHKFFELPLHVKNAYAQSPGDVQGYGQAFVMSDDQKLDWADLLGIFSQPPQGRDMRYWPTEPETFRSSIEDYSSELMKVAHQVVASIAATLDVDTEIMGDKYSVQFLRMNYYPPCMPMPEKIMTNGKYKSIEHRVTINAHKERLSISAFHIPKYDGIISPMKAAIDEKLLYKTTRVGEYARLYMSNKLEAKRALDHAKI >LPERR04G03460.1 pep chromosome:Lperr_V1.4:4:4415555:4423185:-1 gene:LPERR04G03460 transcript:LPERR04G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVDADGDGCVTGTDTTNSWAVSCLSFAGLKQGVLTYREAQTRTQKEHGDGRGITRARTDTRRARPRTETGEAVRGLGLRRGGSGDGWGVEGGGCRDGDGLMEKAARTHVAELGDGRGGAWLRRWSGWMDRRGRARTRCGWMGRWRLTAEGSSGKPGGPSLVLTMRRVRRKMMELAVASDAVAGRKERSACACVTCGSGAAAERRLRRAGIGFSTG >LPERR04G03470.1 pep chromosome:Lperr_V1.4:4:4430072:4432384:1 gene:LPERR04G03470 transcript:LPERR04G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEASGSAAAGGAGSSGGAIVVVTAAAGGEEAAARKRYEALMQVRAKAVKGKGAWYWAHLEPVLVPPPASGVPPKAARLRCLLCAATFSASNPSRTASEHLKRGACPNFAAPPGPPPHQASSVVPISSIPPSSQRRHSTGGGGGGRKRHALAAAYAAVEAAAVVSQPQHVVVAGDPSSYSPTPPTPPALPAPRQMLSGGRGDLSALARLEDSVKRLKSPVASPGAMLPRHQAEAALALLADWFLESSGNVSLAAAEHPKLKAFLRQVGLPELSRAELVGARLNARFAEARADAAARIREARFFQLATDGWREQVVTLSINLPNGASVFQRAVPTPAPASSDYAEQIMLEALSSSSELNHCAGIVADRFGSKALRDLERKHPWMVNLPCQAHGVSRLVKDMARELPLFHSAATNCAKMAAYFNAAPSVRALLHKHQAEEHGHGMLLRVAAPPFEDAFAMLEDILTSARALQLAVHEESYKLVCIDDPTAREVGGMVQNVAFWSEVEAAHSLVKLITETVKEMTEGERPLVGQCLPLWEDIRGKVRGWCRKFNVVDEGIAMGVVEARFRKSYHPAWSAAFILDPLYLTKDASGRYLPPFKYLTPEQEKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSDLKSLGKVAVRLIFLHATAKGFRLAPPLSRWLSSSSSSAAAIARAQRLVYVAANSKLERRDFSNDDDKDVELLTEGDDDIVLTEATATASVDPSSV >LPERR04G03480.1 pep chromosome:Lperr_V1.4:4:4497122:4498087:-1 gene:LPERR04G03480 transcript:LPERR04G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLKTIELLDGTSFTDWKRDVLVNLALMRFDYAINKEFPKELQLAEELNMNAADYANYKWKHEVNVTYWENTKRMALIKKSKPNNPQKSGGKKQVSGPLYNNPFGMFCESDGHWQREYPRFKAWLAKKGARMKLMRSLMLTFGGLTQVPLVTLLNHYKDFIPSRR >LPERR04G03490.1 pep chromosome:Lperr_V1.4:4:4516483:4544307:-1 gene:LPERR04G03490 transcript:LPERR04G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGAARPRRLGPTPNLVVAAANVLEVYAVRAEAAAEDEGGGSQPSSSSGAVLDGISGARLELVCHYRLHGNIESMTVLSDGAENRRATVALAFKDAKITFLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPLIKADPLGRCGAALVYGLQMVILKAAEVGQSLAGEDESNCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHGQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHTDGSPEIPKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTTIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDIPNEWSADIEGDLPFSKRLKRIPSDVLQDVTSAEELTFQNNIAPNNIESAQKISYIVRDALINVGPLKDFSYGLRTNADPNATGNAKQSNYELVELPSCRGIWTVYYKSYRGQTAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSEPLGVACASIADPYVLLMMVDGTIRLLIGDQSTCTLSVNAPSIFTSSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAETVDGNGSSSQDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEVLLADAFSRLVYEDSTKESHDCTKASLKKEATESIQIVELAMHRWSGQFSRPFLFGRLNDGTLLCYHAFCYEASESNVKNVPLSTQVSADHRNASDCRFRNLRFHRVSINITSREDIPALGRPRITMFSNVGGYEGLFLSGTRPAWIMVCRQRLRVHPQGFLKICQLPSGYNYDNYWPVQKVPLHATPHQVTYYAEQSLYPLIVSFPIVRSLNQVLSSMADQESVNHMDNDVTSTDDLQKMHTVDEFEVRILELGKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTACILGEDVAARGRVLLFSFTKRENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVVADSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLASEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAPYEMLSLEQQLDIAQQIGTTRSQILSNFSDVSLGTSFL >LPERR04G03490.2 pep chromosome:Lperr_V1.4:4:4516483:4544307:-1 gene:LPERR04G03490 transcript:LPERR04G03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGAARPRRLGPTPNLVVAAANVLEVYAVRAEAAAEDEGGGSQPSSSSGAVLDGISGARLELVCHYRLHGNIESMTVLSDGAENRRATVALAFKDAKITFLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPLIKADPLGRCGAALVYGLQMVILKAAEVGQSLAGEDESNCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHGQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHTDGSPEIPKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTTIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDIPNEWSADIEGDLPFSKRLKRIPSDVLQDVTSAEELTFQNNIAPNNIESAQKISYIVRDALINVGPLKDFSYGLRTNADPNATGNAKQSNYELVELPSCRGIWTVYYKSYRGQTAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSEPLGVACASIADPYVLLMMVDGTIRLLIGDQSTCTLSVNAPSIFTSSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAETVDGNGSSSQDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEVLLADAFSRLVYEDSTKESHDCTKASLKKEATESIQIVELAMHRWSGQFSRPFLFGRLNDGTLLCYHAFCYEASESNVKNVPLSTQVSADHRNASDCRFRNLRFHRVSINITSREDIPALGRPRITMFSNVGGYEGLFLSGTRPAWIMVCRQRLRVHPQGFLKICQLPSGYNYDNYWPVQKVPLHATPHQVTYYAEQSLYPLIVSFPIVRSLNQVLSSMADQESVNHMDNDVTSTDDLQKMHTVDEFEVRILELGKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTACILGEDVAARGRVLLFSFTKRENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLASEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAPYEMLSLEQQLDIAQQIGTTRSQILSNFSDVSLGTSFL >LPERR04G03500.1 pep chromosome:Lperr_V1.4:4:4562009:4563433:-1 gene:LPERR04G03500 transcript:LPERR04G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGSSSSPPRQLHLVICPWLAFGHMLPYLELAERLASRGHRVSFVSTPRNISRLPPVSPTAAPRVALVALRLPHVDGLPDGTECTNDVSPENFELLWKAFDGLGAPFAEFLAAACAVDNNESRPPDWIIADTFNHWTALVARQHEVPCAMLLPSASMLAGWASRFTEPAGASIFKENCAVEERRAGVPSYEWTQKAMFYVDHGASGMSIAKRCSLTMERCTLAAMRSCPEWEPEAFGQVAMGLNKPLIPLGLLPPSPNGARRRHGGDQEDSTLQWMDAQPPDSVVYVALGSEVPLPMGQVHELALGLELAGTRFLWALRKPRGVSDADILPPGFQERTRGRGLVTMGWVPQISILAHAAVGAFLTHCGRNSLVEGLLFGNPLIMLPIFADQGPNARLMEGKKVGFQVARDDKDGSFDRHGVATAVKAIVVDEETRRGYVTNALKLQHIVADMELHERYIDEFIQQLTSYVAR >LPERR04G03510.1 pep chromosome:Lperr_V1.4:4:4580948:4581274:-1 gene:LPERR04G03510 transcript:LPERR04G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLQLADAPDEDVHFEYKFMFHAVYGEGLEFMSSAVRAVANKQQNAHGFKRFVSREDLSKRGYVKLDHMTIRCDVTVFQKKKRPLSHETSPPAEPRLVDAALPLEP >LPERR04G03520.1 pep chromosome:Lperr_V1.4:4:4582506:4582734:-1 gene:LPERR04G03520 transcript:LPERR04G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDNEGVDMRTAVKTTRTLTFGEKSTDNSATLRRASLNVATVSGGKPQAATLWPEKATQ >LPERR04G03530.1 pep chromosome:Lperr_V1.4:4:4591496:4592137:-1 gene:LPERR04G03530 transcript:LPERR04G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLATATATGRHDRPPPHVTSCEDLLYSYDKVLTMNIDSYKEATKLAPNGKNIRFPKLNAGGYSWYILFYPNGRLPGTTDSMSLFLQLAEAPDDGTYVKFKYQFMLERPQGDSPGIEFISGSVVASANKQWNSHGFERYVSREDLRKRGLIKADYIRVRCDVSLLERKKTSLSVDSPPAPQPSHQPKPAQPTVATSTSSATTSSPSWRMEIP >LPERR04G03540.1 pep chromosome:Lperr_V1.4:4:4597314:4598546:1 gene:LPERR04G03540 transcript:LPERR04G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLALTDDVLADILLRLPSPTDLARASAVCAPFRRVAASPRFLRRFRSRHAPLPLGVLCPDGAAAFHPALPPHPSAPAARALTLATEGFSFVFLPPPARAWLLRDHRDGRFLLDRALAGGSTAFTEVAVCDPLFRRYVLLPPIPDDLAASVENPYLQRGGDGGLQSRSSEIFLASHGGDAAGEDPSFSVIWMACCRGKLVAFFYSSKSQQWCALSPPEHYALSMRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTHRMEFNVVDISPVLSGRVMMFSNQITTLESMDGWTIVIVADVFRSDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASEGYLFIKLDHPKHNLRDPIQQNVTFFTFDIKTMQLGRFCEISSGTVNEVYLYTGLPPSQSLPSV >LPERR04G03550.1 pep chromosome:Lperr_V1.4:4:4630806:4631216:1 gene:LPERR04G03550 transcript:LPERR04G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKGSGGKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGEARRTSTTSSGGSSSSSSSITPPPPAATSRHRANTTSTEKVELVYLDDKVLDDLLAEDYSYPRSNNY >LPERR04G03560.1 pep chromosome:Lperr_V1.4:4:4695923:4699176:-1 gene:LPERR04G03560 transcript:LPERR04G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIRHLDHRGSSNSWLHVLERQEIAVESTEVAQIATDTTAPASSKTIIITSCTPETDKGKGAAEPAVAAGLDNESDSEKTADDEKLSPLIDEADKTREELNALKGEVAGFKEANKKLRDSLKSKFPSHIMSMPSSSLNLHMLACQPSQVSLLILPFKRLKPNDGDTIHGRSLKSTFQRIKWHMIWMFHSTMAAIL >LPERR04G03570.1 pep chromosome:Lperr_V1.4:4:4699219:4718245:-1 gene:LPERR04G03570 transcript:LPERR04G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRLFYRKPPDRLLEIADRVYGKPIFFLLFLIIFILQTMTGIKMLAVFDCCFSTETMEQFQYKNYVDSIILQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPMLPLDMVLHFLRVSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYRKQYNGEERTLIMVYKQAPRELLQMLTALNPQPSHLRYLQYICKMDDELEWPTQPIPFNLDCVILSEVPNFDGVGGCRPIVRVYGQDLSSNIIPPPSKTKKHARHYKQADNVSVKLNVGSCVQGDVVLECLHVEDSLHDERLMFRVMFNTCFIQCHILSLNFEDIDVPWDDEQRFTKNFKVEVLFSEFDGEYNASFEAVSDYNDEMEVGSTDEFFEAEEIFSNPDSQEGQRDADVVSTTSTDCTPSAELMKDAQFSHFEPEIGLDGCKQNKSDGMVLPIEIPNYNSNCTSVEGDIMCNNITAKVSSSLASIIDEDRGKNDCCMLENKNSNQDIMMDFNEDVGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKSEIQLGDVAHSFETVALAEADDREKVQFSLKQDEGNNLVEENIVFDNGIMIKQETNSEQENHKVCSIDESTSIEHLWSRKQHSHSIGTYQDLNSSPENMNPAEKQTVGPAASIPNSNAQPSIMSSMNLQHEGSIFQANGVPKCANASTTTLESSQQVLKRKSFLPLSTSSLFSPLSPKRNLLRSASADLSFLSPLQTESNEQSIPFTSGKDATASLFVPPPHPPSTSLGTSKISSLVHPPLRPLRTTSSLPQSLFEEYLDISPPSLTFQEKHHQHFNHYHPQLPPPWQLQLATTQDHPCSSFPPLTPSSKYNHHPPLPPPPPHVPLTQNNSSTLISKHQQGRVEGLCTSSSCGQTIVNSHDPSLIFPCKNNIDTHSSIDSNFIDSKVACQPNIVSSINNPTNSKDTKSYLIVSHSLSPKIPQHCTPSPPPLPPPASQLKVPNLFKDNETIPLVYSRSLDFPYSEPAMSLGQQDLRRHEETRVTSTQSPSAAELSSSQYCELTDQHMSTIIKVQTPIPSPSILIGAHKDFTCLASGPSSSKYYEEVSEEQLLDQPSLSISLKACKEGLLQSEMINRGLPSTIHNDENGAIPIPPPPPPFCSRYGGISPVKTLLKSDTFLLSSLIPQPPTPPPPPQAPSIPLDSSSSSTSHLVLPQPSSLLEKTPPPPPLPQPTGLVAPSCLSPYASSLRENLPPPLSPSNKEHLATPSPPLQLHCTSFPRKYATPSPPLPPPPPPPPFLHREYEVVLHTTDVVVPTPPAPPTFGEHHSHWPPFSKDLTSPCSIFEDHAKRDARILLQLPPHEDSDGILHPTSNKRIEVGAQSPPFSLIEMKGHPSHLPKGLTSVPPHYLSRESVGAPPPPPPLPPERETTSRTIHVCAPPPPPPPPPGGHREAPPPLLPSPPPPPPPPPRIGVDVPPPPPPPRGVPSPPLVGGLGGPPAPPPPAGFRGGTPPPSGHGGVVPPPPPPRGHGGVGGPPPPPGAPAPPMPPGVPGGPPPPPGGRGLPNPPVGRGVVGHGLARSLGPNSAAAARRSTLKPLHWVKVTRAMQGSLWAEIQKQADANSHSEFDVKELESLFSIAPKAKAGLKSDGAGKSLGSKLDKVHLIDIRRANNTEIMLTKIKMPLSDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYSGDKETLGKCEQFFLALMKVPRVESKFRIFSFKIQFQSQLRGSEKLRVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEDFVSLEAASKLQLKALAEEQQAVVKGLQTVEQELAASESDGPVSEVFRKTLKEFIDASGADGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIEADKKKALKEAEKEANQDRTPVKSKDGLVERSPRSPFR >LPERR04G03580.1 pep chromosome:Lperr_V1.4:4:4729528:4735127:1 gene:LPERR04G03580 transcript:LPERR04G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYALSLLRRRRRRRTIPALSSLLLPRLLSSSSSSPISPSPPSPANPAAASSKPPSLSARLSFVFDQLDALDRSRSTDLSARDAALRRIQSWRRPDVPLTEAEPPPDEAERDKEAGAAAVAGGKEEEEEEELERMSVAEVLRREVELVHPWPEWIELMDRLAQQKYFDLGGTDFCADERRVAAAVPMDLSDVTQETGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHIDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDIMRILLVYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNNICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHKRPPDEYTNSQMEAHQSVPRKRLERPARRSEVSSAWNFDFDDNESDGADVAAFEFADSSKARESLSVDNTSFRGSSKFSEDSKFGMDETSRGRTEGRFSERESRIGFDDFDDEEDDIDSYELDLSKGGQTDGVSRMSYSDFEDASDSADLNECGNIRNSKHGEQEDIIESPEDEEFDDHPSLRSSHLASSWQKTVGWNGSNNHQGESFGSESDDGTISDLDEDINGGFKYKGGHNRHSPRRPVVRHHEVDDVQCSDMDHDMGGHFRSHDRRNRSSAKFNDNFPARASNSNRRSTSGDSYGRNKMSERFRSSNMHDGRQPFARSHRRSGNELHDGSSDLESNWPDAAVEVFYVESSLLKFYSRLSCNSNP >LPERR04G03590.1 pep chromosome:Lperr_V1.4:4:4736501:4742125:-1 gene:LPERR04G03590 transcript:LPERR04G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAESMPDALRQSRYQMKRCFQRGGHGGIAAGGDYGGVPPIKEATGGDGHRRYVSKGKRVLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSNILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDACAKILDILEGKPDLIIGNYTDGNLVASLLSNKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIDELLYSKEDTEEHIGYLADRSKPIIFSMARLDKNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDRYQLKGQIRWIKAQTERVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGSKIANFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQTTTGAAPSEIVVRPRERQVCPLLRNLLKKDRKPQTRIQRILTRLSAQKPPVSG >LPERR04G03590.2 pep chromosome:Lperr_V1.4:4:4736174:4742125:-1 gene:LPERR04G03590 transcript:LPERR04G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAESMPDALRQSRYQMKRCFQRGGHGGIAAGGDYGGVPPIKEATGGDGHRRYVSKGKRVLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSNILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDACAKILDILEGKPDLIIGNYTDGNLVASLLSNKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIDELLYSKEDTEEHIGYLADRSKPIIFSMARLDKVKNMTGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDRYQLKGQIRWIKAQTERVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGSKIANFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQTTTGAAPSEIVKAADSDSKDLNKIKRAEASSLWVESIFRPRCDTEANIAAQFIPLIDTCV >LPERR04G03590.3 pep chromosome:Lperr_V1.4:4:4736174:4742125:-1 gene:LPERR04G03590 transcript:LPERR04G03590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAESMPDALRQSRYQMKRCFQRYVSKGKRVLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSNILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDACAKILDILEGKPDLIIGNYTDGNLVASLLSNKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIDELLYSKEDTEEHIGYLADRSKPIIFSMARLDKVKNMTGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDRYQLKGQIRWIKAQTERVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGSKIANFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQTTTGAAPSEIVKAADSDSKDLNKIKRAEASSLWVESIFRPRCDTEANIAAQFIPLIDTCV >LPERR04G03590.4 pep chromosome:Lperr_V1.4:4:4736501:4742125:-1 gene:LPERR04G03590 transcript:LPERR04G03590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAESMPDALRQSRYQMKRCFQRGGHGGIAAGGDYGGVPPIKEATGGDGHRRYVSKGKRVLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSNILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDACAKILDILEGKPDLIIGNYTDGNLVASLLSNKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIDELLYSKEDTEEHIGYLADRSKPIIFSMARLDKVKNMTGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDRYQLKGQIRWIKAQTERVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGSKIANFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQTTTGAAPSEIVVRPRERQVCPLLRNLLKKDRKPQTRIQRILTRLSAQKPPVSG >LPERR04G03590.5 pep chromosome:Lperr_V1.4:4:4736501:4742125:-1 gene:LPERR04G03590 transcript:LPERR04G03590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAESMPDALRQSRYQMKRCFQRYVSKGKRVLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSNILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDACAKILDILEGKPDLIIGNYTDGNLVASLLSNKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIDELLYSKEDTEEHIGYLADRSKPIIFSMARLDKVKNMTGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDRYQLKGQIRWIKAQTERVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGSKIANFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQTTTGAAPSEIVVRPRERQVCPLLRNLLKKDRKPQTRIQRILTRLSAQKPPVSG >LPERR04G03600.1 pep chromosome:Lperr_V1.4:4:4750077:4752702:-1 gene:LPERR04G03600 transcript:LPERR04G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPAVFLICILAVPLLPVRGSEPPVPSVDVKAASHLVGSGGHRYLDVRTEEEFKKGHVQNSLNVPFLFFTPQGKEKNTKFTEQVALHYNKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPQVHEEL >LPERR04G03610.1 pep chromosome:Lperr_V1.4:4:4754350:4760814:-1 gene:LPERR04G03610 transcript:LPERR04G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAAPLRRSLLPLSLRPSCLSSSLAPSSHRLSSLPRALRPAGPLPSDVEDSDDPNAGDGAARSRNDLKREARRAVQWGMDLAKFSPLQIKRIVRAASLEREVFEALMLVKRFGPDVREGKRRQFNYIGRLLRGAQPELMDTLIQASKDGDDNRILALMGENTILMEDEEIENLSHDEEGDKEYIEIADRWFEGLLCKDISVTNEVYAIHNVEFDRQLRRRPTVLEEGRARGRRIELLFPMMEISGRQLAIKKQELRKLVRRVHMVEDNNGNKHSEEESTKKLYGAKKPLLSFLRSIAKKAGTRQID >LPERR04G03620.1 pep chromosome:Lperr_V1.4:4:4762921:4771580:1 gene:LPERR04G03620 transcript:LPERR04G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRIERPAGAHTTTTNTATPAPAPADDWGDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRSVHVSFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPIYRRWAEVPLPSRVHVHGLPGGGGTDAALFRGATPSSVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAEARFPLRLGSVHKDKNYVRTLSGSGDKVDGVERSGDDDTKRTSPPTDANKIGASISVAQADTRDNGCEQKMDLDVPDTEDKYAEMNMLNSDSHVLLQAKKKMASSSEPSGDKKRSTSKEITGTLNKYEPKESMRLVISSGVETTPAIAEQEVHSQFVKGSMFEGQIEGNQNVGLQAGVRSRAVLKRDGVKQSNGDIPSHAGPEKMHEGLELQKQPNQTSNLMVVSSVLDVQTNQSESLNIKSETARHDHERMEAVNDEYQSGKQGQGDTASFAILQRHSSKLASDLVSQHPLPETQNLMHTIPEHPNSNLEPSPEGTTVRLIKKDQTRLVSSADSKNDFAKNSGGSQDRTRSSEKVESKGFVTSAPKSSQASRIHVSSAKHRLIAAKEQQQKTAAEGSTPPKSLQGEATTLHSRNKAMPLSFYQRKDKIHHRSIHITQDTANSAALTELHSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVFSKRSSAHGGRDQALVLKKRNKDDVWRDNDDTKRTGKMSCIERRHRDTRVPLTILTAGLIEEIISRNINITYGELCDAIRQHWGDSSKPNMEDNAYPSYLHDVNDCLRNRNDWAHLVDQATVTNPNKRRKVEERDSLPADVPAAEMSKGAKRGPEDAHAESHLDILPRGKRKARKCRRLELKGRRVSDTRKRSSFDSPSDDDDAAFSDSSSDRNDTPMDKSLEDSFIAPGPGGHMEAKSADSSS >LPERR04G03620.2 pep chromosome:Lperr_V1.4:4:4762921:4771580:1 gene:LPERR04G03620 transcript:LPERR04G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRIERPAGAHTTTTNTATPAPAPADDWGDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRSVHVSFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPIYRRWAEVPLPSRVHVHGLPGGGGTDAALFRGATPSSVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAEARFPLRLGSVHKDKNYVRTLSGSGDKVDGVERSGDDDTKRTSPPTDANKIGASISVAQADTRDNGCEQKMDLDVPDTEDKYAEMNMLNSDSHVLLQAKKKMASSSEPSGDKKRSTSKEITGTLNKYEPKESMRLVISSGVETTPAIAEQEVHSQFVKVEGSMFEGQIEGNQNVGLQAGVRSRAVLKRDGVKQSNGDIPSHAGPEKMHEGLELQKQPNQTSNLMVVSSVLDVQTNQSESLNIKSETARHDHERMEAVNDEYQSGKQGQGDTASFAILQRHSSKLASDLVSQHPLPETQNLMHTIPEHPNSNLGNVKVYTASPGPPSTPLESSCPLLSTEPSPEGTTVRLIKKDQTRLVSSADSKNDFAKNSGGSQDRTRSSEKVESKGFVTSAPKSSQASRIHVSSAKHRLIAAKEQQQKTAAEGSTPPKSLQGEATTLHSRNKAMPLSFYQRKDKIHHRSIHITQDTANSAALTELHSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVFSKRSSAHGGRDQALVLKKRNKDDVWRDNDDTKRTGKMSCIERRHRDSSTERKLTENIESEQQNRGISSTGATNGLRKDAPIDRCISHDLPGLIEEIISRNINITYGELCDAIRQHWGDSSKPNMEDNAYPSYLHDVNDCLRNRNDWAHLVDQATVTNPNKRRKVEERDSLPADVPAAEMSKGAKRGPEDAHAESHLDILPRGKRKARKCRRLELKGRRVSDTRKRSSFDSPSDDDDAAFSDSSSDRNDTPMDKSLEDSFIAPGPGGHMEAKSADSSS >LPERR04G03620.3 pep chromosome:Lperr_V1.4:4:4762921:4771036:1 gene:LPERR04G03620 transcript:LPERR04G03620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRIERPAGAHTTTTNTATPAPAPADDWGDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRSVHVSFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPIYRRWAEVPLPSRVHVHGLPGGGGTDAALFRGATPSSVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAEARFPLRLGSVHKDKNYVRTLSGSGDKVDGVERSGDDDTKRTSPPTDANKIGASISVAQADTRDNGCEQKMDLDVPDTEDKYAEMNMLNSDSHVLLQAKKKMASSSEPSGDKKRSTSKEITGTLNKYEPKESMRLVISSGVETTPAIAEQEVHSQFVKVEGSMFEGQIEGNQNVGLQAGVRSRAVLKRDGVKQSNGDIPSHAGPEKMHEGLELQKQPNQTSNLMVVSSVLDVQTNQSESLNIKSETARHDHERMEAVNDEYQSGKQGQGDTASFAILQRHSSKLASDLVSQHPLPETQNLMHTIPEHPNSNLGNVKVYTASPGPPSTPLESSCPLLSTEPSPEGTTVRLIKKDQTRLVSSADSKNDFAKNSGGSQDRTRSSEKVESKGFVTSAPKSSQASRIHVSSAKHRLIAAKEQQQKTAAEGSTPPKSLQGEATTLHSRNKAMPLSFYQRKDKIHHRSIHITQDTANSAALTELHSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVFSKRSSAHGGRDQALVLKKRNKDDVWRDNDDTKRTGKMSCIERRHRDSSTERKLTENIESEQQNRGISSTGATNGLRKDAPIDRCISHDLPGLIEEIISRNINITYGELCDAIRQHWGDSSKPNMEDNAYPSYLHDVNDCLRNRNDWAHLVDQATVTNPNKRRKVEERDSLPADVPAAEMSKGAKRGPEDAHAESHLDILPRGKRKARKCRRLELKGRRVSDTRKRSSFDSPSDDDDAAFSDSSSDRNDTPMDKSLEDSFIAPGPGGHMEAKSADSKEKWYCALQLTGMEVVKNSPFY >LPERR04G03630.1 pep chromosome:Lperr_V1.4:4:4783476:4783832:-1 gene:LPERR04G03630 transcript:LPERR04G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEGREAGGEDGSGSGMTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDTNSKPAAAAKHTAGEPPLPEDMVIWFSTMLVPGF >LPERR04G03640.1 pep chromosome:Lperr_V1.4:4:4800168:4802359:1 gene:LPERR04G03640 transcript:LPERR04G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFFTSGVPIEDLCLSFTLPGYNDYVLKEGGENTVVNIYNLEEYISLVADATVKTGIMRQVEEFKAGFNQVFDISSLQIFAPQELDCLVCGRQELWKPETLVDHIKFDHGYTAKSPAVVNLLEIMTEFSPEPQHAFCQFVTGAPRLPPGGLAVLNPKLTVVRKHSTATNTSNAPGAVHSVDDDLPSVMTCVNFLKLPPYSTKEVMQMKLLYAINEGQGSFDLS >LPERR04G03660.1 pep chromosome:Lperr_V1.4:4:4833299:4844392:-1 gene:LPERR04G03660 transcript:LPERR04G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGDGEAAAASKKARNKKEKGKKRKDDAIATQQHDCRAGDSDEEIVHEKKRKKHQKKKDVEDVTGPNRKTTVSIAVAGSIIDNAQSLELATRLAGQIARAATVFRIDEVVVFDSTPSSLDNSSRGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKLVGLLPPLDAPHHVRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTVAMGTDRDITTSCKRKVVPSSSPRDAMELYWGYKVRYATNLSGVFSDSPYKEGYDYIIGTSEHGKSISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVNDVFDTYLNTCPSQGSRTIRTEKQNVFRRLFSYLSNTSKIPLGVSVEFADGEL >LPERR04G03660.2 pep chromosome:Lperr_V1.4:4:4833447:4844392:-1 gene:LPERR04G03660 transcript:LPERR04G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGDGEAAAASKKARNKKEKGKKRKDDAIATQQHDCRAGDSDEEIVHEKKRKKHQKKKDVEDVTGPNRKTTVSIAVAGSIIDNAQSLELATRLAGQIARAATVFRIDEVVVFDSTPSSLDNSSRGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKLVGLLPPLDAPHHVRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTVAMGTDRDITTSCKRKVVPSSSPRDAMELYWGYKVRYATNLSGVFSDSPYKEGYDYIIGTSEHGKSISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVNDVFDTYLNTCPSQGSRTIRTEVVET >LPERR04G03660.3 pep chromosome:Lperr_V1.4:4:4833454:4844392:-1 gene:LPERR04G03660 transcript:LPERR04G03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGDGEAAAASKKARNKKEKGKKRKDDAIATQQHDCRAGDSDEEIVHEKKRKKHQKKKDVEDVTGPNRKTTVSIAVAGSIIDNAQSLELATRLAGQIARAATVFRIDEVVVFDSTPSSLDNSSRGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKLVGLLPPLDAPHHVRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTVAMGTDRDITTSCKRKVVPSSSPRDAMELYWGYKVRYATNLSGVFSDSPYKEGYDYIIGTSEHGKSISSSELILPSFRVKSREQNNKNGGS >LPERR04G03660.4 pep chromosome:Lperr_V1.4:4:4833299:4844392:-1 gene:LPERR04G03660 transcript:LPERR04G03660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGDGEAAAASKKARNKKEKGKKRKDDAIATQQHDCRAGDSDEEIVHEKKRKKHQKKKDVEDVTGPNRKTTVSIAVAGSIIDNAQSLELATRLAGQIARAATVFRIDEVVVFDSTPSSLDNSSRGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKLVGLLPPLDAPHHVRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTVAMGTDRDITTSCKRKVVPSSSPRDAMELYWGYKVRYATNLSGVFSDSPYKEGYDYIIGTSEHGKSISSSELILPSFRVKKQNVFRRLFSYLSNTSKIPLGVSVEFADGEL >LPERR04G03670.1 pep chromosome:Lperr_V1.4:4:4874636:4882140:1 gene:LPERR04G03670 transcript:LPERR04G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRAAQTLASRSLLLSSRSLHGATASTAASAGGGRLGAHPSPPTPASSSFSRGMPAGIAGAFSFSLTFATMAAAEAKERPPLDLLPKDVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLVDSSGSTLIIKSLMERKENGAGNFSFTERLAAKYAGAAIMYMVSKKLKKKYNITDARASLYDAANTWMEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVEHTEIGDWYQRMEDAIGEPSRIQE >LPERR04G03680.1 pep chromosome:Lperr_V1.4:4:4892845:4896245:-1 gene:LPERR04G03680 transcript:LPERR04G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRGHGGRRRLRWRGGCVAYLDRSTPHATGRWVSTHAGAALRVYLLQGFYIVTYSHYGWRTATGREAEAPDGPGGGDLVSIRGLRDSFLTSVPTAVCQQLTLRCHTYLLHRDQGWDINYEDDNKVGWVSSHILTGAAGLERD >LPERR04G03680.2 pep chromosome:Lperr_V1.4:4:4892845:4896245:-1 gene:LPERR04G03680 transcript:LPERR04G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRGHGGRRRLRWRGGCVAYLDRSTPHATGRWVSTHAGAALRVYLLQGFYIVTCFLSPMVDAEANAPHEFKPFIRQLPDHYGWRTATGREAEAPDGPGGGDLVSIRGLRDSFLTSVPTAVCQQLTLRCHTYLLHRDQGWDINYEDDNKVGWVSSHILTGAAGLERD >LPERR04G03680.3 pep chromosome:Lperr_V1.4:4:4892845:4896245:-1 gene:LPERR04G03680 transcript:LPERR04G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRGHGGRRRLRWRGGCVAYLDRSTPHATGRWVSTHAGAALRVYLLQGFYIVTCFLSPMVDAEANAPHEFKPFIRQLPDHYGWRTATGREAEAPDGPGGGDLVSIRGLRDSFLTSSHILTGAAGLERD >LPERR04G03690.1 pep chromosome:Lperr_V1.4:4:4923728:4929139:1 gene:LPERR04G03690 transcript:LPERR04G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSAGATMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVLCNYGPALLAGGASSLAALAVLILFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETVPLSSSDFNTQMNSQQSMANNDTGHPRARYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHAYEKKTTPRWMYDLGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >LPERR04G03690.2 pep chromosome:Lperr_V1.4:4:4923729:4927917:1 gene:LPERR04G03690 transcript:LPERR04G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSAGATMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVLCNYGPALLAGGASSLAALAVLILFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETVPLSSSDFNTQMNSQQSMANNDTGHPRARYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHAYEKKTTPRWMYDLGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPMYSV >LPERR04G03700.1 pep chromosome:Lperr_V1.4:4:4930954:4933170:1 gene:LPERR04G03700 transcript:LPERR04G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNLIISEIEQEMRLRTKDVESEKPLVFARETEVEVLPLVKPMDDQPMAGGQVKLMVHELEESMVESMTGVEACHIDFAVGVAAEKIGDDIASAYSMFLPDRLAFGAGADMSFGRKMGS >LPERR04G03710.1 pep chromosome:Lperr_V1.4:4:4942271:4946799:-1 gene:LPERR04G03710 transcript:LPERR04G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAAESLTNKNYELSVESRDDFSDFTINQRKGLVLDGGATRMRGQRARSYDDGGERKTKDLESIANNSFR >LPERR04G03710.2 pep chromosome:Lperr_V1.4:4:4942271:4946799:-1 gene:LPERR04G03710 transcript:LPERR04G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAAESLTNKENFWVKQFAELQVASLLVLDGGATRMRGQRARSYDDGGERKTKDLESIANNSFR >LPERR04G03720.1 pep chromosome:Lperr_V1.4:4:4947913:4950748:-1 gene:LPERR04G03720 transcript:LPERR04G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADSSQCHDGSEKDYLSNLPDSVVGHILSFLPTDEAARTAVLARRWRHSLADVHTISFKQQGYRYVHNDDDEVKNRNTQLVGRVNATMLSRCRASGGKAGGLRTFRVTFYSFNHSLTHTVDEWLSVAMKPCAEEIHVDAHRREERSCQRERSRNYSTERDGDKGGGSDGEDPDDFRNEDSRDLAYPVPSGLLFSSACAGMRTLYLGSCFLNLPPAGDSTLICLPSVETRKLLHIPDSGKDIQRLVSACPRLADLTLESCRRVRLLTVLGGPRLRSLTLRCCHGALTRILVRAPNLEILSLLIFPYSKRHSNYDCLGEVKYQFGADLDFPNLGRSMWCTKGRVPQRVLLKLLLSMAMALEELYVVFPKGPYEVQAKLMGEIQRWVMNRPVQVKFA >LPERR04G03740.1 pep chromosome:Lperr_V1.4:4:5006476:5007198:-1 gene:LPERR04G03740 transcript:LPERR04G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSGRSSSGFSFFLIALLSVAALVPSPANARTFPPENGNGGAAVVSSRKFSLPFSSKTAGGAGSGSGSGHGFGWTVSHNGSDTTIGFGGGVGGGVGGSRGGGSSAGGGVGVGVGVDIGRGGVDVGIGVGGGGAVSTHNGSVGGGGGEGIGIHIGPGGVTVTRGGGGGAGGGSGSGGGASGGGSATGRSGNAVGTGQGSGTANGGTGSGGGSGSGSGQGGSAAGGGGGTGTGGAGGHP >LPERR04G03750.1 pep chromosome:Lperr_V1.4:4:5020269:5023098:1 gene:LPERR04G03750 transcript:LPERR04G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPQCRSTSPSHQRPATSNPPPCRSISLLRCQSISPPPSLIDLVIRASRRSTSLTHQRLGDPSRRRITSSFDPPSHQHLPPSRSLLRHRSEERQANSHIPVAISSNPWLLLSIHASLPFKPQPLSTEWKGCNGWTPASDPVEARASTTMKATTRAYRETELGGIKYPAKEPRVAIFLLMILDFGKGCK >LPERR04G03750.2 pep chromosome:Lperr_V1.4:4:5020269:5023098:1 gene:LPERR04G03750 transcript:LPERR04G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPQCRSTSPSHQRPATSNPPPCRSISLLRCQSISPPPSLIDLVIRASRRSTSLTHQRLGDPSRRRITSSFDPPSHQHLPPGCNGWTPASDPVEARASTTMKATTYALCDLMAKWRAYRETELGGIKYPAKEPRVAIFLLMILDFGKGCK >LPERR04G03760.1 pep chromosome:Lperr_V1.4:4:5025149:5032305:1 gene:LPERR04G03760 transcript:LPERR04G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGVAPRRSSRLASSALAAGAAQPSSSPLSRRRRRGASPSGLAREVDNEREQHVEAQKLKNQGEEVVEAEEPEEMDNTEVLKELPGWLPDGWIMEVRRGDNGNIYRFWLLLIAMQYYTSPVSWYTFSTKMEALHYLFSEMDERVLESQACANDNELRRMHAWLPHGWVIEIRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISKCEVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGEITKHAYIPRRSVTDMYSFDRCTDLPQTDGVTCLNLLSEPKEQNIKDTSTAGKQSASSNKAKRHGGSPQNRIKQTSTLDCTESSNKESKRIVVTKELGTGGGEQMSKENTMEDSNMKEPVVVIQELDGTRNKKRDILNLMTDPGLHDHKNDHMIEASEKLACTSIHKFYVRRSSNHTVALKKG >LPERR04G03760.2 pep chromosome:Lperr_V1.4:4:5025149:5032307:1 gene:LPERR04G03760 transcript:LPERR04G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGVAPRRSSRLASSALAAGAAQPSSSPLSRRRRRGASPSGLAREVDNEREQHVEAQKLKNQGEEVVEAEEPEEMDNTEVLKELPGWLPDGWIMEVRRGDNGNIYRYYTSPVSWYTFSTKMEALHYLFSEMDERVLESQACANDNELRRMHAWLPHGWVIEIRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISKCEVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGEITKHAYIPRRSVTDMYSFDRCTDLPQTDGVTCLNLLSEPKEQNIKDTSTAGKQSASSNKAKRHGGSPQNRIKQTSTLDCTESSNKESKRIVVTKELGTGGGEQMSKENTMEDSNMKEPVVVIQELDGTRNKKRDILNLMTDPGLHDHKNDHMIEASEKLACTSIHKFYVRRSSNHTVALKKG >LPERR04G03760.3 pep chromosome:Lperr_V1.4:4:5025149:5032307:1 gene:LPERR04G03760 transcript:LPERR04G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGVAPRRSSRLASSALAAGAAQPSSSPLSRRRRRGASPSGLAREVDNEREQHVEAQKLKNQGEEVVEAEEPEEMDNTEVLKELPGWLPDGWIMEVRRGDNGNIYRFWLLLIAMQYYTSPVSWYTFSTKMEALHYLFSEMDERVLESQACANDNELRRMHAWLPHGWVIEIRAGGKKMEKMYKILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGEITKHAYIPRRSVTDMYSFDRCTDLPQTDGVTCLNLLSEPKEQNIKDTSTAGKQSASSNKAKRHGGSPQNRIKQTSTLDCTESSNKESKRIVVTKELGTGGGEQMSKENTMEDSNMKEPVVVIQELDGTRNKKRDILNLMTDPGLHDHKNDHMIEASEKLACTSIHKFYVRRSSNHTVALKKG >LPERR04G03760.4 pep chromosome:Lperr_V1.4:4:5025149:5032307:1 gene:LPERR04G03760 transcript:LPERR04G03760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGVAPRRSSRLASSALAAGAAQPSSSPLSRRRRRGASPSGLAREVDNEREQHVEAQKLKNQGEEVVEAEEPEEMDNTEVLKELPGWLPDGWIMEVRRGDNGNIYRYYTSPVSWYTFSTKMEALHYLFSEMDERVLESQACANDNELRRMHAWLPHGWVIEIRAGGKKMEKMYKILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGEITKHAYIPRRSVTDMYSFDRCTDLPQTDGVTCLNLLSEPKEQNIKDTSTAGKQSASSNKAKRHGGSPQNRIKQTSTLDCTESSNKESKRIVVTKELGTGGGEQMSKENTMEDSNMKEPVVVIQELDGTRNKKRDILNLMTDPGLHDHKNDHMIEASEKLACTSIHKFYVRRSSNHTVALKKG >LPERR04G03770.1 pep chromosome:Lperr_V1.4:4:5042635:5045024:-1 gene:LPERR04G03770 transcript:LPERR04G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSRQHHNGHLMLGKEKRWKLPLNLSLYYTNVITRWQETWLWYLERSPLIGVRYWKDLHEDVKNDIAESELWDMGNTKNPKEKIWKIAKERYKGWRSTLSAMYNAYDTYDERMKHKPEDLDIVEWHYLNLYFGCKYFKATTQNNNTIEVVDPMTTDDNIADPQQSANQSTQEQTVDISTQAETSRHSKTGNIVKQAYYMFMVLWIGLYMTMFQKSYNARCAKKHCHNTKRKCAT >LPERR04G03780.1 pep chromosome:Lperr_V1.4:4:5051552:5052872:1 gene:LPERR04G03780 transcript:LPERR04G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSSKAAADVSISDASGWPPGSIDEGKLNWLSGLTSIWVARHFLKYRLNPLKDRVHPTFEYTGHHDLTRESEVDLEEVVGNKLQALFADGVDIPTEKNKPRCMSFHIYMPPPQENYQLDSKPPSVANIARPDQALMPEGPAVDFFNELDNDEEEEATETANAATTAHADTLQGRKRKLIVASDSDNEAADQSAPIPRLSSPPPLPGPKARPFLPRLAKRGRLKVSTV >LPERR04G03790.1 pep chromosome:Lperr_V1.4:4:5076383:5077063:-1 gene:LPERR04G03790 transcript:LPERR04G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPMCTSPQRHRCHHKLGRIASPGASPTRYSHEGRTDDSGTELDKQRLLQRHLLSRHAAPPPHRRQAAEGDAPPPLSPRRYQPDPAETALEQGRRRLRPLDPAEKALDLGCHHGPCRLALARAPSAINHAIESSAMPAPDATPCRCPHPRNLAVPALRPPAPWMKTGKQPSKASPPLSSRAAWICLQLAPAVARERRGKKGGGGRCSFCRLGRPSGERPKRCF >LPERR04G03800.1 pep chromosome:Lperr_V1.4:4:5078725:5078976:1 gene:LPERR04G03800 transcript:LPERR04G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLHHLDVCTCSSFSESVKLKTKATDLRKTLEEQKNSSDLKDTVNSLLLQIKFRDEIRPKVGEQALGGGGKEGDDPISPQG >LPERR04G03810.1 pep chromosome:Lperr_V1.4:4:5087202:5088760:1 gene:LPERR04G03810 transcript:LPERR04G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLSALPDRLLRRVLSFAPVRQAGRSSLLSRRWRTLWQQSGSVTIKANGTDGDEGGNDSRLLFGATLATAKPGGIDFPVRKLVVDVYDDFQVEYIYGFFGMRSGEGNEGLHAILAAPGVQSLEELYIICSSEFCRTVNAYELLPGRLPYHSLRVLDIDGITVGALPAAALFVRLETLRIKGCEVSIESIQAILDATPNLARLWLERPDVVDRYEYQYGLVREQRACLHCPSTVTAVVLHHCLHLSDGIYLDAPGARSLHYTGFLHHFPSMTTAAHLEHIDLTFCTRNRCVLHPSWISPLPLAYLWKSIGSFRHAQVLKFNAKIFDRSAVVDLSHDDKMLMVLPYLSVLEIEGCLDENDDSTAFGVLDRPQLNKSPELALESSMDLLARLKSGKTYPCDEVDDDGGIGDNIGDELCALKERSFPCLESHLKRIRFDFQLVHSIDCFEVRLIRFFAENAKVLDEMVVSDGDHRVYDHIHHKLARWRAMSLQTKNVIAH >LPERR04G03820.1 pep chromosome:Lperr_V1.4:4:5106374:5108107:1 gene:LPERR04G03820 transcript:LPERR04G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAFSRGGDAEVRHDKGVAAEGDAGGAHGGVGGQLSNGEFQMDGLIRIKVFY >LPERR04G03830.1 pep chromosome:Lperr_V1.4:4:5108691:5114793:1 gene:LPERR04G03830 transcript:LPERR04G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVRYLSLRCQQLACTICQHGDGGSSSDHCIYSESVSSFQSTKAKAKPTPMDHSTSLLRLIFLALGAALLVLVVRSAFRLPSGIGTTTSSLFDDSTTGGSCTRFSPWACRQGRSSTPKPPSHELDVPHHPLDPLTMREVNRARDLLRAHQPFASAPSSLFVHSLELDEPDKLVVKAWRKGADPLPPRRAVAIIRFRGESHVVAVDLDGVGAVTPLHVPASGYPMMSMDEQTSLCFAPFKDAAFNASLRRRGVRGSDVACLPISLGWYGPDEEGRRLIKSQCFSTEGTANFYMRPIEGLTVLLDMDTHDVLHVSDRGAGIPIPAAANTDYTTPAQGHEYLGYQKLRAPSMEPPEGGPGFEIVDGHMVRWGGWEFHLKGDARAGMVVSQATVEDPTTGERREVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDAVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMNIRESRPKVTLVARMAASVANYDYIVDWEFQMDGLIRIKVGLSGILMVKGTQYSHMNQVHRNEDMYGTLLSENIIGVIHDHYVTFRLDMDVDGADNSFVKVEMARQNNIVGESPRKSYLKATRHVARTEKDAKVRLKLYEPSEFHVVNPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKTEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILRQRPTQENDLPVCTAAATVA >LPERR04G03840.1 pep chromosome:Lperr_V1.4:4:5127127:5135118:-1 gene:LPERR04G03840 transcript:LPERR04G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVVNSLRALSGPPSMAFKSQDSARGSFLTSDGSSSSDYVKNLLKDSHADRPSLDVAKQSEHIRVGSGDHELERRWYGILKSYRSGSRQLDIRYCYIWRLDRKTRINPQRMSNCRSVRDKELVEGEFQKLTRKECQKQSAVTALPLGCGKGSAEGMSNPDV >LPERR04G03850.1 pep chromosome:Lperr_V1.4:4:5146037:5146900:-1 gene:LPERR04G03850 transcript:LPERR04G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIRVLPAVAVGGGQPLLHEQVYGDNAWLFLEEDSYRIVQETLFEKQEHEEQLQEEEGEEQEEEEPQMPYFLLSSLDDHITPMLLSF >LPERR04G03860.1 pep chromosome:Lperr_V1.4:4:5193279:5194457:1 gene:LPERR04G03860 transcript:LPERR04G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEKWREEREFGEVAAIIFPLRGEKKPRQASTVAAAKEEQICTAALLPHPLATLTAGGGLASTVAGTSIPVEDGQRPTWQEYCNVVH >LPERR04G03870.1 pep chromosome:Lperr_V1.4:4:5196561:5200295:-1 gene:LPERR04G03870 transcript:LPERR04G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECKYEVSQAAYVKLALHALKHPAAAVNGLLVGRLLDGADAAAVVSVADAVPLCHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARSGDAELPLVAKRVGDHIFRSFPRAAVLLLDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHFDDISKDWLNPGLLA >LPERR04G03880.1 pep chromosome:Lperr_V1.4:4:5237358:5238938:-1 gene:LPERR04G03880 transcript:LPERR04G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDHRRVMAGIDHRRGGGGRAHVVLFPFMAQGHVAPFRCLAALVRRCRPDARLTVVATPGVADAFRAHLAADGVVVGVHALPFRPDEHGLPADADTSAAIGFHQIITLFLASESLRPAFHRFLSGLTASNPSDDVHVMADMFLGWAVDAARDAGAASASVVLTCGGYGSALYFSLWDSVPLPLPSTASPDDDFPLPRFPDIRIQRSQLTNHLATANGEDAWSTFIQKQIAAFSRADAILVNTAEKLEPKGLSMLRQWLDVPTYPVGPLLNTPKTKTTARNPILTWLDKQPRGSVLYVSFGSQYTITEPQMMELARGLEMSGHRFVWVIRPPSGHDVNGAFSPGWLPDGFAERVDTEGRGLVARCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLIGWPLSAEQFYNAKMLADEAVCVEVARGGGDVDAGKVAAAVDMLLRETTSTVRDAMRRRAAEMEEAIDAARGDGEESAASVMRSLLDAVAPVRTGGQLVASPSSCSRDVTDGTASRVISPKHVTN >LPERR04G03890.1 pep chromosome:Lperr_V1.4:4:5242159:5253648:-1 gene:LPERR04G03890 transcript:LPERR04G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGNVGMPEIFDSPQLLHQKIEELAVMVRESKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFNRAVPSLTHMALVELEKAGLLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPTCKKEYLRDFEIETIGLKDTPRRCSDKSCGARLKDTVLDWEDALPPEEMDAAKEQCRTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASIVIRGLVDKVSFPERPDMKSVVLKEQPFSLQRETSMNRSFVMLLTLNFSDGCGCSSSSIEWPVDFLKQNGSFVRDRSLVLQGLQHAAQQQSRAGQEAILEREGLPRAETSIHALVTNIVRYDTEYMMKAAAPMVAWMNSSGSLPKRHIDATGCYPSSPKKQKLATYHRRKGLNS >LPERR04G03890.2 pep chromosome:Lperr_V1.4:4:5242159:5253648:-1 gene:LPERR04G03890 transcript:LPERR04G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGNVGMPEIFDSPQLLHQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFNRAVPSLTHMALVELEKAGLLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPTCKKEYLRDFEIETIGLKDTPRRCSDKSCGARLKDTVLDWEDALPPEEMDAAKEQCRTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASIVIRGLVDKVSFPERPDMKSVVLKEQPFSLQRETSMNRSFVMLLTLNFSDGCGCSSSSIEWPVDFLKQNGSFVRDRSLVLQGLQHAAQQQSRAGQEAILEREGLPRAETSIHALVTNIVRYDTEYMMKAAAPMVAWMNSSGSLPKRHIDATGCYPSSPKKQKLATYHRRKGLNS >LPERR04G03890.3 pep chromosome:Lperr_V1.4:4:5253652:5254399:-1 gene:LPERR04G03890 transcript:LPERR04G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHSLHSTLLPPPRVLSHSLRPAHRSPCRCRQSTPPSFSTSAAARVRTSPPFTSAPLSSPFVVPRSWTVQSSSVGTKPPSLPPPWSGEEIRTSIVGSLSPSLGLKKKAVKCWDKLEKG >LPERR04G03900.1 pep chromosome:Lperr_V1.4:4:5260378:5261793:-1 gene:LPERR04G03900 transcript:LPERR04G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPKKHVVLFPFPGRGHLTAFLSLAGLLRRVLLPSATMTLVSTQRNVDTLRATAADAPFLNLHTLPFSPTDHGLPPGHQSSDVRPALTVRLYEAFETLQPAFDSFVSELISSRAADDDADVVVVVSDVFVAWTVEVARRHGCRHAFFVSCGAFGSAVLHSLWSHLPLYPDELTGRVLLPEYPDVFIHRSQVSKYTLAATGATTDGRTTYFDRQLRHGYQTDAVLVNTVAELEPDGLAMLRRTLKVPVWPVGPLTRAADAEPHDDDAVLRWMDAQPPRSVLYVSFGTDGTIRAEHMLELAAALKSSGRRFVWKINDADDVTLLPEGFEERARTEDTGFLIHGWAPQVRILAHASTGAFLSHCGWNSVLESVSCGVPMVGWPLSAEQFYNVMVLEELGVCVEVARGKTDDTVVERKRLAEVMETVMGETAKGDDMRRRVWQVRKVMVDAWKEDGGSSMVASQAFLEAMRLK >LPERR04G03910.1 pep chromosome:Lperr_V1.4:4:5268598:5269434:1 gene:LPERR04G03910 transcript:LPERR04G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSTRKCSRARGGGGLAVDRLSELPDCLLHIILSFMKARQVVQTCVLSKRWEHLWRTVPCLDVDHEEFPETGSTIQDEYKAWHNFEDFVDNLLLSHEIARLDSFRLHVNNVNRSYMYGYGWGLHASRWIRRSIKYSSKVDAWTLRRLHLSHIYLDDLFAKHIGSGCPSLEDLDIKRCTFALDEISSNSLKSLVINDCHSTRHHTKLIVKAPATASLRLALNLYYFTGGLFVTEMPSLSAASVSVLDNPKGTFHHNRWEFIVSLCNVTTLVLSDFQT >LPERR04G03920.1 pep chromosome:Lperr_V1.4:4:5271431:5272359:1 gene:LPERR04G03920 transcript:LPERR04G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRVGGRRRRTAGGGSMESPQHLWTYGVELQANQVVNISISDVYCKLSCLYTIAVNNNVSNNYLLIDVNNFACIVQTFIPQDMQN >LPERR04G03930.1 pep chromosome:Lperr_V1.4:4:5274953:5280809:-1 gene:LPERR04G03930 transcript:LPERR04G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLATALELTGRPFIWAIRPPPESDMTNTNAAEWLPEGFEERVRGKNTGLLIHGWAPQVSILAHASTGAFMSYCGWNSVLESVAHGVPMVAWPLSAEQFLNAKMVEEEWGACVEVSRGNALYSPAVEPDRVADAVEKAMGRTAEAREMRRGLIGRAELEDGGSSVLTALQKLSSFTLHDRTGAKKCGHQEIYLRPFRLSPCHRARLACTSLPPPALGACHCASSRTQGLNGSTCAVAVTLASVGLTLPHAAAARGSPPDLFNSVEATRYSTQPRMAAKASKSVVLFPFPGQGHLAAFMSFAGVFHRAVPDVAIILVSTPGNVASLRRAASASDSSSSFLAFHALPFAPADHGLPPGCESADGIPSSRIMDLLEAFETLQPAFDDFLAGVTGVVCIVSDPFVAWTVTVARRRGCAHAFFASCGAFGSAILHSLFSRLPVRPDAATGRVYLPEYPEVVIDRSQVAAVALRQVAAGEIDRTAAFYGRQIPLGYKTDAVLVNTVEEFEPTGLTMLRQTFKLPILPIGPLGAPRRRRTPPPPPSPVFSTPTRHQHMVVLAAALEATRRPFVWAIKPPDGHDMKRGEIRPEWLPEGFEEGVTAATKKGLLVHGWAPQVRILAHPSTGAFLSHCGWNSVLESVAHEVPIIEWPLAGEQFYNAKMLDEEWGVCVEVARGNAEDTIVEKGTVVAVVDTVMGPTAKATKMRRRVSEIKQIMEAAREDGDGSSRKKALELFKAMQQR >LPERR04G03940.1 pep chromosome:Lperr_V1.4:4:5280821:5281726:-1 gene:LPERR04G03940 transcript:LPERR04G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSSVRFGLFPFPAHGHFSAFLSLAARINRANLTADIVLVSTPRNVVNLRRSASSSSSPAAQAPYLRFHALPFVPADHGLPANAESADTLPIHHFTILFDAIESPSFQDSFDAFVGDCTTTDGGGVVVVVADPLLAWTTAIARRHGVPHALFVSCGAFGIAVYHSLWNHLPHLRRLSGDADGAFTLPDHPEVTVHRSQLPPHLLHADGTDRTTAYYHRLISAAYDTDAVLINTMEELEPAGLRMARKNMAASRSTPLARSCVVSAPTTTPATTTKSTSGWTAKRKGQCCTYHSGHITR >LPERR04G03950.1 pep chromosome:Lperr_V1.4:4:5283338:5284430:1 gene:LPERR04G03950 transcript:LPERR04G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQARFDVGTPGPHYFQRIPIYEDRGWKMIFEKTSQVVELYIKCEDTDLAERRIGQDEDNQHESDGALKNSSDEEHPKPRRQQQMRVSLDVYKNQLIDNPLAEGKTFDSKEHLQIAIAQCTYDWLHVETLCNTHDRLTLGYKEMSLYSHFSSTD >LPERR04G03960.1 pep chromosome:Lperr_V1.4:4:5293652:5294023:1 gene:LPERR04G03960 transcript:LPERR04G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTPRLGCQARAKNTSGAPPSADSGQVCPDTEVEVVAGSGGCGVPAAVATLASAATLAAISPSIAAALPAVTNTGGESSSRLTDAAGGHVGARSDASLGGGSRQLWSGGTFFLSPGAGGGP >LPERR04G03970.1 pep chromosome:Lperr_V1.4:4:5306787:5308034:-1 gene:LPERR04G03970 transcript:LPERR04G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGITLIKSRRSNVGDEEEGIAAGNLPTPPRKECWWSLGLLLKAAAALLILMAGVLIGLAASASLSCYYVEGSGKQAADARRGSGGGGEPNRRDDDGGGSSPLSFQRFVQPHPPWGHPMTDEELFWRASMSPRVDEYPYQRVPKVAFLFLTRGPLPFAPLWERFFRGHEGLYSVYVHALPEYRLNVSSTSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLVSESCVPVYNFPTVYEYLVNSAHSHVESYNIDVPQCAGRYNPRMAPDVMEEQWRKGSEWFELSRGLAADIVADRRYYAIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKASVTREFVQAIRNNGTRCAYNGKPTNVCYLFARKFAPSALAPLLNLSTTLLDF >LPERR04G03980.1 pep chromosome:Lperr_V1.4:4:5311399:5312979:1 gene:LPERR04G03980 transcript:LPERR04G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGDGADSIVAATITDDAPTKAISSQGMESINATATAMTKAKNAIVVVEEACTSSKKPEEPKNPKTQVLIVPLRWMSLGMTRAKQQLVLLLAMRLRTVKTQMMTSKLQEVKAEADEKLKSISGENVLLQINCKALKNENAKLFKGYDDLEAKYTSMKALVKEKIKAAKSQAEAFKIELEATKGTNAESISKVAELLKSPPGFFKKQVKEYTTMVSTHILLVAKYLYPRIELEAIGEGYADGTSEEQALKLINDINGVATSIFNESLDL >LPERR04G03990.1 pep chromosome:Lperr_V1.4:4:5318991:5323170:1 gene:LPERR04G03990 transcript:LPERR04G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSDLVLKVVKNSNAKDTPLSVLAPLVEKGIGGPDPALIEAKKQAEEQVLKLQAELTRLQGDNEELIKAKDSVEKKLAHAITLNVKSHEQANYYKDKLETLSKKQEDLKKKAANELSAMKTKHNDEFMKMKAELEEARRINDELCQAAEPILDILHAATAESNTSSLQSVIEHLQSAPTRLKKIILESASVACGQTLAVIKSLYPKLDLKPITSGYAEGTTDEKALKLLDQVDGMAQMFGRVMI >LPERR04G04000.1 pep chromosome:Lperr_V1.4:4:5336897:5343229:-1 gene:LPERR04G04000 transcript:LPERR04G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPSKVISDDEEKLYGEGSETKQEDEEDEEEWPGWLPDGWIMEVNRCDDGTIYRYYTSPISGLTFTMRTEVLNYLFSGMDERFLKSNNCATDKKLLTTHDWLPTNWIIEIRAGGENMNNMYKIILDDRADKLKFYVYPPAGVRLFSKEDVLLYIKESKITRFDLNGECDTNTSDNILATVEFNPSSLPKGWVKELVFRKTKDAVRRDPYYTDPISNYSFRTKKSAMLYVQTGNVPKRAFIQRTSVHDLYSFEKSADLD >LPERR04G04010.1 pep chromosome:Lperr_V1.4:4:5350492:5354533:-1 gene:LPERR04G04010 transcript:LPERR04G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSSSSGGGGVGRGQAGNGDFTADHSQHNNRYHGILGAVPPPSFGRQNVMHHQYLTGMIHNPSPAMPLPVAPVPYMPQQYTMVPTPTMLPPQHHHGQSQISQGNFQDWVPSNNGAVQHVPSASQDLRPMYNGPAFMSFGQTAAASNVYYQNLNPCTIDAWTSSNMPRNQVYSGFHPDAIQDHHAPPFHSNNHDTHRGFHTHTGFRVDQALVPASSPFPLVSSSSHNFSSAKISNEPTYSKKAKKSDVKDPPIVFRRNDMKSEKNDELDQTLASEPPSINQNGALNVRFNCREYCAILRKELTNSDVGNIGRIVISKRDAEAHLPALDQREGQMLKMEDFKFEATWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESGKYIVRGEKAIKPNAVRPVVDCCCKNDLNNNEECRFTVSLQTKKT >LPERR04G04020.1 pep chromosome:Lperr_V1.4:4:5370193:5377914:-1 gene:LPERR04G04020 transcript:LPERR04G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRTRVHDESKVNKALPKCSSNLTLYVHLEQTVGSLHLREKGRGVDCCLAGFMLACEEVSLGSKQLMDYKEAFLRIIFCVLLLLCVSCKCLASEINATQLAVLKVDASQKNARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGLNTPSSIEPWSIIGNESSISVETDRSSCFSRNIIALRMEVLCGDCPAGGVGIYNPGFWGMNIEDGKNYSLVMYAKSLENTELIVSLTSSDGLQNLSSATIRVAGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVIWLDQISLMPSDTYKGHGFRKELVSMLLDLKPRFMRFPGGCFVEGDWLRNAFRWRESVGPWEERPGHFGDAWGYWTDDGLGYYEFLQLSEDLGASPIWVFNNGFSHYEEVNTTTIAPFDILDSLEFARGSTNSTWGSVRVAMGHPEPFSLKYVTIGNEDCSKKSYHGNYLKFHSAIREAYPDIQMISNCDGSSKPLDHPADLYDFHVYGDSNALFSLRNKFDSMSRSGPKAFVSEYAVSSNGVGRATLLASLAEAAFLTGLEKNSDVVQMASYAPLFINDNDRSWSPAAVVFNSWQQYGTPSYWMQTIFGESSGAEVHPVTMNSIYSNSLAASAITWQATNNNSFLRVKIVNIGSNSVNLIVSTTGLDASVNTRKSTVTILTSKNLSDENSFINPTNVMPVTRELPNAGEEMFAFLGPYSFTSFDLALDQRKHVL >LPERR04G04030.1 pep chromosome:Lperr_V1.4:4:5379906:5387994:1 gene:LPERR04G04030 transcript:LPERR04G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRNDAPARWKRPPEFLRRGGENTGAESFVRRERGKDMERTAKRGALLSTTDFSMKPRQEMKPYQYDATVLEGAKATFKS >LPERR04G04040.1 pep chromosome:Lperr_V1.4:4:5386443:5390036:-1 gene:LPERR04G04040 transcript:LPERR04G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKAEAVLPLTLPFKKVQMADKYPKGQSRGRQWKHLRNLLQGADASSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDDYVQKYLALRNAAVTWPVLIADNGSMYEDFVGMIPCSFSS >LPERR04G04040.2 pep chromosome:Lperr_V1.4:4:5387297:5390036:-1 gene:LPERR04G04040 transcript:LPERR04G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKAEAVLPLTLPFKKVQMADKYPKGQSRGRQWKHLRNLLQGADASSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDDYVQKYLALRNAAVVLR >LPERR04G04040.3 pep chromosome:Lperr_V1.4:4:5387299:5390036:-1 gene:LPERR04G04040 transcript:LPERR04G04040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKAEAVLPLTLPFKKVQMADKYPKGQSRGRQWKHLRNLLQGADASSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDDYVQKYLALRNAAVVLR >LPERR04G04050.1 pep chromosome:Lperr_V1.4:4:5396651:5399578:-1 gene:LPERR04G04050 transcript:LPERR04G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGRGGVHHRHWDGVVPPECAPTPSVLRLTAALDWAEAREPLHADIDTAKTCGVGPGMAFARSVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAADCGEIEAVLWYQGESDADSDAAAAAYGANMERLIANVREDLGMPHLPFIQVALASGNKRNIEKVRKAQLGINLPNVVTVDAIGLSLNEDHLHLTTESQVKLGEMLAQAYGLLIYDPHDIRLLTALCCKAATSWVFNASIQKLFEHLPRRLEGTSQS >LPERR04G04050.2 pep chromosome:Lperr_V1.4:4:5396893:5399578:-1 gene:LPERR04G04050 transcript:LPERR04G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGRGGVHHRHWDGVVPPECAPTPSVLRLTAALDWAEAREPLHADIDTAKTCGVGPGMAFARSVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAADCGEIEAVLWYQGESDADSDAAAAAYGANMERLIANVREDLGMPHLPFIQVALASGNKRNIEKVRKAQLGINLPNVVTVDAIGLSLNEDHLHLTTESQVKLGEMLAQAYGLLIYDPHDIRLLTALCCKAATSWVFNVISRRL >LPERR04G04060.1 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAAIFLGLCNDNALAQDDSVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQVAVTLVHLARLTMLNFVSDKKEDSDLSRSKLVRARLLVNDSMRIAEETLYDSREDLNKLKNGRTSDRDKIAAASALLQALEVAGLVESGMKNMLAPGEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04060.2 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAAIFLGLCNDNALAQDDSVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYEIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQVAVTLVHLARLTMLNFVSDKKEDSDLSRSKLVRARLLVNDSMRIAEETLYDSREDLNKLKNGRTSDRDKIAAASALLQALEVAGLVESGMKNMLAPGEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04060.3 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAAIFLGLCNDNALAQDDSVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQVAVTLVHLARLTMLNFVSDKKEDSDLSRSKLVRARLLVNDSMRIAEETLYDSREDLNKLKNGRTSDRDKIAAASALEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04060.4 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYEIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQVAVTLVHLARLTMLNFVSDKKEDSDLSRSKLVRARLLVNDSMRIAEETLYDSREDLNKLKNGRTSDRDKIAAASALEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04060.5 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAAIFLGLCNDNALAQDDSVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQLQALEVAGLVESGMKNMLAPGEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04060.6 pep chromosome:Lperr_V1.4:4:5401816:5421315:1 gene:LPERR04G04060 transcript:LPERR04G04060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVLHLRCLPHFQPPAALSSPLLTPSRSFVSSSSSAPSVEPQPPPLSVLPSGSVRNGSSGRWWDRQFFSTVGAVLVGQAAIFLGLCNDNALAQDDSVGLGATRNEQAEENATSLQRIEDGSVVSNEHTRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLVGTALHSLGICYHLQRKFALAQTCYEIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESIRVLEEAGLGDSPTCLQRMRYLSTGWDSLDTTNAAELLTLTLQTIGKLKESEELLERCLEVRKKILSEDHLQLQALEVAGLVESGMKNMLAPGEQDLYPVEQAVNKCISLYKEPHLRKLVSKALKNEYIRCLRRLTGIVQSNFAGSEALTLQGLLAEAQQIIEELGDASN >LPERR04G04070.1 pep chromosome:Lperr_V1.4:4:5424800:5430051:-1 gene:LPERR04G04070 transcript:LPERR04G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAARNPLLHPVAPGSRSPGAILSPGRRRLLPLQRAPGLGPCRAGGGRAASSSAAGGGGGYFPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKHNLDKFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSLSALSAELVLMIVTPMKNYALLSGLSPAENRTVPPLKYEYYFALLRDFPDVKFTLNGGITTIDQVSASIRQGAHRVMVGRASYNNPWNMLGHVDSKVYGMPTTYSSRRQILERYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLQSFLEETLDAIPGSVLDSPIGNEPSSEEGHFAVMDSLLPPRYTALTNCSYESSELVAST >LPERR04G04070.2 pep chromosome:Lperr_V1.4:4:5424800:5430051:-1 gene:LPERR04G04070 transcript:LPERR04G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAARNPLLHPVAPGSRSPGAILSPGRRRLLPLQRAPGLGPCRAGGGRAASSSAAGGGGGYFPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKHNLDKFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSLSALSAELVLMIVTPMKNYVMPIRIEQVSDRGYEYYFALLRDFPDVKFTLNGGITTIDQVSASIRQGAHRVMVGRASYNNPWNMLGHVDSKVYGMPTTYSSRRQILERYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLQSFLEETLDAIPGSVLDSPIGNEPSSEEGHFAVMDSLLPPRYTALTNCSYESSELVAST >LPERR04G04080.1 pep chromosome:Lperr_V1.4:4:5431352:5431991:1 gene:LPERR04G04080 transcript:LPERR04G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSPKLKREQSITLDSGEGPSYSLLFDVESGRKEDDDAEVVSKALTDISIKSPKAICTFIGCLTPIVVVCAIDWDDLQSNKLSKMTGGQCRWFEPEIRCFLEYCLEEIVARNITRSCPKTQGYVNPVKEDAETPREALQQGSNQVHLEPMPKKDQALLKSPNFSLHPCFTKHLLRASMNFTSMFLIPS >LPERR04G04090.1 pep chromosome:Lperr_V1.4:4:5434444:5450336:1 gene:LPERR04G04090 transcript:LPERR04G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDFLLHHPSSPPSPTSASAAATSSSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDLDAIDFDALARNLQVYDKYPYNHDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGQKLYFFNQTEVQTENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKDFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRNQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLGMKRPWITKSYLEMILDSKGVPRLNTPPPVSRKLLTESQEKKIVAPKPIRVSADNIANFDDFVQPWTRSPPKKFDQEPMGKWQFNPDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYNSLDIALLTKNIKEIRKSHKAKVPRFDFEKCSRDGFKELQVSEEGVVIFEGVYTLHPTIRKSLDLWIAVVSYQDILKVLDASKLCSSVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDHLQGVTNPYLQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLQAEENVHSGIHNQQAARLQELVEFIQSQGGSFNSDSSSPTREISSTDSVLDDVQSRLRKLERWNTINMVLWTILLSALVGYSLFQKRRR >LPERR04G04090.2 pep chromosome:Lperr_V1.4:4:5434444:5450336:1 gene:LPERR04G04090 transcript:LPERR04G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDFLLHHPSSPPSPTSASAAATSSSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDLDAIDFDALARNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGQKLYFFNQTEVQTENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKDFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRNQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLGMKRPWITKSYLEMILDSKGVPRLNTPPPVSRKLLTESQEKKIVAPKPIRVSADNIANFDDFVQPWTRSPPKKFDQEPMGKWQFNPDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYNSLDIALLTKNIKEIRKSHKAKVPRFDFEKCSRDGFKELQVSEEGVVIFEGVYTLHPTIRKSLDLWIAVVSYQDILKVLDASKLCSSVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDHLQGVTNPYLQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLQAEENVHSGIHNQQAARLQELVEFIQSQGGSFNSDSSSPTREISSTDSVLDDVQSRLRKLERWNTINMVLWTILLSALVGYSLFQKRRR >LPERR04G04090.3 pep chromosome:Lperr_V1.4:4:5434444:5450336:1 gene:LPERR04G04090 transcript:LPERR04G04090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDFLLHHPSSPPSPTSASAAATSSSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDLDAIDFDALARNLQVYDKYPYNHDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGQKLYFFNQTEVQTENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKDFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRNQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLGMKRPWITKSYLEMILDSKGVPRLNTPPPVSRKLLTESQEKKIVAPKPIRVSADNIANFDDFVQPWTRSPPKKFDQEPMGKWQFNPDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQNIKEIRKSHKAKVPRFDFEKCSRDGFKELQVSEEGVVIFEGVYTLHPTIRKSLDLWIAVVSYQDILKVLDASKLCSSVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDHLQGVTNPYLQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLQAEENVHSGIHNQQAARLQELVEFIQSQGGSFNSDSSSPTREISSTDSVLDDVQSRLRKLERWNTINMVLWTILLSALVGYSLFQKRRR >LPERR04G04090.4 pep chromosome:Lperr_V1.4:4:5434444:5449870:1 gene:LPERR04G04090 transcript:LPERR04G04090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDFLLHHPSSPPSPTSASAAATSSSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDLDAIDFDALARNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKDFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRNQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLGMKRPWITKSYLEMILDSKGVPRLNTPPPVSRKLLTESQEKKIVAPKPIRVSADNIANFDDFVQPWTRSPPKKFDQEPMGKWQFNPDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYNSLDIALLTKNIKEIRKSHKAKVPRFDFEKCSRDGFKELQVSEEGVVIFEGVYTLHPTIRKSLDLWIAVVSYQDILKVLDASKLCSSVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDHLQGVTNPYLQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLQAEENVHSGIHNQQAARLQELVEFIQSQGGSFNSDSSSPTREISSTDSVLDDVQSRLRKLERWNTINMVLWTILLSALVGYSLFQKRRR >LPERR04G04100.1 pep chromosome:Lperr_V1.4:4:5454088:5458148:-1 gene:LPERR04G04100 transcript:LPERR04G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSRCSLLRPTNLQPVTTIFIVAVCLAVAATAARPAPMIAGTSLATAAANGTCQSRVVPFGYGCEEHTVTTEDGYILSLQRIPTGRGETTAVAAGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANTRGTIYSRGHTSLASTDSAYWNWSWDELASKDLSAIVQYVYSQAGQQKMHYVGHSLGTLIALAALSEQQQVGMLRSAGLLSPIAFLDKMSSPLARAAADIFLAEALYWLGLSEFDPTTESVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQASATKNMIHLAQMIRLGTIAKYDYGNAGDNTAHYGQATPPAYDVTAIPDDFPLFLSYGGRDSLSDDQDVSHLLRTLGRSHSHDGDKLTVQYLADYAHADFVMAGNARERVYAPLMAFFKLQEK >LPERR04G04110.1 pep chromosome:Lperr_V1.4:4:5474083:5476326:1 gene:LPERR04G04110 transcript:LPERR04G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAEEKATATGGADVGALQPSSGDEAAAAASARVRPVETLLRAAPLGLCVAAMAVMLRNSQSNEYGAVSYSDLGGFKYLVYANGLCAAYSLASAFYVAVPRPATLSRSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGSFCRTARTSVAITFGSVACYILLSLISSYRLFSAYDPPQPSLGNKGVEIAAFPR >LPERR04G04120.1 pep chromosome:Lperr_V1.4:4:5482931:5484680:1 gene:LPERR04G04120 transcript:LPERR04G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGKEHRRGVPRPPPLSLYIEREQGEATTMAGQPPAVTRMLQQVATSGNNKKRILSKQLSMKETTREVKWEKRRRQIQRRRSSMALQDADEEGSRNMFTATATTDSEASSSTDRVPKSLTDEDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLCATSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQTTDDEEAKTYYNVEWRPVKIFFMSR >LPERR04G04120.2 pep chromosome:Lperr_V1.4:4:5481637:5483141:1 gene:LPERR04G04120 transcript:LPERR04G04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACEVKPLPRCVVKTQDKRRWRRRLARPAELLEAVHEAAGRRTAAQEDGMRWRLQTIIPTCSCRQLSYVVARQLLRDPLHRNIILPKRKGNGGTFEPTHTIDNIN >LPERR04G04130.1 pep chromosome:Lperr_V1.4:4:5494685:5495023:-1 gene:LPERR04G04130 transcript:LPERR04G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVWVFEDGIVRRADSEAPSRGRGGGGKVLVHVPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDSAAAAPQ >LPERR04G04140.1 pep chromosome:Lperr_V1.4:4:5539522:5539897:1 gene:LPERR04G04140 transcript:LPERR04G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYQWRMLTAASTLVPAACAREACRAYQRRGEAGVNSSASLQEAAVNCVCGWPRRTVESAFRMADRQGKVSGLIRHTIDKGKDVMRWRRGVAAYKQRRRMQVLLSRFGYHLLHEDSNMASR >LPERR04G04150.1 pep chromosome:Lperr_V1.4:4:5542557:5545807:-1 gene:LPERR04G04150 transcript:LPERR04G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLALPHPNLISSCLPPPSDLSPPDLHGLIPWHVSDQIAALLCHILGWDEAGVSRFYVEKVGHPVSASQPDNTSKDK >LPERR04G04160.1 pep chromosome:Lperr_V1.4:4:5546231:5547922:1 gene:LPERR04G04160 transcript:LPERR04G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAVTSGILILALAFASATAFKFNVTEILDEFPEFSVYNGLLSRTGLAGEINRRQTVTVLVVDDPSSAAVTSLPENTQREALALQILLDYYDPVKLDGVKGKAALLPTLATTGGGGGGGVGLVKYTQGDDDQMAFGSAEPGSAMTSQLVMVVASRPYNLSVMQVSAPVLPPRLGGSPSPSSGNDSSSTPSSPASVLASSAGDQSTPALTTDYDDDALAPAQGPGNVGSPTTSSQNMTNIVTADAATTTTTSAAAVSRVMAGAGVGLMSGLLLMLVSV >LPERR04G04170.1 pep chromosome:Lperr_V1.4:4:5549928:5550983:1 gene:LPERR04G04170 transcript:LPERR04G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGFSSSDAIIIRYALPGDGLARLRHVADDADLWGLVSLLLYHEASNLRRIRVFLFADAAPALVEKKDETAVAAAASGGEGKHGGTAVRMKVSYGGEFIRRDRSAAAYYAGGFHHMVRVGLSERLAELRPRLAALAGCSDVSIRYALPASEEEGGELRDVASDGDLWTLVSLLFFHEAFTNSKPKRGRRIRVFLFAVDGASAPTTPALLRRSVSLPSSGGGKHRDASSLMPTLPTLMEEDEGDDDDDTVAVTGKTRKHKTKKFSSMRRSVSVPALATLSSGLDTASASTSSGGGNSASSSSEDDAPAAAAVQLGPVVFVPVMPAMVVYPVIPVYYAIRVVDSSVLLVA >LPERR04G04180.1 pep chromosome:Lperr_V1.4:4:5551638:5554302:-1 gene:LPERR04G04180 transcript:LPERR04G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPPSTPPPVIGKAGNLTVFITPPAAESPDSPRSEFSTPSGSPRAEEDSALEAEKPPVKAAASPPATRLVTPPPMKTFSPPLPAAKLSSDPKPPPPVQVPPPQFEKAGASPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYESTGKEMDSGKAAKPNELSSKMQV >LPERR04G04190.1 pep chromosome:Lperr_V1.4:4:5556316:5556906:1 gene:LPERR04G04190 transcript:LPERR04G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRILPSCFRPMRSVVVAAAIVPEATTTTTTLDELLQLEKRLWEIAPSAAYELQKQRHRTLAMAAAPEAEKKKRLIIATNQESIPIPPSVADDDDKELERRRFVKELRRRTPAASESESESESESAAAASVKVEEDEDDGDDVIIPGRGEEGYLERRRELLGRYCLTPARRSSGIGRQTRLGLFRRRAKSMNR >LPERR04G04200.1 pep chromosome:Lperr_V1.4:4:5559408:5565179:-1 gene:LPERR04G04200 transcript:LPERR04G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYAEQIRRRQHSVSELTYIRDDDTRLETTRARLSNVLKRHEDLKERLSRDSDKLIFERLQREFEAARTAQTEEILIDDEQWNDGLLATIREKVHMEADRKTTANQANVPADAQFQSRSYRIKNKVIYCLDGARIGIQYETFFAGEPCEIYHCVLESKSFLEKMTVIEHTLPFFLPIREVESDFLSSNAIKFIDHLEEILQSYIDRREQVRLIKELYGNQIGELFHSLSYNLIEFVMEDFECKVTVSIRYSDLLLTLPSQARVLAWPLGSFQRTSVTGRRARSAAAAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKQILSSQESD >LPERR04G04210.1 pep chromosome:Lperr_V1.4:4:5573130:5574284:1 gene:LPERR04G04210 transcript:LPERR04G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQQLPSSTVGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCVISLGRENLPLHNHLIRSILHNACHANGPPAIDAPRLTGDVINSEHTQAPVWNNGNTTNQHVEYNRSLIIEQEGKTTVRENGAPSFSDLKRRTQIQNSEHAEPQTKRSHFEKAPPNFHEPPHNNGPSAISYGENSRNKNIHRIQGPVQAPLGIQFPPVSFGGIQKPSAIASAPSNNSFASCYELGELSDTMPLSKRMEKIAEAEGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLLNGVSLKNHVHGQGIIIPAGTNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >LPERR04G04220.1 pep chromosome:Lperr_V1.4:4:5574386:5578189:1 gene:LPERR04G04220 transcript:LPERR04G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTDELCTHRAAAMGSSMMHVGAFLCTLASFGCHKTVWNEGGHYGESREGGGAFLMRPGELEAASLGGRLRSLLPSLVAACPRMETEREQEWPTADRMEEEMVERVKEETRKGRNHNHKKLELSLQRHRAVYEPRRRVLELISAALGGSCFAIACAVVAKGNEMKDSGVC >LPERR04G04220.2 pep chromosome:Lperr_V1.4:4:5574386:5578189:1 gene:LPERR04G04220 transcript:LPERR04G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTDELCTKTVWNEGGHYGESREGGGAFLMRPGELEAASLGGRLRSLLPSLVAACPRMETEREQEWPTADRMEEEMVERVKEETRKGRNHNHKKLELSLQRHRAVYEPRRRVLELISAALGGSCFAIACAVVAKGNEMKDSGVC >LPERR04G04230.1 pep chromosome:Lperr_V1.4:4:5579026:5580129:-1 gene:LPERR04G04230 transcript:LPERR04G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEYGSSVSMMVSYGGKIVHGDNSAAAPCYAGGVHRIVKVAQSEKLSDLRARMAALAGFSSSDAIIIRYALPGDGLAHLRDVADDADLWGLVSLLLYHEASNLRRIRVFLFADVAPRRIQRSSSSPALATLGGACDGESVSGGIAVRVKVSFGGDFIKRDGSTASYYYAGGVHQIVNVGLSERLADLCPRLAALAGCSDVSIQYALPAAEEGHLGELRDVASDGDLWTLVSLLFFHEAFTDSRPKQGRIRVFLFGEEIADSPATPLLRRSASLPSLATSSFSDGDMEISGGKNRHGKLATVVEEEDEDEQDTAAAASTSSASDDTAATAAAMSGVVGVHQQQLVPVAWVPVIPVCPVQVVFIVA >LPERR04G04240.1 pep chromosome:Lperr_V1.4:4:5585034:5587294:-1 gene:LPERR04G04240 transcript:LPERR04G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFPLFLLLQSPRIWPRSNRPAGALVPSSPLPDRPTASPPAPLSALIQPGGGGGRSATTMATSRKDPPSRDRPARMSPNLRPSSSESSAGYGTRRARSVPSSPDRKFGSSAAAPTAASGSPDRPPLSLAGRSISSRSMSSSSSSAHGSRTQPFPKPTLARVKSEKASTAASPRPPALAVPASNSFKDMTRTASASKVPSTLQKSKMSPRPSPDKAAASPKPSTLRSPASVTARGGRTPVLSSTRASGSVAAKKRAEGANGCSVAAASSKARSGAPQRAMGASATSKEEKEDEPSMQFDESESISTPSIEDHLHEQLPDPIDLKPMDMTASHSALSDQQAPFSDILEQQVKSEEEVMENISEDKEVAGDSELQNGGQCANEIAKDVPGIVKVDDESQQAEKEEAKAKADKIWRKDEPKSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE >LPERR04G04250.1 pep chromosome:Lperr_V1.4:4:5591894:5596420:-1 gene:LPERR04G04250 transcript:LPERR04G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREMYLDNHRHVRQQEYSRGGAKKRGGGFYQCGYDKRIYPSGQSVDDGDDVEYDDSMGYDYQDGWDGSREPDGYSHHMRGGYDDTGDFKEWHGVRETYGYSSDMRGGYGDTCNFRERSQHLSEDGIWEMHSYERLDFQPTNNGLSQVSSPETRSDFRTKKARKFKCVKALQPKRPFFSIQAPGHISIKRMGELDVKAFKNAMKQKSSEEAAILCSKWEAEITNPEWHPFMIVMVDGKEMEVIREDDAKLIELKEELGGEIYNLVTKALLEINEYNPSGRYPVSELWNYKEGRKAALKEVIQFIMRQWTRKRKR >LPERR04G04250.2 pep chromosome:Lperr_V1.4:4:5592577:5596420:-1 gene:LPERR04G04250 transcript:LPERR04G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREMYLDNHRHVRQQEYSRGGAKKRGGGFYQCGYDKRIYPSGQSVDDGDDVEYDDSMGYDYQDGWDGSREPDGYSHHMRGGYDDTGDFKEWHGVRETYGYSSDMRGGYGDTCNFRERSQHLSEDGIWEMHSCYDFLHNDSSTSCRMHHPRGRAIVQSPQRNTKYFSYRREARFSAYKQWTEPSELSRNKVGFQDKKSKEIQMCQSTSTKKVFKWDKNGKQGTLNNTPKVEEQLNAIQKLELELKQMRARLNEKTDDMDFMESFSQTLAIKGRQSNDELQDIRQELISLSSAYKHPGT >LPERR04G04250.3 pep chromosome:Lperr_V1.4:4:5592577:5596420:-1 gene:LPERR04G04250 transcript:LPERR04G04250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREMYLDNHRHVRQQEYSRGGAKKRGGGFYQCGYDKRIYPSGQSVDDGDDVEYDDSMGYDYQDGWDGSREPDGYSHHMRGGYDDTGDFKEWHGVRETYGYSSDMRGGYGDTCNFRERSQHLSEDGIWEMHSCYDFLHNDSSTSCRMHHPRGRAIVQSPQRNTKYFSYRREARFSAYKQWTEPSELSRNKVGFQDKKSKEIQMCQSTSTKKVFKWDKNGKQGTLNNTPKVEEQLNAIQKLELELKQMRARLNVMECKSHEKDSVSKNKIDELTKQLQEKTDDMDFMESFSQTLAIKGRQSNDELQDIRQELISLSSAYKHPGT >LPERR04G04250.4 pep chromosome:Lperr_V1.4:4:5591894:5592565:-1 gene:LPERR04G04250 transcript:LPERR04G04250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDVKAFKNAMKQKSSEEAAILCSKWEAEITNPEWHPFMIVMVDGKEMEVIREDDAKLIELKEELGGEIYNLVTKALLEINEYNPSGRYPVSELWNYKEGRKAALKEVIQFIMRQWTRKRKR >LPERR04G04260.1 pep chromosome:Lperr_V1.4:4:5600541:5603482:-1 gene:LPERR04G04260 transcript:LPERR04G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLFVTLSKITAALGADVLQQIQNLLPRESSLFVQLSGCMNRIKKELSVIQAFLSQADLQSAHTRTIEAWVDAVRKVALDVEDIIDEYVHLLGQQKGGRFTSVKVNFGRSQRLSLWHQIVERLEEIERDLQHISEMKERWAQTSTELLGADHQCALPYSPQCGYFINSDDMIGFGKYKMMLMNWLARKDSSTSVMVIFGMGGIGKTTLASNVYESEKSRYDCSSWIATSQVYNTSSLLRMTIRDCFKNMKEIPQNIDMMDLHSLIVELREFLKGRSCLVVIDDVWDQVSVDPILKAFCHSEHRNKVIITTREIQVAKFVDQSYMLQMEELEETEAWDLFCRKAFLNEEERSCPEELVSIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYDKLLCSFENDPGLNHLKHVINLSYRYLPEYLKNCFLFCSIFPENSMIKRKHLIRLWIAEGFVENRGRTTMEELAHHYLSELIHRGMLQVMKRNDNGRVKHCRMHCIVREVTISLCKSRGFHMIWGNDESTCTYEARRFAMHEITQSSSEILIDLPHVRTFLSFDVTMTDTLLSRIICSSRYLTVCIRALFINEVPKEVVSLFNLRYLGLRRTKVKKLPSSLEKLANLQTLDLHHSCISKLPSGITKLENLRHLFVETVKDSSFQSLNACSGVGAPSGICRLKSLQTLFTVEASKGFVKQANKLVQLKSFRITKVKGSHCPALSVSVKRMNQLVYLDIVASDEKEVLNLDISPPPRTLEKLCLRGKLDNYNLHSFFNNFGNNLTCLFLGWSGLSRDPLPLLSDMTNLAFLWLQRAFDGAQLRFVQGWFPRLRRLHLKDMEHLNSLEIEKGSVVSLEVLEMTGLNELKEIPGGILLLNNLQEVYLDLVHKEYFPNHEREDENADDVPRIIYSHRPNFWRGEIVPKRP >LPERR04G04270.1 pep chromosome:Lperr_V1.4:4:5611453:5613333:1 gene:LPERR04G04270 transcript:LPERR04G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSSSAFRRAPALLTSSSPPSSRAGAPLLTPPSSSTTGQWNDAIRDHLDSGHPAAAVSTFAAMLRAGARPDGYTLPLLNRAAASVPGLVGAAHSVGVRAGFAADVYFCNTLVDAYARRGMAARARKVFDEMPARDVVSWTSLVSAHAGVGDVREVSWLLSGMRVDGCEPSSVTLAVVLRACTNNEDASGGRQLHCYAVKSGLSDDLLVLNSVLTYLCRVPALEDAEALFEQSPRRDAVSWNIMISEYSSEGNISKVADLYQRMRREEVCPSCLTLTTVVGAFARCKCLPEGEKLHSFAIRSGLGDAILVASFVDFYAKCGILASSVQLFEEFNEAGSCVWSAMNWGFIYCGQFIEVIHLFGRMLDSSFVPSVDMLQGLVISYKELSALRLGKASHGYMIKNNYDAQSENSALETSIVKLYASCGNLNLAQRCFENIQQKDIVAWSSMIEAYAIHGYGMEALTLFHRMLDEGVRPNGVTFLSLLSACSHSGLVTEARELFDCMTRTFGITPELGHYTCLVDVLGRSGNLEDALHVISDMNVKPDGRIWGALLASCRTHSNGKLASFAAQKLMELEPGNVGYHVVFSNTQAGSDRWHEVESIRSSMVEMDLKKLPAWTCVPETGGS >LPERR04G04280.1 pep chromosome:Lperr_V1.4:4:5613379:5614858:1 gene:LPERR04G04280 transcript:LPERR04G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQLRWLNFARHRLKTENYREVAGGNTDKGHQAGMMAALNKACRIMMDLQKLPVWICVAETYCL >LPERR04G04280.2 pep chromosome:Lperr_V1.4:4:5613691:5614858:1 gene:LPERR04G04280 transcript:LPERR04G04280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRWLNFARHRLKTENYREVAGGNTDKGHQAGMMAALNKACRIMMDLQKLPVWICVAETYCL >LPERR04G04290.1 pep chromosome:Lperr_V1.4:4:5624351:5626840:1 gene:LPERR04G04290 transcript:LPERR04G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERLPATEKKQQQEVDCTEITDMTVSKFKKVISMLNRTGHARFRRGPVVAQSSGPALSEPAPATARSSPAAVAVLRPMTLDFTKAAAAAAAAAGYGKDAGFSVLGISAASSSFISSVTGDGSVSNGRGGGGSSSLMFHPPPPATSCGKPPLSASAGHKRKCHDHAHSENVAGGKYGSTGGRCHCSKRRKHRVKRTIRVPAISSKVADIPADEYSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPGDPSMLIVTYEGEHRHNPAAGVQDTPSPAPATALPLLT >LPERR04G04300.1 pep chromosome:Lperr_V1.4:4:5642184:5645804:-1 gene:LPERR04G04300 transcript:LPERR04G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLNPWSCSSSSTPQLQLLLLFHFLVVVPATSLNFSYDHTFTTNDFRQEDDAMVIAGRIELLGVEFTERGRGRALYQQPMQLWDEATGEEASFEASFNFTITSATGKNSSQAGHGMAFFLAPFMPDFPQDCYDGCLGLLDKSLTATSGAGAMGNASGAARFVAVEFDTHKDAWDPSPRHVGVDVDNVDSLSREDYVVVLPDDSLVDAGVLSATVAYDGGARRLNVTLAGDGATYRRLSAAIHLRSLLPEQVAVGFSAATSDEFTSNHTVLSCKFSSTLPTRTPSATSPPSSKPAHLIAATAAAGAAVLLLLAVSIFVLIRRRNGSGNGSDGDESSLIDSSGDGEFESGSTGPRRIPYAHLAAATRDFAEDGKLGQGGSGSVYGGHVTEMGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLIGWCHGRRRLLLVYELVRNGSLDGYLYDGKEETLTWPIRYHIILGLASAVQYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGRASAESDMYSFGVVLLEVVCGRRPMIVAPRASADDDDGDGEGQGQVFRLVEWAWELYGRGATEALADARLGGEFDRWEMARVVGVGLWCAHPDPKARPGIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFETSTMKYYSDLSMSSVVSDSEAVGYSSASRTTVTQSSGCSLPPGPLGDSSSPRE >LPERR04G04310.1 pep chromosome:Lperr_V1.4:4:5656480:5657070:1 gene:LPERR04G04310 transcript:LPERR04G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYTMSSHVAIVVIILLAMSSLCIVTDAGNGSGGPDADAGRRARAAAIVSDLLAVHNDARRAVGVAPLAWSRGVAAYAKSYARSRRGDCSPQRSPLFYFGENAFVGSGRRWNATAVAAAWVEEGRRLYDYGSNTCGAGGETSSSSDSAPCELYTQVVWRNTTQLGCGRVVCGSGDSLLVCDYFPPGNYGTGRPY >LPERR04G04320.1 pep chromosome:Lperr_V1.4:4:5662845:5664405:-1 gene:LPERR04G04320 transcript:LPERR04G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATRRPRRTTTRRAAAPSVPEDLLWEILVRLPAKDLLRCRAVCRGWRRLATADDFLLAHHRLRPSLPFVSFHAGNHGEVADASVETFDLRRRRTPAERQPVLAFNDYSSRKGFTIHASCDGLILLSLANHRFYICNPATRQWAVLPGLTGGIVAALYLHRPSGEYRILFWKYTEKDPTDTYYVLAVGSEKLRCIGLPVASESTEPFMKFGISVTNQHPPLGREPKHYHYQNINLEFPNVRRMSGLFGEMKSAPH >LPERR04G04330.1 pep chromosome:Lperr_V1.4:4:5670108:5670329:-1 gene:LPERR04G04330 transcript:LPERR04G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLERGLATAGLEAARRSRSRGSALALAGLELSRAWRGNHPRAHASRGIRPRHRLRRTRPYNGVDLVGAA >LPERR04G04340.1 pep chromosome:Lperr_V1.4:4:5671864:5672442:1 gene:LPERR04G04340 transcript:LPERR04G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSTCCLLITILLSLAATSPAAASISVSAVASPPPRELSTPQQFLLAHNEARAAVGVPPLSWNLTLMTDALRYSTELRERCSSLPLDPPWPTDGVYGRNLYKGRGFRNGTEAAAYWLEGRRWYESGKCAASAPRGRCCGAYTQMVWRDTTQVGCVRRPCRCLIRPCSNVIDTVAVCEYYPPGNQPGQRPY >LPERR04G04360.1 pep chromosome:Lperr_V1.4:4:5699008:5703390:1 gene:LPERR04G04360 transcript:LPERR04G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNMPCSSDDVANWICPDCSGDAIITYTRSDVVCPESRLVTAIHSIEADPALSTQEKAHHRQQLLGHASDKRDATKAIRQHAEDMESNNPLCMLNKNINCSFYTMWSQLMFEMFPEWKKSMCHMPGTYYTESGTRTKNQLSTRRMAKTATNSNSPRETTVHHYKQNEDRPDKAFTTERAKRAGMANASSGQIFVTIAPDHFGPILEKHDPRRNRGVRVGDHWGNRMECRQWGAHLPHIAGIAGQSTYGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEMLNAALRVSCLKGYPVRVVRSFKERRSPYAPETGVRYDGIYRIEKCWRKIGHQGKYIVCRYLFVRCDNEPAPWTSDEHGDCLRPLPDIEELASAIDITERKGSPAWDFDATDGWKWMITPPISKKPVVTAGTSAGKKRLGATRNTRNTSIRERLLKEFKCAMCKNVMEEPMTTPCAHNFCKKCLLGTYDNLSITEDRSRGGRILRARKIVKKCPSCPNDISDFLQNPQVNRDIMNVIESLQKEAEKEDQTRVSADGSCVVLANSDDENDGAWENQDDGNLC >LPERR04G04370.1 pep chromosome:Lperr_V1.4:4:5703415:5703761:1 gene:LPERR04G04370 transcript:LPERR04G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITESADGESVTNVNSAENKVDQPYKCTADAREVKGGKRARTSSEAGEGEKGASVDGVPAESSFDVQEQSKDVTVVAVKSINPNPLEAEKFNPKQDQADLP >LPERR04G04380.1 pep chromosome:Lperr_V1.4:4:5710033:5710551:1 gene:LPERR04G04380 transcript:LPERR04G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSISCRLAVVLSLLALTSAAAATLPPASPETAAKFLTAVNNVRRQANAPPVSWDATVAERARQRADWVRWKCDLAQKDKDPGGDTGGPKSYFLKGGGGGRVAPVVDAVGAWAGERRWYDAGNRACVPGKQCGDYMFMVDPKSRQLGCAVAPCAASGKTIVVCEYYPWS >LPERR04G04390.1 pep chromosome:Lperr_V1.4:4:5713374:5714419:1 gene:LPERR04G04390 transcript:LPERR04G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVIHNGRVSPAKVVGDVVTRERRWYDAGRKACVGGKQCEDYLYIVSPVGCGVAACASGKTVVVCEYYPF >LPERR04G04400.1 pep chromosome:Lperr_V1.4:4:5715689:5717299:-1 gene:LPERR04G04400 transcript:LPERR04G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVRRVQQRVRKAREEMNRWDDLNSRLFSQFANATTIIAHLPVLEEAKNYGVLRCVHSIREDLLGKQIESLEIIFVSMREIVYALKSSVISLLTWISSSSDIAVMRQLLVDQPNIPKDEGKE >LPERR04G04410.1 pep chromosome:Lperr_V1.4:4:5717321:5725642:-1 gene:LPERR04G04410 transcript:LPERR04G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLATCPACTTKGRGVILSFLHSPFLSKPSDRFLTLFTPSHSQQTQQSASISPPTSLSYAVGRREEAIAAARQVIVSPIFLAFQSPGTRSLIWAPGAPSRRRRSAATRSDRRSTHCRLLRPEPLRHQYRAEFLSKTPQESPRSVQRGRRSTTVLFGSDRAPPRLLLCIRSSCPRVAAGFAVSPEFPVVAEASPTSPTPFSCVGEHQEGLRAGASNRSSRHTQQKVSGYTTL >LPERR04G04420.1 pep chromosome:Lperr_V1.4:4:5732612:5738328:1 gene:LPERR04G04420 transcript:LPERR04G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLLLALPLSPPPLPLPRLLASSPLRLPRLAAKLPLSPPPLAISSPPSAVGGGGSIYGGGGHRGGGGPPDPGDGSWWRRWAQSLHPDLLLLLAFAFASASIPAALADAPAGGGASVWEVRGGARTLLVPDPSGDSYVVAGDDGRPSKQAEGDGEKAELAALLRRRLERSWRRCSAVAVQLLLPDGYPHSVSSDYLNYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADFLENTAYGLEILTPVFPHLFVPIGAVAGAGRSAAALIQTVPSHLILESGNYAHSHHFRLNSAAAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRANLVFSEYLLSGQVPSVKEVNDEEPLFLNLSIGVPRKESKILSPEAKNAAEIICRRLQLGSKLSEIIEKKEDACALFDLYKDEQYLLTDYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYMGFKPSDVVSECRPGGRLEVSLDYTQREFSHVKHDGSNGGWVMDGLIARPLPVRVRIGDVPS >LPERR04G04420.2 pep chromosome:Lperr_V1.4:4:5732612:5738328:1 gene:LPERR04G04420 transcript:LPERR04G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLLLALPLSPPPLPLPRLLASSPLRLPRLAAKLPLSPPPLAISSPPSAVGGGGSIYGGGGHRGGGGPPDPGDGSWWRRWAQSLHPDLLLLLAFAFASASIPAALADAPAGGGASVWEVRGGARTLLVPDPSGDSYVVAGDDGRPSKQAEGDGEKAELAALLRRRLERSWRRCSAVAVQLLLPDGYPHSVSSDYLNYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADFLENTAYGLEILTPVFPHLFVPIGAVAGAGRSAAALIQTVPSHLILESGNYAHSHHFRLNSAAAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRANLVFSEYLLSGQVPSVKEVNDEEPLFLNLSIGVPRKESKILSPEAKNAAEIICRRLQLGSKLSEIIEKKEDACALFDLYKDEQYLLTDYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYMGFKPSDVVSECRPGGRLEVSLDYTQREFSHVKHDGSNGGWVMDGLIARPLPVRVRIGDVPS >LPERR04G04420.3 pep chromosome:Lperr_V1.4:4:5732612:5738328:1 gene:LPERR04G04420 transcript:LPERR04G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLLLALPLSPPPLPLPRLLASSPLRLPRLAAKLPLSPPPLAISSPPSAVGGGGSIYGGGGHRGGGGPPDPGDGSWWRRWAQSLHPDLLLLLAFAFASASIPAALADAPAGGGASVWEVRGGARTLLVPDPSGDSYVVAGDDGRPSKQAEGDGEKAELAALLRRRLERSWRRCSAVAVQLLLPDGYPHSVSSDYLNYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADFLENTAYGLEILTPVFPHLFVPIGAVAGAGRSAAALIQTVPSHLILESGNYAHSHHFRLNSAAAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRANLVFSEYLLSGQVPSVKEVNDEEPLFLNLSIGVPRKESKILSPEAKNAAEIICRRLQLGSKLSEIIEKKEDACALFDLYKDEQYLLTDYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYMGFKPSDVVSECRPGGRLEVSLDYTQREFSHVKHDGSNGGWVMDGLIARPLPVRVRIGDVPS >LPERR04G04430.1 pep chromosome:Lperr_V1.4:4:5743837:5763534:1 gene:LPERR04G04430 transcript:LPERR04G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDASGLVAVVTGASSGIGAETCRVLAMRGVHVVMGIRNSSAGARVRDEILKQVPAAKVEMLGLDLSSMSSVRRFAANFNALNLPLNILMHCFQRMALRCIFQRITLIKVTAIESGIEGRIVIVSSTSYRYSYREGIRFDKINNESRFLPYAQSKFANVLHSNLLSSNLKEQEAKVTVNSLHPGAAATNIIRHWDFLGVSASLGKFLVKVVEQLFPRRQMWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVAIVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGEHVRDEIVKQVPAAKIEMLDLDLSSMSSVRKFTENFNAMNLPLNILINNAGIAFVPFNLSEDGIELHFSTNHLGHFLLTDLLLEKMKVTATETGIEGRIVIVASDSYRHPYREGIRFDKISDESGYNKILAYGQSKLANILHSNLLSSNLKEQDAKVAVNCLHPGAVATNIMRHWDFVNGMLSTVGKFFVKSVEQGAATVCYVALHPQIAGVTGKYFVDCNIIGLKSHALDMELSKRLWDFSLNLIH >LPERR04G04430.2 pep chromosome:Lperr_V1.4:4:5743837:5763534:1 gene:LPERR04G04430 transcript:LPERR04G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDASGLVAVVTGASSGIGAETCRVLAMRGVHVVMGIRNSSAGARVRDEILKQVPAAKVEMLGLDLSSMSSVRRFAANFNALNLPLNILMHCFQRMALRCIFQRITLIKVTAIESGIEGRIVIVSSTSYRYSYREGIRFDKINNESRFLPYAQSKFANVLHSNLLSSNLKEQEAKVTVNSLHPGAAATNIIRHWDFLGVSASLGKFLVKVVEQLFPRRQMWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVAIVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGEHVRDEIVKQVPAAKIEMLDLDLSSMSSVRKFTENFNAMNLPLNILINNAGIAFVPFNLSEDGIELHFSTNHLGHFLLTDLLLEKMKVTATETGIEGRIEQDAKVAVNCLHPGAVATNIMRHWDFVNGMLSTVGKFFVKSVEQGAATVCYVALHPQIAGVTGKYFVDCNIIGLKSHALDMELSKRLWDFSLNLIH >LPERR04G04430.3 pep chromosome:Lperr_V1.4:4:5743837:5763534:1 gene:LPERR04G04430 transcript:LPERR04G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDASGLVAVVTGASSGIGAETCRVLAMRGVHVVMGIRNSSAGARVRDEILKQVPAAKVEMLGLDLSSMSSVRRFAANFNALNLPLNILINNAGIAFVPFNLSEDGIELHFSTNHLGHFLLTDLLLEKMKVTATETGIEGRIEQDAKVAVNCLHPGAVATNIMRHWDFVNGMLSTVGKFFVKSVEQGAATVCYVALHPQIAGVTGKYFVDCNIIGLKSHALDMELSKRLWDFSLNLIH >LPERR04G04440.1 pep chromosome:Lperr_V1.4:4:5784871:5790727:1 gene:LPERR04G04440 transcript:LPERR04G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRRGAASSAAACPLAGVPGRAPATAAAAGLVVVAAILVERDLLVEDAALLGALAAEGLVVHRPLLPRHLLLRPPRQPQNHRLRRRRRRRRRRGRGGGGGGGGWVHRHRHGSGRRRAGRHRRRVLDWTDGGASRWAFSPLVFPLLFWVFYLAK >LPERR04G04440.2 pep chromosome:Lperr_V1.4:4:5786445:5790727:1 gene:LPERR04G04440 transcript:LPERR04G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRRGAASSAAACPLAGVPGRAPATAAAAGLVVVAAILVERDLLVEDAALLGALAAEGLVVHRPLLPRHLLLRPPRQPQNHRLRRRRRRRRRRGRGGGGGGGGWVHRHRHGSGRRRAGRHRRRVLDWTDGGASRWAFSPLVFPLLFWVFYLAK >LPERR04G04450.1 pep chromosome:Lperr_V1.4:4:5790152:5790532:-1 gene:LPERR04G04450 transcript:LPERR04G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSVTVTVDPSPSSSTAAPASSSAPPPPPPESVVLRLTRRPKKKVTWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDDEPGGGGGRRCPPGDAGEGTSGGGGCCSSSSHGHGHGH >LPERR04G04460.1 pep chromosome:Lperr_V1.4:4:5802954:5809639:-1 gene:LPERR04G04460 transcript:LPERR04G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAAAAALRHRLLSSTSPSTSSSSLPRLPSAPYPLHHLLLSRRGSADGDDEVQRQHNSSPPFSPRPLLTSASSVLGLARWRALPPAAPPPLADAPPVRLTLSRSYSLRVAKGKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVKKGAEAEGMSIWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSL >LPERR04G04470.1 pep chromosome:Lperr_V1.4:4:5828031:5843159:1 gene:LPERR04G04470 transcript:LPERR04G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPAAPSSDAAAAAAEEIRRPRLAARRLTPRRACRDVAVLAQPESLLPRRAPDFVVEALRSIAELMIYGDQHDPAYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLKNEHSIYYIFSNEHINFLITYPFDFQIDEMLSAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSREPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGKFITENILQLLDLGVSVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCPDRKQGTPNGYSSEHDNVMSDNQGTSISDTDLSNEDKSGSLSSSSSDCCQGNTLREHLLSYVISGDDFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDIAERQLFSSSSGLTDDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKEYSIKLLDESGGCWRDLLVPIVKEAWKNCKKAIETSSPPKGSKSTILPLDSCSFGGDSSVAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIYSPIDLPVNNRGTIAGIDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDDKHAKWLHLRIRPSTVPFLDTEKYKGKTKKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQNAVGEQLKLLVEFDMPEDGLQHPCSSQETTSDDGS >LPERR04G04470.2 pep chromosome:Lperr_V1.4:4:5828031:5839748:1 gene:LPERR04G04470 transcript:LPERR04G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPAAPSSDAAAAAAEEIRRPRLAARRLTPRRACRDVAVLAQPESLLPRRAPDFVVEALRSIAELMIYGDQHDPAYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLKNEHSIYYIFSNEHINFLITYPFDFQIDEMLSAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSREPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGKFITENILQLLDLGVSVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCPDRKQGTPNGYSSEHDNVMSDNQGTSISDTDLSNEDKSGSLSSSSSDCCQGNTLREHLLSYVISGDDFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDIAERQLFSSSSGLTDDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKEYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSVAIAERIYEMVKRIPKPNSHPTADEILYQPPSIEISTAHKQLGERTEMNANMTEDKTHATTESLTLSL >LPERR04G04470.3 pep chromosome:Lperr_V1.4:4:5839801:5843159:1 gene:LPERR04G04470 transcript:LPERR04G04470.3 gene_biotype:protein_coding transcript_biotype:protein_coding METYAVTVPDLGWQDDCEWCVMNHQDTNSVMVAWSHGKYVEKKRLKGFVLQRQVILFCLGETLTDQPPIYSPIDLPVNNRGTIAGIDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDDKHAKWLHLRIRPSTVPFLDTEKYKGKTKKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQNAVGEQLKLLVEFDMPEDGLQHPCSSQETTSDDGS >LPERR04G04480.1 pep chromosome:Lperr_V1.4:4:5847710:5852467:-1 gene:LPERR04G04480 transcript:LPERR04G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLAVAIARRRGHAQVTPLHVAFALLTPACSPPPHPIIPTTPPPPYGLLKRACLRSHPPAAAAHPLQCRALELCFNVALNRLPTSSPPHSQSSPPPPQSSPPFASSLIQPNPTLSNALVAALKRAQANQRRGCVELQQQPPPPPPMAAAQQPQQQQQQPLLAIKVELDQLMISILDDPSVSRVMREAGFSSSTVKSNLEEESAALITTTSSPPPPHFFMMWPPPPTGTMAGVTPMTSPPSNTEDVRAVLEVMVRRNGRRNNPVVVGDSVSMAESVAGELLRRLEDGGEMIPDELAGAHILKLQLSYVHVRLMSRADVDAKAAELRRSVDGAVRLRGGGGLVVYVGDLRWALDDDSHHHNHTAAASYSPVDHMVNELGRLRGPGGGRVWIMATASYQTYMRCRRRRRPSLESTWALQAVVVPTASATGLALNNLHTNTTSNTSNGGAPMQQAMVATKQQQLASPFAMAAEPFALEQEDKMLVLCTECSRNYEREASAVKAEAAADEEGPLAGNLPGWLVPEAPKEKYLIDLKRKWSRLCRKLHLCGGDPCSAPPFGAGAYGSGGPTLPWWSASCLLPTSAAGSTAKPAIAGFLGMDTLQWSPPSSSTAASHPRHRGMSSLRDTVPECHDVTTALSLGSLPLSDSASSSGGGGESELEQRLRESVPWQRAAVAEIAHAVSAAAGAQRSGVWLLLKGGGGGDHAAVGRAAAVIAETRCGGADRVVSVDDPSKFGCAEDFCSDIVSRGASMAAGGDKTKLVLVVGDVERALRHVVDCLVAAARSGAMKDNVGGREIDLSDSIVILTTSKFSDSTAAISGGVISLRLYTSDDSPSPSPPSGDHKRRKAVATSPPSDRKRARRSNGLDLNLNLFASDDDDDEEQEDGGAVPSDITDECDSGDHRNRLLENVATRVVNLKDAAAAAVRERLSRRGLRVDGEAAEALAAATGHVADEVMERWVEEVFEPAAATVKNGGKTVVVLGVGPGGGGGAQESGFMGSVLPSRVHVN >LPERR04G04490.1 pep chromosome:Lperr_V1.4:4:5943936:5947171:1 gene:LPERR04G04490 transcript:LPERR04G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGSSKTATNASVSEDSGWLPSLIDEGKLNLMVKEDVLLRQVECYQLDSRPPSVANITRPKQTPMPDGLAINYFDDEEEGKAADVTAVNVEVQANSSKGRKHKALIASDLDDEMANQSAPVPRLSSPPPSTAPKARPFSLCPAKRRSLKVSSIKPSTSFTCKADDIPPQPPTTLVVETPVVMLTDLQSDSAEVVVPEATVSPPPHVAAIDIRPTAAQVTTSSDITPTGIFFKLIQHQPTEIALTTTAAATPATTTEATPSPFPAFITVVDAASADKGKQVQGSLAAAGPTTGSDSERTVSEEKVVQNSSAKDTLLERIAPLAEKAEQAQEELAILRNEVAAYRNICSNFKDKLRDFLGHDPAIFEAKKQAKEQVQKLQAELTQLQSKNQAKKYKDKLKTLMQKHEELRTSNAKETSSMKMKHNNDLDKMKAKLDEARRISAEFCEDAEPILDTLYSATAESNGSSLQVVSELLQPAPTKFKEIILESVGVACSQTLAILKSLCPSINLQPITSRYAEGTTDEKALELTNEVDDIAKVVAKNSLYPEE >LPERR04G04500.1 pep chromosome:Lperr_V1.4:4:5959199:5959873:1 gene:LPERR04G04500 transcript:LPERR04G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDYVEEQKNMTRLTQLMSKSSDLVLKVVKNSSAKDTLVSTLAPMIEEGKNIRDELCILKAEMAKNRDSDRNFKDSLRGKFLTHPVISSSL >LPERR04G04510.1 pep chromosome:Lperr_V1.4:4:5968623:5972883:-1 gene:LPERR04G04510 transcript:LPERR04G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASDMGFLLDLYWHTPHFLNTFDLAAVAADSLMDNFGMYVPSPEKDGSLSDLFAYSSHYDDESSPEVANSCSTVAAAAAAAAAATAASSKNIVMERNRRKRLNKKLYELRAVVPNITKMDKASIVRDAIAHIEKLQEEERQLLEEISALQPAVVPTAGAAVKEDDGVALPRLKRMRCTPRVDDSPPLQILQLEVSKVGESTIAVSIRCAKTRDAMAKLCRAMELLRLKVVSANAAAVDGTIVHTMFVEKLDQTLCKGYKHYNGVRRQNKWVVLMR >LPERR04G04510.2 pep chromosome:Lperr_V1.4:4:5968628:5972883:-1 gene:LPERR04G04510 transcript:LPERR04G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding METASDMGFLLDLYWHTPHFLNTFDLAAVAADSLMDNFGMYVPSPEKDGSLSDLFAYSSHYDDESSPEVANSCSTVAAAAAAAAAATAASSKNIVMERNRRKRLNKKLYELRAVVPNITKMDKASIVRDAIAHIEKLQEEERQLLEEISALQPAVVPTAGAAVKEDDGVALPRLKRMRCTPRVDDSPPLQILQLEVSKVGESTIAVSIRCAKTRDAMAKLCRAMELLRLKVVSANAAAVDGTIVHTMFVEDISTTMVSEDRTNGWCS >LPERR04G04510.3 pep chromosome:Lperr_V1.4:4:5968623:5972883:-1 gene:LPERR04G04510 transcript:LPERR04G04510.3 gene_biotype:protein_coding transcript_biotype:protein_coding METASDMGFLLDLYWHTPHFLNTFDLAAVAADSLMDNFGMYVPSPEKDGSLSDLFAYSSHYDDESSPEVANSCSTVAAAAAAAAAATAASSKNIVMERNRRKRLNKKLYELRAVVPNITKMDKASIVRDAIAHIEKLQEEERQLLEEISALQPAVVPTAGAAVKEDDGVALPRLKRMRCTPRVDDSPPLQILQLEVSKVGESTIAVSIRCAKTRDAMAKLCRAMELLRLKVVSANAAAVDGTIVHTMFVENKWVVLMR >LPERR04G04520.1 pep chromosome:Lperr_V1.4:4:6002374:6004265:-1 gene:LPERR04G04520 transcript:LPERR04G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEMAMGDSFAYYWETKMYLESEELVDSMYLPMQEDSNYESSSPDGSHSSSAAVDGVAGAGAGGDAPACGDAGANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQRLQAEEQQMLREVAALESSAAAAANQFAVAGEHDEYLGYGYGAIDGVAAQRKKVRRALSVSSMSDALAAAPVEIQELRVSEVGEKVLVVSVTCGKRRDAMARVCRALEELRLRVITANITSVAGCLMHTLFVEVDHMDSVQMKQMVEAALSQLVGTSSPLSSMSY >LPERR04G04530.1 pep chromosome:Lperr_V1.4:4:6017261:6021778:-1 gene:LPERR04G04530 transcript:LPERR04G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTPTLRSRPRLAAAALAASAALLLVCLTWAGAGAGGFLFSSTPSTSTASAALRATGPDAPPAFAYWISGTGGETRRVLRLLRAVYHPRNRYLLHLDAGAGGDERAELAAAVRGEKAWGNVDVVGEGYAVDRTGSSVLAATLHGAAVLLRVARDWDWFVSLSSSDYPLVTQDDLLYAFSSVPRELNFIDHTSDLGWKEHDRFEKLIVDPSLYMDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRTLLMYFANAAYSMESYFQTVICNSSEFRNTTVNTDLRYFVWDDPPGLEPLILDESHLDNMVNSIAAFARRFVEDAPVLTKIDEELLNHSSVCVNLSGKQGMDVDSCSKWGHINVLRPGRAGEQLRRFISEISQTRGCS >LPERR04G04540.1 pep chromosome:Lperr_V1.4:4:6028701:6031268:-1 gene:LPERR04G04540 transcript:LPERR04G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVADLLFLEVTVVALVIFATAAPLIPRESYDYPTAEADLSTRWVNNAAKLQHSISYTDGSAVRAIVLRSPQAFYGPSFAAGFFCDPPCKAFLFAVFIVYTNSGAGITLPVNGIAQVIWSANRESPVGENASIELTGDGNLVLHEANGTLVWSSNTSSQSVAGMDISENGNLVLFDQTKKTVWQSFEHPTDVLVPGQSLLQGMRLRANTSATNWTESKMYMTVLSDGLYGYVESTPPQLYYKFQVSTNKTSKDPTRVTFMNGSLSILLQSTKPRNPDASITLPTAKSTQYIRFESDGHLRLYEWSSGEYWTMVSDIIKKSPDDCDFPTVCGEYGICTGGQCICPLQSNFGTSYFQPVDKRKANLGCAPVTPISCQEMKNHQLLTLTDVSYFDVSQIIKNAKNRDDCKQACLKNCSCRAVAFRYYGQNDSYGECQSVTEVFSLQSIRPENVHYNSAVYLKVQITTSASEPKQNKTKIILGAVLAAITTLVLVAIVAIYIRRRRKYRERDEELDFDILPGMPMRFSFGELRECCKDFSQKLGEGGFGSVFEGKIGEKRVAVKRLEGARQGKKEFLAEVETIGRIEHINLIRLIGFCAEKSNRLLLIDRDQSKIVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIISGRKNIDVSQPEESVQLINLLREKAQNNQLTDLIDKHSKDMVSHHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGGMSVENCLDYGFFNANSAISAQGNPSVYSAPPQASVLSGPR >LPERR04G04550.1 pep chromosome:Lperr_V1.4:4:6043049:6046799:1 gene:LPERR04G04550 transcript:LPERR04G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQKPLRPPIDSPSTHPLPDRCSTDVICCLGGCPGEEAPMAHKVITGCISLHLTSKGVEEAIEARLYRRGPRGSSLKSEVMPYIQYMYRFFSSLGI >LPERR04G04560.1 pep chromosome:Lperr_V1.4:4:6054398:6056950:-1 gene:LPERR04G04560 transcript:LPERR04G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLPFKTMLPANLFFFDVMVVVILATAAPSVSGQRYDYPTANLSTRWVNNAAAEHSVTYSDGSAIRAIVLRSPQTSYGPSFAAGFFCAPPCQAFLFAVFIVYTNSGGRITLPVNGIPQVIWSANRASPVGENATLELTGEGDLVLREANGRFVWSSSTSGRSVAGMEITENGNLVLVDQKNATVLKSFDYPTDTLVHGQSLLQGMKLRANTSNTNWTESKLYMTVHPDGLYAFVESTPPQLYYNSQVIPSKTSKDPTRVTFMNGSLGIFVHSTQPGSPDASITLPTAKSTQYIRFESDGHLRLYEWSGGEWTMVSDVIKIFPDDCAFPTVCGEYGVCTSGGGQCICPVQSNSNSSYFHPVDERKANLGCAPVTPISCKEMENHQFLPLTDVSYFDVGQIIKTAKNREDCKQACLKNCSCKAVAFRWYGQNDLDGQCQSVTEVFSLQSIQPETVHYNSSAYLKVQLISSSSDPKQNKMKTILGATFAAITTVVLVVIIFIYVRRRRKYQELDEELDFDILPGMPMRFSFEKLRECTEEFSKKLGEGGFGSVFEGKIGEERVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHDNVPLDWCTRYRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIISGRKNIDVSQPEENVQLINLLREKAQNNQLTDIIDKHSKDMISNHQDEVIQMMKLAMWCLQNDSSQRPSMSMVVKVLEGGMSVENCIDYNFFNANSAISAQGNPSTYSAPPQASVLSGPR >LPERR04G04570.1 pep chromosome:Lperr_V1.4:4:6060539:6062188:1 gene:LPERR04G04570 transcript:LPERR04G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERTEVASCDHFRSSSPPRCQSPIPTMVRSPSGSVLGIVAGREIHHQARLRPPISFICDNFARIKQERKD >LPERR04G04580.1 pep chromosome:Lperr_V1.4:4:6060883:6063523:-1 gene:LPERR04G04580 transcript:LPERR04G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFYHEISLKSYPSAMLPSNTFFIIIVVIVITLAKEAQPVYAQRYDYPTANLSTRWVNNAALLQHSVSYSDGSAVRAILLRSPKTFYGPSFAAGFYCAAPCNAFLFAVFIVYTNSGGGITMTTTGIPQVVWSANRDQPVRENATLELTYNGNLVFRDAGGSLIWSSSSSGQSVAGMEITDMGNLVLFDQRNSTVWQSFDHPTDTLLPGQSLMAGMKLRANTSTTNLTENQVYMTVQPDGLFAYLESTPPQLYYSYSVHTNISRKDPTKVTFTNESLSIFVQSTQSSNISLPPAKSTQYMRLEFDGHLRLYEWSNTEAKWTVVSDVIKVFPDNCAFPTVCGKYGICTGGQCTCPLQSSSSLNYFKPVDERKANLGCAPLTPISCQQVQSHQLLTLTDVSYFDDSHIITNATNRDDCKQACLKNCSCNAVMFRYGQNDSDGTCFSVSEVFSLQSIQPETLHYNSSAYLKVQLSPSAPASTTNKTKTILGATISAILIILVLIVTVVTLYVQRKKNQEIDEEIDIELLPGMPVRFTYEKLRECTEDFSKKLGEGGFGSVFEGKIEEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSHRLLVYEYMPRGSLDRWIYYRHNNAPLDWRTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIISGRKNIDISQPEESVQLINLLRVKAQTNQLTDIIDKHSDEMVSHHQDEVIEMMKLAMWCLQNDSSRRPSMSTVVKVLEGAMTVENCLDYSFVNANSVISAGDNPSIYSAPPSASILSGPR >LPERR04G04590.1 pep chromosome:Lperr_V1.4:4:6069464:6069676:-1 gene:LPERR04G04590 transcript:LPERR04G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHVDLTLSQRTISPGLCRELLASVPGDTTPAPGVRGKTNGELRRGRMQRSDVEEVNHRQLLVGSHSLL >LPERR04G04600.1 pep chromosome:Lperr_V1.4:4:6071649:6074302:1 gene:LPERR04G04600 transcript:LPERR04G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAARMAFASCVRLHVLFSPSSMPIPTPAAMARTRGVIAAKPVSFLAPSASPAASVLCYCSDATAGAPATQAEGEEKKPAPAPAPAPAAEVTTEELAALLDIRVGRVVKAWRHPEADTLYVEEVDVGEAEPRTICSGLVNFLPIEELQDSNVIVLANLKPRNMRGIKSNGMLMAASDSSHENVELLTPPEGSVPGERVWFGAEYEKDRQSEAASPNQVQKKKIWESVQPHLRTSEDCTAFLGEHPMRTSAGVVFCKTLQGARVS >LPERR04G04610.1 pep chromosome:Lperr_V1.4:4:6074849:6080453:-1 gene:LPERR04G04610 transcript:LPERR04G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGWFREYLVVRGSLGEKMSKLTNMFSVLNLDAEDDREDAEEPTSSKTEPAATIEADKRRLNHTMIVNYDGENLASSSSNYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECLNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLEFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCKRWFTKEWKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKLK >LPERR04G04620.1 pep chromosome:Lperr_V1.4:4:6082192:6093882:-1 gene:LPERR04G04620 transcript:LPERR04G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERRMVFVTVGTTCFDALAKAVDSELVNEALLQKGYTHLLIQMGRGTYVPSKVTGNSTLQVDYFTFSPSIADYIREASLVISHAGSGSIFETLRLSKPLIVVVNEDLMDNHQSELAEELAERKHLFCAHPQTLQKTIEAMDLTALQPYVPGDAKPVVTLINKFFGFPVD >LPERR04G04630.1 pep chromosome:Lperr_V1.4:4:6102649:6107134:1 gene:LPERR04G04630 transcript:LPERR04G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCCDIPCISMSDLGKGGAHGCNAHNLFDGMPSQHELFEEDILLVMNEEKITREEAMHLLQEGWAEAMRRFERRFDEKLDQLLELFGVKVARSEACENREEGHSTSINSTNSNAKSVSFSSPASPTPVPTICSMNCSNPNIGLDITMAVVDMEGTTSMASMVMEKGDDTTNITYMNTLDHSKVAHAKCSTAGLAINGGTDQAMIVFPAMKSVSKFIPTSVAPRDTSPRIIASDKWNTMILTRQPLMAYPKRISWLPACLGEVGKIIFDATMATTGSILHIFGLRTSRNSRKEDCQEPNSATYGPAQPFTKSSPCKPKIHLYICSTSSSREASKDQKLKLCQGGDGGVLVAMNGTLAISLPIPLLLSVSNPDVPEVDEPYPEVENDLGDDGRCVSPDLVATSGSLRTEHVNVYASTIRTYHMPYWGPACLSTRTTVIIYAHRRNVRIWDPRSARLAVLRQGVSPTW >LPERR04G04640.1 pep chromosome:Lperr_V1.4:4:6110243:6110536:-1 gene:LPERR04G04640 transcript:LPERR04G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPISQKPVKRTRNHQDNEEEPDSDRLVGAIISNLEASITLDGEDSYSASEPDDSRAIYTVDGGNDTASTSATPMQRLAAIQQILNKTPFDAAENP >LPERR04G04650.1 pep chromosome:Lperr_V1.4:4:6110552:6113972:-1 gene:LPERR04G04650 transcript:LPERR04G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGAWSGGHHNVVEAMGEVTWPEVDDGGRTGKASAAAVKTLAARSSVSPDQHRRNSSEREHDGRELAGAEEDDTGEEDSCGGDVAVFSCVLDGWGAEDKAPTTTATKEQPSDTTFWYQASAGRIRVKVNTWCAIHKTPEHSLEDCKTVQRVKTYMEKNGQQEGARIYALWCPVHNNRTHSILDC >LPERR04G04670.1 pep chromosome:Lperr_V1.4:4:6157468:6160916:-1 gene:LPERR04G04670 transcript:LPERR04G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPASVSGDLRRRSGRLPKLPLHLGDRQNTAPPIAVSLPSSPAMPTAQPILPCSATDSTREREAEQRKRTEKISAACVPSVLGPRDH >LPERR04G04680.1 pep chromosome:Lperr_V1.4:4:6189739:6191024:1 gene:LPERR04G04680 transcript:LPERR04G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIFLQLLLAVVAALCFSPARSDWLSGTATFYGGADGSGTMGGACGYRNLYDQGYGINNTALSTALFNDGASCGQCYLIMCDNSRAPGWCKVGTAITVSATNLCPPNWDLPSDNGGWCNPPRPHSDMSHPAWENIGGEVLEVRRSEVHNQRVQLLRAGASDEHGRERVSRLHVSERIQHRWIQMTRNWGANWQCLAGLAGQALSFSVTSTGGQNIVFDNAVPAGWSFGQTFSTYHQFDY >LPERR04G04690.1 pep chromosome:Lperr_V1.4:4:6192225:6198096:-1 gene:LPERR04G04690 transcript:LPERR04G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPAPLRKCAKRRRVYGPWAIDHRRPVHFFLLDPLPPPLSPKSLLLSPPSRFALLCSLPAAAAAAGGGRVIQGRLMLRQLKSAAAPRSPVRSPPPAPSASTTRDGSGFEGDEEEESVRAIAVSDQRTIYLVNMFIANTVEFLNSFSALCNNKLALLHRKIVKLDSSLALLEAKLHSIDDANALGHSTNQKKENMHNKPHF >LPERR04G04690.2 pep chromosome:Lperr_V1.4:4:6191692:6198096:-1 gene:LPERR04G04690 transcript:LPERR04G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPAPLRKCAKRRRVYGPWAIDHRRPVHFFLLDPLPPPLSPKSLLLSPPSRFALLCSLPAAAAAAGGGRVIQGRLMLRQLKSAAAPRSPVRSPPPAPSASTTRDGSGFEGDEEEESVRAIAVSDQRTIYLVNMFIANTVEFLNSFSALCNNKLALLHRKIVKLDSSLALLEAKLHSIDDANALGHSTNQKVQTVL >LPERR04G04700.1 pep chromosome:Lperr_V1.4:4:6199763:6211367:-1 gene:LPERR04G04700 transcript:LPERR04G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEIVDAVQKWMAFPTTTIGTEIIAEEEPKQQQQPPPSTGKRDSGGSNKSSVDGKPSSMEGGRASYDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFAMTGYSPREVVGRNCRFLQGPETDREEVGKIRDAVKDGRSFCGRLLNYRKDGTPFWNLLTVTPIKDDAGNVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKDKALSSMTEVVQTVKQPRGARATQQQQTGDAAAAVMTPPKMSDADSGGGASFKSPLWDLKKEDSLMSRRASGRKSGRSSLMGFKIGKRSSVGSREAASAAVTEEPPAPPPPPPAAAAEVERRDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKVREAIREQKEITVQLINYTKSGKKFWNLFHLQPMKDQKGELQYFIGVQLDGSDHVEPLRNRLSENTELQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSTPVSPKPHKRNNSSWIAIEKAKKVGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTSSKPHVIKNSMSLKRRRSKEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGSGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSDRIGSNAGANDIKQHPFFQDINWPLIRCMSPPKLDVPLKLIGKDTLPKAKPGEDGGGN >LPERR04G04700.2 pep chromosome:Lperr_V1.4:4:6200687:6211367:-1 gene:LPERR04G04700 transcript:LPERR04G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEIVDAVQKWMAFPTTTIGTEIIAEEEPKQQQQPPPSTGKRDSGGSNKSSVDGKPSSMEGGRASYDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFAMTGYSPREVVGRNCRFLQGPETDREEVGKIRDAVKDGRSFCGRLLNYRKDGTPFWNLLTVTPIKDDAGNVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKDKALSSMTEVVQTVKQPRGARATQQQQTGDAAAAVMTPPKMSDADSGGGASFKSPLWDLKKEDSLMSRRASGRKSGRSSLMGFKIGKRSSVGSREAASAAVTEEPPAPPPPPPAAAAEVERRDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKVREAIREQKEITVQLINYTKSGKKFWNLFHLQPMKDQKGELQYFIGVQLDGSDHVEPLRNRLSENTELQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSTPVSPKPHKRNNSSWIAIEKAKKVGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTSSKPHVIKNSMSLKRRRSKEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGSGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSDRIGSNAGANDIKQHPFFQDINWPLIRCMSPPKLDVPLKLIGKDTLPKAKPGEDGPLSLDAF >LPERR04G04710.1 pep chromosome:Lperr_V1.4:4:6218836:6221256:1 gene:LPERR04G04710 transcript:LPERR04G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQISSVSPLRIRSPFFPDPDVEFEPSSYTCYPSPIPISPNRVASLRLRAYTSESLSDHQIIVIISGSRARIEDPEAATKFGVVQLPQAPLRGKGSLVVVVRGERCRCFVPGLVLDLVAGSNGGSVRPRSEQRSH >LPERR04G04720.1 pep chromosome:Lperr_V1.4:4:6230069:6233664:1 gene:LPERR04G04720 transcript:LPERR04G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNATNRQVTFSKRRGGLMKKARELAVLCDADVGLIVFSCTGRLYDFASSSIVPFVSMKSIIERYQEAGEEQCRLLNPVSEAKFWQREVTTLRQQVQNLHHNNRQLLGEELSNFTVRDLQLLQNQVEMSLTSIRNKKDQLLAEEILELNQKGSAVQTENIELRKKFNIAHQRNIELHKRLNSGESTSGEQATRTCKDPGESSTHRDSCVHIDLELSHKGDEDE >LPERR04G04720.2 pep chromosome:Lperr_V1.4:4:6230069:6233664:1 gene:LPERR04G04720 transcript:LPERR04G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNATNRQVTFSKRRGGLMKKARELAVLCDADVGLIVFSCTGRLYDFASSSIVPFVSMKSIIERYQEAGEEQCRLLNPVSEAKFWQREVTTLRQQVQNLHHNNRQLLGEELSNFTVRDLQLLQNQVEMSLTSIRNKKDQLLAEEILELNQKGSAVQTENIELRKKFNIAHQRNIELHKRLNSGESTSGEQATRTCKDPGESSTHRDSCVHIDLELSHKGDEDE >LPERR04G04720.3 pep chromosome:Lperr_V1.4:4:6230069:6233121:1 gene:LPERR04G04720 transcript:LPERR04G04720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNATNRQVTFSKRRGGLMKKARELAVLCDADVGLIVFSCTGRLYDFASSSIVPFVSMKSIIERYQEAGEEQCRLLNPVSEAKFWQREVTTLRQQVQNLHHNNRQLLGEELSNFTVRDLQLLQNQVEMSLTSIRNKKDQLLAEEILELNQKGSAVQTENIELRKKFNIAHQRNIELHKRLNSGESTSGEQATRTCKDPGESSTHRDSCVHIDLELSHKGDEDE >LPERR04G04730.1 pep chromosome:Lperr_V1.4:4:6236312:6237320:-1 gene:LPERR04G04730 transcript:LPERR04G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRWGIWPEIGPTNPDGPFVHLFRRGINEPWQRWHVWASSTHGDRAAGKSQDDDGTEAAEDSQEWLVGNGEG >LPERR04G04730.2 pep chromosome:Lperr_V1.4:4:6234651:6236308:-1 gene:LPERR04G04730 transcript:LPERR04G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGWPNYKPFRAGDVLLFKYKAGQHNVVVMRDPVAYSLCRQFPPNATTYSSGDDRVTLAHGMTFFLCNVSDHCTNGMMMVVTAS >LPERR04G04740.1 pep chromosome:Lperr_V1.4:4:6239326:6240452:-1 gene:LPERR04G04740 transcript:LPERR04G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILVVETVLLLILQGQSREGGGGVEEAENSREWAVGDNRGWSIVVMGWPNYKPFKAGDVLVFKYKAGQHNVVAMRDPVAYSLCCQFPLNATTYSSGDDRVILAHGMTFFLSTISDDCTNGMKMAVTAS >LPERR04G04750.1 pep chromosome:Lperr_V1.4:4:6245503:6247207:-1 gene:LPERR04G04750 transcript:LPERR04G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEDKDIVMSPPQKKDDIVVLMDDAQLMRLAILLRNKEEAIGRQMKFKSPEHLAEYLDCVNGAYDHAVSLLRDSSHATSDAHRAAADDDDTRRVIADDLSDYIKWGLNYGMQNIRNCSHRLDCISKIRAHYDSVVAELSGRSLGERRLRELAEEMVAFKESMSQHCKNLRSGSSRALSKLYSMALKQENVKFPDLVNKHKKKLGFHGEFSELEEAEKLEVYNSIIDESGRAKVQKRKAIVERSKGVAVLVATAGLIVWDIYTAEHTLEATVHRTLNVLSDVGQFAVQVAVEAAVTDMLVDVELGVFVVSLAGFVVGTAAGFLFAAVSGLFMDAIFGSGGTAPPPVENVTFHKVITPPDGMALANRIYYDG >LPERR04G04760.1 pep chromosome:Lperr_V1.4:4:6265248:6266099:-1 gene:LPERR04G04760 transcript:LPERR04G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNPFGTAVNAEVVKSYSRYRGHAITREDCAREAMRMIHAGRKNMDALHHAGELKSSHGSGVSAMVLVYNATGDKLELVDSKDWDGSSVYHEEPPSSFLNGQWISFLHAHPGGRAVGCEGARVFRGFTSTGEVRDFLVAWTIPWGWGGNRAYTEVREKDYFKDKWGDIKNKLDNANKITIDESDQYCASTVSIGGYSTSECIAVLHHKFRPLPGEEE >LPERR04G04770.1 pep chromosome:Lperr_V1.4:4:6274739:6276561:1 gene:LPERR04G04770 transcript:LPERR04G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGEVIDNCYLDTLAQYVGKTKTRVDRAREAADRENEDGKNNEASNYVHEVRKWYGEGQSTLCLVYNATGSALRYVGEHDFDGSHVGRTPYPVEIGNGQWAAFQHVRMTGELLGGSIGAVIYRAKNKDGEERDVLLTWSTPVVGKNRAFSVLSTPDKFTVHATRNMWETIEHGIRFSAGKKHEVRWGGLHVNVSTASGNHPELKATIGLDI >LPERR04G04780.1 pep chromosome:Lperr_V1.4:4:6283077:6286557:1 gene:LPERR04G04780 transcript:LPERR04G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPPSPSTPQEEGEEPVHCKFLEDAVGRCGDSSPPPSNASLGGVAGVALVEAMAGAWALKDLVEVVAVVVTPAGAADSEEVVAGGATPALAAGASVDDDDNDNDEEEFDDNGQRVNWKQRLAHSSI >LPERR04G04790.1 pep chromosome:Lperr_V1.4:4:6289956:6290865:-1 gene:LPERR04G04790 transcript:LPERR04G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAK >LPERR04G04800.1 pep chromosome:Lperr_V1.4:4:6290101:6290826:1 gene:LPERR04G04800 transcript:LPERR04G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQEELKRVAAHRAVEMVTSGMTLGLGTGSTAAHALDRLGDLLRSGELMAVAGVPTSLKTEAHAGRVGIPMLALGGATRIDLSIDGADEVDTELNLVKGRGGSLLREKMIEGSGGKFVVIVDESKLVPRLGCTGAVPVEVVPFGCDRTLGLVRKVFDGLPGFSARLRKVVVGKEEVPFVTDNGNYIVEMFFEDGIRGDLGEISDRLLRITGVVEHGMFIGMATSVVVAKKDGTVAVLHRK >LPERR04G04810.1 pep chromosome:Lperr_V1.4:4:6295919:6296437:-1 gene:LPERR04G04810 transcript:LPERR04G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTTTAPFAARLHAPAAAAAARASFSTLCPPSLPRGRLALASASSNGAGAAENKGQQEKQRDEQEASEEEEATGRGEEKTPEAVAAELKEVLRARKEAEAAAADGGGWWSGVAQEMGEIEWPSPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRVFAGRGLQDFF >LPERR04G04820.1 pep chromosome:Lperr_V1.4:4:6298440:6306435:-1 gene:LPERR04G04820 transcript:LPERR04G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREAEAEEQLLRRSLRLFAADERSFRMDRRSPAAAALREAVSDVLPRFLGSYTDEILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWSYLSKKVITSGDSSGIQHGLTNEIQNRNGKKNLQVDYACLVNSEISSPQEHHGLQKNDSTEGQNVARRRISSTITVTPERFVDEQCYGEGQHQKKDQSTSSGRSFSALKPGVAARTAQALTQEELRHEECIGRNASARRFPLSLKTDDVLDPESVKKRGNVWDRLGKPAVKDRIFLAESDDIHFQNGLHKKAKLMATEHELRYRMNSSTEGDLFDIETCRRFSTSHTVQAHEHAEKPNRSRLIGRINFGDIERNELQARDIVRQKSSSTLPGKSVPLQSRNEFTSEVKSSLTAVSEPACPVSKSPKGQLSGSSKVAQLATGRNLESEVLQSQQVSSPAQSKTGSSVHEDGGNCCNKPTKDEMLDIKLKIKQMELDVLKLRSKQAHMNNVKQGVPSSGPHANSEEDADSRTVLVTNVHFAAKKEALSVHFMKCGTVLKINMLTDAITGHPKGAAFITFDDKESVEKAVSLSGSSFFSRVLTVMRKAEAPSGFLASVQPIGRPLQSWNSPPIQKGVNPRQTPSYHLQWKREQSVLEKSPASCPTN >LPERR04G04830.1 pep chromosome:Lperr_V1.4:4:6310849:6311550:1 gene:LPERR04G04830 transcript:LPERR04G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTVGPELQVVNKSEAASSLVENGTVFLTPDQRQDASSKLLTRGRLLSQVPQYLYQNSDVGGKTYIYMYQQFAQLNLPIPFIFK >LPERR04G04840.1 pep chromosome:Lperr_V1.4:4:6313285:6321399:1 gene:LPERR04G04840 transcript:LPERR04G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAATVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTGSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLESGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPISRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKVDLLLLSIMTQTDLCDVKILATSNIFYLFLQMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLGARKASGGNPEGIAPFLQLPHFTEATVKKIARKKIRSFQELCDMPVEERATLLTQVAGLSDEEAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADATSNEVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGFAVKEAVDKVKKGSRLVMGKFQAPAEGNHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRSHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKVANGVAHQKANSDIDSGSDD >LPERR04G04840.2 pep chromosome:Lperr_V1.4:4:6320365:6320757:1 gene:LPERR04G04840 transcript:LPERR04G04840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATTIIAASKAIQDTPEALGASAKEIGVAVREAVNKVKGHRLVMWLKKVQWLRMALKRRKKKSYNDYESKYSDDEEDEKNKEKGKVANGVAHQKANSDVDSGNDD >LPERR04G04850.1 pep chromosome:Lperr_V1.4:4:6328569:6329668:1 gene:LPERR04G04850 transcript:LPERR04G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPRLPLLQPRAQSWLCSEADLRSCRDWRRHPIHVEEELDDESTYVASTSHGTWLPADSKSYELGRGTGQPTICLPRSHRLPPRAVAPPPPLRSPASPALRADGRPPHHGRALPASILRELRRTTRQKGSKERDEEAFSGGGGTCSHGDVR >LPERR04G04860.1 pep chromosome:Lperr_V1.4:4:6331794:6337512:1 gene:LPERR04G04860 transcript:LPERR04G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRVFSIILPLLLIVFTVIPTMQASNGSMALPSAATLAGCQRSCGNLTFDYPFGVGSSHCFRQPDFELICDNTTQPPRLLFKNSTTEITDPPSTAYLDVAFSHSISMKSNVSVYNMSWDAPGKAFTLGYAQLNFTGCDFDTYQVLDQNGMVPAKICSVTCPNKGITEDVARQDCNGTGCCSIPVSIRAHTLQLMFVRHDKGELKLDAPSNQSSMRRTINVTTVYADIFWRIPDQPTCASTLDNRTNYACISKHSYFAHVMVGIKAIHIFKMAAHVIEIGYNRFQQKEVCNRKCGSIDVPYPFGLEEGCSARKSFLLNCTNMLSSSLQLDDQYHVTYINVSNGLMGIEDTHYDQYMYGMRVAQEPQLYIGSGESASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDDYIGYRCNCRIGFQGNPYILDGCQGVCHNTPGSYVCTACPDRTQYDMTAMQCTRTRRQNLMLGIVIGLSCGFSILLLSLGILFLTNRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVSNGSLFDILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDTNYTAKVSDFGASRLVPIDQTHVITNVQGTFGYLDLEYYYTGQLNGRVMYIVLVWYLWNYCSEESLFLQGCQDPSRICPTTSFGR >LPERR04G04880.1 pep chromosome:Lperr_V1.4:4:6362672:6362968:1 gene:LPERR04G04880 transcript:LPERR04G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWPVFYSWWFRLVRGAPPEVGAQPKTGRGGGFGAGCPKRCGNMTFDYPFGIGAGCARGPDFQLICNDTLRPPKLFLNDGLTEVVHSIDPTGFNYGT >LPERR04G04890.1 pep chromosome:Lperr_V1.4:4:6365175:6369142:1 gene:LPERR04G04890 transcript:LPERR04G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVALTALVDVAVPLPLVILMAFIYNLSASEITAKAANHPVINTLSRLPNGIEYSASQARITRRFSWNFVDQPTCADASRNSTSYGCASNHSICDNGFVGFSGYFCRCDDGYQGNPYVPEGCSRDQAYNPVLRKGDCSRSCGNITIPYPFGLEESCSARKEFLLNCTNVATSTLEATDSQANNGLQNKVLRINIDEGFIALDMEKSPGPIGDASNLFIGSSNFSENLYFAVANLTCQEAKQDITGYACLSVNSTCLAVTSQYSYLGYRCKCSPGFKGNPYIKNGCQDVDECQSTPGIAIGLSAGIGILLLGLSATLLIHKWRKDIQKQLRRKNFRKNQGLLLEQLISSDESVRDRMNIFTLEELEKATNNFDQTRILGRGGHGMVYKGILSDQRVVAIKKSMVIKQAEITQFINEVAVLSQINHRSIVKLFGCCLETEVPLLVYDFVSNGSLFELLRYNSSSGNQLSWGDAIRISTEVAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGTSRLVSIDQTHVITKVQGTFGYLDPEYCQTGELNEKSDVYSFGVVLLELLLKKDPVFTSEYCTKINLATYFLQEFSVRPVRDIVDSHIYKEATEEEINTVASLPEMCLRPRGEERPTMKQVEMTLQSLLTEISNRSIAASENDKEEMQPLLQKRAEANCESVTFVHLGAGANSTDQRSRRCYRLEQEFMASAELPR >LPERR04G04900.1 pep chromosome:Lperr_V1.4:4:6390249:6396592:1 gene:LPERR04G04900 transcript:LPERR04G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIDRLYSTLGITVFQCSIAANQSVNYWPHQLRVLLPSSPSPSPSPIPLTILRSTCDTTAHELPLLVHAFSELRAKELPRMDVAIASPEQPDSPSMALHLQATESMFFIPSNGDLGRRKVRSWNRGKFLGRGSFGVVFEGISNYNEEIALLSRLKHNNIVQYYGTDKDSSKLYLFLELVSQGSLASLYQKYRLRNSHVSRDAKCANILVDANGSVKLADFGLAKEVNKFSVLKSCQGSAYWMAPEVVNPRMTYGTSADIWSLGCTVLEMLTRQLPYPDMEWTQALFTIGRGTPPVIPKYLSKEARDFISQCLRPNPDHRPSASKLLDHPFVNRSLRSIMSIMTSSR >LPERR04G04910.1 pep chromosome:Lperr_V1.4:4:6400473:6405259:1 gene:LPERR04G04910 transcript:LPERR04G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSPICSVVPLLLLLVMFSAVTPMVRSSSVRGNQGITSTSLSSAPTLEDCPKSCGNLTFDYPFGIGSGCFRNTDFNLTCDNTARPPRLFLQGGTEVIKDIDAISYGSSSNYLYMDIAVDFSRAIPMSPNTKVYNMSWKVPGRSFTRAYATINITGCDFDICLLDQDRNSFVKLCTVTCPDKEITEKVARQNCNGTGCCTIDVSSYPSGFQLKFVQHRKGELEEHTNRSSLWDRINVTTVYASLLWSIVDQPTCAGTRDNKTNYACASSNSRCGDSYASSNFGYLCGCDSGYWGNPYIPNGCLRDNEYIPAEQKANCSRSCGNILVPFPFGLEEGCFARKLFRLNCTNATSSSLQFDDENQVTYINISEGVVGIKYTSSYEQRLFKIYVPKQPDLYVGSGESSSVQWAVANLTCQEAQQNKSGYACVSVNSSCLSVNSMDGYIGYRCKCLPGFEGNPYVQNGCQGIIIGLSVGFGILLLCLSATFLNRRWKRDIQKQLRQKHFQKNQGLLLEQLILSDENANDKTKIFPLDELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKKSKHIEEGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSDSSNDFSLSWDDCLRIAVEAAGALCYLHSAASISVFHRDVKSSNILLDTNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKVKPLKEIVAAQVHEEATDDEIKSVASLAENCLSLRSQDRPTMKQVEMTLQFLRTKRSNSCHSTPENDEGMQPLLHRRSEASSGQLAINLGRSANPETNSHNCYSLEQEFISSVGLPR >LPERR04G04920.1 pep chromosome:Lperr_V1.4:4:6411144:6416154:-1 gene:LPERR04G04920 transcript:LPERR04G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSNLSKGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPTLPFLIRECSGVQPQLWARYDMGVERCVRLDGLTEAQIDSKLEELAKAGGPLKSK >LPERR04G04930.1 pep chromosome:Lperr_V1.4:4:6417003:6417417:1 gene:LPERR04G04930 transcript:LPERR04G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSKKVVTTRGGKTDGWGFVIRTNTGDAIAAGARSLEAVRDVLCAEAEACHSGVVVAMEQEIGRIIIETGSSILVIALQSDKYHLSAGGAIFAEIKYLFYLEFVEASVFTRLVLVIVLRMN >LPERR04G04940.1 pep chromosome:Lperr_V1.4:4:6421976:6429228:1 gene:LPERR04G04940 transcript:LPERR04G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARLSTGGDEEEIAEQAHGDEEENAATSPEHASSSGASSAVSSPLRWPSLPRAVVGETAFGEKMFEPFTNIDSAIVEAQGMLRTRYFAKRDRELKLVSLDDDVPRSCLVDQKLIHCRELATKIVLQTSKVVLGLSSYIDDKLLRKSSGFLIEWDSESKVGTVLTSALLIQSKFPFIDEWSAGDEYAPHAKVCVHLLDKAETTIVADLLRYDKHYNLALFKINTHMDAQIPSFTPNLNYAQEVVVLGRDGQQNLSVDHGTVQYQSPSSLHRHHYMFLRCGIKKFGIGGPIINFDGQVAGMASLPEMGFIPSSIILKCLEIWKSFDYIPRMHFGMKFLAITLLDPARIEKISRKCNIESGLVVTQVSKGSTAEKLGVRNGDIIKSWNGENISTTIELENFQFRTCKEYLDTGNNVDTSVDLLVDVFHIRKDSSVTIKLAVKVSNDFEVITKGTYPVTPKHCTIVNDDVMRNERRGQGIKGTVPMADDDDVVRGEEATRGSAVEEPGDIEARSPL >LPERR04G04940.2 pep chromosome:Lperr_V1.4:4:6421976:6429228:1 gene:LPERR04G04940 transcript:LPERR04G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARLSTGGDEEEIAEQAHGDEEENAATSPEHASSSGASSAVSSPLRWPSLPRAVVGETAFGEKMFEPFTNIDSAIVEAQGMLRTRYFAKRDRELKLVSLDDDVPRSCLVDQKLIHCRELATKIVLQTSKVVLGLSSYIDDKLLRKSSGFLIEWDSESKVGTVLTSALLIQSKFPFIDEWSAGDEYAPHAKVCVHLLDKAETTIVADLLRYDKHYNLALFKINTHMDAQIPSFTPNLNYAQEVVVLGRDGQQNLSVDHGTVQYQSPSSLHRHHYMFLRCGIKKFGIGGPIINFDGQVAGMASLPEMGFIPSSIILKCLEIWKSFDYIPRMHFGMKFLAITLLDPARIEKISRKCNIESGLVVTQVSKGSTAEKLGVRNGDIIKSWNGENISTTIEVDVFHIRKDSSVTIKLAVKVSNDFEVITKGTYPVTPKHCTIVNDDVMRNERRGQGIKGTVPMADDDDVVRGEEATRGSAVEEPGDIEARSPL >LPERR04G04950.1 pep chromosome:Lperr_V1.4:4:6431084:6433073:-1 gene:LPERR04G04950 transcript:LPERR04G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSAAPVVEPEDHEHIFRSKFPSVAVPDGVTVPEFVLHGADAYADKVALVEAGPGGKSYTYGEVARDTARFARALRSVGIRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALASEIRKQVEDSEARLVVADEVAFHKVKDAGVPVIAIGGGDHGETMPGAIHWDDLLAAADRTGAPVVPVEPTHQSDLCALPYSSGTTGVSKGVMLTHRNLVSNLVSSMFAVGPDTEGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRAFLRALIDHRVAFAPLVPPVMLAMVKSPIADEFDLTALSLKSVMTAAAPLAPDLLAAFNRKFPGVQVEEAYGLTEHSCITLTHTNDKVAKRGSVGFILPNLEVKFVDPDTGKSVGENVAGEVCVRSQSVMKGYYRREEETGKTVDGDGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVLDAAVFGRGGAEEGEEELMAYVAERVASYKRVRVLNIVDAIPKSVSGKILRRQLRDEFVKKMKPAA >LPERR04G04960.1 pep chromosome:Lperr_V1.4:4:6443039:6454133:1 gene:LPERR04G04960 transcript:LPERR04G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTETAAAAERLRSTASVAGGGGGGARPVEEDLIELSSSDSDSDGEGGGGGSWKRLRVAGGGGSAGKRARVSAAGESVDVPPGFLDPLPQAVASAAPAKSVTKQFWKAGDYDGKPFGDVAPAPHSSVSGFDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVANGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGQGGKRLTQSIGMLSYTFLRSTNKEDTVVPMIDYEHEQGWIRKPRSTFADWNTSLQTITTWSPYSTEAELLEQFNFIKEHGTRVVIYNLWEDDEGHLELDFDTDVHDIQLRGGNRDEKNIQMAKQFPNSKHFLTYRHSLRSYASILYLRVPSYFQMILRGKEIEHHNIVNDMMLKKEVTYKPVAPNGVPKDPNMVADVTIGFVKDAKHHVDVQGFNVYHQNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYAGARSGKSNETGENSPEVTQRAQQSPCPTQKAYGKSNKNSGKASTSFHVQRRAEKSTTTKRPGRSILHGLRDTSDESDSEFVCTPPTSSRSHSLNAHRRSFQNGTTLSTPPSNGLTERERNRTASQPVGLKEASNREHTIDDRETIIKQLTDENSLLKERLLRVEESLSQELVIERDKVKSLTERLEDAQRQLDTSNKEQEALIDIFSEERSRRDLEEENLREKLKDASSTIQDLLEQLNAARKGRKV >LPERR04G04960.2 pep chromosome:Lperr_V1.4:4:6443039:6454133:1 gene:LPERR04G04960 transcript:LPERR04G04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTETAAAAERLRSTASVAGGGGGGARPVEEDLIELSSSDSDSDGEGGGGGSWKRLRVAGGGGSAGKRARVSAAGESVDVPPGFLDPLPQAVASAAPAKSVTKQFWKAGDYDGKPFGDVAPAPHSSVSGFDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVANGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGQGGKRLTQSIGMLSYTFLRSTNKEDTVVPMIDYEHEQGWIRKPRSTFADWNTSLQTITTWSPYSTEAELLEQFNFIKEHGTRVVIYNLWEDDEGHLELDFDTDVHDIQLRGGNRDEKNIQMAKQFPNSKHFLTYRHSLRSYASILYLRVPSYFQMILRGKEIEHHNIVNDMMLKKEVTYKPVAPNGVPKDPNMVADVTIGFVKDAKHHVDVQGFNVYHQNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLEMLIELDMLGHVLAKVMKQEDASSTIQDLLEQLNAARKGRKV >LPERR04G04960.3 pep chromosome:Lperr_V1.4:4:6443039:6454133:1 gene:LPERR04G04960 transcript:LPERR04G04960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTETAAAAERLRSTASVAGGGGGGARPVEEDLIELSSSDSDSDGEGGGGGSWKRLRVAGGGGSAGKRARVSAAGESVDVPPGFLDPLPQAVASAAPAKSVTKQFWKAGDYDGKPFGDVAPAPHSSVSGFDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVANGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGQGGKRLTQSIGMLSYTFLRSTNKEDTVVPMIDYEHEQGWIRKPRSTFADWNTSLQTITTWSPYSTEAELLEQFNFIKEHGTRVVIYNLWEDDEGHLELDFDTDVHDIQLRGGNRDEKNIQMAKQFPNSKHFLTYRHSLRSYASILYLRVPSYFQMILRGKEIEHHNIVNDMMLKKEVTYKPVAPNGVPKDPNMVADVTIGFVKDAKHHVDVQGFNVYHQNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSVSLSFSFFTFVATWYNISFVVGYRLSYLALVSISVPISGQEMLIELDMLGHVLAKVMKQEDASSTIQDLLEQLNAARKGRKV >LPERR04G04970.1 pep chromosome:Lperr_V1.4:4:6457785:6464254:1 gene:LPERR04G04970 transcript:LPERR04G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRLLLAALLLLLSSAVLSSSSASAEREEEEDPLIEQVVGGDDDELMSELEAEAHFASFERRFGKAYGDAGERAYRMSVFSANLRRARRHQRLDPTAEHGVTKFSDLTPAEFRDRFLGLRGGGRPNLAGSRDAPILPTDGLPADFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHFLATGKLEVLSEQQMVDCDHECDPSESRACDSGCNGGLMTTAFSYLMKSGGLQTEKDYPYVGRENTCKFDKSKIVAQVKNFSVVSVNEDQIAANLVKHGPLAIGINAAFMQTYIGGVSCPFICGKHLDHGVLLVGYGSAGYTPIRFKDMPYWIIKNSWGENWGEHGYYKICRGPRAHNKCGVDSMVSTVTAIHTKKE >LPERR04G04980.1 pep chromosome:Lperr_V1.4:4:6462887:6464882:-1 gene:LPERR04G04980 transcript:LPERR04G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAPIRCLPIVCPTVRKRQPPTEQPTPSAAPDAVPRSTTSTRANTNTANSTTHSPKPRRLSPPPARTDATKSTQIPPARLFLRCGDAAVNRFSMAATARCPGAAGVASRWTARSLAGAFLDAALVLACLCAAAAASFATRLLSPFPCTCARPHLPCLLSFLLHYPSRALSSLSSSLLSRFPFAVDPSSSSEHDELQGSVDEVVEVGDARREVVEERGARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDILLVREREARALRKEVDAYRRLLGLATDEDEDEEEDDMVTPHSTMVVEGEPSSSKSVDNKSSSGNFGMAQLGNDYGFSLKTPFSPQQLVTPIRVDHHLKGVIDEDMLSAATSEKIPVVGFGLKKEVDSCEDDGTETVEILPLSARSLDQVADVEPVAAVGTKSAKALPGEYQEVECGGIDKSGGDSAGSENDANIYDVHVVDDICFSTEVKGLIGRCFSDATMQAEKIHNRVAADDLLGKSLNAIKGAQDKIKIAASERKQSLQLQLLEDIANQLQEIKDAAEAGRQLHCASPRNLKKS >LPERR04G04990.1 pep chromosome:Lperr_V1.4:4:6479136:6480074:-1 gene:LPERR04G04990 transcript:LPERR04G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARTLPVSRIAHLAPDLGLAMDFRATLCPRHPDIFTFVNTSHGHALRLADPPPPPPSPLPPFGAAATAAPSDRLIDRPRRFPHLQLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMAERRACAVVREILAMTVEKRTLVDNLTHFRRDFGLPNRLRALLVRHPELFYVSIKGVRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVREGKRMRRAKKKGHLALGGDSDEDDEEDDQEEEDSVEMDGEFEDLLEDSGIGEDWEEMGDRGEESDGDVELDAMEEFWVKKAVAEGLVDSGSELDAW >LPERR04G05000.1 pep chromosome:Lperr_V1.4:4:6485770:6491962:1 gene:LPERR04G05000 transcript:LPERR04G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCQGAGARAACSGDVVAASSSMASEAGGRRPRAVAGESTGTAPATSRTTQGSPICMEDRVCEHI >LPERR04G05010.1 pep chromosome:Lperr_V1.4:4:6525103:6532456:-1 gene:LPERR04G05010 transcript:LPERR04G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVPLQNINVGMSEEERSGKEHHHLDGTWVVDIEEAIKASGKETVAAAAVQQRKTGSCIYRVPQRMRSLGKEAYSPQVVSFGPLHHGAAALQPMEEHKRRALLHFLRRACRPLRYFVAAIEGVAEQLEGMYDAEAMPAEWRRVEGRLVDSASFVRLMVMDGCFMLEVVRAATARAHNDYEKDDPVFGWHGIVNTMPYVRRDMLLLENQLPLLVLHKLVTVESGQPDPGGHTINKHVLRFLSPSTTTEFIENTVLKGLHPLDLFRWSRVYEETTEVVTTQASAKGGVMDDDGVPSRPRCDISAMALSDAGIHLKKLQSKKIGDIRLEGRVLYLRTLTIDELTEPIFANLIAFERVHVGLDWPFRSTSYLFFMSKLIKSAEDVEYLCAKGIIMNALGDDKKAAEVLSHLSADLHVYPPKDGNRLATVHMQMEQHCQKKWNIWRTHIARKYFRNPWAPFSLAGSVFFLSITVASNIHNVLRHR >LPERR04G05020.1 pep chromosome:Lperr_V1.4:4:6543772:6544948:-1 gene:LPERR04G05020 transcript:LPERR04G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPAPCRAHKLYVRQGGKIDVPRPKLYLQLDFPCLKQTPRTVLCGYYVCEMMRVIGRFTTNYELLRNVLPVSQSMDKRTLWNLIADIVLFIHCNVCNTLSEFFDPTTAYAREEQYRSLREWEKPRSHGHEQNRGRHIACWKKILLEN >LPERR04G05030.1 pep chromosome:Lperr_V1.4:4:6571004:6572494:-1 gene:LPERR04G05030 transcript:LPERR04G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSPTAGNKPHAVCVPYPSQGDITPTLHLAKLLHARGFHVTFVNTEFNHRRLLASRGAHALDGVPGFVFATIPDGLPPVDADADADATQDIPALCHSTATNCLPHLLDLLARLNDPPVTCVVADGLMSFAYDAARQIGVPCSALWTASACSLVGCRLYRSLIDRGLVPLRDATQLTDGHLDTVVDDAAAHGMCDGVRLRDFPSFIRTTDRDDVMLNFIMREAERLSRLPDAVIFNTFDDLERVALDATRAAAHPRPVYAVGPLHLHVRHAVPTGSPLHGIGSNLWKEDGLVNWLDGRKPCSVVYVSYGSITVMTREQLVEFAWGLADSGYDFVWVVRPDLVKGDDTAVLPPGFYAAVEGRGILPTWCNQEKVLEHDAVGVFLTHSGWNSTLESLSAGVPMLSWPFFAEQQTNCRYKCTEWGVGMEIGSEARRGDVAAMIRQAMEGEKGREMRRRAAEWKEKAVQVTLLGGQAKANLEKVIHEVLLSCKNKRSV >LPERR04G05040.1 pep chromosome:Lperr_V1.4:4:6608718:6609604:-1 gene:LPERR04G05040 transcript:LPERR04G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGYFINLMNDGSNSNWANMDSQPKSEYELPCDVEVQGIELTRSTYWRQIYEYYHENKTFHSDRSQGSLSHRWLVVQEVVNKLCGYVSQVQNRNQSGTTNEDKLVTATKMYKVMEEKPFQLINCYNLSKTSAKKATTNCTPRNTTTDERKGLEAATQMAGTPLGRKKEKEKKRQYSLDYLWGKRKKTNVEELKKEERYKRAFVMEEKRIKMDRERGL >LPERR04G05050.1 pep chromosome:Lperr_V1.4:4:6614871:6618836:1 gene:LPERR04G05050 transcript:LPERR04G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPLDLSSKATSQRKISDGDTVVVYERHDAMRAITVRAGGVLQNRFGVFRHDDWIGRPFGSKVTASAAGGGGGGRGKGGGGKGGGGFVHLLAPTPELWTLVLSHRTQILYVADISLVVSYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVCTFDFHEQRAASAREDFERNGLTSLITVAVRDIQGQGFPQEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRPSFTDIRTFEILLRNYEVREGALKGVVANEESSAGPHPQKRRKLLPPAETLDGVIQNSSSIMVRPCSTARGHTGYLTFARLRISGTD >LPERR04G05050.2 pep chromosome:Lperr_V1.4:4:6614871:6618782:1 gene:LPERR04G05050 transcript:LPERR04G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPLDLSSKATSQRKISDGDTVVVYERHDAMRAITVRAGGVLQNRFGVFRHDDWIGRPFGSKVTASAAGGGGGGRGKGGGGKGGGGFVHLLAPTPELWTLVLSHRTQILYVADISLVVSYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVCTFDFHEQRAASAREDFERNGLTSLITVAVRDIQGQGFPQEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRPSFTDIRTFEILLRNYEVREGALKGVVANEESSAGPHPQKRRKLLPPAETLDGVIQNSSSIMVRPCSTARGHTGYLTFARLRVHGG >LPERR04G05060.1 pep chromosome:Lperr_V1.4:4:6619571:6621518:1 gene:LPERR04G05060 transcript:LPERR04G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYPAQVHVTPMMKLAKLLHARGFHVTFVNTEFNHRRLLDSRGAHALDDGAVPGFRFAAIPDGLPPSDADATQDIPALCHSTSTTCLPHLTTLLANLNDPNSGAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASACGFVGYSHYRHLVDRGLVPLKATSQLTDGYLDTVVDDAAAHGMCDGVRLRDFPSFIRTTDRDDIMLNFLMREAERLSRLPDAVILNTFDDIERVALDAMRAVLPPVYTVGPLLLHVRHVIPSGSPVDVAIGSNLWKEQDGLVEWLDGRPSRSVVYVNYGSITVMTNEQLLEFAWGLANSGYHFIWNVRPDLVKGDTAVLPPEFLTAVEGRGLLTTWCPQEKVSLGGAGIRSARGNPRRGLFPWVFHPWLPKEASGWNSTLESLCAGVPMLSWPFFAEHQTNCRYKCTEWGVGMEIGGEVRRGEVAEMIREAMDGEKGQEMRRRAEEWKEMAVRATLPGGPTECNMTRLIDDVLLGGGKKKS >LPERR04G05070.1 pep chromosome:Lperr_V1.4:4:6633254:6637902:1 gene:LPERR04G05070 transcript:LPERR04G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLKIYRDEAAAPLPCESKVNKLMEPAVVFAVVVMAILAGTMSVVGVERLIRGRFTLFSMVRFLLRFSFVLTLPLLSSMSRDPVNGDRVLFVILWLLLIELIRKKVSGMVRSSSDGGGGFSRATGGRFRLMGHSDEGTRLVWIGYLIYSNAYYSKVTSSGTQHEDDDDDMKKVVAMFTVLWSLVLAKLLQRVFNEWKAQDSLTAGGNTHLIAGYMQHVVDKEDQDGCKYVVMGEEKLVVHTAASMTNKKKRHENVKDVVTITTRGCGYGVGRYPNQQSEQKHVNLLVDMAKSGELITVDDITKKIRVPHWCCCFTGSRFTDHMHHLCFSFSFFKLLRRRFEHYPMVEAGSRATRQLMLDQLLSKGPNKTFRVIRQELDFLDCYYDAGSPVAMSSPWLFILNYFFSLVFASTYLAAVIIVLLEVKGRLHGDNHFLHPSLYAAVSILLVVTLIAIEFTELLTSYILSNWFMVHLLCLLAASGGGRIWRWACKPAIRLFIAGRFLLFYSFQCMLFLSCRGTNVDTINLKQVSILRVCEPVHKLLSWSSQVKLPTEGEAAIVKELEEVVRHSLDGEDAMVSMHEMVSSLGLKKGADTATQVILACHLATELLEMKHVVMVDKKMKKTKKKKMTRDEQRGHDVALALSRYCMYLVARSPELLPDNERWVADRYSDMKAFLEEASSRRCCCCCCSCRLWKCGCWRTVLMDMDAGDVGDPAAKAGLTLFRKLDAAASSWKDLADFWVKMVVYLAPSNDVEGHAMALADNGADLVTYLWAFCTHTGIIRQPRDEAPEQHHQV >LPERR04G05080.1 pep chromosome:Lperr_V1.4:4:6646593:6646808:-1 gene:LPERR04G05080 transcript:LPERR04G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFFLPPEFLAAVEGRGLLTMWCLQEKVIEHSAVGVFLTHSGWNLTLESLCAGVSMLSWPFFVEQQTNY >LPERR04G05090.1 pep chromosome:Lperr_V1.4:4:6647434:6647673:1 gene:LPERR04G05090 transcript:LPERR04G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHPRAEAATGGLAVHSPAAAPKQSRSPLLPGYHAVSVIEPPPIASSLAPQPPPNALPAADLDGCTALHAHTVLGWR >LPERR04G05100.1 pep chromosome:Lperr_V1.4:4:6648364:6649848:-1 gene:LPERR04G05100 transcript:LPERR04G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESAAVRQHHAVMIPYPAQGHVTPMMKLAKLLHARGFHVTFVNTEFNHRRLLASRGAHALDDGAVPGFRFAAIPDGLPPSDADATQDIPALCHSTSTTCLPHLTTLLANLNDPNSGAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASACGFVGYSHYRHLVDRGLVPLKATSQLTDGYLDTVVDDAAAHGMCDGVRLRDFPSFIRTTDRDDIMLNFLMREAERLSRLPDAVILNTFDDIERVALDAMRAVLPPVYTVGPLLLHVRHVIPSGSPVDVAIGSNLWKEQDGLVEWLDGRPSRSVVYVNYGSITVMTNEQLLEFAWGLANSGYHFIWNVRPDLVKGDTAVLPPEFLTAVEGRGLLTTWCPQEKVIEHPAVGVFLTHSGWNSTLDSICAGVPMLSWPFFAEQQTNCRYKCTEWGVGMEIGGEVERGELAATIREAMEGEKGREMRRRAAEWKEMAVRATLPGGPAECNLTRLIDDVLLGGGKKG >LPERR04G05110.1 pep chromosome:Lperr_V1.4:4:6657021:6661503:-1 gene:LPERR04G05110 transcript:LPERR04G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAAELEMKRQLRDIGARLTPLPDDDEQLLRLLQEAAALLYRVNQYQSDSMHSALIPVMKVLIKKELLDHTNSGMKLAVASCLTALIRIRAPEGPYDDDVMKVDVLKLVVEAFCKLDDVECPSYGTRVSMLATFAAVRGYTLLLDLDCNDLIRDMFRHFFRTITNAHQENVISYMETIMKFTIEETTDMEQNLIQDLASCLLQNVKKEEKETLPASFVLAERVIGLCHEKLRPVFIELLQGSPANEYSSLITSLVQDAEDNNIDAFMHDLEAVSPEFSTMMSKPNGQPADSGEELHSKDVQETKEAFNSKKKALGGSIVGSRIKVWWSGDEMFYNGFVKSFDASLGTHEIVYDDGDVEWLPLKNEKWQFISEEEDYNPDASANMPQDRSDKGNFDQPFQDTLKAASNYSSIIEEKCNSVLNEIQCLYSETTSAFPVRISGWENCHLEPEKVTVESQHTTL >LPERR04G05110.2 pep chromosome:Lperr_V1.4:4:6657021:6661503:-1 gene:LPERR04G05110 transcript:LPERR04G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAAELEMKRQLRDIGARLTPLPDDDEQLLRLLQEAAALLYRVNQYQSDSMHSALIPVMKVLIKKELLDHTNSGMKLAVASCLTALIRIRAPEGPYDDDVMKVDVLKLVVEAFCKLDDVECPSYGTRVSMLATFAAVRGYTLLLDLDCNDLIRDMFRHFFRTITNAHQENVISYMETIMKFTIEETTDMEQNLIQDLASCLLQNVKKEEKETLPASFVLAERVIGLCHEKLRPVFIELLQGSPANEYSSLITSLVQDAEDNNIDAFMHDLDYFLINKEAVSPEFSTMMSKPNGQPADSGEELHSKDVQETKEAFNSKKKALGGSIVGSRIKVWWSGDEMFYNGFVKSFDASLGTHEIVYDDGDVEWLPLKNEKWQFISEEEDYNPDASANMPQDRSDKGNFDQPFQDTLKAASNYSSIIEEKCNSVLNEIQCLYSETTSAFPVRISGWENCHLEPEKVTVESQHTTL >LPERR04G05120.1 pep chromosome:Lperr_V1.4:4:6670862:6674740:-1 gene:LPERR04G05120 transcript:LPERR04G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYRRLFRRGPAPQAVREEVDGFLGSRKRAFKWEVGVCVRRLRKHALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKELMTEKAEALMEKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSIIQDMKSDDVLPDIYTYNVWMRALAARKDIQGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLSEKAEAALKELEKRNTCNDLEAYQFLITLYARTKNLVEVHRVWRSLKQNQPRMANMSYLNMIQALANLKDLPAAESCFKEWEARYIHPPKTNPKDAGTTKTSTLVPESPSNASNESAVKATKHKDSNDIELKHPKYDIRVANAMIKAYITEGMFDKAVALKKSAKMRGGRPNAKTWEIFMEYYLKAGDLKMAHWCADRAIKKGHSSGRIWVPPHEVTETLMHYFEKNKDVDGAEKFVEVLKKVKKDLGAVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDYVCIDL >LPERR04G05130.1 pep chromosome:Lperr_V1.4:4:6684304:6686096:-1 gene:LPERR04G05130 transcript:LPERR04G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPAAEDERRPHAVMIPYPSQGHVTPMLKLAVLLHTRGFHVTFVNNEFNHRRLLRAGRALDGGVPGFRFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALVAKLDEEADADASGAARRVTCVVADSTVASGILAARELGLRCATLWTASACGFMGYYHYKHLLDRGIFPLKSEAELSNGHLDTTVDWIPSMPTDLRLRDLPSFVRTTDRDDIMFNFFVDVTATMPLASAVIINTFDELDAPLMDTMTTLLPPIYTVGPLHLTVQNNVPDDSPVANLGSNLWKEQEEPLQWLDGRPPRSVVYVNFGSITVMSSKHLLEFAWGLANSGYAFLWNVRPDLVKGDDATLPPEFTAETASRSMLSTWCPQARVLEHEAVGVFLTHSGWNSTLESICAGVPMVCWPFFAEQQTNCRYKCTEWGIGTEIPDDVHRDEVEALIREAMDGEKGKEMRLRVTELRESAIASAQPGGRSMQNIDRLIDQVLLA >LPERR04G05140.1 pep chromosome:Lperr_V1.4:4:6691398:6694887:1 gene:LPERR04G05140 transcript:LPERR04G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRGNVKELISNVSVYTSAESSGGMSLLFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTTTAEMEPL >LPERR04G05150.1 pep chromosome:Lperr_V1.4:4:6691721:6692002:-1 gene:LPERR04G05150 transcript:LPERR04G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWANELAQIPKSGAHQQAAARGEGQSRVAGVCWGSAWRGAPWDGGGGAGRGGGEGKRREREVSKPDCQCHGQVGLKMGSRVGAGSMVEHT >LPERR04G05160.1 pep chromosome:Lperr_V1.4:4:6699324:6702554:1 gene:LPERR04G05160 transcript:LPERR04G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSNRLKVFYDHWKEHNSVLWGSSDAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMQKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDDGVGLMEDIVRAVCTQSKSDDPIIGHIAKEAPEGKLLEAWADKLSSSSVQLTDITNGFSELFAVKDASEITCVKKAAYLTYSVMKNFVVPKVEKVIDEERKVTHSSLMDDTEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLSYDSASVIICAIGSRYGNYCSNIARTFLIDATPTQLKAYETLLKAQEAALEALKPGNRMSAVYQAAVEVVEKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRLIKAGMVFNVSLGLHNLQAETKSGKTKQYSMLLADTALVPLEIITAPCSKAVKDVSYSFNDEDDVLPIPKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNKIKPARLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGTRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDNWSHPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIVDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDEASDSESLVESDEDDGDDSEDDSEEEKGKTWEELEREATNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKSSFKGGPSKSSFKGGLSKKPKFR >LPERR04G05170.1 pep chromosome:Lperr_V1.4:4:6703266:6707517:-1 gene:LPERR04G05170 transcript:LPERR04G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNGSVTTLTSPVAPVVSVTRGPPPLGSTPPTHECCQLSHHQKLGHSPRSKRRAASPMPARVTIAAALVLVVGVAVGAPEGHQVSRLPGFDGGRLPSKHYSGYVTVDEAAGRRLFYYLALSERHPPADPVVLWLNGGPGCSSFDGFVYENGPFNFERGTDPGGIPKLELNPYSWSKVSNMLYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGVYIPTLADEVVKGIEKDLKPRINFKGYLIGNGATDTDYDFNSFVPFAHGMGLISTDLFEDVSAACHGTFWGKVNALCQEKIDKVHWELKDLNKYNILSPCYHHPEIQELEFKNSSLPSSFRKLGETERRFPVRKRMAGRSWPLRSAVTEGRLTLWHELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARINFNHDTGSMVKYHKKFTAMGYRVLIYSGDHDLCIPYVGTEAWVRSMGYRVIDPWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHAVPEYKPKETLAFYSHWLSGEKI >LPERR04G05180.1 pep chromosome:Lperr_V1.4:4:6710585:6714523:-1 gene:LPERR04G05180 transcript:LPERR04G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKKGVNQDAMVVWENFCSKKDTIFCGVFDGHGPYGHLVSRRVRDLLPMMLRANLGRAKHIETSTSIVTGSMTEGGATEHMDRATETPLGTEENGEYPEMFAALRTSFLRAFYVMDRDLKLNKNIDSVYSGTTAVTVIKQGHDLIIGNLGDSRAVLGTRDENDQLRALQLTVDLKPSIPSEATRIRERSGRIFSLPNEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVFYHRITEKDIFVVLATDGVWDVLSNTEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKVDDCAVVCLFLNTDARNKSSCSGTKDLANAEELGGGDEWPVLDGVYKNLPKPT >LPERR04G05190.1 pep chromosome:Lperr_V1.4:4:6763126:6765473:1 gene:LPERR04G05190 transcript:LPERR04G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAINQLTNKLACEWVRDGIQKNSVALWYIKTSLLEGAVLNWLLQESSATLVAARIEAK >LPERR04G05200.1 pep chromosome:Lperr_V1.4:4:6777031:6790584:-1 gene:LPERR04G05200 transcript:LPERR04G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFLAAFLSALLTSSSIHSTMAIGVLKVHRKFPVMGSGYKRSNIVALRTYDRNRHRRRLEAVDLPLGSPLGDGLYYTKIGIGTPAKQYYVQVDTGSDAFWVSCISCKGCPHKSDILSKLSFYDPRSSVSSKLVKCDDMFCSSSEYWSIQSKCNRSLLCPYFLSYADRSTTVGVFVNDLVHYHQLSGNGQTQTTNASVIFGCGLQQSGNFNSSYGALDGIIGFGDSNNTVLSQLAAAGKTKKIFSHCLDTINGGGMFAIGEVMEPKVKTTPIVANNWIYYNVNLKSIDVGGTALQLPITILETTNTTGTIIDSGSSLVYLPDIVYKELIVDSRFPKVSFQFENDLPLDVYPHDYLLEYEGKLYCVGFQDATNKQGGGLSLNIAISNKLVVYDIENKVIGWTEYTCKYQLIQL >LPERR04G05210.1 pep chromosome:Lperr_V1.4:4:6828073:6838258:-1 gene:LPERR04G05210 transcript:LPERR04G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLLLLLAALLPLLLAAAAASTGVFHVTRKFPAGGSGGGAASRAHDVSRRGRLLAAADVPLGGLGLPTDTGLYYTEIGIGTPHKTYYVQVDTGSDILWVNCISCDRCPRKSGLGLELTLYDPKDSSTGSMISCDQGFCSATYGGMLPGCTASLPCEYSVMYGDGSSTTGFFVSDLLRFDQVSGDGRTRPANSTITFGCGSQQGGDLGSTNQALDGIIGFGQSNTSMLSQLASAGKVKKIFAHCLDTINGGGVFAIGNVVQPKVKTTPLVPHMPHYNVNLKSIDVGGTALQLPTHIFDTDEKKGTIIDSGTTLTYLPEIVYKEIMVEIFAKHQDITFHNVQDFLCFQYVGSVDDQFPKISFHFENDLSLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVIYDLENQAIGWTEYNCSSSIKIKDDQTGATYTVEAHNISFGWSWDLLNS >LPERR04G05220.1 pep chromosome:Lperr_V1.4:4:6853912:6869841:1 gene:LPERR04G05220 transcript:LPERR04G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHAPDERNAAEASLAQFQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVGKNWSPTDPEEKHIIPESDKSMVRENILGFITQLPPLLRAQLGESIKTLILADYPEHWSSLLPWVTHNLESQDQIFGALYVLRILARKYDNLVPITNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKTFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIHFIVEIFMRYNEASVEAKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEAEEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRKTAKPYLKCLLVQVIANTLYYNPSLTLVILHKLGVATEIFNLWFGMLQQVKKSGMRANFKREHDKKVCCLGLTSLITLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEDDEEIESDKEMGFDDEDGDEVSSVNFQRLREARGFQPHYDDDDDDSDDEFSDDEELQSPIDEVDPFIFFVDAIQAMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKSEKANAQ >LPERR04G05230.1 pep chromosome:Lperr_V1.4:4:6874382:6878324:1 gene:LPERR04G05230 transcript:LPERR04G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADVVALIHHAVAAGVTLLDTSDMYGPHTNELLIGKALQGGVREKVQVSTKFAVSLADGKMEIRGDPAYVRAACEGSLERLGVDSIDLYYQHRVDKKVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAFHPITAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFFSGGAKLYMPRFQPENIEKNTQIFDRVNEMATRKGCTPAQLALAWVHHQGDDVCPIPGTTKIENFNQNVGALSVKLTLEEMAELESCAAAGDVLGDRYPQMDNTWKDSDTPPLSSWKE >LPERR04G05230.2 pep chromosome:Lperr_V1.4:4:6874740:6878324:1 gene:LPERR04G05230 transcript:LPERR04G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADVVALIHHAVAAGVTLLDTSDMYGPHTNELLIGKALQGGVREKVQVSTKFAVSLADGKMEIRGDPAYVRAACEGSLERLGVDSIDLYYQHRVDKKVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAFHPITAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFFSGGAKLYMPRFQPENIEKNTQIFDRVNEMATRKGCTPAQLALAWVHHQGDDVCPIPGTTKIENFNQNVGALSVKLTLEEMAELESCAAAGDVLGDRYPQMDNTWKDSDTPPLSSWKE >LPERR04G05240.1 pep chromosome:Lperr_V1.4:4:6886365:6888835:1 gene:LPERR04G05240 transcript:LPERR04G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPRIKLGSQGMEVSAQGLGCMGMSAFYGPPKPEADMVALIHHAVATGVTLLDTSDMYGPHTNEILLGKALQGGLVLDKVELATKFGIVFADGRRRSAASRRNLRRLGVDCIDLYYQHRIDKKVPIEVTEKYQWEGAKEWGEFG >LPERR04G05250.1 pep chromosome:Lperr_V1.4:4:6891384:6895938:1 gene:LPERR04G05250 transcript:LPERR04G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAVPRIKLGSQGLEVSAQGLGCMSMSAFYGPTKPEADMVTLIRHAVAAGVTFLDTSDIYGPHTNELLLGKALQGGVRDKVELATKFGKTYADGKVGIHGDPAYVRAACEGSLRRLGVDCIDLYYQHRTDKRVPIEATVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLARGFFCGGAKLIDSLTDQDYRKHMLPRFQPENLEKNAQIFDRVNAMATRKGCTPAQLALAWLHHQGDDVCPIPGTTRMENFNQNVGALSVKLTPEEMAELESCAAAGDVHGDRYYGMINTWKDSETPPLSSWKQQ >LPERR04G05270.1 pep chromosome:Lperr_V1.4:4:6906769:6909080:1 gene:LPERR04G05270 transcript:LPERR04G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTGKAAAAAGATQGLNGAAGSRGGRSMERGTKIPRHPRPSPEGDFNANKTSRRPRCSGSAPPDVPRNQDRVKKPAPMRHSSSRQDGPILIDSPPRVTPRRGEKRRYPAGPSRVNSSKAVAVEQTDSSAGSQPIDNSPVPLVSGGNVPPLENEGSVPRISSAPKQRDGTTTIMPPAKAQRPASAEASQSRKKEKQPVVYSLEQNVKEGPPRQTTPLGNLVGDTTHVDLMCNRAPKLQMEMTKRLDKLKSAAPRLFLTSVCSIDDAPPSLTLPTDGFVMGRHAATVHRDLYGLQELLKKAPRVNQEIIVAIRITTASWRANYADPHSGDLYESACAEASDELKLFAGDKVNIQAHRRHLEACHTDWLSRAEYHERKARAARANSEAYGLLKHNQDIIDGHSAALDALAKKISDMEDGRDQARAAVEDAKKKKKIGHLRRRLLFRF >LPERR04G05280.1 pep chromosome:Lperr_V1.4:4:6914106:6917578:1 gene:LPERR04G05280 transcript:LPERR04G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPATAAVPRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGLRDKVELATKFGIVFANGSREIRGEPEYVRAACEGSLRRLGVDCIDLYYQHRIDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVDSLSDQDFRKHMPRFQPENLEKNAEIFEHVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPEEMAELESYASADVVQGDRYPQMGSTWKDSETPPLSSWKAE >LPERR04G05290.1 pep chromosome:Lperr_V1.4:4:6917693:6934237:1 gene:LPERR04G05290 transcript:LPERR04G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPATVAVPVPRMKVGSQGPEVSAQGLGCLGMSDFYEERKPEADMVALIHHAVAAGVTFLDTADILVKAVSSGIYYFAAAVKEDGVRDKVQVATKCGVLVAVDGKREIHGDPAYVRAACEASLRRLDVDYIDLYYQHRIDKKVPIEVTICELKKLVEEGKVKYIGLSEASPATIRRAHAVHLSLQFSSSGHYGLEIELGIGIVVYRPLGRGFFSNGAKLADSLSDRDVRKHMPRFQPGNLEKNGEIFERVSVMAARKGCTPSQLALAWVHHQGNDEMAELESYACIDDVQGDRYPPMASTWKDSETPPLLSWKTE >LPERR04G05290.2 pep chromosome:Lperr_V1.4:4:6917693:6934237:1 gene:LPERR04G05290 transcript:LPERR04G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPATVAVPVPRMKVGSQGPEVSAQGLGCLGMSDFYEERKPEADMVALIHHAVAAGVTFLDTADMFSAVKEDGVRDKVQVATKCGVLVAVDGKREIHGDPAYVRAACEASLRRLDVDYIDLYYQHRIDKKVPIEVTICELKKLVEEGKVKYIGLSEASPATIRRAHAVHLSLQFSSSGHYGLEIELGIGIVVYRPLGRGFFSNGAKLADSLSDRDVRKHMPRFQPGNLEKNGEIFERVSVMAARKGCTPSQLALAWVHHQGNDEMAELESYACIDDVQGDRYPPMASTWKDSETPPLLSWKTE >LPERR04G05290.3 pep chromosome:Lperr_V1.4:4:6917801:6934237:1 gene:LPERR04G05290 transcript:LPERR04G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPATVAVPVPRMKVGSQGPEVSAQGLGCLGMSDFYEERKPEADMVALIHHAVAAGVTFLDTADMYAVKEDGVRDKVQVATKCGVLVAVDGKREIHGDPAYVRAACEASLRRLDVDYIDLYYQHRIDKKVPIEVTICELKKLVEEGKVKYIGLSEASPATIRRAHAVHLSLQFSSSGHYGLEIELGIGIVVYRPLGRGFFSNGAKLADSLSDRDVRKHMPRFQPGNLEKNGEIFERVSVMAARKGCTPSQLALAWVHHQGNDEMAELESYACIDDVQGDRYPPMASTWKDSETPPLLSWKTE >LPERR04G05300.1 pep chromosome:Lperr_V1.4:4:6938389:6945029:-1 gene:LPERR04G05300 transcript:LPERR04G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHKPAAGNAYSLRTTSKCKRTQSVSCHAHATAPVQATVEAAASFEPSQSEQWMRERASKLKEDVRALFMTSKDDILVRMKLVDTVQHLGMDHLFKEEIECALKDIYEKELASSDLHEVALRFHLLREHGYFVSPDVFNKFKGDDGTFSNELADDPRGLLSLYNAAHLFIHGEPELDEAISFARRHLESITQHNVLNAPLADQVKRALHWPRPRTHKRVEMVSYISEYDQEDGHNPVLLELAKLDFNILQRVHLKELKEISRWWKDISGYMGLSHIRDRVIECYTWSYAVYHEEDLALARMIFAKIVVFVALLDDTYDVHAYTSIEECRMLNAAFQGWDDSAVSLVPEYLRKFYEVTLRSFREFEEQVPSNQKYLVAFSKTELQKLSSYYLEGAEWSHRKHKPSFSEQVTLSTMTTGTRPLAAGLMVGMSDAVTTKEAYVWAVASTDAIISCGKTGRFMNDIAGFKLGSQNKADMACSVESYINEHKVTADVAIAKINELLEDEWKTTNQARIDHHAVLPVVQRLINITMAIPLYYGDGNDGFTFGESLQKVLQNLYVRPIPI >LPERR04G05310.1 pep chromosome:Lperr_V1.4:4:6953195:6954321:-1 gene:LPERR04G05310 transcript:LPERR04G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFAGHHLQLIGLRVPFDLLIVRVHLQSARLRLVSCSTSIFKSSECTSSTSRVSSPTRGAKEGCQYPGKDETEGTNLCAFYVAESIMSHGQSIYSALSDLEYRRDWVAEEDKHKTIQEALAGFLNDEVLDPKGEHYYDGRLEPASVNYNIDLDDPNFD >LPERR04G05350.1 pep chromosome:Lperr_V1.4:4:7036341:7039952:1 gene:LPERR04G05350 transcript:LPERR04G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAVPRIKLGSQGLEVSAQGLGCMGMSAVYGSGPKPEADMVALIRHAVAAGVTFLDTSDVYGPHTNELLLGKALSSSGVSTRDLVQVATKFGITPEMEVRGDPAYARAACEGSLRRLRVDCVDLYYQHRIDPTVPIEITMGELKKLVEEGKIRYIGLSEASASTIRRAHAVHPITAVQLEWSLELGIGIVAYSPLGRGFFSSGAKLVNELPDEDFRKNLPRFQPENLEKNAAIFERVNAMAARKGCTSSQLALAWVHHQGSNVCPIPGTTKINNFNQNLGALSVKLTPEEMAELESYASVDDVQGDRYHGTFLNTWKNSETPPLSSWKGN >LPERR04G05360.1 pep chromosome:Lperr_V1.4:4:7050750:7056041:-1 gene:LPERR04G05360 transcript:LPERR04G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCLPFVSCNPIETTVARLGRPIVPHVLDIRPNCVSALTSFRSSRLLRHATTINAHIASRDANVTGKEARSEQWMVERADKLKEEVCALFETCNSIVGRMQLVDAVKRLGIDHLFKEEIDYTLSDINAREFISPSLHDVALRFRLLREHGFRVSPDVFNRFKGNDGRFNSGITEDPRGLLSLYNAAHLLVQDEPELEEAISFAKHHLNSLSGRTDCKPHLIEQINRALDVPLPRAYRRMETLHYMPEYGQEEGHIPILLELAKLDFNLQQHVHLKELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVALYEEGSALARMIFTKIIAFIILMDDTYDSYATIEECRKLNEAIQRWDENAIQFLPDYMKKLYIALLKTFKEFEAHVHVDGQHRIDFTKKEFQRLSAYYLQEAEWSHENYKPSFKEQVALSTITSTVPLLCVSTTVGRGDALTKEAFEWATSDIVATIACAKITRFMNDIAAFKRGRKNRGDVASTVECYMSENKVTSESAFTKIDSLVEDEWKIINQALCESREVLPAVQQVRNLAICATFFYGNRKDAYTFSTHLQDTVESLFVKPIPI >LPERR04G05370.1 pep chromosome:Lperr_V1.4:4:7066320:7071371:-1 gene:LPERR04G05370 transcript:LPERR04G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNLVNSVQHLGIDHLFQKEIEDALTSIHGNEFTSSCLYDVALRFRLLREHGFWVSPANDPKGLLSMYNAAHLLVHGEPELEEAIAFARQHLELMSQGNVLQPPLAVKRALSFPLPRTFKRVETICYMMEYQQEEGNIPILLDLAKLDFNLLQHIHLKELKEISEWDESAISILPEYLKKFYNKLFINFKEFGDQVAANQKYQVSFTKKEFQKQSTYYLQEAEWFNQNHKPGFKDQLCNILMCVAAMVGWGDAMTTESFDWAASANDAVIACAKIGRFTNDIARGRNRGDVASSVECYMNENDVTSEVAFAKIDSLVEDEWKTTNKVRLEHRSLLPMDMDQAKD >LPERR04G05380.1 pep chromosome:Lperr_V1.4:4:7081673:7084894:1 gene:LPERR04G05380 transcript:LPERR04G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKRLLLLLLLVLEPRFINGAETNRLESFVHDELPFSQHGIMGFSTSIASDAENDVEKVIQAWYINDEDNAEKDQMPHDLIPIGKLLDLGLVAMQLDADNHEHDEKLKKMREQRGYLHMDIVELTPKKLPNYNMMIKRFFEEHLHIDEEVRYCLDGSGYFDVRDENDRWIRVSVRKGALIVVPAGIYHRFTLDSNNYIKAMRLFSGGPDWTAYNRPHDHLIARKKYLEALQNRTKVPQDLDSSLNLTSL >LPERR04G05390.1 pep chromosome:Lperr_V1.4:4:7085652:7088626:-1 gene:LPERR04G05390 transcript:LPERR04G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEECMRQMADKLKKNVRTLFWTSNDVVARMNLVDAIQRLGISHLFENEISSTLSDIHKNDFTSSSLQDIALRFRLLREHGLWVSPDVFNNFKDDDRRFINGVANDPRGLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMIQGSVLKHPLVDQVQRALHLPLPRTYKRAETLHYFLEYGQEEGHNSILLDLAKLDFNILQGVHLKELKAVSEWDENSIPLLPEYLKNFYSKLLNNFKEFEDQVAVNEKYRVSYAKMEFQKLSHYYLEEAEWLHQNHKPSFQEQVALSTKTPGAQLVCVSTAIGRGDVFTKEAFEWTTRSDAIKACAKIMRFMNDIASFKRGKNKGDIASTVECYMNEHKVTSEAAFTELSLLIEDEWRTMNEALFEHHELLPAVQRVVNLAISIMFLYDKRKDAYTFSSHLQEIVKSLFVKPVPM >LPERR04G05400.1 pep chromosome:Lperr_V1.4:4:7102195:7109343:1 gene:LPERR04G05400 transcript:LPERR04G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRARRLLPLLTFVTLGMILGSLLQLAFFRRLGDNSRMSILPIVKPEVISWSPRIIVFHNFLSSEECDYLREIARPRLQVSTVVDVATGKGVKSNVRTSSGMFVSSEERKFPVIQAIEKRIAVYSQIPEENGELIQFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGEGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDPNSIHSGCPVLEGEKWSATKWMRQKDFV >LPERR04G05400.2 pep chromosome:Lperr_V1.4:4:7102195:7109343:1 gene:LPERR04G05400 transcript:LPERR04G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRARRLLPLLTFVTLGMILGSLLQLAFFRRLGDNSLISWSPRIIVFHNFLSSEECDYLREIARPRLQVSTVVDVATGKGVKSNVRTSSGMFVSSEERKFPVIQAIEKRIAVYSQIPEENGELIQFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGEGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDPNSIHSGCPVLEGEKWSATKWMRQKDFV >LPERR04G05410.1 pep chromosome:Lperr_V1.4:4:7112558:7114576:1 gene:LPERR04G05410 transcript:LPERR04G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKTD >LPERR04G05420.1 pep chromosome:Lperr_V1.4:4:7116187:7118681:1 gene:LPERR04G05420 transcript:LPERR04G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVVGVGLDLGEKGKGGDGGGGEGGGESAVTLEMLRKKMSDFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLIRLSDMCGIDLGKAALRKMELNARKYPVEQCRGSSKKHTHYSTTTDDNSASSNDNKCNAGDDNRCNATAGNGKEQC >LPERR04G05430.1 pep chromosome:Lperr_V1.4:4:7128162:7132486:-1 gene:LPERR04G05430 transcript:LPERR04G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGQGSPARMLSDVGMLGQPLLGSSRQTEDAYGFVKMRAQKGLPAFRSVSIGGCNDSISRPAMGSRGGDRRETGGGGAAVQLKVLVPSSFRRMRICDELAARLGLGAVGGGRGQGDATRAAAVATARVVMVGSPVGKVWDIKVGRDGDGRAFLGRGWPDFAAAHGLGVGWFVVLRHRGGVLAVEAFDTTCCLKEFGADSSAFMTSGSGIARKPQFLGVLLPDFMEKMRIPDKFVQHYLTEENLNSNTANILSSLGKSCHIELENDRSGVFLKGGWSQFLLFHGISRGDVILFRYGGNLVFKINVFGLNGRQKDFRAKSINIHQSTGKQQEAPAFPRRKCKEKNKKFGKGNENQQESPCSWGVSCKKGRKSDRDRNSAKRSRSTYEIGSSAWIKKEINEYILKRCLVSLARTFCESIGFSEESTITLIEAEGENSCGSRSWEVAGRRDKEACYLLGAGWKRFCEDNSLKVGDVCTFNVVDNTMWHVVIERS >LPERR04G05440.1 pep chromosome:Lperr_V1.4:4:7141988:7146046:-1 gene:LPERR04G05440 transcript:LPERR04G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPPWSFGRQGIGHRWMVEQRETGERWRMGRFGADVLHERGLNSPVRRGALLLAGADIPCRPCAADEAFRDRAAALFLAALAAAASFPTMCLASFRCGCEKDSETYGYLRGETRGKGRDFADFWRQNRQSKSPLRFWSQTHPSSGARSFSTCNSRQQRIDEAASPSVAAVGRFTSSGPVMPSPPCVDHGGAAKVTQLKVLMPSSFRNLHISDELAAQLLCGAGAPRAAARVVSPFGKLWDVEVVGPRDVEGGRAFLGRGWPEFAAAHGLGVGWFVVLRHQGGGVLTVKVFDTTLCLNDFGASLAAAVVAARCGRSRDAPHKPQFLRILLPGYMEKMRIPDKFLQHHITEEHLNSIMAYILSPLGKVWRIELEKKEFGMFFKGGWSQFLSFHDISHGDVILLRYEGNLVFKIKVFGLNGLKKDLKTKHYIIQHNTENKQEPSSFSRSKSNPKNRRCGEDNENQQEIPCSRKGSSKKRRSGGETERQRRSKSLYQIEPPSWIMKELNKYILQRGNVSFPGTFCKSIGLVEKGIVTLMVKDSKGRCCSRWSWDVAVSVNKNGQGCCYLFGTGWKKFCKENSLKLGDVCIFNVVETTLWHVVIERS >LPERR04G05450.1 pep chromosome:Lperr_V1.4:4:7178456:7185076:1 gene:LPERR04G05450 transcript:LPERR04G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHAQPMSSSAPAPADALLAMAEVAERRRDLVAATRCLEAALSPSPSHSHPSNLXSNLLPLAEARARLRLAGLLLARSRGLPAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGLLGSVPSQKHVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALTADGDAPSALSTLSAGASAAAELGSPQLELFFAATGLHVHLLCWEDNTAVEAAVNRASHLWQSLPADQKEQWTGLFFYKELLQTFYLLRTCDYKAASQHAELLDTAAKNEMQKGQRVQELATELGAVERTLAQPGLKERERSALTYKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVVVSRPKGIFKECGKRIQSGLQLIRGELTKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILQSCECTIEMLRGQYAHSVGCFDEASFHFLEAARLTENRSMQSMCQVYAAVSFICKGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELEERENEMENSEYERKKEDDLQRRLSEACSSPFHQELVEKTRIHVQQLHNLPTEKQEIAGLTMAKADLDIPESIGLSAPRPSSVKRLIEPGSMRRSSRRRLS >LPERR04G05460.1 pep chromosome:Lperr_V1.4:4:7187540:7194264:1 gene:LPERR04G05460 transcript:LPERR04G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGQCGSDDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGGKFPHEVPGSLMLTLLVHLYAFVRGISFRCSIPHSPEAEKTLFHAMSCNEWDLLLIRVHLIALKWLFQNGELMEPLSFQLLNFCKTFCDDRIITLSGSSQFVDIQMIAELVYSGENCISSLLVSLLNQMVKEGAEDEILSVANVITEILVTFPCTSDQFMSCGIVDALGSIYVSPYSSRIKTVCSLLIFNILYSASGVTFTCDNDVWLALTMKLLDCFNSSLHHTSSDQERKILIGILCLILNHSANKVLIEPAKAIILNHCLVLMMDGIVQEACAKGPSLFQHNQETAFGDFLILMLLLIFFSLQSLHAILEASIDWQDFLQYSDETQSFSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLTRISDQRSFLNAELRCSAKYLKSIIAVTEGMVFSQDSRVAENCGACLSVVLGWERFGIKEKAMIRESKWSRLILEEFAVALTAPGLTSKSFTNQQKVAANIAVSLLQLSQVPDWLTSLFNESLVSGIVANLSARNVTAEIVNLFSELMAKKYLNQEHIAGLHNLFQVCRRQAYEGGGSKAQPSSEKKTGMARSSEDVRALLFDMMLGHRAVSYTTVEMEQERLLREIDSFFFQESSLREQR >LPERR04G05460.2 pep chromosome:Lperr_V1.4:4:7187540:7194264:1 gene:LPERR04G05460 transcript:LPERR04G05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPHSLLLLKEALIFCLEGNEDQILHKKALEDSIIETCETYLLPWLESAVVDGNDEETLSSILQIFQIILSRASDNKSVKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGFNYGETIRDACIYLPPDPAELMYLLGQCGSDDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGGKFPHEVPGSLMLTLLVHLYAFVRGISFRCSIPHSPEAEKTLFHAMSCNEWDLLLIRVHLIALKWLFQNGELMEPLSFQLLNFCKTFCDDRIITLSGSSQFVDIQMIAELVYSGENCISSLLVSLLNQMVKEGAEDEILSVANVITEILVTFPCTSDQFMSCGIVDALGSIYVSPYSSRIKTVCSLLIFNILYSASGVTFTCDNDVWLALTMKLLDCFNSSLHHTSSDQERKILIGILCLILNHSANKVLIEPAKAIILNHCLVLMMDGIVQEACAKGPSLFQHNQETAFGDFLILMLLLIFFSLQSLHAILEASIDWQDFLQYSDETQSFSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLTRISDQRSFLNAELRCSAKYLKSIIAVTEGMVFSQDSRVAENCGACLSVVLGWERFGIKEKAMIRESKWSRLILEEFAVALTAPGLTSKSFTNQQKVAANIAVSLLQLSQVPDWLTSLFNESLVSGIVANLSARNVTAEIVNLFSELMAKKYLNQEHIAGLHNLFQVCRRQAYEGGGSKAQPSSEKKTGMARSSEDVRALLFDMMLGHRAVSYTTVEMEQERLLREIDSFFFQESSLREQR >LPERR04G05460.3 pep chromosome:Lperr_V1.4:4:7187540:7194264:1 gene:LPERR04G05460 transcript:LPERR04G05460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGFNYGETIRDACIYLPPDPAELMYLLGQCGSDDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGGKFPHEVPGSLMLTLLVHLYAFVRGISFRCSIPHSPEAEKTLFHAMSCNEWDLLLIRVHLIALKWLFQNGELMEPLSFQLLNFCKTFCDDRIITLSGSSQFVDIQMIAELVYSGENCISSLLVSLLNQMVKEGAEDEILSVANVITEILVTFPCTSDQFMSCGIVDALGSIYVSPYSSRIKTVCSLLIFNILYSASGVTFTCDNDVWLALTMKLLDCFNSSLHHTSSDQERKILIGILCLILNHSANKVLIEPAKAIILNHCLVLMMDGIVQEACAKGPSLFQHNQETAFGDFLILMLLLIFFSLQSLHAILEASIDWQDFLQYSDETQSFSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLTRISDQRSFLNAELRCSAKYLKSIIAVTEGMVFSQDSRVAENCGACLSVVLGWERFGIKEKAMIRESKWSRLILEEFAVALTAPGLTSKSFTNQQKVAANIAVSLLQLSQVPDWLTSLFNESLVSGIVANLSARNVTAEIVNLFSELMAKKYLNQEHIAGLHNLFQVCRRQAYEGGGSKAQPSSEKKTGMARSSEDVRALLFDMMLGHRAVSYTTVEMEQERLLREIDSFFFQESSLREQR >LPERR04G05470.1 pep chromosome:Lperr_V1.4:4:7195061:7201252:1 gene:LPERR04G05470 transcript:LPERR04G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAREASLGRGKAAASGGGPAVTPMECAREGREPGIGRSRVAVAGCGPAVIPTEFAMEGCNDGTMGRGRGINAAAWTVGPACKRASSCA >LPERR04G05480.1 pep chromosome:Lperr_V1.4:4:7204172:7208964:1 gene:LPERR04G05480 transcript:LPERR04G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSAAPLPFPTDDSEEHPCVELFGWWLERVEGDDRKVRVAGHMKRNCIPDVFTSAPIVKRHEACTLEAEDGVILLIDGPLDLSQMEKNGYSIEVCENFMIGFPYCWEESCNLGSQPSCSGNSNSHDGSNKIYLELFQLGNFADKAASSFLANLLRSSSGDDADSFEKGSNLSNKTPRFEEYTCDVDISAKEKTTAFTEGSKGSPAVCNKVGNGKIDLIMEGDSQERGYGDIDRNASLTSMEQFTVEKAPKEAGNQNEFIHPDAKDQEASSHLVNSDLIYNMSTDKMPCEMEDGSANAGSSVGQGSKEILSIVPSERENFSMDSCLDNIPPKNTCVEHQACLDLQIAQHMTVNKEIVPNEDMSTSVHSDVESLGNPVGPAKEQRAEYDVFQDAPRSPKQHVGSTQEQTPEPYMVQGANRSPMIRTPIPHGHCSPLTLAKAKSLSLSTPESLNLRRTRSGRVVVPTLDHGCQRIIYDRGMSQAAFNLSGIWRGWFGYSRGWFRVAVTSEREQVKDSFKEEKGLLIIFQSIYIAYILYENMGSVTFCLSVWILKV >LPERR04G05480.2 pep chromosome:Lperr_V1.4:4:7204172:7208963:1 gene:LPERR04G05480 transcript:LPERR04G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSAAPLPFPTDDSEEHPCVELFGWWLERVEGDDRKVRVAGHMKRNCIPDVFTSAPIVKRHEACTLEAEDGVILLIDGPLDLSQMEKNGYSIEVCENFMIGFPYCWEESCNLGSQPSCSGNSNSHDGSNKIYLELFQLGNFADKAASSFLANLLRSSSGDDADSFEKGSNLSNKTPRFEEYTCDVDISAKEKTTAFTEGSKGSPAVCNKVGNGKIDLIMEGDSQERGYGDIDRNASLTSMEQFTVEKAPKEAGNQNEFIHPDAKDQEASSHLVNSDLIYNMSTDKMPCEMEDGSANAGSSVGQGSKEILSIVPSERENFSMDSCLDNIPPKNTCVEHQACLDLQIAQHMTVNKEIVPNEDMSTSVHSDVESLGNPVGPAKEQRAEYDVFQDAPRSPKQHVGSTQEQTPEPYMVQGANRSPMIRTPIPHGHCSPLTLAKAKSLSLSTPESLNLRRTRSGWFGYSRGWFRVAVTSEREQVKDSFKEEKGLLIIFQSIYIAWSGIVLASAEWRIMEKRCTPAHVCVLSVVYLKRN >LPERR04G05480.3 pep chromosome:Lperr_V1.4:4:7204172:7208964:1 gene:LPERR04G05480 transcript:LPERR04G05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSAAPLPFPTDDSEEHPCVELFGWWLERVEGDDRKVRVAGHMKRNCIPDVFTSAPIVKRHEACTLEAEDGVILLIDGPLDLSQMEKNGYSIEVCENFMIGFPYCWEESCNLGSQPSCSGNSNSHDGSNKIYLELFQLGNFADKAASSFLANLLRSSSGDDADSFEKGSNLSNKTPRFEEYTCDVDISAKEKTTAFTEGSKGSPAVCNKVGNGKIDLIMEGDSQERGYGDIDRNASLTSMEQFTVEKAPKEAGNQNEFIHPDAKDQEASSHLVNSDLIYNMSTDKMPCEMEDGSANAGSSVGQGSKEILSIVPSERENFSMDSCLDNIPPKNTCVEHQACLDLQIAQHMTVNKEIVPNEDMSTSVHSDVESLGNPVGPAKEQRAEYDVFQDAPRSPKQHVGSTQEQTPEPYMVQGANRSPMIRTPIPHGHCSPLTLAKAKSLSLSTPESLNLRRTRSGWFGYSRGWFRVAVTSEREQVKDSFKEEKGLLIIFQSIYIAYILYENMGSVTFCLSVWILKV >LPERR04G05490.1 pep chromosome:Lperr_V1.4:4:7210984:7215331:1 gene:LPERR04G05490 transcript:LPERR04G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTIKKFSGNNADNVPVERCSCLPSRIPRLDKCTCDKNGSSVTGKSASAINGSINRHGTFYKEVGNEEMHLATDHTSKSGCRGDTSHCINKERQTQKETICTDSRRNGDATDSKNVVVICNQISGHMSYELDNESNRTPGSLAALVSEVLVSKVSLEKRCMNHEEAGDLESTPARNTRGRKRSRQHRACAANSLFDREDCADLIDSAPNLDCSCTPNALVHDEKVQNEDKTPCASLDVRGCESTPKASLKRRVNKKKPKHEASHQTTALNANTGVPCASFDVRGCESTPNASLKRRLNRKRTKHEASHQTMAFNANSGALVAVQPRLTRTKDLSLATPESLKRSTKSGRLIVPRLDPGSQNIVYGMDGSILRVTNLELPRLQGPYSEPPPKRRKRSGCSSRQVAAVLNF >LPERR04G05490.2 pep chromosome:Lperr_V1.4:4:7211129:7215331:1 gene:LPERR04G05490 transcript:LPERR04G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTIKKFSGNNADNVPVERCSCLPSRIPRLDKCTCDKNGSSVTGKSASAINGSINRHGTFYKEVGNEEMHLATDHTSKSGCRGDTSHCINKERQTQKETICTDSRRNGDATDSKNVVVICNQISGHMSYELDNESNRTPGSLAALVSEVLVSKVSLEKRCMNHEEAGDLESTPARNTRGRKRSRQHRACAANSLFDREDCADLIDSAPNLDCSCTPNALVHDEKVQNEDKTPCASLDVRGCESTPKASLKRRAVQPRLTRTKDLSLATPESLKRSTKSGRLIVPRLDPGSQNIVYGMDGSILRVTNLELPRLQGPYSEPPPKRRKRSGCSSRQVAAVLNF >LPERR04G05490.3 pep chromosome:Lperr_V1.4:4:7210984:7215331:1 gene:LPERR04G05490 transcript:LPERR04G05490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTIKKFSGNNADNVPVERCSCLPSRIPRLDKCTCDKNGSSVTGKSASAINGSINRHGTFYKEVGNEEMHLATDHTSKSGCRGDTSHCINKERQTQKETICTDSRRNGDATDSKNVVVICNQISGHMSYELDNESNRTPGSLAALVSEVLVSKVSLEKRCMNHEEAGDLESTPARNTRGRKRSRQHRACAANSLFDREDCADLIDSAPNLDCSCTPNALVHDEKVQNEDKTPCASLDVRGCESTPKASLKRRVNKKKPKHEASHQTTALNANTGVPCASFDVRGCESTPNASLKRRLNRKRTKHEASHQTMAFNANSGALVAVQPRLTRTKDLSLATPESLKRSTKSGRLIVPRLDPGSQNIVYGMGLIRSLLLRGGKDLDVRPGRLLLF >LPERR04G05500.1 pep chromosome:Lperr_V1.4:4:7216159:7220132:1 gene:LPERR04G05500 transcript:LPERR04G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPVSGDGAPPPARSPAVSYLQAMVELHDWWLERVEGEEGKFRVVGFNTTTSRAGRIFTSASIKRRHANGDLETVDGAILLIKRPPNISKMNQNGFPHEVSKHFWQGFPVQWEKIINSNTTEMMEQPESPQKSAAYYIEKFLKGKLKYSMGLFAWDDTFQRTTNEAGIFPNQSLCNSSNERPIVEGSTANTLAASEEFCTGRMDIPKKPLATPGETCHSNQTNHVSSGLEGCETPKCVKATPHALKHLETKDALEITIEGMDPQSGVCQGSKDNTVRRLRNGKVIGMSSSASMKKTYKRRMQDKTFSENIITNEDVTSTTGQISHENVDSVSVQQIHDAPREGRERKRGKRMRS >LPERR04G05510.1 pep chromosome:Lperr_V1.4:4:7221099:7223538:1 gene:LPERR04G05510 transcript:LPERR04G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >LPERR04G05520.1 pep chromosome:Lperr_V1.4:4:7224402:7225970:-1 gene:LPERR04G05520 transcript:LPERR04G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >LPERR04G05530.1 pep chromosome:Lperr_V1.4:4:7228101:7233718:-1 gene:LPERR04G05530 transcript:LPERR04G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTAAATRKRKKQHNPCKTLAAKNPTNPLVSSKSKTTKKHKQKKPPPPPTTPPAKADQSAAASEDETAAAAGGGVLLSAEMPPARQLEFLLRSFERAAKMRLSPLELDAYSEGCMVPLPEGESQDVESFGDHVKAAFGGSWKEELCEGELEEGAVDAGSPALLVICSAALRSLELLRGLKMFTKECRPVKLFAKHMKVEEQVALLKTRVNIACGTPNRIKKLIDMEALSLSRLKLIVFDMQKDAKSFTLFTLPQVSNEFWDLYKVYLDQKKEREATAITLTCALSRSMSRPRLSSIFAAVAGGGSGGEASTALTTSTASRARAFPPAYAAFRQRLRSGTLGPEDARQLFDELLRRDDPAPEQAINVLLAALARTPPSAACRDGPALAIELFKRVDRRACPKAAAPTIYTYNILIDCYRRARRPDLGLAVFGRLLRTGLGPDVFSCCTLIDSFSKEGEVDKAFNLFCEMTEQGISPDVVTYNSLINGMCKAKEMVKAERVLRQMVDAGIEPDNKTYNCLIYGYSTMGMWNESVRVFKEMSSTGLKASVVNCNSLIHALCRYNRIKEAKDIFDSMVLKGPKPNIVTYNTLLHGYAAGGCFSDMSNLVNLMVREGIVPDQYVFTILINAYATYGMMDKAMVTFEEMQKQGMKPDTVTFSTVISAFCRMDRLDAALGKLKHMVDIGVQPGMAVYSCLIQGHCNRGDLVKAKELISEMLSKGICPPCIKFFTSIINNLCQEGRAAEGKDVMDLIIHTGQKPDLITFNSLIDGYCLVGNMKEAVGLLDAIELAGLEPDGYTYSTLLNGYCKCGSIDDALTLFRDMLHKRITPTSVSYNIILHGLFQAGRTFAAMKMFNEMLESGMAVSIEIYSVVLGGLCRNNCSDEAIVLLDKLFAMNVKFNIIIFNTMISAMFKVGRREEAKELFAAITTYGLVPTIHTYSIMMTNLIKEELFEEADKLFSSMKKSGCAPNSRLLNHIIRMLLSKAEIGKASNYLPKIDEKGISLEASTISLLISLFSEKGKYRESMKLLPANYQIF >LPERR04G05540.1 pep chromosome:Lperr_V1.4:4:7236851:7243944:1 gene:LPERR04G05540 transcript:LPERR04G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEDLEGKRRVDVEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLATYSQTPRIGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGVTPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEVDMQDSAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEVEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQTLIKGGESRNRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKDKKKGSKRQANRTPSVPEIEDFDEDELKEANSMLEEEVQYLRVAMGHENESLEDFVKAHDACQDDLMFFPNNNSYGLASVAGNADKIAALQCEFEIVKKRMDDEAKKASRLEQKINLLTQGYQVRASKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTGYQKIQEQLEEHKRQLMIQEEMEAQKRAQAEEEKERENPSAEEKVIQMDRAADEAAAGSKQVNEGQMDLDNSYVDDEFVGPIPPGPTQGDDNVVVVEENSSSQTGDNATTDDAACGMVDASKVESQDHTDDKNELPTVGSNLDEGNAAVSSDQAETNANVPE >LPERR04G05540.2 pep chromosome:Lperr_V1.4:4:7236607:7243944:1 gene:LPERR04G05540 transcript:LPERR04G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEDLEGKRRVDVEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLATYSQTPRIGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGVTPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEVDMQDSAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEVEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQTLIKGGESRNRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKDKKKGSKRQANRTPSVPEIEDFDEDELKEANSMLEEEVQYLRVAMGHENESLEDFVKAHDACQDDLMFFPNNNSYGLASVAGNADKIAALQCEFEIVKKRMDDEAKKASRLEQKINLLTQGYQVRASKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTGYQKIQEQLEEHKRQLMIQEEMEAQKRAQAEEEKERENPSAEEKVIQMDRAADEAAAGSKQVNEGQMDLDNSYVDDEFVGPIPPGPTQGDDNVVVVEENSSSQTGDNATTDDAACGMVDASKVESQDHTDDKNELPTVGSNLDEGNAAVSSDQAETNANVPE >LPERR04G05550.1 pep chromosome:Lperr_V1.4:4:7245241:7248176:-1 gene:LPERR04G05550 transcript:LPERR04G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPAGEDEQDAAALRRRLRRLVAVATAGGAAAEVFDEAAAALSALREAEVGVGGRKGGGGEAAAAAAVPAQFLCPISSGIMRDPVVIESGQTYDRQFIEEWFSAGNKICPQTQQVLSHTNLIPNHLVRTMISQWCTENGITLPQIENQDEENATNSEQKTFDQIFAKITSSSSSGERKQAIKDLRLLTKRNSDFRAVLGQRPDSIAQMILARSSPGLQNDPQVLEDMVTIILNFSILDSNKKIIGDDSEAIQFLIWALKLGDMGSRSNSAAAIFTLSALDSNKEKIGELGAIDPLIDLLEHGSIIAKKDAASAIFNLCMLHENRSIAARSGIVDVAMRAIEDQSLVEESLAILALLSRNQEMVEIITEFNGTASMLRSIRESECKRSKENAMVVLFAICTYNRTKLKEVEADEIINGSLALLAQTGTQRAKRKASGILEKMKRTMHNRHCSC >LPERR04G05560.1 pep chromosome:Lperr_V1.4:4:7248516:7254340:1 gene:LPERR04G05560 transcript:LPERR04G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMVPSSLAPWRRQRWRRERGPGSSGRPPFLLPPSFSLHSTREFICPLSPPSPLLLKSTRIEAVFRGISSGNRRARWRSPGCHCSGTGCGAPQCGGRWRPPGCRCKGAGCGAP >LPERR04G05560.2 pep chromosome:Lperr_V1.4:4:7248516:7254340:1 gene:LPERR04G05560 transcript:LPERR04G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMVPSSLAPWRRQRWRRERGPGSSGRPPFLLPPSFSLHSTREFICPLSPPSPLLLKSTRIEAVFRGISSGNRRARWRPPGCRCKGAGCGAP >LPERR04G05570.1 pep chromosome:Lperr_V1.4:4:7251521:7251859:-1 gene:LPERR04G05570 transcript:LPERR04G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLFQANMLFLLVDKPSAASPTRFVLLSRKDSYDRAIVRAMCIGKTTPEDCATCLRIAELHIRRHWNDTREASIWYSATSGY >LPERR04G05580.1 pep chromosome:Lperr_V1.4:4:7252806:7254268:-1 gene:LPERR04G05580 transcript:LPERR04G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGKTTPEDCVTCLRITELHIRRLYNDTQEASICLTAQFMNESQMFAIGSVVYDINPPSEISVTLYRMVQCMRDFTEAQCQLCLNISVVGGSGCCWGALGGKVYIYDCYMHYEAYTVTINVRLGLSSQHAIWHPLYCQPNQIRLALASPTRFALLSRKDGYDQAIVCGMFIGENTPEDCATCLHIAELHIQCHCNDTRETSIWYSATSGYGSNLSIAIMRNW >LPERR04G05590.1 pep chromosome:Lperr_V1.4:4:7255279:7256208:-1 gene:LPERR04G05590 transcript:LPERR04G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLMAQPMNESQIFAIGSAVYDINPPSGISVTLYRMPSANFALIYRWQVDQDAAGELSVEQRTTMTARCTTRTSYLYLPQDKLQAYTVPIDVRLGLSSQHAIWHPLRCQPNQICLALASPTRSASLSRKDGYDRAIVRAMCIGKNTLEDCATCLCIVELHIRCHYNDTRETSIWYSATSGYQIE >LPERR04G05600.1 pep chromosome:Lperr_V1.4:4:7262021:7264517:1 gene:LPERR04G05600 transcript:LPERR04G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKLGARFLVNKPLDAVTTQNIWQHLDLKVLRMEKMKDVSPKIKKVAKNVCAMVPNQLPTYVQMQALTGDTKICDVYSEMRRSLQLGAVFDESNYPTDHPSGDKDKLAREDDIVGGYGFASEANATQFNDDHQVADPILSCNVPDASHEIMSKATSVDNQQATRGSDEPAAFSADEANVIIFSTGNLQINVDMACNADASQESIKKTTDDLNNLTESKASTLILVNYSDSESDVETEAYLRDSRNGSCIELRNGK >LPERR04G05610.1 pep chromosome:Lperr_V1.4:4:7286444:7289284:1 gene:LPERR04G05610 transcript:LPERR04G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDEDECHADSTSCMLSAELNFSVTVFTSPMKALYFLKNHAEGVDLVLVDVHMEEMTGFEFLKVAREIHKSIQVIMMSTETTMHAMKRSVKLGARFLVKKPLNGRTIQNLWQHLDLKVLRMEKIKDLLQGNNRNVGGGDNSNCADETNPFAENLKVDTKKKYCLIWTPHLQRKFLHALQILGEDASPKKIKMIMDVDNIDRRQIAAHLQKHRLQQKKNLNKASFTKGTSEDGSNSGKEPHLYTQPTETTELNHTKMEILLKDANRKDVYAAMRRTLRHGTVCDESKYPSDPSGDEQLVVGGDGHADEASVINSSFGDQQIIAPCNTVSSQEMMNKITSCDMQTTRGSKKAAVFRLVNYSDSESD >LPERR04G05620.1 pep chromosome:Lperr_V1.4:4:7295299:7300251:-1 gene:LPERR04G05620 transcript:LPERR04G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVLAIESCHLTCPALPAWPHPRRPRRQAGRDGTGRVWVRGWIVRLGHAGAQCGGDGDPTTDAIDRHLHSLRLKSISPNPSPKLYLQIEAAALWIPSSPADSPLPPYSAQGTPTIRRASSWGSRSKTDEFEDEALVTPEELSTRNGGFQRNAGSMNCWTFGSMMKTLFLKSKLNVLIPCGLLAILINYVTQRHDWVFLLSMLGIIPLAERLGFATEQLALFTGPTVGGLLNAAFSNATELIISIHAQRSGKLRVVQQCLLGSILSNLLLVLGSAFFSGGLACGKIMQTFNKADAVVNSGLLLMAVMGLLIPAALHYTHSEVHSGKSELALSSLDGQVTGATYLHGDRDGVNLSLCSGMGMWMGIFHSCGDGDEIIIPGRQHLCSLLLSLKSPALSPPTNAAAALYGLVVGHEVVR >LPERR04G05630.1 pep chromosome:Lperr_V1.4:4:7313126:7314205:-1 gene:LPERR04G05630 transcript:LPERR04G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRRRFVYLVVDGGYNRRRRASLDNCFHMRRINMSRFFNPQSPPPPSSMDMVEDARQLPPPCMTFYAPSAMHFMLLGRDSKVLAVDHKGRAAIYDPASAAIRVAPALINPKRLPFVSVAVGDDGLYALDPTKSDEHSFEALVHKPRPPGDDDWHWQSLPPPPYQPYSCSFVGAYAAIGAYAFVGGEEAAMILVSTNNGATYSFDTTRRGWSKQGDWELPFRGLADYVPDYNLWFALNDAGHLCAFDLATANSSPAPPRPRNVWPELVKPPHKEWKPVTSYLVHLGSGRFCIARIFENKVKIPGGCCCCDMETQTETHAVFTGVEVAPCGKAGRGLRMVKHRSECYRLGHDILREWVL >LPERR04G05640.1 pep chromosome:Lperr_V1.4:4:7317459:7317962:1 gene:LPERR04G05640 transcript:LPERR04G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQPGNFERHWGIFTYDGLPKYQLDLGQSRNLVKAKNVKYLEKKWCVLKPSVSLNEPKLSDSVSYACAMADCTSLGYKTSCGGMDIRSNISYAFNSFYQKSDQDDVACGFSNLATITGQDPSSGTCRFGIMIEVDSAFSWKLHHVRSNSFLMLLLVLLQLCLSFS >LPERR04G05650.1 pep chromosome:Lperr_V1.4:4:7318484:7322548:1 gene:LPERR04G05650 transcript:LPERR04G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDKIPQNLTSQKTTHTYRNDLKKPHWIQFERCVRYCIGYQDEKIQRKFAASALPTRLYAPRRPRPGLRCARPQRRRRHQPPLRPAGPRHPGARSLAAGHPSSRLPPRSLAPLNWSGGAWRRSRLLISCSARPGEGRRR >LPERR04G05650.2 pep chromosome:Lperr_V1.4:4:7318484:7322548:1 gene:LPERR04G05650 transcript:LPERR04G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDKIPQNLTSQKTTHTYRNDLKKPHWIQFERCVRYCIGYQDEKIQRKFAASALPTRLYAPRRPRPGLRCARPQRRRRHQPPLRPAGPRHPGARSLAAGHPSSRLPPRSLAPLNWSGGAWRRSRLLISCSARPGEGRRR >LPERR04G05660.1 pep chromosome:Lperr_V1.4:4:7323081:7328313:-1 gene:LPERR04G05660 transcript:LPERR04G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGGRLPRPSMSFRAPSSEHHDGSMHFMLLDGRDKVLTTDQTGRAAIYDARAHAMRTAPSLTKPKTTSLPVSVSVGGDSLYVLDTTTRTEEEHSFEALVYQRGLHHPSCRLGKCYDDWLCHPLPPPPFRRHVAAYTVVGGSHIWMSTKGDDDDACSTYSFDTDRRAWAKQGDWTLPFCGRAEYVPDYQQQQQPSLCGSARFCVARFFHKLDKIPGYCSMDTRPTVHAVFTGVEVGNSMVGVQNGIFRKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPKYDESRSLFRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRLLQTVPAAVLDKGKAIADAYRIPVDDYGMDTCALFSKTCIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTTAAFGGVCIGALTVLADFMGAISSGTGILLAITIIYQYFETFEKERATELGFFGF >LPERR04G05670.1 pep chromosome:Lperr_V1.4:4:7331502:7332574:1 gene:LPERR04G05670 transcript:LPERR04G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRRRFVYLVVDGGYNRRRRASLDSCFHMRRINMSRFFYPQSPPPPPMDMVVDDDARRRLPRPCMTFYSPSAMHFMLLGRDSKVLAVDHEGRAAIYDSASAAIRVAPALIKPKRISFLSPLPPPPYQPYAGVRAYAVVNGEDDAAMIWVSTDDGATYSFDTARRGWAKQGDWALPFRSLAVYVADYNLWFALNDAGNLCAFDLAATANSSPAPPRPQRPRPHKEWKLVTSYLVHLGSGRFCVARIFENKVKIPGDCGCYCCEMETRTETHAVFTGVEVAPCGKAGRGQVRVLSLATWHSSGMGAVEHVIIGGFGFHVLARRIKNFLY >LPERR04G05680.1 pep chromosome:Lperr_V1.4:4:7334303:7335539:-1 gene:LPERR04G05680 transcript:LPERR04G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVSTDVGHTYSFDTARRGWSKQKGWALSFIGRADCRGGHSPCVADYKLWFVFNDAGHVCTFDLAANYSSPPPPRDTWLEEVKTPKEWKQVTSYLVHLGSGRFCVARIFCDIKKDTEKYGVFTSVEVEKAGGGLRMVKHRSECYRMDDILQQWVL >LPERR04G05690.1 pep chromosome:Lperr_V1.4:4:7335952:7338994:1 gene:LPERR04G05690 transcript:LPERR04G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILLKLLVVWMQPFEVGNIMDSEVPGIILISLQDSKVQVYRSPIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVVGTGCTL >LPERR04G05690.2 pep chromosome:Lperr_V1.4:4:7335952:7339047:1 gene:LPERR04G05690 transcript:LPERR04G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIPTTFGRAHLCPSPPPPPPRRCRHVAPAAASGGIGRRCATVSLAGVASWLTTADARADASPFDKYVKKSPIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVVGTGCTL >LPERR04G05700.1 pep chromosome:Lperr_V1.4:4:7342188:7344015:1 gene:LPERR04G05700 transcript:LPERR04G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLGQSGDGVFIMIVDEDICHANSARDMLSSLNFHVIVYSSPKHALTFLENNAQDVAFVLAEVDMKPFSGFEFLKDAKKIRKDLQVLMMSAETTMATMMRCARLGACFLLKKPLSDDAVSNLWQHVNLKALRREKIKELLQVRGRETMDVMSYDEQASKETEANEAEEVGEVNSSEANKNVKSVQVESNEKGDGIAKICDIDAAKGAMPNKIKYELSGDLKVSSGDDHLVPEANNNVDATEGIGSNSSDEEVSNETKSAANVGKVSLVDYPDFEDDETNKPTST >LPERR04G05710.1 pep chromosome:Lperr_V1.4:4:7349239:7355989:-1 gene:LPERR04G05710 transcript:LPERR04G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVVASSPRYGGGVVIDAATGDHVLVLVRLCLNAAAAEACRSLETERVRSGEEDGGSGFGDDPRELRFECPRLVEGVVWLGAQLRILYGEGSGRLFAIAAVREAILRAGSRLVVGVGGSGEGGEAGASGGESVQGSDFGNVGTSSVYVSQVAAAVAALHERFSLEEKIKALRAPRRSKYQLYTFSILTVQFNNLIPHTLERGHEERLKRPNYRTILEYDGVISRQVDSQESGRAKTREELLAEERDYKRRRMSYRGKKLKRNPKEILRDIIDEHMEEIKHAGGIGCLVDTPGGIALSMLKDNSHEGTHQGSFDPTSSSYSKEVLGHQSASCAKSTCDDTFGIVSSRNHGMRDSVKDLRNGNRQREYQKVSDHDNRRINDSESTVDQRYSHQHENSRRQRNSNDHRKYDYKYKKDGSDYYSESSGCTRGSSEREYGRMSGVRSNDISTASHTRHRSVSVIQDQFSDRYNPQSAYSDRDPATIMLYEGSAGQREIYHDEKRNVKCCESRNMDDGRRGYHVYACKVDVIVLMLKPNGVFRLSLSWTNRACEFSVVWMQPFEVGNIMDSEVPGIILISLQDSKVQVYRSAIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVVGTGCTL >LPERR04G05710.2 pep chromosome:Lperr_V1.4:4:7349239:7355989:-1 gene:LPERR04G05710 transcript:LPERR04G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVVASSPRYGGGVVIDAATGDHVLVLVRLCLNAAAAEACRSLETERVRSGEEDGGSGFGDDPRELRFECPRLVEGVVWLGAQLRILYGEGSGRLFAIAAVREAILRAGSRLVVGVGGSGEGGEAGASGGESVQGSDFGNVGTSSVYVSQVAAAVAALHERFSLEEKIKALRAPRRSKYQLLLEYSQALERGHEERLKRPNYRTILEYDGVISRQVDSQESGRAKTREELLAEERDYKRRRMSYRGKKLKRNPKEILRDIIDEHMEEIKHAGGIGCLVDTPGGIALSMLKDNSHEGTHQGSFDPTSSSYSKEVLGHQSASCAKSTCDDTFGIVSSRNHGMRDSVKDLRNGNRQREYQKVSDHDNRRINDSESTVDQRYSHQHENSRRQRNSNDHRKYDYKYKKDGSDYYSESSGCTRGSSEREYGRMSGVRSNDISTASHTRHRSVSVIQDQFSDRYNPQSAYSDRDPATIMLYEGSAGQREIYHDEKRNVKCCESRNMDDGRRGYHVYACKVDVIVLMLKPNGVFRLSLSWTNRGISPFQMSAIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVVGTGCTL >LPERR04G05710.3 pep chromosome:Lperr_V1.4:4:7349239:7355989:-1 gene:LPERR04G05710 transcript:LPERR04G05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVVASSPRYGGGVVIDAATGDHVLVLVRLCLNAAAAEACRSLETERVRSGEEDGGSGFGDDPRELRFECPRLVEGVVWLGAQLRILYGEGSGRLFAIAAVREAILRAGSRLVVGVGGSGEGGEAGASGGESVQGSDFGNVGTSSVYVSQVAAAVAALHERFSLEEKIKALRAPRRSKYQLLLEYSQALERGHEERLKRPNYRTILEYDGVISRQVDSQESGRAKTREELLAEERDYKRRRMSYRGKKLKRNPKEILRDIIDEHMEEIKHAGGIGCLVDTPGGIALSMLKDNSHEGTHQGSFDPTSSSYSKEVLGHQSASCAKSTCDDTFGIVSSRNHGMRDSVKDLRNGNRQREYQKVSDHDNRRINDSESTVDQRYSHQHENSRRQRNSNDHRKYDYKYKKDGSDYYSESSGCTRGSSEREYGRMSGVRSNDISTASHTRHRSVSVIQDQFSDRYNPQSAYSDRDPATIMLYEGSAGQREIYHDEKRNVKCCESRNMDDGRRGYHVVWMQPFEVGNIMDSEVPGIILISLQDSKVQVYRSAIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVVGTGCTL >LPERR04G05710.4 pep chromosome:Lperr_V1.4:4:7348598:7355989:-1 gene:LPERR04G05710 transcript:LPERR04G05710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVVASSPRYGGGVVIDAATGDHVLVLVRLCLNAAAAEACRSLETERVRSGEEDGGSGFGDDPRELRFECPRLVEGVVWLGAQLRILYGEGSGRLFAIAAVREAILRAGSRLVVGVGGSGEGGEAGASGGESVQGSDFGNVGTSSVYVSQVAAAVAALHERFSLEEKIKALRAPRRSKYQLYTFSILTVQFNNLIPHTLERGHEERLKRPNYRTILEYDGVISRQVDSQESGRAKTREELLAEERDYKRRRMSYRGKKLKRNPKEILRDIIDEHMEEIKHAGGIGCLVDTPGGIALSMLKDNSHEGTHQGSFDPTSSSYSKEVLGHQSASCAKSTCDDTFGIVSSRNHGMRDSVKDLRNGNRQREYQKVSDHDNRRINDSESTVDQRYSHQHENSRRQRNSNDHRKYDYKYKKDGSDYYSESSGCTRGSSEREYGRMSGVRSNDISTASHTRHRSVSVIQDQFSDRYNPQSAYSDRDPATIMLYEGSAGQREIYHDEKRNVKVVWMQPFEVGNIMDSEVPGIILISLQDSKVQVYRSAIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVS >LPERR04G05710.5 pep chromosome:Lperr_V1.4:4:7348598:7355989:-1 gene:LPERR04G05710 transcript:LPERR04G05710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVVASSPRYGGGVVIDAATGDHVLVLVRLCLNAAAAEACRSLETERVRSGEEDGGSGFGDDPRELRFECPRLVEGVVWLGAQLRILYGEGSGRLFAIAAVREAILRAGSRLVVGVGGSGEGGEAGASGGESVQGSDFGNVGTSSVYVSQVAAAVAALHERFSLEEKIKALRAPRRSKYQLLLEYSQALERGHEERLKRPNYRTILEYDGVISRQVDSQESGRAKTREELLAEERDYKRRRMSYRGKKLKRNPKEILRDIIDEHMEEIKHAGGIGCLVDTPGGIALSMLKDNSHEGTHQGSFDPTSSSYSKEVLGHQSASCAKSTCDDTFGIVSSRNHGMRDSVKDLRNGNRQREYQKVSDHDNRRINDSESTVDQRYSHQHENSRRQRNSNDHRKYDYKYKKDGSDYYSESSGCTRGSSEREYGRMSGVRSNDISTASHTRHRSVSVIQDQFSDRYNPQSAYSDRDPATIMLYEGSAGQREIYHDEKRNVKVVWMQPFEVGNIMDSEVPGIILISLQDSKVQVYRSAIIITVKIHPCPSGANAIIVEFTSGLTGAGATFFTANVVS >LPERR04G05720.1 pep chromosome:Lperr_V1.4:4:7388576:7389106:1 gene:LPERR04G05720 transcript:LPERR04G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNGDTEICDVYTEKRRSLQLRTVFDESKYPTDHPSGDKDKLAREDEIVGGYGFASEANATQLDDDHQVADPVLSCNVTDASQEIMSKATSVDNQQVTRGSDEPATLSADEANVIIFSTGNMQINVDVYSKADASQESIKKTTDDLNDLTGSKTSTFRLVNYSDSESDIETEAS >LPERR04G05730.1 pep chromosome:Lperr_V1.4:4:7392861:7395657:1 gene:LPERR04G05730 transcript:LPERR04G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDEDECHADSTSCMLSAELNFSVTVFTSPMKALYFLKNLAEGVDLVLVDVHMEEMTGFEFLKVAREIHKSIQVIMMSTETTMHAMKRCVKLGARFLFKKPLNAGTIQNLWQHLDLKVLRMKKIKDLLQGNNRNVGVGDNSNCADETNPFAENLKDHTKKKYCLIWTPHLQRKFLHALQILGEDASPKKIKMIMDVDNIDRKQIAAHLQKHRLQQKKNLNKASFTKGTSEDGSNSRKEPHPYTQPTETTMEILLKDANRKDVYAAMRTALRHGTVFDESKYSSDPSGVEEQLVVGGDGRAYEASVIDSSFGDQQIIAPCNAVSSQEMTNKITSCDMQTTRGSKKAAVFRLVNYSDSESD >LPERR04G05740.1 pep chromosome:Lperr_V1.4:4:7398930:7400563:1 gene:LPERR04G05740 transcript:LPERR04G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVEQSGDGVFIMIVDEDKCHANSARDMLSSLNFHVIVYSSPKHALTFLENNAQDVAFVLAEVDMKPFSGFEFLKDAKKIRKDLQVLMMSAETTMATMMRCARLGACFLLKKPLSDDAVSNLWQHVNLKALRREKIKELLQVNEAEEVGEVNSSEANKNIKSVQVESNEKGDGITKICNIDAAKGTMPNKTKSELSGDLKVPSGDGQESIGSNSLDEEVSTKTKSAANVGKVSLVDYPDSEDDETNKPTSTWRFSLVSAQLI >LPERR04G05750.1 pep chromosome:Lperr_V1.4:4:7409458:7411526:-1 gene:LPERR04G05750 transcript:LPERR04G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEATTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAKKDAAEGQEGEAAAEETKKSNHVVRKLEKRQQTRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGATA >LPERR04G05760.1 pep chromosome:Lperr_V1.4:4:7414112:7427656:1 gene:LPERR04G05760 transcript:LPERR04G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGPKPDIFTYNTLLHGYAAGGCFTDMNNLVNLMVREGIVPNQYVFNILINAYATYGMMDKAMVTFEEMQKQGVNPDVVSFTTVISAFCRMDRLDAAMDKLKHMVVVGVQPDTAVYSCLIQGHCNRGDLVKAKELISEMLSKGIRPPCIKFFTSVINNLCKEGRVAEGKDVMDLMILTVGNMKEAVGLLDAMVTAGLEPDSYIYSTLVNGYCKSGSIDDALTLFRDMLHKRVTPTSVPYNIILHGLFQAGRTFAAKKMFHEMIESGIAVSFEPYSVVLGELCRNNCSDEAIVLLDKLFAMNIKFDIIIFNTMISAMFKVGRREEAKEFFAAITTYGLVPTIHTYSMMMTNLIKEGLFEEVDKLFSSMKKSGCAPDSRLLNQIIRMFLTKAEIGKASNYLSKIEENNLTLEAPTIFIIGLSLLKGSLMLPEKVETARVGNYQQAMSRSLRPRLASAAATASSTASTSRSKAEASPPARAYAAAFRERLRSGTLTPDDARNLFDELLRRRRRRDDRAVSELFAGIARAPPSAACGRDGPAIAVELFKRMDRLACSHGAGAPTIYAYNILIDCYRRARRPDLGLAVFGRLIRTGVGMDVVSYNTLIDGFSKEGEVDKAHDLFHQMIEDGISPDVVTFSSLIDGLCKRKEMVKAEMVLQKMVDAGVRPNNVTYNSLIYGYSTKGMWKDSVRVFKEMSSKGLTPCVVNCNSFIHALCEHSRIEEAKDIFDSMVLKGPKPDIVSYSTLLHGYATAGCLEDMDSLFNLMVSEGIEPNHQVFSIQIKAYAKCGMMDRAMLIFDDMQKQGVRPDTVTFCTVISAFCRMGRLDDALDRLKHMVDIGVPPSITVYSCLIQGHCNRGDLVKAKELLSEMLNKGIPPPCIMFFNSIINDLCKEGRVAEGKDIMDLTIHAGQRPDLITFNSLIDGYCLVGNMKEAVGLLDAMMSVGLEPDGYSYSTLVNGYCKVGRIDDALAVFRDMLHKGIGPTSVSYSIILHGLFQVGRTFTAKKMFDEMIESGIAVTVETYGVVLGGLCRNNCSDEVIVVLEKLFATNVKFDIWIFNIMISAMFKVGITEEAKELFAAISTYGLVPTVHTYSIMMTNLIKQELFEEVDRLFLSMEKSGCAPNSRLLNQIIRMLVNKAEIPKASSYVSILDENNFTLEASTISLLVSLFSRDDEAWSISFGWRMKICSSAMLATLATLKDDKERLRLGTLGADDERHLFDELLRRADPTKARSISELLAALARAPPSAACRDGPALAVELFKRMDRRACELCPRDATTIYTYNILIDCYRRAHRPDLGLAVFGRLLKTGLGMDVVSYSTLIDGFCKEGKVDKAYDLFTDMKEQGISPDVVTYNSLINGICKTKEMVKAERVLRQMIDAGIQPNNKTYDCLIYGYSTMGMWKESVRVFKEMTSSGIMPDVSNCNSFIHALCKRDRIKEAKDIFDSMILKGPKPNIVTYSILLHGYATKSKGCFTDMNNLINLMVREGIVPNQYVFSILINAYATYGMMDKAMVTFEEMQKQGMKPNTVTFSTVVSAFCRMGRLDDALDKLKHMVDIGVQPDTGVYSCLIQGHCNHGDLVKAKELISEMHQFLQLDDKQPMQGRKGSER >LPERR04G05760.2 pep chromosome:Lperr_V1.4:4:7414112:7425119:1 gene:LPERR04G05760 transcript:LPERR04G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGPKPDIFTYNTLLHGYAAGGCFTDMNNLVNLMVREGIVPNQYVFNILINAYATYGMMDKAMVTFEEMQKQGVNPDVVSFTTVISAFCRMDRLDAAMDKLKHMVVVGVQPDTAVYSCLIQGHCNRGDLVKAKELISEMLSKGIRPPCIKFFTSVINNLCKEGRVAEGKDVMDLMILTVGNMKEAVGLLDAMVTAGLEPDSYIYSTLVNGYCKSGSIDDALTLFRDMLHKRVTPTSVPYNIILHGLFQAGRTFAAKKMFHEMIESGIAVSFEPYSVVLGELCRNNCSDEAIVLLDKLFAMNIKFDIIIFNTMISAMFKVGRREEAKEFFAAITTYGLVPTIHTYSMMMTNLIKEGLFEEVDKLFSSMKKSGCAPDSRLLNQIIRMFLTKAEIGKASNYLSKIEENNLTLEAPTIFIIGLSLLKGSLMLPEKVETARVGNYQQAMSRSLRPRLASAAATASSTASTSRSKAEASPPARAYAAAFRERLRSGTLTPDDARNLFDELLRRRRRRDDRAVSELFAGIARAPPSAACGRDGPAIAVELFKRMDRLACSHGAGAPTIYAYNILIDCYRRARRPDLGLAVFGRLIRTGVGMDVVSYNTLIDGFSKEGEVDKAHDLFHQMIEDGISPDVVTFSSLIDGLCKRKEMVKAEMVLQKMVDAGVRPNNVTYNSLIYGYSTKGMWKDSVRVFKEMSSKGLTPCVVNCNSFIHALCEHSRIEEAKDIFDSMVLKGPKPDIVSYSTLLHGYATAGCLEDMDSLFNLMVSEGIEPNHQVFSIQIKAYAKCGMMDRAMLIFDDMQKQGVRPDTVTFCTVISAFCRMGRLDDALDRLKHMVDIGVPPSITVYSCLIQGHCNRGDLVKAKELLSEMLNKGIPPPCIMFFNSIINDLCKEGRVAEGKDIMDLTIHAGQRPDLITFNSLIDGYCLVGNMKEAVGLLDAMMSVGLEPDGYSYSTLVNGYCKVGRIDDALAVFRDMLHKGIGPTSVSYSIILHGLFQVGRTFTAKKMFDEMIESGIAVTVETYGVVLGGLCRNNCSDEVIVVLEKLFATNVKFDIWIFNIMISAMFKVGITEEAKELFAAISTYGLVPTVHTYSIMMTNLIKQELFEEVDRLFLSMEKSGCAPNSRLLNQIIRMLVNKAEIPKASSYVSILDENNFTLEASTISLLVSLFSRDEALELFAVISTNGSMHTYSMMITSLFWLENEDLFICYARNSCDIER >LPERR04G05760.3 pep chromosome:Lperr_V1.4:4:7425069:7427654:1 gene:LPERR04G05760 transcript:LPERR04G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSHRLRLGTLGADDERHLFDELLRRADPTKARSISELLAALARAPPSAACRDGPALAVELFKRMDRRACELCPRDATTIYTYNILIDCYRRAHRPDLGLAVFGRLLKTGLGMDVVSYSTLIDGFCKEGKVDKAYDLFTDMKEQGISPDVVTYNSLINGICKTKEMVKAERVLRQMIDAGIQPNNKTYDCLIYGYSTMGMWKESVRVFKEMTSSGIMPDVSNCNSFIHALCKRDRIKEAKDIFDSMILKGPKPNIVTYSILLHGYATKSKGCFTDMNNLINLMVREGIVPNQYVFSILINAYATYGMMDKAMVTFEEMQKQGMKPNTVTFSTVVSAFCRMGRLDDALDKLKHMVDIGVQPDTGVYSCLIQGHCNHGDLVKAKELISEMHQFLQLDDKQPMQGRKGSER >LPERR04G05770.1 pep chromosome:Lperr_V1.4:4:7427892:7429503:1 gene:LPERR04G05770 transcript:LPERR04G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGVTSTSVSYTTILHGLFQARRATATMKMFNEMLESGMAVSIEIYGVVLGGLCRNNCIDEAVVLLEKLIGMKVKFDIFIFNIMINAMFRVGRTEEAIELFATMSSYGLAPTVHTYSIMMTNLIKEELFEEADTLFSSMKRSDCATDSRLLNQIVRMFLSKGEIGKASNYLSKVDENNLTLEAPTISLLVSLFSREGPILLLNVNASYTRLLFSNVQACCICGFVNFTVLGEFCRINCTDEANQLLQKLFAMNVKFDIITSIL >LPERR04G05780.1 pep chromosome:Lperr_V1.4:4:7434034:7434435:-1 gene:LPERR04G05780 transcript:LPERR04G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTPLLLLLVVAVAVAGEAAESSPSAAAVGGRTEITDVGSNKAVQSLGKFAVAEHNRRLRLGRHDDPVPVKLAFGRVVAAQKQVVSGVAYYLKVVARDPHAAGERPFDAVVVVKAWVKSKELVSFTPSPK >LPERR04G05790.1 pep chromosome:Lperr_V1.4:4:7442539:7450874:1 gene:LPERR04G05790 transcript:LPERR04G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEYMENVDPGAAAHAAHAHPSSPFRSPTSAAKPLGNRNRGLLPPRPPPSGNPLKRKLDVSPAAAHASPDSAGGGGAAAGGGPAPDSGVKVFVARVLFLRAVWLGRAGSGLIDWCVGLVSLQVVVRIRPPCLVEEEEAGEDASRAPDFCVRKTATNSVAIHGQDFTFDAVADAASSQEDIFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALLEDSTCSERGLTPRVFEQLFSRIKEEQGKHADKELTYHCVCSFLEIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNCTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKNRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSCGGFSTGWNARRSLHLLKMSLNRPATFQTIHEDSGDVEMEIDENDVEKPYNQENMVISPPGDKEFKALQASVKINGGTSLVDAFDGENPMPTKRSCSDDRFKLNLAASIQRGLQVIENHQNNGAWRRASLGLNARTVDVQPCKVDVAIQTEPEEADARDNPLALIPSHLLETSATVSNTCRDLQLVPNNTEITCDEPKQQQILKAVEKVLAGAIRREMARDEQCAKQAAEIRQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMSEHKILQQKYNNHPELLRAEIELKRLQEELEMCQNYIDEKEVLQEEIQDLKSHLHFMLSSSASICRLWPPVQLSQATGPSPITNDADGDTNVVEPCGTNIVEPPDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKVRAAKAGVKGAELRFINSLAAEIAVLREEKKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDREIVALNQRLTESSHHEDSTLAIELHDMETTKYDTAGSPGDQQWRDEFNQQGGGSFEVSKSTDLNSWFSGTSRTCTDTLEPAS >LPERR04G05790.2 pep chromosome:Lperr_V1.4:4:7442539:7450747:1 gene:LPERR04G05790 transcript:LPERR04G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEYMENVDPGAAAHAAHAHPSSPFRSPTSAAKPLGNRNRGLLPPRPPPSGNPLKRKLDVSPAAAHASPDSAGGGGAAAGGGPAPDSGVKVFVARVLFLRAVWLGRAGSGLIDWCVGLVSLQVVVRIRPPCLVEEEEAGEDASRAPDFCVRKTATNSVAIHGQDFTFDAVADAASSQEDIFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALLEDSTCSERGLTPRVFEQLFSRIKEEQGKHADKELTYHCVCSFLEIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNCTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKNRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSCGGFSTGWNARRSLHLLKMSLNRPATFQTIHEDSGDVEMEIDENDVEKPYNQENMVISPPGDKEFKALQASVKINGGTSLVDAFDGENPMPTKRSCSDDRFKLNLAASIQRGLQVIENHQNNGAWRRASLGLNARTVDVQPCKVDVAIQTEPEEADARDNPLALIPSHLLETSATVSNTCRDLQLVPNNTEITCDEPKQQQILKAVEKVLAGAIRREMARDEQCAKQAAEIRQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMSEHKILQQKYNNHPELLRAEIELKRLQEELEMCQNYIDEKEVLQEEIQDLKSHLHFMLSSSASICRLWPPVQLSQATGPSPITNDADGDTNVVEPCGTNIVEPPDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKVRAAKAGVKGAELRFINSLAAEIAVLREEKKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDREIVALNQRLTESSHHEDSTLAIELHDMETTKYDTAGSPGDQQWRDEFNQQGGGSFEVSKSTDLNSWFSGYDKCNI >LPERR04G05800.1 pep chromosome:Lperr_V1.4:4:7459063:7468407:1 gene:LPERR04G05800 transcript:LPERR04G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLITSLLSQGNTVLSSIRASLLDLPSSPSSSSSSSTSHDNSKKERQAVVKNLERLMQTMQPIKAALYDAEEKEIRERSVKLWLKEIKRAAYDADDVLSEYRYEATRVQVEARKASEASGTYKRKHMEASDFFIMHNRIKTTYCAGICDLYVDIVPIPDGMVDRLNKTSCRFDEIENDRVTLQLIKTDGTTQANNATERHKTSHMLGRESIIFGREADVTEIINHVLSENHLPFSVISIIGKGGLGKTTIAQLIYKDTRARQYFDLFGWVCVSENFEVERMFKATWESFTRGKFGRSELRSLQEELLQIVKDKKVLLVLDDVWNEDPDLWESFIVPLKQAKWVCILVTTRNEKVAKAMLKTTIFKPDHLPEDVSWQLFQYYAFGNRNYSTPTQLVEMGREITRKCAGIPLAVKSIASLLSACILNLTKFEALRVMEVKFDHLREVPSSIVQLKHLNHLRIESEWLETLPESIGLLYNLQVFILDCFTSPLDYLPESIGCLANLQYLHIECAEFKEFPKSLCLLSNLRRLVIRNDDHLEEPSDIGKLCNLRELIIDCKELIKAIPDTTIGCLSSLEELEFPGCLSSLENSVDAFPELPGALGNCHKLQTIKAYACLLDYKPLAHSLDNFHAMKRMAACLRVETIGWLKDMKNLEGELIIEGLENISNLKDAQRANLKSKYKIETLDLCWHSLREHDHDDGWEELTIRVFKECDEDTLITEDMNFRLLECLQPHRHLKKLVLEGYPSSVEQCWIGDPLSFQAIQEIRLISCAGIKSLPFSNFLTLKHFKIQSCSGFQDVHLDQLPFQLENLDISWCDELETITGLQCLGMLASLEIKCCKALKLIMMDKPQLVERPTEPEPGSSSSHDKKLPDGRKNSLSLTKLVVLCCPRLPALPYQLQQSRPSVLIFMLGTCLPVNELIIRTLDVCD >LPERR04G05810.1 pep chromosome:Lperr_V1.4:4:7469801:7471341:-1 gene:LPERR04G05810 transcript:LPERR04G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWAVYITEARPRLCHSHWRGYSIGTRLVDEFLAKSNVSRCVDFKETANVIAKVFSLNLLLYGSD >LPERR04G05820.1 pep chromosome:Lperr_V1.4:4:7472829:7473227:1 gene:LPERR04G05820 transcript:LPERR04G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSAAGGDGCGVGVGGGMDADAAARMDGCRVMRRDGVGGMDAAAAARMDGCGVMRRRRRPASSSAGGGQGWMWSIVGNGVAVWGYGGMAK >LPERR04G05830.1 pep chromosome:Lperr_V1.4:4:7473614:7479646:1 gene:LPERR04G05830 transcript:LPERR04G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLITSLLSRGTSLLRSIKASVLAPNSSSSSSCNNKSEEERRAFKEDLERLMRTLERIRATLYDAEEREIRDCSVKLWLKELKRAAHSAEDVLSEYRYEAARAQVEAKIAHAHQSDSHKRKHALMEEHTVPIPDGMVGRLNAIRREFDEIAKAHDTYQLSESDGVIYPNNKILQPKAIDEKSIFGRAAEVTEVIDLLLSEDDEYFSVVSIIGIGGLGKTTIAKKVYHDERVRECFDLFGWVYVSEELNVEKMINETIEWFTGQNCGLSELSPLQEELAELVGDKNIFLVLDDVWNEDKHLWESFQVPFSNAKRVRVLVTTRTEKVAEVMMATACLKPNNLPEDQCWKLFCLHAFGNADYNVPAHKEEMGRKIIKKCGGLPLAVKSIAIALSLRHEEDLESWTEILESDLWESDSSNDVFQALESNYARLPAYLKPCFLLCSMFPKDYEIPKENLIELWISHGYVNVKSTEKRKIREVGEQYYDELRERSFIDCSFGTEQCKLQNVIHDFARLVSEEHWSVEINQMCDIQHVKVLEEVYHLSVRGFTGYVNRVPNINLKCFRTLSMDLRGCKEVTEHQYCINSPENYSDCWANTIVYNLAKFEALRVLELKGNHLRAIPDSIYRLKHLCHLRITSDHLEMLPPSIGLLYNLQTFILDCWLSPLQLTESIGYLINLQYLSIKCARLKYLPRSIGLLTNLLRLIVKCDKLEVPSGIGELSSLEELTIDAKLEGAYLDSIGCLKRLEELCIGTPAELPFVLGKCLKLKTLQMSGCDVIYNPFGIKNFPAMCNMCACLRVSTLAWLKSMTHLEGVLIIEGLQNTTNLEDAQNADLRSKGKIHTLDLCWHNNRTHRHFGGLAIQHQELTIRLIRETGEGIPIGGDTDVNVGLLECLQPHSHLKKLFIEGYPSALLPGWIGDPLSLQAIHEIKLTACHNLQSFPFGNLDTLKHVQVHRCSGTRFLPLEQLPSQLETLQISWCEKLESITGLWNKKMLARLEVAHCKALKSITMDEPCTRDGRRNTSSLKELFIKDCLVLDALPAELVQPGPRDVSVQDLMQ >LPERR04G05840.1 pep chromosome:Lperr_V1.4:4:7476271:7479148:-1 gene:LPERR04G05840 transcript:LPERR04G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLLNCPPSPIVCHPLNSLAYEKNRMTGHHCIKSEIPDNGSPIQPGSKAEGSAFCASSKLVVFCNPSMMSTPSRCVILFNQANRGQPAIENESLQVVKEANGWWQHFEVVRSNTKMAKML >LPERR04G05840.2 pep chromosome:Lperr_V1.4:4:7476271:7479148:-1 gene:LPERR04G05840 transcript:LPERR04G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLLNCPPSPIVCHPLNSLAYEKRGQPAIENESLQVVKEANGWWQHFEVVRSNTKMAKML >LPERR04G05850.1 pep chromosome:Lperr_V1.4:4:7497300:7500393:-1 gene:LPERR04G05850 transcript:LPERR04G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEHFFPGDYFSSSSTSSAAAPPPMPPGGFDATAAAAAMAAYGGGMMPLWAAEQMMMAESAAQQHFDSALSSLVSSPGAGGGFGMNGAGDDVAIGDLIGRLGSICSGANNESCYSTPLSSPPRCPPPPMTSFRAYAAAAAGETGRLSRVSSSKSLGAGAGAAALESSEAEMRPATATATATAMPETQPPSKPSTTAAAAARKRKSSAAKAKAAAAASSLPAATAAAEKASPKRTKVAGDVDGGGEAAAEEEKAEAPKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPHLDFDGHYLSSKDMKDMSIPTYPSGDPTAATAFSYTGLPATTDPFTAYNCWELDLHTAMQMGATATGLNHNTSVTTLVHSPSSLPHHPPPPPHGFYGGSSSRGRQ >LPERR04G05860.1 pep chromosome:Lperr_V1.4:4:7516588:7521383:1 gene:LPERR04G05860 transcript:LPERR04G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCGTVDRLPQCRRRRGLSAKPLPHPGVAGRRLFASFPSPPPLLQSRREVHAGRTVAERKCTMQTAATMAAKKLVLLMAVVVVLQVVVSGVFTAAAARTLPGEDWLVGPEDGGGVVRTVVEMLVGSKSGGSGGTHCC >LPERR04G05870.1 pep chromosome:Lperr_V1.4:4:7526841:7527044:1 gene:LPERR04G05870 transcript:LPERR04G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVARIVLVVVVLLHFSGVLAAAARTMRGEEWGVLGGNGGGVIREVVEMLVGSNKSGSNGDTHCC >LPERR04G05880.1 pep chromosome:Lperr_V1.4:4:7538074:7540366:-1 gene:LPERR04G05880 transcript:LPERR04G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAAASERRAHAVLVPYPAQGHVTPTLKLAVLLHARGLHVTFVNNESNHLRLLRTRGARALDGAAGFRFVAMDDGLPPCDADVTQDNWALCHSIWKTCLPRFKKLIAGLDADADADVSGFSRRVTCVVADSNMAFGLRAARELGLRCVTFWTASICGLLGYYHYQHLLDRGLFPLKSEADLSNGYLDTTVDLIPSMPTDLRLRDLPSYVRNTDRDDIMYNFFIDVTTTMSTLADAMVVNTFDELDTPLMDATTALFPPIYNVGPLHLTARNNLPADSPTAGIVLNLWKEQEEPLRWLDGRPPRSVVYVNFGSTTVMSKEHMLEFAWGLANSGYAFVWNVRSDLVKGDDGSDAFQLPPELTTAMEARSMLSTWCPQVKVLEHEAVGVFLTHSGWNSTLESISAGVPMVCWPFFGEQPTNCRYKCTEWGIGMEIPGDVHRGEVEALIREAMDGEKGKEMRRRVAELRKSALISAQCGGRSMQNLDKLIDQVLLA >LPERR04G05890.1 pep chromosome:Lperr_V1.4:4:7566151:7569155:-1 gene:LPERR04G05890 transcript:LPERR04G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAYDLPVAGDEEEEEEMKDLDMEEEEEEAGEEVDYSPMAMKVGEEKEIGKKGLKKKLVKEGEGWDRPETGDEVEVHYTGTLLDGTKFDSSRDRGTLFKFKLGQGEVIKGWDEGIKTMKKGEQAVFTIPPELAYGEAGSPPAIPPNATLQFDVELMSWASVKDICKDGGIFKKVLAEGQKWDNPKDLDEVLVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGEKGRPASGAEGAVPPNAILHIDLELVSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRFTGKLEDGTVFAKRGHDGDEPFEFRTEEEQVIEGLDRTVVTMKKGEVALVRIPPEHAFGSTETKQDLAVVPANSTVWYEVELVSFEKEKESWDLKDNAEKIEAASKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFTDDEKKQSKALKVSSKLNNAACKLKLKEYKEAEKLCTKVLELESTNVKALYRRAQAYIELADLELAELDIKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGKQEAQPMAIDSAA >LPERR04G05900.1 pep chromosome:Lperr_V1.4:4:7572562:7577559:-1 gene:LPERR04G05900 transcript:LPERR04G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVGNVVDAAIGCLVQGILESFFTKHMEVWIREVELDEDVDKLEFEMRHAEMVLSASGGRKIDNKPLAQSLDGVRELLYDAEDVTDELDYYRLQQQIEQGKGCSAGTGNNPEASCASSSTPSSPHQLVFSARSQIISWVSSERKRKQEEEEQTDSIMLPHETKLDISKRINGIANNLHKFCNSIQGFLQLDISRLVSTPNQRQRGVRNTRLTTSLPIEPKVYGRDADRNMVIELLLNEGSSDLCVLPIVGIGGIGKTTLARYVYRDQRVINHFDLQMWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIREKIFLLILDDMWEDKDKSGWNKLLAPLKCNQVSGCMVLATTRRNSVAEMIGTLSPFQISGLDEKEFWLFFKTCAFGNENYEGHPSLQSIGQQIIKALKGCPLAAQSVGALLNRNISYEHWRTVQDKWKYLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSMFPEDHQFKGETLVQAWISQNFVQCEDTVFNVGIYGTFSVPTGTNNLVSLRHLIAGNEVHYAINCVGTMTSLQELKFKVKNIGGFEIRQLRCMNELVMLGISQIANIRTKEEASGARLIDKEHLKELSLSWSCGNIGLEPEKTKEVLEGLQPHHNLKTICIIGYNGPNSPTWLSSNLSVTSLQSIHLENCSEWQTLRSLEMLPLLRKLKLVKMPNLVEVSFPSLEELILVEMPKLEKCFGYGTELTADLRVLIIKDCPQVKEFSPFQCYSYFGAEKWFPSLSELVIGCCPHISKWEIFPLREMQSLKKLELIDMHAVRELLVPSLDELVLIKMPRLEYCTGLTASPPLQISTSQVYQKEWLSSLRKLTIHDCPCLIVSHPLPPSDMMSHLSIKGIPTVSNMEKKIGFTIKSNDLIMLDDKILAFQKLRGVGSLRIEDCPNLVSISNEGINQLTRLEGLRIKNCPNLVLPNRLVLPSLRFLTVQACGATGSWLTQMLSHVQSLDELELCDCAQIKSLSFSQPAGVKGNSSLASAMTPSPRDEQLLKLPSIMLSSLRLLTISDCPDLEFSGEEGALQGYTSLKHLRIRRCPKLIPLLVSGKGDVGSLPPSLRSFHIDMSPVLSAAWDLKLKELEQSGSLIPRPPLSLEILYISNLTDKVQSRLLSCLPTIRKLLIRESPELTSIQLGYSKALRELGLIDCQSLASIEGFGSLTNLCCITVYDSPGLPRCLELLPQQQGASEILSRLGKLRVDDGSVLTMSLCKHLTSLTWICFWPEHKKRGATMMGLTEEQERALQLLTTLNRLNFWYFPNLLSLPSNLSRLTSLKMLDITGCPRVTRLPEMGLPPSLTELSVHWCSEELHMQCRMEATEKLKVLIDGICVD >LPERR04G05910.1 pep chromosome:Lperr_V1.4:4:7578365:7592044:-1 gene:LPERR04G05910 transcript:LPERR04G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGVPTVTIEYPIPNILFGIFSLRTYGSNSGTSDPQGICYVETAALDGETDLKTRIVPSNCVNLSPEQLGRVKGVVECPNPDNDIRRFDANMRLFHPSIDNEKCPLIINNTLLQSCYLRYTEWACGVAVYTVYLLNYFPGNETKSGMSRGTAEPKLTAADTMIDKLTVAIFVFQIVVVLVLGFAGNIWKKNQGLKQWYLLYPAEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLAKGVYAKFIDWDEQMFDQETNTPAHSANTAISEDLGQVEYILSDKTGTLTENKMIFRRCCINDTLYGENNGDALKDVRLLDAVSNSDPDVVKFLLVMALCNTVIPIKSDDGYITYKAQSQDEEALVTAASKLNMVLFTSDRKRMSAVVKDVQTGKIFLLSKGADEAILPRSHQGQQIRLYLEAVEIYSQLGLRTLCLGWRELEEGEYKDWSKTFQDASCSLENREFKIAEICHSLERDLHILGVTAIEDRLQDGVPETIKLLKSAGINVWMLTEPNGQLLSINGKTEEDVLQSLERALSTMKSMPVTKDCAFVLDGWALEIILKHSKESFTKLAMLSRTAICCRMTPLQKAQLVGILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILILNPSTFSGWFGRSVYHALVVFLTTICVYADEKSEMEQLSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFVAFYMINLIVSAVPTLQMYSIMFRLCTQPSYWITMAARHLALWKPIAPPTHHARRLSPPPPQRRLSSAATEPYADRDASAATAYAAAARHDARLSALDGGMCDTAGELKVFDGMPRRNAAVAGHARRWRDVLETAALMHRSAAAGALDEATFASVLGACARGRHLDMGWQAHCLVVKSGYEDFPFVGASLLDLYSSCLDLNAARTIFDSLHARNELLWSPMVVALVRFNLLGEALNFLLQTPAPRDVFAWTAVISGYARGANQNCCKALELFVQLMADEGVMPNEFTYDSVLRACVKIGALEFGRSVHGCLIRSGFESEQLITSALVDLYCRSDGVDDALLVYNDLKLPSLITSNTLIAGFISVGRTEDAKLVFSQMAEHDSGSYNLMIKAYSNEARLEDCQRVFEMMPRRNMVTLNSMMSVLLQNGKLEEGRKLFEQIKDERNTVTWNSMISGYVQNDQSSEALKLFVVMCRLSIECSASTFPAILHACATIGTIEQGKMVHARLCKTPFESNGYVGTALVDMYSKCGCVSDAWAAFRCIISPNVASWTSLINGLAQNGHWLEAIVQFGRMLKHYVKPNEITFLGLLMASARAGLVNKGMRFFYSMESYGVVPTVEHYTCAVDLLGRARRVREAEKFISKMPIPADGVVWGALLTACWYSMDLEMGEKVAEKLFHMGTKHISAYVAMSNIYAKLGKWEDVVKVRTRLRSINAKKEPGCSWIEVKDMVHVFLVEDRNHPEREEIYLMLEDLVSNISLYSEPGDETYGSLEPAPLDSYSEG >LPERR04G05920.1 pep chromosome:Lperr_V1.4:4:7640514:7652303:1 gene:LPERR04G05920 transcript:LPERR04G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGIVAGRFRDKSILITGSTGFLGKMLVEKILRIQPEVRKLYLLVRAPDAAAAEERILTEIVGKGLFDVLREQHGEGFHSFIKEKICPLAGDVMHENFGLGRTEILQLSQVVDIIVNGAATTNFMERYDVALATNTAGIMHLCQFAKQCDNLRMLLHVSTAYVSGEQAGLLLEKPFQFGEALRQSYHLDIEAELQLVDRMKSIHRMESSGDNNLEKIAMKKLGIKRAKHFGWPNTYVLTKAMGEMLLQHLGRDLPVVIIRPSMITSTLHDPMPGWIEGTRTVDAMFVAYNNQTLPCFIADGNIIFDLIPGDMVVSAMMVAINSHWNEQAQVIYHVTSAHQNPLPCSLMEIFMYNYFHKNPRTTKDGKVITNKAVLLFKKFSYFQAYMFLRYKVPLEMMHALLRGSYSKKYNNLNRGYNFLMTVAKLFDDTNLRKLWKATAMDKNDASVFNFDPKCINWSSYLVNTHIPAAIKYANESKEKTGSA >LPERR04G05930.1 pep chromosome:Lperr_V1.4:4:7659752:7664570:1 gene:LPERR04G05930 transcript:LPERR04G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPPLIPGAPHGSETTLSHSLDKSGAPCYVPCIFSTLPTPPQRHLAPKNTSPSPPILFLLPAGRLEFIVPAVVLMQSQPGSAVRPRRRVAADGPSPSRRSLRPSPGVKPPHHVRLLPASSQPSKPSYLVRRRSRSTSPPPFRRLPPSSVKSGHSANRLAAIVAAVLPPSPKPFGRRRPLPIPVPHHPPPVPHNIGSRRWPSEEIDAAVFVSGEQPPFRSSPALLRPSPTSERPPSDPQQKISSIKEKMHGSQMTFQQ >LPERR04G05940.1 pep chromosome:Lperr_V1.4:4:7666223:7669509:-1 gene:LPERR04G05940 transcript:LPERR04G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLLERCVRWAQQPSPSSLVFVRVAAEIRLVHRLANEKESGANSRASTHLASHNRYAKPAAAIVVAPASGQPLEEEGEVVAAAMERGKEGGGGEGGREVEEEEEGAPAEGREREAEKEEKG >LPERR04G05940.2 pep chromosome:Lperr_V1.4:4:7666223:7669509:-1 gene:LPERR04G05940 transcript:LPERR04G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLLERCVRWAQQPSPSSLVFVRVAAEIRLVHREGKIPFSTSMRIGTHLASHNRYAKPAAAIVVAPASGQPLEEEGEVVAAAMERGKEGGGGEGGREVEEEEEGAPAEGREREAEKEEKG >LPERR04G05950.1 pep chromosome:Lperr_V1.4:4:7683983:7698077:1 gene:LPERR04G05950 transcript:LPERR04G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGIVAGRFRDKSILITGSTGFLGKMLVEKILRVQPEVRKLYLLVRAPDAETAQERILTEKLDSNRNVLFINCRPRLLEKVCLMFCANNTVKEKICPLAGDVMHENFGLGSSEILQLSQVVDIIVNGAATTNFMERYDVALATNTAGIMHLCKFAKQCDNLRMLLHVSTAYVAGEQAGLLLEKPFQFGEALRKSYHLDIEAELQLVDRMKSIHRIKFSGDNKAKHFGWPNTYVLTKAMGEMLLEHLGRDLPVVIIRPSMITSTLHDPMPGWIEETRTLDAIFIAYNNQTLPCFIADGNVICDLIPGDMVINAMMVAINSHWNEQAQVIYHVTSAHQNPLPSSLIEISMYNYFHKNPRTTKDGKVIKNKEVLVFKKFSYFQAYMFLRYKVPLEMMHALLRGLYSKNYNKINRGYTILMTMAKLYAPYAFFKGRFDDTNLRKLWNATAMDKNDASLFNFDPNCINWSSYLVNTHIPAAIKYASDSKVKTGSA >LPERR04G05950.2 pep chromosome:Lperr_V1.4:4:7683983:7698077:1 gene:LPERR04G05950 transcript:LPERR04G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGIVAGRFRDKSILITGSTGFLGKMLVEKILRVQPEVRKLYLLVRAPDAETAQERILTEVCLMFCANNTVKEKICPLAGDVMHENFGLGSSEILQLSQVVDIIVNGAATTNFMERYDVALATNTAGIMHLCKFAKQCDNLRMLLHVSTAYVAGEQAGLLLEKPFQFGEALRKSYHLDIEAELQLVDRMKSIHRIKFSGDNKAKHFGWPNTYVLTKAMGEMLLEHLGRDLPVVIIRPSMITSTLHDPMPGWIEETRTLDAIFIAYNNQTLPCFIADGNVICDLIPGDMVINAMMVAINSHWNEQAQVIYHVTSAHQNPLPSSLIEISMYNYFHKNPRTTKDGKVIKNKEVLVFKKFSYFQAYMFLRYKVPLEMMHALLRGLYSKNYNKINRGYTILMTMAKLYAPYAFFKGRFDDTNLRKLWNATAMDKNDASLFNFDPNCINWSSYLVNTHIPAAIKYASDSKVKTGSA >LPERR04G05950.3 pep chromosome:Lperr_V1.4:4:7689549:7698077:1 gene:LPERR04G05950 transcript:LPERR04G05950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKYCGTARRSGQRREAARRRRTRCEAPRRCAPSPAPVGTTAPPAVRPRLREATALGSRVGEAPVGVLCAKSNSARAKSSLPRAVPPDSAGLPAWRRRAGSAVGDARAVRKASIRRRPPLHGAVRLDPPPADVRAALKDSIRRRPPRMAPPGWVRRRPAPCGAERLELKRQKTVRYDVALATNTAGIMHLCKFAKQCDNLRMLLHVSTAYVAGEQAGLLLEKPFQFGEALRKSYHLDIEAELQLVDRMKSIHRIKFSGDNKAKHFGWPNTYVLTKAMGEMLLEHLGRDLPVVIIRPSMITSTLHDPMPGWIEETRTLDAIFIAYNNQTLPCFIADGNVICDLIPGDMVINAMMVAINSHWNEQAQVIYHVTSAHQNPLPSSLIEISMYNYFHKNPRTTKDGKVIKNKEVLVFKKFSYFQAYMFLRYKVPLEMMHALLRGLYSKNYNKINRGYTILMTMAKLYAPYAFFKGRFDDTNLRKLWNATAMDKNDASLFNFDPNCINWSSYLVNTHIPAAIKYASDSKVKTGSA >LPERR04G05950.4 pep chromosome:Lperr_V1.4:4:7683983:7688544:1 gene:LPERR04G05950 transcript:LPERR04G05950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGIVAGRFRDKSILITGSTGFLGKMLVEKILRVQPEVRKLYLLVRAPDAETAQERILTEKLDSNRNVLFINCRPRLLEKVCLMFCANNTVKEKICPLAGDVMHENFGLGSSEILQLSQVVDIIVNGAATTNFMESTPSSEANLDTIIRGKQAWGQLMPQDSFIMGHFICCQFKNPSKNARIKLSKNPSDN >LPERR04G05970.1 pep chromosome:Lperr_V1.4:4:7731507:7731668:-1 gene:LPERR04G05970 transcript:LPERR04G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHGDDDFLEADGFEVFTVEELLAEDEIIEDMLAEEFKAADDGEASSVSCCR >LPERR04G05980.1 pep chromosome:Lperr_V1.4:4:7731915:7734261:-1 gene:LPERR04G05980 transcript:LPERR04G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGAARRKNGSRTLDAAATAPSRTLGVGAAAAAVAAAAPTGPSFGPGSLGRGVGLRPPPRFSGRVGGSVAATTTWFDAAGGDFPGLSASQPWFDAAGGDPSSPGSWRGFSAIIEFQF >LPERR04G05990.1 pep chromosome:Lperr_V1.4:4:7745824:7754251:1 gene:LPERR04G05990 transcript:LPERR04G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENVAARFRDKSILITGSTGFLGKMLVEKILRVQPEVRKIYLLVRAPDAAAAQERILKEIVGKGLFDVLREQHGEGFHSFIKEKLCPLAGDVMHENFGLGSSEILQLSQMVDIIVNGAATTNFMERYDVALATNTAGIMHLCQFAKQCDNLRMLLHVSTAYVAGEQAGLLLEKPFQFGEALRKSYHLDIEAELQLVDRMKSVHRMKYSGDNKLEKIAMKKVGIKRAKHFGWPNTYVLTKAMGEMLLQHLGRDLPVVIIRPSMITSTLNDPMPGWIEGTRTVDAIFVAYHNQTLPSFIADGNIIFDLIPGDMVINAMMVAINSHWNEQAQVIYHVTSAHQNPLPCSLIEISMYNYFHKNPRTTNDGKVIKNKEVLMFKKFSYFQAYMFLRYKVPLEMMHALLRGLYSKNYSKLNRVYNILMTLAKLYAPYVFFKGCFDDTNLRKLWKATVMDKNNASLFNFDPNCINWSSYLMDTHIPAAIKYANDSKVKAGNA >LPERR04G06000.1 pep chromosome:Lperr_V1.4:4:7757190:7757459:-1 gene:LPERR04G06000 transcript:LPERR04G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTNISSNRIPHCQSNRTSIKQRNEEKRLLVGELTVEEVLTTAKSLETTAVAAASATGIVVVRGADEATYKTSGGTGCGGDERRGQG >LPERR04G06010.1 pep chromosome:Lperr_V1.4:4:7768505:7771459:-1 gene:LPERR04G06010 transcript:LPERR04G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRCSTTAEFGQRGSRRLGDQSTSSIHREEGKELSEASILIYFGLGMGPIHLAVFLGCTEDLRQRVDTGNGLKPSMANREDSRTQYIFQRSQSNP >LPERR04G06020.1 pep chromosome:Lperr_V1.4:4:7773789:7776650:1 gene:LPERR04G06020 transcript:LPERR04G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSLHRRHFAVGRHHPPFGWSLGDIRPPELKNFATPPLPFTRTVAGLGLPLRWLVFAYGLQAEGETGERISSYLKTGRMEGVNRK >LPERR04G06030.1 pep chromosome:Lperr_V1.4:4:7778627:7788269:1 gene:LPERR04G06030 transcript:LPERR04G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGLQRACHFGWSNTYVFTKAMGEMLLGHLGRDLPVVIIRPSIITSTINDPVPGWTEGLARTIDAIVVGYKNQMIPCFVADDDAFINAIPGDIVINAMMVAMAIHWDERALKIYNASSSLQNPLQASVKFDSIYSYFRINPRTRADGRNIRNKRMLMFKNFGYFRAYMILRYKVPLGLLHAVDKLSCGPFHNFDDTNLRKLSMAMATSCQNDDASLFLLDTTCIDWRSYLVNIHIPAALKCATAS >LPERR04G06040.1 pep chromosome:Lperr_V1.4:4:7789720:7790013:1 gene:LPERR04G06040 transcript:LPERR04G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRGVYVSLLLLAVAAVFALAGAVAAGHRDAKPGIAGAAAAAVKEEGPVVHRRVLRTNIENSVLNANRPACVSSCPANGGSYTGRGCSSAYQCRQ >LPERR04G06050.1 pep chromosome:Lperr_V1.4:4:7849893:7850126:1 gene:LPERR04G06050 transcript:LPERR04G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGLGGRIRSPHASSRWDHASPPPSRSCGHLPYPRPSPLLAPVAASLVAPPPPTPTSTKDSETGIRSSSCPRGER >LPERR04G06060.1 pep chromosome:Lperr_V1.4:4:7875491:7875865:1 gene:LPERR04G06060 transcript:LPERR04G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGRDSSNAVAICVLVFLGVTLSLAVPAAGDMRAVIAGGKAVGHHVIHAASQKLMMTTTRMEDVVARELGVEYHMDLELQQRVLGGTSRSSGLEPNEQVCLPKCTDPGQPYVGRGCDTYHRCR >LPERR04G06070.1 pep chromosome:Lperr_V1.4:4:7889936:7890316:1 gene:LPERR04G06070 transcript:LPERR04G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVVVISFLLLAVVLSLSGPAAGDMRVVHSSTNSAGQVIDAAVRQLMMPPAVEVTSSTRRLEDAVAPEFGVDMELHRRILASGTISPGALKPNGPACVKTCPARGGSYTGRGCQTVYQCKNSGG >LPERR04G06080.1 pep chromosome:Lperr_V1.4:4:7904896:7910504:1 gene:LPERR04G06080 transcript:LPERR04G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTSPKGRPRFRLVKHATKRALAIAANRIKRAAAVAAEKAARRERAIVARKERENGKAAAAAARRKLNLNVDGELNPPSPESVERAYCTRSNLIDNLRKTRKATRVDKLVLVPLRHRATAVEEEDDLAGKAIVVYGGGATTTTTPRTTYMPRWVTEMAVLERMPARSGCLVLGIDAATKAVYDELVLREETAIGDEELDDDDVPGGPEWDDRRREFRLKVDHFMENVKNIIGDREFSEWRGSVVNSVVGTFLTQNVKDGASSDAFMRVVAKFPQKKMRPENSDAHLLLTDVQDKEWHCQMPSSEPCSGCSESVAAEPLEQRNCPDKDFDALISSLQSGEISMWDEGDIKQVLIARFGNGSDKNFELSTAGKILKDIETIKATDTSNWKVLYEEACKNGYKKDDSIEDIDMVDWEALMNAPFCDFEDCIRDRGQHSQMAIRILIFLFRIKRDHGSIDLEWLRFVPRAKATYPNMAGIQTYLDPLMCNIPASTKYELHCQMITFGKIICSKGKPNCSACPFISECKYYRSQFIRAARALPEFSQQDAPNEAGMDMQRIYDLISKTSSGEMHQNQIENGQSTETHCSEPIIVIPPSPSPHCCEPITVIPSTPPPEYPGTGYDEDENMDHYVDMENIGLDIGMEDTILDIDMEIDLRPKKPSTNSSQIVPKYGQEIISFHPHAQSTRIQKKYSLRTEYIGYIVPDGHILLHKFEPRVRGDRNPYLLVIRSFDEQNVTATVLIPCRTANHGMFPLNGTYFQENEVFADHSSSRLPIQMSWEIVQSFYRCKVYFGTSIHSVTKGQTREELRDFYNEGYICTREFDLRTRSPKLLSTEIHATNVNRDIAKKRGRPDTVSEKPS >LPERR04G06090.1 pep chromosome:Lperr_V1.4:4:7916826:7919620:1 gene:LPERR04G06090 transcript:LPERR04G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVRPATMRAVQYNGYGGGATGLKFVEVPVTSVNKNEVLIKVEAGSINQFDFCNPPNLIDMQLEAFADSLT >LPERR04G06100.1 pep chromosome:Lperr_V1.4:4:7919977:7920378:-1 gene:LPERR04G06100 transcript:LPERR04G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAVVARPPSSSMQVAEAAVARQPSPLMQAAGGHRRSAAFDLDADCRWSSSSSCPRPRCGLPWSSSHGFRRPRRGLLSSSSPGSRANAEGCPPLSAAGWPLALRCRPSANRPSRHRQPALRAPDGCLVRI >LPERR04G06110.1 pep chromosome:Lperr_V1.4:4:7924259:7928268:1 gene:LPERR04G06110 transcript:LPERR04G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGKPTTMRAVQYSGYGGGADALKFVEIPVPSVKKDSVLIRVEAASLNQADWRIQNGFMRPFHPKFPFVPVTDVSGEIIEVGSAVREFKVGDKVVSKLNFWRAGGLAEYVAAPESDTVSRPAGISAADAAGLPIAGLTALQAIQSTGTKLDGSDNGADVLITAASSGVGTYAVQLAKLGNHRVTATCGTRNLDLIASLGADEVLDYTSPEGATLTSSTGKNKYDFIVNISDKNKWSAFKPRLSDRGRVVDVSPNLSNFLASVMTLFSWRKRLSLVVLTLGKKDLGFLLELMRDGKLKTVVDSRHSFEKAAEAWARSMSGHATGKVIVEM >LPERR04G06120.1 pep chromosome:Lperr_V1.4:4:7957787:7959724:1 gene:LPERR04G06120 transcript:LPERR04G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLLPILFQSLTGFLVILLLWLVSHLAVTHPPPGPIVCTTTDGPKPQCTVTNTYGAFPDRTICHVGNVTYPRTEDELVAAVAAAVAARRKVKVVTRYSNSFPKLACPGEVDGTAISTRWLNRMVHVDVSRRLMTVESGVSLHDLVRDAAAHGLALPHSPYWSGLTIGGVIATGAHGSSIWGKGSAVHEYVVGMRIVTPAPASDGFAVVREIDADDPDLDAAKVSLGVLGAVSQVTLALEPMFKRSVHFVRRNDSDIVENVAVWGGIHEFGDIMWLPRQRRVVYREDDRVDVSLPGDGRNDIIGFRPAASLLLLASRAAEEWLEERGSDVARCLASHIMPATQERLGFGFTNDDGSVAEFTGSPLVGYHHNIQSSASCMGTLHENSRSHLLSSSCMWNARLRTHAFYNSGYSIALSCAPAFVADVARLRDAVPAAFCQIDSKMGLLMRYVKASSAYLGKAEDSVDFDVTYYRSYTRGMPRAHADVYDEIEQMALRKYGGLPHWGKNRNFAFDGVVDKYPNVGDFIKVKDRFDPDGFFSSEWSDQVLGIRGSPVIFGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKVFTEARVCSLGDAAGGSRVGNDLTDA >LPERR04G06130.1 pep chromosome:Lperr_V1.4:4:7997350:7998833:-1 gene:LPERR04G06130 transcript:LPERR04G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSARLLRSLSSTRFLGQQRNAVEFCRKLQAWNSTASFPGGDEKNGMGGDVEDDFTHSRPNHVFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRTVGAENLPMPAQWHRISIHNEQLGAYAVQKLVKK >LPERR04G06140.1 pep chromosome:Lperr_V1.4:4:8000954:8002190:-1 gene:LPERR04G06140 transcript:LPERR04G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARVVNAGGECLTFDQLALRAPLGQNTLWNVPTSAQQMNKRTLWNLIADIVNFIHRDICNSLGEFFDRNTAYAREEHYRSLREWEKPQPREE >LPERR04G06150.1 pep chromosome:Lperr_V1.4:4:8013244:8014296:1 gene:LPERR04G06150 transcript:LPERR04G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEAKAKYEQKVQASSINWRINPLGIPEWSERPKCYCNDRCKVTTSCANATHGRRYFSCANKDDAFTANPTRCVFVQWIDNDVPNYAGEPVTEVETSNEYMHMHRKNEVLLRRDSRHR >LPERR04G06160.1 pep chromosome:Lperr_V1.4:4:8020038:8024651:-1 gene:LPERR04G06160 transcript:LPERR04G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLCRIATLLCLSLATAGSLAVVATSSCQRRCGSIEIPFPFGIEGQVGCAMPGFELSCNITRYGTQKLLLRDVELLNISLPEGQARMKMPMSYDCYNTTKHDMDCVDMANLNLTGSPFTFSDNANKFTVFGCRMLAYLGPGGQSAVGSNLTVGCAASCGQGDDLASINSGGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSGIYNWSRCTYGALVEESSFKFSSIYASSSNFSLESGGEPPFVVDWVVGNKTCEEAKRNLDSYACISSNSVCVDSRNGPGYICKCSQGFQGNPYQHGLDGCQDINECEDRNHYPCYGRCINKLGGFDCFCPVGSRGNASAEPCRKEFPLAIGITIGICVGFGILLLTLTVIFLIRKRRNDIQKQLRKKYFRKNQGLLLQQLIASDESATDSTKIFSLEELKEATNNFDPARLLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADSSTREFSLSWDNCLRVATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQSHVFTNIQEIAAPEVLEEATEDEINTVATISRACLRLRGEERPTMKQVEMMLQSIRNKGFRSVNDSLEDSHEMLTHSPERYVETYLTMEREAY >LPERR04G06160.2 pep chromosome:Lperr_V1.4:4:8020365:8024651:-1 gene:LPERR04G06160 transcript:LPERR04G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLCRIATLLCLSLATAGSLAVVATSSCQRRCGSIEIPFPFGIEGQVGCAMPGFELSCNITRYGTQKLLLRDVELLNISLPEGQARMKMPMSYDCYNTTKHDMDCVDMANLNLTGSPFTFSDNANKFTVFGCRMLAYLGPGGQSAVGSNLTVGCAASCGQGDDLASINSGGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSGIYNWSRCTYGALVEESSFKFSSIYASSSNFSLESGGEPPFVVDWVVGNKTCEEAKRNLDSYACISSNSVCVDSRNGPGYICKCSQGFQGNPYQHGLDGCQDINECEDRNHYPCYGRCINKLGGFDCFCPVGSRGNASAEPCRKEFPLAIGITIGICVGFGILLLTLTVIFLIRKRRNDIQKQLRKKYFRKNQGLLLQQLIASDESATDSTKIFSLEELKEATNNFDPARLLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADSSTREFSLSWDNCLRVATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQSHVFTNIQGTFGYLDPEYYHTGHLTEKSDVYSFGVVLLELLLRKQPIFDTESGFKQNLSIYFLSELKGRPITEIAAPEVLEEATEDEINTVATISRACLRLRGEERPTMKQVEMMLQSIRNKGFRSVNDSLEDSHEMLTHSPERYVGNNQALGNEINSIVNLASPNCYILEEEFMLSGSIPR >LPERR04G06170.1 pep chromosome:Lperr_V1.4:4:8049517:8051797:-1 gene:LPERR04G06170 transcript:LPERR04G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMILGSAQGAVGSLLGRLTSALAKEAQLLSGIRADVQFIKDEMESMNGFLLDMAEANDNNNDKDAADDHRCLAWVKQVAEVAYASQNCIDLYLRSNENPTLYDDSIIQQQEIFY >LPERR04G06180.1 pep chromosome:Lperr_V1.4:4:8055858:8059000:-1 gene:LPERR04G06180 transcript:LPERR04G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMILGSAQGAVGSVLGRLTSTLTEEAQLLGGVRGDVQFIKDTMESMNGFLLDMAEVNDSDNDNGDTNDHRYLAWVKQVAEIRELKARAREVGERRQRYGVEAPPRSEVRNVIWRAAENEYDLDQVDLYDAERRAMVDSEPDMLFESYSLIKWIQDQEAARKRMHDTVPEFPPPPLVVAIKETENLEKEEDQGHTQQATSPQDPPVLGGTTREETEDSEKQGQQWQKQATEGNKTEDHSSSVVQMLKQQEHELVGKLMTRKAREDEASDVKNLTNIVVLVDNGNEYEGEAYQFAKKAFEDPWFPSSLDVDIMVWIQVGGEYPQGPSCLLRNILAKIADPAESTDEWNDDQLVGKLQLHLKGKAFLIVLGDVTDTSLWNAIKPAFQGVTTRSHQVAYSTFHNIQTTYSLISQRRTFLQEFYSGIVSYLLHETNYPEDVLQNILKNLALQTDYCRLFFHALYANPNQTRENFQSLLNSLDSNSSSKNKKHLLILAYYGLSSIFKNCLLYLTIFPEHTTFRRTRLIRRWLDEGMITKRGRLSPLDESNHCLNALVAHRFVMPIETSVTGKIKSFEMDELTHRLLTKIANNENFFKTNLPADFAYRIPIQSPFHKQQITCQLHATGSKACWSSCNSFQRSHRTELDGSISGTKFLGSCPMSIFLEVLNLEGCQGLGNRHLKHICNHVSHLKYLGLRNTDITELPKQLDTLRYLETLDIRQTKVRAFAKKSVMLPKLKLLLAGQNNDYQIQNNTESEALFAAVQMPKSIGTMTELQVISRVAVMKSASELIKISNLLQLRKLGVLLQNPEGRAFMHLYHAIGQLSRTLRTLPIQIVSNNDSADKDMVIKDRLPIPPKYLQKLEISGLPHKLPLWVKDLRELTKITLHKTLLGANDIEILGKLASLCYLRLWQESCIEETLTFSKDVFQCLKFLVLQCSDIKNISFADEAAPKLKKVVWSSRPQYHSLSGIEHLQSLEELKLSGNFDLERVKLAICRKHEQSHLESKQK >LPERR04G06190.1 pep chromosome:Lperr_V1.4:4:8063040:8064256:-1 gene:LPERR04G06190 transcript:LPERR04G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQELQDVLKMEGKPLSEDSEAESDDGENVTPTAVISLVEDSNNDYTSRFAKKAYNTFSLYLVKKVWIQLGAEYPPGPITLLRDILSKVAAATESTEEWNDVLLVEKLQPCLKGQKFLIVLEDVTDPSLWDAIKPVFQGAITTCLEVYCWLPHDHMKWPCPSLSTNHHKYDARFYIDKALSLVDVNRETNYLEDVLQNILVNLALQTDNRRLFLRALYANPNQTLENFRSLQNNLDSNSSSKNKNHVLVFAYNGLSSNCKNCLLYLTIFPEHTTFGRTRLLRRWVDEGMITKRGRLSPSDEADHCLNALVAHRFVTPIDTSVTGKFKRFTMDDLTHKLMSKIASNENFFKTNLPLDLAYRVPIHSPLHKKQVTRQPHATGSKACWSIGTNFQKR >LPERR04G06200.1 pep chromosome:Lperr_V1.4:4:8064775:8065167:-1 gene:LPERR04G06200 transcript:LPERR04G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMILGSTQGAVGSLLGRLTSALAEEAQLLGGVRGDVQFIKDEIESMDVFLLDMAEANDDDDADAADDHRCLAWVKQVTEVAYASQNCIDLYVHSLNTREQGLLHRLPQLLRTLPSRHRIARQIRELKA >LPERR04G06210.1 pep chromosome:Lperr_V1.4:4:8070748:8070939:-1 gene:LPERR04G06210 transcript:LPERR04G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQAKKVLEGGVTKVSNFGSIKNQVWEALLDNFLVKAMNNKLFYLKVGRGSPLRSTNQDIFY >LPERR04G06220.1 pep chromosome:Lperr_V1.4:4:8081505:8084495:1 gene:LPERR04G06220 transcript:LPERR04G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLHNTTVLLCLTLTTAAWLAAAVAHAQPPPHCRRKCGDVDIPYPFGIDGDTPGCYLGHGHGTYGFNVSCNDTGNGVYKPFIWDVELLNISLLQAQVRILMSISSSCYNSTTRAMDPDIWSLDFTISPYRFSHTGNVFTVIGCRTLAYIGGDGKEPDVGSLTTGCVATCQEKDLGAMDVGTCSGIGCCQTAIPVGLQYYAVWFDDRFNLTGVLHNFSRCSYAALMETSSSSFRFTTEYLTSSKFNDSFDGQVPLLLDWAIGNETCEETRRKGPESYACRSKNSECFDSPSGIGYICNCSKGFRGNPYLEPSDPNSCQDIDECMDQNINNCYGLCRNTPGGFDCVCPPGTRGNASVGQCQKVLTHGVLVAIGICTSAIVGLLLFLGIEWIKYKRRINRQDLMNKRDAYFRQHGGQLLIDMMKLESHISFKLYDREDIESATNNFKENTILGQGGQGTVYKGYNLDPENNPVAIKRCKGIDENRKTEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPHKTLHYLIHGQSEASLRTLGIRLKIAAQSAEALAHLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFRAAADENVNVVKGTIGYLDPEYLMTFQLSDKSDVYSFGIVLLELLTRRKPLSNEMSLASVFQEAMKEGNFREVIDREILHDDNMELLHELAQLASQCIVMDGENRPHMSCVAEILWRLAGTSMSQQHNGTLEAVCSLRLLGNSSAIMESGYSPLETIDYDSQGMNASMNIEFAR >LPERR04G06230.1 pep chromosome:Lperr_V1.4:4:8097562:8098061:1 gene:LPERR04G06230 transcript:LPERR04G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNPWAVEAGPDGHPKHATTRTYDVSRCMSSCVSSVCERGSVSSAARSAAAARQPSRQIFGFTSYLTSPEFNEITYHGQEPLVLDWAIRYASSCEDAKKDPDLHHIYTTLVKLSIRLS >LPERR04G06240.1 pep chromosome:Lperr_V1.4:4:8102857:8103575:1 gene:LPERR04G06240 transcript:LPERR04G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNNWSLDLTGWPYRFSNTRNKFTVIGCRTLAYIRDKDDEGKYMSGCVSVCNVSTAQSGTCSGIGCCQTAIPTNMQFYNVSFDSRMNTSEIHEKTPCSYAVLTDSSWFHFSTSYLTSLEFNETYDGQAPLVLDWAIRDASSCEEAKKDPDSYACVSTNSVCSNSTNGPGYLCNCSQGYQGNPYLQDGCKDS >LPERR04G06250.1 pep chromosome:Lperr_V1.4:4:8130935:8138572:1 gene:LPERR04G06250 transcript:LPERR04G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQKSNCNTALCHWSVAAGSWQQLLNDMRRRQCFLPFRLRARLLVARVRAHMKPFLGHGYVELAGVSLLDGQARVWNNISSYCSNTTTSQTNQTILDTVSFPHPYKLSETGNKFMIVGCESVAILAVGAGCCQTTITKGYNAYQIMFMNFARGFNSTEISISRCSYAVLMESSGFSFRKSYATSSEFIDTNGGKVPMVLEWAVRNASNCVDAQKNRESYACVSENSACVNSSNGPGYICNCAKGFQGNPYHLNGCKDIDECQNNNNYPCYGECRNTLGSFDCICPGGTKGNATIPEGCQKDIFTPKVRYAIGAAACVLFGLFVFLGWGMIRHKRTIKKQALLRQTDEYFVQHGGQLLLEMMKAEGNVGFTLYRKGDIETATKNFNKAHIVGEGGQGTVYRAVIDGVDVAIKRCKEIDASRKTDFVQELVILCRVSHPNIVKLLGCCLQFEALMLIYEFVQNKTLKELLDLQRSRRFHVTLGTRLRIAAESAEALAHLHSLPHPILHGDVKPANILLAEGMVAKVSDFGCSTIDEKTQDVLKGTPGYIDPEYLFEYQLTTKNDV >LPERR04G06250.2 pep chromosome:Lperr_V1.4:4:8114640:8131002:1 gene:LPERR04G06250 transcript:LPERR04G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARTTPVMVYAKINPVVSIVSVILVSKGMPLFKEVAKNYAHPGVVACVLVGLIGFLGWEVIQHKRSIKKQTLLRQRDEYFQQHGGQLLLEMMKVEGNVAFTIYERGQIEMATNNFSKDNIIGEGGQGTVYKAVLDNTVVAIKRCKEVEESHKMDFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLEHQRSRRLLVTLGTRLRIAAESSEALAHLHSMPHPIIHGDVKPPNILLAEGWVAKVSDFGCSTIDEKTQPVPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTGRRPLSKERKCLTSMFQEAMMDGTLHEMLDSDIVSECSTGVVHQTALLASRCLAFPGSMRPTMKQVAEELWLLALSDGVQQCPQPPLVLDGLILAEIGNTTTSSRYATSRTSDVYIPAALLGAGGALPPAPGSNCSTACGGVNVSYPFGFEPGCSLPGFELTCRETNKGKKPFLGHGYVELASVSLLDGLARVWNNISTYCNDTTSETNVDVVALPEMYKLSESGNKFTVVGCQAVAIIGVGEEELALFQSGCVATKCGRRGDRLIDSTCSGAGCCQTTITKGYNMYQVWFQNYSTIFNVTKDIYNVSRCSYAVLMESSSFSFRKSYVRSSEFFDTNGGQVPMVLEWAIRNASNCVEAQKKRESYACVSENSACLNSSSGPGYICSCAKGFHGNPYLLNGCKDINECQDNKTYPCYGECRNTFGSFDCICPGGTKGNATIPEGCRKDIFTPKVRLAIGMAACVLFGLFGFLGWRMIRHKRTIKEQALLRQTDEYFIQHGGQLLLEMMKAEGNVGFTLYKKGEIETATKNFKKTHIVGEGGQGTVYRALIDGVDVAIKKCKEIDESRKTDFVQELVILCRVSHPNIVRLLGCCLQFEAPMLIYEFVQNKTLKELLDLQRSRRFHVTLGTRLRIAAESAEALAHLHSLPHPILHGDVKPANILLAEGMVAKVSDFGCSTIDEKTQDVLKGTPGYIDPEYLLEYQLTAKNDVYSFGVILVELLTCKRTLSKESKTLTSLFLQAIDDGMLIELLDSDIVDEASMGVIHRVAVLASQCLVVPGATRPVMVLVAEELRKLALADEVQQHQQPPLLLDDMNFTEMESTMSTWCNQSKTNGANSLKKKAMLSIQLGR >LPERR04G06260.1 pep chromosome:Lperr_V1.4:4:8148249:8149584:-1 gene:LPERR04G06260 transcript:LPERR04G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDDESGCSGGASLVGESVGDVVMWRRPDASACLLAATVSSWLLFGSSSGGYTFLSLASNVLLLLITVLFVWAKAARLLNRPEPPIPEMHISQQIVNEVVALLHSSLNTAFTAFHDIALGKDSVLFYQVFLCLWIVSIIGSLTDFITLCYTSIVAVLIIPALYQKYEECIDRYMRFAYMNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >LPERR04G06260.2 pep chromosome:Lperr_V1.4:4:8148251:8149584:-1 gene:LPERR04G06260 transcript:LPERR04G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDDESGCSGGASLVGESVGDVVMWRRPDASACLLAATVSSWLLFGSSSGGYTFLSLASNVLLLLITVLFVWAKAARLLNRPEPPIPEMHISQQIVNEVVALLHSSLNTAFTAFHDIALGKDSVLFYQVFLCLWIVSIIGSLTDFITLCYTSIVAVLIIPALYQKYEECIDRYMRFAYMNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >LPERR04G06270.1 pep chromosome:Lperr_V1.4:4:8171427:8172344:1 gene:LPERR04G06270 transcript:LPERR04G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGIHDIASTIKHVSTRRASAKLNNSGNKKNHREGLDSSEYLPKDKQAKENLYGKETYSQDGTGCKGIKEGEGWSTNRSTSRSVNKVYKMATHSTRWETNDLNRPPSPMMDWSYSHDAHEDNAQHEEQHEEQIHPSCEAPKKQGPIKPRPPTRGIMLDKIT >LPERR04G06270.2 pep chromosome:Lperr_V1.4:4:8172346:8172694:1 gene:LPERR04G06270 transcript:LPERR04G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLPITVVEGKRRLDEPVQAAKFASESGVIIRAEVPILTHWKEYKEDKDLLDNFMDKLGGRLAIDKDDAPTKNACSDLLKRGIN >LPERR04G06280.1 pep chromosome:Lperr_V1.4:4:8186294:8190313:-1 gene:LPERR04G06280 transcript:LPERR04G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQGHQVLWLAVVWLSVAFAGAANAECPDNTMCGGVNIPYPFGIRSSGCALAPHFELDCNNSKLFLGDFEVLNISIQLSQIRVLNRISSFCYNPKSREMKNNTWVKTLSSPYRLSNSTNKFTVIGCRTLAYIADAKDERYMSGCVSSCPGGDARSAINGTCSGIGCCETAIPTGLRNYRVYFGKGFNTSASIYYATPCSYAVLTDSTFKFSTSYLTSLEFNTTDGGRAPMVLDWAIRTANNCQEAPKNLTSYACKSENSQCVISSNGPGYICSCKEGFQGNPYRQGRNGCQDINECENAENHSCYGECNNRPGGFECHCRAGSRGNASIPGGCQKDYLPPRARLAIGVVVSLLFALLVFLGWEVIRHKRNIKRQALLRMDDENFQQNGGQLLREMIRAEANITFNLYSSEQIKAATNNFDIACIVGKGGQGTVYRAVLDEVSVAIKRCNNEIDESRKMDFVQELVILCRVNHPNVVKLLGCCLQFKAPMLIYEFVQHKTLQELLDLIRSRMFHVTLGTRLRIAAESATALAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDGKTQAVAKGTPGYMDPDYLLEYQLTAKNDVYSFGVILLELLTGKVPLSKGEKSLTLMFQEAMASDTLADILDSDIVDETRMIVIRQAAVLASQCLVVPSITRPTMTLVAAKLQQLALADEVQQYPQPPLLLEDMSCMEVRSTMSTCYGESNTSGVYNIGKKAVLSIEFAR >LPERR04G06290.1 pep chromosome:Lperr_V1.4:4:8202417:8203139:1 gene:LPERR04G06290 transcript:LPERR04G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAAASGDRRRRSGHPRVLRLHRLNRRAHSTAAVQPIAVAVTVFAAAPAQPCPVFP >LPERR04G06300.1 pep chromosome:Lperr_V1.4:4:8217059:8217933:-1 gene:LPERR04G06300 transcript:LPERR04G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNLTREVDRVRRGQVGLTPREADMVTVELISNDAAERAETRRVRARAPSSASQGRGHPLTASKAQSTRMPREPASTTRPARETASSTIYIYERVCDSVYVTSAQGHLLPATIRSFQKNGFRQVEAEPDRRFSNSANKFTVIDRVPDTDVHRRARQRHLTWATTHVRMRIGATGSGKGCCQTVIPKGPNTHLRQCIQPKKLKKSASRENLRQY >LPERR04G06310.1 pep chromosome:Lperr_V1.4:4:8218982:8222810:-1 gene:LPERR04G06310 transcript:LPERR04G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALCASFCESILLSMLLLRSALAAEHLSAAATATAQCQTSCGGVEIPYPFGILSDSCPTEPVFEVFCNDTGNGVYKPFIGNIDLLSVDVQLAQARVMNRISSSCYNTSSQRMNFERWRMNLTGSPYRFSDSANKFTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELTGVTDGICSGKGCCQTAIPKGLDFYKMWFEESMNTSGIYNKTPCSYVVLMEASNFTFSTTYLTSPLKFNDTYGGKAPVVLDWSIPTAENCVEAKINFTSYACKSDNSVCLNSTNGSPGYICNCSEGYQGNPYLHGPNGCQGVVASVLAGFFGFLGWEVIRHKQRNKKQALLRQTDEFFQQHGGLLLLEMMKADGNVGFTLYKKGEIENATNNFNKTHIIGEGGQGTVYRAVIEGVAIAIKKCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLHFEAPMLVYEFVKNKTLQELLDLKRSRRFHVTLGTRLRIAAESAMALSHLHSLPHPILHGDVKPANILLAEGMVAKVSDFGCSTIDEKTQAVLKGTPGYIDPEYLLEYQLTAKNDVYSFGVILVELLTGKRPLSKESKTLTSLFQEAMADGTLIDILDSDIVEEACMRVIHRAAALASQCLVVPGMTRPSMAGVAAELQQLALADEVQRCPQPQLVLEDLNIMEMGSTVSMWYDESKTRGAYSLENKAVLSIEFAR >LPERR04G06320.1 pep chromosome:Lperr_V1.4:4:8319026:8319919:1 gene:LPERR04G06320 transcript:LPERR04G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFFMVVVVFAVVRWSRSIKWTDEVVIHYEPLSLAPKCADLDMKKLLYTLVLKLNGWLEMTRGSTGPM >LPERR04G06330.1 pep chromosome:Lperr_V1.4:4:8324701:8330702:-1 gene:LPERR04G06330 transcript:LPERR04G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCSYAVLMDSSNFTFSTAYLTSTEFNTTYGGQAPLVLDWAIRTANSCEEAQKNLTSYACKGDNTFCLNSTNGPGYICNCKKGYQGNPYLQDPKDGGCRDINECEDDMKYPCHGECRNKPGDFDCICPVGSRGNAAIPGGCQKDFLPLKAQVAIGVAACVLAGLFAFLGWEVIRHKRNIRKQALLRQTDEFFQQHGGQLLLEMMKAEGNVGFTLYKRADIEIATKNFSKGHIIGEGGQGTVYKAVLDGIVVAIKRCKEIDESRKMDFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVENRTLQELLDLQRRRRFRVTLATRLRVAAESADALGHIHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQTVLKGTPGYIDPEYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKESKTLASMFQEAMAGGTFLELLDSEILGEASMGVLRQVAVLAIRCLALPGTARPAMRHVAAEIRRLALSDEVEQCPQPPLELECLSFSAMGSMCTTSLLYTEGNTSGVSDLDNKTVMSREFAR >LPERR04G06340.1 pep chromosome:Lperr_V1.4:4:8343474:8343665:-1 gene:LPERR04G06340 transcript:LPERR04G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFDDFYYFGKYRCGCLSICACKLTSTELPTGNGTCAGIGCCQANIPTDLTYYPYKNSKFL >LPERR04G06350.1 pep chromosome:Lperr_V1.4:4:8350048:8351546:-1 gene:LPERR04G06350 transcript:LPERR04G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVWQQRLNEYRTTPHQPGGHPIGAQLLLSSLYVLGALLTFLTSISQLQWILDAIISLQNEGLSGKAATGALSRVVELCNDLLTMTSVTDEPPMLLHTVAMVLSRKE >LPERR04G06360.1 pep chromosome:Lperr_V1.4:4:8351601:8352142:-1 gene:LPERR04G06360 transcript:LPERR04G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTALPLALRGRRATGGGQIWRRRPRSPRIRSRRRRAGHGEGAVGSQEWVEEKAVFSPLPPLPLILALPLPVAACGGGGGGDDDGTRGRPKMRRTAWTT >LPERR04G06370.1 pep chromosome:Lperr_V1.4:4:8368313:8368634:1 gene:LPERR04G06370 transcript:LPERR04G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTDVDDNNIMIPDFGELLEADRQEFESQVEDLRRKTLSCYRKTRQGVTKQEKFTIPVNDKSKMLA >LPERR04G06380.1 pep chromosome:Lperr_V1.4:4:8371816:8373712:1 gene:LPERR04G06380 transcript:LPERR04G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFCLIDYHNRLRKPMEISPSTRANATIACTSTPPKDRAISASSLKDVSPYLQGPKSYQGSTYSKKG >LPERR04G06390.1 pep chromosome:Lperr_V1.4:4:8407258:8426442:-1 gene:LPERR04G06390 transcript:LPERR04G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPDAGLPIAAFEAQKNLTSYECKGDNTVCFNCINGQGYMCNCKKGYQGNPYLEGPSGCQAGHASINLAPLNAFALLVPEEMLLLDHARKFSFHYLLVYVEKMNRIYIYGIAIGLGVGTGILLLILSAILHIRKQRSDIQKQLGKKYFCKNQGLLLEQIISSDETAADSTKIFSLEELKVATDNFNPTRLLGSGGHGKVYKGILSDQRVVAIKKPNTIKEEEISQFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFVPNGSLNHIIRSDPSNREYSLCWHRCLRIATDVAGALYYLHSAGSVSILHRDVKSSNILLDGNYTAKVSDFGASRFIHIDQTHVSTNIQGTFGYLDPEYYHTGCLNEKSDVYSFGVVLLELLLRKQAIFECEDGRPITEIVAPEVLEEATEDELNAVASIARACLRLRGEERPTMKEVEISLQSIRNKSLISACVGPDRNHDMQSPLTKRCVHHHQAFGVNIKDPANLPSACCYSLEQEFMLSASLAR >LPERR04G06400.1 pep chromosome:Lperr_V1.4:4:8426491:8431224:-1 gene:LPERR04G06400 transcript:LPERR04G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPCHGKCSNIPGSFECFCPVGTRGNATIGPCHKELPLAIVDWPISVGIAIGLGVGTGILLLTLSVIFFIRKKRDNIQKQLRKNYFRKNQGLLLEQLISSNETTTDSTRIFSLEELKVATNNFNPIRVLGSGGHGMVYKGILSDQRVVAIKKPNTIKEQEISQFINEVNHRNIVKLYGCCLETEVPLLVYDFVPNGSLHHIIHTDPSNREFSLCWNNCLRIATDVAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRFIHIDQTHVSTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQAIFECESGSKKNLSIYFLAEITGRSITEIVAPEVLEEATEDELNAVASIAQACLGLRGEHRPTMKEVEMSLQSIRSNGLRSGCIAPDRNDEMQPPLTKRCVHHHHQALGADIKDLANLASATTLARATSLTRSALAAALCFLAFYSTAATLATVSTDLSSLKILDISLQLGQARVMNSISSSCYNHTSQTMNADTWSLNLTDTPYRFSDSANKFRVIGCRTMAYMFDDFYVGKYMFGCLSNCRRGDVSTATNGTCAGIGCCQTNIPTGLDYILHGLVRRQLEHVRDLQPHPLQLRGAHGIV >LPERR04G06410.1 pep chromosome:Lperr_V1.4:4:8442069:8443196:-1 gene:LPERR04G06410 transcript:LPERR04G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRSAALAAVRAALMLFLISKVLASGEPLAAVAKRDCHECGGVDIPYPFGVRSSGCAMAPDYEVDCNETSKGVYKPFVSRNVEVLKISLQIGQARVMNHISSSCYNNISQTMDPPDEWYLNLTGTAYRLSDSANKFTVIGCRTLAYIADESYVGKYMSGCVSVCRRGDLRNAINGTCTGIGCCQTNIRTNLDYYQIVFEVGSLNTSGIYNMTPCSYAVLMDSSNFTFSTAYLTSTEFNTTYGGQAPLVLDWAIRTANSCEEAQKNFTSYACKANTFCLNSTNGPGYLCNCKKGYQGNPYLQGPNGCQASDSASSASDF >LPERR04G06420.1 pep chromosome:Lperr_V1.4:4:8445341:8449608:1 gene:LPERR04G06420 transcript:LPERR04G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSMPATTWPAQLRSLTAGDLPEVSLRWWQAHGESRGSIAGNLSGGSGILSNPVSSRQLATNPGYYY >LPERR04G06430.1 pep chromosome:Lperr_V1.4:4:8478026:8484113:1 gene:LPERR04G06430 transcript:LPERR04G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKSYYTMTPLSHILSVLLWFGAAMTLAGSAAMAAGCERSCGGIDIPYPFGLEPACALPGFNLTCNTTTGVGKPYFYDVELLNISLIEGRVRMRIGIANYCYNDTSRGMSGWYRGLDLIGTPYRLSDTGNKFTAIGCRTLAYLNVDGVLTTGCVATCQEDDLVSLTDGACSGIGCCETAIPKGLQYYQVSFDSGFNTTEIYNMSRCSYAALVEASSFNFFTNYSTSSAFFDHYDGQAPLLVDWAIGNDTCDAARRNSNYSCVSTNSDCVDSLNGRGYICNCSKGFHGNPYLREDNPGSCQGYPCYGKCKNNLGGYDCTCPFGTRGNAYNAPCDKGLAIGICASLLITLTTLLGIEWIKYKRRIKRQDLMRKSDEYFYLHGGQLLRDMLSMDNNTSFKLYDRDEIELATNGFDKMSIIGEGGQGTVYKGYNLYQGNKPVAIKKCKGFDENSRTEFRQELRILSRVNHENIVKLLGCSLQFEVPVLVYEFVPNKTLHYLIHIQNDPSIRSLEIRLKVAAESAKAFAYLHSLDHPILHGDVKSTNILLSDNFIAKISDFGCSKISKSDENDNVVKGTIGYLDPEYLLKFQLTDKSDVYSFGVVLLELLTRRTPLSKQKVSLASIFQEAMKEGNFTELIDREILHEDSIRLISDLARLASQCLVMASESRPTMSMVAEELWRMARQVQQYHGPLTDVSSLELPASSTADTSEHFTCETNGYDSLRRVASMSIEFAR >LPERR04G06440.1 pep chromosome:Lperr_V1.4:4:8487178:8487441:-1 gene:LPERR04G06440 transcript:LPERR04G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLPWPSPVGRTAGGDGATLGVALQDDSSLPRWHRRRLRGEVRAGGAGRYSLQGAPHPLIFHGCGVMEEQNEVESSRAATAAQIF >LPERR04G06450.1 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDASMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEVNTKPREVSVAVVSSIMDIPSADWDACAVDSADPDKFNPFRTHAFLSSLEESGSAVKETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKSDHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKIGDKVMLIVAEHDNKLVAGALNLIGGDTLFGRLCGCLPDVYFPNLHFEAFYYQAIEAAVELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLTQGDGV >LPERR04G06450.2 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDAVCWNILITASSRAGCFDDVFHLFRAMLACGVAESMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEVNTKPREVSVAVVSSIMDIPSADWDACAVDSADPDKFNPFRTHAFLSSLEESGSAVKETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKSDHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKIGDKVMLIVAEHDNKLVAGALNLIGGDTLFGRLCGCLPDVYFPNLHFEAFYYQAIEAAVELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLTQGDGV >LPERR04G06450.3 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDAVCWNILITASSRAGCFDDVFHLFRAMLACGVAESMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEVNTKPREVSVAVVSSIMDIPSADWDACAVDSADPDKFNPFRTHAFLSSLEESGSAVKETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKSDHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKIGDKAIEAAVELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLTQGDGV >LPERR04G06450.4 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDASMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEVNTKPREVSVAVVSSIMDIPSADWDACAVDSADPDKFNPFRTHAFLSSLEESGSAVKETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKLGQTILDKGILSPLGRKDR >LPERR04G06450.5 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDASMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKSDHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKIGDKAIEAAVELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLTQGDGV >LPERR04G06450.6 pep chromosome:Lperr_V1.4:4:8494485:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIRGTQAQAQSISYKL >LPERR04G06450.7 pep chromosome:Lperr_V1.4:4:8494231:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARLPPRRLRSPRRLAHDAAALRGWGRRLLHLRAAATAGRGGGARDVFDAVPASNDDDRERCSALLRARAAGGDHRRCASLLREMLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDAVCWNILITASSRAGCFDDVFHLFRAMLACGVAESMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIREQPSTGSVCIYVLAMLKLCMYFDVELCILMVEAFYCDYPASMTLQGLSTAPSSQITAGYSPSRLGFRRFGLQLKVTAIFGWIRGDAGTRELNPSAESYTLTGSASEVNTKPREVSVAVVSSIMDIPSADWDACAVDSADPDKFNPFRTHAFLSSLEESGSAVKETGWLPLLVVAWDDNETVVGVVPLYLKSHSKGEFVFDYSWAEAYYSYGLEYYPKLQSCVPFTPDQVFEALVKALKSLTTRMKLSSLHVTFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNSKMKRHRGDEIKLGQTILDKGILSPLGRKDR >LPERR04G06450.8 pep chromosome:Lperr_V1.4:4:8494492:8502915:1 gene:LPERR04G06450 transcript:LPERR04G06450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGIRPDRLALAAVVKSASTLHDGCGGGAVLGRCLHGLAVRAGYVDGAAVAKAVMDMYGRIGALADARQVFDEMTCPDAVCWNILITASSRAGCFDDVFHLFRAMLACGVAESMPTAVTVAVVFPVCAKLRVLRTGSCIHGYVVKSGLEFDTLCGNALISMYSKCGGSTAMDDALKAFSTICSKDVVSWNSIIAGYSENGLFEEALKLFGQMISEECLPNYSTLANILPFCSLVDYGRYYGKEIHGFVVRHGFETDISVSNALMAHYSKVCEMGAVESIFRSLTIGDIVTWNTVIAGYVMNGYASRALKLFQGLLFTGLAPDSVSLISLLTASAQLGNVRVGMRVHGYILRHPELIQETSLMNALVSFYSQCDRFDAAFRAFITNQNKDLISWNAILSACANSEQHIDMFVRLLQEMSHDVTQWDFVTILNVIRVSTFYGIKMVREAHGYAVRVGYTSETSVANAIMDAYAKCGYPHDAETLFRTLAGRNTITDNTMISCYLKNNYVEHAEMTFNKMAVKDETSWNLMIRLYAQNDMCDQAFSMFHQLQSEGLNPDPISITNILLVCIHLSSVQLVKQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVEVFSKMLTLDIKPDHVVMTALLSACSHAGLVDAGVKIFRSIREIYGVEPTEVNCACMVDLLARGGRLQDAYNFAVDMSPNVVNANAWGSLLGACKVHGEVKIGRLAADRLFSMEAEDIGNYVIMSNIYAADDKWDGVEHVRKLMNSKDMKKPAGCSWIEVEKTRHLFIANDVQHQDRSSIYDVLRSLYQQIRGTQAQAQSISYKL >LPERR04G06460.1 pep chromosome:Lperr_V1.4:4:8514543:8515071:-1 gene:LPERR04G06460 transcript:LPERR04G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRRTYSLLTGRRRRWNVPALDLLRSQIRQRLFLPLAQIRGGRHEMGGGHQRPWGMEEQCAPARVLAAGRFGDGGQKLAYVICSFSQLKFFAFTLES >LPERR04G06470.1 pep chromosome:Lperr_V1.4:4:8535521:8538155:1 gene:LPERR04G06470 transcript:LPERR04G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLSAPPLLRFMAAAAAAAGTPPANMRAVQYDTCGGGATGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRKLPFIPVTDVAGVVAGVGPGVKDFAVGDQVVAMLNSFTGGGFAEYAVAPANLTVKRPPEVSAAEGAGLPVAAGTALQALRSIGAKFDGTGDPLNVLITAASGGVGLYAVQLAKLANLHVTATCGARNADLVKGLGADEVLDYRTPEGAAMRSPSGRKYDGVVHCTVGVGWSAFEPLMSDAGKVIDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLELLVGLMKDGRLKTVVDSRFPLSDAEKAWQKSIDGHATGKIVVEMEG >LPERR04G06480.1 pep chromosome:Lperr_V1.4:4:8538837:8543252:-1 gene:LPERR04G06480 transcript:LPERR04G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPSAAAASGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDSSRIGRPAGAGAEMDTVTTMEAINAKIMSMDIVRAEIKAVDAQESLAGGVTVLVTGHLTGSDDLRREFSQSFFLAPQEKGYFVLNDILRYVGEGDQEVEPEPELEQSLPPQQQKLAQEPDSVSAPAANGTSVPREQEAFSQPEQHVAEPAPHAQEADPNGEEAYNSPNNIEAPVVEETPIPEVINEVPNNVAVTVPTPSAPVPQGEAPKKSYASIVKVMKEVPPQISAIPSRPAPPRQEKQVAPAPVATVADAPTFSPNPESSNIQEAEVDAHAIYVRSLPISATPEQLEEVFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSAVQSAIAASPVIIADRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGDFNYRSDYGGRGSGRGGSSRGGDVGYQRVDHSGATGGRAARAPSAVAK >LPERR04G06490.1 pep chromosome:Lperr_V1.4:4:8553483:8556977:1 gene:LPERR04G06490 transcript:LPERR04G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAIGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVIVALVLIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVIICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTILANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNTQSESSSLRGDCELENH >LPERR04G06500.1 pep chromosome:Lperr_V1.4:4:8559619:8561347:1 gene:LPERR04G06500 transcript:LPERR04G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGVGPTVRDVLAFHRIDRAAYEQLLSLGASPPPARNAVALLMWLHRRAGVDAVAARRGVAEVLDGVGALVFDDELHALLRRHEGEDEERGGAGGAILPAKLAAPYRGAAAPAAAMGEDDSCRSLFVTFSKGYPLTREEIEEYFTERWGDCLEKVMMEKTPVGELPSYGRIVFRHAVMAAAVLGGERLVKLVLNGRQLWARKYIPRQLQP >LPERR04G06510.1 pep chromosome:Lperr_V1.4:4:8562329:8565416:-1 gene:LPERR04G06510 transcript:LPERR04G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCGIINWQEDGVEDDDRIPPLVRSPRRRFRPPPPADPLSRAGALPRRPTSPATSVRTRRSPSRSESSASSSSAAAGGGSVERRSPSARARLFADDVTGRSSTSAPAVTGKGKEKVDDGDGGGGSGGEDNRESFSFPDLEPPRRLVDAAAVVDHFATADAGRRERARGEFLDETMAATAGARMGRIKRELLVRRRVLDLPKLERWLRRTEAVDELAWFTEQCAAGQAPPLDLFEGAFRALRTAAAPSDEVDTCDGGGDRRRFWVGSTPVPEFFLCPISNKVMENPVVISSGMTVDCLSLEKWWSEHRHTNRCPVTDEILDRSILIPNILIAQCITRWRARNGITDVTAVAEPPNISSEEEALFKELSFLAHSPSMSDETFDAILRLDKIISNAQSSFLHLLGRSAGMIAKLACILPETCLDPDPDLDNIILKIIAKTASCSPSKVILGDDQYAIPVLIARALLGPVATRVKCAQILGLLAENYYNKIKIGELGGFAALMELLLLVGDRDIKKTVAMAIASLCEAQENWSRFLREGVADAAISLLRNDNLVDEAHTMLLQATRFELAMTQILEKLMSFQSDDNCAKMIESLWNTFIRSKVRRELAGATHASSEISSDESVELPMDNDLTEQTKKDVKTIVSWLQKKSRYPRTYRY >LPERR04G06520.1 pep chromosome:Lperr_V1.4:4:8568241:8574108:1 gene:LPERR04G06520 transcript:LPERR04G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPQEAARRRLRLGSACDDGGFSFFLSGAGAVPLPRLFVVTICGSALDCNTSIILKPQAQLDSLIGENSVTTRLVQSSSGAEQEEAWRSMAPPSMEDALLLVGNGEKKSQGGDGEGDDNKLVVTEVKKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAYGAKQHHLLGVYKQRAMLVLGLVSVAVAVVWAYTGELLLLFGQDPEIAAGAGSFIRWMIPALFVYGPLQCHVRFLQTQNVVLPVMLSSGATAACHLPVCWLLVYGVGLGAKGAALANGVAYLANVAVLAVYVRVSPACRSTWTGFSSEAFRDVVGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPDAARLATRVVMVLGLVVAVAVGLIMIFVRHVWGYAYSNEEEVVQYTAKMMPILAVSFLFDDLQCLLSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALVVQMLLLLAITLCTNWEKEALKAKERVFSSTLPADMTI >LPERR04G06520.2 pep chromosome:Lperr_V1.4:4:8568241:8574108:1 gene:LPERR04G06520 transcript:LPERR04G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPQEAARRRLRLGSACDDGGFSFFLSGAGAVPLPRLFVVTICGSALDCNTSIILKPQAQLDSLIGENSGAEQEEAWRSMAPPSMEDALLLVGNGEKKSQGGDGEGDDNKLVVTEVKKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAYGAKQHHLLGVYKQRAMLVLGLVSVAVAVVWAYTGELLLLFGQDPEIAAGAGSFIRWMIPALFVYGPLQCHVRFLQTQNVVLPVMLSSGATAACHLPVCWLLVYGVGLGAKGAALANGVAYLANVAVLAVYVRVSPACRSTWTGFSSEAFRDVVGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPDAARLATRVVMVLGLVVAVAVGLIMIFVRHVWGYAYSNEEEVVQYTAKMMPILAVSFLFDDLQCLLSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALVVQMLLLLAITLCTNWEKEALKAKERVFSSTLPADMTI >LPERR04G06520.3 pep chromosome:Lperr_V1.4:4:8568241:8574106:1 gene:LPERR04G06520 transcript:LPERR04G06520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPQEAARRRLRLGSACDDGGFSFFLSGAGAVPLPRLFVVTICGSALDCNTSIILKPQAQLDSLIGENSVTTRLVQSSSGAEQEEAWRSMAPPSMEDALLLVGNGEKKSQGGDGEGDDNKLVVTEVKKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAYGAKQHHLLGVYKQRAMLVLGLVSVAVAVVWAYTGELLLLFGQDPEIAAGAGSFIRWMIPALFVYGPLQCHVRFLQTQNVVLPVMLSSGATAACHLPVCWLLVYGVGLGAKGAALANGVAYLANVAVLAVYVRVSPACRSTWTGFSSEAFRDVVGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVFTRVSNELGAGRPDAARLATRVVMVLGLVVAVAVGLIMIFVRHVWGYAYSNEEEVVQYTAKMMPILAVSFLFDDLQCLLSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALVVQMLLLLAITLCTNWEKEALKAKERVFSSTLPADMTI >LPERR04G06530.1 pep chromosome:Lperr_V1.4:4:8584226:8586243:-1 gene:LPERR04G06530 transcript:LPERR04G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKADLQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >LPERR04G06540.1 pep chromosome:Lperr_V1.4:4:8587073:8590112:-1 gene:LPERR04G06540 transcript:LPERR04G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGEPAGAATPEAAAPSPFSADWKERILVPAAVAGVVGAGFGLLSRHRVRLGAARATATYAANLFIVAGCYGGARELARDARASTLDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAVGTALDYAAVKLSPQLNVKWHALKEHFSGDKDWFKLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLSKEEP >LPERR04G06550.1 pep chromosome:Lperr_V1.4:4:8590960:8593253:-1 gene:LPERR04G06550 transcript:LPERR04G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNNAKNNNKSNEDFATVIIEASGGQAITFGGDVSKEADVNSMMKACFTLNSFTYQALDKWGTIDVLHAFIRDYPRHIIKGMKKSQWQDVIDLNLTGVFLCTQAATKIMMMKKKKVNAIAPGFIASDMTAELGEDLEKKILSTINFMP >LPERR04G06570.1 pep chromosome:Lperr_V1.4:4:8596692:8601452:-1 gene:LPERR04G06570 transcript:LPERR04G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATAAAATAAAAVSSPGAAAAVARRGFVSFGAAAAARSRGLRTGGFSGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSQEIEASGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMN >LPERR04G06580.1 pep chromosome:Lperr_V1.4:4:8604742:8606552:-1 gene:LPERR04G06580 transcript:LPERR04G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNGLFLLPLILSTIAALTLSASSSAATAAATRRSQEPRESVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPSRRAPLLPADPAAASLLANFSRTVKSRNAAVRTVLSVGGGGSAASAATAGSSDPAFAAMAADPSSRAAFIGAAVAVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPPEFLLTATVYFSNHVFDAPSPGVDYPSDSVARCLDWVNVMAFGLRAGAAGNSTAYDAPLYDRATHYSASYGVVSWLDAGVPAGKVVMGIPMYGRSWFLRNKGNSGVGAPAVAAGPKQRGTNATGAMSYAEVQWLAATAAASRSRGAITAYDNASVASYVAVGDVWVAFDGVAVVAEKLAFAARCGLRGYFLWPVNYDDANLTVSRRASQVWTENKISPEFRNVTGGARQMQAPVLLPPAVQSPAPTALPMSSSASRLSCRTLDVRLQLGALLLFVWYQIYENCKVVQDW >LPERR04G06590.1 pep chromosome:Lperr_V1.4:4:8612134:8616561:-1 gene:LPERR04G06590 transcript:LPERR04G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAGGPAAGARSFLQAVATVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGDKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSKQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYAFYSNFMPIPYSFSLGKELSDVEILVQLWIADAHILLGKLFFPKGLSQRCWSELQQAVLDEGLAGGLGD >LPERR04G06600.1 pep chromosome:Lperr_V1.4:4:8620521:8623029:-1 gene:LPERR04G06600 transcript:LPERR04G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCGGGGGGIGDEQILWDWQAAEHCESNAASHDVSGFVWDCLNQDDDDLLGMLGNQTPLRDCRGFFDLDDFTCKETLDLEESRESKRRRVLEYPSEHNRSEDGNREISSALDTSEESVTYTNDQSGISGTTEIDSVTEGFVMEETRKLSTLKVSKGRSSLIKVKQNLTTTVAYPFTLIKPSWEEGDVITLKDINRRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >LPERR04G06610.1 pep chromosome:Lperr_V1.4:4:8630484:8634920:1 gene:LPERR04G06610 transcript:LPERR04G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTPRRRSARPTNSSGNAATSAPYSALSARHLELGTETQLHHHPHRLADPRMHHHVYWVRRCGLARQPCSVLEYLVRVWQ >LPERR04G06620.1 pep chromosome:Lperr_V1.4:4:8634990:8635187:-1 gene:LPERR04G06620 transcript:LPERR04G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEENGTHRCRHCRPEGRAFARKLPTLPCLGPPAKLRRHRHPKPTTHSPCADASSSVDHQEETP >LPERR04G06630.1 pep chromosome:Lperr_V1.4:4:8657543:8662583:1 gene:LPERR04G06630 transcript:LPERR04G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKSYSMAPPLSHMLAVLLWLCVAILAGPAAMAATVCQRSCGGVEIPFPFGLEPACALPGFNLTCNITGDGKPYYINVEVLGISLLQGQARMRMDISSYCYNKSSREMSASQWYLDLRDTPYRFSDEGNKFTAIGCRTLAYLDTNGVLTTGCVATCQEDDLARLTDGVCSGIGCCQTAIPKGVQYYEVSFDSGFNTTEIYNVSSCSYAAILEESRFDFSRNYSTSSAFLDYYGGQAPLLVDWAIGNETCDVARKSSNYSCVSRNSKCVDSHNGRGYICNCSEGFEGNPYLKPEDPGSCQDIDECNEPNKYHCYGKCKNKLGGYDCICPFGTRGNAHDAPCDRGLAIGICASLLVALTTLLGIEWIKYKQKIKRQDLMRKRDEYFHIHGGQLLTDMLSIDKNISFKLYDRDEIELATNCFDKKSIIGEGGQGTVYKGYNLYKDNKPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLLGCSLQFEVPVLVYEFVPNNTLHYLIHIQNDPSIRTLVIRLEVAAECAEAFAYLHSLDHPILHGDVKSTNILLSNNFIAKISDFGCSKISLSDEHDNVVKGTMGYLDPEYLLKFQLTDKSDVYSFGVVLLELLTRRTPLSREKVSLASVFQETMKEGLFLEIIDKEILHEDTKEVVSDLARLASQCLAMCSESRPSMGTVAEELRQMAKKVQPYRGRGVLGSVSSFELSASSATDTTDHFTGDTNGYDSIRRVASMSIEFAR >LPERR04G06650.1 pep chromosome:Lperr_V1.4:4:8700211:8703898:-1 gene:LPERR04G06650 transcript:LPERR04G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSVLLLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKLIFMCSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFLVLPCLLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGLLVAWISGFVQTLLYADFFYYCLNSLKNNVKLTLPA >LPERR04G06660.1 pep chromosome:Lperr_V1.4:4:8711705:8712915:-1 gene:LPERR04G06660 transcript:LPERR04G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSFCYYTILLIIMEVGMIISDRAKPTIDVEPTIFTCPKTNFRLTPLSSMSGSLFQANMLSLLADIPSVPSPTGFASLSHGDGYDRAIRNHTRRLHNVPPYCGALHSAPLQRHLRGLHLVRPVHHQNDARKTYNPQWIDKIHNTTKIVHKFYSLMISLTTQAVNESWIFATGSAVYDTNPPSGITRTLYEMVQYGSGCCWGALGGTTYNYGCYMRYAVYPFLALRHRLQWIPSR >LPERR04G06700.1 pep chromosome:Lperr_V1.4:4:8723574:8724560:1 gene:LPERR04G06700 transcript:LPERR04G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVVVGFERTLRQVRFVRYVVRVCKSLRRVEVLRDGKVKYNGLWEWEVVRQEYQGFDSRIWSTEGEIGIKKQFLCGRNWFREDVEVIVVERILSILATWLSYRAISQCSTTVSLACYRRGTHLRATELDLFVSLILTNRGKLNALLVRYEHTVCALTDSITNVLEATDELVGNECQRVKRFDIEFFAMNGTYHVECVHRLVDTDIVKWGVEELDVVVKLV >LPERR04G06710.1 pep chromosome:Lperr_V1.4:4:8725328:8726259:1 gene:LPERR04G06710 transcript:LPERR04G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAANDGASEVCEIRGKDVQGTTMDGGSLARGRWRGSNNKVERAAFGAGRMRLESRSSSCTEGIGSKRTLRLCLDSCGVGYPMIIAVERIISILTTWLSYRASSRVTGVLPPRHHLRTTKLDVFGKLNALLALYELHTMCGLTDSITNVHDAATEIVGNGCQRVKRFGIKFFALNGTRHVECIYRLVDTDVVKWSVE >LPERR04G06720.1 pep chromosome:Lperr_V1.4:4:8728606:8732579:1 gene:LPERR04G06720 transcript:LPERR04G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTISKRNGHNSEGTGVLSRAEIVHDEHPLSLGKTSVWNQYFQESEIIEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYMFKNDPEENNAESAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGPVPY >LPERR04G06730.1 pep chromosome:Lperr_V1.4:4:8733844:8735817:-1 gene:LPERR04G06730 transcript:LPERR04G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTSCLLITYSPEIIDGAPLYVSSNCLPIKACKYEPAGHSFHAAALKLLGLGEQEDTEADDRSVSSDDKSQDFSAASDTFSSKGKKKSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQASLLLAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDIPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPVEEVEKFYNFWYYCFKSWREFPNEDEYDLEQAESREHKRWMERQNAKLQEKAKKIEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAARAVEEERKRKEEEAKKAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAENHFSLSEDDVETACSSLDMEQLKKLCDSMDNKDTTEKARLLRGALSKEGSSGTSNEGKKFQANGVDGSTAKSSSSEKKVTQGNTLSNYEKKEERPWGKEEIEMLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTEAVCLPSEGDANASSKAPAQPASSKAADEKASAAPVSNGTPSATDPEAWSEAQVLALVQALKAFPKDASQRWERVSAAVPGKTVVQCRKKVAEMQKNFRSKKGAE >LPERR04G06740.1 pep chromosome:Lperr_V1.4:4:8739503:8746086:1 gene:LPERR04G06740 transcript:LPERR04G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFDHGGKIMKRKPSSTSNARNLSDFKMWRSQFQGCFFHNFYEKYVFVLGVSFMFAMLGYALAMTRSFSISTSRKRSIHDRLDGDLPAAAGRGGAAGRGGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKNSQQGFAGQRGSGVRDLREKLSGTMHPQPINADPPKAKPASEVVKVTRRENADEMPVRQSKRVPKPTSSKKPTQPKAESPLDIFLKSLGLEKYSIAFQAEEVDMAALRHMTDGDLKALGIPMGPRKKIMLALESRA >LPERR04G06750.1 pep chromosome:Lperr_V1.4:4:8748954:8750045:1 gene:LPERR04G06750 transcript:LPERR04G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAACRGNPTSRSAIVADTAAGYHLLKIDGYSLTKVTPTGSCLSSSPFTVGGHRWRILYYPNGETANSADYMSVYIKLDEDHLKVQAKFQICFADHVKTQPSLKFKIVRAFTREGFTSWGYAKFFKREDFEKSNDLKEDDSFTLRCDIVVIREIRAEDSSEIVLPAETFVSVPPSDMGRQFGELLENEKGADVVFEVGGQTFAAHRCVLAARSPVFSAGLYGLMKEGDNAAGVVRIQDMEANVFKMLLRFVYTDTLPEMEEEDVMCQHLLVSADCYNLERLKLICEEKLCGYVSVGTVSNILALADRHHCNGLKKKCFNFLGDPANLSAVVAGDGFKDLSRSCPSLMEELIAMLALPPSHPK >LPERR04G06760.1 pep chromosome:Lperr_V1.4:4:8756249:8756494:-1 gene:LPERR04G06760 transcript:LPERR04G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSNDLFCLLCTVVGAAAGVSLYRLGCRRRQENERRRVEAAVEELEERKLEALRADYLALMDDALAALSAAAEPTNLS >LPERR04G06770.1 pep chromosome:Lperr_V1.4:4:8769707:8769985:-1 gene:LPERR04G06770 transcript:LPERR04G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQPTPLEELVIAFGAGWLAMYGLARLAVLVVRGYGEEKRQAARRREQYAVEARAARLEGLKREIHGKAAVWWSAHKAAGAGAAADWS >LPERR04G06780.1 pep chromosome:Lperr_V1.4:4:8772549:8772785:-1 gene:LPERR04G06780 transcript:LPERR04G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLCFAAAMLVSYGAAWLVDLALIGYRAAADEEAARLKDDVAAALRRRRRDVGEDEAEIEMAIAKEMAILNQLID >LPERR04G06790.1 pep chromosome:Lperr_V1.4:4:8774930:8784382:1 gene:LPERR04G06790 transcript:LPERR04G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAEGAAILNTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLEAPLLSLLQGCGAAAAAEGGKGKGPEILVPGCGSSVLSEQLYDAGFRRVTNVDFSRVLIADMLRRHARVRPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTQLGMKYLNESKRVLKPGGKFACLTLAESHVLALLLSAFRFGWEMSIQAIGNGSSKKSAFQTFMVVMVKGKTGVVHPIQSLLDQSAKFCNTKQANDVIHSLEKENTIRESYSSGGDVILSLRDLQLGAVGDLKVIIPGRRRMFILGEQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKTARLIMVFLDSRHANADMDIIQNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDGDQSSMPEKRYRRLVFKRNSGLVQSEALLVRDSTSDKADEKNQKLPSASKKRRNQKKGSSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAVGSGTKVSTTVIGLGAGSLPMFLRVCLPFLNIQVVELDPLVEELAKKFFGFSTDEQLQVHLGDGIKFIEDVAVANNGATTQLNSNGNENNAVKVLIVDVDSSDVSSGLSCPHANFVDDSFLLATKKFLAEGGLFIINLVSRSSTVREMVVSRLKVAFEHLYSLHLEEDLNEVLFATPSERCLDINNMDEAVSKLKAMLKFPVDVESDVKKLQKLQ >LPERR04G06790.2 pep chromosome:Lperr_V1.4:4:8774930:8784382:1 gene:LPERR04G06790 transcript:LPERR04G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAEGAAILNTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLEAPLLSLLQGCGAAAAAEGGKGKGPEILVPGCGSSVLSEQLYDAGFRRVTNVDFSRVLIADMLRRHARVRPEMRWRVMDMTDMQGGLDALMEPEAGTQLGMKYLNESKRVLKPGGKFACLTLAESHVLALLLSAFRFGWEMSIQAIGNGSSKKSAFQTFMVVMVKGKTGVVHPIQSLLDQSAKFCNTKQANDVIHSLEKENTIRESYSSGGDVILSLRDLQLGAVGDLKVIIPGRRRMFILGEQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKTARLIMVFLDSRHANADMDIIQNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDGDQSSMPEKRYRRLVFKRNSGLVQSEALLVRDSTSDKADEKNQKLPSASKKRRNQKKGSSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAVGSGTKVSTTVIGLGAGSLPMFLRVCLPFLNIQVVELDPLVEELAKKFFGFSTDEQLQVHLGDGIKFIEDVAVANNGATTQLNSNGNENNAVKVLIVDVDSSDVSSGLSCPHANFVDDSFLLATKKFLAEGGLFIINLVSRSSTVREMVVSRLKVAFEHLYSLHLEEDLNEVLFATPSERCLDINNMDEAVSKLKAMLKFPVDVESDVKKLQKLQ >LPERR04G06800.1 pep chromosome:Lperr_V1.4:4:8790940:8794629:1 gene:LPERR04G06800 transcript:LPERR04G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNIPAFGNWDTTGNTPYTQKFENARKNKKPGISSHPNDPRRHPEPPAKSPLHPAYTPDTQGQSLMNPQHGRRHEADPHRRHPLPNRDVGGGGSAPRSPYRMVTGSASPAQPNNPSKPKQRTGMNTPDRRAPFEGHGQHTPGRTRTKQGGRGYDAHEDDVAVPPFGEWDEGNAESGEKYTGIFNRVRDDKLSPNSSTRQPGANRNQENMVKQLTI >LPERR04G06800.2 pep chromosome:Lperr_V1.4:4:8790951:8794629:1 gene:LPERR04G06800 transcript:LPERR04G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNIPAFGNWDTTGNTPYTQKFENARKNKKPGISSHPNDPRRHPEPPAKSPLHPAYTPDTQGQSLMNPQHGRRHEADPHRRHPLPNRDVGGGGSAPRSPYRMVTGSASPAQPNNPSKPKQRTGMNTPDRRAPFEGHGQHTPGRTRTKQGGRGYDAHEDDVAVPPFGEWDEGNAESGEKYTGIFNRVRDDKLSPNSSTRQPGANRNQENMVKQLTI >LPERR04G06810.1 pep chromosome:Lperr_V1.4:4:8794953:8797020:-1 gene:LPERR04G06810 transcript:LPERR04G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRQCGNRALLAEGSRSGTVHGRNKTRGAASSSSRRRSHGVVRFHRCCVRAHLWRHDHLPLHRHAKVPARSEIKEQTVLQVSDILGSMKEWSRLHLVTVTGLVACAVLVVPSADATDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRNKCVPRKSDVGEFPVPDPSALVKNFNMTDFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLMANITWRVRTPDTGFFTRSTMQRFVQDPEQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYILVYYRGRNDAWDGYGGAVLYTRSKVVPETIVPELERAAKSIGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDVMNFLQGLSREEMEILDQMDMEATEVEKLFSRSLPIRKLR >LPERR04G06820.1 pep chromosome:Lperr_V1.4:4:8797467:8804619:1 gene:LPERR04G06820 transcript:LPERR04G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAHGDGEADAPLDAAAIRSRLERLALLRRGDGEEEADSAGMEAEDALRALISEYEVLDALVPSDAMLEGDGFDAFMEWLRKEISLVEEENLKLTVEIGSAAETALNVSVQLDADVAALESSLKKIDSEGLKYLEESHVAELSVSTNSCGDQTNFDKDYKYEVLELDHQLEKNENDLRLLEDQIRAEAMWEVESMLSEAKVLDYKDSCFRVFLKAPVLTSDCLQYGHKLDCSVNSFASDHELLIEVDEESKEPKKVQIFPDDICIDILFDKVKASRHSFEYFDKDETVVAHLAGGIDAFIKISAYWPLSSYGLKLLSIQNSGSQSTNITLTLLCKTKELANKLELQTRRHLVKFVDAVEDVLLREMQSQLRSSIKS >LPERR04G06830.1 pep chromosome:Lperr_V1.4:4:8800551:8807240:-1 gene:LPERR04G06830 transcript:LPERR04G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQASHTASPSSCAGVRQGSVRRSGNGLSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPEPSSDGFYDDVKELQERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVSDLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPAMKPTGKHSSNS >LPERR04G06840.1 pep chromosome:Lperr_V1.4:4:8836632:8838980:1 gene:LPERR04G06840 transcript:LPERR04G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYYPPPPPIDRSSLQGELDGRQVCGRAADLGSGAATQAQAAHGRRAVLRVERIYRRRQPRPLDSPAFTMAKLKPKELLAQSKQKKGPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPTAAATEGFVGN >LPERR04G06850.1 pep chromosome:Lperr_V1.4:4:8840430:8843257:1 gene:LPERR04G06850 transcript:LPERR04G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFRNKAKAAAAAAGDGDRPASSSPAGNFVADGSPDDQHSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDRQRQSPFTGRISVDKSEPSLIEKKQRLLELLQEKQQYDDDSVADVGSEMDNSIIHAEEYLKSSRKGAKANKASKAGCFPSFLWKMKFRSCRKKRKEQNDKLN >LPERR04G06860.1 pep chromosome:Lperr_V1.4:4:8844616:8849532:-1 gene:LPERR04G06860 transcript:LPERR04G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKPDDLFSSKGDTKAALAQMARAFSCVRAHLRSNPVGDGARVIGPDENAIKCSKVPAAACGMLTLVGARELFVETQNCVPATMQSKFPIRSQGDSYGTLIPGLPEDLAKICVALVPRRYFPVMGAVSKRWMEFIESNEFIAVRKEVRRLEEQIYVLITGAGGKGPCWEVLGSLEHKNRILPSMPGLTKAGFGVVVLDGKLFVMAGYVVDYGNEYVSDEVYQYDARLNRWAALAKMNVARRDFACAELNGSIYVAGGFGSDGDGLSSVEVYDSQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPNLHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDNSWQKILVPLTGSSSTQFSLGVLDGKLLLFSQEEEPEYQTLMYDPTAPAGSEWNTAMLKPSGLCLCSVTIEG >LPERR04G06860.2 pep chromosome:Lperr_V1.4:4:8844616:8846455:-1 gene:LPERR04G06860 transcript:LPERR04G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGARELFVETQNCVPATMQSKFPIRSQGDSYGTLIPGLPEDLAKICVALVPRRYFPVMGAVSKRWMEFIESNEFIAVRKEVRRLEEQIYVLITGAGGKGPCWEVLGSLEHKNRILPSMPGLTKAGFGVVVLDGKLFVMAGYVVDYGNEYVSDEVYQYDARLNRWAALAKMNVARRDFACAELNGSIYVAGGFGSDGDGLSSVEVYDSQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPNLHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDNSWQKILVPLTGSSSTQFSLGVLDGKLLLFSQEEEPEYQTLMYDPTAPAGSEWNTAMLKPSGLCLCSVTIEG >LPERR04G06860.3 pep chromosome:Lperr_V1.4:4:8844616:8845996:-1 gene:LPERR04G06860 transcript:LPERR04G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGARELFVETQNCVPATMQSKFPIRSQGDSYGTLIPGLPEDLAKICVALVPRRYFPVMGAVSKRWMEFIESNEFIAVRKEVRRLEEQIYVLITGAGGKGPCWEVLGSLEHKNRILPSMPGLTKAGFGVVVLDGKLFVMAGYVVDYGNEYVSDEVYQYDARLNRWAALAKMNVARRDFACAELNGSIYVAGGFGSDGDGLSSVEVYDSQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPNLHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDNSWQKILVPLTGSSSTQFSLGVLDGKLLLFSQEEEPEYQTLMYDPTAPAGSEWNTAMLKPSGLCLCSVTIEG >LPERR04G06870.1 pep chromosome:Lperr_V1.4:4:8864462:8869793:-1 gene:LPERR04G06870 transcript:LPERR04G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGFEASEAPKSIYSMSNNSEYVCKLYKDGDWKVSISTRSNGQKDWFYNHREYNRTFRSKPEVELFMETTLLNGIDIFRGRKLQKKLQFLPFAC >LPERR04G06880.1 pep chromosome:Lperr_V1.4:4:8876212:8876483:-1 gene:LPERR04G06880 transcript:LPERR04G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVTGTTYFLSRRKKLIICSCNVQNLNTTMLVPQLKENPAHIAKDSTRL >LPERR04G06890.1 pep chromosome:Lperr_V1.4:4:8888666:8889019:1 gene:LPERR04G06890 transcript:LPERR04G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGFYNYKRGSRGNIKHKTAAAMPAFYPWSPVIAPAAAVPAGAGAGISKARPAATPSPPPSAVTVVEAGMYNGGGHAGGGVEYADVDRRAAMYISRVQERLRRERMTSEDWRKYY >LPERR04G06900.1 pep chromosome:Lperr_V1.4:4:8892418:8893504:1 gene:LPERR04G06900 transcript:LPERR04G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPTATAPPQPPRFSSPEPAAAVHAAEKSISGDIAAVPEEEDPVSPAEKIPRPVVLPLLGKPYFSCIMCKSHVQQPFQVVVPRSLAPFLPATTAPATLWWRGRSWGMRFTGGRMIQRLEAGWRGFAVDNDLRLGDGCLFELVDGGGERVEFRVQVLRAEIPAGIRGRAGGCTSATPIVIDD >LPERR04G06910.1 pep chromosome:Lperr_V1.4:4:8895305:8896208:-1 gene:LPERR04G06910 transcript:LPERR04G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVGPRSDPANGERQQHQNQSHQTAPPPSTMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPIATTLYLLLLSRLVFPPLPSHLPQSSHEIFRGTKWFQAYVVVGTTVGLFLPLAHVLGGFARGDEGAVKSATPHLFLLSCQILTENVVGALGGGAFSPPVRALVPLLYTVRRVFVVLDWVYDVWANRPPVTRSASLQEAGWVWFGRYLAVANLFLPRAFEKYFQMRDEVVAKSAEDRPAADAKAKKAE >LPERR04G06920.1 pep chromosome:Lperr_V1.4:4:8897099:8901797:1 gene:LPERR04G06920 transcript:LPERR04G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPPPTTQAAHAHHTLHSSSPPSPVGLLRAASSAPARRVRNPPLPLRRAGMAARSPYFVPESEGIRSGESPAAALRRILASPGAHQAPCCFDALGARLVQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSVPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAIDARKESGSDIVIIARTDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVSKMANMLEGGGKTPILSPTELAEIGFNLVVYPLSLIGVSMRAMEDALIAIKSSGAPPPGSLPSFQEIKDTLGFNRYYKEEKQYAIQSSSPNGIILRLKITEKSGAQKINEGIPAGILEKISKAIPGLAGVNFAEILQGSDQSQKGKMLLDCEDATGDRIQVSIE >LPERR04G06920.2 pep chromosome:Lperr_V1.4:4:8897099:8903986:1 gene:LPERR04G06920 transcript:LPERR04G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPPPTTQAAHAHHTLHSSSPPSPVGLLRAASSAPARRVRNPPLPLRRAGMAARSPYFVPESEGIRSGESPAAALRRILASPGAHQAPCCFDALGARLVQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSVPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAIDARKESGSDIVIIARTDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVSKMANMLEGGGKTPILSPTELAEIGFNLVVYPLSLIGVSMRAMEDALIAIKSSGAPPPGSLPSFQEIKDTLGFNRYYKEEKQYAIQSSSPNGIILRLKITEKSGAQKINEGIPAGILEKISKAIPGLAGVNFAEILQGSDQSQKGKMLLDCEDATGDRIQVSIE >LPERR04G06930.1 pep chromosome:Lperr_V1.4:4:8902449:8904760:-1 gene:LPERR04G06930 transcript:LPERR04G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLVPDPLLSHLRRGAAPAPARALRRRRGAHLRCCSGGGGGDPGQPPQEAVLEAISKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQEPVPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >LPERR04G06940.1 pep chromosome:Lperr_V1.4:4:8913705:8914253:1 gene:LPERR04G06940 transcript:LPERR04G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQQHGGERELQLLLPAAAAGAARVLRVEASPAPVAAGAEHELDAQQLDLDLSMSIGPPPPPRRNQLPPPSPPPVKQTQQQVVVEVRAVKQETAEQIRQAAAHRAYAERVREMARAELEMAEKEFARARMIWERAREEVERVERIKDFAARRHRLAAAAASPAAAPLEITCHSCMQRFHP >LPERR04G06950.1 pep chromosome:Lperr_V1.4:4:8937356:8939641:-1 gene:LPERR04G06950 transcript:LPERR04G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETLARSPSREPSSDPSRAASSEPPRDSSEPHHNGAGGGGEGGDSSSRRRRRSRWEQSNDESAANSGGEGGGGGRKRKSRWAEEEPRPIIALPDFMKDFAADLDPEVHSLNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKTSTFKSEVQCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGAGLGSGSNPPWAAGGGAAATGANGIKKEYDETNLYIGYLPPMLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANAAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPSYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPPPYASYPPPPPGSSMYNPPPPAPGQGAPPPPYSMQYPPPPPPAPIPPPGTAPSNDGAQNYPPGVTPPSSGAPAQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSIANAPWATHSAPPPPPATTDHSQSIASAPWATHNAPPPPPPSNIEQPPATYGADAEYEKFMSEMK >LPERR04G06960.1 pep chromosome:Lperr_V1.4:4:8968080:8970504:1 gene:LPERR04G06960 transcript:LPERR04G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPATSQDGAPEMRRRKRRRIGGGEGSPAGAVEGTAACGGENMFEELPDDLVVSILADVAASASSPADLAGAMLTCKRFRELGESKEVAARASPRCLAVRATAWSDAAHRFLQRCANAGNLDACYLLGMIRFYCLGSRGSGAAMMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLVQANARELASSAPLLLLRAAGNKGHSCLLSDFGCHAAARAKAAEAHAANRFLVEWFASRPIVTAAEGEGEGEELRMCSHGMCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPMDRWLENGVAANPNAMAADGDAAP >LPERR04G06970.1 pep chromosome:Lperr_V1.4:4:8984434:8986572:-1 gene:LPERR04G06970 transcript:LPERR04G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAPAAPAQTATAPKKAKK >LPERR04G06980.1 pep chromosome:Lperr_V1.4:4:8987925:8993515:-1 gene:LPERR04G06980 transcript:LPERR04G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGAPSPAAAALLLRPALTRPISSGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIVRAFGVLKKCAAKVNMEYGLDPKIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLTRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETGLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAALLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKEAALSLGVLTEPEFHELVVPEKMIGPSD >LPERR04G06980.2 pep chromosome:Lperr_V1.4:4:8987925:8993515:-1 gene:LPERR04G06980 transcript:LPERR04G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGAPSPAAAALLLRPALTRPISSGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIVRAFGVLKKCAAKVNMEYGLDPKIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLTRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETGLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAALLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHEKIGYDNAAAVAKKAHKEGTTLKEAALSLGVLTEPEFHELVVPEKMIGPSD >LPERR04G06990.1 pep chromosome:Lperr_V1.4:4:9016496:9016789:-1 gene:LPERR04G06990 transcript:LPERR04G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVVFCVAVAFLAAAAAAATTNETAWRRVEDIAAEWELPVDAAGELLYTTAISPGALRFNGQSCVGGRCAGNGGRGYQGRGCYRVNGCQG >LPERR04G07000.1 pep chromosome:Lperr_V1.4:4:9026270:9027538:1 gene:LPERR04G07000 transcript:LPERR04G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSENCHRIMHFPVTAFAINIPDDLLIAEVLARLPVKSVARFKCVQKSWKTAAETPWFVLRHLKFSRAMPPDVLVVPRDDCSDDEDEEIPISDEINLYRLREPLGKHFDVTRPELMLEAVCPEVIPHDILPTHCDGLVAIATATDQVFVLNPATKEFVTLPLGSHDVREVKSPAAALGFDPWRNRYVVARYFYQSYMVSEDEVTGELTFEYDIGHEVLTLGEGHSWKKTEDPPHAIQANSRPVCVGGAFYWCTRDLQLDVDVILRFNLCDEKFSLVPFPLGCYKGELAELAGKLCFVHTVSEETFAFWQLVGDGPEPEWSLRCRIDAFSEEIGTNGFYPVLSVGTKMLLAVDNLMLYKCYVWSDSMSEVVDLSEDLEYERQDGSKLTCGELGPAVYHVVPYVESLVSISQCNYVIPQNGGN >LPERR04G07010.1 pep chromosome:Lperr_V1.4:4:9037892:9038352:-1 gene:LPERR04G07010 transcript:LPERR04G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGHATSKKHTSEWFQLFYIGLNDDNEVWYAYGDLVKDFENPSDISLITPFESDTSAKNFLACICPSLLPSGIASGRKTARQLGFGQLPPRLTLTEQIKSREAVTSGLHYNRILNIAIPPLAQINTIELKSSSSAAWDNF >LPERR04G07020.1 pep chromosome:Lperr_V1.4:4:9042931:9046553:-1 gene:LPERR04G07020 transcript:LPERR04G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHCNGIVAVIVKVGKIFVCKEFFVLPPGSRGTYDRNREEAATLGFDPITGRYVVARCLYRHYDCHTDENSGEQFLQYDIVHEVFVLGASGSGGWEITETPPCPIDDVVPPACAHGAFYWAANDQSNEAERERPNALLRWSRRMEGERLHVGKVFVCNPATREFFVLPPGSRIHHYHLNQETASLGFDPFTDTYVLARWLYSRYDLYTDFFTGEQFLDYEIHHEVFTLGASGSGDWETTETSPCPIHESMLPACVRGAFYWAANDQSDEAERRFPNSLLRYDIHDGEFAVVSLPSCYAFMVGDGGDSLTDLHGELCYARPNTETAFDFWVLEADENEEEFRWSLRWHLNLGVPIGIIVPLSVERDGTLIIYEEGLICRLDEGRNVVEREVDVEEVYWDLVGQWGIDKYDYPKNCGNGGAYDCRLYDGGGGGGGNINVDELVMALRNGTMEAAMIMSNMATTKMLIVSNTVAAAASTIWRNVAWTTTMVTHS >LPERR04G07030.1 pep chromosome:Lperr_V1.4:4:9046581:9046882:-1 gene:LPERR04G07030 transcript:LPERR04G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNDLLISEVLARLPFRVPLMARRRVARRHLDLSSSRAERTPSVLVVQTRVDPDHEAAAPEDVISFHRVRPSPGRRHSTVVDVELMQE >LPERR04G07040.1 pep chromosome:Lperr_V1.4:4:9051060:9052352:1 gene:LPERR04G07040 transcript:LPERR04G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTRPHKRGRTITPPPGTAAAIPNDVLFSHVFLKLPVKSLLRFKAVCRSWRAAVDDPAFVRRHLELSRTAIRPPLPSLLVIPSKDDVYNDPNALFEDVNIHRLRLDTAETDLMVDKAFRNEIACRFLPTHCDGLVAIANETGPVFVCNPATREVVELPLGSPDAKEESYHGPDPQAAIGFDPWHNRYYVSRYFYRKYDELFDEDAGMATLDYDIGHEIFTLGGGRWEATRDPPRPIHSNGRTPACTGSGAIYWFLAELEPYALLKFSLRDETFDLVNPPPGCTAGVFDRDYMIGIAGQLYYVHRDDQICPKNLDVWVAADDIESLRYHVDLRNDPADHLGMHGFMPVVSFGGGDETTTLLFVLSRELFIYDVRTESFVKVIDAQTELRYERPDGSRYTCDPTDTMHYVLPYVESIISIRARNY >LPERR04G07050.1 pep chromosome:Lperr_V1.4:4:9054547:9055242:-1 gene:LPERR04G07050 transcript:LPERR04G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTRHKNLSTTHAAETPRDQSGDDDHITDIAGELCYAHKNEHTWDKKTYDVWVAAVDDDDPVAAPEWSLRWRVDLDLCGLATGKQRWFHNLVPTADGEDVAMFMAMLCNDKRRYVWTWSKPMVKIANHVQGSRYNCEPTPTIHHVIRYVESLVSIRSYGQD >LPERR04G07060.1 pep chromosome:Lperr_V1.4:4:9055851:9056976:1 gene:LPERR04G07060 transcript:LPERR04G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQTCRRPNKQRRINPTPASPAAAAAVPIDVLFSHILVNLPVKSLVRLKAVCRSWRAAIDDPAFVRRHLELTRARPSSSSLLAVAYTDDEYWDYPYHVDDPPEYIASFHRLRLGQGSEGESTVTAETDLLHDKSIPEESCDSCPRGLACHTTHCDGLVAGETQSGIVFVCNPSTKEFVSLPPVSTPKCSCNDYVTEIDGELCYVRHNGEDGAADQTYDVWTAAVEGPSASPNWSPRCRVPPMVAWHSTHLRSFVPFAVGGGEINKVLALFREELFVYDEQSEPQVKAVDLQSQTCEFRHNNRGPDRIIRDVLHYVESLVSIRARNY >LPERR04G07070.1 pep chromosome:Lperr_V1.4:4:9060051:9060434:-1 gene:LPERR04G07070 transcript:LPERR04G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKAAIALLLLLTVVAMAAGSAALLPASNNYTDDAAPALSNASWVEDAVGIFPAEAPAEMMLAAAANGVDREAVDRRVLAGGRRYIHPSVMPTMVRCFRPRCQGKGGSYTGRGDQCYYHNRACRK >LPERR04G07080.1 pep chromosome:Lperr_V1.4:4:9062076:9062411:-1 gene:LPERR04G07080 transcript:LPERR04G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKASAAWLVILLLFLADNAAAAAPAGGGEVMATAAWRGRVDDSVEIEPLPAEIDRVVIQRRVLQDKRYIAPSVLNQNNQGCIQSCVSGSQYSVPPPGSHCDRRFYNPGC >LPERR04G07090.1 pep chromosome:Lperr_V1.4:4:9067175:9067567:-1 gene:LPERR04G07090 transcript:LPERR04G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKAATAVALLLLLAVVAVAAAGSAALPASYNYKDDAAPAPSNASWVEDAVGIFPAEPPAEMMLVTATAASGGDDREFHRRGLAGGGGYINPSVVSTMVRCFRSRCQGKGGSYTGRGNQCYFQNQACRK >LPERR04G07100.1 pep chromosome:Lperr_V1.4:4:9074534:9075588:1 gene:LPERR04G07100 transcript:LPERR04G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSGGEGLRFGGNRWRRQVGDPSRDFARWRVAAQPALHRLSYATVLQRCIDPRLMKYDNANIQ >LPERR04G07110.1 pep chromosome:Lperr_V1.4:4:9098977:9099297:-1 gene:LPERR04G07110 transcript:LPERR04G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKAAMACLLLLLAVAAAAPGGALQPAAPLSTSGAWVEDAAVEVLQAAPLMMLGEVVHRRVLQAQGGYINPSLVASQQWCNGGCSQQGQPYTGRGNQCIYHNQSC >LPERR04G07120.1 pep chromosome:Lperr_V1.4:4:9107013:9107369:-1 gene:LPERR04G07120 transcript:LPERR04G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAIARLLLLLVAVTIPGAVLPLPAAAGVANVAWVEDGAVGILQLQAESAAAPLAMALAAAAGGVDDDHDVVVHRRVLQARRGGYLNPSLLANQQRCIGNCYTGRGNKCIYHNRSC >LPERR04G07130.1 pep chromosome:Lperr_V1.4:4:9111067:9111327:-1 gene:LPERR04G07130 transcript:LPERR04G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAAIACLFLLLAVVAAAARASAEVAAALSIGDAAAVDGMILLQARPASAGPQLGWFHQPGARGEPAEVHPRVPSARKAVHREG >LPERR04G07140.1 pep chromosome:Lperr_V1.4:4:9113918:9117234:-1 gene:LPERR04G07140 transcript:LPERR04G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGEEVVRRLDRIRKRGALTSSSGASSGAAAARKLRSRRPAAAVLLRRSGGAGVMSEASSRSRHCGRAAAEDGSRSARRLVGAFWQMDKERLFGDEAAAAARRSRAPTEVSKGSRRSRSKILEADGKGSWHGGHGHWFSSADVMSNGTAMEIVTCSPDDVSKCPQVKTINLQDLHNSLVASKELVRVLAHIWGPGELNPSTTSLISALHSELDLARSHVRKLIKEQKSEGNGIEGFKKQLVQEMESWKSKHKEKVANALQYIVSELDNEKKSRKRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHNELQAYLDTKKDQEPANDQTLTRHTSENHREIDSNIHKNTAERSGEGEDDDDSSSEGSDMHSIELNVDGKSKSYTWSYTPTSKDRKRNSSRSHGSFSQRGMDSARSCGFDRKFQEMSEELEGDWAEGCSNGMLNFEHDEERYQAIKNLREQMLAGSGFIVSQSREHAEREFCGL >LPERR04G07150.1 pep chromosome:Lperr_V1.4:4:9122085:9127330:-1 gene:LPERR04G07150 transcript:LPERR04G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGVPAEIAARVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPLAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEATSDGSTSPITGLGFRLEGQAHQLFAVTPSSVTLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSQLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDSGDRMTRRGSNSMHLLTIPSPMDFVNIFVHSPKYLMEFLEKYTKAVKDSSAQTEIHNTLLELYISKDLSFPSMSQENGSEELLIKERKGKEVANGYKSGPKEKGNLGKEDTNVAKDIVERQRKGLALLRSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTTCTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >LPERR04G07160.1 pep chromosome:Lperr_V1.4:4:9134474:9135052:1 gene:LPERR04G07160 transcript:LPERR04G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSKASTLLKQMVSTIVAVVKAKSTAVRAKTSAMRTRLLIFGVLRNRRLLATAINHKIHALIGAQDTTNNNNNNISNAGDVEEVEVDDSSKKKAIVQYSSYEEEEEEEEDDYLTHSLFMEEEEDEDDELVNAQGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >LPERR04G07170.1 pep chromosome:Lperr_V1.4:4:9137806:9143680:1 gene:LPERR04G07170 transcript:LPERR04G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSPEAEIGHRVEDLWEVLEPQLSPSEKLNSCFEDIAVASFPRANGSRVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFPGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETTSVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKQLSELGLPLMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTTGTKAIGNISVRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLHEQHEASPLLGAVITCKRDDAIKDIILKLDSEKIHRIYVIDDRGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTV >LPERR04G07180.1 pep chromosome:Lperr_V1.4:4:9146683:9148278:-1 gene:LPERR04G07180 transcript:LPERR04G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPTTPHSFEGGPDTARSASLVANPFDDQVFDLVRPEAIDDLRSIAERMERAGYASELTQAYCGIRRDLLDECLEVLGVERLSIDEVQRMEWKHLNDKMKKWVHGVKTVVRSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQIINFGDAVAVCARSPEKLSRILDMYEALAEVIPEMKEMFFGSSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLSEDSTGDADHNDSHRGTDEDEEYLESLSPLGRHLVKLISYLEANLEEKSTLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLRDDAHGGRSGSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGSANHSRRR >LPERR04G07190.1 pep chromosome:Lperr_V1.4:4:9148448:9148685:1 gene:LPERR04G07190 transcript:LPERR04G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRWSKPERMRIMSSAAFFEVASDFTMCCAAASTLSFPTPPPAAAAGSNSSSSSGGGGGGGADIAGGG >LPERR04G07200.1 pep chromosome:Lperr_V1.4:4:9149916:9152583:-1 gene:LPERR04G07200 transcript:LPERR04G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNPNQTPTPPQPRPPQPPQQQQQGGGSPATPLGHHQLRPQSLAGSPFQGLFHTPPSHNPAFQIHMGAASASPQQNPLMAAAVAAAAKGRPPQKPPARPPAPXRAPRQQEAEAPREAAPRARRRAAAGVGALLEFEARVDAALHRKKVDIQEALKTPPALQRTLRIYVLNTFANQAPRAANAIPPPKGADPPTWSLKIIGRVLEDGTELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFICDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVLVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPANDGPGGGAGGSDTLDS >LPERR04G07210.1 pep chromosome:Lperr_V1.4:4:9155683:9157208:-1 gene:LPERR04G07210 transcript:LPERR04G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHLLQLSPPHLMMSPGFFDVGAVFADVVVPSVLDVDAGGGDGDWVEDLMHLGELFGVAGDDGDCNNVAVTDAAVCGGGGESPEQPSCGDGDGDVSPPDHGENNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKDEVAVLEARPAATQRRRAAPQAARRAAAASEEDRGEGSSGGARTRQVGVAQVGEGRFFVTVECEQAADGGGMAAALCAAVESLSCFRVESSTLGCSPDRVVSTLTLKVSEAEEDATAISECTVKLWVMAALLKEGFRPQPTVEIS >LPERR04G07220.1 pep chromosome:Lperr_V1.4:4:9172190:9176976:-1 gene:LPERR04G07220 transcript:LPERR04G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVGSTPPGHAHHRGGDLLCVVRALLKKIRRRVLVGDRVLVGGVDWADRRGMIEDVFERRAEVADPPVANVDRIVLLFSLDQPQPEPATLTRFLVEAESTGIPFLLVFNKVELVDDKTISYWRDRLKSWGYDPLFLSVDQKSGLSALEEMLEGQTTVIVGPSGVGKSSLINALRCNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIVGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDDIDDDLDEDNWFEVKQHSRKR >LPERR04G07230.1 pep chromosome:Lperr_V1.4:4:9182299:9182789:-1 gene:LPERR04G07230 transcript:LPERR04G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTATALIFLLAVAVIPLAVAGEDGQEQPATSEMSIDVVAASQPGLCPLEGGEGECEDRGPVPVAGGAQPTSKCVESTMYKGPCVEILCTTACLMQWRSGGHCSGHGFFHSRCNCFLCF >LPERR04G07250.1 pep chromosome:Lperr_V1.4:4:9186914:9187419:-1 gene:LPERR04G07250 transcript:LPERR04G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTAAALMFLLAVTMIPLAIAGKDGQEQPATSEKSIGVVAASSALEPGLCPWEGGEGRCEDGAPVPVAGGAQPMSACVEKTLYHGPCVEMLCTAACLLQWHTGGHCSGHGFLWKRLCNCFVCL >LPERR04G07260.1 pep chromosome:Lperr_V1.4:4:9189759:9193835:-1 gene:LPERR04G07260 transcript:LPERR04G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATMALLLPTSPPTPLPMRARPAPATRRRPLLRASCASYALKEGQSQRFHRLPCGLDLEVIAQQPPAPAPPRPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSIPSENMAGTLETHTGDIADFIRKEISLPPVLIGHSFGGLIVQQYISCLGGSELMHPRLAGSVLVCSVPPSGNSGLVWRYLLTKPVAAVKVTLSLAAKQYANSLPLCKETFFSPEMDDHLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTIDILVVGASNDLIVDAEGLSETARFYNVRPVCVEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >LPERR04G07270.1 pep chromosome:Lperr_V1.4:4:9196492:9206759:1 gene:LPERR04G07270 transcript:LPERR04G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVAAAAAAGEAPATVGQAVIPLVNRLQDIVARLDGASAAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFHDFEQIKREIQSETDKEAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSIKDALAFEEKFFSTLPAYHGLAHCCGVTQLAKKLNTILLKHITYMLPGLKSRINAQLVAVAKEHAAYGDTAESTSGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPEASLPFEILVRRQISRLLDPSLQCANFIYDELVKMDYINTSHPSFVGGHKVVELAKQDILPPKAPTSVMIPKDVTAISSEIQLTSERSQKSSAIFARDATRGAISDQGSQPDVDTAVDVSYSILALGVLDTPFLLYHDSWSENTGTSAAGRNQRAHSLVAGSSSSKSVPRAHSLDNLLSIIQLKEPPVMLKPSENQPAQDPTEIAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLTLEEFPLEVEKVEKGYSLAEFATGLPNIHGLSNGDPSNIYGSLPNFSPKKTSHLDQHASVASYNSTSYLDANAISVAAPSLSNLAVCTEIAGLQEPIHIQLPTGAKERCCGWKFKRFRDHEQFCQPLASNGVLQ >LPERR04G07280.1 pep chromosome:Lperr_V1.4:4:9219478:9221471:1 gene:LPERR04G07280 transcript:LPERR04G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSISRSARASSVLRLDALLRLLARCRSSTTTETIEGVVHGALEFAPNWQGRRRCKVQCLQAQYGRSIGFPHTTALPIDAIAIAKKSDRCLLLYLRKSNVELAVGSGVTTASTSSGVDAVRLAARSAQESPNGSGASQVNSLLEHKPADPWDMLYEAAAGSSIPVPNNPYGFHGRSGAEAFGGSGPRWFASPAYPAPASESGRPDSRVLLPDFFSCTFHLLKKQQMLRLQRDRHLAVRGSNVGLGS >LPERR04G07280.2 pep chromosome:Lperr_V1.4:4:9219478:9221747:1 gene:LPERR04G07280 transcript:LPERR04G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSISRSARASSVLRLDALLRLLARCRSSTTTETIEGVVHGALEFAPNWQGRRRCKVQCLQAQYGRSIGFPHTTALPIDAIAIAKKSDRCTAIASNPS >LPERR04G07290.1 pep chromosome:Lperr_V1.4:4:9221958:9251232:1 gene:LPERR04G07290 transcript:LPERR04G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGRVVLRRIEDRLRRGICFRKRLAGMEKKAEELAVLCDAHVGFLVIEDHRLHHFAAPGTIESIVERYKNFLEERKGVHGRHILEKSKSEDFQVPKETIDLGLNNGGX >LPERR04G07290.2 pep chromosome:Lperr_V1.4:4:9221958:9246186:1 gene:LPERR04G07290 transcript:LPERR04G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGRVVLRRIEDRLRRGICFRKRLAGMEKKAEELAVLCDAHVGFLVIEDHRLHHFAAPGTIESIVERYKNFLEERKGVHGRHILEKSKSEDFQVPKETIDLGLNNGGX >LPERR04G07290.3 pep chromosome:Lperr_V1.4:4:9221958:9233963:1 gene:LPERR04G07290 transcript:LPERR04G07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVYKGEEASCLAAGGLEAGRRKIREGDQNGEEEWSGGAAADRGPVAARDLLPEEACRDGEEGGGARRALRCPRRLPRHRRPPPPPLRRSRHQQHNRPESNNRELEMARSR >LPERR04G07290.4 pep chromosome:Lperr_V1.4:4:9234243:9251232:1 gene:LPERR04G07290 transcript:LPERR04G07290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAQKFGDWQDNLGIKRISIVPFVVFTIQFFAPTIESIVERYKNFLEERKGVHGRHILEKSKSEDFQVPKETIDLGLNNGGX >LPERR04G07290.5 pep chromosome:Lperr_V1.4:4:9221958:9234057:1 gene:LPERR04G07290 transcript:LPERR04G07290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVYKGEEASCLAAGGLEAGRRKIREGDQNGEEEWSGGAAADRGPVAARDLLPEEACRDGEEGGGARRALRCPRRLPRHRRPPPPPLRRSRHFEEW >LPERR04G07300.1 pep chromosome:Lperr_V1.4:4:9256072:9256281:-1 gene:LPERR04G07300 transcript:LPERR04G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATVLFVLLTPGLLCTVPGRGRVAEFGSLHTSGLAILVHAVIYFALLTIFLIAVGVHIYTG >LPERR04G07310.1 pep chromosome:Lperr_V1.4:4:9257735:9257947:1 gene:LPERR04G07310 transcript:LPERR04G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAPVVVGVVLFVLLSPGLLIELPGTTRWVDFGSLRVTGRAAFIHTLLFFVLFSIVILACKLHIYTGA >LPERR04G07320.1 pep chromosome:Lperr_V1.4:4:9267076:9276901:1 gene:LPERR04G07320 transcript:LPERR04G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEAPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKIGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPAESHSVLSYPNATYGNQYLGSTSGVSDGSESRQSHSNLSSVTEVSSYSANKEYNKGTGILQSIPMLSQSTISGAPTLGQCSLEQSIEVCQVDNSNSTNKSGLNRALKHIVEQLSLGDDEDDDYIYVNQAQPFDFFTNIEAPDRQRGHTSTNVSGDSQAKQIRSQEMQNGLGRGISSSWEDVLQSSSGFPTSSIYQSGPHYPQNSEYQPPGSLYSRDMQQISAAKRFLLGPEEIDSPSFNFVPREEGNNVTDILSAHDNSLQSSLNPDWKRTAPLTLQSNSYGSEIPGLLLDHGQFELLSSGENTRLASAQKQRFNIREVSPEWAYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIIQPGVIRCHTPLHSSGNLTICVTLGNREICSEVKDFEFRAKSTASSFLHISPSSRSLKSSEELLLLAKFVRMLLSENGNHVNSNDDPQSGLCPKLKMNDEHWQRLIDELKGGCGNPLNVSDWIMEELLKSKLQQWLTVKLQGYDGTTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINFRDTNGWTALHWAAYFGREKMVAALLAAGVSAPAVTDPTAQDPVGKTAAFLASERGHMGLAAYLSEVSLTSYLASLTIEESDTSRGSAAVEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQYQLPNGQFYDKAAVSIQKKYKGWKGRRQFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMADAEEEDEDDDDDDFNDDDAVKMFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >LPERR04G07320.2 pep chromosome:Lperr_V1.4:4:9267076:9277127:1 gene:LPERR04G07320 transcript:LPERR04G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEAPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKIGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPAESHSVLSYPNATYGNQYLGSTSGVSDGSESRQSHSNLSSVTEVSSYSANKEYNKGTGILQSIPMLSQSTISGAPTLGQCSLEQSIEVCQVDNSNSTNKSGLNRALKHIVEQLSLGDDEDDDYIYVNQAQPFDFFTNIEAPDRQRGHTSTNVSGDSQAKQIRSQEMQNGLGRGISSSWEDVLQSSSGFPTSSIYQSGPHYPQNSEYQPPGSLYSRDMQQISAAKRFLLGPEEIDSPSFNFVPREEGNNVTDILSAHDNSLQSSLNPDWKRTAPLTLQSNSYGSEIPGLLLDHGQFELLSSGENTRLASAQKQRFNIREVSPEWAYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIIQPGVIRCHTPLHSSGNLTICVTLGNREICSEVKDFEFRAKSTASSFLHISPSSRSLKSSEELLLLAKFVRMLLSENGNHVNSNDDPQSGLCPKLKMNDEHWQRLIDELKGGCGNPLNVSDWIMEELLKSKLQQWLTVKLQGYDGTTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINFRDTNGWTALHWAAYFGREKMVAALLAAGVSAPAVTDPTAQDPVGKTAAFLASERGHMGLAAYLSEVSLTSYLASLTIEESDTSRGSAAVEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQYQLPNGQFYDKAAVSIQKKYKGWKGRRQFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMADAEEEDEDDDDDDFNDDDAVKMFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATVSSVNGFNDALSL >LPERR04G07330.1 pep chromosome:Lperr_V1.4:4:9281310:9283251:-1 gene:LPERR04G07330 transcript:LPERR04G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPALWSSSSSSPAAAGGGGLQHHGNHPHHPPPLLQSGDSDASDGAGGGPGGGAPGSGGSLDEARHRYKVAVAALRASIAAVSSCTQEIGSTEYKADQAEIERLEEHASALRKEIESKNKHVKLLIDQLRDLISDISMWQSPCSM >LPERR04G07340.1 pep chromosome:Lperr_V1.4:4:9284077:9289022:1 gene:LPERR04G07340 transcript:LPERR04G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSEEQSQRKRRKLGEEGRASRPPRFPPYPTGGSSSDVRKWDKECDRIRKIIGELRKDHDNDLPTMKKAKDPYTTQAMQSSRDKEVVLNAARGIVSVCHILMRLPRCTGIIIKQWSDGSAGRHHATIVTYSRVVCDAGQKLHPLPKMCVVLSDKTVLDAELIYFNDHYDITLLHINLSFTLELPSIGHGPEYGQEVFVLARDGEASLRVRCGNIEWLEESDILGRDFSTVSSLSISLIQGGDGGIVIDNDGEVRGMAIYCNPHPAVISISTVVKCIDMFMQFKQVARPILGIGVRTMALLDVQLQEDISNFGIKGGLLVDEVYNPVAEEHGIKHGNMIVSVNGQDVLTLPELTAKLYGQLEDYLLTLGWDYLKDKSICMKNVKLRVYDLKNRVERDVTLPVRFYDKAEREEDFGWAQMMIPFSVTASAKETPNSPSRFRTAMSRRRLRLPSRRSRRAAWPSLIRRLAFTPPRRASTAASRRSHARHGRP >LPERR04G07350.1 pep chromosome:Lperr_V1.4:4:9299684:9304925:1 gene:LPERR04G07350 transcript:LPERR04G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGERVRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGSLSAVIPAWAMLLIGAAQNFLGYGWLWLVVTRQAPAMPLWMMCVIIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLYAVLHTPDHATLVFMVAVGPSLVALGLMFVIRPVGGYRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFMQLSDNMVVFVTVILFVLLILPVAIPVILTFSSKTEHPMEEALLAEPSKGQASTSQEKEPYVFLSEVEEEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTMYVGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSWSGRSLHNMSLLADGPLKCEGPVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVRT >LPERR04G07360.1 pep chromosome:Lperr_V1.4:4:9307334:9309541:1 gene:LPERR04G07360 transcript:LPERR04G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDYNMDEAIKARGVAESRFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLSAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQSNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYSTQALEKPKRKHDEGYSYNYSASGNSYGHERPTSRRGRFSKRRRHSNDGYTAMDFGGDNRETVTASTEATTFADVPVAHANGTSMEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLLEWNITSSSRPSERGKSQGKVHPSDNNIKLNGAVSDKHVKGLKQCSSRGVDSQVSAADEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSAEWNELTPDDVIYKYEIVEVIDDFTEEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDVATQPAK >LPERR04G07370.1 pep chromosome:Lperr_V1.4:4:9312074:9316611:-1 gene:LPERR04G07370 transcript:LPERR04G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGSGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYVLYISTSKRTLTDGA >LPERR04G07370.2 pep chromosome:Lperr_V1.4:4:9312505:9316611:-1 gene:LPERR04G07370 transcript:LPERR04G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGSGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNGMS >LPERR04G07380.1 pep chromosome:Lperr_V1.4:4:9318975:9320963:-1 gene:LPERR04G07380 transcript:LPERR04G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTAASLSTAGVTTLLKPRGRSGSFLLRAPLLPAAAGLPRLRAAVVRCSTVSLATATTTTTGTPRPLSPPPSPAPAPPIRRSGADILVEALERRGVRDVFAYPGGASMEIHQSLTRSTLIRTHLLRHEQGEAFAASGYARSSPQSPARPGVCIATSGPGATNLVTALADAHLDSVPLVAITGQVPRHMIGTDAFQETPILDLTRAITKHSYLLLDAADIPRVINEAFFLATSGRPGPVLVDIPKDVTQQMSVPSWDTPMRLPGYISRLPKPPSTDLLDEVIRLVGEARRPVLYVGGGCSASSDELRRFVDLTGIPVTTTLTGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPAEIGKNKQPHVSICADVNLALHGLNAILQQQQQQHKNNLDFSAWRSELDKKKIEFPLSYKTFGDGQESIPPQYAIQVLDEVTNGDAIIATGVGQHQMWAAQHYTFKRPRQLLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFTMNIQELAMIKIENLPVKAMVINNQHLGMVAQWEDRFYEANRAHTYLGDPVNDTGIYPDFVTIARGFGIPAARVTKKSEVRDAIQKMIETPGPYLLDVVVPHHEHVLPMIPSNGAFKDIIVEDW >LPERR04G07390.1 pep chromosome:Lperr_V1.4:4:9326262:9326711:-1 gene:LPERR04G07390 transcript:LPERR04G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYREEGGVAGKKRTDASASVADANAWKKIVASLAGADADAGKKIVPYWRDQLIPCSEGSELLKKRKEAIAAEELWELIEEDVSGQPLDLWLETQLAKAEAPGGKKKKVKVFKKKVPEALVKVMMRRPSLSSPTMRRRSSLHLCVF >LPERR04G07400.1 pep chromosome:Lperr_V1.4:4:9327055:9329208:-1 gene:LPERR04G07400 transcript:LPERR04G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIKPGSPRLPRLGLVRLARDWAGLRPNPRGTTRPGPKSFCGPKSRSAGPGRGVSPSSLR >LPERR04G07410.1 pep chromosome:Lperr_V1.4:4:9339990:9347185:1 gene:LPERR04G07410 transcript:LPERR04G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPASHFAGPVPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGQEERPVTDDLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNPREYPYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQNRLLESGKISKEDIDKIHKKVSTILNEEFKNSKDYIPNKRDWLSAYWAGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDQRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVVHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLFTAMKALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQREPIKGPF >LPERR04G07410.2 pep chromosome:Lperr_V1.4:4:9339990:9347182:1 gene:LPERR04G07410 transcript:LPERR04G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPASHFAGPVPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGQEERPVTDDLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNPREYPYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQNRLLESGKISKEDIDKIHKKVSTILNEEFKNSKDYIPNKRDWLSAYWAGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDQRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVVHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLFTAMKALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQREPIKGPF >LPERR04G07410.3 pep chromosome:Lperr_V1.4:4:9340608:9347185:1 gene:LPERR04G07410 transcript:LPERR04G07410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPASHFAGPVPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGQEERPVTDDLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNPREYPYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQNRLLESGKISKEDIDKIHKKVSTILNEEFKNSKDYIPNKRDWLSAYWAGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDQRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVVHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLFTAMKALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQREPIKGPF >LPERR04G07420.1 pep chromosome:Lperr_V1.4:4:9356111:9359491:1 gene:LPERR04G07420 transcript:LPERR04G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKEAAKPKEEETKKEEAKKEEKPKEEEKPKEGDGEKGKEGGGGEEKKDGEAEAAAPPPPPPPPEEVVMRVYMHCEGCARKVKKILKGFDGVEDVIADSKAHKVIVKGKKAAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEEPEPPKPEEKKEPPVLAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKCEPVPPPENTGDGNAKDEKKATEGGDEKKDEKEEKKDGKDDGGNGGGDEKKEEKEKEGGNADGEEKDKDKEKDQAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPSYQPSYPPPYPSHPSHHPSQLFSDENPNACSIM >LPERR04G07430.1 pep chromosome:Lperr_V1.4:4:9387534:9392404:1 gene:LPERR04G07430 transcript:LPERR04G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEEAEITGPLLAGGGGAGDGVAAAAEAERVPPWREQVTVRGIVVSAILGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLLRSWTAALEKLGVVTKPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGTDYPGNRAVDVINPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAGKQVSCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLVGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARSKLGRLPLVRVQDDDQGSKLSAEEKLLNDTFVKDRIPPWLAGSGYVGLAAISTATVPMIFPQIKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGQSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMAILGVEGFSALPQHCLAICCVFFVAAIVINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSILRIDPPICMYFKPSLTS >LPERR04G07440.1 pep chromosome:Lperr_V1.4:4:9399308:9411957:1 gene:LPERR04G07440 transcript:LPERR04G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMADEAEITGPLLGAGGNAPSPAAERVPPWREQVTARGIVVSAILGLLFCLIAHKLILTVGAVPSLNISAGLLGYFLLRSWTAALGRLGVVTKPFTKQENTVVQTCVVACYGLVCSGGFGTYMLAMNQKTYELIGTDYPGNRAIDVKNPSLGWMIGFMFVVSFLGLFSLVALRKAMVIDYKLTYPSGTATAMLINSFHTTCGVELAEKQWFFSGVGDSCGFDHFPILGLAAFKNTPTYIGCGLICPHIVNCSTLVGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLINIIYATIKEMMNAQSKEMMKVLNYQPRKNFEMTHLQKTEFRHGSCYVGLAAISTATVPMIFPQIKWYLVLCAYVVAPLLAFCNSYGAGLTDFSLASTYGKIGLFIFASLVGQSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVLFREMAILGVEGFSELPQHCLAICCVFFVAAIVINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFVIDMFVGTVILFVWERVNSKESKDFADCQSSRRQREEIDNPSSPEMLSETSPAATSPPAAHPLACPLLHPPLDPASHPPPPPWREQLTFRGVAVAAVLGSLLSVVIHRLNLTVGVIPALNVASGLLSFFLATAWRGAAGWLGLGHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGPDYPGNRMEDIRNPSLGWMISFMFLIALMGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMGLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGLLWPFIAKQAGDWYPDNLSSTDFRGLYGYKVFIAISIILGDGLYNLLKIFLIIAKEMCNAQSKLPVQGLLQDDENSSQSDEKLQTEIFLKDSIPTWVAVSGYIVLSLISTMAVPIIFPQLKWYLVLACYFLAPAIAFCNSYGMGLTNLNLAPTYGKMALFVFASLVGSDGGVIAGLAACGIIMSTVCSAADLMQDFKSSYLTLSSPRSMFISQMIGVTLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAVIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINVLKDVTPNHVSKFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKINRREADDYAVAVASGLICGDGVWSIPSAVLSILRIDPPICMSFRPSSASL >LPERR04G07450.1 pep chromosome:Lperr_V1.4:4:9413350:9415451:1 gene:LPERR04G07450 transcript:LPERR04G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKVGYTLLRSETPATDLVNAFMDWAARRSLLLLAVLMPPYAAYSLAASTSLSAAAAPEDVAGKVVLVTGASSGIGEQLAYSYARRGARLALVARREASLRDVAAAARAAGSPDVLVVAGDVARADDCRRFVRATVEHFGRLDHLVNNAGLANVCWFEEVPDVADFKQVLDVNFWGTVYPTHAAIPHLKESGGKIFVNSSASAVLAMPRMSFYNASKAAVLNFAETLRMELHGEVGVTVATPGWVESEMTKGKHLSKYGKMEVDQDTRDAQVGVFPVERGERCAEAIVDAMVRGRRRVSVPAWYRAMFLWRTMAPEVADACQRLFYRRSGRGQLQMRARRVLKVTGAKAVLQPPSLQSSEIKME >LPERR04G07460.1 pep chromosome:Lperr_V1.4:4:9417767:9419468:1 gene:LPERR04G07460 transcript:LPERR04G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMMMMMQVAIRLAGAALLALFLPAYHVYKLTASFLGALLAEHDGDVAGKVVLITGASSGIGEHLAYEYGRRGAYLALVARREESLRQVGDAAAAAGSPGVLVVPGDVSSPDDCRKFVDDTIRYFGRLDHLVNNASIWQVGKFEEITDVNHFRKLMDINFWGHVYPTRHAIPHLKKTRGRIVGVTSNSFYIFIPRNTFYNASKAAVLNFYDTLRMELAGDDIGITEVVPGVVESEITKGKMLTKEGGMRVDQAERDAILGTAPVERTGDFTTAAVQGVCRGDRYVFEPRWYRAVYLLRVFFPEVLEWSSRLLTVRQLGTATTDTLGQWLLGVPGQRWIAQPASLQSPEIKVR >LPERR04G07470.1 pep chromosome:Lperr_V1.4:4:9419454:9425213:-1 gene:LPERR04G07470 transcript:LPERR04G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDDDDDATATASASASASASVSVASVSGGGGGGGNPSPRNPSILYPYTFPSSPPPSPPIEISDDEVEDEEFTEIEDPNDGDFPFVDAPDDLSPPPPPQPPPPPRTLTPTPTPTSAPPPVRTPTPTSTPACASAPPPVRISTPNSAPAWGSAPPPIRTPTPTPPSNAQAPTPASAPFPARAATTPSPIAPSTTPPSAVSGPLRPVDEFLRGLGLRLRTEWLESCAAGVPGFYGLGSAEAKARRCFEQFLFSDMNACGAGVLPEGVGSMQSAVLDGPFVLQVDEVVNISAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGIEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVAEVLEILGGVVDEIEEARHRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPSNTNVTNHAEQGTSMPRTVNTPHPTELGNAHASQVGRTTQVLVDEHSTPHVVSSNAQEQARHAQEITMQDQSTSRHNTETPTSISYRYDQQCSISGTTRATVDGYVDPSGVANNVHEQIPCVQDITMQDHAIAFTGNKRESAPTPPRYDSQHGPHGAGHIGPNDVDAARSLNVDDGINHIGHPISLSGENEKPFTYIFNMLAEWGVQQDTTPYIQGKIMGLITSVKRFQYKQFMRYDLYLYIDDGSFITEAFLDRDIVQNMIGYTAEEVAAGLSSGGPAQATIKTAMKGFEDFLMNFEGTMLIEFNRKSSVPIVREMNKGISSSDVWLLLRRVKTFSRQGYMRRLCRSDNSNAHYKSGQASQSGSSTRLLGSSTAI >LPERR04G07480.1 pep chromosome:Lperr_V1.4:4:9442595:9445205:1 gene:LPERR04G07480 transcript:LPERR04G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARCGGEDEYAKLVRGMNPPRVVIDNEASDDATVIRVDSVSSHGTLLAVVQVIADLDLVIRKAYFSSDGSWFMDVFYVTDRHGLKVLDPHTISYIQTTLEEDDWYYPEEEGMRKRTTVGIMAAEEYTVIELTGTDRPGLLSEVCAVLAAMGCAVRSAELWTHNARVAAVVHVTDAHDPDAAIAADESRVAAITSRLSTLLRSPSCAVRAASPPLTHMERRLHQMMFDDRDFVSAAGDADASPAAEVTVTPCEERGYTAVVVRCRDRPKLLFDTVCTITDMQYAIHHGAVSSVGEQPAGVAYQEYYIRHVDGEPLTSEAERRRVARCLQAAIERRAVAADAVVALEVRSGDRAGLLSDVTRIFRENGLTIRRAEITTASPEGRGGDGEAVDTFYVSDPAGNPVEAKTIEAIREQIGEATLRVKHNPFAAAGDGDGDGGGGGGDKRRRSGGDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >LPERR04G07490.1 pep chromosome:Lperr_V1.4:4:9448287:9448658:-1 gene:LPERR04G07490 transcript:LPERR04G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRRSAADELDEAAKADADPDYLFFLSHLYPDPQPDDPSSYVLDIPEENIFVRYRDFANVPKLADQPPSSVVIDDPPPPPSAREVEVDSAAPASSVDSNDDDDEDDGEDGSVNQEADAK >LPERR04G07500.1 pep chromosome:Lperr_V1.4:4:9453303:9456910:1 gene:LPERR04G07500 transcript:LPERR04G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCSRFLSSSPTPSAAATTTTTRRRPLLSSTCCTIAASAAAAAAMEASASSGGKGGALVVDSHLHVWASPQQAAERYPYFPGQEPPAALRGDIDFLLERMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVEEKYRAVRFNPNLWSSGQKMTNEVGRALFAMAGELGAPVGIMVMKGISSYIQEIEELCTDYPKTTVIFDHMAFCKPPTNIEEEEAFTSFLKLARFPQIYVKYSALFRISREAYPYEDTSQVLSHVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVTA >LPERR04G07500.2 pep chromosome:Lperr_V1.4:4:9453303:9457178:1 gene:LPERR04G07500 transcript:LPERR04G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCSRFLSSSPTPSAAATTTTTRRRPLLSSTCCTIAASAAAAAAMEASASSGGKGGALVVDSHLHVWASPQQAAERYPYFPGQEPPAALRGDIDFLLERMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVEEKYRAVRFNPNLWSSGQKMTNEVGRALFAMAGELGAPVGIMVMKGISSYIQEIEELCTDYPKTTVIFDHMAFCKPPTNIEEEEAFTSFLKLARFPQIYVKYSALFRISREAYPYEDTSQVLSHVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVTA >LPERR04G07510.1 pep chromosome:Lperr_V1.4:4:9459361:9461971:1 gene:LPERR04G07510 transcript:LPERR04G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPPQVEEMSQSAMFVRPPPTQQQQEQMLQLSRSSMFGMQQMGFGFGGGGAAAAPSPRGKATAAATGGPSRVAATAQQKQKQPILAQLPPPPPPPQNQGFGGGSAAEEEEARARERAAVQIAHEDAWRACNPDFTTPFASVEDAISRLLPYHVFAEYEEDEIFVEDQAPAKNVSSAQEWEASLGAETAAMAEVFEKQVLTFNLALRNSAASRTEERLMLETRLLADEQRATDRLRALLRQQQQVTAFQHQQVTAFQNHQHQQVAAFQQPQPQPQLQQQQQMGMIARQMLDQGAAQALRKQQMAAALQQMLEERRQRLQNQMMMMGQQQQQGDLGVVTGGVSQSSPSAAMGVYGGGLDRELTGMWMPQSSSSLQQPEMASCWPPAAPAVQQQQPPAEDGQVMAVVPPPSAFLGEE >LPERR04G07520.1 pep chromosome:Lperr_V1.4:4:9471182:9473499:1 gene:LPERR04G07520 transcript:LPERR04G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKRGKRKREESKDDDAPPPSPRPLPPRGVASQQQLQSAIFAKPWPTTPPPTTRQQQQQQRGFEAAIPTTLQQGVGRTGFGGDRVPAAAALSTTQQKNQGDSSSSSPQLVLAKPWPRAPALAAPTKQQQQQQQPPAIAYNAAKSAWRLNPMDPVFGLGGIGGAAGGSSGSALRPAAQLLPLFGSGGVRATAPRGIGGGAGPSGSTPSVPAAQPSPQQKNQGSGEASAQSSSSSPRGRMAAAVEQIVHAGAWNLCDPNVDISANSHDTMIRLIGEVGKKRQEATTPVWADVVAVLREEQRVLQEQQAAEQRLRRQMEAKAQMARARERQAKAVALYVELMLDKAGGGGEGGSGSGGEPEQQQEEVIRQLREELTLARQEHQPPPPAGQGEGQGQASGDAAAAAGEMPPSRGQ >LPERR04G07530.1 pep chromosome:Lperr_V1.4:4:9479577:9481987:1 gene:LPERR04G07530 transcript:LPERR04G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKRGKGKCEDSSSSPRQLALQQQQLQSGIFTDAAPTSQAICRCDANAVVCNNCCCCRGGENFIGIICCSSCCCAGAAAASSRQGVAPTATATAAGLRSPPPVQQQSFGFEDGGGAGTAGVAAAGLRDSRQLQSDSFAAPWARAPAPSPPMLQGLWFGDGGGIRSPPGLAAGTSIPVQQQQQLGLGFGDGGGAVEAAAARSPRATLMALAPSPQHGGEAASSSMAQRIDQIVVEWFRNIFPDADRGEDEEEEDMRCVCCGSVGRVFPFCDGCDVRSPEVVVRSPPRDQGFGFGGADGPAAGAAPRPGLGGAAGPSSSAPLLPRGTPMAHGEAGSSSSSSAARGMAAAMEQMVHEAAASNSNRDVRFFASVGEGISRLIHEEERTIMPDDIAAALLHRRRELEAEAERSRVRMLEAQVQAWYIQFMLHQDSLRRQAAGAGAGAGRGNFEEMTAQLLRMLQQELMLVRQQQQQNAPPPPDAAVAPAADAEQIVPQPPTPEEEGQASGGE >LPERR04G07540.1 pep chromosome:Lperr_V1.4:4:9482954:9484688:1 gene:LPERR04G07540 transcript:LPERR04G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKRGKRRRKESEDDDAPPPSSPRQPPPSLVDMQQQLQSAIFAKPWPTTPPPTTRQQQQQRGFEVGGAGAAAGSRSPQAMPMAAGKQKQQGVDRSEFGGDRPVPAPSAAAVAGAALPSQGTPSTQQKNQGSGEPGSSSSAAAVEQIVHNGACNFRDHPNINIYTNADDTIRSETDRPIDLGRLIHEVNKNKPSVQEASQASEEERLIMSEDVLAVLLEEQRMLEEQHAAERRRRLELEEEAKSAGVRERMARGKSLYISFLLEYDAIRRQGSGSGAGAGAGAGAGSGSGRGLLPIAEVLEEGEQLEREHPKRFMLAMQRVIQDTRRRGISSRAGGGVSSVEVDKDS >LPERR04G07550.1 pep chromosome:Lperr_V1.4:4:9485650:9489004:-1 gene:LPERR04G07550 transcript:LPERR04G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEGKAAAAATREEERGGGEAEAAAAAEAEEVEVKFRLFDGSDIGPVRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >LPERR04G07560.1 pep chromosome:Lperr_V1.4:4:9504986:9505387:1 gene:LPERR04G07560 transcript:LPERR04G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWTAYMPMPAMAAAAETAAERVERVASESAVVVFSVSSCCMCHAVKRLFCGLGVSPAVHELDLDPCGRDIERALSHLVGGGAAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >LPERR04G07570.1 pep chromosome:Lperr_V1.4:4:9512520:9517856:1 gene:LPERR04G07570 transcript:LPERR04G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSRQEEEELYYGKGGGGGGGGGNGAALSAAASSSGVGGGSGGGGGWREGTSTAAPRIEKISAGARGKGNASVKKELSALKDANGNVISAQTFTFRQLASATRNFREECFIGEGGFGRVYKGRLDGTGQVVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDGTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNATPSSRKVGGGEGGRALSRNDEAGSSGHKSPSSKDSPREQLPGILNDRERMVAEAKMWGENWREKRRAATSTSSNAQGSLDSPTETG >LPERR04G07580.1 pep chromosome:Lperr_V1.4:4:9518669:9522071:1 gene:LPERR04G07580 transcript:LPERR04G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRKPMVVIGHRGKGMNDLAASPETRIRREVVKENSLRSFNAAARVAGVSHVEFDVQVTKDGCPVIFHDNFIFTEQDGEICGRRVTDLTLDEFLSYGPQKDESKAGKPLFRKLNDGRILRWDVQSDDALCTLQEAFEGVDRRVGFNVELKFDDDLVYREEELSGILQAILKACGRQRQK >LPERR04G07590.1 pep chromosome:Lperr_V1.4:4:9531069:9535197:1 gene:LPERR04G07590 transcript:LPERR04G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSTTLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPYMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFMAGEGDTVTPGTKVAIISKSAAPAETHVAPSEDATPKETPPPKAEEKPKVEEKPQKVEPPKTQAPKPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVNKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINTLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGSILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >LPERR04G07600.1 pep chromosome:Lperr_V1.4:4:9537803:9542427:-1 gene:LPERR04G07600 transcript:LPERR04G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESSSPALDAEKIEVPSPKDENNSTNSEAATDNEDFEFSDDDDDDRNHKHRKREVRPQSFDENVEQSPGGPLKKRHRVSGGADSHGEAQKDFLPKFKRRPGTGVHSRGPRVNQSFRSDSSASAAVRPPMTRGRGRNGAPWTQHDPRFNTLDMIDFASQMASQGPPTHPSLFMGAPLPSGGSAQNGSWGPYGFIPGMPNGMLDPMHPLGMQGPIQPAISPLIDLGMPLQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDVQSLSQFNLPVSVPNTQGLGIQNEAGNAPVNTPSLGGGKGVPAKDIKSGVINDVLKLNGTTASGVFDADVYDPDQPLWNNEHPDGVWNAESSGYEAAREQGSQAFASDSSQNSKSSVWGRIASKKPGPGKVANATSTSGTGNKRNESSDNIAPSNVHVNPTSAKDTNGQSSSRTLGDMGRQSNRASHKASRTLYVNGIPPESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDDGDGRIPAKSSHMSTPMATSVPPQPSSSNRGKENLQSATPRVSSGSSAEASGPGTGPKMLPANSVKSVPPDSKRQESLELLEELRKKQEILAQKRDEFRRQLDRLAKQKGVANSAKLAEAGGKEVASNDEQRVTDSRSMNIGSERPQNAAGTLQKRTSGELGSSSHKSAATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEANNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSNPARASSFSSQTQNMPSESSTPLGKISSTVKSATTAKPHSASMPTAASTNMTVEIPKALSSSSSLSSNVECPPEHNDARDDTVRDSDVSQ >LPERR04G07610.1 pep chromosome:Lperr_V1.4:4:9545990:9548555:-1 gene:LPERR04G07610 transcript:LPERR04G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGKVKKEVDGSLPPPRKGGLKFAPKVPPKKAAKVVPKTEPADESKDETVDKELLMKLKTSQSTDPFLRKVKAEKKEMRTQVAFGQGNSSYARSFPMPGSSTDGSASKLPKEFVEPWDYTHSDYPITLPLRRPYSGDPEILNEEEFGESSAARAQDGELTAAEELGLMDRSDEPQLLFFQMPASLPLPKQPDSVANTDRGTEGAEPASTSSKVMHPTRPSTLLCSKLTELPGGFMGKILVYKSGKVKMKIGDTLFDVSPGSNCMFVQEVAAINTREKHCCTLGEISKRAIVTPDVEHLLDSLDKMEA >LPERR04G07620.1 pep chromosome:Lperr_V1.4:4:9553729:9560676:1 gene:LPERR04G07620 transcript:LPERR04G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHGHHRERKDHRPPPAKLDELAYAAQEMEEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKTALNYDDDDSVLSIWSLLYLFGFTLLPAGRVLMMLGKAQYELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDLCDEKREYYEAMRAAYREKGRARHSKTETFSSEQLQAYFLEYQEDAALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEKQHIDYPLIGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEFVEQIKEDFAAPRVNLEIGTQSAPISADNVFDPSTRFRTMNPSNRTNYSYKLPTPADDKNSTSAHTNRSPHSDLPERKSHVAENVWHSSPLVKGFKPNSISSGPVRMPSSTEGISQPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPSFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKVTPRISSLPTTSPRISELHELPRPPTNHVGTARPGLVGYSGPLVSKRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSKRTPILTVNKLLEARHSRESSEVSSPPLTSISLADVSRRSQG >LPERR04G07620.2 pep chromosome:Lperr_V1.4:4:9553729:9560768:1 gene:LPERR04G07620 transcript:LPERR04G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHGHHRERKDHRPPPAKLDELAYAAQEMEEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKTALNYDDDDSVLSIWSLLYLFGFTLLPAGRVLMMLGKAQYELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDLCDEKREYYEAMRAAYREKGRARHSKTETFSSEQLQAYFLEYQEDAALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEKQHIDYPLIGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEFVEQIKEDFAAPRVNLEIGTQSAPISADNVFDPSTRFRTMNPSNRTNYSYKLPTPADDKNSTSAHTNRSPHSDLPERKSHVAENVWHSSPLVKGFKPNSISSGPVRMPSSTEGISQPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPSFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKVTPRISSLPTTSPRISELHELPRPPTNHVGTARPGLVGYSGPLVSKRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSKRTPILTVNKLLEARHSRESSEVSSPPLTSISLADVSRRSQG >LPERR04G07630.1 pep chromosome:Lperr_V1.4:4:9564429:9564977:-1 gene:LPERR04G07630 transcript:LPERR04G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRVAMGGGARARDFVEHHGSVISLRPDDYFHRSAATTTGEDEEEEEFDRRERVFLGGRLYPVADETTARVIDVGGGGRPMRCVEFCPEPGSPPLRLTVVTTTAAEEGKKKKQEVAEIVDDGGAARALGARGECHGDEREGTVEHVVEVEAFVLLVSVRPELARIVRVQRLN >LPERR04G07640.1 pep chromosome:Lperr_V1.4:4:9579969:9583221:-1 gene:LPERR04G07640 transcript:LPERR04G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRRVFVGNCYAVRAGSVARRFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCPGLEELRMKRMVVTDESLELLARSFPRFRALVLISCEGFSTDGLAAIASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILMRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSVIYPLCVQLTGLNLSYAPTLDASDLIKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGFSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVIVAKNCPNFTRFRLCILEPGKPDVVTNQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSSEMEENNEELPKVEKLYVYRTTAGARDDAPNFMVGRIREEAGRGVATVVSSSSVSSAGSGKATLVCLPYLGAIWPGIIIHHPLYFAF >LPERR04G07650.1 pep chromosome:Lperr_V1.4:4:9598451:9598975:-1 gene:LPERR04G07650 transcript:LPERR04G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGEHQPAPAPATDPAPSPAKRKPEAEVAPLDPPPKAARPDADEEAAALAAAKAEAKARAADKGKGKMVVVEEEEDDEDGDGGDEESSDEDDDDEEGGGGDDSDDGFCEDPLAEVDLNNILPSRTRRRAPPQPGAYLVTPEEAAEEDDDDDEDADVDMARDQVDGDGEESD >LPERR04G07660.1 pep chromosome:Lperr_V1.4:4:9614707:9653976:1 gene:LPERR04G07660 transcript:LPERR04G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARAPVVELDFLGLRAADADHTKSSSSCSSIGGMETSAIARIGPHLLRRVIAGGGATPPPPPEEIKAAPGGGGGAPMVLFYNGSVNVFDVSNDKAEAIMKIATEATKAKALIHGNAIVGNFAKEPLTRTKSLQRFLTKRKERLTSLSPYKVGGPGGVDATTTTTASIKSFRRTRSEMETDV >LPERR04G07660.2 pep chromosome:Lperr_V1.4:4:9614707:9653976:1 gene:LPERR04G07660 transcript:LPERR04G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARAPVVELDFLGLRAADADHTKSSSSCSSIGVNVFDVSNDKAEAIMKIATEATKAKALIHGNAIVGNFAKEPLTRTKSLQRFLTKRKESLSPYKVGGPGGVDATTTTTASIKSFRRTRSEMETDV >LPERR04G07660.3 pep chromosome:Lperr_V1.4:4:9614707:9654131:1 gene:LPERR04G07660 transcript:LPERR04G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARAPVVELDFLGLRAADADHTKSSSSCSSIGGMETSAIARIGPHLLRRVIAGGGATPPPPPEEIKAAPGGGGGAPMVLFYNGSVNVFDVSNDKAEAIMKIATEATKAKALIHGNAIVGNFAKEPLTRTKSLQRFLTKRKERLILFAWVVPVASMRRRPQPPASSLFA >LPERR04G07660.4 pep chromosome:Lperr_V1.4:4:9614707:9618742:1 gene:LPERR04G07660 transcript:LPERR04G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARAPVVELDFLGLRAADADHTKSSSSCSSIGGMETSAIARIGPHLLRRVIAGGGATPPPPPEEIKAAPGGGGGAPMVLFYNGSVNVFDVSNDKAEAIMKIATEATKAKALIHGNAIVGNFAKEPLTRTKSLQRFLTKRKERLTSLSPYKVGGPGGVDATTTTTASIKSFRVKAEEYTTD >LPERR04G07670.1 pep chromosome:Lperr_V1.4:4:9629634:9635360:-1 gene:LPERR04G07670 transcript:LPERR04G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRRHRHVPLASLLHPALSPPSTESSCPNNPSTPGPVARHGDLFAIPLRQWPRLLIPASSPYVDGAGVRLYSHLSGAGSAGGGDSTPPPQQSPPAKPPTSWVDRSITMAAMPGELPDMRMLALFAYGSVLIRGVGCTINDLLDRDIDRKIERTKSRPLASGILTPTQGVGFLGFQLLLGLPFLLQLNNLSQILVVFSLPLVFFYPLMKRFTYWPQAYLGLVANWGALIGWAAMKGTIDPAIILPMYTAGICWTLDKEDDLKLGVKSTALRFGDSTKPWISGFGAACIANLALTGYNADLAWPYYPFLAAASAHLAWQISTVDLSDPLDCNRKFVSNKWFGALIFGGILCGRLVS >LPERR04G07680.1 pep chromosome:Lperr_V1.4:4:9660876:9662530:-1 gene:LPERR04G07680 transcript:LPERR04G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDVVSIDQDAFSARVRKNIEQLAALVRSYPLSNPENEQVQDIMEKIRLKFRVITASLGAKLEYQGRPTSSKQDVEDL >LPERR04G07690.1 pep chromosome:Lperr_V1.4:4:9669284:9669852:1 gene:LPERR04G07690 transcript:LPERR04G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANIPRRDPLPRRQPSASSHRFSMPRRSNFPDWVLLDMVGHISSGGGEENATIAWSEMSDDVPIEVYFVVADPPAHPVHPSTSYVVFPSCLALDRCRFTNLFIYKLGLGTPSLELLQHPYRVNYLSNNLVVLSCGDHCLLVESRWQFHADLHQLHVFSSKTKS >LPERR04G07700.1 pep chromosome:Lperr_V1.4:4:9669896:9670246:1 gene:LPERR04G07700 transcript:LPERR04G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSNSPRRSLFTDLVDLRYGILLFDSMAFAGEEERPLSRMLPMATDGFQLRMEGLHVQEDDPFK >LPERR04G07700.2 pep chromosome:Lperr_V1.4:4:9670253:9671805:1 gene:LPERR04G07700 transcript:LPERR04G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLHRSFCHPFACLLMLPYTKACKLILYNLMSSFLTLFQRNQIKKNPAGRTGKGREGRRCGCRWLGLDDDAQRGAAAWMSARGGRVEQRP >LPERR04G07710.1 pep chromosome:Lperr_V1.4:4:9674815:9680343:-1 gene:LPERR04G07710 transcript:LPERR04G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRFAAATGLGALARPTRVAPSPLAALAAPRRRRRRSPSPSPAPTTTTSSDSDSSSSSSNPSTSPVASGVAAEAPEWKKVSAKRFGIKESMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYMRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDSFLAPNRPCHNSLWISILALHEALARKPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNAEFSELLEPQVWDDKHLVAEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVSTTYLILLPFIFSLDFFPVPGSHEVFIPLQAYLKVLKLIECVQHGKKEHGYEPKSDGNINYHDLSYGTPEEVRNVFTLVVFDTIYPSNMEDQHDARS >LPERR04G07720.1 pep chromosome:Lperr_V1.4:4:9737818:9738557:-1 gene:LPERR04G07720 transcript:LPERR04G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIENQGSSIPF >LPERR04G07730.1 pep chromosome:Lperr_V1.4:4:9739778:9751876:1 gene:LPERR04G07730 transcript:LPERR04G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSQLQLAAAAAAEHGGARCDAVVVTETPTTKFMAQEPTINHHHHSQDDPPKPPPTHQPPPPEEEERRRELIHDDHRREPPPTQRLQLQIGGDLHHHQLEAAGTSGNSGSGGSSSNGGVGGGGDWLRLGLASDPDLFHSADERAAAATATTTPPPRHNHHQQDRLLVLPGMPPAAMVGIPQASIPPHMPRAAPPWLPPWSPGAAAHAPPPQLLPFGHHRAFYASATAANTAGAGFDAIRVVLPPSAMAAAAGVWFVLQAALHQREPFLPQIPRNYLRIKDGRVTVRLLTKYLANKLGLDDESEVEITCRGRQLLPILTLQHVRDSIWCQRDAVSPSFASDISTADHIMVLQYGRRP >LPERR04G07740.1 pep chromosome:Lperr_V1.4:4:9753158:9753663:1 gene:LPERR04G07740 transcript:LPERR04G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQCSSGSGNGHEATGNIKLFIMPGHTRKPIDCNKCVKKDSFLDDPNQHMTNVPTNWNTCLKRSSDNTFQLMHGLKLLISGGAAARK >LPERR04G07750.1 pep chromosome:Lperr_V1.4:4:9768463:9772222:1 gene:LPERR04G07750 transcript:LPERR04G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIAAVVSAALLLLAGGGNGGLAAMEEDKIGVLPGQPNVSFAQYSGYITVDAVKRRELFYYFAEAELDPDTKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTHPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGREFYITGESYAGHYVPQLAQRIVEFNKEEKLFNLKGIALGNPVLDFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSDCDSVMSQVAKETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGNRELDVCVEDETMGYLNRKDVQEAMHARLNGGVPRWTVCSSVLEYKQLDLQIPTINIVGGLIKSGVPVLVYSGDQDSVIPLTGSRTLVHRLAGRLRLNTTAPYRVWFEGKQVGGWTQAFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPESFE >LPERR04G07760.1 pep chromosome:Lperr_V1.4:4:9772587:9775076:1 gene:LPERR04G07760 transcript:LPERR04G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQWPDSHLSPPSLLPLPLPLGLLPPLPSPLVAEPISTPREPAATGAGVCTSPFVSKAIWSAWQCPQFRAEFRILGASFPKSDFDTCYASYLKHRRRTVFRMPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLTPERAFADFVLCNLVLHLVIMNFLG >LPERR04G07770.1 pep chromosome:Lperr_V1.4:4:9775457:9781316:-1 gene:LPERR04G07770 transcript:LPERR04G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGSASKGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKATEFEKLTEKEEKQHGTRRSRWCRPEEDYLKINSDGNAVNLQDAFHAEVIACAAGIGAAISRGKLKLCVETDSMMLKTALTTDSFELSAFGGIILEIKSALALNTQNCVVKYCPRDCNRVAHACEELGCKQAMYSVSSWCPAI >LPERR04G07780.1 pep chromosome:Lperr_V1.4:4:9782500:9788539:1 gene:LPERR04G07780 transcript:LPERR04G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGGEGFEERVKRLFGSRLFDDVPGSSFPAASWSVAAGEVERRRWAKPSEARDAEEEREGAEQRGDTPCASAFYDANGCLRAGGGRRRRGRSKQEEFEGDLGEDEEEEEEGEEEERGGGGRKQQQQEEDEEEGVRVSIGLDPTLDREEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADFSAASARLKEYDSSAKGGTSSLAETKESPTGGIQAMKTDDANVKPILKRKEEQDDSKPRKRVKFAADVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSAPSESPGVPDYVKNPTKYTRYTLDTPECNDESNRRAFADLHDLLRRMEPDPAAPVEIPTSVTFIPRKKSVDAMTVDEGPKSSESNSSLVGLAAGASDETEQCEMDEDDPKASPPPQIQTNTKMNSRRYRSSRTDDE >LPERR04G07790.1 pep chromosome:Lperr_V1.4:4:9785080:9787622:-1 gene:LPERR04G07790 transcript:LPERR04G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGEGGGSGRAPRLPRWTRQEILVLIEGKKVVEGRGRGRRGGGGGAGGGAAEPTKWAAVAEYCRRHGLERGPVQCRKRWSNLAGDYKKIREWERSVNSPAAAGGGKEVSFWAMRNDARRERRLPGFFDREVYDILEGRAGNAAAAAAGKEEEEKVFDSSRRAGGGAGDGDDGLFSSEEEDDEDEEATPPAAAAPSVTTAAVAAVAPPPAPAAVAVPTSEKKTDPPRQEASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRLVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >LPERR04G07800.1 pep chromosome:Lperr_V1.4:4:9798111:9800823:1 gene:LPERR04G07800 transcript:LPERR04G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMETLAAADDADLLASDGGEAERLEAEAQADLLRDRLLLAVIKIATSEGKKAGMEVSDPVVACIADLAYKSVEQLAKDVELFAQHAGRKSIRMEDVILTAHRNEHLMGLLRTFSQQLKGKEPASEKKRKKSSKKDDNVMQI >LPERR04G07800.2 pep chromosome:Lperr_V1.4:4:9798111:9800823:1 gene:LPERR04G07800 transcript:LPERR04G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMETLAAADDADLLASDGGEAERLEAEAQADLLRDRLLLAVIKIATSEGKKAGMEVSDPVVACIADLAYKSVAHRNEHLMGLLRTFSQQLKGKEPASEKKRKKSSKKDDNVMQI >LPERR04G07810.1 pep chromosome:Lperr_V1.4:4:9821237:9822501:1 gene:LPERR04G07810 transcript:LPERR04G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVIRAVPDQSSVAGGAGGVVQPPPPLPHAAFYPTADQHQQLGQSADEQGGGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAVAYDEAALRFKGTKAKLNFPERVQGRTHRGAFLLSPRSIPHQQFIPSPTPPMPPPPMTMHQHQLQTTVVPYPDLMQYAQLLHGGGGGVNMPFGGAASPSSSAPQILDFSTQQLIRPGQTPAMSAGSSGATASSTTTASASASPAGAWTAAAYGSERKKKDSSS >LPERR04G07820.1 pep chromosome:Lperr_V1.4:4:9836338:9841642:1 gene:LPERR04G07820 transcript:LPERR04G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSLLSSAAKPRSPQPLAAAVLLRQLGTMAAAQAAPAAGSQREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTSELNHILAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSSLEFLMFPREQQLTGKSAVKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKFVITPSKKELGLAFKGNHRMVLEALEAMSESEALNMKSALESKGEVEFKVCTLGKDVTIKKNMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTTAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGLSTWADIMWRYPAHTASAAEEEEASET >LPERR04G07830.1 pep chromosome:Lperr_V1.4:4:9841789:9844927:1 gene:LPERR04G07830 transcript:LPERR04G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRERLSIEGIPGRTPPPASPDSDGSRIRRSSLADGWIRSLAVAAAWLGGDGGLTTTAARRGTPERLKKHQPLTATQRTLATKSPNPPEAEKEDDGDEEEEEEGATMLRVAGRRLSSSLSWRPAAAAAGPRGGAFGGHADGEDRGRVQPRFAIDSPFFAAARGFSSSETLVPRNQDAGLSELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >LPERR04G07840.1 pep chromosome:Lperr_V1.4:4:9845899:9850819:1 gene:LPERR04G07840 transcript:LPERR04G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTGWRRRAAALLLLLAAAVSLPAVLAVAAADAAEESGEVDRAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWSYWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLGRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPGDKRLNWTAGPVGDLKAW >LPERR04G07850.1 pep chromosome:Lperr_V1.4:4:9849002:9849706:-1 gene:LPERR04G07850 transcript:LPERR04G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTLPVIFAILFYVLADTATTTATDAPDYLVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTNKIERAVNGVTDETGTYKIELKDSHEEDICEVVLVKSPLANCNEIQAFRDRARVLLTKNVGICDNLRFANSLGYLKDIPLPVCGELLKQFDLADDDN >LPERR04G07860.1 pep chromosome:Lperr_V1.4:4:9851420:9865113:-1 gene:LPERR04G07860 transcript:LPERR04G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGPSRPPAAAAGDFPELLTFCARAEALIAELILLSDRAPPHFSDRRFDPVLFDFRYFDTPGEFESRIEGNMELEALEDELRDSCGSYMRRFFALLDAAVTYHEELCSYLNDLQEGLYVHCSLDGVLESNCACQLLTESMTLFGCMVLLMEHRNSGLLRERLLVAYLRYERCFNIPNLERICELCRRHVTTPGLPGTPGSSLRIAEIISVQKPEDLLRRFQFPEPVVDSVITCLRNGDVYYNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSSLSPTFIRDRSRQHYTKVSSLLDDLDIHMCVVNKEYVLDNSVTLLSVIRECNCTLRWLLLHRMLEFEVKQLHAEVLKTRKTMWYEKRHDALECIKDLSQNYLGTWAALCKFKNKSIKDWLDHLSSEVSSLNYTAIGSSGRIIHRVLSTLKDIELHHQIKENVQIKHGFSKIQKNLHDMIKVLNLNQDALSILSVITDAKYAWGYLTYLEELFKKKISQDPSECLFLHTIFLKFQSWLDAPLHRIKQCESPDLECVSSYYASKYAAKIFAVLDIIPAVLLKISISVNYINAEQSTHLINRINKETLEGLIQLDQQLCQAKQAAKLCIVAEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLHGKLKAVSSHTYGDTEGNLMALSSYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGSMQPSSNMVKQLNHMSNLGSFFGYLLQYIIHSTDPSHSMFIEAMMGWFDAGGNELLGMRFFHLLESCVGQVGLACLDSLIHVLIKQSVEHAMKNLHTMVDVKCREELNKMDDLLGPPMSIPLMGWPSYKEMVKMLHSSWGSLAEKLATIGQLQLVRNLISFKLRSACKAIYVSEEPPMFLTRLMCLFSVSQLPKYVLDVRLGSLTSPLKKSVADFSAVVIGLGTVLQQFNPDHITQYIEAPKVLYWVMSFCNYMDISMELVQSCLPSSCLAILQS >LPERR04G07870.1 pep chromosome:Lperr_V1.4:4:9865749:9866075:-1 gene:LPERR04G07870 transcript:LPERR04G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSSPSCSAVVVVILLLLLVLGAAEGGDGGRGRRGGHHDADDPGNFRSSLVDCMMECAAEVVSCASACSGKSRDQAPTCAADCVHGDISCLAGCGAPTTTTPPAA >LPERR04G07880.1 pep chromosome:Lperr_V1.4:4:9870246:9875913:1 gene:LPERR04G07880 transcript:LPERR04G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTFLVTFFPLFCPILLNSEFPCSNTVLLVLFNLKQKYNHVVATLVAVLPTHFSS >LPERR04G07880.2 pep chromosome:Lperr_V1.4:4:9870246:9875913:1 gene:LPERR04G07880 transcript:LPERR04G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQEQPPHLACSHHGNHTKVDNTTVFSHSQTVVVCPGCQTVLCHPTGGKARLTEGCSFRRKND >LPERR04G07890.1 pep chromosome:Lperr_V1.4:4:9876465:9877022:1 gene:LPERR04G07890 transcript:LPERR04G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEPAPAKPLTYFSGRERPVSKRRKKQKRGFTSGERGDSTRAPAKQKRDNLRRRELWRDAVIFPSFGAGPGSPQEPSAIPPPRSSAAGSPPPRIRATMSPPPPPREHRRHGFVPSQLRPASPSIQGDGGVDFRPRSTLLKGKAALGEEEATAASKGNSPASGRWRNGMARWGRTGDTFYITFWF >LPERR04G07900.1 pep chromosome:Lperr_V1.4:4:9880703:9883085:1 gene:LPERR04G07900 transcript:LPERR04G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTTRPGTNVLSNDIDLLNPPAELEKLKHKKKRLVQAPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >LPERR04G07910.1 pep chromosome:Lperr_V1.4:4:9888077:9891492:1 gene:LPERR04G07910 transcript:LPERR04G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEEKVVMMVEARKGRLRQRYDDDGYRLVAGCVPYRLLAGGEVEVLMVSTPNRADLVFPKGGWEEDEDVYEAACRETMEEAGVKGNINRVSLGLWMFRSKSSQKRSELAETTTSPSACRGACKGYIFALEVSDEMEAWPEQDTHGRRWLSPADAFRLSRYDWMREALAALLDRLLPPPLNAPTSEHAAGVYGLALLTSAAAADRAVALC >LPERR04G07920.1 pep chromosome:Lperr_V1.4:4:9919287:9920296:1 gene:LPERR04G07920 transcript:LPERR04G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMASLPSLHLLRLVLLLLPCQADRVRLRAAGAAQPQQQRLSPPLPWLALRDGGLIDIHGGDPVRLSDAVRRVIRESKYYIPIDIHTAFVKAALSWPLHSDPVVAVRVLEGTAVVISISSCPYRTRDEEKVHVAEIAFCHGKLYALTEQEVLHVLELHTCRLDEPNSSSGFRQLIPNDSNQESVMYIKQEEDNDSCFLVIRYLVESNGRLLMVRRWMSVPPNAPLGYEDRTCWFEVFEADFTRVHQQWRKVDSLGDEAIFLSAECSKSVLASQCASGVRQDITS >LPERR04G07930.1 pep chromosome:Lperr_V1.4:4:9922861:9923706:1 gene:LPERR04G07930 transcript:LPERR04G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYEKCIGFIVYVSVYITQSFCDFFIIKNVGIEARGFYFAPTIALELGAKFVPLRKPKKLPGEVISEEYSLEYGKDRIEMHVGATEPNDRAIIVDDLIATGGTLCAAVKLLERAGAEVVECACVIELPELKGREKLGKTPVFVLVTAN >LPERR04G07940.1 pep chromosome:Lperr_V1.4:4:9935391:9936239:1 gene:LPERR04G07940 transcript:LPERR04G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSSYFYSSSSSSAAAGGGGGEKKSSSATKTKKQSAAAEQGNTTTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSVTPYLSPDLSDNNSDQLLHPFYASAAAAAAQLPAPVASGGGGDYAAMYGNNFGADADEIDMSSLMVDDIGVHDGDLSSMIGGGGGGGGGGDVSVYGGNGGNGGGGGWCDASDFAAAYGGAAASPAAGHGMYFEEGYVHSPLFSPMPAVDDAGGDGFQLGGSSSSYYY >LPERR04G07950.1 pep chromosome:Lperr_V1.4:4:9942325:9942633:1 gene:LPERR04G07950 transcript:LPERR04G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRLRGAGCRRWEMAAAGFGRETLGPAAGRWLWGVTSSSSLTSSGGCRSEEAAAWGVGADGRADLKVAALGGSGGRRLGGAGGQEGGGWQWPAGMAATTWI >LPERR04G07960.1 pep chromosome:Lperr_V1.4:4:9946383:9951053:-1 gene:LPERR04G07960 transcript:LPERR04G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNKECCRCKEKLDKILNHLRCKYCIEKIEYEGEKVIVRGSFCAEQLRKCIWRKAGCKIIVNILIVEVWPPVVVTPPPPVVNVNVKADVTASATAAAEAAAKAAVDVIIKNCKPPPPPPCNKPPAECSKPKPECKPVPCPYPVPYYYPMPWCCPDQPPPPCNVKHDGYTCDNGKYCIEKIEYEGEKVIVRGNFCAEKLRKCIWRKAGCKIIVNILIVEVWPPVVVVKPPPPPVEVNVSVKTEVTASAKAAAEAAAKAAVELMIKDCKPPPCNKPPDCKLVPCPKSPCPKPPCPDQPVCPPPCPKRPCQQQQQHFCPQPYCGCGCVICNRNNVNVMMFEQDSSQCSVM >LPERR04G07970.1 pep chromosome:Lperr_V1.4:4:9955321:9956404:-1 gene:LPERR04G07970 transcript:LPERR04G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVILADLNCCRCKEKLCKILACLREMYGIEKTEYEENKVIVRGNFPADKLRAAIWRRASAGGGGNCKLIADIAIVNEYPPKDEKKKKEEEEEKKKKEEEEKKKKKEEEKKKKEEEEKKKNPPPPPPFCPWPPYPYYPPPCFYPMQPPWQSGCSTTATWPTGSSCPLMCHCCPKKKEKKACECERDHCHGGDCQDWCSHRPINCPPPPPPPPPPPPPPPPPPPPPPCCHHQPDWPPPPAWGGCKMECRQDENACSVM >LPERR04G07980.1 pep chromosome:Lperr_V1.4:4:9968789:9969782:-1 gene:LPERR04G07980 transcript:LPERR04G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLQCCRCDAKIRKVLTCLEEEYCIEKVEYDTKNNKVIVRGKFDAEKLRKKICCKAGKIIIEIIIVCVWPPEKPPEKPPQPPKPPCEPPPCHCCKCEKPKPCHCDKPKPCHCHCDKPKPCEKPPDKPKPAEKPKPSECKLVPYPYPVPYPLCCPPQQQCHCCPKPKPPPKEPPKPPEPPKEPPKPCGCSHGYVCYCKPAAPACGGGCGGQGGCSCGGGGGSPWPPPGIMWPPHAPVYPPPACYFTADDPSACSVM >LPERR04G07990.1 pep chromosome:Lperr_V1.4:4:9973606:9975237:-1 gene:LPERR04G07990 transcript:LPERR04G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNQNKCQRNTDHYRGPTVLPLQKQDSELTPRTFHLFFSFSEQGGFAIEKIVFEKDTVVVSGPFNAERLCYELSGQGWLQDRSLRTSRSSRRLSLSPSPPSPKRSPSPSPSPSTYQCRTAIRTRCRTAAEAYHVSPVSLLRLAGTVSAAVHASELAVSPAEDAPLRGDRTGLRRLRHPEDPFFGSPQGCGVPSSESRRRRRWRG >LPERR04G08000.1 pep chromosome:Lperr_V1.4:4:9982937:9983828:-1 gene:LPERR04G08000 transcript:LPERR04G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIITVDLQCCRCSTKIQKILCCMQERGEFEIEKIVYKKETVEVSGPFDAEKLYCKLWCKASKIIKDIKIKPPPPPEEKKPKTDDKKDEDKKPDKPKPKPDPPCKLIFPYMYPPHQYCPTWPCGCPTQHCECHSKPPPPAPTPPPPPPEPTKPACGCHGCSPPYPPYMAPYPPMVVCEENPNYGACTIM >LPERR04G08010.1 pep chromosome:Lperr_V1.4:4:9987051:9988517:1 gene:LPERR04G08010 transcript:LPERR04G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWARDLPQKRGKRKWRQLPAHSHTTPSSIFSTTASRAAASSPRTRSPPSPATSPSSPHTTTSPSSSPTPASRRGGHARRSTSSSSSAPPSASPSXXXTLPLAVSAAAASPTHHLPLALSLHALAVTRGLLPFTHVSNSLVSLYARSGLPGHARRVFDEMPAPDVVSYNALMDGYVKARRVGLAVEVFEGMPVGRDVVSWGTVVAGCARAGRLEEAVRLFDRMRWEGFRPDDVALAAVLSCCARLGALEKGREVHEYVRWSRPRPNVFLCTGIVDLYAKCGCVEVAREVFEACPEKNVFTWNALIVGLAMHGHGTVALEYFDRMLVEGFQPDGTTFLGVLIGCSHAGLVDMAKRIFYGMEGKHGIRQELKHYGCMADLLGRAGLIEEAMEMAKKMPMKADTYVWGGILAGCRMHGNVDFAEVAAQHLLELNPDDGGVYSVMAAIYADAGRWEDVARIRRLMDERIGKRNVGCSSITTDLKDGIKMLS >LPERR04G08020.1 pep chromosome:Lperr_V1.4:4:9990027:9996969:-1 gene:LPERR04G08020 transcript:LPERR04G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVLDKYGLISNEVLVDNTHPVVHPEPSSTRVMNMDEGTILTTMPPDHLSQNSGVQIEVFRHRVSEILLHNTIYDVVPLSSKIAVLDASLPVKQAFKIMHDEGLSLAPLWDDQQQTITAMLTASDFVLILRKLQRNIRSLGHEELEMHSVSAWKEAKLQYYGGPDVAVIQRRALIHVKDSDNLMDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIIKFLCSKLQEQPEGYSFLQNRIVSMPIGTWSPHTGKASIRQLRTSRPSTPLNSCLDLLLEDRLSSIPIVDDSGALLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRQCHTCSSTSTFLEVLEQLSAPGVRRLVVIEPRSRFVQGVISLRDAFTFLIG >LPERR04G08030.1 pep chromosome:Lperr_V1.4:4:10021610:10027007:1 gene:LPERR04G08030 transcript:LPERR04G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEAESSPPADGETPPPPTTPPPAPSRLKRHDSLFGDAEKVTGGKHHGSDVSWATTLQLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHKDDLIGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPNSQLRRAQWVKNKLENSRVAKMALFFLTILGTSMVMGDGTLTPAISAQVVMISVAILLLLFSVQRFGTDKVGYSFAPIISVWFVLIAGIGLYNLVVHEITILRAFNPLYIVQYFRRNGKKGWVSLGGVVLCVTAAYKSILILNAIPYVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPAPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSQKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHIIFILLFYVVFGFTEVVYLSSILSKFIDGGYLPFCFALVLMTMMATWHYVHVKRYWYELDHIVPTGEMTTLLEKNDVRRIPGVGLLYTELVQGIPPLFPRLIRKIPSVHNIFVFMSIKHLPIPHVVSSERFLFRQVGPREHRMFRCVARYGYSDALEQPKEFAAFLVDSLKMFIQEESAFAIADAPPALPASVAENDAAAATGDEPVRPRRSTGSAVHSEEAIQSSRVSSHSGRISFQVSQLMDVEEEKRLIDREVDRGVVYLMGEANVSAGHKSSIMKKIAVNYIYTFLRKNLTEGHRALAIPKDQLLKVGITYEI >LPERR04G08040.1 pep chromosome:Lperr_V1.4:4:10033009:10035592:-1 gene:LPERR04G08040 transcript:LPERR04G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDGEAISPMRIPDGLDDNGFPLSSSPSSSSAAADDDETSSFAADFYRSGADWSSLRAAAPPASEEVSGTKERSGGLLVQRSLFQAWGIEKKPARREEAGVGVRGEASSAPPGSWSGRKRRRGGEVEAMADRRKPLSCPFYKKIPGTPFTVDAFRYGAVEECRAYFLSHFHHDHYGGLTKKWCHGLIYCTALTARLVKMCLSVNPDYICPLELDKEYVIEGVLVTLLEANHCPGAALIHYRLGDGKTYLHTGDFRASKSMQLHPLLQRGRINLLYLDTTYCNPKYKCVYATLKNKKFPPKEDVIDFVVKTSQRYLQKEPRTLIVVGAYSIGKENVYLAISKALENLKKYMETLNQRFVAVLAFRPTGWTFSEPIGVPYSEHSSFTELRDFVMFLRPQKVIPTVNIGNAATRDKMRAHFQEWMKGS >LPERR04G08050.1 pep chromosome:Lperr_V1.4:4:10037800:10040725:-1 gene:LPERR04G08050 transcript:LPERR04G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVASRLNLELLPHVSGDFDHVIKVQLIQPGEDEEFVMSLKNQLTAAVVEELGLVDQEYHRIKRSLEEKRSFHCDLKRHDVTKELRNWLERDTVSARINSTLSNKRYLLVVENMYEPIRTSDFTNQFGLPRPSRWTSSGWLIFTTSQEVYNKSKSEDDVLQDCPNNDDIMMFLTLVALHLTAKHICKVVGQKDDEEYWHRITLRCFHYALLLFPQRHEPADANKNNYVITKDELIRHWDSQGFLTTTSKSREDQDNFSTSSIRRAYQVGNTILEAFQEYSLLKLPYYPATEAEEATKTATHFLLFHGLVTNHLTKDEISHEERWFQNKRWIKLGCNQEVEDQGWHISTKWQSKEEESGWESAMMDKIILVAHPTLKSFLLINAPHIKKLSLHGCRKLENVELRELGALEDLDLSATSIKELPADIPNLPQLRRLLLMGVPFLRRFPWHSLERFPDVFHLDHCTKVNDNNYDDQVSHLSRKVAYLCVEDSTFFYSFTDETRCSVERGKFFQSLYVQIAPCTVNIRRLEDEHGILANKLQELAQKKSVYGDVYCHYMTEEVSVVSTTRHVEMFAIDRYPDGLRCLLDVVKSISMTEDTFVSCLSNLSDLYLLEDCTLRLCHRMKHVFHNSYVIRVYGLRNAWVSQLKGLIHFHTLLNEKCVCSFYLLKHLHLEYCPRLESIMGIGSGLQNLTTLHILFCYNLKTIFHQHFSHRDISDLLKLPELQMMRLQELPLLEHFDDGVDAVTSAPAWKDAGACAVSLASTQKIYSTRWR >LPERR04G08060.1 pep chromosome:Lperr_V1.4:4:10063586:10063972:1 gene:LPERR04G08060 transcript:LPERR04G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTGTAARRWACAGKMATTVRGRSMEAAEAGGTAGQIWCGGPRSDAPRATARGRVAAARGCVVARRLRVGVQRQRAAVPATALLGLDLWALASAHGRWRGRWRRRVDGDDGGAVTAWRLAPSLRDC >LPERR04G08070.1 pep chromosome:Lperr_V1.4:4:10066288:10069185:-1 gene:LPERR04G08070 transcript:LPERR04G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLLLLLSALLVQIRASDPVFYEPFDDNFEGRWVVSVKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEAIKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVASSILEKTWKPKYEVEKEKEKAEEAAAAGADGLSEFQKKIFDVLYKIADVPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLVRIVFGSKKPAAPVKPVAEVKKPQVAESDAAGSSGDKEDDKEEDEKEGGAAPRRRSRRET >LPERR04G08080.1 pep chromosome:Lperr_V1.4:4:10083371:10090702:-1 gene:LPERR04G08080 transcript:LPERR04G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVKAVPSGDTVEIMKITMVEMPPEVKSLTLSCIIAPRLARQDGTDEPFAWESREFVRRLLIGQEVTFQEEYTIPSGRKFGTVYFGEKNVACMLVAAGLAKVKEQGQKGGLSPYVVELLRLEGIAKDQGLEGRSFDAKGFVAENKGKSLEAIVEHARDGSTIRAPSMGRRTIPNAKAEVVGNGEANGEASATPTPTAAQKLVASANIYSDIPPDKFGEEAKHFTEIRVLNRLVRIVLEGTDNFNNIFGSVYYSDGDVAKDLALELVQNICNIVSPCPLGKLFCGLAKYVEWSANMLDPQHKIKLRNADLHVKKDQLRIWNGFKPPVTNTKPILNQKFTGKVIEVVNGYCIVIADDADPSAERRVNLSSIRPPKLEKSKEENRSSEQFARVAKEFLRTRLIGKQVNVSMEYSRRINKEDGQIAGPKANSAATRVLDYGSIILPSHIEGESVPGDNQLGINVAALLLSRGLADVTRHREYEDRSHYYDALIVAHARAEKTKKGYHSKKDCPLIHMADLTTLPAKKAKEFLHLLQRTRRYSAIVKYVFSGHRFKVTIPKETCTILFSFSGVHCPGRDEPYSNEAITMMRRRILQRNVEIEIEMVDRSGTFLGSLWESNTNVASVLLEAGLAKLSSFSVDRIPDAQILLKQEKIAKQNKLKVWESYEDVEVSNGSASDSKETLKVIVTGVLGAGMFYVQTLGDERVKFVRQQLASLDVKDPSKTSEVKDQLETSKDESLVATLEVKDLPETLDAEDPSSDVVKDESKNSKYVDPVLDASNTAPFTPQKGEMVLAWFSLDHSWNRAMITSEHQGATEPEFEVLYIDYGNQARVPYSLLRPINPSISSIPPLAKLCSLAFVKMPGLNEYLGQEATLYLNNILFDSTKEKEFEAIIEKQDILGGKLQGQGTGEILSVTLFDGETENSINAEMLEKGYAQLERKRWDSMERRAAIKKLEEFQEEARKEQLGVWRPENARKQGLDGNGEYSVLARAPPPPKKGYYLIKFIVSRSK >LPERR04G08080.2 pep chromosome:Lperr_V1.4:4:10083371:10090702:-1 gene:LPERR04G08080 transcript:LPERR04G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVKAVPSGDTVEIMKITMVEMPPEVKSLTLSCIIAPRLARQDGTDEPFAWESREFVRRLLIGQEVTFQEEYTIPSGRKFGTVYFGEKNVACMLVAAGLAKVKEQGQKGGLSPYVVELLRLEGIAKDQGLGRWSKAKGRSFDAKGFVAENKGKSLEAIVEHARDGSTIRVHLIPGFLFVQAPSMGRRTIPNAKAEVVGNGEANGEASATPTPTAAQKLVASANIYSDIPPDKFGEEAKHFTEIRVLNRLVRIVLEGTDNFNNIFGSVYYSDGDVAKDLALELVQNICNIVSPCPLGKLFCGLAKYVEWSANMLDPQHKIKLRNADLHVKKDQLRIWNGFKPPVTNTKPILNQKFTGKVIEVVNGYCIVIADDADPSAERRVNLSSIRPPKLEKSKEENRSSEQFARVAKEFLRTRLIGKQVNVSMEYSRRINKEDGQIAGPKANSAATRVLDYGSIILPSHIEGESVPGDNQLGINVAALLLSRGLADVTRHREYEDRSHYYDALIVAHARAEKTKKGYHSKKDCPLIHMADLTTLPAKKAKEFLHLLQRTRRYSAIVKYVFSGHRFKVTIPKETCTILFSFSGVHCPGRDEPYSNEAITMMRRRILQRNVEIEIEMVDRSGTFLGSLWESNTNVASVLLEAGLAKLSSFSVDRIPDAQILLKQEKIAKQNKLKVWESYEDVEVSNGSASDSKETLKVIVTGVLGAGMFYVQTLGDERVKFVRQQLASLDVKDPSKTSEVKDQLETSKDESLVATLEVKDLPETLDAEDPSSDVVKDESKNSKYVDPVLDASNTAPFTPQKGEMVLAWFSLDHSWNRAMITSEHQGATEPEFEVLYIDYGNQARVPYSLLRPINPSISSIPPLAKLCSLAFVKMPGLNEYLGQEATLYLNNILFDSTKEKEFEAIIEKQDILGGKLQGQGTGEILSVTLFDGETENSINAEMLEKGYAQLERKRWDSMERRAAIKKLEEFQEEARKEQLGVWRPENARKQGLDGNGEYSVLARAPPPPKKGYYLIKFIVSRSK >LPERR04G08090.1 pep chromosome:Lperr_V1.4:4:10090738:10091461:-1 gene:LPERR04G08090 transcript:LPERR04G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTQTPPTPHPNPPHISFLQIAGASGPDSKSFTFGSISQQRQVRTPKTPLLVDSLHRSIANAIRPRLRKSDRSTPRDLSVATQLASGRRRLAPSSPPPTGRERVTW >LPERR04G08100.1 pep chromosome:Lperr_V1.4:4:10095951:10101049:1 gene:LPERR04G08100 transcript:LPERR04G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSASFPFPVPSILPFLFSTSPPNQSAPTKTEAFVLLLLAPRVRQSACYECSSSSPCHIGQFRSVAAAAQAPSASGIAEAASSVAWWLDMTHRYPYDGASSSSTSMSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATLDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIAPQYQAPQRELWLSFWSEVHYNSLYDARDLPSKYKPRKKHWLLF >LPERR04G08110.1 pep chromosome:Lperr_V1.4:4:10173655:10175373:1 gene:LPERR04G08110 transcript:LPERR04G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLPPDLYAFRALDGAMVPASGFLDDHGGCAPAAAGMGHTVLSDLPRSELTCNENSGVGYGFVPRKRARLDADEAAVGALMVAAQQQRMAMAHGSLLPGDVQQSSRALGCGVASTSGRVNNVAGGLSQGLLSQLYHQGVEIDALVRLEL >LPERR04G08120.1 pep chromosome:Lperr_V1.4:4:10182766:10197039:1 gene:LPERR04G08120 transcript:LPERR04G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVSDDKFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVVDIEKSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLKALLLKMNEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPISWDERAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDRIMEGYAYILTHPGIPMVFYDHFYDKGDSFHAGIAKLPLALKFQTFCTQMEIRKCQDIHSRSAVKILEARSDLYSAIVDDKLCMKIGDGSWCPSGAEWKLAACGDRYAVWHKTQFPPSVFSRLLLLSPSMEAEAPPETSGGGLGYFHLRLLAPAAPLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHSRHRRRGLLLSTSGCVLSLTHRFSVADSVLVNGRTLLRGGGCPADLAVGDEVSIVRRGARYGFLVEKFVSCEGPEAAEEEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHNCVDSGSDDVNVKRAREEEFLNPNSPINPTAEGNLLREDCNLGQEKLDNSINSAIAKDGTDELIQGSRGCSDGNTEQKGCSNGNTEHQHTEGCYSDGNTFYLNRLTGFGPDARLEQQSGVTLPQLLHPMESLERVFIATFTSDIAWFLDYCKVPQNLPVTIACHNKERCWSASRENRTAIPFGSYPNLLLVYPQFPEDIAFGKDRKKQGVACHHPKLIVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTFPDYTALFSKVEEPKSDFASQLVSFIAFLINEVPCQSHWINEIAKYNFDGAAGYLIASVPGIYAHSPHYLESNYCLSRKQILHAKSTHRMLLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSILVADLDKFKEEDSVHLGFLPREVAKWVSPLSDLGFFKFSGFIYPKEALEAAYGVTTTKVQLLLYVSEGPEFPRISGLIQDEHLSLLCSLIASLKRSLGLWRLEEVLSYFKWPETLETDFFYSASSIGTSISPQFIANFASAAGKRSNQDVDPEESDPEKTWQRLRPTGIFRDAIPHPCDRIGHPMHVKVAQRRFESRLGQHSFGWTYCGSHNFSPAAWGQPLPPPKANPTEPRSVSSGPRLHICNYELGIILIIPPSGTPNQAGGRRHEIKDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQINNLIDLSEDTDEDIPYEDDEQVIELSDCSPEEKEEEKIYAETLWEQVDSSQSPGKGLD >LPERR04G08120.2 pep chromosome:Lperr_V1.4:4:10182766:10192790:1 gene:LPERR04G08120 transcript:LPERR04G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVSDDKFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVVDIEKSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLKALLLKMNEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPISWDERAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDRIMEGYAYILTHPGIPMVFYDHFYDKGDSFHAGIAKLPLALKFQTFCTQMEIRKCQDIHSRSAVKILEARSDLYSAIVDDKLCMKIGDGSWCPSGAEWKLAACGDRYAVWHKTQFPPSVFSRLLLLSPSMEAEAPPETSGGGLGYFHLRLLAPAAPLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHSRHRRRGLLLSTSGCVLSLTHRFSVADSVLVNGRTLLRGGGCPADLAVGDEVSIVRRGARYGFLVEKFVSCEGPEAAEEEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHNCVDSGSDDVNVKRAREEEFLNPNSPINPTAEGNLLREDCNLGQEKLDNSINSAIAKDGTDELIQGSRGCSDGNTEQKGCSNGNTEHQHTEGCYSDGNTFYLNRLTGFGPDARLEQQSGVTLPQLLHPMESLERVFIATFTSDIAWFLDYCKVPQNLPVTIACHNKERCWSASRENRTAIPFGSYPNLLLVYPQFPEDIAFGKDRKKQGVACHHPKLIVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTFPDYTALFSKVEEPKSDFASQLVSFIAFLINEVPCQSHWINEIAKYNFDGAAGYLIASVPGIYAHSPHYLESNYCLSRKQILHAKSTHRMLLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSILVADLDKFKEEDSVHLGFLPREVAKWVSPLSDLGFFKFSGFIYPKEALEAAYGVTTTKVQLLLYVSEGPEFPRISGLIQDEHLSLLCSLIASLKRSLGLWRLEEVLSYFKWPETLETDFFYSASSIGTSISPQFIANFASAAGKRSNQDVDPEESDPEKTWQRLRPTGIFRDAIPHPCDRIGHPMHVKVAQRRFESRLGQHSFGWTYCGSHNFSPAAWGQPLPPPKANPTEPRSVSSGPRLHICNYELGIILIIPPSGTPNQAGGRRHEIKDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQINNLIDLSEDTDEDIPYEDDEQVIELSDCSPEEKEEEKIYAETLWEQVDSSQSPGKGLD >LPERR04G08130.1 pep chromosome:Lperr_V1.4:4:10197591:10201904:1 gene:LPERR04G08130 transcript:LPERR04G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVAREMRCFRARAVEVSERRQRYESAFPVMITEVADPRTCFRYVDEAELAGVDVPRDKLAVMVATSRVVAVVVPGGMGKTTLADQVYRKVKDQFSCTAFQSVSQKPDVNNILVNIFLQLGNNKKEHANLQALDRDGLINMLREFLQDKRYFVIIDDIWDVETWKIIKCALFDNGCGSRIMTTTRIHDIAQACCSDSGDHIYEIEPLNDMISRRIFFRRIFGSEEKCPIELKEAADRILEKCGGSPLAIITISSLLAAKPQTKDQWNQVKNSIGSALQDNPDLGIMRRILSLSYSNLPHHLKNCMLYMSIFPEDHEIEKRLVINRWIAEGFIHGKRGQNVFELGDIYFDDLINRCLIQPVLMEGNGQVKACRVHDMVLDFIISKAIEQNFVTILGAQEFLPEPGKVHRLTLQNYNEEDVTTKLSDLTLCHVRSFSIFGPMLQVQCSLEFKICRVLDLRGCQLKNDHLVKIINLRYLNISNTGITEIPRHFEELQFLETLDLSGNWIGKLPSRITQLHRLAYLCICWGTRLPDGIAKMKMLQELGNIDILRESTSVVEELGELINLRNLHISWIGRYERAHIESYAETLASSFQKLGVCKLRSLSFEMDHFTYDSTLLDQWCPGPHNVQYLELIRHKTCSEEYIPMVPDWVGTLLCLNKLYLKIYNIDMNGLQILRGMGSLTDLVLMVYLSPREKLAIGSSNAFEYLKSFTLEYHLGGSYSICPGGMPIIFEVGAMPRLRHLKLPIETKYVDGQFDFSCIHHLHSLAKAEIIVRCTAKLEVEVAEAEVRNVKNTSFQRNLYLLETTKQDV >LPERR04G08140.1 pep chromosome:Lperr_V1.4:4:10197694:10202622:-1 gene:LPERR04G08140 transcript:LPERR04G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGESSPCTSGAATPMLLGHRLEGRAAELILHFPVHLVSKSRLAHAPGHHNGHHPARRHHHGELVPRDIDAGELRLVDVAEARPWVGHLGDHHRKCRLVPLPPFADLDGACPEATHLPGHLAASH >LPERR04G08140.2 pep chromosome:Lperr_V1.4:4:10197697:10202586:-1 gene:LPERR04G08140 transcript:LPERR04G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRGGRIPESFLSSWPRQRGHRRRPAARRTCWSSPRMRLRRPAPASGTPTGRPVVLPMPPGTTTATTLLVATITASLSLGTSTPASSASST >LPERR04G08150.1 pep chromosome:Lperr_V1.4:4:10204467:10204745:-1 gene:LPERR04G08150 transcript:LPERR04G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIHEDYVKKRNEVRREQRRKQMQILQMKQAPAHSGVSPPALATHESPREPTQCLTPTSGGPSATDISPTPAEEAAQSVDHRLFDCFKPY >LPERR04G08160.1 pep chromosome:Lperr_V1.4:4:10205941:10209665:-1 gene:LPERR04G08160 transcript:LPERR04G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVNAKKMVDEEIFDKISSDHSISSEEEDMLVRSCSNLNVSFGYHRNSHQSFSVDTDEYDMSPKKRLATNTMMMSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTDSSIFEISKNIWRSSAPMTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSSEDSLSGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTNAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLATMPSAGMDKLKAVQLTEIHSLENPSEYQKLLANHPNESSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCNPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELLRIPVGSRRKYHDDVTVIVITLGNAQRTMTASTSL >LPERR04G08160.2 pep chromosome:Lperr_V1.4:4:10205943:10209118:-1 gene:LPERR04G08160 transcript:LPERR04G08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVNAKKMVDEEIFDKISSDHSISSEEEDMLVRSCSNLNVSFGYHRNSHQSFSVDTDEYDMSPKKRLATNTMMMSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTDSSIFEISKNIWRSSAPMTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSSEDSLSGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTNAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLATMPSAGMDKLKAVQLTEIHSLENPSEYQKLLANHPNESSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCNPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELLRIPVGSRRKYHDDVTVIVITLGNAQRTMTASTSL >LPERR04G08170.1 pep chromosome:Lperr_V1.4:4:10225215:10225523:-1 gene:LPERR04G08170 transcript:LPERR04G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTAGEEARFHGHGHHHGSSGTTPTGAAASPKMRRSWSSAASASSGGGGHGSAPKCVCAPATHANSFKCRLHRNSSHGHGHAHGHPSSSPTAAAAAQ >LPERR04G08180.1 pep chromosome:Lperr_V1.4:4:10234369:10235529:-1 gene:LPERR04G08180 transcript:LPERR04G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHATTFAVVAAVALFAVCGGGARAQDMDKEDWSRFIGGGGGAGVTLLPQSDVDQLEFPLNLEYLETEFFCWSALGYGLDGIDSKLTGGGPAPIGAQIANLTPLIRDIATQFCYQEVGHLRAIKNTVKGFSRPQLDISAANFGKIVDQALNRTLNPPFNPYENSVNFLLASYLFPYVGLTGYVGSNPKLITPQAKKRCWGWNRRRTLLYENATLRVESAGGVGVAELTLRNALGRKGVKDEGLVVPREQGPEGKTTGNIIAGDRFSLAYDRTPDQVLGIVYGSGDPAKCGGFFPRCADGRIARSYIA >LPERR04G08190.1 pep chromosome:Lperr_V1.4:4:10246572:10247760:-1 gene:LPERR04G08190 transcript:LPERR04G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTFAVVAAVALLALVGTSGARAQDMGNDWAPFIGGGMTGSALPLSDVDLLEFPLNLEYLEAEFFCWASLGYGLDVIDIKLTGGGPPPVGGQTAKLTPLVRDIATQFCYQEVGHLRAIKQTVKGFPRPLLDISAANFAKIVEQALGMKLDLPFNPYENTLNFLIASYIIPYVGLTGYVGANPKLLTPQARKLVAGLLGVESAQDAVIRTLLYENGMSQLTSNDEVGVAQMTSHISELRNALGRKGIKDEGLVVAPELGPEGKTTGNIIAGDRFSLAYDRTPEEILAVVYGSGDPAIFGGFFPKGGDGRIARGYIA >LPERR04G08200.1 pep chromosome:Lperr_V1.4:4:10252234:10257256:1 gene:LPERR04G08200 transcript:LPERR04G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHIYATATTCFGCARAVIPLPAQAQAQPSALMSVSSPPPLLRRGGWVDHVAVVSSNGKLRARRPTAAAAAGAVLGEPVAASDDQGGLVHPSEEFAAQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKQKWNPDEVCAENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEIGQDGKLTYSQLLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIPLKDIVDVSLADGAKNGVDVGICLTYENQSAMTKEDTKWTSGKDVWWQDVVPNFSTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGNEMEEECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAGRQLDELGDTSTLADPGVVDQLIALGDS >LPERR04G08200.2 pep chromosome:Lperr_V1.4:4:10252234:10257568:1 gene:LPERR04G08200 transcript:LPERR04G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHIYATATTCFGCARAVIPLPAQAQAQPSALMSVSSPPPLLRRGGWVDHVAVVSSNGKLRARRPTAAAAAGAVLGEPVAASDDQGGLVHPSEEFAAQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKQKWNPDEVCAENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEIGQDGKLTYSQLLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIPLKDIVDVSLADGAKNGVDVGICLTYENQSAMTKEDTKWTSGKDVWWQDVVPNFSTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGNEMEEECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAGRQLDELGDTSTLADPGVVDQLIALGDS >LPERR04G08210.1 pep chromosome:Lperr_V1.4:4:10262507:10262710:1 gene:LPERR04G08210 transcript:LPERR04G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGNGTVEFDELTSSLADLILGPCRPAVAVVVDQAQLAEAFRAFNRDSNGFISTAELAQPMARMG >LPERR04G08220.1 pep chromosome:Lperr_V1.4:4:10263326:10265554:1 gene:LPERR04G08220 transcript:LPERR04G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLCLGDPISHKLRRFNPSHLFYPNDMPPRDRTTAAAVEDARLPPPIMTFCSPGPRATSVSMEFMRRNDGKIVGVDHTGRSILYDDDAHTIRTLPNITMPKVWTMSVAIGDEDVYLMEMTPRRDGGSDEKPERSFEALVRRREGNEEDYFWRPLPPPPPPCVNAPKYFHGSGVMVSGYAAIGDSHILVSTIHGTYAFDTAAAAWSKAGDWGLPFVHVPEHGLWFGISDADDTVLAAWDLSSSPITQPPPAASLQSKGFSIPSWDGLPMPEVDVSHVVNLGDGKLCVAKLFTVDVRGLRSFAMLTGVEVVRRHGGKICVIKHKSRRYSFDTLSLYY >LPERR04G08230.1 pep chromosome:Lperr_V1.4:4:10288038:10295682:-1 gene:LPERR04G08230 transcript:LPERR04G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGGQHALDLEAGRCAAVYPKGLAAGGVITPPSAPPSPAPARQRLVSLDVFRGTTVLLMILVDDAGAFLPAINHSPWDGVTIADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGVDMQNIRLMGILQRIAIAYVVTALCEIWLKGDDDIDSGFDLLKRNRYQLFIGLIVTITYMAFLYGTYVSDWEYQISVPGSTEKSFFVKCGVRGDTGPGCNAVGMIDRTILGIQHLYSRPVYARSKQCSMNSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHNERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYTLATAGAAGLLFAGIYTLVDMYGHRRPTVVMEWMGTHALMIYVLIACNILPIFIHGFYWRDPKNNILRLIGIGA >LPERR04G08240.1 pep chromosome:Lperr_V1.4:4:10297358:10304137:1 gene:LPERR04G08240 transcript:LPERR04G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACCCSSSSVPSASILATGAALRRRFTPGAAVGGGRAVSVAVARPIRLSSTGLLVAPRQQRRGGLVVRAVFERFTERAVKSVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQTPVGLATDVPFSGASKRVFESAVEFSRNMGCNFISPEHISLGLFNLDDPTTNSVLKSLGVDPSKLAKQALTRVQGELAKDGREPVGASSFKVREKFTPGGGKSAIVKYSNKKKGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERDPKLPFLVFNLQRAPKFHAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGEFQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNENDEDNVVDIEVLGEDKTSPPPKLSTTTNEPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSVGHSLLESAMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVLTSNVGSTSISNGRMSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFRPLEKTQMLSILNIILQEVKGRILALGIGLEISDSMKNLISQHGYDKSYGARPLRRAVTQLVEDVISEAILAGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >LPERR04G08250.1 pep chromosome:Lperr_V1.4:4:10303344:10305767:-1 gene:LPERR04G08250 transcript:LPERR04G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKSLEAGLRVWLAKQPTHVEAAVATAALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSPHFYSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPGEDIYYSRGRNMLKKLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQRDPELTKSN >LPERR04G08260.1 pep chromosome:Lperr_V1.4:4:10309381:10310301:-1 gene:LPERR04G08260 transcript:LPERR04G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGDIPESARKLVGKVAVITGGASGIGECTARLFVTHGARVVVADIQDDAGARLCAELGEENASYVHCDVTVEDDVAAAVDHAVARFGALDVMFNNAGIGGAPCHSVLESTKANFERVLAVNLTGPFLGTKHAARLMVGRGGGCIIATSSLAAVVVGAASHAYTCAKRGLIALTENAATELGRHGIRVNCVSPAAAATPLATGYVGMEGEAFEAAMEAVANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLIIDGGFSVGNPSLGIFKD >LPERR04G08270.1 pep chromosome:Lperr_V1.4:4:10313012:10314223:-1 gene:LPERR04G08270 transcript:LPERR04G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAGETATAAVAPVVAAVAGDQDGVPFCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPSAASASSSSPPTSHVAVDSSSASLPLHPSVAAAAAARKVIPASAAGGGLKRSKSVAPRPEESSTPATAHAITADSPRKKSFWSFLYSSSSSSSGGHHQSASLGGAGGGASAARRKSVSVASASSASLGRRLEAIAEPESPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSATAGALAHLGSGGSHSAAGDDDDEYESTQQHRIKCAGFFGGLGAAPPHASSSYWLSAADGATATATTTAAARTHGSRSHRSWAWALASPMRALRPTSSSSNKSIMAAPHSRAAVSNNGGMAMAAVATN >LPERR04G08280.1 pep chromosome:Lperr_V1.4:4:10318060:10322926:-1 gene:LPERR04G08280 transcript:LPERR04G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLSPPPPSAVQLRGGGTASSSSSSSIFGSRVAATSGDWGRSRRRRRRGISCCSAEDGEGPRGATPQAPPAPSEGSIQLYSQIERVITEAAKQSREGWNSTGDWTEIEGAWVLRPKSQDPSFVVHFVGGIFVGAAPQITYRFFLERLADRGALVIATPYASSFDHFFIADEVQFKFDRCLRNLDEPVNNLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASLAVPLFSPVIVPMAQGFGPIFSQLTSYPTIRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQILPDVPPAMADAVNRGGELLANLATGTPWEAVAKEVGTTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >LPERR04G08290.1 pep chromosome:Lperr_V1.4:4:10323363:10324607:1 gene:LPERR04G08290 transcript:LPERR04G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWARRGAGGGEEGGVVGRDDTDTNTTTSSANASTSSSTAASAGSGGARRNGSAGEGWGSGPVSAAATINLSREYTLAIHTSSYHEIWAKIHVEEDGGDGPRRANGAGGGEEEEMEEDRFTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAHPLAAPHRDCAFDAFLLFDQMPNPFAAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLLRCATRGSGICLIACATGAAIAALVLATHAITVLLAAAPACVASRGSCCPAAASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVQLGLERGRGQRHTIDEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVNHLSAQSDPG >LPERR04G08300.1 pep chromosome:Lperr_V1.4:4:10332665:10337459:1 gene:LPERR04G08300 transcript:LPERR04G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCDDDFGLLGDDAPQTAAGAAAEPAPPPPPQTFCFGDSAAAGAGIGAGAGAGAGPLAMVAQEEGGNHSAERGKAAGHGSKRGRDRGEELSDGGEYCSYINSSGGGGGGGGGSGKKGRGGGSGGAAGSWESRKDREEWTDGAISSLLDSYTDRFEQLNRGNLRGRDWEDVAAAVTDGQGKVGKSVEQCKNKIDNLKKRYKVECQRLASTGGGTSGTTSHWPWFRKMEQIVGNPSSPSSSKALVASDDDKSRQPQQHSSKRYAPSGSGTPTLGGGGSKLAPLPSPKWKRVLLKIGGTALAGAAPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYPIGMMASVMNAVLLQASLEKIGVETRVQTALTMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASEIVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >LPERR04G08310.1 pep chromosome:Lperr_V1.4:4:10336620:10338121:-1 gene:LPERR04G08310 transcript:LPERR04G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAPHVEKSYAGGRQGKQEAAAGQQRQRAASFHGRGTETPRHQLTARQRPKTQPDLLAGVRGRTASFGGEVEPEAAKRRTPSKVLVSVAVQRSLWPLHVMASAEWSVADLVAAAVELYVKEGRRPLLPSADPSEFGLHYSQFSLERLDPREKVMELGSRSFFLCPKSSATVNAFSPSCSSDGVRRVRDREAAPARAGATLAWMSYMQFWPMM >LPERR04G08320.1 pep chromosome:Lperr_V1.4:4:10355249:10356571:1 gene:LPERR04G08320 transcript:LPERR04G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIKVPVGQSPARMVAARSSGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGINGHAAAPNFVNGHIAPLVPEQPARAPDAAGAVLDLVPVSSLSDGVVAKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVISHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSASDSDSSSSP >LPERR04G08330.1 pep chromosome:Lperr_V1.4:4:10371317:10372387:1 gene:LPERR04G08330 transcript:LPERR04G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGGANAAAAAVAAAGGVAPGGDGGGGGVGKAVVSAVTSVYRSRLSASGKDLVIDVTWSRSPDGPALSVAVHDAAAASRHRAAAGGGGAAPRHLHRRKGSGTFTAGSCVVGVFWDFAAARYGAGPEPVSGFYVAVVADAEFVLLLGDMSRGYVERLHGGIPIAGSQMARRRERFVGCGCWSTRARFSESGAEHDIVVALDGEAEAWVTVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDMTWDLHGWLFHGADPTPPASTSSCAVFTFQTRGSSEIKFWIEDDGDGDEELEQSQPPAAAARGQRQKLGGGIGGGGGAPSGQGFCLLIQGFRSSSKIT >LPERR04G08340.1 pep chromosome:Lperr_V1.4:4:10376192:10376496:-1 gene:LPERR04G08340 transcript:LPERR04G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPTSSVSLGRSHGRIWPFGIYMLFLAFCFPLASFRKLWHISQYMIGDLLRASVYWTTPKMLGV >LPERR04G08350.1 pep chromosome:Lperr_V1.4:4:10376830:10377855:1 gene:LPERR04G08350 transcript:LPERR04G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCPLFPQPPPLQLQLEPGLPGLELPTLDLERVGGGEGRAALAAACRDLGVFRVANHGVPGELRGRLLEIGKKLLGRNPFELKKAQPGYFWGTAALQSLRVRDVNWLEGLHVDLVPACSSSPPPSSSQAGDGGGGDDGWIRIRALMAEYGDHMARIARKVFDALAAELGLDGDQTASYLAERDGFLRLYRYPPCPSASSCLGMEPHSDSSVLSIILSQDHHHDGGGGGGLQVFRDGAWRHVTPAPGELLVNLGDMMTAISGGVYQSVRHRVLASRPGTERLSCCYFAFPQEDAIIQAPDGISSMYRPFSHREFREQVQADIKATGSKVGLSRFYATTSR >LPERR04G08360.1 pep chromosome:Lperr_V1.4:4:10380125:10382014:1 gene:LPERR04G08360 transcript:LPERR04G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCHPLNHSCRQGMDSSRLADSCRTHWTPFCNQGWGQAPRVGLPLPHPGKASQCRDKRPMTVDDDSAAVNFSPVNEHEEDFSAEMDFSTGNMDGGGYFTNLINDGYNSNCGWADMGSQSQCEVPRDVERNTLVRPNHRRTKNFSDEEDTLLVSAWLNISLNAV >LPERR04G08370.1 pep chromosome:Lperr_V1.4:4:10383225:10384712:-1 gene:LPERR04G08370 transcript:LPERR04G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELVVWFFIINNVSESMRAEGRRPTRERPGADQVVIIVLASSISPSSHRGGGGLRLAFAHFGEPFSSPSDLSAASLVRRRRLVPRQHI >LPERR04G08370.2 pep chromosome:Lperr_V1.4:4:10383884:10384712:-1 gene:LPERR04G08370 transcript:LPERR04G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding QGSNSKQQQEISLRHLPKLQQTSKQEIPFSQIRPHPSSPVAAASLRVHRRSWPRQVLRRRRRCHHSPPRQAAAVIRNRSGTTGPRILSTPLHSSLCAPLHPCRPDGVGAGCLVLHHQQRLRINEGRRTVREGLR >LPERR04G08380.1 pep chromosome:Lperr_V1.4:4:10392477:10394075:1 gene:LPERR04G08380 transcript:LPERR04G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPTWSGTSAMSLVVGIAFTAAVAAAVANALTRRWRHRGLRLPPGPPGWPVVGNLLQVVFAGKPFIHYIRDLRREYGPIVKLQMGVRTLVVISSAELVHEALVEKGREFATRPAESPTRSIFSSNKLTVNSAVYGPEWRSLRRNMVSGMLSAARLREFRPARLRAMERFVARVRAEAAASSDGASVWVLRNVRFAMFCILLDMTFGLLDLDEDLVVRVDAVMKRVVLAVAARIDDYLPFLRPFLWRQHREALELRREQIDTVLPLINRRRGIVRDMQADVGGSPPPDPAVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATAIEWAMARVMDNPSIQSRIHDEIMDRVGDGRPVDDRDTEGMPYLQAFVKELLRKHPPTYFALSHAAVAPGSKLAGYDIPVDANLDIFLPTISEDPKLWVRPTEFDPDRFLAGGETADITGSAGVRMIPFSAGRRICPGVGMGTTHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >LPERR04G08390.1 pep chromosome:Lperr_V1.4:4:10409706:10411188:-1 gene:LPERR04G08390 transcript:LPERR04G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEVDQPPMQMVLRVKHPSSLGGGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKELEGMADPARKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >LPERR04G08400.1 pep chromosome:Lperr_V1.4:4:10412765:10414242:-1 gene:LPERR04G08400 transcript:LPERR04G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKFADSTNNVLIEEVNKGLNPGMIVLLAVSTFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >LPERR04G08410.1 pep chromosome:Lperr_V1.4:4:10419301:10421673:-1 gene:LPERR04G08410 transcript:LPERR04G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFTDSANNVIIEEVNKGLNPGTIVLLVITTLLLLFFVGNYALYMYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >LPERR04G08420.1 pep chromosome:Lperr_V1.4:4:10426539:10427604:-1 gene:LPERR04G08420 transcript:LPERR04G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSATINVVGAPKLTAFGYVVISLSSFIPVLVKQEVFKGLHTPFPSVKILAISVKFSSKEDMDKLMNLLHLFPLMETLHIKSLDVDEDNSGDIIGSTYYEKFHPIGCIMNHLNSVRLESKLANSNMLEFACFLLARAQVLQIMRIRSKTCGVPEWVTSQRALLCRSHMISSEAEILFQDMESYDLEGLSIQLANTLPDPFDGDHH >LPERR04G08430.1 pep chromosome:Lperr_V1.4:4:10428713:10437318:-1 gene:LPERR04G08430 transcript:LPERR04G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGARLGVVRAGAGGGGGGPSARSGGVDLPSVLFRKKDSFSRGTVSCAGAPGKVLVPGGGSDDLLSSAEPDVETQAQPVESQIPVDKVKSVAEEEDISTVAEASIKVGAEDKLESSEAIQDIEETVIDGVTKEAEKQIVEEKQRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGANSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLTNGSVIPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADLPHDNRPCSFSVYTPSRTAVVYALTED >LPERR04G08440.1 pep chromosome:Lperr_V1.4:4:10439258:10443240:-1 gene:LPERR04G08440 transcript:LPERR04G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASPPRTVICVGDLHGYISKLESLWANLQSSLPADAFATALVVFLGDYCDRGPSTREVLDFLIALPSGHPSQRHVFLCGNHDLAFAAFIGALPPPPDGSPFSATWGEYIHNEVNEGWYRGPGYEGMHAQGRRWGGIMKEKRNPKRGSSYLGSIYDARPTFESYGVAHGSPDLVNAVPEEHKKFLHDLVWIHEEENVPIDTDEGQLICKLIAVHAGLERSIDLNEQFRVLRTKDTKVSKIAMLSGRQDVWNIPKDLAGEQTIIVSGHHGKLHMDGLRFVIDEGGGYADRPVAAIVFPSKELIRSTEGTTSQN >LPERR04G08450.1 pep chromosome:Lperr_V1.4:4:10443569:10456606:1 gene:LPERR04G08450 transcript:LPERR04G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQVAAPPRLACPARPAASRGRRKGSLPCPPNSLAPGHGHTGARHDHAVRRPGGDDAAAGGRIVNGEAHAPEPASAKAPHRMAQRRGPHDVEEEAWGLLRELVVHYCGCPVGTIAACDPNDASPLNYDQVFIRDFVPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSTLLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIISSLATSHQSDAILDLVEAKWSDLVAEMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMNRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNALNRMTDASNLKRRRGRKGLKKTYIV >LPERR04G08450.2 pep chromosome:Lperr_V1.4:4:10443569:10456621:1 gene:LPERR04G08450 transcript:LPERR04G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQVAAPPRLACPARPAASRGRRKGSLPCPPNSLAPGHGHTGARHDHAVRRPGGDDAAAGGRIVNGEAHAPEPASAKAPHRMAQRRGPHDVEEEAWGLLRELVVHYCGCPVGTIAACDPNDASPLNYDQVFIRDFVPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSTLLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIISSLATSHQSDAILDLVEAKWSDLVAEMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMNRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNALNRMTDASNLKRRRGRKGLKKTYIV >LPERR04G08460.1 pep chromosome:Lperr_V1.4:4:10452980:10455556:-1 gene:LPERR04G08460 transcript:LPERR04G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVELVDETKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIAVGKLPISSSEIVESVSLKQALRKMCISQASEMAAMKRMSKPTAVPNTPEAGVIKKLYSSIVVQTNEEQQDEMNKFGKVTVLPEKDVISSSMKSTEAKNKVTEAKNKVRNKSPARKNVRSASPTTTKVQKTRIQDVINNKSSEASEDPPVVAKQRKGKAKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAVVSHKPCEAKGSDSEANKKQEALQDEPRTPAPINKKAAVSSINADGADCGNKGYGVGGIHGSKPGELSRSKEKGECSQSSKSSMGDYSTSTSISGDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPSLYSYFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRARRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTTTRKKKEGKCLEFRLNGDDIEFELF >LPERR04G08470.1 pep chromosome:Lperr_V1.4:4:10455673:10456470:-1 gene:LPERR04G08470 transcript:LPERR04G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSHFVFVFAPRDVCALLLRLKFQLFSKQNPPFLPFSLSKSTVLLVGAPATNPSAAAVLPPGPVMPVLDPGKLPLWSPNCRQPLVLT >LPERR04G08480.1 pep chromosome:Lperr_V1.4:4:10471793:10475333:1 gene:LPERR04G08480 transcript:LPERR04G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHTIGPSLQRRSPQPHKRRTSWGNLSRKPSPPPVASPLHFHDAPPVARRTRLLEFGFELPHRIVRRREVDSQETMEETILVGDDLMRGIPSPVIPKEIASHVLDGVEICDGLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEQAKERSTQLQSEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALNRGMEKRGSQSSPEQGTTPAVKKTWFFW >LPERR04G08490.1 pep chromosome:Lperr_V1.4:4:10476145:10477309:1 gene:LPERR04G08490 transcript:LPERR04G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRPCAAALLLATAAFLVATVGAQLSPGIKPGDPILTDPNVIFVDMRPGAPPTVVSCYNQSNPMSGPECTLAPTACPRACRDTCYVHCPSCKLVCLCDLMNTACYDPRFVGGDGNKFLFHGRKDTDFCLFSDANLHINAHFIGKRNAASSRDFTWVQALGIRFGGHRLYIGVRRTATWDAAVDRLVITFDGAPVELGTAPAASWSPASAPLLSVFRTGPANGVVVRLDGRFRIVANAVPVTEEDSRIHGYGVTAGDGSLAHLNVAFKFYYISADVHGVLGQTYRADYVSAGVDVGAKIPVMGGAGRYVVSDIFATDCEVARFAGEDGALASAVDATADALCGSGKGSAGLVCKK >LPERR04G08500.1 pep chromosome:Lperr_V1.4:4:10480360:10482115:-1 gene:LPERR04G08500 transcript:LPERR04G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCTTTPQVSGCFHLRLDTTREGKMTRRADDLRIAGTLVIISVVFFACAGADAEYVRPPPGRVIFTEHTKPASHPQQVHVSLAGANHMRVSWVTDDKHAKSVVEYGKVSGNYTSTATGDHTSYRYFLYTSGKIHHVKIGPLDPATVYYYRCGMAGDEFTLRTPPAALPFEFAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADTQQPLWDSFGRFVQRYASRRPWMVTEGNHEVEAAMPVPGSPRPFTAYAARWRMPHEESGSASGLYYSFDAAGGDVHVVMLGSYAGFNESSEQYAWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDVVFAGHVHAYERFTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHRLAPLSAMREASFGHGWLRVVNATAARWTWHRNDDAESTIRDEIWLESLAANAACRQGGNAAANSPNDEL >LPERR04G08510.1 pep chromosome:Lperr_V1.4:4:10491556:10491834:1 gene:LPERR04G08510 transcript:LPERR04G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLSELKQRLAKTAAATKANSNGNPTAGGRAPLPDLNSAPPAHGHDKGASKSKKAIAK >LPERR04G08520.1 pep chromosome:Lperr_V1.4:4:10499947:10506393:1 gene:LPERR04G08520 transcript:LPERR04G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMTLATASLRNVGAWHRSGVKAAEIKTAATIRHVSEATYNSGAAQRLRNILFVAKT >LPERR04G08530.1 pep chromosome:Lperr_V1.4:4:10504653:10506137:-1 gene:LPERR04G08530 transcript:LPERR04G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHEFFPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYMREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVNPPSSRDYFSTRRFAAENELGLPVAAVYFNAQRETAARRR >LPERR04G08540.1 pep chromosome:Lperr_V1.4:4:10511845:10512024:-1 gene:LPERR04G08540 transcript:LPERR04G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLSDVVLGGSDSSSPAYGCSGSSCRPAAPAPPSRSSATPARLLGTSPAFFPIAAAQ >LPERR04G08550.1 pep chromosome:Lperr_V1.4:4:10523209:10524139:-1 gene:LPERR04G08550 transcript:LPERR04G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLEIINLFIRRDKIELRCIRINLTTVAYIDGYTQKIGDQREFFNLRRSFEYLEPLMEDLFATRTLVFFI >LPERR04G08560.1 pep chromosome:Lperr_V1.4:4:10540264:10544378:1 gene:LPERR04G08560 transcript:LPERR04G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRCRLVRLCSRHASFASALAAAHAPAHADASISTSDSDLDPESRVPADSEPLRCRMRALAAEGNLFAALDALGRLRPAAAAPDCNALLHAYLRSERPDAERVAAVISHMRRFGPAPNALTFNTAFNGLLRLGHLHAAHAVLEQMWSGCGFTPSFTAVDRLIKKALGCSDSDLALKVFDLMLSLCYLPTLPVANAVVSVLLKSGGSVTAYEVFMVLVNRSFVPDKYIYNQILFGLCKSGCGNKALALFCNLKKRGLSLNVYSYTALVLGFCKEKMWGEAFRTMAKMCDEGCKPSVVTYTVVVDFLCKDGKTDDAMHVFRMACQEGCCLDSTICNVLLHALCCEDRILEARGIVDLMEEAGLVPDYFTVSSLAAGFLKAGHVKTCQNFIRMVKKALDLVSGMMERGFLPSTATCNTILKGFCMELDLQRALQMLDHFGSTGILYDSVSFNTILSAACRQQNASVIRMVLYRMYVEGINLDTISMTCLLRYFHRCGSNRKRTMDFIHGVVVSLAIEGTQSTG >LPERR04G08570.1 pep chromosome:Lperr_V1.4:4:10555902:10560837:1 gene:LPERR04G08570 transcript:LPERR04G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVKRPPRPAPASSGGMARKVVLAMAVFLPVLLYQKLQPPPPKICGIPGGPPVTGTRTRLKDGRHLAYLESGVPKEKAKYKIIFVHGFDSCRLDALPISQELAQELGIYLLSFDRPGYAESDLNPASTEKSIALDIEELADNLQLGPKFYLIGFSMGGEIMWSCLKHISHRLAGAAILGPVGNYWWSGFPANVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRPYMQQIRQQGEHPCLHRDMMVGFGKWSWSPLDLEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVRSLLLGD >LPERR04G08570.2 pep chromosome:Lperr_V1.4:4:10558608:10560837:1 gene:LPERR04G08570 transcript:LPERR04G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVVLAMAVFLPVLLYQKLQPPPPKICGIPGGPPVTGTRTRLKDGRHLAYLESGVPKEKAKYKIIFVHGFDSCRLDALPISQELAQELGIYLLSFDRPGYAESDLNPASTEKSIALDIEELADNLQLGPKFYLIGFSMGGEIMWSCLKHISHRLAGAAILGPVGNYWWSGFPANVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRPYMQQIRQQGEHPCLHRDMMVGFGKWSWSPLDLEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVRSLLLGD >LPERR04G08580.1 pep chromosome:Lperr_V1.4:4:10562116:10563575:1 gene:LPERR04G08580 transcript:LPERR04G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVDEMPEPGTDHPAEPCMAVGDSGDQLSPTTEEVISPPVAAETTTTSDHHEAARPEQSVERSTSESESEEQVPVPMPMVMAKEKQQEQEQQKEGAQVEEESARERLKRHRLEMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDHRTATTPAASSPLREISVELMSSVRSMEI >LPERR04G08590.1 pep chromosome:Lperr_V1.4:4:10566497:10566727:-1 gene:LPERR04G08590 transcript:LPERR04G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLTVARSRYLASSLTATVTSRGVMRQADRSKHSTATAGTRTREGVEASEQGKASHPVGGVWFGPLDWGGKGGLV >LPERR04G08600.1 pep chromosome:Lperr_V1.4:4:10573041:10580439:1 gene:LPERR04G08600 transcript:LPERR04G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGTSPPACRLFLLVLSVIITDQVLAASAQGMSIGINYGQIADNLPSPSRVSRLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYQAIVALGLQGQVNVTTAHSLDIMGNSYPPSAGTFRPDVVPYIQPLLSFLSMARSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVADANTGLNYDNMLYAQIDSVYAAMQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGIYISNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGKPVYDVGLRGYLPPMDESKSARKVVSLLALVAIASITLILS >LPERR04G08610.1 pep chromosome:Lperr_V1.4:4:10580571:10583073:1 gene:LPERR04G08610 transcript:LPERR04G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGNAIFVLLLCTFFLASLACDGGGAKFGYTGPIAPVYWGNLSANFTRCATGKQQSPINIKTSDVVRDMNMEPLHRNYTTANATLVDNIFNIALRCEDAAGAVSINGKKYRLKQMHWHSPSEHTINGQRFPLELHLVHSDDNGNITVVAFLYRFGRPDPFFSQIQDKMAELYAEGCKAEKGTPIPAGSVSLLPLRQHVHMYFRYVGSLTTPPCTENVIWNIPSRVREMTREQAAALMAPLEEGYRHNNRPTQRMNGRTVQFYHRFWKSTEKNSP >LPERR04G08610.2 pep chromosome:Lperr_V1.4:4:10581120:10583073:1 gene:LPERR04G08610 transcript:LPERR04G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGNAIFVLLLCTFFLASLACDGGGAKFGYTGPIAPVYWGNLSANFTRCATGKQQSPINIKTSDVVRDMNMEPLHRNYTTANATLVDNIFNIALRCEDAAGAVSINGKKYRLKQMHWHSPSEHTINGQRFPLELHLVHSDDNGNITVVAFLYRFGRPDPFFSQIQDKMAELYAEGCKAEKGTPIPAGSVSLLPLRQHVHMYFRYVGSLTTPPCTENVIWNIPSRVREMTREQAAALMAPLEEGYRHNNRPTQRMNGRTVQFYHRFWKSTEKNSP >LPERR04G08620.1 pep chromosome:Lperr_V1.4:4:10583579:10583776:-1 gene:LPERR04G08620 transcript:LPERR04G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWILAYDRAVVPLVRRLAKGITMLQRIGAGMVTHRLHSPARAWKNKKGKKGERKEIPTCRTHS >LPERR04G08630.1 pep chromosome:Lperr_V1.4:4:10585369:10586157:1 gene:LPERR04G08630 transcript:LPERR04G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLFCGGGGEAGSRVACETVELTGCSAAGDQRDVFKAHAIDTDAPAESRCVRIGDGAAVWAELPGGAVLERDGSTKGSSNPKAVAASGKGKRGPWRLPTAGKTAVVIGGLPARKMVAQKRRRSPCLGRGWRRPAAGARVFASEAVETDPGSPKVSCFGAVRSERSTAATPASAPAVGEDEEMSSGCWVGVAAALHHLLCGCSNRQEGELESNDLNATAPATPAATALSPPRTVVVGLGDMKRLASRRWTEAMAVQGPVSAA >LPERR04G08640.1 pep chromosome:Lperr_V1.4:4:10586995:10588383:1 gene:LPERR04G08640 transcript:LPERR04G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGEARGGSGGAHATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAAAAGQKKGEETQPPQEDKPISREWKRARPPPLVVPGSAKKPAPAALVVPGSAKKKAPAGKVDSGLEVINAWEIMAGLEDADAADGSPPAKKQAKQPSRWSPARVLAMALPSPKKSATKKRNTPGKENSPMQRCSGNNTNNKTGEVDVDRILRPYNSIDNSKLLRASKRFSPASARIVRKPGPPETGGGGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGGGKGVRVPAVFVRGRHVGGAAEVSKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDDGERKKETVQCGECNENGLVRCPICS >LPERR04G08650.1 pep chromosome:Lperr_V1.4:4:10592241:10601481:1 gene:LPERR04G08650 transcript:LPERR04G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSEAALHESSGIPWGVAVTPFSAADERGAPPATGAEGHLLPRCGSCYAYFSTLCPLHRWSWSCAICNADNDLSPDDSARYSRDGDQDPPEMRSAFVDLLLPEEEGEAAVATTTPVYVAAVDLSSSEEFLELVKSALLAALEAISPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLHSFLAPVDSCKDRIAEALETIKPTSSWEITATASEVQDHSLHHARGFGLAMDAVVNYLCSEYGSTFELARIFTFLSGPPNYGPGQIEIRSDEDHNAAKMPGSDDTFISEQTNFYSNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYLYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFYPDPQYMNVQHINCCDSFATYVYDFEFQKDSQFSRKSNPPILQIAFKYTTIVHQSDTSDDVSNSIIRSKFTLQRRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLSDVLEAKIWLRDWLVNAIAQYNKAYKIVSSGGTGMPDIDVDFIHCSQLKPLSRLVFAFLLSPLLRLHGQSIQPDYRTYLQCLFSALEPSSLRQAICPTLTSYTSPDVEAEVHQSISRSVFNSESVIFLLDAYTDLVVYYSPTASSTIPFPPPRLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQAVDGSLVASATGFRTFLDGIRSKIADYS >LPERR04G08650.2 pep chromosome:Lperr_V1.4:4:10592241:10602517:1 gene:LPERR04G08650 transcript:LPERR04G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSEAALHESSGIPWGVAVTPFSAADERGAPPATGAEGHLLPRCGSCYAYFSTLCPLHRWSWSCAICNADNDLSPDDSARYSRDGDQDPPEMRSAFVDLLLPEEEGEAAVATTTPVYVAAVDLSSSEEFLELVKSALLAALEAISPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLHSFLAPVDSCKDRIAEALETIKPTSSWEITATASEVQDHSLHHARGFGLAMDAVVNYLCSEYGSTFELARIFTFLSGPPNYGPGQIEIRSDEDHNAAKMPGSDDTFISEQTNFYSNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYLYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFYPDPQYMNVQHINCCDSFATYVYDFEFQKDSQFSRKSNPPILQIAFKYTTIVHQSDTSDDVSNSIIRSKFTLQRRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLSDVLEAKIWLRDWLVNAIAQYNKAYKIVSSGGTGMPDIDVDFIHCSQLKPLSRLVFAFLLSPLLRLHGQSIQPDYRTYLQCLFSALEPSSLRQAICPTLTSYTSPDVEAEVHQSISRSVFNSESVIFLLDAYTDLVVYYSPTASSTIPFPPPRLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQAVDGSLVASATGFRTFLDGIRSKIADYS >LPERR04G08660.1 pep chromosome:Lperr_V1.4:4:10600407:10605163:-1 gene:LPERR04G08660 transcript:LPERR04G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHNSHRAASHATRSLLPHGAAPRALAMATATPTPIPHRTVSSQTLTLIPHISRRGCGGRVPAGARALALTAAPSSASVRSFRLRASRAPSLFDEAFPYVAAEWETIAKGWVCATAAVYCLSRAVPAAGRLPRALAAYCGAGGAEMMKGGLALAGLAAARSAAAYAQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGRGGLSAGDIAHRINDEADDVADAVYSVLNTIVPTSLQLIAMSHQMVTINPLLSMVAATVIPCMWLVIASLGKRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEILRFRKLVIDDLKTNLGKKKMKALIPQVVRATYIGGLVVLCAWSISVSGTSFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALERIFDLTRFIPEAGDKASAVHLQSVKGDIKFNNVMFRYIDGMPPILDGVNLHIRSGETIAFAGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIQDIQLRCLRTHIAFVSQDAMLFSGTIAENIAYGDPMGSINMNKVEGAAKIANAEEFIKMLPEGYNSYVGQKGSILSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSELLLKEALTNLMANHTVLIIAHRLEMILMADRIVLLEGGKLREITKSAFLSRDNQFSSLQGNSPKLGETNRHTVPVSRCVCSCNIQSRSGYDCPSSPARTGTLPPRIQCR >LPERR04G08660.2 pep chromosome:Lperr_V1.4:4:10600925:10605163:-1 gene:LPERR04G08660 transcript:LPERR04G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHNSHRAASHATRSLLPHGAAPRALAMATATPTPIPHRTVSSQTLTLIPHISRRGCGGRVPAGARALALTAAPSSASVRSFRLRASRAPSLFDEAFPYVAAEWETIAKGWVCATAAVYCLSRAVPAAGRLPRALAAYCGAGGAEMMKGGLALAGLAAARSAAAYAQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGRGGLSAGDIAHRINDEADDVADAVYSVLNTIVPTSLQLIAMSHQMVTINPLLSMVAATVIPCMWLVIASLGKRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEILRFRKLVIDDLKTNLGKKKMKALIPQVVRATYIGGLVVLCAWSISVSGTSFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALERIFDLTRFIPEAGDKASAVHLQSVKGDIKFNNVMFRYIDGMPPILDGVNLHIRSGETIAFAGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIQDIQLRCLRTHIAFVSQDAMLFSGTIAENIAYGDPMGSINMNKVEGAAKIANAEEFIKMLPEGYNSYVGQKGSILSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSELLLKEALTNLMANHTVLIIAHRLEMILMADRIVLLEGGKLREITKSAFLSRDNQFSSLQGNSPKLGEV >LPERR04G08670.1 pep chromosome:Lperr_V1.4:4:10607525:10608115:-1 gene:LPERR04G08670 transcript:LPERR04G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPSLHYKAQDEPRLDPRPATHYSRPFLPSSGGRGLDLLTEALGAESFDPDDDDVADAMGDVDHQHLVDGCRSPPYCKRPPHHAILGGFDVDNDDDNQHAVMSLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREGGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAAPARRAGPPRVGQGREGHGALES >LPERR04G08680.1 pep chromosome:Lperr_V1.4:4:10608715:10611504:1 gene:LPERR04G08680 transcript:LPERR04G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTALVLVALLQMTAVAVVRASHVVYPELQSLEAKQVDGTLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALEPGIYPSKTFDVKGCWSGSATVLPSGVPAIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIIDPDSGINASAFRDPTTAWYGPDGHWRLLVGSKVGMKGLAVLYRSRDFRNWVKAHHPFHSAQTGMWECPDFFPVAVAAEEGGSSGQNRRRHHYRRGVDTAALRDAAVAEEVKYVLKVSLDLTRYEYYTVGRYDHATDRYVPDAAFQDNDFGLRYDYGDFYASKSFYDPAKSRRIVWGWANESDTVPDDRQKGWAGIQAIPRKLWLSPDGKQLVQWPVEEVETLRGKHVNVSDKVIKNGNYFEVTGFKPVQSDVELAFAIKDLSKAEKFDPSWRTDAQALCKKLGSDVKGGVGPFGLWVLASGDLKERTAVFFRVFKSNDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAIGDDAHLFVFNNGDSDVKITNLDAWEMKTPKMNTQQ >LPERR04G08690.1 pep chromosome:Lperr_V1.4:4:10614314:10618457:1 gene:LPERR04G08690 transcript:LPERR04G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIQPSIKGDKYGCWSGSATMMPDGTPVIMYTGVNRPDVFYQVQNVALPRNRSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGKSRGVAYVYRSRDFRRWTRVAQPLHSAATGMWECPDFYPVTADGRGEGVDTSAVVVDGVAGAAARMKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDDHHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRAKKPVTLKSRVVKAGEHVEVTGLQTAQADVEVSFEVGSLEAAERLDPAMAYDAQRLCSARGADARGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGKHVVLMCTDPTKSSRNPNLYQPSFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNAHLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >LPERR04G08700.1 pep chromosome:Lperr_V1.4:4:10624002:10627141:-1 gene:LPERR04G08700 transcript:LPERR04G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEDNVTLGPTVREGEYVFGVAHIFASFNDTFIAWGCGVAFIAISVSYLVSNLQHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >LPERR04G08710.1 pep chromosome:Lperr_V1.4:4:10761868:10765445:1 gene:LPERR04G08710 transcript:LPERR04G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQCPLQFVIPCIHPMTLFIFRHKSHRDEQLWITKYQQ >LPERR04G08710.2 pep chromosome:Lperr_V1.4:4:10761623:10765445:1 gene:LPERR04G08710 transcript:LPERR04G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQEFVAWVGPRQSGWVVASSNGYGPRWVQCPLQFVIPCIHPMTLFIFRHKSHRDEQLWITKYQQ >LPERR04G08710.3 pep chromosome:Lperr_V1.4:4:10761868:10765445:1 gene:LPERR04G08710 transcript:LPERR04G08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSMQWAIGFLCEKNEARARYSEVRGVFFMDVLLTYSYLLTITSIATLTGLILKFQQSYVLLCAHTVRYIFLTLGRVCS >LPERR04G08710.4 pep chromosome:Lperr_V1.4:4:10761868:10764825:1 gene:LPERR04G08710 transcript:LPERR04G08710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQEFVAWVGPRQSGWVVASSNGYGPRWVQSCGGKRLAAMRWSMQWAIGFLCEKNEARARYSESRSNAWGIN >LPERR04G08710.5 pep chromosome:Lperr_V1.4:4:10761623:10765445:1 gene:LPERR04G08710 transcript:LPERR04G08710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQEFVAWVGPRQSGWVVASSNGYGPRWVQCPLQFVIPCIHPMTLFIFRHKSHRDEQLWITKYQQ >LPERR04G08710.6 pep chromosome:Lperr_V1.4:4:10761623:10764825:1 gene:LPERR04G08710 transcript:LPERR04G08710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQEFVAWVGPRQSGWVVASSNGYGPRWVQSCGGKRLAAMRWSMQWAIGFLCEKNEARARYSESRSNAWGIN >LPERR04G08710.7 pep chromosome:Lperr_V1.4:4:10761868:10764825:1 gene:LPERR04G08710 transcript:LPERR04G08710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAPLALQGPSPPHLRLSHLRPVGPPAAVVPAPPALQSCGGKRLAAMRWSMQWAIGFLCEKNEARARYSESRSNAWGIN >LPERR04G08720.1 pep chromosome:Lperr_V1.4:4:10767167:10767922:1 gene:LPERR04G08720 transcript:LPERR04G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYRPRRSPASERFIGMFASPSPSSSPTEPSFVAGDEFHEDDFLFSSSPAAAAPPSPSGAAPGSPSRVTQGQLGLLAALHEGDKRLLLRRGGGVGGGGSAAAAAAASAGSTLLRRKATIAAAAASASGGSSLSPTPSPTSSSAARAIPMTPRPKNAGPAAPYHQSAPVKVPVHPPRKQEMVKWDELDDDDFLRNGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >LPERR04G08730.1 pep chromosome:Lperr_V1.4:4:10770712:10775446:1 gene:LPERR04G08730 transcript:LPERR04G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPIRLQVEPMGGVAAAAGAPEIFAGGARGAVRRAVVIGNGCAGAENQCLGLVRALGLADRFMIYRVIRPTGGINKWLHFLPISMHKLVDRVLRQMFSNDRFATLFQGKSLAQYTVCNDQAFGLSSILEADTKKIVSMVNDTFEKEGLALVVACGRDTISYANSIRCLAPDNVFVIQIQHPRFRLDRFDFVVTPRHDYYALTARGKQEFPYLLWRWITPRKPPGPNVVLTVGALHQADSAALRIAASEWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKQLVNSLHNALKTCGSVRVSFSRRTPHKVSDLILKEFSTHPKVYIWNGEGSNPHLGHLAWADAFIITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNTLHERGAVRPFTGLEDMSENWSYPPLNDVIDVAARVREVLAERGWTVG >LPERR04G08740.1 pep chromosome:Lperr_V1.4:4:10777865:10782971:1 gene:LPERR04G08740 transcript:LPERR04G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSGRSGSQTTQQQQQTSAVAREEELLPQPHHNPSELLASDDITDHLLEDAANMNNSNKPLRLDDPSSESSSTAEERAVREKPPKDDSNVIDPAFLVEELTGLQFTDQFEQGNSVQSGIGSPQIASTAPHAPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPQVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYTSSYYDSERERMFEHDLRRVRGFEIKKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYDTDVPPIRLSYHHGNHYNSVVDPRRLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQEQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRMGEECFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >LPERR04G08740.2 pep chromosome:Lperr_V1.4:4:10777864:10782971:1 gene:LPERR04G08740 transcript:LPERR04G08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSGRSGSQTTQQQQQTSAVAREEELLPQPHHNPSELLASDDITDHLLEDAANMNNSNKPLRLDDPSSESSSTAEERAVREKPPKDDSNVIDPAFLVEELTGLQFTDQFEQGNSVQSGIGSPQIASTAPHAPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPQVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYTSSYYDSERERMFEHDLRRVRGFEIKKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYDTDVPPIRLSYHHGNHYNSVVDPRRLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQEQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRMGEECFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >LPERR04G08750.1 pep chromosome:Lperr_V1.4:4:10784021:10784514:-1 gene:LPERR04G08750 transcript:LPERR04G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISKRVSPSTCIVFMSAIDCLRFCRQGLRAETGLVFSVFFLHLLPVCLRLLQEHTHVPKSERYADTYSILVEETEVNVGK >LPERR04G08760.1 pep chromosome:Lperr_V1.4:4:10794836:10798844:-1 gene:LPERR04G08760 transcript:LPERR04G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSLPTPPPHRPFFSMPGKADEGYNGARVEQPLEIRPPSPSPMGLAAAAQIRSRKRLGGEVWLPKKELHQHNA >LPERR04G08770.1 pep chromosome:Lperr_V1.4:4:10798929:10800205:1 gene:LPERR04G08770 transcript:LPERR04G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAMTSRMPDPVADAESGGLKFGARQTQRWGALVDTPAVSDLGWIGDVVNDNRTGLAVGSESSTARFSLSVHIHGYFPPFISPTAASDGDPPTAATTTGILGFFPALLAIGGWYRVSEPLGSSEYYYSTLHDF >LPERR04G08780.1 pep chromosome:Lperr_V1.4:4:10812748:10814842:-1 gene:LPERR04G08780 transcript:LPERR04G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRWIVHFFIWAIAFVSFLNQALLFVFPFFLFGDGGDDALLFCALLAAVVAATFRRGFQQLVEDIKDLPVVELAEKRQRKPIKNNTTPKG >LPERR04G08790.1 pep chromosome:Lperr_V1.4:4:10817144:10818238:1 gene:LPERR04G08790 transcript:LPERR04G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLREASRRLASRREYPAAYTRVTRPLLLTHSRGITYRLFIGGLSHFATEDSLAESFSQYGQVLEATIVTDRMTNRPKGFGFVKFASEEEANKAREEMNGKARSLKAL >LPERR04G08800.1 pep chromosome:Lperr_V1.4:4:10819145:10820068:-1 gene:LPERR04G08800 transcript:LPERR04G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTIEDLHGDVLARALRRLDGRSLAAASCATASLRALAADPDTWRALCLAEWPSLASHPRLLSAVSPRRLFAEAFAVVLGGCKFEATVTCSSSSEGAAGHVTEVSLAVQDADGAAASGERSLRLLVAAMEEQRIGGSGERQREEAKRRYDEFLRRKKGRKESKVRREALIDLCCSAASAMAVLCFLAAVVLR >LPERR04G08810.1 pep chromosome:Lperr_V1.4:4:10825090:10828684:1 gene:LPERR04G08810 transcript:LPERR04G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTVSGLAGATLARRPAFSTSFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSIPAINGNSLTGLFFSSIGQELSHFPTPPALDSQFWLWLVTWHLGLFLALTFGQIGFKGRTEDYFDK >LPERR04G08810.2 pep chromosome:Lperr_V1.4:4:10825090:10827492:1 gene:LPERR04G08810 transcript:LPERR04G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTVSGLAGATLARRPAFSTSFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSIPAINGNSLTGLFFSSIGQELSHFPTPPALDSQFWLWLVTWHLGLFLALTFGQIGFKGRTEDYFDK >LPERR04G08820.1 pep chromosome:Lperr_V1.4:4:10832707:10834362:1 gene:LPERR04G08820 transcript:LPERR04G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAALRPSPSVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDALATPAVAASLVSHADAVPVRLRNAVISSLARHAPLHDALAQFRLLLRRGGAASSRPDAFSFPPLICACARAACLPAGVSLHAAAIRLGLDADLFVRTALVQFYGRCGATAAARVLFDSMEIPSEVTWTAIITAYISAGDISSARELFDQMPHRNMVHWDAMVDGYVKCGDLEGARKLFDEMPERTQAAYTSLIGGYLNAGNMGAARLLFDKLDDRDLFAWSTMISGCAQNGYPGEALRIFNEFQMQGICPDELVIVGLMSASSQVGNISLARWIEDYIMIYPIDMNNVRVLASLINMNAKCGNMDRATLLFESMPVRDVFSYCSMMQGHCLHGSASKAVELFSQMPLEGITPDNAAFTVVLTACSHAGLVEEGKRYFNMMKNECMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGESHAGAWGALLGGCKLHCDIELGKIAAKKLFEIEPDNAGNYVSLSNIYANIDRWGNVSEIRDEMTGRGITKIAGRTLVLQ >LPERR04G08830.1 pep chromosome:Lperr_V1.4:4:10841309:10842074:1 gene:LPERR04G08830 transcript:LPERR04G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLGRGYLLGMSRSNKKSSSRGLDLKLNLSLPARGDSSSRRGAMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNSNNNNNVNSNSGRKSRRG >LPERR04G08840.1 pep chromosome:Lperr_V1.4:4:10851107:10852126:1 gene:LPERR04G08840 transcript:LPERR04G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFNKLKIKRTTRAAAAAGGGAAAAAAVAKKGGLVGILCKLRDAHHRAAPPASSSPHCHGGRHGLCYPPAPSSWPWPSCRHPRTSSFRWPSPAAPQEEDDAVYRTANAVYDTSSERRRSSSMDEAAAAPEAPEEEEKELRLRETAVVRGVRSDRLFFDPAAAEFLPKNEPPPPTTTTTPPTTTTTPPADADDKATAMNMEIDNASTTITTSPADGKNESAAAAVMKGGATVLTVESEDPYGDFRSSMAEMVAAHGLRDWEGLEELLAWYLKLNAKGAHGVIVGAFIDMLVGLASSSSLISQPSLPSPSPSSSCITFEDYSSATMEEESCRS >LPERR04G08850.1 pep chromosome:Lperr_V1.4:4:10857628:10860231:1 gene:LPERR04G08850 transcript:LPERR04G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEFLTKALTVLFGYAIPALECFKAIEQRPGRTDQLRFWCQYWIILVILVIFDDIAGVLTSNIPMYSELKLAFLVYLWYPQTRGTDIVYETFLRPLVMQYEPSIEERLRYLRANAGDILIFYLKNFTERGYDLFLRGMEYIRSQTSRGSRTRRWFSFGGDRAERSSYVDDYVAGGGDRRSAVRHRRHHDDY >LPERR04G08860.1 pep chromosome:Lperr_V1.4:4:10860214:10860720:-1 gene:LPERR04G08860 transcript:LPERR04G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHSQPLLSHHHHNLHVRRVDNNGSDDDEYLSSGSGGDLRLPPITSRRPHSYDDLVQLSARNDDVDHIDAFFKRCHTTPGYVSFEDVIDSEEFREGSSRRCRPEAGISDPLVRATSRLYARGHPGHRRRKSPGPLGTRRGGVMYRFVKKYVCPCLAFVPAIFGKTG >LPERR04G08870.1 pep chromosome:Lperr_V1.4:4:10864035:10865051:1 gene:LPERR04G08870 transcript:LPERR04G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGMGDDGMGEPMAMTPPPRSGHAPPQQQKMAGMMMHMTFFWSDRAVVLFRGWPGERGAGMYALCLLFVLALAALTEGLAGGPVEGVFSEVYEVMITLLDCDAN >LPERR04G08880.1 pep chromosome:Lperr_V1.4:4:10866434:10867481:1 gene:LPERR04G08880 transcript:LPERR04G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRGVIVVAILVMVAVAALVRGADGAGECGATSPDKMALKLAPCASAAKDPKSTPSSGCCTAVRTIGKQSPKCLCAVMLSNTTRSSGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >LPERR04G08890.1 pep chromosome:Lperr_V1.4:4:10878226:10881194:1 gene:LPERR04G08890 transcript:LPERR04G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRDTYPVTLPGLRCVSRCYVYQTQVVSPCSVAQQRATCHELPPHDATYGLPRHQAQNAPTPWPNSILAVHAHLILLHPISSCLRVPTSPPLLLLPRARSTPPSPTNPTVKKSVHGGRRGSPPRQPCRAAHGEAPPPVPTAEDSVSPASTAVAEARRRAGDEGGGGQDGGGDHREVRPRVRPLESVQLQGGGGKRGGEEVEDGAGEGATGKVRRRVPGHIDHALPHLLHALLPPHQRRRRRPGPPRQGWDCDGGDRREGGDVRAGVRGAQGGVADQVPADGGADAGRGKLDWEDQERGRLIDPSIDQVGACHQSVNLF >LPERR04G08890.2 pep chromosome:Lperr_V1.4:4:10878463:10881194:1 gene:LPERR04G08890 transcript:LPERR04G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRDTYPVTLPGLRCVSRCYVYQTQVVSPCSVAQQRATCHELPPHDATYGLPRHQAQNAPTPWPNSILAVHAHLILLHPISSCLRVPTSPPLLLLPRARSTPPSPTNPTVKKSVHGGRRGSPPRQPCRAAHGEAPPPVPTAEDSVSPASTAVAEARRRAGDEGGGGQDGGGDHREVRPRVRPLESVQLQGGGGKRGGEEVEDGAGEGATGKVRRRVPGHIDHALPHLLHALLPPHQRRRRRPGPPRQGWDCDGGDRREGGDVRAGVRGAQGGVADQVPADGGADAGRGKLDWEDQERGRLIDPSIDQVGACHQSVNLF >LPERR04G08900.1 pep chromosome:Lperr_V1.4:4:10879402:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQTEVENLYIQEQALDRSISEIKEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARAYLIDRWIDQSPPFLIFPIQFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVLDVDAGADEEVAEREGDEGERDRCGQRPNSRPYFSVISSAVLASPSFVSCTAASLRHRGGGRRD >LPERR04G08900.2 pep chromosome:Lperr_V1.4:4:10879402:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQTEVENLYIQEQALDRSISEIKEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARAYLIDRWIDQSPPFLIFPIQFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVAIPTCNKKSNQATAEREGDEGERDRCGQRPNSRPYFSVISSAVLASPSFVSCTAASLRHRGGGRRD >LPERR04G08900.3 pep chromosome:Lperr_V1.4:4:10879812:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQTEVENLYIQEQALDRSISEIKEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARILDETYSPEFTQALPPSLSYYLFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVAIPTCNKKSNQATAGEPEIGKQEEEDGDG >LPERR04G08900.4 pep chromosome:Lperr_V1.4:4:10879812:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQTEVENLYIQEQALDRSISEIKEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARAYLIDRWIDQSPPFLIFPIQFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVAIPTCNKKSNQATAGEPEIGKQEEEDGDG >LPERR04G08900.5 pep chromosome:Lperr_V1.4:4:10879812:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARILDETYSPEFTQALPPSLSYYLFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVAIPTCNKKSNQATAGEPEIGKQEEEDGDG >LPERR04G08900.6 pep chromosome:Lperr_V1.4:4:10879812:10884980:-1 gene:LPERR04G08900 transcript:LPERR04G08900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAPAAQHIVQSVQRSVPMPPARMRFAAPGDYHRFPLPPSPATASRDGGEMEEGIVIKSPTPLKRKTPCGDSEAAISSEHTMTNPGLTEGDGSSPGNPSTPASSSRYDNSLGLLTKKFINLLKQAQDGILDLNDASKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNFDSDVSCLQEKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTLEVPDPDEAGDYVQRRYRIVLRSTMGPIDLYLVSQFKKMEELGDTATPPRHASVPEPPSVETEAEQSSKQNMFLNVQQDIQKAPELNASHAFRGMKKITPSDVDADTDYWLLTDGDISITDMWTTARAYLIDRWIDQSPPFLIFPIQFATTGVSATVGGNLIGDAALCAAYASANVPTFPPVSPVAIPTCNKKSNQATAGEPEIGKQEEEDGDG >LPERR04G08910.1 pep chromosome:Lperr_V1.4:4:10892027:10895016:1 gene:LPERR04G08910 transcript:LPERR04G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAFSATTVPSAAAPLASRKVSVSAAPQPSLPFPRSFSAGKSLRLAAAAAGSRSARARSFVAWAGGEGDLPLVGNKAPDFEAEAVFDQEFINVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKINTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >LPERR04G08920.1 pep chromosome:Lperr_V1.4:4:10899487:10902898:1 gene:LPERR04G08920 transcript:LPERR04G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAPPSGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHGHHHHYYPPSLSNALVAAFKRAQAHQRRGSVETQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKSNVEQAVCTTTTTAATSAPPNQNPNPSTTNSPEIKASSKLPLLDHHHHHHPAARDEDVAAVLDCLARPCGHNNNNNNKRRFVVVAESTAAAEATVRAAVDRVKRGDIVSTRNHDALRGAQVVSLRVSSFRDMPREEAERRLAELRCVVKAPSRAQQAVLLVVEDLKWAAEYWAGHSTRSGGGGGYYCSVEHVVNEVRALASTCGGNGGMWVVGFGTYQTYMKCRAGQPSLESMWGFQTLAVPAGSLALSLTTTCAFDDSALGTVNQSMKASPDTDGNGPVSCWPLLGGSSHLISRCCGDCSAATTHDTKASLPRSFVSSSSLPSWLQHCRDQQQESTHFTDLGKTWGSICGAKPSQRMTLHFSAPVSPASSISSYEHGHTHNQPRQSWLLADLDAKHPWTKPKRESGANSSSDKNANNRSNDSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDKSRSTEAKEETWLFFLGGDAHGKERVARELANLVFGSRKSLLSVKLGAASSPSASGSTEEHHRSKRPKTMTSTASNACLERLYDAVSENPHRVIFVEDVEQGDHSWQVGIKEAIDRGVLRSQTGDEVGVGDAIIILSCESFEARSRAGSPLSKKMKVEKEDEAKEGQTSDHDRKEIEGVGASSCFDLNIDMESDQADELKSGDVCLLTAVDRALLFRRQEDL >LPERR04G08930.1 pep chromosome:Lperr_V1.4:4:10909001:10909739:-1 gene:LPERR04G08930 transcript:LPERR04G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKPHHDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRSIDLSDPAISLNLQVTIASRNPNERVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGDQVPVGQYVADAMKQDISAGYVLLHVKIDGRVKWKVGSWVSGGYHLFVTCPALLANAGGNVGGAFAMSAAAGGGVNSTVSLKFAQAADCTVDV >LPERR04G08940.1 pep chromosome:Lperr_V1.4:4:10912993:10913202:-1 gene:LPERR04G08940 transcript:LPERR04G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMPWNRRGARLRSPAAVVAPRSLRCCCLGFEDERSCGHPPPPLPRAVAQRPRPRRPHARSCRCRCKR >LPERR04G08950.1 pep chromosome:Lperr_V1.4:4:10913478:10913948:-1 gene:LPERR04G08950 transcript:LPERR04G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRQRLTPPLSELFGNPSCSKNGKTSDKLLLPLSKKASNILVVGSHADNLGYQCGSWTIEWQGDTGCITVGTTILDAMKAAVDSSTTTVVYAEIPDAAFIKNGGFSYVIVVVGEQPSTRTRKRRATT >LPERR04G08960.1 pep chromosome:Lperr_V1.4:4:10914536:10917884:-1 gene:LPERR04G08960 transcript:LPERR04G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKKRNQRRVLARRSAAPRSGDGKDFLPLEERPGKKRAREEPEEPENTSTVLYIGHIPHGFYEDQMQGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQLELVPPEKVHPKLWKGVRKGFVPIDRVAIERKRLSKDKTIEEHKKLVDRIVKRDEKRRKRIKAAGIDYECPPLIGSVQPSAKKIKFNED >LPERR04G08970.1 pep chromosome:Lperr_V1.4:4:10917900:10918201:-1 gene:LPERR04G08970 transcript:LPERR04G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVQAHIWAQILRLSYQNDTNTKTLTPLSPSRVHRRRLCSPLTLPHRLSPYSAAAAVPRRRSRSRQE >LPERR04G08980.1 pep chromosome:Lperr_V1.4:4:10919596:10923603:1 gene:LPERR04G08980 transcript:LPERR04G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPSAITGGGADGGGAFAFISKGWREVRDTASADLRQMRARADRELEHFLTQYSPTVRERGASRLRVDLSGITAFRDAIVAEGGAGGGSGRWKLARWKGHAGEDEGRKEWEVVRMIRSGLKEFERRSLSNEVFGGFRGRSEFVEKFKLSLKSLNKQSQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKLVETFYNKRNGWLMYHSLPADKSLIGNENMTAELDLRIARVLESTGYHTEESFWKDPAKHKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFGSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVICNVHGVNPKFLKIGEKIVADREHGQHTFSKGAYFLGKMVWAKGYRELIDLLSKHKGDLEGFSIDVYGNGEDSQAVQTAARKLNLSLHFYKGRDHADCSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMAGEPSPLTPEQRYNLSWEAATERFMEYSELDKVLNNRSCSGQDGKRRKMRKIPLLPKLSGIVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >LPERR04G08990.1 pep chromosome:Lperr_V1.4:4:10925413:10927450:-1 gene:LPERR04G08990 transcript:LPERR04G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMQSAAVETTTPTPVTATNAEVIGSGCGGWVVRLWARLRAMVEAAWRWVIGFAGRLARIAADDPRRVVHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGPQGEPILLAIFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAANLDKLAEFLEGMEAECFGEKVTSENLEGKTFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFRHPWGQYQRIGALSRQCASSMEAMASYIITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTNNSMSAAIKAAKALRSELSEDTAMLQVMHVAVTASLLSDLVTQVKKISESVDNLARLACFKTPEKSQKEVVINIMS >LPERR04G08990.2 pep chromosome:Lperr_V1.4:4:10925413:10927450:-1 gene:LPERR04G08990 transcript:LPERR04G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMQSAAVETTTPTPVTATNAEVIGSGCGGWVVRLWARLRAMVEAAWRWVIGFAGRLARIAADDPRRVVHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGPQGEPILLAIFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAANLDKLAEFLEGMEAECFGEKVTSENLEGKTFLQAYKSILNSKATEDSLTSSSKVVKRCIGTLFPKLQCNFARWEPGHGKFSFRHPWGQYQRIGALSRQCASSMEAMASYIITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTNNSMSAAIKAAKALRSELSEDTAMLQVMHVAVTASLLSDLVTQVKKISESVDNLARLACFKTPEKSQKEVVINIMS >LPERR04G09000.1 pep chromosome:Lperr_V1.4:4:10937138:10938376:-1 gene:LPERR04G09000 transcript:LPERR04G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTDGHGECPVTKQRLAPADREPTPNHTLRRLIQGWCAVHAVERIPTPRPPVDAARVAAIVDAARLKQKQQLMDSLRELADIVAESDRNRRCVQSTPGAVEFLVSVVKEDTWTEESSPEEAALGILHSLKLTEESLKRVLEASNGDDFLDAMARVLHRPSYLAQTHGLHLLKSAVSALPPARLASASGELVDAVVRAVAERNLSPKSIKLALHVLCRLCPWGRNRVKAVEAGAVPALVRVLLDGDRRSCEAAIMAVDHVCGCAEGRMELVSHPAGLAVVASCAAAGTRMSAGGTESAVRALHAVARHAATPAVLQEMVAVGVVARLLFLVQVGAGGERTRARAKEMLRMHARVWRDSPCLASHLNASYPR >LPERR04G09010.1 pep chromosome:Lperr_V1.4:4:10946292:10947146:1 gene:LPERR04G09010 transcript:LPERR04G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPSSGQPTTPRRQLQGPRPPRLNVRMESHAIKKPSGSTAAAAVAGNQQPARREQQQQPPPRAPVIIYDASPKIIHAKPNEFMALVQRLTGPGSVAPPPEDYPMGEAVPETEPAVGQPFFPPELLLSPSAAMSPAARLATIERSVRPMPDPAPEYIDIMNGGGVDDGGLAAILGSIRSGGILSPLPSSLPPAAVPGQFSPLPFDASCISWLNELSPILRAAGAGAGAASSGSGGNASNGGASRPPPSYYADPFVPSPRHLLATPTVPSPATFAELFSNLPDL >LPERR04G09020.1 pep chromosome:Lperr_V1.4:4:10951652:10956562:-1 gene:LPERR04G09020 transcript:LPERR04G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRTAVGGVGGEERRPSESDREDIFFDAFDDIISPREPSLSNDCSTSDEGLASKRFGYDIWAKEPTSVEERRQRFLKGMGFDEFVATRVDLSQHHGEISTVGSFTDLEEGSTIDISSMDSSVHENELVSDDSRCIRDIENGQRYAVQNDGYGELTSMLKEVASQNVMSLLEFEGLLGLSHSVQKLLRKVYSSCAEDKKRVLNGKKKGVKNLWKSFMKSRSFSGICKYDVDVKSCTMGIPSRTRVQHRKKKIVEFSAVHMGQEIQAHKGLIKVMKFSPSGWYLATGGEDCVVRIWQIMEVEASSKSYGGEYPHDCGDKITVVKTKLGRGQNDALAVVPKKAFRISQTPLHEFQGHKDDILDMAWSNSDHLLTSSKDKTVRLWKAGCDGCLGLFKHKDYVTCVQFNPTAERYFISGSIDGKVRVWDSLDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGVCRFYDQSGENIQLDKELLVEGKKSAVRRVNSLQSCKSDSSRITITSTGSKIRVADGVDIIQKFEGSWNLKALSSPTLTSDGRYLISAGLDSNVYIWNFDIPSIADHKGEAKSVRSCEKFFSKDVTTAVPWPGVHQERQVKTSSLTEEPVSSPILHRHEERRSPAARCFTDGMRGSATWPEEKLPSAKSANVPQLSDCLSTISPAWNKVIVTASRDGVIRSFINYGLPARTIADRISSGA >LPERR04G09030.1 pep chromosome:Lperr_V1.4:4:10965637:10966399:1 gene:LPERR04G09030 transcript:LPERR04G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHAAAARHHFFSLQSLDRLEKTVLYPLIEPLEFVFCFGGWTASCVALFHVIVSIYGTEMTGHPCCSCPGMTGEEAAVMRAVEAQMLLCCAAQMAAALAAMTASRRVARRACASAALALGGATVWLWCLYLRFVPGLRCFRCFGAARRVAVVFAAFSLATPALVLIPRGLHEVVFGDGYEQQSDDY >LPERR04G09040.1 pep chromosome:Lperr_V1.4:4:10967944:10971750:1 gene:LPERR04G09040 transcript:LPERR04G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSSSAAAAAARRLLRISPAALSTLTAAAASRPTAVAPLARPIAAAASFSGGNNAFSWNLRRLFSSNEKHLPVISDPEIESAFKDLMAASWTELPDSLVKEAEKVASKTTDDKAGQEALLNVFRAAEACEEFGGVLVSLRMALDDLCGITGENVGPLPGYIEDAVKSAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA >LPERR04G09040.2 pep chromosome:Lperr_V1.4:4:10967944:10971985:1 gene:LPERR04G09040 transcript:LPERR04G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSSSAAAAAARRLLRISPAALSTLTAAAASRPTAVAPLARPIAAAASFSGGNNAFSWNLRRLFSSNEKHLPVISDPEIESAFKDLMAASWTELPDSLVKEAEKVASKTTDDKAGQEALLNVFRAAEACEEFGGVLVSLRMALDDLCGITGENVGPLPGYIEDAVKSAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA >LPERR04G09050.1 pep chromosome:Lperr_V1.4:4:10986333:10991128:1 gene:LPERR04G09050 transcript:LPERR04G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQREKRIASPVSRTPSADHTASSRWLRKQSSVGGREMLPRHRNPLLHDTTALVAASSSSEHHLRELHAHLLVSGRLASSSHHAVFLATLASSSLDRHLSYAGCLLPQRPTTLLVHNSFLRSLARGHRPHLAFAAFRDLPLTPDNYSFTFLVRAATSLATTEMPAVNVSLVAGSVHASALRYGHAADTYVQSGFVSMYAAAGELGAARAAFVEIASPDVVCVTAMVGALATSGEVDAARKMFDEMPQRDHVAWSAMIAGYVHSGRSREALGLFDGMRNAGAAVGEVTLVSVLTACAQLGALERGKWVHSYVHNRGMRVSLTLGTALVDMYSKCGAVAAAMEVFDSMGERNVYTWTSAVSGLAMNGMGRECLELFKRMESSGVEPNGVTFVAVLRGCSVAGLVDEGRACFDLMKNQGIDPRLEHYGCMVDLYARAGRLDDAISFINGMPVEPHEGVWGALLNASRIHKNVELGKYAMDKLVTIESKNDAAHVLLSNIYAESQNWKGVSNVRAIMKAKGVKKVPGCSAIEVGGKVHEFFVGGKTHPRYREIDKMLAEMSRRLRLQGYVANTKEVLFDIEEEEKEDAISLHSEKLALAFGLVVLPGDTEIRIVKNLRVCEDCHDYTKLISKVFNREIVMRDRNRFHHFKDGACSCRDYW >LPERR04G09060.1 pep chromosome:Lperr_V1.4:4:11004687:11005772:1 gene:LPERR04G09060 transcript:LPERR04G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKESTTSMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLIAHWSNSAASSCSPLSCSGVNSGGVSPAALVRQVAAEDGDPASALRELAAYLSDDDVDEFEKNALVGAAGGAAETVAAVLRRKGEKEVGVEGAEAAVRVLAAIVAMDGVEEGNKRRVAAGLAVDGAASAASLARVMGGGSGLEARVDAARLVEFLLGNVGEDEAREAVAESPELVAELVHLVGAVDEKGNLDASASGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >LPERR04G09070.1 pep chromosome:Lperr_V1.4:4:11029376:11031036:1 gene:LPERR04G09070 transcript:LPERR04G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAAALLMALVAMSVVVEIQADAGYGGGYTPTPTPVKPAPKPEKPPKEHKPPHHHDKPKPKPPSGHKPSPYTPTPTPPTYTPKPTPPVYTPTPKPTPPTYTPHPTPTPPTYTPTPKPTPPTYTPTPKPTPPTYTPTPKPPTYTPTPKPTPPAYTPTPPTYTPPKPTPPTYPTPKPTPTPPTYTPTPKPTPPTYTPPKPTPPTYKPQPKPTPPTYPPKPTPPTYKPQPKPTPSPYTPIPKPTPPAYKPQPKPTPSPYTPIPKPTPPAYKPHPKPTPPTYTPPPTYKPQPQPKPTPPTYKPQPKPTPPTYPTPKPTPPTPKPTPPPYTPSPKPPK >LPERR04G09080.1 pep chromosome:Lperr_V1.4:4:11037345:11039111:-1 gene:LPERR04G09080 transcript:LPERR04G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAGTSCVHAAAALVFHVELAADTCVPAEAEYAAGNATAAAAAGGEPAARDEPVAASDEVAAESSDLAVAASSELAVTESGEVAESSELAVTASDEVVASGETAAAPVGILRGGFGGGGGGGRGACCAPSRYRTAVRPATARGGDHRDACRVAPSMAHRRRHRGTEHQPVIRSAETGERALSGEMWERRLGRVARGSNFHVLGMFKLAGTPNFNLTYVYLEYLDSF >LPERR04G09090.1 pep chromosome:Lperr_V1.4:4:11048809:11052911:1 gene:LPERR04G09090 transcript:LPERR04G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPTQPVSGATVVEGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSAGLKLNAVNPMCAICLSDFEAGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSESEGRQTEPEPARPVLVPLRPEGKKQE >LPERR04G09100.1 pep chromosome:Lperr_V1.4:4:11056395:11058815:1 gene:LPERR04G09100 transcript:LPERR04G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLAIVVGLHLCSLHFPASSAATNTLSPGQSLTGDDKLVSTNGKFALGFFETGSKSSGNHTLKYWYLGIWFNKVPKKTHVWIANRASPVTDSTSSHLTISPDGNLVILSRADNSKIWSSQANITANNTIAMLLDTGNLVIQNSSNPSHILWESFDHPTDVFLPGAKIGLNKITGLNRRIFSRRDLVDQSPGAYSMELGIKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVLKSPHYTPFNFQIKFVNNDQEVYFTYSIHDESIPLYTVLEATGQRKALAWLHDAQGWQAVFTHPNDQCDVHATCGPYTVCNDNTFPSCSCMRGFSIASPDSWILEDRTGGCKRNTPLDCASRKSDIFNAIPAIRLPYKAHVIESVTSAGQCENFCLGNCSCTAYFFGNSSCSIWLGELVNVKQQIDDSTSGNGEFLHIRLAPGELQARKNNNGLIIGAVICASLATLGVLTLLLLLMIRRNRKKLYCHALNNIYAVNRVVPFKHSDLRRATKNFSEQIGAGGFGSVFKGLLNKSTPIAVKRLGSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNIATLTWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDGSFVPKIADFGMAKLLGRDFSRVVTTARGTIGYLAPEWISGMAITPKVDVYAYGMVLLELISGKMNSHRESISCADHIVYFPVEVAHKLLEGDVLSLVDGKLNGDVNAEEVERVCKLACWCIQENELDRPTMGKVVQILEGLVKLDLPPMPRLLQSIVENSWETRIQH >LPERR04G09110.1 pep chromosome:Lperr_V1.4:4:11079546:11081970:1 gene:LPERR04G09110 transcript:LPERR04G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTVALIIAFDLLLLHSQLHLPSCHAATLDTLSPGQELTGGDKLVSSNGRFALGFFQTDSKTSTNNTPNAYLGIWFNTIPKFTPVWVANGDNPVADLTFCKLLFSGNGNLAVLETTQANNSSTVWSSKANITTNTTLAAILLDNGNLVLGSSISNASSSSILWQSFDHPTDTFLQGGKISWNNVTGMNRRIVSRKNTIDQAPGLYSYELFENNDSTSIVCTFNSSNLYWSSGDWNGRYFSNIPETAGQTWLSLYFTLSEQERYVEYAVEDPTVLSRFVMDVSGQMKVLLWFEGSRDWQTIYTVPKSQCDVYAMCGPFTVCNDITFPFCTCMKGFSIRSPEDWELDDRTGGCVRNTPLFCSSNKTEAGNTDKFYPMAYVQLPDKAQNIGTANSSDGCATACLISCSCTAYSYGEGVCSVWHGKLLNVRQQEKGGGIISLWIVFRVAWESLHLDMLIFSVQQMIRLKLGAGSFGSVFKGWLNDSTIIAVKRLDGACQGEKQFRAEVSSIGIIQHVNLVKLIGFCCESDRRLLVYEHMPNSSLDAHLFQSNGTILSWTIRYQIALGVARGLAYLHSSCRDCIIHCDIKPENILLDASFTPKVADFGMAKFVGRGYSHVVTTMRGTIGYLAPEWISGTPITSKVDVYSYGMVLLEIISGSRNSGKQSSSDSVREACFPVQVARSLLNGDIDSLMDANLHGEVNLEQVERVCKVACWCIQDDEFDRPTMSEVVQFLEGLSEVETPPVPRLLYSIAGGLDSKLM >LPERR04G09120.1 pep chromosome:Lperr_V1.4:4:11089673:11092162:1 gene:LPERR04G09120 transcript:LPERR04G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRLLLIILVALPAWLFALHTTAISATAAAIEKDTLSPGQTLTGDGKLVSSNGKFALGFFHISIISNSTPDNHNNTDLKLWHLGIWFNKVPKLTPVWVASRENPIQSPISPELKFSGDGANLAVVNLATNSTVWSTQITTQTNTTTTILVLLSNGNLILRNSDDNILWQSFDHPTDTLLPGATIALNKITGETRRLVSRRSNADQSPGVYSMEIGRDGVVRMLWNSTVPYWSSGEWINGGYFSSVPEMTAHHRFNFTFVNNDRESSFAYQVLDESSTMYSFVDVSGQRRVLSWHEGRQDWVNVFTHPNDQCEVHAVCGPFAVCNDNTLLSCSCMKGFVASSVGNNWDLDDPIGGCRRNTSLEDCGGGGGGDRNGSNGDLGDRFYAMTRVVALPFDTRKIGDAASAAECEKVCLGLCSCTAYSFGTGGCSVWHGELLNVKQLLSDGASGSGKTLYIRLAATEFQARRNNRPAVSIVGLIAACSATLSFLAVIIVVLIVRAKRNKRKLHFHNANNINNIGGGLIPFKYRELQRATRNFSEKIGAGGFGVVFKGMLNESTAIAVKRLYGSYHEEKQFRAEVSSVGIIHHNNLVKMIGFCCEGEKRFLVYEYMSNGSLDAHLFRNDASTLNWKTRYQIALGIARGLAYLHESCRDHIIHCDIKPQNILLDGSFVPKIADFGMAKLIGRDCSRVVTTARGTIGYLAPEWFSGMAITPKIDVYSYGMVLFEIISGWRNTDKEHSCDNDDDDVAYFPVQIARKLLEGNVMRFLDHRLNGDAIFEEVERACKVACWCIQDKEFDRPMMSKVVQILEGLVDVDMPPMPRLIEAITQR >LPERR04G09130.1 pep chromosome:Lperr_V1.4:4:11095444:11097849:1 gene:LPERR04G09130 transcript:LPERR04G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLILIALNAWCGLSVQASTDTLSPGQTLTGDGKLVSSNGKFALGFFHSKSTSDNNHNDTSRLWYLGIWFNQIPKLTTVWVANRDSPVHGPTSPLLTFAADGANLAVVNLATNSTVWSTQITTQTNTTTILVLLGIGNLILSHGGNTSNIVWQSFDHPTDTLLPGATIGLNKVTNETLRFVSRRNSVDQSPGMYSMELGRDGAVTMYYNSTLPYWSSGAWNGRYFGNVPEMTAPDRFAYTFVDDDREMSFAYHLLDGSTMYCLLDVSGQRKLLAWHDANKVWMPVFTNPSAHCTVHATCGSFSVCNDNTRPSCGCMKGFANADLDGNWDPDERTGGCTRNFPLDCSGNSNVGLGGDKFYGMSGVRMPFDPKRVEHAASRRECEEACLGECSCTAYSFGSNGGCSVWHGELLDVIMLGNDGGSTNSGEILYIHLAAEEFQSRRNHGRAMVGGFVAACFATLCVLLIIIVIVLKVRRKRRKLQCNTVNDNRVCSGLIPFKYRELQRATRNFSEKIGAGGFGAVFKGLLNESTPIAVKRLYGSCREEKQFRAELSSIGIIHHTNIVKMIGFCCEDNKRLLVYEYMPNLSLDAHLFQKSAPTLNWNTRYQIALGVARGLAYLHESCRDYIMHCDIKPQNILLDGSFVPKIADFGMAKLLKRDCSRVMTTTRGTIGYLAPEWISGVAITPKIDVYSYGMVLLEIISGRMNSHNDYNSDGDDVVYFPVHVARKLLKGDVMSFVDHKLHGNVILEEVVRVCKVACWCIQDKEFDRPTMGKVVQILEGVVELDMPPMPRLLEAIVAS >LPERR04G09140.1 pep chromosome:Lperr_V1.4:4:11097869:11112096:1 gene:LPERR04G09140 transcript:LPERR04G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIMKEIHPCTSQCTRSLPHFAMAILLVLTALLSIHTPASSATTKMTNTISPGQQVGRDDKLVSNNGRYALGFFKTGIDSSQNTTTPTSWYLGIWFNTVPKLTTAWVANRDSPVIMDTTTSLELHLTLSHDGNLVILHQSNSSSSIIWSTQANITSNDIVAVLLDSGNLVLQDSSNSATILWQSFDYPTDTFFPGEKLGFDKVTGLNRRLVSWKNLIRPATGMFCEEMDPTGANQLIQHVLAPLNSSITYWSSGVWNGQYFPSVPEMSSHHIFNFTFVNNDQERYFIYSVNDEAMIIHRFLDTSGQTNSYIWSESSLDWVLLYAQPRAQCDVYANCGPFTICNDSEFPYCNCIRGFTVKSPKDWELDDRTGGCSRDSPLDCISNRSTGSTDMFYPMPCVRLPQSSHSMRNAASASECAQVCLSDCTCTAYFFGSAGCSVWQKELLNIRQQQCSAATDTNGETLYVRLAAKELQVKKATRKGMFIGVGIGASFTVLGFLAVLIMVLIISRNKTKFSSGKLNNIEDCNGIIAFQYIDLQHATKSFMEKLGGGSFGCVYKGFLNDSTAIAVKRLDHAWQGEKQFRAEVRSLGIIQHINLVKLIGFCCEGGKRLLVYEFMPNRSLDLHLFQSNNIIALGVARGLAYLHESFMTVSFIILEWQNFWGETLVEGTVGYLAPEWISGVAVTPKIDVYSYGMVMWEIISGDVASLIDNKLQGDADLNKVEIACKVACWCIQHDEIDRPTMGEGIKSWSRGRRDRGRRHQCNGLYTVLLTMALLIYIAILLFSLCIPTSVTMADTISVGQAFAITDKLVSKNDSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSCSCSGDHNVYFPVLVACKLLDGDLGGLVDYRLNGDFDIKEAEIVCKVACWCIQDNEINRPTMREVVQILEGLVEISMPPMPRLLQAIAGSSNSTGPSYSFPAN >LPERR04G09140.2 pep chromosome:Lperr_V1.4:4:11097869:11112096:1 gene:LPERR04G09140 transcript:LPERR04G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIMKEIHPCTSQCTRSLPHFAMAILLVLTALLSIHTPASSATTKMTNTISPGQQVGRDDKLVSNNGRYALGFFKTGIDSSQNTTTPTSWYLGIWFNTVPKLTTAWVANRDSPVIMDTTTSLELHLTLSHDGNLVILHQSNSSSSIIWSTQANITSNDIVAVLLDSGNLVLQDSSNSATILWQSFDYPTDTFFPGEKLGFDKVTGLNRRLVSWKNLIRPATGMFCEEMDPTGANQLIQHVLAPLNSSITYWSSGVWNGQYFPSVPEMSSHHIFNFTFVNNDQERYFIYSVNDEAMIIHRFLDTSGQTNSYIWSESSLDWVLLYAQPRAQCDVYANCGPFTICNDSEFPYCNCIRGFTVKSPKDWELDDRTGGCSRDSPLDCISNRSTGSTDMFYPMPCVRLPQSSHSMRNAASASECAQVCLSDCTCTAYFFGSAGCSVWQKELLNIRQQQCSAATDTNGETLYVRLAAKELQVKKATRKGMFIGVGIGASFTVLGFLAVLIMVLIISRNKTKFSSGKLNNIEDCNGIIAFQYIDLQHATKSFMEKLGGGSFGCVYKGFLNDSTAIAVKRLDHAWQGEKQFRAEVRSLGIIQHINLVKLIGFCCEGGKRLLVYEFMPNRSLDLHLFQSNNIIALGVARGLAYLHESFMTVSFIILEWQNFWGETLVEGTVGYLAPEWISGVAVTPKIDVYSYGMVMWEIISGDVASLIDNKLQGDADLNKVEIACKVACWCIQHDEIDRPTMGEGIKSWSRGRRDRGRRHQCNGLYTVLLTMALLIYIAILLFSLCIPTSVTMADTISVGQAFAITDKLVSKNDSGLNRRIISWKNIVDPATGMYCEELDPAGVNQALLTPLKSFTPYWSSGAWNGDSFASIPEMKNHVLFNSAFVDNDREKYYISNLLDEKIVSRHVLDIGGQIKEFLWFEGSKDWTMVYAQPKAQCDVYATCGPFTVCIDNELPNCNCIKGFSITSPEDWELEDRTAGCSRNTPIDCINTKTTTHSSDKFYSMPCVRLPSNAQNIGSVKSSNDCAQVCLNNCSCTAYSYSNDGCSIWHNELLNIRKNQCSDGSNTDGESIYLRLAAKELSSPGVDQKGMVIGVILFASFALLCLLPLILLLVRLSKTKFLGDTLNDSQFYNGIIAFRYIDLRLATKNFTQRLGGGSFGSVFRGSLSDSTAIAVKRLDHACQGEKQFRAEVSSIGVIQHINLVKLIGFCCEGGRRLLVYEHMPNRSLDLQLFQSSTTITWNFRYQIAIGIARGLAYLHENCQHCIMHCDIKPENILLDASFIPKIADFGMAKLLGRDFSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSCSCSGDHNVYFPVLVACKLLDGDLGGLVDYRLNGDFDIKEAEIVCKVACWCIQDNEINRPTMREVVQILEGLVEISMPPMPRLLQAIAGSSNSTGPSYSFPAN >LPERR04G09150.1 pep chromosome:Lperr_V1.4:4:11114054:11116489:1 gene:LPERR04G09150 transcript:LPERR04G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAILVLLFMMHVPACCKATDTISVGQALTGNDKLVSSNGKFAFGFFKTSSKSSQNASNWYLGIWFNQVSKCTPAWVANGDKPVTGPTLPEATISGDGNLIILDQATKIIIWSTQANTTANTTMAKLFDNGNLVLQNTSSSSVVFWQSFDYPTNTHLAGAKLGWNKVTGLNRRLVSRKNSVDPASGMYSYELTENNGSARFILAAFNSIPYWSSGEWNGHYFGSIPEMTGQQLIDFTFVNNEEEEYFTYTLLDNKTIMRFTLEISGQSKILLWVENAQDWVPIYTNPKQCEVYGICGAFTVCEENKLPVCSCMKGFSVRSPNDWELNDRTGGCVRNTPLDCSINKNTSMQDRFYPMQCVALPNNGYIIKDATSAGGCAQVCLSNCTCTAYYYGNTGCFVWDGELINVKQLKCGEITSIDGVTLYLRLAAKEVPDVQGTKNNKQPINIAVIISASVASFALLALFLILIIQRNKSWLSSHRVKKFHSGNGVIAFRYADLQHATKNFSEKLGAGGFGSVFKGFINESIVIAVKRLDGACQGEKQFRAEVKSIGIIQHINLVKLIGFCCESDRRLLVYEHMPNLSLDTHLFHSNATFLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFSKVLTTVRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGRRNTSKEFGTRDDYEYFPLLVAHKLLDGDVGSLVDQNLHHDVNLEQVERAFRVACWCIQDREHDRPRMSEVVQYLEGFLEVSIPPVPRLLQSIAGNHSMRAEFSDANILS >LPERR04G09160.1 pep chromosome:Lperr_V1.4:4:11117314:11118131:-1 gene:LPERR04G09160 transcript:LPERR04G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLGATRPSHRRLAVAATGVSSPGRIRRDKGRILSVVSALPNFVRPQPPFYKRNPFGVTDISNLASD >LPERR04G09170.1 pep chromosome:Lperr_V1.4:4:11127294:11130549:1 gene:LPERR04G09170 transcript:LPERR04G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIIIFTLLFTHACCKAKETISARQAFTSNDRLVSSNGKFALGFFKTSSKSSHNASNWYLSIWFNQVSKHTPAWVANGDKPVTSLFSPEATISGDGNLVILDQATRNIIWSTQANTTANTTRAKLLDNGNLVLENTSNSSVVFWQSFDYPTNTHLAGAKLGQNKVTGLNRRLVSRKNSVDPASGMYSYELIDTNVSAMFILASLNSSVPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEEYFTYTLLDNTTNMRFTLDISGQTKIFLWVEQAQDWVATYTNPKQCDVYGICGGFTVCGENKLPVCNCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINKNTSRQDRFFPMQCVGLPNNGHRIEDATSAGECAQVCLNNCTCTAYSYGNNGCSVWNGELINVKQLQCGNNTDGATLYLRLAAEEVKDIKNNRQPITIGVVLGASVASIALLALLLILLIRRNKSQLFGHKVKKFHGGSGVIAFRFAELQHATKNFSEKLGAGGFGSVFKGSLDESTVIAVKRLDGARQGEKQFRAEVGSIGVIQHINLVKLMGFCCESDRRLLVYEHMPNLSLDTHLFHSNATLLKWGIRYQIAIGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFIGREFSKVLTTMRGTIGYLAPEWISGTFITSKVDVYSYGMVLLEIVSGRRNSSKEFTTCDNYECFPLLVAHKLQDGHSGSLVDHKLHGDVDLEQAEKAFRIACWCIQDNELDRPTMSELAFPQCHDYFKLLQATHSQGN >LPERR04G09180.1 pep chromosome:Lperr_V1.4:4:11130496:11134335:-1 gene:LPERR04G09180 transcript:LPERR04G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLLVESSLRTFCKFKGVIHRSCLYRPGSPHHASSKFNVTSSSSLSTRGTNGEQSPPPAGLRAPTASPTEIGQMRDGIADCHHFRVQTSDNY >LPERR04G09190.1 pep chromosome:Lperr_V1.4:4:11140587:11143662:-1 gene:LPERR04G09190 transcript:LPERR04G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVKENGIDNVKENGIDWVAQIVRRPTHIAWATAVANANNTTNISATLSDDGNLILRSSSSNSSDKILWQSFDHPTNSLLPGAKIGRDKVTGLNRRLVSRKNTVDQSPGVYSLELDPSGAPQFVLVELTSGVTYWSSGEWNGRFFDSIPDMGVGSQFVSNSREEYFTSPLSDERIIRRLSLEVSGQLKSFLWYEGLQEWLIAASQPKSQCDVHATCGAFAVCNDSSVPFCSCMKGFSIRSPKDWELEDRRGGCKRDTPLHDCNGNISTSSTDKFYSVPCVRLPHNAQNIVVATDESECVKTCLSDCSCTAYSFADGGCHVWHDGLVNVKQQKYNEPSGTKVQFLNLRLAAKEVQNGGNSRRRMLILTFSCATLGFLGLVLLLMICRSQKNWPGKTLNWPGKTLDGVQGGNGIIAFRYIDLQRATKNFSKKLGSGGFGSVYKGSLGESNTIAVKMLDGVRQGEKQFRAEVSSIGIIQHINLAKLTGFCSEGSRRLLVYEYMPNHSPDAHLFQSNTTLLSWSTRYQIALGIARGLAYLHESCRDCIVHCDIKPQNILLDASFIPKIADFGMAKLLQRDLSHVLTTVRGTMGYLAPEWISGVPITSGGA >LPERR04G09210.1 pep chromosome:Lperr_V1.4:4:11156734:11158124:-1 gene:LPERR04G09210 transcript:LPERR04G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMRDGTSLQGFRLQITTEGNLPGLHQTYLHVRSELPGALLVVKLGPVNLLLVKLGPDQEPDMF >LPERR04G09210.2 pep chromosome:Lperr_V1.4:4:11156886:11158124:-1 gene:LPERR04G09210 transcript:LPERR04G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMRDGTSLQGFRLQITTEGNLPGLHQTYLHVRSELPGALLVVKLGPVNLLLVKLGPGTHRLVVYL >LPERR04G09220.1 pep chromosome:Lperr_V1.4:4:11162852:11165592:-1 gene:LPERR04G09220 transcript:LPERR04G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGTERLRGKTTTEGNLPGLHQTYLHVRSKLPGAPFAVKLGPGCLLRMEHPINLQQQQPQQQLPELLLSLMGTIKPFYCYGRVA >LPERR04G09240.1 pep chromosome:Lperr_V1.4:4:11178832:11184823:-1 gene:LPERR04G09240 transcript:LPERR04G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEEEELQMALRMSLQGSPPGPQQPEPKRSKPPPPPSPPAGEESLDADAEARRRQRELRAAAAEKRLRAVLPSTPAAVAVAPVVSKEVEPADPEPEGVLPMEEVEEVEEEVEVEVEVEVEMVAGEEEDGEKLPLDVAEKLWIMVFGDKVREEVLAPWVKQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLGNPEVNDPFYALGQRRFYQSSFAAKDDFSSLTDDRKARALVHAMLEILFLCGNGNKAIIASIGGCNQAKTARVLEGLSVDSAMDLQKVIRISTFTSRKDAFNSLLANIFLFESRLGALLFLISALLSRGLEHIQEDRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDYGGMFLKGIPSNVEVGFLTLLEFLNFCKVGQYLKCPKWPIWVVGSENHYSVLFALNPNVQEENELEERESKIRRAFDAEDQSGGGGFISVEGFQKVLRDTDINLPSDKLEGFCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLLGKKQFTIYHFNGIAKSVLNVNANTGGSTPIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDGSILSLAPPVQTSQHAPLVDCIRTRWPRAVCTWDGDVPSIV >LPERR04G09250.1 pep chromosome:Lperr_V1.4:4:11191650:11201730:1 gene:LPERR04G09250 transcript:LPERR04G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPAPTSRGGSGAGANGRGGGGPDKARQPSRGGAGGGGGGGDDDDDFEGRWGLRRRGAQELEARRRGRGMGIGEISISEGSPSMLARRVREMREARAAPTAQAVDQKAAAAAARKALTSVQTLPRGVEVLDPLGLGVMDNKSLRLITDASVSSPVSREKAQGLDPNMRDKVIYSSPSFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTSHLYSVTQKISSVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDSKWRQLQQDSNKSLEVDSATGDSFQDDHLPANIMADEADILRAAYIRRLTGVLIQHVPAFWRLALSVFSGKFAKAAAGNVISDSDMNTRQSVNKADDKGEAKYTNHTLDEVASMVRATVSAFDTKVQSTFRDFEECNILCPFMGDTIKEIAKACHTLEGKDSSPTAVKMLRTLHFEMTKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLELRDTTISAMDRIDFMILNLRSETAKSYDISQQLQEIQESVRLAFLNSFLDFAGYLERFGGELAQGRSNKENNHTLNGYVNGTDGETSSMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSAIEEKVLEQYTFAKSNLIRNAARNYMLDSGINWGAAPVVKVYSGARPLLENAMKILVEGLIDIFLSIFHENKTKGLRLLDANGFCQLMLELEYFETILRAYLSTEAEQALRSLQENLLEKACESVAEALDNPGHHRRPTRGSEDAASDDRQSVSPDDLLINGMYRGLLDGTIYLYNFYEPGIIATMFSEDTISTCFSGTEY >LPERR04G09260.1 pep chromosome:Lperr_V1.4:4:11203629:11207016:1 gene:LPERR04G09260 transcript:LPERR04G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVFLPFLPFAAHCRRRCRIASTPTLSTSGRGGGRLFSCAVPPDQAPAVVGYEAKDALYAAVEGVNRGIFGMTSEKRSEIHALVELLESRNPTPEPTDKLQDKVDGCWRLIYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAINVIKFSARALKILSGQLTIEASYKITNKTRVDITLKSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKANIFVLERADPSEV >LPERR04G09260.2 pep chromosome:Lperr_V1.4:4:11203650:11207016:1 gene:LPERR04G09260 transcript:LPERR04G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVFLPFLPFAAHCRRRCRIASTPTLSTSGRGGGRLFSCAVPPDQAPAVVGYEAKDALYAAEGVNRGIFGMTSEKRSEIHALVELLESRNPTPEPTDKLQDKVDGCWRLIYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAINVIKFSARALKILSGQLTIEASYKITNKTRVDITLKSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKANIFVLERADPSEV >LPERR04G09270.1 pep chromosome:Lperr_V1.4:4:11207337:11207806:-1 gene:LPERR04G09270 transcript:LPERR04G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASGGDKAICILAFILALQYCGVETAAAQSEWPVGDINDWSFGVVGWPNYKPFKIGDVLGDVHVRRERAQRGGGEVSDVDYALCRAPANATTYSSGNDRVTLSPGVTFGFPGDCDKGMKIAVTAR >LPERR04G09280.1 pep chromosome:Lperr_V1.4:4:11219156:11223456:1 gene:LPERR04G09280 transcript:LPERR04G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKKENKRLSTLVDFGCLNSTQSKEALYKTMNNNTNQHGFGLGYIPNESKFPESKMRKVELKTKVIFSKSSQSKEPKPTSSSPKALSSTPPKSPPKAQASTPSKAQPKPQASAPPKPQAKAQANAHSKAQSTQHRVWSAPESFAPFVRSIR >LPERR04G09290.1 pep chromosome:Lperr_V1.4:4:11228192:11229409:-1 gene:LPERR04G09290 transcript:LPERR04G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSNLASRLLQTESSGAQPAATSPAPSVTARCPCPRAGTTTRHVRLLGRPSSHLPVVPPLPRVSPRQRRTPRQRQRRTPRQRQRRSYSTDDLQSRCHSPTTPEAPPTTTQALRRGDRLLDDEAKKD >LPERR04G09300.1 pep chromosome:Lperr_V1.4:4:11259348:11260841:1 gene:LPERR04G09300 transcript:LPERR04G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIPEFVQKGDRQTDNKLDHTTTFSITLLVLNSIDMPLVIDTDTAPPYSGFAVKFSANDGDFNPNGEQQSMAVNAYQQNVQKAVISEEGKRAGAKEDNHGDGDAPARLRQRHLMENEKYVVESWRLRSGWWH >LPERR04G09310.1 pep chromosome:Lperr_V1.4:4:11274663:11280549:1 gene:LPERR04G09310 transcript:LPERR04G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGEAAIRPVAAMVLLQFLFSVLQIFIKLAMNDGMDARVLVAYRFMFAATFLCPIAFLLERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVLAIKLTSATFVTAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPEITLLRGLPRPKLAHITENHQSHPIPRGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGAFQSTVIAVCVHRDMEHWRLGLNIRLYSSAYAGLIASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVAVLSSILLDEALYLGSVLGSVLIVGGLYVVLWGKAKEATDLSQDENSGKESIPVTTNSENEVK >LPERR04G09320.1 pep chromosome:Lperr_V1.4:4:11279902:11281489:-1 gene:LPERR04G09320 transcript:LPERR04G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMRRRVLPLLLCCSLAAVLQPSRALFHSSQLRGGVYGEEEKVPMAVVVSNYSPRPAPFGAPAPAPEPSPAPALPPLPGSDSGGGDMPTLPSERRSGRPSGGNGGAGAPSPAAAAGETSTAFISSSPAVPLPAGVTDSATVLPMPTPGQQQQVVGMGTLLVRARAVQQIAVPLAMMLFFSALWIKAY >LPERR04G09320.2 pep chromosome:Lperr_V1.4:4:11280210:11281489:-1 gene:LPERR04G09320 transcript:LPERR04G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMRRRVLPLLLCCSLAAVLQPSRALFHSSQLRGGVYGEEEKVPMAVVVSNYSPRPAPFGAPAPAPEPSPAPALPPLPGSDSGGGDMPTLPSERRSGRPSGGNGGAGAPSPAAAAGETSTAFISSSPAVPLPAGVTDSATVLPMPTPGQQQQVVGMGTLLVRARAVQQIAVPLAMMLFFSALW >LPERR04G09330.1 pep chromosome:Lperr_V1.4:4:11283581:11285603:1 gene:LPERR04G09330 transcript:LPERR04G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVVDVEAVVVGAGIAGLATALALRRTGVARADGDGGGGVVVLERHAGLRATGAALTIFPNGWFALRALGVAHKLTSRYDSYQTSVVTNLESGSTQVFRFGGHKTRSGEAGLKVRPVHRKALLEAMAEELPPGTIRFNSKLTSISTEPAAAGDDDEELAVVRLEDGTAIRSKVLIGCDGVNSVVARWLGLSEPASSGRSCVRGLAVFPAAAGGGHGVKKELRQFLSHGIRAGMVPISDTDIYWFVVNNTIPAGKAKKEAGGDPEKIMREVTDNLGRHLPDEFLDVARHSDPDNLTWAPLLYRAPWAILTGRASRGPVTVAGDAFHPMTPDMAQGGCAALEDAVVLARALSRSRRAADGAAAYVAERRLRAAWIVAGAYLSGYVQQGSTDASPRGVRALAVKLFRDLIFYRFIFPFLADTMWFDCGHLEYSEAGKEEKKKSHVH >LPERR04G09340.1 pep chromosome:Lperr_V1.4:4:11286960:11288519:1 gene:LPERR04G09340 transcript:LPERR04G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAIEQESLTIEEEYINTLHTRSHARFFFSPRKHQFDDPQQQQEEIVITPDVQRMMHKRTSSEIELAMAAYFDASAEASEICRQLLANITNTRSNYLSMDTFLASISDCATSARTTATATAMGIGCSSSNPFGGATRGSFRRVQDRFASILQAIRRSHGKVARRLRVANAVRRAWRACAVAACGAAAAASVAVPAHLLFLGLLVGPAAMALCPMALKRRRKMSTAAARSTALARLQEQLDTAAKGTYVMGRGMDTVSHLVARLSDGIERENAMARRCAERVLAGDGDGGGDGEGRLFLPVREMVSELRRSCSSSRKLAEELEEHVCLCLATIHRARVLVISEMSKQA >LPERR04G09350.1 pep chromosome:Lperr_V1.4:4:11289534:11290106:-1 gene:LPERR04G09350 transcript:LPERR04G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVVSSSASCPSGAGSSYSSAAFAFFCGGGVEAGDEVSSGSPDYTPASPSPRSASPDYTPESTHFRRAASPDYTPATPTRAASPDYTPASPTSWAASPDYTPLTPPPRRAASPDYTPASPDYTPSSPDYTPSTPPPRAASPDYSPSTPPLSLVPPRRHHPYQRSGAFSSRAVRVIGGGQHRRRAFLY >LPERR04G09360.1 pep chromosome:Lperr_V1.4:4:11291719:11292433:-1 gene:LPERR04G09360 transcript:LPERR04G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRSGYGRSGAGADGYDSGYNNKSGTDNYGGDEYGRSGGGYKNSGGDDYDGGYNNRSGENEEHGRNKPGADDDDEYSGGGYKKSAEADGGEYGSSREDSEKYRKEEKSHKNKEHLGEVGAIAAGAFAMYERHQAKKDPENAQRHKIEEGVAAVAALGSGGFAFHEHHDKKEAKEAAKHAEEEEESGRGGDGEGKKKHHFFG >LPERR04G09370.1 pep chromosome:Lperr_V1.4:4:11296797:11297564:-1 gene:LPERR04G09370 transcript:LPERR04G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEYDRSSYRRSGADDEEGGYNKTNTGDYGRSVDDYGRDTGRFNKSSNDNTNESGYKNTNTDEYGSSYNKSNTDLTGGYNKSGTGTDDYSGSGGYKNSSTDEYSGSGGYKNSGTGDYGPGDDEYKKSGSNDYEGGYKKSSSNNDGYGGSGYKKSSTDDYDSGKNTSNTDEFGGSGYNKSSTDNYDSGYNKSGTGDYKSSTGNYDSGYNKSSTGDYGSGGYNKSDAGGYTDGYKKSSTGEYATGTGRDQTGSDD >LPERR04G09380.1 pep chromosome:Lperr_V1.4:4:11300408:11307981:-1 gene:LPERR04G09380 transcript:LPERR04G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAARGKATPRPRPRPSSRGLSAAARRLLLGGGGLGVAAAAYVGVDYLRYTSPEWHARLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHRSAAPLPDTGQWLLLALNEKLPQSVVDLLRAHIITLHHYLMLFIMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTITFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRMVIRDDVAYADVQSYPGEHRPDWGRMSFLVDILRPTSGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISAAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTKFIWSARDASRAKRLAKLDEVQNRLIHAAKDSDMDEIRERIGQICRGVDMVVRRKHLRRRSRKMAMGMTAWRSVNMSEDLTQEIAPFATALHEALLYSHCSSCFRNIPTRSPCAVSCTTCSSVRYCCSDCLISDCGVHSSSGECCFFVNHLKEASPSSLSEETTDIRAALRLLYSLEARGLVSSDSVGSSNRIGGLSLSGIEQAMVEGGEIAERMLKGSLLMSSARKLRMQTSFGLSNGLTIEKVALWAVMTNSVEVQINEGQALGIAVYGPRFSWFNHSCCPNACYRFVLAPRNEDSTSDRPKSCVVPVSKGAAPDAEARNSDLWSKYKFICSCERCTTLPKPYVDLILNCDARNLKSPDDAATDPAIEDLDNILQQAISEYSFIDDPKACCDVIERMLSENLTSDLQQEELSPRKYALHPLHHISISSFMILASTYRCSALKSSTDNLNGENCDAVFRMTKAAAAYSLVLAGATHHLFLSECSIMTVLSHFLLSTGQTMLDFAQCIKGGTRKNVSEAIFSFCSCSSNSAKRDSVHYSQFRSTCEKFAKHILSLSFQCWPFLAQGLPCLERIKNPIDFSWLGPAIFQSFQFSEEDSPDLSCKHGPATFVKEQKEYILRLAVCCITYSKYLVGICYGSKHYLADRAKDMLECINLVQ >LPERR04G09400.1 pep chromosome:Lperr_V1.4:4:11312873:11318745:1 gene:LPERR04G09400 transcript:LPERR04G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGGGGASSSSSGAATSTLDRFHKIVLSWDYVRLAADSKGGEQAKGLKRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMLSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRMASIFSTAESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKQRDGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVQKHGPELDTEGKYVDKYAHWMKASPWLLGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMTRAFDVVIIDEAAQAVEPATLVPLIHGCKQVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDIDGIESQPSGSGSWVNEDEVEFIILLYHQMAMHYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVEDKLKTMKVERAPPELRNVQALEAINEAVVGQELMDVDDAGDQEDQGYDDDPLEVDDGGGDD >LPERR04G09400.2 pep chromosome:Lperr_V1.4:4:11312873:11318745:1 gene:LPERR04G09400 transcript:LPERR04G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGGGGASSSSSGAATSTLDRFHKIVLSWDYVRLAADSKGGEQAKGLKRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMLSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRMASIFSTAESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKQRDGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVQKHGPELDTEGKYVDKYAHWMKASPWLLGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMTRAFDVVIIDEAAQAVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDIDGIESQPSGSGSWVNEDEVEFIILLYHQMAMHYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVEDKLKTMKVERAPPELRNVQALEAINEAVVGQELMDVDDAGDQEDQGYDDDPLEVDDGGGDD >LPERR04G09410.1 pep chromosome:Lperr_V1.4:4:11330891:11332325:-1 gene:LPERR04G09410 transcript:LPERR04G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRRGVTGSSVCSDELGRAVNLSREGWRGGRGRALLLRQVLSCELAERPANFGVHFIRGSSGSACVYPPPRAQFLLGVLLSGGGEGRLELARYDQTVLLHWVALKNGNRRFNV >LPERR04G09420.1 pep chromosome:Lperr_V1.4:4:11348344:11353397:1 gene:LPERR04G09420 transcript:LPERR04G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECFSNSYTQSSNENGEGKSSITEAVPSSTSYFGSQAVNRMCSLSAQKKDGNVYKRRKMDKDSTSLTANEEEKEMTAQNCTISEVHSSLLLPITSDAIISNSTTPILEYDEPTGASLVPKSGVNERSSVSSMLPPFMILDKKNATECSSSNIGPTEPTAGFTSARDLCIAILKEDGLITETRTRITTEEFTGYDANLLFPCNTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHVVPTGEWYCLPCFRKKPKSLYGKLSEGKVKPSGNINQRPPGMNAIEYMLKDTKPYVTGVRIGGDFQAEVPEWSCPSSSGNVHFEEPSDFVPADLTKLNCREVLSDGDSDKQVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELDTDEVLRQLKYLKNRLVDRNHKPAKVQG >LPERR04G09430.1 pep chromosome:Lperr_V1.4:4:11383579:11383872:-1 gene:LPERR04G09430 transcript:LPERR04G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPHLCGRSGQGHNGEHASTGDGGRRSGVVESISFNGGTSGLMTVYTLENLRPFCRFGSTMLINSDESWIRPFQDRQLVLDIPFFWFEKDKDCMLL >LPERR04G09440.1 pep chromosome:Lperr_V1.4:4:11424127:11427617:-1 gene:LPERR04G09440 transcript:LPERR04G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVHAALAAKSYSAIAPLCDDLLLQAASRGTDTGDWPYAVHLLAHLHLNDLNSARFLWKTTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDATTKMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >LPERR04G09450.1 pep chromosome:Lperr_V1.4:4:11430512:11432758:-1 gene:LPERR04G09450 transcript:LPERR04G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPISFLERAALVYPDRPAIVASGMVARTWRETRARCLRLAAALAGLGVQRHDVVAVFAQNIPAVCELHFGVPMAGAVICTLNARLDAAMASILLRHSEAKVIFIDRSLLGVAQEALRLVSEAQARPPVLVLISELLDDEPPPPPPDDADYEYERLLMSAAGLSPDLAIRWPSDENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVILNSMAETPVYLWTVPMFHCNGWCLAWGVAAQGGTNVCVRRVTATTIFDSVARHGVTHMGGAPTMLTMMTNATTEEQQRPVGRRRVTVMTGGAPPPPQVLVRMEELGFLVIHSYGLTETYGPATVCTWRPEWDALPAEERARIKSRQGLHHLVVEAVDVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDGAATAEALADGWFRSGDLAVREEDGYIKIRDRSKDIIISGGENISTIEVEAVLFSHPAVEEAAVVGRPDEYWGETPCAFVKLRGGGVAKLEEEELRAFCRARLPRYMAPRTVVVVEELPKTATGKVQKPVLRERAKAMGSLLAASSSKRVPTGIAGRNKL >LPERR04G09460.1 pep chromosome:Lperr_V1.4:4:11435656:11439306:-1 gene:LPERR04G09460 transcript:LPERR04G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPDRTRFPDAPPTRTDTTRPTHPTNHPTNDGRIGPRIRPNVPGPDSQTGSLSVLALPNPTEATTTKPYPPPLPLPSPHLYHPTPLDERNKQPLRRTLQPSGGFDSRGDLSGERGEASAAAMDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESMDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGYQPPIPADQVRPPTDFDQEAEAVPSGRGRGRGRRGRGRGRGFNNEDYDDEHGDSEAPQGYRGRGRGRGRHGSFGPGRGYGGYAMEEAGGHDDGEPNAPPKVAEEGVEAVAVDVDVVEAVDVDLLKSRLAGLTLGGSVWHRNNKHQSAIIFI >LPERR04G09480.1 pep chromosome:Lperr_V1.4:4:11445505:11446381:-1 gene:LPERR04G09480 transcript:LPERR04G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEPELAAETEAIVSALTHVVACGGEENAVAAVSTTAAATTMTWRANVSGNDDDVAMMTATAARKYRGVRRRPWGKWAAEIRDPQRAARVWLGTFATAEDAARAYDAAALRFRGSRARLNFPEDACRLRRPATAPAPMPSHSPLAAGDVAVADYLDYSRILAGPTTPSCDGFFAGGGGGEVNGRFLRSWSIGTSPSSSGSGGGAAAGAGDLFHGGNNGWEQGTDGGGYNGFY >LPERR04G09490.1 pep chromosome:Lperr_V1.4:4:11447556:11452061:1 gene:LPERR04G09490 transcript:LPERR04G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEEERVEPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGERCLNVLTSTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADENITAGQFVIEYCGEVISWKDAKRRSQAYETQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPVGTELSYDYNFEWFGGLVVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDAPMSIAKDILLIKDELITQDSNSNTVLNTENPSIGSSNVFQPMNVEPLVASSNEFTPVSVEPLAASSSEFTPMTIEPLNAIPMGVDFTQNGSIEYGAQYTEHALQSSTDEVASLQSQSAPQENNHRELVVVRCKPALRGGKAKRGLRKQLNVPGICDRLASAVAREEIMYCEEMKQQASAEIDGLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLKSKEEPAPKEQNGVMYLENGP >LPERR04G09490.2 pep chromosome:Lperr_V1.4:4:11447858:11452061:1 gene:LPERR04G09490 transcript:LPERR04G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMWARPNNLLEEERVEPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGERCLNVLTSTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADENITAGQFVIEYCGEVISWKDAKRRSQAYETQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPVGTELSYDYNFEWFGGLVVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDAPMSIAKDILLIKDELITQDSNSNTVLNTENPSIGSSNVFQPMNVEPLVASSNEFTPVSVEPLAASSSEFTPMTIEPLNAIPMGVDFTQNGSIEYGAQYTEHALQSSTDEVASLQSQSAPQENNHRELVVVRCKPALRGGKAKRGLRKQLNVPGICDRLASAVAREEIMYCEEMKQQASAEIDGLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLKSKEEPAPKEQNGVMYLENGP >LPERR04G09500.1 pep chromosome:Lperr_V1.4:4:11452382:11457088:1 gene:LPERR04G09500 transcript:LPERR04G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEHGNQLVYLGEGWHRYSLAAAVGLTIGVAGLCKALHNSLVTPQVSQKKLFSNSKTLYYTGGLRNLGNNCFLNVILQALASCEGFVSCLDDLLGIEDVLPEEKSERMPLIFALRSLIKDLSIIRDESIELNPNRDASEAFHHLLTSLRDEFSRCYVPHRSSLADITLFHSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPAAHVNGDIINGCSLVDCLEHFTVLEYLDNYRCDRCWHNFAVKYVSLKSDIDEEKINKLRTCVNQDTCSCRHMFSPEEMTFSVSSQATKQLAITHFPKILCIHLLRASVGLDGQFVKRRGHISFPLLLDLSPFAGGALIPGQGPRPSAVNMQSGQQARHLWRQLNAEMPVNMFPTATVGDSSSHPHEDESINELGRSFYMGNRDTGSGPLSSSPLTNKLYSLSSVVEHYGVCGGGHYAAYRRVTPNSDSIELLQSLASSSKQWLYVSDNDVSHVSEVEVLAAEATLLFYERL >LPERR04G09500.2 pep chromosome:Lperr_V1.4:4:11453299:11457088:1 gene:LPERR04G09500 transcript:LPERR04G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEHGNQLVYLGEGWHRYSLAAAVGLTIGVAGLCKALHNSLVTPQVSQKKLFSNSKTLYYTGGLRNLGNNCFLNVILQALASCEGFVSCLDDLLGIEDVLPEEKSERMPLIFALRSLIKDLSIIRDESIELNPNRDASEAFHHLLTSLRDEFSRCYVPHRSSLADITLFHSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPAAHVNGDIINGCSLVDCLEHFTVLEYLDNYRCDRCWHNFAVKYVSLKSDIDEEKINKLRTCVNQDTCSCRHMFSPEEMTFSVSSQATKQLAITHFPKILCIHLLRASVGLDGQFVKRRGHISFPLLLDLSPFAGGALIPGQGPRPSAVNMQSGQQARHLWRQLNAEMPVNMFPTATVGDSSSHPHEDESINELGRSFYMGNRDTGSGPLSSSPLTNKLYSLSSVVEHYGVCGGGHYAAYRRVTPNSDSIELLQSLASSSKQWLYVSDNDVSHVSEVEVLAAEATLLFYERL >LPERR04G09510.1 pep chromosome:Lperr_V1.4:4:11459637:11466401:1 gene:LPERR04G09510 transcript:LPERR04G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSDSGKANEKNTSSKPASPVVSGDVVDIKGTRSDIIGVSEEEGAEGGDRDGIARDANGPKSKKSFDGLLDPVARVNEGRNRGSGNKKEKNSIDVAHRLHAWTEGKRRNKMKSKFDNLHALVPSLPKKTDQATIVGATIDFIKQLEGDVKKLEMLKNELVAAAANMAADTLQAPPPSPEEKETTPREMTLANLVNVWENEAAPLAAVIGDDAPALAPAPMQTWTGPNMMVSLTGRDAFITVSLPRPRDQSLVAAAVSVLERHHIDVITATVSTPELDTTLLSMHCQLRQESNSSQNLTAMDKYKLAVSELMLLDYVIAATTNNPVRSIKIEKSNSPSSTDKPGEENAGLKVASPMVIGVNTKNKETGKNIAIKREEVEGGGGAADISTQGNKRKGKSVMDTKHALHIWMERERRKKMKNMFSTLHALLPKIPGKADKATIVNEAIGYIKTLEDVV >LPERR04G09520.1 pep chromosome:Lperr_V1.4:4:11466420:11467039:1 gene:LPERR04G09520 transcript:LPERR04G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLAAQQTAATATNANASEVSSLSQPPCPASMPTPVHGLISNARQHQQQSATPSLQTWSAPSIMLTMAGKDAFINICLPAAATGGVKHQIDVVTSTISADPEKSLFRVHARKLNEESLESTEGLTPEAKYKLAVSELMVGLSE >LPERR04G09530.1 pep chromosome:Lperr_V1.4:4:11468062:11469097:1 gene:LPERR04G09530 transcript:LPERR04G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEELKDLVTHASEGRNGGSDNKKGKNSVDVAHMLHACTEGERRKKMKSVFDHLHALVPNLSKMT >LPERR04G09540.1 pep chromosome:Lperr_V1.4:4:11471437:11473849:1 gene:LPERR04G09540 transcript:LPERR04G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNTNLPQGIDKIHDHAFTNTLIVLTKMEYSDSSNAREKNTSSRPASPMVSGDVVEIKATRTDIVDDDEEERGESGGRDGIAREANEPKSKRSFDELVDLGPRAGDGRNGGSGKKKGKNSIDVTHKLHACTEGERRKKMKSKFDHLHALVPNLLKKMYRVTRQTNRATLVDSTIDYIKQLEGNIKKLEMLKKERMLVSTAANNGSTSAPPPPPSLEVEKTTTPREITLADLVNVWEAEAPLAPPPAVVTNDASTLARMQTWTGANMTVSLTGRDAFITVSLPRPRDQSLFSTTLSILERHHIDVITATVSTPELDTALLSMHCQLRQEGSSSQNLTVMDKYKLAVSELVLWVAI >LPERR04G09550.1 pep chromosome:Lperr_V1.4:4:11476370:11478917:1 gene:LPERR04G09550 transcript:LPERR04G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGADLPRDIDESIDHVVTATANNPVRSIKIEKSLSCSSSDKPGEPNAGLKAASPMVIGMNTKINEEGKSIAIKREEVEGGGDGADISSGVGTCRNNRKAKSDMEHALHIWTERERRKKMKNMFSTLHALLPEISGKADKATIVGEAIGYIKTLEDVVQKLEALKMERMLAAQQSAAANANAGEGSSRSQPPHHASMPVPVDGLISNMCQQQQQQQAVAVAVAAGGGGSLPLGTATPSLKTWSAPSITMTMAGMDAFIYICLPRQGVSFTTVAFVLEKHQIDVVTFTISAEPEKTLISVNARLNEESLQSTKGLTPEAKYKLAVSELMTRLAE >LPERR04G09560.1 pep chromosome:Lperr_V1.4:4:11479308:11492871:-1 gene:LPERR04G09560 transcript:LPERR04G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASGADQSKKKLLQERVPLRRTAWKLAEFFVLFLLLALLAHRATGLDAGGVAWQVAFVCEAWFTFVWLLNMNVKWNPVRFVTYPENLAERIEELPVVDMFVTTADPVLEPPLVTANTVLSLLSVDYPDAGEKLACYISDDGCSPVTFYAMRETVKFSRMWVPFCRRHNIAVRAPFRYFTSTPDFGPAGHKFRDDWTFMKSEYEKLVHRIEDADERSLLRHGGPDFCEFMDVERANHPAIVKVLWDNSKSSIGEGFPHLIYVSREKSLSHHHHYKAGAMNTLTRVSAVMTNAPIMLNVDCDMFANNPQVVLHAMCLLLGFDNEIYSGFVQAPQKFYGALKDDPFGNQMEVIFKKLGSGVAGLQGMFYGGTGCFHRRKVIYGMSPDSIMAGREGITGSPSAKELQKKFGISNELKESARKIISANLASEPIVDMSSRIEIAKEVAACNYEIGTHWGHEIGWVYGSLTEDVLTGQRIHAMGWRSTMLDPEPPAFLGCAPTGGPACQTQFKRWATGLFEILIGQNNPILATIFKHLHLRQCLSYLIIYVWPLRGFVEVCYALLGPYCLLTNQSFLPKASEDGFNIPLALFLTYNTYNLVEYMECGLSTHAWWNNHRMQRITSASAWLLAFLIVLLKTLGLSETVFEVTRKDKSTSHDDDVIDEADPGRFTFDASPVFIPVTTLVMLNIVAITIGAWRVVFRTMESEHSAPGVNEFMCCGWLLLCFLPFVRGLVGKGSYGIPWSVMLKASLVKQLMAMAPSDERRKKKLQERVPLQRTAWKLAEFVVLFLLALLVRRATGLDDGGGAWRVAFACEVWFTFVWLLNMNIKWNPVRFPPVVTVNTVLSLLAVDYPSAGEKLACYVSDDGCSPVTFYALQEAARFARMWVPFCRRHDVTVRAPFRPEFGTADHKFKDDWTFMKSEYEKLVRRIDDADERSLLRHGGAEFADFMEVEKRNHPAIVKSPSYHHHYKAGAMNTLTRVSAVMTNAPIMLNVDCDMFANNPQVVLHAMCLLLGFDDEIYSGFVQAPQRFYGALKDDPFGNQLEVIMKRYGNGISGIQGMFYSGTGCFHRRKVIYGATPDSIGAGGEGTTGSLSAKELQKKFGSSNELKESARNIISMNLVSEPKVDITSRTEIAKEVAACNYESSTSWGQEVGWVYGSVTEDILTGLRIHAMGWRSTLLDPEPPAFLGCAPTGGPASQTQFKRWAIGLFEILISQNNPIFAIIFKNLQLRQCLSYLIIHVWPMRGFVELCYALLGPYCLLTNQSFLPKASEDDFNIPLVLFLTYNTYKLVEYMECGLSPRAWWNNHRMQRITSASAWLPAFLTGLFKILGLSETVFEVTRKEKSTQDDDGGIDEADPGEVHLRCITVVHPRDGTRNVKHHCNQYWSMEGGLRDNRRQVWWPGYH >LPERR04G09560.2 pep chromosome:Lperr_V1.4:4:11484996:11492871:-1 gene:LPERR04G09560 transcript:LPERR04G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASGADQSKKKLLQERVPLRRTAWKLAEFFVLFLLLALLAHRATGLDAGGVAWQVAFVCEAWFTFVWLLNMNVKWNPVRFVTYPENLAERIEELPVVDMFVTTADPVLEPPLVTANTVLSLLSVDYPDAGEKLACYISDDGCSPVTFYAMRETVKFSRMWVPFCRRHNIAVRAPFRYFTSTPDFGPAGHKFRDDWTFMKSEYEKLVHRIEDADERSLLRHGGPDFCEFMDVERANHPAIVKVLWDNSKSSIGEGFPHLIYVSREKSLSHHHHYKAGAMNTLTRVSAVMTNAPIMLNVDCDMFANNPQVVLHAMCLLLGFDNEIYSGFVQAPQKFYGALKDDPFGNQMEVIFKKLGSGVAGLQGMFYGGTGCFHRRKVIYGMSPDSIMAGREGITGSPSAKELQKKFGISNELKESARKIISANLASEPIVDMSSRIEIAKEVAACNYEIGTHWGHEIGWVYGSLTEDVLTGQRIHAMGWRSTMLDPEPPAFLGCAPTGGPACQTQFKRWATGLFEILIGQNNPILATIFKHLHLRQCLSYLIIYVWPLRGFVEVCYALLGPYCLLTNQSFLPKASEDGFNIPLALFLTYNTYNLVEYMECGLSTHAWWNNHRMQRITSASAWLLAFLIVLLKTLGLSETVFEVTRKDKSTSHDDDVIDEADPGRFTFDASPVFIPVTTLVMLNIVAITIGAWRVVFRTMESEHSAPGVNEFMCCGWLLLCFLPFVRGLVGKGSYGIPWSVMLKASLVVAIY >LPERR04G09560.3 pep chromosome:Lperr_V1.4:4:11479308:11484926:-1 gene:LPERR04G09560 transcript:LPERR04G09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDERRKKKLQERVPLQRTAWKLAEFVVLFLLALLVRRATGLDDGGGAWRVAFACEVWFTFVWLLNMNIKWNPVRFPPVVTVNTVLSLLAVDYPSAGEKLACYVSDDGCSPVTFYALQEAARFARMWVPFCRRHDVTVRAPFRPEFGTADHKFKDDWTFMKSEYEKLVRRIDDADERSLLRHGGAEFADFMEVEKRNHPAIVKSPSYHHHYKAGAMNTLTRVSAVMTNAPIMLNVDCDMFANNPQVVLHAMCLLLGFDDEIYSGFVQAPQRFYGALKDDPFGNQLEVIMKRYGNGISGIQGMFYSGTGCFHRRKVIYGATPDSIGAGGEGTTGSLSAKELQKKFGSSNELKESARNIISMNLVSEPKVDITSRTEIAKEVAACNYESSTSWGQEVGWVYGSVTEDILTGLRIHAMGWRSTLLDPEPPAFLGCAPTGGPASQTQFKRWAIGLFEILISQNNPIFAIIFKNLQLRQCLSYLIIHVWPMRGFVELCYALLGPYCLLTNQSFLPKASEDDFNIPLVLFLTYNTYKLVEYMECGLSPRAWWNNHRMQRITSASAWLPAFLTGLFKILGLSETVFEVTRKEKSTQDDDGGIDEADPGEVHLRCITVVHPRDGTRNVKHHCNQYWSMEGGLRDNRRQVWWPGYH >LPERR04G09570.1 pep chromosome:Lperr_V1.4:4:11504535:11512714:1 gene:LPERR04G09570 transcript:LPERR04G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRATTILNHKTLVDRCANRKGPIVIVGFQRRTPALLPSRYKYPPRSQPNFQNSNPVPQPRLLLLLPLSASNLLHLPPASPREASLLPNASAQPPPIQALDPSDWRFVPESRRMAAPTTSAGANGTAAAERMARQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEGAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKALTSKDGSRVCKDFVSLVKEWLLKIQLADSLCGVFGDTNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTIEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSYGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVTKVSIPCKKRSFRLYGKEGYPLVDIMTRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGTSNKPRIELPSLEEIRERCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPVGELQ >LPERR04G09580.1 pep chromosome:Lperr_V1.4:4:11515560:11515781:1 gene:LPERR04G09580 transcript:LPERR04G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSDAAAPFLSSLVGFGEEIDGWQGEGNLGQRVGSGDGAGVLTAVCRCPHYGSSFSSVCGAPPLHCGELYR >LPERR04G09590.1 pep chromosome:Lperr_V1.4:4:11517829:11522415:1 gene:LPERR04G09590 transcript:LPERR04G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRGVPVATPVLHLTTAAAPPKHPGKPPKDIQEVPSRATAAAAAKAQPLPQVIQAPAPPPPDSIQIETGKEHRITFPEMQHPPPPYHQRSGGPSSRGGSGESRGGGGGGGGGAEPGVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMRIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQAKSGETPTGEPGDSSGNNTPKETPKGQLQDEPFEWRNKAA >LPERR04G09600.1 pep chromosome:Lperr_V1.4:4:11523508:11527612:1 gene:LPERR04G09600 transcript:LPERR04G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSGGRPGFGRGGGGGFGAGPTSSSME >LPERR04G09610.1 pep chromosome:Lperr_V1.4:4:11526283:11529012:-1 gene:LPERR04G09610 transcript:LPERR04G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVRVLVVVVAAALLVSPAAVVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTGTAIATAWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSYGGACRNFTAFVPAVEVLEEIERFLTRNPSEVVTVFVEDYVESPMGLTRVLNASGLTKYMFPAWRMPKSGGDWPRLSDMVRDNHRLLFFTSKSAKEASEGIPYEWRYVVENQYGTKGMIKGQCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDMSADRWANFIAVDFYKRSDRGGAAEATDKANGGLICGCGSVSACSGNGTCTPARHGGTPKGIFNTSSDATALRPPSTLQWQQLVLVPSTLAALLFSL >LPERR04G09620.1 pep chromosome:Lperr_V1.4:4:11532195:11538247:1 gene:LPERR04G09620 transcript:LPERR04G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLLALLLVAALPLLPAAIAADCPLDLSWPNYELIASVCSDENGHSKCCRYINAVIAVSSAMYANTTGILSVPAELSDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDASCKKCLNSALSYLRHLVGEQDNVTLNTCRDAAFVAFLSQGNISTLDTASCFFSVQGLSALQVNISAPSPAGLIAPNIAPSPLATQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAIILMIVLILLIRKKSRELEKIEGTNPLDTWSSCLKKGQEGTSTIFDRFSYKQVKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRRIESLSKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSSGDSTTISSTLVNVNIQATPGYVDPYYVVTQVLTPKSDVYSYGVLLLELVTGKPVVQDDGSKNLVEWSREVIGGDYRLHELVDPAVADAFDLDELQVMADVVHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAAEEGYYYGGQSGRKGKEAGGGGGGEEGRCLPSSSSTSRSYCSRSVLLECSNSPEQQRQSPSHGAFLP >LPERR04G09620.2 pep chromosome:Lperr_V1.4:4:11532195:11538247:1 gene:LPERR04G09620 transcript:LPERR04G09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLLALLLVAALPLLPAAIAADCPLDLSWPNYELIASVCSDENGHSKCCRYINAVIAVSSAMYANTTGILSVPAELSDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDASCKKCLNSALSYLRHLVGEQDNVTLNTCRDAAFVAFLSQGNISTLDTASCFFSVQGLSALQVNISAPSPAGLIAPNIAPSPLATQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAIILMIVLILLIRKKSRELEKIEGTNPLDTWSSCLKKGQEGTSTIFDRFSYKQVKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRRIESLSKQGQLEFCKEMELLGRLHHRHLVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSSGDSTTISSTLVNVNIQATPGYVDPYYVVTQVLTPKSDVYSYGVLLLELVTGKPVVQDDGSKNLVEWSREVIGGDYRLHELVDPAVADAFDLDELQVMADVVHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAAEEGYYYGGQSGRKGKEAGGGGGGEEGRCLPSSSSTSRSYCSRSVLLECSNSPEQQRQSPSHGAFLP >LPERR04G09630.1 pep chromosome:Lperr_V1.4:4:11541068:11543770:1 gene:LPERR04G09630 transcript:LPERR04G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDADNKTTTAASSFHSSPAASPPRSRDSSSSRFSAANKSRRTTTGNAAPKEPGRAGAGGRRSPWMKEAAIEEEGLLMEDDDGGGFGNGGRGGFGGLPRRWRYGIGFVGAFFVLFFFFALILWGASHNQKPVVSINSVTFHNFVIQAGTDASLVPTELSTVNATVRLTFRNTGSFFGVHVTAQPVTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVIGDKVPLYGGGSELSSTPVKGVPPVPVPLQLTVQFRSRAFVLGKLVKPKFLTNVRCNVKLDVAKLGKAVSLKKACSLF >LPERR04G09640.1 pep chromosome:Lperr_V1.4:4:11550699:11553047:1 gene:LPERR04G09640 transcript:LPERR04G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTILPLLVAFMATTTAAAADGDRKPYVIRMDVSAMPAPFATHDGWYKSLLSSATTASSSPAAEHLYTYTHAMHGFSAVLTPAQLESIRSADGHVAAFPESYGRLHTTRTPGFLGLTAGAGAWPASRYGDDVIIGIVDTGVWPENPSFHDAATSLPPVPSRWKGECESGAAFSPATACNRKLIGARSFSKGIKSRGLNISDDDYDSPRDYYGHGSHTSSTAAGAAVTGASYFGYANGTASGVAPAARLAMYKAIFSSDTLGSASSDVLAAMDAAIADGVDVMSLSLGFPETAYDTNVVAIGAFAAVKKGIFVTCSAGNDGSGGYTVLNAAPWITTVGASTIDREFTATITLGKSTKTIRGKSVFPAPAASAIAAGDLYYGRGNRNKQRCAFSSLSSKDVRGKFVFCDAGGVSVVEKMYEVQNNGGLGVIAAATDTRETTTMSPADYTSPLVLVTPSDGAAIQRWYASPSPSPAKSTKSVSIRFGGTETGVKPAPAVAYFSSRGPGKMSPTILKPDMVGPGVDVLAAWVPNKEVMETGEGRKVYTDYNIISGTSMASPHVAGVAALLRSAHREWSPAAVRSAMMTTAYVRDNANDAVLVGSPSGSPATPLDFGAGHVSPNQATDPGLVYDASPDDYVAFLCGELRYTSRQVAAITGRRAGCAGVAVAGGGGDLNYPSFMVILKNASSATRTFTRTLTNVAGSPAKYAAAVMAPAGMKVTVTPATLSFAGKGSKQGFSVTVQVSQVKRSRDGDNYIGNFGFLSWNEVGGHHVVRSPIVSAFAQ >LPERR04G09650.1 pep chromosome:Lperr_V1.4:4:11558866:11561669:-1 gene:LPERR04G09650 transcript:LPERR04G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVCPAPPRSLYGGVVLAAASAASAAPGRWRAFPSSASATRRWPCRRRWAHRLPGAASWIRRPAAAAGAMTVSCAYSPGAETITACSWNEYVICSDVPVLIEFWASWCGPCRMVHRIIDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKNGEKIHSITGTLPKAVYMRAIEKSISDTEADREHAHYRPQWAPKGGAPSTRGGEAARAPGTSEEAATMTSSAGGDGAEAGAMAAHREQPWEPSPAHHPMVGEGGARAGAAAAHPEQPREPSPACQPAMGGAPLPRDRPVPAQRRDAEPEDGPLARVMERARAIMAEAMEAASQEALKGWRVEARNLAGWEAELAQREERRAALLQTV >LPERR04G09660.1 pep chromosome:Lperr_V1.4:4:11564865:11576910:1 gene:LPERR04G09660 transcript:LPERR04G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELALTSSPPRWGAVCRFPLSRHLFAARKPASPGPRVATPPHHSRPHATYARCLRARAVITTSEVLVPCPRDPAVAPLLRDLHARLASAAAPSSPPPPPPKDGSNGGGDEKGADLPFEFVALEVCLDFACKSLQHETSTLEKEAYPALDELTSKVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDMDMAALHLTEKLAYYQSAGHREEEVEEDGETLTGGSFRPNTEELEILLESYFVQIDGTLNKLSTLREYVDDTEDYINMMLDEKQNQLLQMGILMSTATLVISGATVVTGVLGINIRIPLYETPADTSVFWYAVAGIGGSGLALYLTAIICYKRTGILQTKLSLDLSSSSRCAVAGDYLRPGCLAPWHLRSSSACTCAGDAASWGRGESIAGGKWEWASVTAASSGGARASPEAVGTKQQLMRRTGLPPRDLRALSSASCPPAAIVARERAVVVNVERARAVITASEVLVPCPRDPAVAPLLRDLRARLATSTSTVPPPMKDGEGIIGKDGDEKGAHLPFEFAALETSTLEKVAYPALDELTSIVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAALHLTEKLAYYQSSAGQSTRFDIEKEANELEDHRFHCGIKMNKPYTTNSCSESSSMDEEVEENGETLTGVSFRPNIEGLEILLESYFVQIDGTLNKLSTVSPSSPF >LPERR04G09670.1 pep chromosome:Lperr_V1.4:4:11577767:11578060:1 gene:LPERR04G09670 transcript:LPERR04G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLREYVDDTEDYINMMLDEKQNQLLQMGILMSTATLVISCAIVVTGVLGINIHIPLYETPADTSVFWYAVAGIVGGGIALYLAAILCYKGTGILQ >LPERR04G09680.1 pep chromosome:Lperr_V1.4:4:11579358:11583208:1 gene:LPERR04G09680 transcript:LPERR04G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHQGGSRMPPFPRGSGAAGGAYSRGYKQLYAQHQLPPPPPLPVAAAAPPQDKREVLMEAGRLAAEYLVAKGVLPPASLQHRGVGGGGGWAQLPPPPPPAQEAPVFHGGRNGRRRFDDDYDDGNTNPRSRRSRVGENNDIDSSSYNGRGKRKFGNYSRDSDWGRDKGRRLHSDSRSHDDDDDNGPPGYRRERRGGGRFDHAGSSMSGVAAASKTEATGESELEDTGSKVSSSSNFRKDVDARKEDGENEANKMCEDSNPSNSEVVEQGTNGESTSNNASAIVSEEAETKSEHLPVPSDDKVSDEKPDDSSVLNEKIEDDRTLVEKVEDDKTSDEKVPGVENNLRDSCSNLLNYCSIPNVPTRRRSILAHRNAAQAHREVAVAEQIDLVSSEDETHMATDVAGHGSTLTNAQEGNKDDLVCLAYTDASATCNKMVESVRLQTEETQIGTDDLRGHNDTEQHYAVDESKEYNKLSPKVNSQENSLKQPGEEGMQIYNVDTPPQDEGLIASADKDKVSEMALLPSIKAEADVTKEQEKLDQSNSFKICDLNLVGSPEVAELRNDPCSGQFTAAGCSMESQSQQHADFRTSMGNSASDTNRHTQIPLDSKVVQVIDLEDDSPIEAGTCDTSKAKSEMYASEENMTNPSVSTDVLPGIQDGYNLGISDYLGADIPCYQPMQSDLPNGMSLNDSESITVMDDSIYGSLSDIGFMEVWGQPPQDYEKFF >LPERR04G09690.1 pep chromosome:Lperr_V1.4:4:11585785:11591329:1 gene:LPERR04G09690 transcript:LPERR04G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGSSGKSKARDAPLEPKAEPQVEESSSKGGSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSAPAADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRLSSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPAQVSVPPSITNASNFSPICTVQLRPPANNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAIDKVEAGAKQADDGKKTQEAGASSSALADDDKKSDRTLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGAGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPVQENSATLPAFPVGNQAAYFAHRIAAAAHRKVAVAEQFDLVSSENETHIVTNVATLKLLD >LPERR04G09690.2 pep chromosome:Lperr_V1.4:4:11585785:11591467:1 gene:LPERR04G09690 transcript:LPERR04G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGSSGKSKARDAPLEPKAEPQVEESSSKGGSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSAPAADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRLSSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPAQVSVPPSITNASNFSPICTVQLRPPANNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAIDKVEAGAKQADDGKKTQEAGASSSALADDDKKSDRTLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGAGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPVQENSATLPAFPVGNQAASAQN >LPERR04G09690.3 pep chromosome:Lperr_V1.4:4:11588769:11591329:1 gene:LPERR04G09690 transcript:LPERR04G09690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGSSGKSKARDAPLEPKAEPQVEESSSKGGSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSAPAADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRLSSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPAQVSVPPSITNASNFSPICTVQLRPPANNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAIDKVEAGAKQADDGKKTQEAGASSSALADDDKKSDRTLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGAGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPVQENSATLPAFPVGNQAAYFAHRIAAAAHRKVAVAEQFDLVSSENETHIVTNVATLKLLD >LPERR04G09700.1 pep chromosome:Lperr_V1.4:4:11592300:11594495:-1 gene:LPERR04G09700 transcript:LPERR04G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAAAAGIPTLRRLQSPAPATTTPSLLSPSRPSPWLLSLRRSNAAVRPLRVAGGADPKIVNGEDFPPMKDLIRLYKKAFLDGNDEALAGIENAIIAMEKERSSSAAQYESIATEITSGKDKFLRINADLENFRKQTEKDRARFTSNIQVEVVQSLLTLVDSFEKVNHEITPETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGTVSHEVKRGFLLRERLLRPATVKVSTGSGNQETSSSSEKPVEDSKEEDAAV >LPERR04G09710.1 pep chromosome:Lperr_V1.4:4:11598170:11601628:1 gene:LPERR04G09710 transcript:LPERR04G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEMHSSVEAEEVLRLEHCPLSPGITAGSEQKNLILIASSGLYVSRNTYIHTGIAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRAPKGDCVFKGDYILSEDGQVKFVILVYVFLSSTHQIIEMALLYPGHRCTLVRMRLRYFDLNFYLDFVDFSMFASLKYAPIFCRLRGTTLGANNRLDVLKILTTGVNATELRNWKGNVLELVESWGEDETHDPEVPAVSHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >LPERR04G09710.2 pep chromosome:Lperr_V1.4:4:11598170:11600794:1 gene:LPERR04G09710 transcript:LPERR04G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEMHSSVEAEEVLRLEHCPLSPGITAGSEQKNLILIASSGLYVSRNTYIHTGIAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRAPKGDCVFKGDYILSEDGQVKFVILVYVFLSSTHQIIEMALLYPGHRCTLVRMRLRYFDLNFYLDFVDFSMFASLKYAPIFCRLRGTTLGANNRLDVLKILTTGVNATELRNWKGNVLELVESWGEDETHDPEVPAVSHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >LPERR04G09720.1 pep chromosome:Lperr_V1.4:4:11600405:11601538:-1 gene:LPERR04G09720 transcript:LPERR04G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESGAGEREEIVWREEARRFETPDGKAYLQYRLLPAATAVMDLAHTYVPASKRGRGLAARLCDAAFAHARRHAMRVLPTCSYISETYLPRNPEWKELVLTEEEPKPSSSM >LPERR04G09730.1 pep chromosome:Lperr_V1.4:4:11604336:11609147:1 gene:LPERR04G09730 transcript:LPERR04G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPWKTFDQAVQRILDYFVDNQRDTRVIYFDGWRGFGASAVLRAVADQLASPEACLELRLDKVIHIDCSTWKSPRAMQRAIAVELKLDSVMEFLDEADEEDDLNGVDEGSRGEIMSITDVIDRTLRDQRLLFIFHNGSGDYIYPINFGIPQLGIIHGHFVLWTFRRRFEGTGDYSKIKNKVKNTQLFAYETSYDIAINELLFPVLQKEATAIAANHLCMREINQERRIVHCCLYELFLYLCLPKNLENDGAARASAYWMCDDNIQHDQLWEISSALSKEIKWGLEASLLDEVWRGPIESSTENSICCQRFKDTDFGGHHMSYPWITITASGILESHHLGSKTEGASSYLIAPERLNAPLVLADGLFDQLDNLQVLQLSYCFFCFASPPFIDCKNIRFLGLYHCENNITCKQSDLRKWQFLRSLLVLDLIYTCWYEVLSKCITDLFVNLRELNIVGVDWSCIWSQQQHKKEYVDSSEVVVVPTILPSKIGSTCTCAAAAAPVLPVWVSSFPNLKTLHVIHRSNLRNIFVLDGDYPSYITVHGVAFPKLTTIHLHNLPSLRQICDVEFKMVAPALERMLEPAPAAHRHCSWIKADRRDRQGRVINLGLRRRDLIGDE >LPERR04G09740.1 pep chromosome:Lperr_V1.4:4:11610275:11611993:-1 gene:LPERR04G09740 transcript:LPERR04G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERMIRAYRLQMQQRIQMAQAKKEMLRKQPEQIILSEVRQMVQQMQALNQHLEETETAIDEYFKPIDKNAQIIMDLQMEKEERQMKEMAKIMQDQISMQRELASKRAQASAIESKDSQASEKMAEGPPKEETIK >LPERR04G09750.1 pep chromosome:Lperr_V1.4:4:11620691:11625605:1 gene:LPERR04G09750 transcript:LPERR04G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGFKASSSSSSSSFRIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKRDNSAPTYSVQSVDEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDSKKPEEEQEEEEDGEDEYDKQVKQVHASGEFQLS >LPERR04G09760.1 pep chromosome:Lperr_V1.4:4:11629739:11631046:-1 gene:LPERR04G09760 transcript:LPERR04G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLAIDGGAAAATAAAKKRKVVDGDRMVKKVERFDRGEDGEVMEAATSDAGGGGGMEMVHFLLSAVAAGEAGDADAAASALREVYRHASRRGGGEPGQRVAAIYADALASRSPAATAATRADRFMAYTMFYQASPFYQFAHFTANQAIIEAFDSAAAAAAGRRPRRHLHVVDLDVSYGFQWPSLIQSLSDAADTPAPAPVTTSLRITGFGTDADELHETEARLTRFAAGFPNLQFEFDAILTTESSNIDRVKADDGATVVVNLVFPAAATSSLSSQTVNTIIRSLDPSMVFLIEKHDEGGGEILPHFAVGVRYYAAVFESLHECLPAESGERVAIERNHLGREIADAVDSLRRHGHGGGGGWKEAMGRAGLEGARLSSRTVSQAKLLLKMKSGGGGGGGFHVVEGGGGMAMSLAWRDMALATATVWRRRRQ >LPERR04G09770.1 pep chromosome:Lperr_V1.4:4:11634678:11648215:-1 gene:LPERR04G09770 transcript:LPERR04G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQAPKPKQKPKHSSSSSSATGGGGGGPAAAPRLQISSENERRLRRLLLNSGATAGPAGPAPAAADAPAARAESREQKARRLRGVYDKLSLEGFSSAQIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSRAGTEGSVKVVSTAKDNWVPQSRESEEAQGSTEGLAIRIRRRQEGDVTLDDGGSSQAAWIRQYMEQQEEEDDANSNDSYTWEDHCSQSFNTVEAKPSRRKKKGKQEKSSSGNSKEDLSSSVNVVSNHDIANVEGGEVDSDVTGKKCESPVHMDEGSSLEKRMPNDVDETCKKEVEEEEEEVELDNQFFEDSSAWEAVAPEILKEQKLEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAILQKFCQKLGWETPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELPEQDKEYLSVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGDLLSSSRVMDNEDSRRAGFVDMLLDKDANTTPHEVRDASDAATSVDSRSTEDRSVDEKKETYLVNRTEQVESTVLKKHLENKMKQSSYLKMLEARASLPISRFKDHVLQLLRENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSNERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSSQPGRKLKVILMSATVDSSLFARYFGVCPVINVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYYQQHGEKWKNASSSTVNNRRGKKNLVLSSWGDESALSEDYVNPHYTTDCYQSYSEMTNQSLGVAEIDMLIDRLSASVRFGRASSDWILPLHSLLAPTDQRKVFQSPPENIRKVIVATDIAETSITIDDVIYVVDTGKHKANRYNPQKKMSSIVEDWISRANAKQRRGRAGRVQPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTDLCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILKEHGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVEGTRKNNLESWFANMSLPFNLYARYSSVVKSVICAGLYPNVAAALEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAVQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMTGVVIIDGWLRLTAAAQTAVLFKQLRVTLDTVLKELIRRPEKKTRPNKHDVMVISLY >LPERR04G09780.1 pep chromosome:Lperr_V1.4:4:11649744:11651613:1 gene:LPERR04G09780 transcript:LPERR04G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPPTARSLASSLIPAVKLGRGYLLAPAAVAACLLAAVLLFSLLDFSSFSTLTPPPASRVAASTAASNASSSPPRTALDTMGTRPAERFTSLREAYERWDAAVGCPAFAEKHRGSSSPSRSLQDPDAAPCGSLRLRHVSLAVRRVTWVPDVLDGVYQCRCGMTCLWTRNEEALADSPDVELYEIWPPPDTRKQGEALRAFMDIEPTRKRSGHEDIFIGYHADDDVQVTYAGKFFRITHNYHVATHKRDDVLIYWSSSRCFEHRNKIARDLFRHVPAHSFGRCENNVGGGDKALELYPDCAATAGRESEWWDHLHCAMSHYKFVLAIENTFAESYATEKLYYALEAGSVPIYFGAPNARELAPPGSYIDGRAVASVEELAAYVRAVAEDPAAYAEFHAWRRCGVVGGYGRNRAVSLDTLPCRLCERASRMGGRHAPAPAQHNATGS >LPERR04G09790.1 pep chromosome:Lperr_V1.4:4:11652381:11656774:-1 gene:LPERR04G09790 transcript:LPERR04G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAPAPAAAAMRKATSHTSMAAGAPGDPDDFDLTRMLNHRPRINVDRQRSFDERSLAELSISGTASRGGGGGGAGAGGGGYMESYESMYSPGGGLRSFCGTPASSTRLSFDPHPLVFEAWDALRRSLVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDAKRGVERLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAEKPECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMSLRCALLMLKPDSPEGKETMDRIATRLHALTYHMRSYFWVDFQQLNDIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNCVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESHEWQTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAETRLARDGWPEYYDGKLGRYIGKQARKLQTWSIAGYLVAKMMIEDPSHLGMISLEEDKAMKPVLKRSASWTVFYLVGNICNSPCLELSFRHQHERHIQEVQRLEYSIALLKFTYHKEANIPLVLAYTCNQQAFSMTR >LPERR04G09800.1 pep chromosome:Lperr_V1.4:4:11663098:11665113:-1 gene:LPERR04G09800 transcript:LPERR04G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLLLLPPPLLLLLLLSSRASATAANSVEEFPRDGRVIELDEGNFEAALAAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVESAANANRDLVFGYVGVKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVLKFELTDVI >LPERR04G09810.1 pep chromosome:Lperr_V1.4:4:11666130:11667051:-1 gene:LPERR04G09810 transcript:LPERR04G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLHESPASRGIHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKYQKPKVYLSVLGAVGIGIGVPVYAVIFQQKKTASA >LPERR04G09820.1 pep chromosome:Lperr_V1.4:4:11668066:11669242:-1 gene:LPERR04G09820 transcript:LPERR04G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAASVRGFMKERGLKKETGCSWIELKGEVVSFSSNDSTHPLIEQICQEVDTMARFAKDKEEYGKEALDEWVTTYKSDKGTEDKCSP >LPERR04G09830.1 pep chromosome:Lperr_V1.4:4:11669920:11671039:-1 gene:LPERR04G09830 transcript:LPERR04G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTIQNLLRRFRGPHGTPLLLCRFFGLGPSLPPGQAGGDVFQLNSAINDHLRAGRVDAARRVFDEMPDRNVFTWNCMVSGLIRNRMLAEARRVFDAMPVRNSVSWAALLTGYARSGRIAEARELFDRMPDRNVVSWNAMVSGYLRNGMVKRARELFDMMPSRNDISWLTMISGYIKRKHVREARELFDSMPSPSTSVCNALLSGYVELGIMRAAEGLFGQMKARNFVSWNVMIAGYARAGKMGVAQHLFDEMPEKDIISRTAIMRGYLQNGSVDDAWKVFQDMPHRDTVAWNTMMDGFVLNERLDDALKLFAEMPDRDQK >LPERR04G09840.1 pep chromosome:Lperr_V1.4:4:11672232:11673570:-1 gene:LPERR04G09840 transcript:LPERR04G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASRKRSTPNGTDDIKSQSFRVGGHNWCIRFYPNGCNSDNTDCICIFLQLDNSTVEKEVKAQLKFSLLDRSGRPSHSQGSNVVRNFCNNSWGFRCFIKMDQLEKSEYLRDDCFTIMCELTVFMQAHDFESLLYYIYTDSLREMKGEEMVAMLPDLAAAANRYKIERLKLVCEHKLCEYVNGRTVVAMLAFAEEHHCSGLKEKCLRFLDDPIKLREVVKAEGLENLSKSYPTIFSDLIGKLVTTPA >LPERR04G09850.1 pep chromosome:Lperr_V1.4:4:11677083:11679025:-1 gene:LPERR04G09850 transcript:LPERR04G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTGNRKPVRSASAIIASTESGQHLLKIDGYSRIKDELPTGNGIKSRSFQVGGHSWLIRCYPNGSHSEDSDSISIYLWLKHNVTQGVKAHFMFSILDRARRQAHTGERLKYSFGETGGYGHRSFIERDALEKLGCLWDDCLTIMCDLTVFKELQTEDIDVTTATPPPLPPPPPPMVVVPPSDLRQHLGGLLATGEGSDVTFEVDGKTFAAHRWVLAARSPVFRAKLFGPDKGRATTGSATDVIRIDNMEAQDFVALLCYMYTDCLPERKGGEAVAMLPDLIAAANRYKMERLRLVCEQKLCEYVSGRTVVAMLAFAEEHHCNGLKEMCLRILDDPIKLREIVKAYGLENMIKDYPSILKDLIVRLAAAPGHQLRLHHITANKGGEATVGEVSGEQINGVREPLDLHWHL >LPERR04G09860.1 pep chromosome:Lperr_V1.4:4:11679037:11688784:-1 gene:LPERR04G09860 transcript:LPERR04G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASASTVSKLLMSASTIVSNTESGQHLLKIDGYSRIKDAITTGNHVQSSSFSVGGYSWCIYYYPNGVSSSVSDYISVRLVLVTYVNQSVRAQFMLSLLNQDGEAVPSYTYNYGVQSFSRYSSWELGPWRFIQKAVLERSDYLADNCFSIRCDVTVFKDVGNISPTPSPSPLVLVPPSDLIRHLGGLLATVEGADVVFEVDGKTFLAHRNVLAARSPVFRAELFGSAGKENNSVAATICVDDMEAHDFGALLHFMYTDSLPEMKGGEAVAMLPDLIAAADKYKMERLRLVCEDKLCEYVNVRTAAAMLAFAEEHQCHGLKKKCLQLLDDPAILRKIVETEGLDYLTKSYPSVLKDLIAKFATKVCATRIKDIKREENTLIGLPMSITGGTPRPPLRSASTVIAGTESGQHLLKIEGYSRIKEEFPNGKEIKSRSFHVGGHSWHISYYPSGYNSDNANCVSIFLQLDRKVEKGVKANYSFSLLDRAGRPSYTLRSGEPSIFIDIGWGWRCLIEKDKLEKLDCLWDDCFTIMCDFNVFKELRTEDIDIIAATPPPLPPPPPPTIMVPPSDLHRHLGGLLTTEEGADVMFEVDGKTFKSHKWVLAARSPMFREKLFDPKKEIAATSGAVDVIHINNIEAQDFVALLCYMYTDSLPEMKGEETVEMLPDLVAAANRYKIDRLRLLCEHRLCEYVNERTVVAMLAFAGENHCDGLKKKCLCFLDDPIKLREVMKAEGLEHLSKNYPSILKDLIAKLADAPTYLWQQNTSDHEYIIKGT >LPERR04G09870.1 pep chromosome:Lperr_V1.4:4:11694766:11699299:1 gene:LPERR04G09870 transcript:LPERR04G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLTVRIAQCFLLNGLIYLGSLLTLKLVVIPILSWILPEECGQLQGQHLCDHPVAVATYSLLRSVLIQIFYLHFEYTLHALDVVKSKSLDATKALDARTISESTEKPEGFDGVAIGIGEQVYSLILLTIFFIEVSVIGYIPYFGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGTQSEKVIDQLKPSHGGKLQRIPVFFVAKRLT >LPERR04G09870.2 pep chromosome:Lperr_V1.4:4:11694766:11699299:1 gene:LPERR04G09870 transcript:LPERR04G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLTVRIAQCFLLNGLIYLGSLLTLKLVVIPILSWILPEECGQLQGQHLCDHPVAVATYSLLRSVLIQIFYLHFEYTLHALDVVKSKSLDATKALDARTISESTEKPEGFDGYKWNFFAVSLNERLDFFESNWAFFAGFGTQSEKVIDQLKPSHGGKLQRIPVFFVAKRLT >LPERR04G09880.1 pep chromosome:Lperr_V1.4:4:11709053:11709717:1 gene:LPERR04G09880 transcript:LPERR04G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDATASSSSSTSPSPLLPIPPRRRRRRLITSSPNPSSASSSSSTSTSASTSSSSSSSSLSLFQFPSFSPAPSPFHHRFLSPLRSSAVPFSWEHRPGIPKTPARHHHRAGGGAKHSNSKPTPLPLPPSLISSKVVAAADDDDCLRPPRRRPALADALADWIAVLSLYRSCTRSVDCLDDAAPPPPLPAKAASTAAAAKAG >LPERR04G09890.1 pep chromosome:Lperr_V1.4:4:11718120:11721551:-1 gene:LPERR04G09890 transcript:LPERR04G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGIADAWSVDDADGIGEFPDAMSSVAHKQMVTGVEEAMVGKLELASQSGLFSASDDFLLSGSSKLDSYPSEDIGLSAKEGLSESTTDKPGNNGSNRIPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSDDTVTYFKQVVLPSEGVQNLISSDMGVLMRTVANDKREEIKIFSQEIVRFGNRCRDPQWHNLDRYFVKLESENPPQKQLKETAIAEMRKLMELVHRTTDLYHELHALDKFEQDYRCKLTGKGNSDRFEKDNLPIDNIHVMRIELKSQRNYVKSLKKRSLWSKTLEDVVEKLVDIVQYLHFEINGSFGSSDEGEVSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYMPPNTRDALYQGLPPRVRSALPNRLRTSAVPQELNIDQIRATMEKTLKWLVPMAINTTCARGFLRFSEWAKSGSERVGRKPGQPDVIETLYHADKAKTEAYILDLVVWLHHLVNQSNRPANVVNGRYRLLAVVPELVGKVQ >LPERR04G09890.2 pep chromosome:Lperr_V1.4:4:11718422:11721551:-1 gene:LPERR04G09890 transcript:LPERR04G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGIADAWSVDDADGIGEFPDAMSSVAHKQMVTGVEEAMVGKLELASQSGLFSASDDFLLSGSSKLDSYPSEDIGLSAKEGLSESTTDKPGNNGSNRIPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSDDTVTYFKQVVLPSEGVQNLISSDMGVLMRTVANDKREEIKIFSQEIVRFGNRCRDPQWHNLDRYFVKLESENPPQKQLKETAIAEMRKLMELVHRTTDLYHELHALDKFEQDYRCKLTGKGNSDRFEKDNLPIDNIHVMRIELKSQRNYVKSLKKRSLWSKTLEDVVEKLVDIVQYLHFEINGSFGSSDEGEVSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYMPPNTRDALYQGLPPRVRSALPNRLRTSAVPQELNIDQIRATMEKTLKWLVPMAINTTCARGFLRFSEWAKSGSERVGRKPGQPDVIETLYHADKAKTEAYILDLVVWLHHLVNQSNRPANVKDKDQSTSNQT >LPERR04G09890.3 pep chromosome:Lperr_V1.4:4:11718420:11722296:-1 gene:LPERR04G09890 transcript:LPERR04G09890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAHKQMVTGVEEAMVGKLELASQSGLFSASDDFLLSGSSKLDSYPSEDIGLSAKEGLSESTTDKPGNNGSNRIPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSDDTVTYFKQVVLPSEGVQNLISSDMGVLMRTVANDKREEIKIFSQEIVRFGNRCRDPQWHNLDRYFVKLESENPPQKQLKETAIAEMRKLMELVHRTTDLYHELHALDKFEQDYRCKLTGKGNSDRFEKDNLPIDNIHVMRIELKSQRNYVKSLKKRSLWSKTLEDVVEKLVDIVQYLHFEINGSFGSSDEGEVSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYMPPNTRDALYQGLPPRVRSALPNRLRTSAVPQELNIDQIRATMEKTLKWLVPMAINTTCARGFLRFSEWAKSGSERVGRKPGQPDVIETLYHADKAKTEAYILDLVVWLHHLVNQSNRPANVKDKDQSTSNQT >LPERR04G09900.1 pep chromosome:Lperr_V1.4:4:11725832:11743298:-1 gene:LPERR04G09900 transcript:LPERR04G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDFLVEIGMKEEDITTMLFGKKVIELTEDAFDSSKEERKIFEELFCRTGISRVSTHHPRRDGKNNSLEDTSKEFISYTPSSSASNDKLVRCRVVESFTYGNLSSYLVFRNNVNHHMQKTVSFPDVATTSSDLAIQWTPPSIERVYTRRTRARRMQRARLCSVLDLERVDITGTERRRDRGQHKYEYGHGELWNHLRLHANLLMMDAGWKIDGKERGDKSKVDHVYESPDKLMRLFSLPRAWKCFGQWLLINSSSFVGNDYGRVWFNIHDFLIDLKNTLLCIEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVKALKKGVALKAVNSTVTFVSRTDSKLLTSRSADNTLALNHSNNYNNMHPRSRKNLLPLLQSDDPMGNSSCSKQHSMIGKSKSNQYKMDQRLLSIAEINEKSIRSTAHRIVMGLQGSTAFPSSRTTCLKMKNKLPHSLTQCIQDKSDPLYFPPNYYPDDLVDNVEIKDPSFHAYDMIESANVDNSADSPSDGLLLGANLLFSHEVDEMLLGQLDDTSNEHHGAPAVSELQAELVDLGDGPSGPSSLPSEKDIGLKANKDDIDNEQHNAAAVLESQSANKDVSDGPAGALSFLPTKDTVLEANEMSSEEMTKIGQLSCEATDNAMVISEPQLLFVSPQDGTLSFMNDSMCSQEMQSCVRASSDTMGTNFQLDIHSSVYEASLIQGFLYLDNEGSPICWTVINPESPRQLICSEPISKASELHGEMNMEGGTLTSEPMQMSKLASRKTNRKRSKKSASIEDKGNQKKHKVNDIHASDCTIDQHMNHITANPAGYLISNEKEQIGSASTEHVSLNLLPENSTGKNQGSEQQVPIKTSDNDQARVSIELTEKIMPEKTPKKDVRREKAPRHKCKFDDNDLLITAVIHKLTARYRNRFSRRLAKKSGFRRLPRCRRESEERGDMSTFPKGARTVLGKLLEMGIVCRVNILQYRRPGSKNVLKDGNITNKGIRCRCCDVVFTMSRFKCHAGLQQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKYTMPLQADQNDDTCGLCGDGGELICCDNCPASYHLDCLPCQDIPDGTWYCYRCLCNIYTNLRSRVGIPNHIGDGFSCTILRNNGDQRVSKAADIAILAECNMKLVIALSIMEECFLPVIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDSIISVASIRLHGTIVAEMPLVATSLENRQQGMCRRLMDYVEEMLKSLKVQMLLLSAIPSLVDTWTSAFGFVPINDRDKQQLSKLRLVSVPGTVLLKRNLCVCSGTDPVGGGGDSKENLIRDDQPVAIAEDRRVGTPLGETKLQQQPSDDFSSPVCPVDSLADDLGSLQITSPCEIIPRRLSKNTVNSANVSAACKIQGLERLQVPSIEKAACKSYLRPGLSVKAKNCDTDRAHTYGTNSSYNVNIMDSVPRSRNPSKESMHQPTESGTMENNSSYLPTGTKSCSSTYLNNHMVQADTITTTNHSNLARTGTKLFSTAPFVHDMCDDGNLDAMDDDELMASIDLDRVVMEHFQATNTPRGSSRTPLGNCKSGGFDESNLPQDLSVVCDHGNKLALCPEVKSHLHEMKDNLLAVSNELIEGKLSPQRTDELHQKRSVLKKQIELLGEYMARLTQDEERQRSHSMASTTALQSHHPIVTPSISFVQDTNRFQSPIYIRNEPGNNGLCFSSAPYSYMDGLSTPLPSVQRDYTPKIIDISYTEGSSDKKWSSTHFAWTKDLEANNKKVFGNRSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALISNGVTLVVSPLVSLIQDQIMHLLQANISATYLSATLEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDVLFRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGILKQKFPETPVLALTATATASVREDVVQVLGLANCVIFRQSFNRPNLRRVQSINKSAYVEFVWPEYGHKASHYHGSLDPIDRSYIQEQWSNDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQLSSCVLYYNYSDYVSYCENDVDCRRLLQLIHFGEMFDPSCCAKTCDNCLKELRWIEKDVTNIARQLVDLVMMTKQAYSASHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLGKGEAARILRHLVTEGILIEDVKKSENMYGSVSSVLKICVPLRFFVEQAHQKQVNDLFSGKHNVVLKFPTPEKAPKMGVLDESSVPQINRTTQQQSQVDESLSSELFEALKCLRTQLMKENQCLAYHIFRNETLREISCRIPRTKEELVEINGISKNKLVKYGDRVLATIEDFLIKYPTKKSSSSGGSNEQTEAVKKRRGFTGTDTSSNCDDFEERTVQSKKRAAKTRSRQEISDAASVVQDVRYYDLELDGYDHADDVVPFSVQKPVASGRVLPKWQSAKIA >LPERR04G09910.1 pep chromosome:Lperr_V1.4:4:11747885:11751206:-1 gene:LPERR04G09910 transcript:LPERR04G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDIEDVLGPAGLAGGGAPPGLRLPLAAVAVKPKRPRSSRVAQTRPQPEARIPGTQVQPPPPHAPSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKSKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDISTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYTFLHVPVQSGSDAVLTAMNREYTVGEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKEYKFPQVHISQFYPRPGTPAARMKKVPSVDVKKRSRELTSVFESFSPYQGMEGKVEKIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVAAKETHKQNHTELQEEYRPSQVEEATCCGTDSCGACACSDVAHQCSPGPQRSEDPMPQHCGDASHQEVVQSKLMRRNVEGTVKSSESDTTKVIGKIQNLNVATRRLPKVDTILWGGLAVSFATTVALIVLLAYKISSTSSY >LPERR04G09920.1 pep chromosome:Lperr_V1.4:4:11751734:11753679:1 gene:LPERR04G09920 transcript:LPERR04G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVAMMNVVAIGLVLSTLAAAGVWSPAPTPPSPADGEHVIREGRRVVIVGYERELPLSPVGDGLGGGEGEGKIGDMVEGGGKEAMYEAKESATGKVFGAVRRCKDRLCGAARKAEDGVKEAASSVEHRAEDAARGAEEKVFDAASHVKDTAVGARDKVFDAASQAKETASGAKEKVFDGASGAKDKVFDAAGKAKDKASHVQHGATETVKNAQDKVSHAARNAGESVKERAMDAKDKAADIAETAEQYADDAAGRAARAEEAVKAKAGEAAGNLSDIARRARGVASDAAAHLIHLLGAPACTATAVMHLLGFAAAYGASLWVTFVSSHVLAAALPRQQLGMVQSKLFPVYFRAVAYGVGLALAAHLLGRERSSLAARAQSVNLLAALGLVLANMLLLEPKATKVMFERMKVEKEEGRGRDMADIVEPPPVVTVETANTATTTTVPTAAAVARKPADGGAAAAKSAADVQASKSRAVRLNQRLKKLNGYSSLCNVLCLMALTWHLVHLARRLQMGSAC >LPERR04G09930.1 pep chromosome:Lperr_V1.4:4:11756253:11757042:-1 gene:LPERR04G09930 transcript:LPERR04G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLSLVPYDAGSGGAGAGGAGGGKYKECMRNHAAAMGGQAFDGCGEYMPSSPDSLNGGGSGSFGRKRFRTKFTAEQKERMREFAEKQGWRINRNDDGALDRFCLEIGVKRHVLKVWMHNHKNHLASPTSAAAAAAGGVGVMNPSAAGIGLGVGVGVGVGVGGDGDGDDDDTDDSPPRAAVSSPSPSPISV >LPERR04G09940.1 pep chromosome:Lperr_V1.4:4:11761836:11771615:-1 gene:LPERR04G09940 transcript:LPERR04G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKSSLRRRHSFGEDELAYLPDRKREYSIEDDDVSLDSEFSIEGKLDSNNPDESLRFDRRNRKRGMLGWFKLKKSDQLTGLSTSVDSGSTASGSPSFSKSSQQKHLLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAASNPDDSQTQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSMQLSEKTFELEIMSADNRVLQDQLQAKVTENAELKETIAQLRQEIDNQFKSANSEDNVASMQSSEPSTTSSNPRDLANEVASHANVPSRATDDHTESLLKSQVLVQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENARLNTDLAAAKEQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQKARRESDLLKIIDDAKCREHDLENELANMWMLVTELKKENSQEDLFEFKATQNGFHPSKSDTSRMMSGMEASDNKNWDGVSTFEEAKAAYNHQRRRCKELESIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMASQVP >LPERR04G09940.2 pep chromosome:Lperr_V1.4:4:11761836:11771615:-1 gene:LPERR04G09940 transcript:LPERR04G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKSSLRRRHSFGEDELAYLPDRKREYSIEDDDVSLDSEFSIEGKLDSNNPDESLRFDRRNRKRGMLGWFKLKKSDQLTGLSTSVDSGSTASGSPSFSKSSQQKHLLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAASNPDDSQTQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSMQLSEKTFELEIMSADNRVLQDQLQAKVTENAELKETIAQLRQEIDNQFKSANSEDNVASMQSSEPSTTSSNPRDLANEVASHANVPSRATDDHTESLLKSQVLVQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENARLNTDLAAAKEQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQKARRESDLLKIIDDAKCREHDLENELANMWMLVTELKKENSQEDLFEFKATQNGFHPSKSDTSRMMSGMEASDNKNWDGVSTFEEAKAAYNHQRRRCKELESIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMASQVP >LPERR04G09940.3 pep chromosome:Lperr_V1.4:4:11761836:11771615:-1 gene:LPERR04G09940 transcript:LPERR04G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLVMPLSSVLIVVFIIPLEHLIVTLEFLVSSCSYQNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKSSLRRRHSFGEDELAYLPDRKREYSIEDDDVSLDSEFSIEGKLDSNNPDESLRFDRRNRKRGMLGWFKLKKSDQLTGLSTSVDSGSTASGSPSFSKSSQQKHLLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAASNPDDSQTQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSMQLSEKTFELEIMSADNRVLQDQLQAKVTENAELKETIAQLRQEIDNQFKSANSEDNVASMQSSEPSTTSSNPRDLANEVASHANVPSRATDDHTESLLKSQVLVQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENARLNTDLAAAKEQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQKARRESDLLKIIDDAKCREHDLENELANMWMLVTELKKENSQEDLFEFKATQNGFHPSKSDTSRMMSGMEASDNKNWDGVSTFEEAKAAYNHQRRRCKELESIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMASQVP >LPERR04G09950.1 pep chromosome:Lperr_V1.4:4:11773672:11777439:-1 gene:LPERR04G09950 transcript:LPERR04G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERLAVLRAAAPAISSQHGRRAARPSSAFLPAAPLPRAASLRAASSRLLPQSASAAAGSGRWVRCMAATAAATASDAAELKAAREDVRELLKTTFCHPILVRLGWHDAGTYDKNIKEWPQRGGANGSLRFEVELKHGANAGLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRVDVTGPEQCPPEGKLPGIKRFFNRKYCTGISFNPLKPMLANLQLSFPVVCSDAGPTEPADHLRKVFYRMGLDDKDIVVLSGAHTLGRSRPDRSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDTAFKVYAEKYAEDQEAFFKDYAKSHAKLSNLGAKFSPPEGFTLDG >LPERR04G09960.1 pep chromosome:Lperr_V1.4:4:11779674:11790201:1 gene:LPERR04G09960 transcript:LPERR04G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVAVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPACLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIMLPLVAKTTQPASYVFTHFEMAPEVTGIKSSAYAGILALLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITLFGWAYILALTFSIQDFGYLFDPSNETAGTFVPAQILYDAFHGRYNNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDKGIPLSSVWRRIHPRHKVPANAVWLCAAVCALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREDDFSPGPFYLRRASRPVCLVAFLWICYTCSVFLLPTTYPISADNFNYAPVALGVCLGLIGLWWVVDARKWFKGPVRNIDDVHKNGDGSAHDNGKV >LPERR04G09960.2 pep chromosome:Lperr_V1.4:4:11785270:11790201:1 gene:LPERR04G09960 transcript:LPERR04G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVAVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPACLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIMLPLVAKTTQPASYVFTHFEMAPEVTGIKSSAYAGILALLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITLFGWAYILALTFSIQDFGYLFDPSNETAGTFVPAQILYDAFHGRYNNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDKGIPLSSVWRRIHPRHKVPANAVWLCAAVCALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREDDFSPGPFYLRRASRPVCLVAFLWICYTCSVFLLPTTYPISADNFNYAPVALGVCLGLIGLWWVVDARKWFKGPVRNIDDVHKNGDGSAHDNGKV >LPERR04G09960.3 pep chromosome:Lperr_V1.4:4:11779674:11783734:1 gene:LPERR04G09960 transcript:LPERR04G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGCPRRGPPPARLAGRSPRRNPPRSTAPKCSSSSSSSSSSPSPLLTRRAASAASLLLAAIPFPATSPLLPVASANEVEEAPEARELELERYTDRDEGFTLLKPASWAKVEKAGATALFQQEGKGSNNIGVVVNPVRLSTLTEFGTPQFVAERLIQAEKKKESTKSAEVISVGERSGHDGLTVYEIEYLLDSTRGGMKRIFSAAFVAAKKLYLLNIAHSDSEEKPLDRQTRNVLEQVLHSFDSV >LPERR04G09970.1 pep chromosome:Lperr_V1.4:4:11790774:11791680:-1 gene:LPERR04G09970 transcript:LPERR04G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQAEQRMVYVVTTSKSLKNQGNDLFKDGKYTEAAAKYRLAVDNLKSVPSKDAQNLQKTCSVNLMACYLKIGRFGECVAEGCEVLGYDPSNVKTYYRRGQAYREMGNLEAAMSDLRKAHELSPDEESIGEVLKDMEEKLAVKLPRGVVIEEIVKKEEESGEEKHEDSEVSSSETSVSQPCHEASPLPSSPAEPSVAEMHDAMMKSMKSPAIQGLFTITSMMKSMDPKVNAEKATRWMNRAQGAAEATRNAKNFLLGRKGLVIAIVLLILAFVLHRLSRVHSSERHV >LPERR04G09980.1 pep chromosome:Lperr_V1.4:4:11794097:11794885:-1 gene:LPERR04G09980 transcript:LPERR04G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPEMMRVAQEQMSQTSPSALAAMQQQIMSNPNLIKLATDTISTLSPDDIRRAADQLNQTRPDEMLDMAKKLAASTPYEVAAMKVQAEQRMVYVN >LPERR04G09990.1 pep chromosome:Lperr_V1.4:4:11795926:11801049:1 gene:LPERR04G09990 transcript:LPERR04G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQPCVNLLRSSYLRYAARRMKNFEEWRVVSSLSFSFKRSYLQDGGIYKPSLRTKLIITKFLFGYHCQEILSINLLLAMHPAIHLLRGYHVLNLRIIAISLEHRILETQDILIVILLLLLLINV >LPERR04G10000.1 pep chromosome:Lperr_V1.4:4:11806249:11806687:-1 gene:LPERR04G10000 transcript:LPERR04G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARLGECVDEGCEGLGYDPSNVKAYYRRGKGNLEAAVGDLRKAHELSPNEETIGEVLKDVEKKLAVKLPRGVVIEEIVEDPLLKPTPPPSRHSSPPAVAASTYLTCFVVFPMPIASSSSFSSIHCTISSSDVCIACRVHSPL >LPERR04G10010.1 pep chromosome:Lperr_V1.4:4:11807180:11807757:1 gene:LPERR04G10010 transcript:LPERR04G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSPYWMAPEVIMNNRGYNLPVDIWSLGCTIIEMATARPPWLQYEGVAAIFKITNSKDISEIPDGFSEEGKSFLQMCLKRDPASRSTATQLMDHPFVQDHPSVRAAKSSALRNAFSAPADGRHTMV >LPERR04G10020.1 pep chromosome:Lperr_V1.4:4:11809509:11810717:-1 gene:LPERR04G10020 transcript:LPERR04G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPEMMRVAQEQMSRMSPSALAAMQQQIMSNPNLIKLATDTISTLSPDDIRRTADQLNQTRPDEMLDMAKKLAASTPDEVAAMKVQAEQRMAYVVTTSKSLKNQGNDLFKVGKYTEAAAKYRLAVDNLKSVPSKDAQNLQKTCSVNLMACYLKIGRFGECVAEGCEVLGYDPSNVKTYYRRGQAYREMGNLEAAVGDLRKAHELSPDEETIGEVLKDVEEKLAVKLPRGIVIEEIVEEEEESGEEKQQGSELSSGETSVSQPCHEASPLSSSSSSPAAFSAAGMQEVVMNSMKDPAIQGLFTNMLQNMDPEVISDISRQFGMEINKDDAAKVQKAISSMSPENLDKMMRWMNRAQRAVEAARKAKKFLLARKGFMLALVILILAFILARLGFLGGNRLFYL >LPERR04G10030.1 pep chromosome:Lperr_V1.4:4:11826494:11835096:1 gene:LPERR04G10030 transcript:LPERR04G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGSNSAQFPRNWAGPIRWSTHTNQTGEAEREGPRRRRRRRRRRRRGGSAAGLTPPLAGSESEGGGGTRRTAQALQFLRRVGSECQADRTQIIL >LPERR04G10040.1 pep chromosome:Lperr_V1.4:4:11853551:11857290:-1 gene:LPERR04G10040 transcript:LPERR04G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQLAVHLSGEIAPVNTEVYQWMQKVDAWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPEIMKQSEEELNKLLDDVEQQLNNGKYIAEAQLQGCNWQVFQRVEEVSNSLQDLIFSLHADLVQEILDELSEPVRGRKRGPKSSGNGNMEESPKIVSSFRNGEAAALTQYVVGSSCLK >LPERR04G10040.2 pep chromosome:Lperr_V1.4:4:11853551:11857290:-1 gene:LPERR04G10040 transcript:LPERR04G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQLAVHLSGEIAPVNTEVYQWMQKVDAWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPEIMKQSEEELNKLLDDVEQQLNNGKYIAEAQLQGCNWQVFQRVEEVSNSLQDLIFSLHADLVQEILDELSEPVRGRKRGPKSSGNGNMEESPKIVSSFRNGEAAALTQYVVGSSCLK >LPERR04G10040.3 pep chromosome:Lperr_V1.4:4:11855361:11857290:-1 gene:LPERR04G10040 transcript:LPERR04G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQLAVHLSGEIAPVNTEVYQWMQKVDAWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPEIMKQSEEELNKLLDDVEQQLNNGKYIAGDEFSPADSMFIPILARITLLDLDEAYINCRPRLLEYYALVKQRPSYKAVIGKFFSGWKKYRTLFRTSFFLCMRTLFRKY >LPERR04G10040.4 pep chromosome:Lperr_V1.4:4:11853551:11855316:-1 gene:LPERR04G10040 transcript:LPERR04G10040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEYSGFSLNIQPLHLQPSGLRVSGELSEPVRGRKRGPKSSGNGNMEESPKIVSSFRNGEAAALTQYVVGSSCLK >LPERR04G10050.1 pep chromosome:Lperr_V1.4:4:11867404:11873443:1 gene:LPERR04G10050 transcript:LPERR04G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAAVGREDTPPPAVVLISAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSWFDAPGMITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEEGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGDKMVLVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALQDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNDDHCFPVQVKFPEEQKVAQVACGWRHTLAYTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKIWVSPSERYAIVPDEKVPGAARGNGADANVPENDVKRMRVHS >LPERR04G10050.2 pep chromosome:Lperr_V1.4:4:11867334:11873443:1 gene:LPERR04G10050 transcript:LPERR04G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAAVGREDTPPPAVVLISAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSWFDAPGMITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEEGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGDKMVLVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALQDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNDDHCFPVQVKFPEEQKVAQVACGWRHTLAYTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKIWVSPSERYAIVPDEKVPGAARGNGADANVPENDVKRMRVHS >LPERR04G10060.1 pep chromosome:Lperr_V1.4:4:11876881:11877456:1 gene:LPERR04G10060 transcript:LPERR04G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPTTASPELAAEPLRLHRRLLHFAASPLWFPSSAPPEFMDDREEEEGNSKMAETSELLRGGDGDGEEEKMDMLWEDFNEELQQQVVKRVGSCPMEAAAEGMEVCSPGESASDAESEPAVMRRGGGGGGGCAPALMMRATSRAGGTGHYRRTTSWVLLMKIFRRLFVIEKTISASGRHGRPPPPPRR >LPERR04G10070.1 pep chromosome:Lperr_V1.4:4:11879353:11882344:-1 gene:LPERR04G10070 transcript:LPERR04G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETKAAEGERERQGGIGIPSRPSPFRIPSPAGRSSAAAAVEMDPEAVRRSLEPTAFAREVAGSATHLYDTFSLSGVRIDGVEHGRLLCSFLVTPRIASPAGYLLSGVTATLADQLGSGVFFCSGILTSGVSLELGLSYLDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAVSSKL >LPERR04G10080.1 pep chromosome:Lperr_V1.4:4:11883204:11886085:-1 gene:LPERR04G10080 transcript:LPERR04G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLVLPFAFTIAVLISSSPAVADVDAAIELKEAVLTLDVGNFSEVVAQHPFIVVEFYAPWCGHCKQLAPEYKKAASVLRKNDPPVVLAKVDAYDERNKDLKDKYGVYSYPTIKIIKKGGSDVRGFGGPRDADGIVEYLKKQVGPASLELRSAEEAVHSIGDKGVVLVGVFPEFAGTEYENFMAVAEKMRADYDFFHTSDANILPHGDQTVKDPIIRLFKPFDELFVDSKDFGKDAIEKFIEVSGFPMVVTYDADPTNHKFLERYFRTPSAKAMLFVSFSDDRIESFKSHIHEAARQFTANNISFLIGDIADADRVFQYFGLRESDVPLLFVIASTGKYLNPTMDPDQIIPWLKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADNIDNIVFNSGKNVLLEFYAPWCGHCRKFAPILDEIAVSLQDDEDVVIAKMDGTVNDIPTNFVVDGYPTLYFYSSSGNLLSYDDAMTAEEIISFINENRGPKAGAANARTQTDAVEEEEH >LPERR04G10080.2 pep chromosome:Lperr_V1.4:4:11883442:11886085:-1 gene:LPERR04G10080 transcript:LPERR04G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLVLPFAFTIAVLISSSPAVADVDAAIELKEAVLTLDVGNFSEVVAQHPFIVVEFYAPWCGHCKQLAPEYKKAASVLRKNDPPVVLAKVDAYDERNKDLKDKYGVYSYPTIKIIKKGGSDVRGFGGPRDADGIVEYLKKQVGPASLELRSAEEAVHSIGDKGVVLVGVFPEFAGTEYENFMAVAEKMRADYDFFHTSDANILPHGDQTVKDPIIRLFKPFDELFVDSKDFGKDAIEKFIEVSGFPMVVTYDADPTNHKFLERYFRTPSAKAMLFVSFSDDRIESFKSHIHEAARQFTANNISFLIGDIADADRVFQYFGLRESDVPLLFVIASTGKYLNPTMDPDQIIPWLKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADNIDNIVFNSGKNVLLEFYAPWCGHCRKFAPILDEIAVSLQDDEDVVIAKMDGTVNDIPTNFVVDGYPTLYFYSSSGNLLSYDDAMTAEEIISFINENRGPKAGAANARTQTDAVEEEVSSSSESVKDEL >LPERR04G10090.1 pep chromosome:Lperr_V1.4:4:11886761:11888815:-1 gene:LPERR04G10090 transcript:LPERR04G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHHGLHLVSHLRVSAPLADLLRSARGLRAARAAHARALRSHLAGDTFLLNTLLSAYARLGSLRDARRVFDGIPRPNTFSHNALLSAHARLGRADDARALFAAIADPDQCSYNAVVAALAQHGRGGDALRFLAAMHADDFVLNAYSFASALSACAAEKDLRIGEQVHALVSKSSHGSDVYIGSALVDMYAKCERPEEARRVFDGMPERNIVSWNSLITCYEQNGPVDEALVLFVGMMKAGFVPDEVTLASVMSACAGLAAGREGRQIHACMVKSDKLREDMVLNNALVDMYAKCGRTWEARCVFDRMAFRSVVSDTSMITGYAKSANVEGAQAVFLQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACANLANLQLGQQAHVHVLKEGFRFDYGPESDVFVGNSLVDMYLKTASIDDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALHLFERMLCSNERPDSVTMIGVLSACGHAGLVKEGRRYFQTMTEDHGISPTRDHYTCMIDLLGRAGHLKEVEELIEGMPMEPDAVLWASLLGACRLHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWGDVFRVRRSMKNRGVSKQPGCSWIEIGRKVNVFLARDSVHPCRNEIHDTLRVIQMQMGRTYVDAEIADNLMNFYSEACG >LPERR04G10100.1 pep chromosome:Lperr_V1.4:4:11889838:11891970:-1 gene:LPERR04G10100 transcript:LPERR04G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGSSVSALVAAGRLFAALDALPPSYSSSPIPSAVYASLLRLATSRRSLAAARRIASHLAYSSATSSAPSTSTSTSSSFLFNRAIESLAACGGLADARELFDAMPRRDGGSWNAIISAYSRGEEPAEAMFLFSAMYSRGVRPKDVTLASVLGCCAECLDLRGARLLHGHIAKRDFQWNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAVDMFFRMVWAGVKPLVYTVTHAVLACRDNDALEEGRCIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDAAQRLYDLAPMKDIVMSTSIVSGLAAYGRINDAKRVFDGMEEHNLVSWNAMLTGYVRSMDLTGALQLFHQMRQETKELDAVTLGSVLNACTGLLDLGKGEEVHAFTFKSGFIGNPFLNNALVRMYSKCGCLRSAERFLLFEMGPERDSYSWNSLISGYERHSMSEAALHALSEMQYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCKLFDYSIRVFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKKGIRPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIAPRIEHYECMIELLGKHGYMVELEDYIEHMPFEPTTGMWLRIFDCCREYRNRKLGERAAQCINDSNPLTPVRFEIAPHYGSSDSSSEELMSLSH >LPERR04G10110.1 pep chromosome:Lperr_V1.4:4:11898006:11899466:1 gene:LPERR04G10110 transcript:LPERR04G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIVHFLRRKASLLPCRPDIVPTLILNRYDPWELNGKALQSGNQWYFFSNEIPTRSSPNGHWKSIAADETVSSGGCNVGLKKTLIFFIGEPFEGIKTNWVMHEYHLMDGGINCSSSSTSSSSSKRSHKKKGHSDTESNNWVVCRVFESSYDSQVSFHEEGMELSCLDEVFLSLDDYDEVSFAK >LPERR04G10110.2 pep chromosome:Lperr_V1.4:4:11898006:11899466:1 gene:LPERR04G10110 transcript:LPERR04G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIVHFLRRKASLLPCRPDIVPTLILNRYDPWELNGKALQSGNQWYFFSNEIPTRSSPNGHWKSIAADETESNNWVVCRVFESSYDSQVSFHEEGMELSCLDEVFLSLDDYDEVSFAK >LPERR04G10120.1 pep chromosome:Lperr_V1.4:4:11902610:11903149:1 gene:LPERR04G10120 transcript:LPERR04G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVSSSASSSCSSPAFFFCGGGVMMVSARERELFLLELEAGDEVSFSSPDYTPASPSPRRAASPDYTPASPLRRAASPDYTPITPPRRAPSPDYTPLTPPRRAASPDYTPASPDYTPSSPPRRAASPEYTPSSPPPRAASPDYSPSTPTSSPALPRRHHPYQRSGACSSHAVRVITI >LPERR04G10130.1 pep chromosome:Lperr_V1.4:4:11908770:11909995:1 gene:LPERR04G10130 transcript:LPERR04G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLAADADLIPNRTLRHLIERWLSTDHTHPSPSIATDAEADAAGDEPSLAALKRRLQQPEHAAAALRKAMALASESDVGRASMLQLGFLPVLLRLVFDTTPPASCRAGGEAVEEMALQCALLLMPPSNASPQLACLNDALKSEAALASLVRLLERDGGRTTRASLCRLLETVATASATKNDAGGIGGGGVAGALAAVEALATTEAGRRAVAREAGATRALVRHVFVMNSGNEGSEHAVAALVSVCGASRAARAEAAAAGVVTQLLLLLQSQCGARAKAKARSLLKLLKSM >LPERR04G10140.1 pep chromosome:Lperr_V1.4:4:11912455:11915938:1 gene:LPERR04G10140 transcript:LPERR04G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRVAKEQMSRMSPSALAAMQQQIMLNPNLIKLATDTISTLSPDDIRRAADQLNQTRPDEMLDMAKKLAASTPDEFASTPDVVTNSKSLKNQGNGLFKTGKYTEAAAKYRLAVDNFKSVPSKDAQNLQKTCSVNLMACYLKMGMFGECVAEGCEVLGYDPSNVKAYYRRGQAYKEMGNLEAAMSDLRKAHELSPDEETIGEVLKDVEEKLAVKLLRGVVIEEIVEEEEEAGEEKHEDSEVSSSETSASQPSLEASPLPSSPVETSVAEMQDAMMKSMKSPAIQGLFTITSMMKRMDPKVNAEKATRWMNRAQGAAEAARNAKNFLLGRKGLVIAIVLLILAFVLYWLGRSIYYQHVQSDGMDIPLLGTDEAKATTKSMPLEPLPCINHPPPPKTNPQRNRRIVKIISTDRVSRRQKEHGAGVADPEEGHEADGPACPAEVERAG >LPERR04G10150.1 pep chromosome:Lperr_V1.4:4:11915669:11917829:-1 gene:LPERR04G10150 transcript:LPERR04G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFVVDGESSASDLITTESEGETPYAGRCGGSRFSYDRTTAGGQLVPRGAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIMLPLVAKTTQPASYVFTHFEMAPEVTGIKSSAYAGILALLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITLFGWAYILALTFSIQDFGYLFDPSNETAGTFVPAQILYDAFHGRYNNSAGAIVLLFVIWGSFFFGGLSITTSAARVYALSRDKGIPLSSVWRRIHPCHKVPANAVWLCAAVCALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREDDFSPGPFYLRRASRPVCLVAFLWICYTCSVFLLPTTYPISADNFNYTPVALGVCLGWWWVVDARKWFKGPVRNIDDVHKNGDGGAHDNGKV >LPERR04G10160.1 pep chromosome:Lperr_V1.4:4:11918208:11919409:1 gene:LPERR04G10160 transcript:LPERR04G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPEMMRVAQEQMSQMSPSALAAMQQQIMSNPNLIKLATDTISTLSPDGIRRAADQLNQTRPNEMLDMAKKLAASSPDEVATMKVQAEQWMAYVVTNSKSLKNQGNDLFKAGKYTEAAAKYRLAVDNLKRARCEVLGYDPSNVKAYYRRGQVHREMGNLEAAVSDLRKAHELSPDEETIGEALKDVEEKLAVKLPRGVVIEEIVEEVEEAGEEKQQGSGLSSGETSVSQPCHEASPLSSSSPAAFSVAGMQEVVMNSMKDLAIQGLFTNMLQNMDPEVISDINRQFGMEINKDDAAKIQKAISSMSPENLDKMMRWMNRAQRAVEAARKAKEFLLARKGFVLALVMLILAFIFVRLGFPGGNRSFYL >LPERR04G10170.1 pep chromosome:Lperr_V1.4:4:11920134:11925209:-1 gene:LPERR04G10170 transcript:LPERR04G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWSLKSKSKSKGVGGGRGKPGAAAVVSAASSPRKSVELDFSASASPSLTPRAREKARSLDSPAAAARHGGGGRCGGAGASEGLGYKLPVPVGDSGQRQGQEPELEEPTTPVRFPYEENGDAGSSEESTTGSPESGDEAPDQQACRSMDPVAFIRGGNIPSDSHKILNEDNHFMHYSMPREQKFFEVPVANMGELHFQNDDPSTSETSCSRGRMLPEDFLAPRTRSLSPGPKGHTFAVHNATSREFGFSPRSPVKMMDGLKSPPHPLPLPPAPASCSPLPPSPTSYSPHSLASTTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEYVSGGSIHKLLREYGAFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHITSFAEIRSMKGSPYWMAPEVIMNNRGYNLPVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDGFSEEGKSFLQLCLKRDPASRSTATQLMDHPFVQDHPSVRAAKSSALRSAFSAPADGRHTMSSREFLSRRSITPLKDIGVSARDFTGFSTTVPSPHNASSPISTVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSSGVSTYNLSNYMSSETRRSPTISDTWQDISQLKVQSPYGSPRRF >LPERR04G10180.1 pep chromosome:Lperr_V1.4:4:11931060:11931689:-1 gene:LPERR04G10180 transcript:LPERR04G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKFKSTSHWRVDPPPPPPYVPPPLPPPYIHPPPPCNPPYVPPPPYVHPPPYVLPPLPPPPCNPPYVQPPPPPPPPPPPPPPPPPPVQPPYYPPPPPPPPPSRNIVVVVVVPIAGLVFLGLVAGLLLLAWARQRRHHDDVEADVATVETDDVQAVHHVQAVEHVVAGPSGEMLKVIDVTDEVDVHEHIVRRHEAHEAHEHDERSGGG >LPERR04G10190.1 pep chromosome:Lperr_V1.4:4:11934791:11935369:-1 gene:LPERR04G10190 transcript:LPERR04G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRPYTIPPPPPGHYSPPGSYTPPDGDHTPPGPAPSPTTHVTVIVIVVPIVGVICLGLLAALLFVLFRRRRRHGGGGDDEEKKEEAEAEVEEVEDVEVKVTEHVRIVEGVVGEAGVVAVGGAVGGGGGGYGHGGGGGIAGGAVVAEAVAAGAVVVDDEIKVEEHVVKVTEAKKARREEEEHEHEHHHSHH >LPERR04G10200.1 pep chromosome:Lperr_V1.4:4:11936268:11938349:-1 gene:LPERR04G10200 transcript:LPERR04G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAGRVIQGCLPSWESTRLLRTPLLLGSCAPGRAASAGACSCRQVVCQNASVVVPFAKKKRKGGSDEPPGGDEEGEEDGFVDEMEGEGDDEEDVDDEDVLDDDEFDDDDYAFEDDFESDDEQDLYVGDGGAGGGISFAGTWWDKEALALAEDVSNSFEGDLKIYAFKTAANSIIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGRIPQNISLEVSSPGVERVIRVPDDLERFKERAMYVRYTTTSDEGTIQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >LPERR04G10210.1 pep chromosome:Lperr_V1.4:4:11938637:11942944:1 gene:LPERR04G10210 transcript:LPERR04G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHGRRRRLLPVASAAAAALVLLALLILLPAAPPGGGPTALLRAAIAAHPSPESYARPCSDHLSLSLHRLRAAVASLESGDPPAAALHLASASLQYQYDCSHLLSIPAFPSHSLTSRFLGSFAPRTLAAAGTKPSSATASAAAFPASIRADATVCKASPAAAETKQCDYSTVQRAIDAAPNYTTGHFVIKVAAGVYNENVVIPYEKTNILLVGEGMGATVITASRSVGIDGLGTYDTATVAVTGDGFRAKDITFENSAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCRIVGTVDFIFGNSAAMFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTEAEAFMERKVTG >LPERR04G10220.1 pep chromosome:Lperr_V1.4:4:11941846:11945296:-1 gene:LPERR04G10220 transcript:LPERR04G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGGFGGAAGSPKSFRYPRATAADFDLESGIPSRGGGSKGRKPKLSNPHLDGPGGFLNSTLMKIRYFYEAHPVAVALILLSFGLSVLILLSVYETRFRTMGSGGGVWSSEVGDYPLPGLRNLVMVAGHSIYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVDAAARDEKALLLFSGGETRRDAGPRSEAQSYWTIADSKGWFGNDESVRTRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATPTAREAAVKGEAAVRSQFQEDPYGCLGSLHRKRLKRDPFHRAIPYPNGCPELKGWKLALRRQQGGSVNIDEYSYELSETPVYLLKSSSLVFCVQRMTM >LPERR04G10230.1 pep chromosome:Lperr_V1.4:4:11954318:11962247:1 gene:LPERR04G10230 transcript:LPERR04G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAHATSQKFTLFYVSSSTGRVPTSKQNEEGASIQCRDSPGIGPPVAVPAVIGREHTAVPAAVGRGEAATNVKHGNNSFRIRLTYTHDEGSQKLLKLLSNNRGRKRKAESRDGSNTKRGSMDDDKQILSESDSFINRTLDGSLFQLPPEKVLEPCHLVLSCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLIEVLNVRSIPSANEVQQIADKINHSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTNDDLRNISLIKDMAGTYIKEFVHGDLGRTHPRRLTKAIVF >LPERR04G10230.2 pep chromosome:Lperr_V1.4:4:11954318:11962247:1 gene:LPERR04G10230 transcript:LPERR04G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKQILSESDSFINRTLDGSLFQLPPEKVLEPCHLVLSCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLIEVLNVRSIPSANEVQQIADKINHSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTNDDLRNISLIKDMAGTYIKEFVHGDLGRTHPRRLTKAIVF >LPERR04G10230.3 pep chromosome:Lperr_V1.4:4:11954318:11962247:1 gene:LPERR04G10230 transcript:LPERR04G10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLIEVLNVRSIPSANEVQQIADKINHSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTNDDLRNISLIKDMAGTYIKEFVHGDLGRTHPRRLTKAIVF >LPERR04G10240.1 pep chromosome:Lperr_V1.4:4:11969490:11971322:-1 gene:LPERR04G10240 transcript:LPERR04G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYVFGGACRVKNKNPSAIQITAEQILREARDQQGGEFQPPKQKLVDSDELLEYRVRKRKEFEDKVRRTCSASVWVKYARWEESQRDMLWYKYVHMEEVLGAVANARQVFERWTAWKSFVRFELRYGEVGRARSIYERFVREEPRSSAFVQYAKFETKHGEVSRARHVYEQAVDVIDPEDDDVELLLLSFANQEVDRARAVYRFGLDRLPKSKTRELYAIEDMLVTKKRLLYEDEVTNNPLDSDSWFDYLRLEESLGDKKKISEVYERAVTNVPPKQEKRYWKRILYEKFIEWDPSNCYAWLKYAEMEKSLRETDRVRSIYQLAVSQQELDTPELLWTALLQFEISENHFERARQLYEELLNRTKHLNVWISYAEFEASSGLDDAGCQAERVQRCRAIFHRAFNYFSTTASTESIQEIQQHLLEAWLKKEVSFGFLGDVTSVQHYVAKGVKRKRTMKILEAAYNWKMQKTSLD >LPERR04G10250.1 pep chromosome:Lperr_V1.4:4:11980826:11981882:-1 gene:LPERR04G10250 transcript:LPERR04G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGRDETEATAANAVAVAVAMDAPRMQEGEGGGGDAAAEKAVASEKDAGEGDDDKAEEKLEMEEEVKRGWSEIRLAIEELSAVEEHGQLSPPPPRPAAAPPTLPFLALSHLIVQVLDKIGPTMAVLRLDIQRNIEY >LPERR04G10260.1 pep chromosome:Lperr_V1.4:4:11983517:11988617:-1 gene:LPERR04G10260 transcript:LPERR04G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSDSAAAEIPNPDSAAAGSPSPPLPPRKRRLSHTPSPSRSRSPGARRSRSRSRSRSRSRSRSRSRSRSRSRSRSPRYHDGKRRRHNDLTVEVCRDFLRDRCTRADVECKYAHPHHSVSVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGMEDPKMKVVCQDFLRGRCDRRSCRYSHVMAHPMPPPPMRDIPMQFHDMVYVPPPAPLGVSMMMPPPSAPAAFAGNNYGVEVCRDYLKNMCTRESCRFAHPDSNNEFAVILSEENAIDLHVAFTIHLRAHILLDKESGSFCISNE >LPERR04G10260.2 pep chromosome:Lperr_V1.4:4:11984242:11988617:-1 gene:LPERR04G10260 transcript:LPERR04G10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSDSAAAEIPNPDSAAAGSPSPPLPPRKRRLSHTPSPSRSRSPGARRSRSRSRSRSRSRSRSRSRSRSRSRSRSPRYHDGKRRRHNDLTVEVCRDFLRDRCTRADVECKYAHPHHSVSVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGMEDPKMKVVCQDFLRGRCDRRSCRYSHVMAHPMPPPPMRDIPMQFHDMVYVPPPAPLGVSMMMPPPSAPAAFAGNNYGVEVCRDYLKNMCTRESCRFAHPDSNNEFAVILSEENAIDLHVAFTIHLRAHILLDKS >LPERR04G10260.3 pep chromosome:Lperr_V1.4:4:11984133:11988617:-1 gene:LPERR04G10260 transcript:LPERR04G10260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSDSAAAEIPNPDSAAAGSPSPPLPPRKRRLSHTPSPSRSRSPGARRSRSRSRSRSRSRSRSRSRSRSRSRSRSPRYHDGKRRRHNDLTVEVCRDFLRDRCTRADVECKYAHPHHSVSVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGMEDPKMKVVCQDFLRGRCDRRSCRYSHVMAHPMPPPPMRDIPMQFHDMVYVPPPAPLGVSMMMPPPSAPAAFAGNNYGVEVCRDYLKNMCTRESCRFAHPDSNNEFAVILSEENAIDLHVAFTIHLRAHILLDKVNLECPALLPPAAATALLLLILLLLPKSCCAGCCAAKMS >LPERR04G10270.1 pep chromosome:Lperr_V1.4:4:11990932:11991546:-1 gene:LPERR04G10270 transcript:LPERR04G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSCASCSFSAADSVSSASFFCGEMMVARAPFFGDSSPPVMPRSASPDYTPSTPPRSAASPDYTPSKPPRRAASPDYTQSTPPRRAASPDYTPSTPPRRAASPEYTPSTPPWRAASPDYTPSTPSRGAASPDYTPSSPPAGAASPDYSPSTPHPSPAPSDSESRASPPRRRHHPYRRSGSCSLRAARVAGGRHHRAFLHGY >LPERR04G10280.1 pep chromosome:Lperr_V1.4:4:11991610:11992906:1 gene:LPERR04G10280 transcript:LPERR04G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRNGCGEGHTCRLCRSRCCKVETNSESEGNGGNATRREGKGEEVASRLRLACRRRKQSRAVVAAPPVAGRRREEDIRQLLSSSNGGGKGDGSGCGGEANENLNDGCRRGYRLDPPCPLLPLLPTDGRDWGAHGKAARVEELGGVTTTSLGWEA >LPERR04G10290.1 pep chromosome:Lperr_V1.4:4:12001537:12007242:1 gene:LPERR04G10290 transcript:LPERR04G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREAVAMEIPVEEGSASGSPGRMPPRIRRRLLEGSRGGGGPVSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQRRAAMKERTARSLVRKATSEKKYTERVRSIILQKRNAAEKKRLALLEAEKRKAQARVLHIQRAAKTVCSQREAERRQLKEQLESKLQRAKRQRAEYLKQRGSPRSSAHADYIKHAEFLSTKLARCWRKFVKSNKTTYALVQTYDALGINEISVKSMPFEKLAMLMESPKDLQITKAMLDRFEKRLLLSQSIGSSSVENIDHLLKRLGSPKRKVPPSRARVAAKKPARGSETSRLPRYSLRVVLCSYMILAHPSDVLSGQGEKEKLLMDSAENFVKEFEMLVKTILGTAESSSDAGSNRKFSSQLVIFDKAWCAYLYRFVVWKLKDAKSLEEDLVRAACKLELSMMQTCKLTSDGQSHNLSHDKKAIQKQVTDDQKLLREKVQHLSGNAGIERMDSALSDTRLKFFEAKENGNQLATPVANISTPLSIKASGQVPPPENKGGFNSTMEGSSSTAQSLSGASSSSSFLMKVPTENEQMVNEMLHEEDGLFAGGSDNVSSAEKDFQAKVKETMEKAFWDLVTDSMRGDKPDYSQLINLVREVRDSLHELASKGLKEEILENIDLEILSQVLKSGSQDTQYLGQILQYSLGIVRKLSAPAMEDDMKKSHEKLLNELATSSDVNDDGISSFVIDIIRGLRFTLEEIKQLQTEVSKARIQLMQPIVKGSAGVEYLQEAFADRYGPPADASVSLPVTVQWISATESTMEQEWREHLDSIRILPSEDHNLKRSGQAQHLVKVLRAGHGGALGVPSSLLSAENTSGLPECQGEKLDKLIRVGLLQFVSGMEGLQILSTPESFHLNLLRLRGLQDQFQKAIVIATSMLVLRQVLVSKISAPELESVTSELFDALVKLLDNKPNAGTKQIMEVMMSSLASVGSLPDQQIQVATKVLLSSLQSGNTIFNQVSRAVYCAFRGIVLGGSGSKGKKLVDVQLRRIGAAKLADRVVKAGEVLIKMATVSECVHGPWYKALV >LPERR04G10300.1 pep chromosome:Lperr_V1.4:4:12017439:12020458:1 gene:LPERR04G10300 transcript:LPERR04G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMETPPVKSASAGKTTTYALVQAYHALGINEASVKSMTFEKLATMMESRADLQTTKAMLDRFEKRLVHGSQSTGSSSSSVQNIDHLLKRLGSSKGKLSRYSLRVVLCSYMILAHPGDVFSGQGEKEKLLMESAEKFVKEFEVLVKMVLGSGESSSDDGIQGKFRSQLANFDKAWCAYLYCFVVWKLKDERSLEEDLVRAACKLEISMMQTCKLTSDGQSHDLSHDKQAIQKQVTDDQKLLREKVQQLSGDAGIERMDSALLDARSKFFEAKENGNPSGTPVANISTPLSTSPVKLPTENEQMVSEMLHEDDVLFAGSLDNVSSEEKDFQAKVKATMEKAFWDLVTDSIKGDKPDYTQLINLVREVRDSLHELASKGLKEEILENIDLEILTQVLESGSQDTQYLGQIMSYSLDMVRKLSAAEKEDEMKKSHEKLLYELAASSEVNDDGINSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLIQPIIRGSTGVEYLQKAFAARYGPPSDATVSLPITMKWISATKSTMEQEYREHLDSIQNLPAEHHAQRLVKVLQTGQVAVPSSSLSAEKNSGLPECQGEKLDKLMRVGLLQLVSGMDGLQLLSTPESFHLNLLRLRGLQDQFQKSIVIATSLLVLRQVLASKIAAPVLESVISEHFDSLVKLLDNNTNAGTKEIMEVMTSSLASVGCLQDQQIQASTKVLLSSLQAGNTIFNHVSRAVYCAFRGVVLGGSGSKGKRLVDAQLRRIGAAKLADRVVKAGEMLIKMATVSECVHGPWYKALA >LPERR04G10310.1 pep chromosome:Lperr_V1.4:4:12021003:12024649:-1 gene:LPERR04G10310 transcript:LPERR04G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRSHFPLLDPPKDLNPEEKVFQVRFTKEIFRDYQEYLNRVNLYRERVWTCKVSGKSNLTYEEALVSEHHAADKAQQLSRELIAPVLHLIQYSTLSLTELVNKIYSILQEDFFEGLELNGRKDGSLSACKILKVIIGSDNTNMYEVGWIGQDNAVTNTSVVQADDLVISKKARASRSMLNIFIRESTSQNSPWIIHTNLAKQYGISTEPPRDLLNGQGLPKLRGIENGTTDDGRKKLKKGEPIDDLLLKPTADDSGLSKKPPLSTDFRVPVDSVGDLLMVWDFCMSYGRILCLSPFSLSDLENAVCHKESNLVLLVEIHAALIRLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLQILRELVDEAVTTSAVRQSIAEKIDQQQAIAASKRELARNKKEEKNADMEGVTEKEMSQTDAVKNVNLTLNGQVVEKERKEKKNINANKMGEGKMHLECLGTEMDKQSIQSSSLGKDRSYNRYWFFGDEGRLFVESADFKVWGYYSTKEELDALIGSLNVKGIRERALKQQLDKFYNTISNALEKRMKDNSQKILLEEVVLRRSSRVLSQPKDNPSMSFLKYVNKWKGN >LPERR04G10310.2 pep chromosome:Lperr_V1.4:4:12021003:12024649:-1 gene:LPERR04G10310 transcript:LPERR04G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRSHFPLLDPPKDLNPEEKVFQVRFTKEIFRDYQEYLNRVNLYRERVWTCKVSGKSNLTYEEALVSEHHAADKAQQLSRELIAPVLHLIQYRLELNGRKDGSLSACKILKVIIGSDNTNMYEVGWIGQDNAVTNTSVVQADDLVISKKARASRSMLNIFIRESTSQNSPWIIHTNLAKQYGISTEPPRDLLNGQGLPKLRGIENGTTDDGRKKLKKGEPIDDLLLKPTADDSGLSKKPPLSTDFRVPVDSVGDLLMVWDFCMSYGRILCLSPFSLSDLENAVCHKESNLVLLVEIHAALIRLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLQILRELVDEAVTTSAVRQSIAEKIDQQQAIAASKRELARNKKEEKNADMEGVTEKEMSQTDAVKNVNLTLNGQVVEKERKEKKNINANKMGEGKMHLECLGTEMDKQSIQSSSLGKDRSYNRYWFFGDEGRLFVESADFKVWGYYSTKEELDALIGSLNVKGIRERALKQQLDKFYNTISNALEKRMKDNSQKILLEEVVLRRSSRVLSQPKDNPSMSFLKYVNKWKGN >LPERR04G10320.1 pep chromosome:Lperr_V1.4:4:12031607:12034099:-1 gene:LPERR04G10320 transcript:LPERR04G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPHLLLLLLALLFLSPPRILASSSFPLPTIAIATVSNSSSSSEQHLACALVSTAASTEYKITCASLSNSSAVPRVYSYGGGNGTCTPFSALVAGDGYLCSAGPTPSSTPTTKLMSMRWWDLNDGGAGGGDESKRVYWGEALSAVSGGDEYVCGLVGRRMQCWRWSSSRAKLPDGRVAFSSVAVGDGFVCGIVVGSGEVRCYGGDGGEAAAVVGREPKGRHVLLAAGERHACAVDDGGVVRCWGDPAAVAAAAPASSVSRAVSTVAVGDSVTCVLWGNWTVSCWPEAEASPPPALARQQFVALEAKGKVVCGVLMSDYSLQCWGGGVVHGGGGGARKVFDRVLPGPCAPSASCPCGVWSGSAQLCGGVNGVSVCYPCGYNPPSMALTPTSNTSSATAASRGGSKRRPSNLVIALISAGAGSVLVALLAAVVTFFYLRRHRGNTSSPVSRRIHAEPTGAAGAAPRVERRLSALLSKGPNTTVEQFPLAALRAATDCFSPRHRIGSGSFGAVYRASLPDGRDVAIKRAERRDTGGASTSTTAARRVNHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHKQLPTSPLSPPLASWPSRLRLALGAARAVEYMHTYAVPPIIHRDIKSSNILLDSSWTAKVSDFGLSLLNNLDYDDDDDDPSCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQRYEGSGSPKNVVDVAVPHIEGDRVHRVLDARLPLPTPWEMEAVAYVGYLAADCVRLAGRDRPTMSEVVGVLERAVAACDEYDADGGGAGGGEEPALSRSCTDGSTAT >LPERR04G10330.1 pep chromosome:Lperr_V1.4:4:12039557:12044508:-1 gene:LPERR04G10330 transcript:LPERR04G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPLLSATRARRPSSQHLPAAAAAAATGQRGTGRSKLVVVAASAAARGSGNGFEGLKRNGFASMSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSVVSSMVKKYAMQQAFKSMMTQAPPNTYGSNSPFPFAMPPQAPPTAPSSYPFSQPRKDTSPQTATVDVSATKVEATGTSEETDVVEKPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKDSETVEDTEQKAPTNGTAFKMNEDSASGAAGSRPMLSVDTIEKMMEDPAVQKMVYPYLPAEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVCFY >LPERR04G10330.2 pep chromosome:Lperr_V1.4:4:12039557:12044508:-1 gene:LPERR04G10330 transcript:LPERR04G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPLLSATRARRPSSQHLPAAAAAAATGQRGTGRSKLVVVAASAAARGSGNGFEGLKRNGFASMSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSVKYAMQQAFKSMMTQAPPNTYGSNSPFPFAMPPQAPPTAPSSYPFSQPRKDTSPQTATVDVSATKVEATGTSEETDVVEKPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKDSETVEDTEQKAPTNGTAFKMNEDSASGAAGSRPMLSVDTIEKMMEDPAVQKMVYPYLPAEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVCFY >LPERR04G10340.1 pep chromosome:Lperr_V1.4:4:12045376:12047450:-1 gene:LPERR04G10340 transcript:LPERR04G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPASSSRAPPPPARPLAAFADDDDDDVEAEILRQGYKKRAQQKVEEQQKKAMEEDPSVFAYDEVYDDMKEKAARPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYADKDKFVTSAYRKKLEEERKWLEEDRRRQLQEEKEDVTKKKDLSDFYFGLHKNVAFGADTHDGTKHDKPEKLDNKVQDTKTSKVGAEASDPSPKRKRDSSAGAEMAEEPATTQSRDSAAARSTEKNADVSLDDSQTQTAQNTQPAPTQTPQNAQPAQVTDEHYKRNADALAAAKERALARKRAKGQQI >LPERR04G10350.1 pep chromosome:Lperr_V1.4:4:12048862:12055589:-1 gene:LPERR04G10350 transcript:LPERR04G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAHVKDPAHRTKVVLRRLPPAIAQQVVADQVDARFASRYDWSCFRPGNASQKNHRYSRLYLNFKSPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNAKKDARQGTIIKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKDPPVVTPLMAYIRQQRAAKSMAQRSGNSRLSRKVAGVVTSSPSPSKRGSEKRRTSGSAYVLRDNAKEKPTYILVPKRDEHGQREKNISGTPDATSGGTSGSGQVAEIKKEKIVLLKGRARVDSNTSDVTTQQHSGTSMKSAAQSSSRQDQRIESSGRIIKTILSNKEGRHVVPPQHDQEGHIITAEKRPPRIPNPRSAVKDQVENAEKNHFDDKHSHLHGSGPTVEKTERHARNRDRPDRGVWAPRRYDKSASGGTHSSSSEFSPLQQHSEDNFGQQTDGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHEILKQILQVVEKFWILHLDPVKYVSKMPLIICFHEVQSQICQSKSQP >LPERR04G10370.1 pep chromosome:Lperr_V1.4:4:12089849:12094978:1 gene:LPERR04G10370 transcript:LPERR04G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRTPVSLPLPDPGSDRSEGGTSPRDQSVRSGISSHRSHRSEEKSEEQRPRKRKQRQIDPSPGEAAVGEGSRASRPPKFPPYPTGGSSSDVRKWDKECDRIRKIIGELRKDRGYDLPTMKKAKDPYTTKALQCSRHKAVVLHGKKLPRCTGIIIKQWSDGSGRHHATIVTYSRVVCDAGQKLHPLPKLSVVLADKTVSDAELLYFNDHYDIALLHIYLGCTLELPSVGRGPEYGQEVFVLARDGKASLRVRHGNIKWLEESDILGRDYYMFLDCVISEGGDGGMVIDNDGKVRGMVVYCNPHPAVTSISTVIKCIDMFMQFKQVARPILGIGGRTMALLDVQLQEDISNSGINSGLLVDEVDNPVAEELGIEHGNMIISVNGQDVVTLPELEDYPLTLGWDYLKDKSSCMKVVKLRVYDLKSRAERDVTLPVRFYDKAERDEDFDWMDSDDAF >LPERR04G10370.2 pep chromosome:Lperr_V1.4:4:12089849:12095857:1 gene:LPERR04G10370 transcript:LPERR04G10370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRTPVSLPLPDPGSDRSEGGTSPRDQSVRSGISSHRSHRSEEKSEEQRPRKRKQRQIDPSPGEAAVGEGSRASRPPKFPPYPTGGSSSDVRKWDKECDRIRKIIGELRKDRGYDLPTMKKAKDPYTTKALQCSRHKAVVLHGKKLPRCTGIIIKQWSDGSGRHHATIVTYSRVVCDAGQKLHPLPKLSVVLADKTVSDAELLYFNDHYDIALLHIYLGCTLELPSVGRGPEYGQEVFVLARDGKASLRVRHGNIKWLEESDILGRDYYMFLDCVISEGGDGGMVIDNDGKVRGMVVYCNPHPAVTSISTVIKCIDMFMQFKQVARPILGIGGRTMALLDVQLQEDISNSGINSGLLVDEVDNPVAEELGIEHGNMIISVNGQDVVTLPELEDYPLTLGWDYLKDKSSCMKVVKLRVYDLKSRAERDVTLPVRFYDKAERDEDFDWMDSDDAF >LPERR04G10380.1 pep chromosome:Lperr_V1.4:4:12103547:12104412:-1 gene:LPERR04G10380 transcript:LPERR04G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRRALPLSCVVIVLLILAGTGPAAGDKTSELTVFWGRNKDEGSLREACDTGIHNTVIISFLTVFGHGRYWADLSGHPVAGVGADIRHCHRAPLHRRRRQPLLPPDAQDVADHLWHAYLGGGRHGVFRPFGDAVVDGIDLYIDHGGSANYDELATHLGEHGGILLTATVRRRAARRRRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYDGVVLWNRHFDRRSRYGQTIKGML >LPERR04G10390.1 pep chromosome:Lperr_V1.4:4:12104113:12104373:1 gene:LPERR04G10390 transcript:LPERR04G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTVAVPDVGADAGDGVAGEIGPVAAVAEDGEEGDDDGVVDAGVAGLPERALVLVPAPEDGELAGLVAGGRPGAGEDEEHYNNT >LPERR04G10400.1 pep chromosome:Lperr_V1.4:4:12104425:12108149:-1 gene:LPERR04G10400 transcript:LPERR04G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVVVFLPCLATATGKTGQIAVFWGRNKTEGSLKEACDTGIYTTVIISFFSVFGHGRYWTDLSGHDVSRVGADVKYCQSKNIPVLLSVGGDGYQYSLPTPDSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLNINQIQIQF >LPERR04G10410.1 pep chromosome:Lperr_V1.4:4:12109970:12111514:-1 gene:LPERR04G10410 transcript:LPERR04G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKGNYRTDLSGHDVSRVGADIKHCQKANNVTVLLSIGGDGDHYSLPTATSAEDVADHLWHAYLGGHRRGVFRPFGDAVLEGVDLYIDHGGPANYDVLVQRLAGHSGKPVVLTATPRCGYPDANAEAALATGLVRRIHPRFYHDTACTDYIGSGRPRSFWEAWGAWTSRFPASQVYAWGCR >LPERR04G10420.1 pep chromosome:Lperr_V1.4:4:12110927:12113093:1 gene:LPERR04G10420 transcript:LPERR04G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGRRACAATRRPDKHQAKSEEITEALNSTAQLLEPLSFCVSNFLPKVQEVDDVATKVAQVVAGERALVEECGNLLYQAHNLQMREYSLRIQRMQLKQQ >LPERR04G10430.1 pep chromosome:Lperr_V1.4:4:12115050:12128328:-1 gene:LPERR04G10430 transcript:LPERR04G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGVPGLVPYRIRIRYPTIVERLIGETHFRGIKPDIPREEMLPEPMPKRSSAVTATAAAAADDRLSALPDGGLHYILSFLPVPEMVRTTVLSRRWRDLWCYTPYIKIDQQELGVAMGDWIPRHIQEQWTKFEDFTTNLLLFHCNTVILDKFQLYAQAQHRVDVDRWIRRGIKYCPRVLKIIMTGKGRFELKLHHVGSSFRRLKTLHLFKVTLDIHFVEVLRSHCSVPEEMKLMLCSTSSFHYIASPTLKKLDLDSCDQHPGHTVGITAPCLESFDLCILSGCYQGGISLCETTSHLEALVSIERRNHCPLENQRRLIGSLFNATILELNGFDTMAFLNKNQDELPIYPAMRTLSLGQCFLDEYDLNNKLEALYPDTEWDIKRKSITLHRCDMKTFHCPKLKLIEVCYEDDVDHRLTELLKIEECNISFQNIVSPVSTLKKLALDSCILNTSHPMTSKPHVEEKMNPHPAEKRACASGAGDRLSALPDDLLHRILSFLPAMEVVQTTVLSRRWKDLWCSTPFIHIDDKEFGEFHPAIAGDDPEAALEQWDRFENFTTNFLLFHSNSVSLNEFRLCAHNGWSFDHSHSCRQVRDVVRWIRRGIKYCPQVLDMESPGGSALTLPHLEPSVCHRLRRLCLTFVSLDSRFGELLYSDCSVLEDLELIYCHIYFQDIISSTLKKLVLQFCCHFTTYPVVIKTPGLASLHLDIIPTGYQQGISVCKPSSIGKATISINYICHFTMKNQRRLLGSLFSVTSLELNKFKTMAFLNKKSDEFPIFPLMRTLSLVKCFHDKCDLDDKLEALGSFLQNAPCLEKLTLSHCKFDMSSGREWDIARKSITLRRHDKKAFQCQKLKLIEIIYPDDRDHRLTELVWRIGRILPDADIKLTRQGSATMEMEARVDSTSDEDRLSVLPGVLIHAILSSAGADQRSVQEVEAPVWRSTPCLNINQREFFGPGWITFWKDCERIVTDTLLAQHHGSILDTFQLCVHEKLDLPKHIDPVRWIRCDIKRFPRVLCIHSEKYFGKLAMPLEPGSSLCRLTRSLCAAKASNIGKGKTKSSKVNDLLKSFMSMQMMFAN >LPERR04G10430.2 pep chromosome:Lperr_V1.4:4:12115050:12128328:-1 gene:LPERR04G10430 transcript:LPERR04G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGVPGLVPYRIRIRYPTIVERLIGETHFRGIKPDIPREEMLPEPMPKRSSAVTATAAAAADDRLSALPDGGLHYILSFLPVPEMVRTTVLSRRWRDLWCYTPYIKIDQQELGVAMGDWIPRHIQEQWTKFEDFTTNLLLFHCNTVILDKFQLYAQAQHRVDVDRWIRRGIKYCPRVLKIIMTGKGRFELKLHHVGSSFRRLKTLHLFKVTLDIHFVEVLRSHCSVPEEMKLMLCSTSSFHYIASPTLKKLDLDSCDQHPGHTVGITAPCLESFDLCILSGCYQGGISLCETTSHLEALVSIERRNHCPLENQRRLIGSLFNATILELNGFDTMAFLNKNQDELPIYPAMRTLSLGQCFLDEYDLNNKLEALYPDTEWDIKRKSITLHRCDMKTFHCPKLKLIEVCYEDDVDHRLTELSSSVHDHSSEPHVEEKMNPHPAEKRACASGAGDRLSALPDDLLHRILSFLPAMEVVQTTVLSRRWKDLWCSTPFIHIDDKEFGEFHPAIAGDDPEAALEQWDRFENFTTNFLLFHSNSVSLNEFRLCAHNGWSFDHSHSCRQVRDVVRWIRRGIKYCPQVLDMESPGGSALTLPHLEPSVCHRLRRLCLTFVSLDSRFGELLYSDCSVLEDLELIYCHIYFQDIISSTLKKLVLQFCCHFTTYPVVIKTPGLASLHLDIIPTGYQQGISVCKPSSIGKATISINYICHFTMKNQRRLLGSLFSVTSLELNKFKTMAFLNKKSDEFPIFPLMRTLSLVKCFHDKCDLDDKLEALGSFLQNAPCLEKLTLSHCKFDMSSGREWDIARKSITLRRHDKKAFQCQKLKLIEIIYPDDRDHRLTELVWRIGRILPDADIKLTRQGSATMEMEARVDSTSDEDRLSVLPGVLIHAILSSAGADQRSVQEVEAPVWRSTPCLNINQREFFGPGWITFWKDCERIVTDTLLAQHHGSILDTFQLCVHEKLDLPKHIDPVRWIRCDIKRFPRVLCIHSEKYFGKLAMPLEPGSSLCRLTRSLCAAKASNIGKGKTKSSKVNDLLKSFMSMQMMFAN >LPERR04G10440.1 pep chromosome:Lperr_V1.4:4:12127893:12130911:1 gene:LPERR04G10440 transcript:LPERR04G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSIVNDLGDGKPSGDGEDARLRIEQLTIRQGAQPGGAAAAAARTGTLRHLLSLPLPAARVSHALAPRIPKGIRMVIGEDAHHLAGERPRNS >LPERR04G10450.1 pep chromosome:Lperr_V1.4:4:12129400:12130777:-1 gene:LPERR04G10450 transcript:LPERR04G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSRAGGGGGGAARLSALPDGFILKILSSTLKKLALYSCIHYTRDPVAISVPCLESLDMEIQYMGYEGGISLCDTASLVKASIAIEYIPEKYQCNILGTLFNVTDLELLGFETMDDLFDKLEALGSFLENAPCLEKLTLDYCMFDVGVEQDIERENITLYHYDLKTFECPKLKLVEIGYEDDVDH >LPERR04G10460.1 pep chromosome:Lperr_V1.4:4:12131633:12139733:-1 gene:LPERR04G10460 transcript:LPERR04G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYSMCLKYELPHLGSSTCRLKRLCLSSVTLGSCFAEQLRTGCPLRCCRIEFCNIQSGTLKNLVMYVCSSRTFDGLVIRTPRLASLRLLIKIRNGVSLHEVNSLMQASIDVSNCQISPRGEAMLPGGLFSVTNLELRDTAILDKQFDKIPVFSNLKTLLLYHSLQDKGTLSDKLKVIGRLLQKSPNLEKLTLQGCRFLEGSETEKAKQKTSTFMCLKSQDQVSFQCEKLKLIEMAPVSKRVCTGEGGGSTGDRLSSLPDGLLHAILSSLTTKQAVQMGVLSKRWTDLWRSVPRLCLDNGDFLGEGKEKWGRCGTATTSTMAAAAAPPSKCARVVIAGSSDDIDRLSDMPEEILHAIISFLPANQAVQTCVLSSRWRDLWTTMPNIDIDQHNLAAGGGKLHQQFDKLESFAYPFLLSHRGGTIERLRVSVTNPGRGGDADLRHVTRWVHGGVRRFPATVEVNVPPPSAWLRRRLDIGLPACSTGECYYRRLRRLSLAGVSLDGRFAALVRRRFTALEELELRGCDTGFEEIASATLARLVVHGCGAGAGGGGKMVVTAPRLASLHVLLPRGNCAGLVVNEAARLVAASVSLRPSATTRAQRRAVACLFNVTSLFLLNRADGETDTDIQDFIPDPHDFNTSWCPNLKVTEIKGDLHLARGGGGDAGGSLSLTRDGDAAKRMEEMPPEPVPKRSRAGTAIASDDHLSALPDGVLHVILSFLPALEMVRTTVLSRRWRDLWCYTPYINIDQRELGIQVRYNLRPPEEKWAKFENFTTNMLLFHCNTVFLDKFRLYAQSQHSRDVEKWIRRGIKYSPRVLKILTPGYDRLPLQLPHLGSSVRRLKSLHLFNVTLDDQFAEMLISDRSVLEKLKLETCSIYFQNIVSSTLKKLALESCVHYTSHPTVISAPCLESLDLHIQHICYRNGISLCEMASLVEVSINIEGMEYFTEENQCSLLVSLVNVTTLELSGFNTTAFLGENPNQFPKYPCMRTLSLGFCFLDEYDLGDKLEALGSFLENALCLEKLTLICCMFNGNPDTEWDTERKIINLHQYDMKTFKCPKLKLVEFCYEDDTNHRLTELVWCLGRMLPNTSIKIIRY >LPERR04G10460.2 pep chromosome:Lperr_V1.4:4:12131633:12139733:-1 gene:LPERR04G10460 transcript:LPERR04G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYSMCLKYELPHLGSSTCRLKRLCLSSVTLGSCFAEQLRTGCPLRCCRIEFCNIQSGTLKNLVMYVCSSRTFDGLVIRTPRLASLRLLIKIRNGVSLHEVNSLMQASIDVSNCQISPRGEAMLPGGLFSVTNLELRDTAILDKQFDKIPVFSNLKTLLLYHSLQDKGTLSDKLKVIGRLLQKSPNLEKLTLQGCRFLEGSETEKAKQKTSTFMCLKSQDQVSFQCEKLKLIEMAPVSKRVCTGEGGGSTGDRLSSLPDGLLHAILSSLTTKQAVQMGVLSKRWTDLWRSVPRLCLDNGDFLGEGKEKWGRCGTATTSTMAAAAAPPSKCARVVIAGSSDDIDRLSDMPEEILHAIISFLPANQAVQTCVLSSRWRDLWTTMPNIDIDQHNLAAGGGKLHQQFDKLESFAYPFLLSHRGGTIERLRVSVTNPGRGGDADLRHVTRWVHGGVRRFPATVEVNVPPPSAWLRRRLDIGLPACSTGECYYRRLRRLSLAGVSLDGRFAALVRRRFTALEELELRGCDTGFEEIASATLARLVVHGCGAGAGGGGKMVVTAPRLASLHVLLPRGNCAGLVVNEAARLVAASVSLRPSATTRAQRRAVACLFNVTSLFLLNRADGETDTDIQDFIPDPHDFNTSWCPNLKVTEIKGDLHLARGGGGDAGGSLSLTRDGDAAKRMEEMPPEPVPKRSRAGTAIASDDHLSALPDGVLHVILSFLPALEMVRTTVLSRRWRDLWCYTPYINIDQRELGIQVRYNLRPPEEKWAKFENFTTNMLLFHCNTVFLDKFRLYAQSQHSRDVEKWIRRGIKYSPRVLKILTPGYDRLPLQLPHLGSSVRRLKSLHLFNVTLDDQFAEMLISDRSVLEKLKLETCSIYFQNIVSSTLKKLALESCVHYTSHPTVISAPCLESLDLHIQHICYRNGISLCEMASLVEVSINIEGMEYFTEENQCSLLVSLVNVTTLELSGFNTTAFLGENPNQFPKYPCMRTLSLGFCFLDEYDLGDKLEALGSFLENALCLEKLTLICCMVCILVLSISLSPVAWISSYMDFACQKFNGNPDTEWDTERKIINLHQYDMKTFKCPKLKLVEFCYEDDTNHRLTELVWCLGRMLPNTSIKIIRY >LPERR04G10470.1 pep chromosome:Lperr_V1.4:4:12140485:12141017:1 gene:LPERR04G10470 transcript:LPERR04G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPTLFQSTRPRSSSRARPPPDLAAGCFPDDAKVAAGRRSGGGSYSAASVAGRSFTLDSAASTRCWRPTPPLSAAAAGRIWEGGGARAARRQAVAGACGDGAAGGAGACSSGARATSSCGWWRRPPRHKAGRVGGGLAVAAVHPSHGLVRRTPLSFCD >LPERR04G10480.1 pep chromosome:Lperr_V1.4:4:12144249:12147324:-1 gene:LPERR04G10480 transcript:LPERR04G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTETTLPASSSCSSRNPARVSRGGEGSEGLGALPDDILHTILSKLTTRQAVRTTVLSRRWRHLWRSTPCVDVDAREFHGSWATLEAFTANLLLTSSSHHTAPPVLDAFRLRGLLGLMLIHYRHRAIVDTFVRRGITHRPAALEIDLGYSSYNYFALPRLAPPSSATSSRLKRLHLACVVLEASFADDIRSGGCPVLEEVELRRCKCDFYELSSATLRRLDMEACFWVCRDRVVSVVAPRLASLRLAISDGMWKGLVLESGGESLTQVSISGTFNLVNLFRNLRVMANVTRNKLIKQHIDLKAEEPEDRFLIADKIPPL >LPERR04G10490.1 pep chromosome:Lperr_V1.4:4:12150288:12153723:1 gene:LPERR04G10490 transcript:LPERR04G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGGGGGNSHGSDIDDWELPPSHVLEDVTLVLVGKVGTGKSATANTILGDEAFASKYSYAGVTQTCQKSRTMVHDGGLIRTINVIDTPGLFDMDIKNEDVRKVIVRCMDMAKDGIHAMLMVFSAISRFSCEDEKTIESLKLSFGDRILDHMILVFTRGDEIGGETSWKNMLSASGPPYLQNKTSDAQSREAQLKKLLDAVDFVVSSNHGKPYSNELFAQIQEEHHRKKDANLEAYSSMKEMDGEYMSRITKMVEEKLDQQAARLEIQNKLTEEIRKLNESLEKAQKEINNVPKENKKFRESEKAKKEKKKQTEAVFQKKARQRLKQNLDRARQEKNGCIIL >LPERR04G10490.2 pep chromosome:Lperr_V1.4:4:12150288:12153723:1 gene:LPERR04G10490 transcript:LPERR04G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGGGGGNSHGSDIDDWELPPSHVLEDVTLVLVGKVGTGKSATANTILGDEAFASKYSYAGVTQTCQKSRTMVHDGGLIRTINVIDTPGLFDMDIKNEDVRKVIVRCMDMAKDGIHAMLMVFSAISRFSCEDEKTIESLKLSFGDRILDHMILVFTRGDEIGGETSWKNMLSASGPPYLQNKTSDAQSREAQLKKLLDAVDFVEEHHRKKDANLEAYSSMKEMDGEYMSRITKMVEEKLDQQAARLEIQNKLTEEIRKLNESLEKAQKEINNVPKENKKFRESEKAKKEKKKQTEAVFQKKARQRLKQNLDRARQEKNGCIIL >LPERR04G10500.1 pep chromosome:Lperr_V1.4:4:12156382:12160662:-1 gene:LPERR04G10500 transcript:LPERR04G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRAPSPPEIATAAVDWRGRPCDSSRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLALLGGFLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPPCNMAAMDGGCEQAKGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAGGGGADNAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLISLVSGAAFYRNKPPQGSIFTPIARVFVAAYNKRKQICPSTTSDPLNAGACLAGGNFRHANKFRFLDKACIRAAPAAAAGGKPENPWRLCTAAEVRQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGTAMDTSIGGAGGFRVPPASLQAIPYAMLLALVPAYELLLVPLMRRLTGTRSGITPLQRIGVGLFSLPLSMVAAASVERRRREISLLSSAGATPAKMSVMWIVPQFLVFGVSEMFTAVGLIEFFYKQASGGGGGGNGMQAFLTAITYCSYAFGFYLSSVLVSLVNRVTSASGGHGGGGGGGWLGDNDLDKDRLDLFYWMLAVLSVVNFFCYLICARWYSSGGGGGGDGCDAASAQVAAEGDGNGNGKEII >LPERR04G10510.1 pep chromosome:Lperr_V1.4:4:12172693:12177680:-1 gene:LPERR04G10510 transcript:LPERR04G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSAASIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNEFGNEDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHSVVAFLRKPKDGKKKSLLGDSSVSEEQPPPKEDKESIDKDEGPVEYISYWKPNVTINLVDDFTRYAQNNVPPNVAPYLSTDPSSGNYYPTVFFNEFWLLRDKLIALNETVEELPLHLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKFLTYVLFFLVICFSIYSLKYEKHKSWYSWILSSCTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDSAAAAQQTGAETETETSTEDKKTK >LPERR04G10520.1 pep chromosome:Lperr_V1.4:4:12200908:12207064:1 gene:LPERR04G10520 transcript:LPERR04G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLETKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSTSPWADSDWKSLKVQWDEPSSIVRPDRISPWELEPLDATNPQPPQPPLRNKRARPPASPAMVPELPPSFGLWKSPSEAAQTLSFSEPQWDRQIFPSIPASFFSASSNAEFNSKNEPSVLSNQFY >LPERR04G10530.1 pep chromosome:Lperr_V1.4:4:12206626:12207933:-1 gene:LPERR04G10530 transcript:LPERR04G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDESSSNRRRDPPVEEGKICRGGYYDSGSETEDDDDGGGGGRYVFQPRRVRVDDEEEGVITTGCKRRRLEDVLASSSPPTPSSGYDSEGTISDSDHGGGGGGGGVAASVAPRPRPVAFPCGVCRREFGSRKGVDGHMRVHRQEEKKEKEKNPPVARLAIGKNKKKNSNDARDEVNVAFAAPNAYEVADDDDNSDRMAILMVAAVAEPSPPPPQRPMATAAAVANVVVGAHRHAAAAQGPPYRCNRVDCDKEYATHQALGGHIAGHVTKEKQEAAGGGGSGGGGDAKPAGEVRKHLCGVCGQEFLTGVALGGHMRKHYDGKVIVKRKKKVELPPAEPNTEAEVEALPPVTEPKTEVEMEALPPAPEAKTEAEASPQPPPPAPAPAEQKVEVVAEQRSEEAAPVAAPVNVRRTVRIFGVDLEKPADAEEQDDGGH >LPERR04G10540.1 pep chromosome:Lperr_V1.4:4:12210126:12213308:1 gene:LPERR04G10540 transcript:LPERR04G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVTELLPDDVVVEIFSRVRNHRSLARCRCVHTAWRALVDDRGLLLPHPLLRAWGLLHHRHNAGHRHRAPLRLPAAVHVQDHCNGLLLCFHVDRDGDSLAAYVCNPATRRWACLPHPPAPWPRANDGAFLAFDAASPDRYTVFLLPVGTPLPRRKNLCRPWMTLDLLIPEEQDDHLAPLPSPSALLPLRVFSSVDGKWRRRELVPGRCAPVELYGRVMALCRRRPEFDAAAAVPRWRSASYGRGGAMYAHSESDVLVVVRCEEGTYDMVELPGGGVRWSVAYDHSHVIADLPLELVSVSSSSGHGDGDGDVVRYVRVEASSRVRIWTLDESSHHDGKLNWTLTHHKDLAAHTRIREIVQNDTPEDWKTMACTTRSRPGSQASVVVVPRDDDDDDDGWSSESWISWDEVLASAPELGMDDDDDDAGAVVTGQPQPGLPFHVMGFHPSKEVLFLAAGAFHVVAYHLGGSGKVQYLGRATSRKKVERVKGMFPYRPCLVDSLPPPPCLFPSLKTAYKSQSRR >LPERR04G10550.1 pep chromosome:Lperr_V1.4:4:12214169:12215677:1 gene:LPERR04G10550 transcript:LPERR04G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPYPTYDVLLDILRRLPGHDLAVSRCVCREWKSTVDAHGLLLPHVFPRDARATSTRPPGADGDDDDDSRYPFHRPLFWSAARWIGVKHHCNGLLLLLFYSFRAGDREACLVCNPATGRWLRLPTSPAAPWRFDLEGMYIAFDPAVSRHYEVFLLPTIDAPPVQKTTDESVEEEEKRVLVTQVFSARTGRWEAGVRAGAPPRRRRGDRGTAAEGRGARGVGVGRLLARRALRALQRRRARGAPACTDSSTTEGNTYDTVRLPGNDPCAAEAMCRVYQLPMGLVTASYTRVGCETAGGQLGWTLAHEANLSRLENTLYTRIVDHPTQWEVVLDARSRREFIPRRRSPSPEPEQSDNNSEESFCGEDDTDKLSVHSEDDDSTGTDDEYYSNSEGGDDDTSDEGEEEDAMTKPIHTAWDWDEDNFFDVAESHDPESGCGIRIVGFHPHRDDVLILSSGVKVCWRTTSVTPPGCST >LPERR04G10560.1 pep chromosome:Lperr_V1.4:4:12218725:12232637:1 gene:LPERR04G10560 transcript:LPERR04G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVATRHLSPAFFGPDPRRRVGHSPSKPSFRRFGSQLKVTAIFGWIRGDTRTRELNPSAESYTLTGSASEVDTKPREVSVAVVSSIMDIPSTDWDACAVDSVDPDKFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSKGEFVFDHSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYQDQVFEALVKALKSLATKLKVSSLHITFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSDHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDNKLVAGALNLIGGDTLFGRLWGCLPDVYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLAQRDGV >LPERR04G10560.2 pep chromosome:Lperr_V1.4:4:12218725:12232862:1 gene:LPERR04G10560 transcript:LPERR04G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVATRHLSPAFFGPDPRRRVGHSPSKPSFRRFGSQLKVTAIFGWIRGDTRTRELNPSAESYTLTGSASEVDTKPREVSVAVVSSIMDIPSTDWDACAVDSVDPDKFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSKGEFVFDHSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYQDQVFEALVKALKSLATKLKVSSLHITFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSWGRPYLTREFFHRLGEKMGDKVMLIVAEHDNKLVAGALNLIGGDTLFGRLWGCLPDVYFPNLHFEACYYQIVHTTQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLAQRDGV >LPERR04G10560.3 pep chromosome:Lperr_V1.4:4:12218725:12232637:1 gene:LPERR04G10560 transcript:LPERR04G10560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVATRHLSPAFFGPDPRRRVGHSPSKPSFRRFGSQLKVTAIFGWIRGDTRTRELNPSAESYTLTGSASEVDTKPREVSVAVVSSIMDIPSTDWDACAVDSVDPDKFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSKGEFVFDHSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYQDQVFEALVKALKSLATKLKVSSLHITFPSEGEFSTLKDSGFLQRIGMQYHWRNRNYRSWGRPYLTREFFHRLGEKMGDKVMLIVAEHDNKLVAGALNLIGGDTLFGRLWGCLPDVYFPNLHFEACYYQIVHTTQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGTAIGDFLAHETTQVKRVIKVLHDSGPYKEDILNELLLAQRDGV >LPERR04G10570.1 pep chromosome:Lperr_V1.4:4:12231613:12234029:-1 gene:LPERR04G10570 transcript:LPERR04G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVEEGVTRVLLVDDSPVDRRVVQLLLSSNACAGSFHVIAVDSAKKAIEFLGLKEEGRKEQEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSSVNTQFCNAGSDIKLLPSDHIVVDATAAALLPSSRRRVLRSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLNLWCA >LPERR04G10570.2 pep chromosome:Lperr_V1.4:4:12231613:12234029:-1 gene:LPERR04G10570 transcript:LPERR04G10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVEEGVTRVLLVDDSPVDRRVVQLLLSSNACAGSFHVIAVDSAKKAIEFLGLKEEGRKEQEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSSVNTQFCNAGSDIKLLPSDHIVVDATAAALLPSSRRRVHFAGVAMASPCCIHSHHIFICYTIRRFWKETMADFAVVLRSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLNLWCA >LPERR04G10580.1 pep chromosome:Lperr_V1.4:4:12253814:12259057:-1 gene:LPERR04G10580 transcript:LPERR04G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPSPRSHHPPPERDGSFNNDIESMDGGGGGAWRGAATGGRYESSEALLRYDDEPRQPLLRKRTMNTTSQIAIVGANICPIESLDYEYFTAFLAYAGCNLILAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRALIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTITISIITSACSYGLPWLAPCTPCPVDAPEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRHLVAGDVVSGPLISFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGKNFMKEKVKTSGSFVLRRFGAFEFAKPGSGKGLKIEDLDFTDDELEMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >LPERR04G10580.2 pep chromosome:Lperr_V1.4:4:12253814:12259057:-1 gene:LPERR04G10580 transcript:LPERR04G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPSPRSHHPPPERDGSFNNDIESMDGGGGGAYFTAFLAYAGCNLILAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRALIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTITISIITSACSYGLPWLAPCTPCPVDAPEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRHLVAGDVVSGPLISFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGKNFMKEKVKTSGSFVLRRFGAFEFAKPGSGKGLKIEDLDFTDDELEMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >LPERR04G10580.3 pep chromosome:Lperr_V1.4:4:12253812:12262088:-1 gene:LPERR04G10580 transcript:LPERR04G10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRALIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTITISIITSACSYGLPWLAPCTPCPVDAPEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRHLVAGDVVSGPLISFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGKNFMKEKVKTSGSFVLRRFGAFEFAKPGSGKGLKIEDLDFTDDELEMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >LPERR04G10580.4 pep chromosome:Lperr_V1.4:4:12259083:12262088:-1 gene:LPERR04G10580 transcript:LPERR04G10580.4 gene_biotype:protein_coding transcript_biotype:protein_coding DGLIRVEVECTKRKAQHICTFSSRSASRSPVEIKLSINPRIHPSSSPPSRPASLPHPACFLHKLPHRLPSCRPRPDREAPRGGGRSAKEQGLCPPLIDGGAGGSVPSRRSPNQSGSPPPPSFIASGSLVPFPLPDPTPHKVQAEED >LPERR04G10600.1 pep chromosome:Lperr_V1.4:4:12277482:12277976:-1 gene:LPERR04G10600 transcript:LPERR04G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASAFLLFIAGILLPTSSSAAAAAGPYDPPTVRDLMDRYGLPRALLPDTARRYLLHDDGSFQLFLDDGCVAEAGGYRVGYDIKLSGAVAPGRVTGLKGVRVRVLFAWVPVTGVEVAGGEVTISLGPLRKSFAAVGFKSSPRCISGAAGGDRFLIGLDF >LPERR04G10610.1 pep chromosome:Lperr_V1.4:4:12280960:12282953:-1 gene:LPERR04G10610 transcript:LPERR04G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSRTVSPSSSSSSLQALVLLAAVSFFSSHVAAAGHPNYADALAKSILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWGILEHGGRMQSRGVLTEARAAARWAADYLLKAATRTSGVLYVGVGDPDADHRCWERPEDMETPRTVYSVSASSPGSDVAAETAAALAAASLAFRAADRAYSARLLASARDVFEFAVGHQGKYSDHVGGDVGAYYASYSGYQDELLWGSTWLLWATKNSSYLDYLTSLGDVSDGVDMFSWDNKLAGARVLLSRRVLVSGDRRLDAFRRQAEDFMCRILPGSPSSTTQYTPGGLMYKSGHANLQYVTSASFLLATLAKYMAVANHTFSCENPLGMSYMVGYGARFPERIHHRGASMPSVAAHPARIGCQEGFSGYFNAGGANPNVHTGAVVGGPDNHDAFPDERGDYDRSEPTTYTNAALVGCLAYLAGIYKS >LPERR04G10620.1 pep chromosome:Lperr_V1.4:4:12284233:12290091:1 gene:LPERR04G10620 transcript:LPERR04G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPLASLQEEGEGEPDESSPSSAFSAAAVPPRPATHHSLHKFAPLEWSDYFDEERRVAIPDTEDVFNVYMAGSNGPVVFCLHGGGYSGLSFALAASRIKEKARVVSMDLRGHGKSATSDDSDLSIETLSSDVIAVLHTLYGDSPPAIILVGHSMGGSVAVHVAARKVLRNLHGLVVVDVVEGTAMASLIHMQKILANRTQHFPSIEKAIEWSVKGGPLRNIESARVSIPSTLKYDESKKCYTYRTPLEETEKYWKGWYEGLSEKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASYILNFITRNKIGPNGVEIPGLINKWKH >LPERR04G10630.1 pep chromosome:Lperr_V1.4:4:12290871:12291827:-1 gene:LPERR04G10630 transcript:LPERR04G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVFTLGLICLCRSVNFQLLIKRRRGYYHTNQEQLSYFNGPWLTRITLILVALWWGIGEVLRLTFVNGEGRLISDQTWQANVCKIYIISNLGFAEPGLFLLLAFLLSAALQNQELGALNRKWNQRTIRAVFMLCSPSLIWESCVVFVGPHVASSDGQRSKVAKYWYSASAVHNGDVACTYPLLSSIYLGAFYTVLTIYVIFVGGQILSLAINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEMVHEYLVFVSFLVLMLAAMLGIVILVYFPVVETVEIGDQEHVELQTSHPIVDL >LPERR04G10640.1 pep chromosome:Lperr_V1.4:4:12291876:12293191:-1 gene:LPERR04G10640 transcript:LPERR04G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPLF >LPERR04G10650.1 pep chromosome:Lperr_V1.4:4:12294757:12295350:-1 gene:LPERR04G10650 transcript:LPERR04G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSSPTMSAAGCGISQYRGVRRRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVDRLPRPASSRPGDIRAAAAEAADRVRRDPALAMAMTTTPSPPASSSRWAGGVAAPPRVEQVGGGSDEEFELDSPRLWAEMAEAMLLDPPIWADQPTWAVDVSEMEVAHCWAHGSLWDAC >LPERR04G10660.1 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLERSPLRVLIIGYRNNILTTMLIQNTFQDSTVMLDKFPVIFGCSQGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.2 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLERSPLRVLIIGYRNNILTTMLIQNTFQDSTVMLDKFPVIFGCSQGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.3 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.4 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.5 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.6 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLERSPLRVLIIGYRNNILTTMLIQNTFQDSTVMLDKFPVIFGCSQGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.7 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLFPLLVDASNGVTMYESGDIVKYLFRQYGQGKNPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10660.8 pep chromosome:Lperr_V1.4:4:12302745:12305591:1 gene:LPERR04G10660 transcript:LPERR04G10660.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPPVHHHHPGLCAAAPAPSPQGRSPPPLRARSRLRCRPGRTPAVAARARPPSARAGETETSAADDDASSASSSGGSVLSFLCPLLKFIGGGDPSQERNDFVEVTTSSLSSLARLPWGSSVSTSSGNAPTLQLFPLLVDASNGVTMYESGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTFARIVREALCELEVPYILQNVGEGSSKTDLLQRISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTTG >LPERR04G10670.1 pep chromosome:Lperr_V1.4:4:12306073:12308570:1 gene:LPERR04G10670 transcript:LPERR04G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNNVKEWMSEIGKYANDSKIWEPGSLGEKGIQSSSDERPANSAAGATDAEEQLLFIMMAQWSGIFQELG >LPERR04G10670.2 pep chromosome:Lperr_V1.4:4:12306073:12309922:1 gene:LPERR04G10670 transcript:LPERR04G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNNVKEWMSEIGKYANDSKIWEPGSLGEKGIQSSSDERPANSAAGATDAEEQLLFIMMAQWSGIFQELG >LPERR04G10680.1 pep chromosome:Lperr_V1.4:4:12308725:12311011:-1 gene:LPERR04G10680 transcript:LPERR04G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMQGLIERYKGKNLSEVQVESNEQNIPLVVQQDVLFLRQEVDLLQNSLRHMYGEKDINHMNLGELQSLERNLEAWVHNIRTTKMQLMSREIEMLKNKEGILKAANDMLQERIIEQTGILDVGANIMIPQVPLQLTTESNYYF >LPERR04G10690.1 pep chromosome:Lperr_V1.4:4:12319728:12322197:-1 gene:LPERR04G10690 transcript:LPERR04G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGTAPLLTPYRMGRFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRASKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHPNGQAPISSTNKSIKPVVRANGIDVATFSTPRRLETDEIPLVVNDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCQFALEVVQAVVDEIGADKVGIRLSPFASYSESSDSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPYSLRPIRDAFNGTFIVAGGYNREDGNKAVSTGYADLVAYGRLFLSNPDLPRRFEIDAPLNEYNRETFYISDPVIGYTDYPFLPSDV >LPERR04G10690.2 pep chromosome:Lperr_V1.4:4:12319728:12322197:-1 gene:LPERR04G10690 transcript:LPERR04G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGTAPLLTPYRMGRFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRASKGGLLIAEATGISDTAQGVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCQFALEVVQAVVDEIGADKVGIRLSPFASYSESSDSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPYSLRPIRDAFNGTFIVAGGYNREDGNKAVSTGYADLVAYGRLFLSNPDLPRRFEIDAPLNEYNRETFYISDPVIGYTDYPFLPSDV >LPERR04G10690.3 pep chromosome:Lperr_V1.4:4:12319728:12322197:-1 gene:LPERR04G10690 transcript:LPERR04G10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGTAPLLTPYRMGRFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRASKGGLLIAEATGISDTAQGSFQPNGQAPISSTNKSIKPVVRANGIDVATFSTPRRLETDEIPLVVNDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCQFALEVVQAVVDEIGADKVGIRLSPFASYSESSDSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPYSLRPIRDAFNGTFIVAGGYNREDGNKAVSTGYADLVAYGRLFLSNPDLPRRFEIDAPLNEYNRETFYISDPVIGYTDYPFLPSDV >LPERR04G10700.1 pep chromosome:Lperr_V1.4:4:12324747:12324992:-1 gene:LPERR04G10700 transcript:LPERR04G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEARHTLRPMREAFGGRGTFIVAGTYTREEGSHAITSGYTDLVAYGRLFLANPDLPRRFELDAPLKKYDRNTFYTNSE >LPERR04G10710.1 pep chromosome:Lperr_V1.4:4:12324996:12325682:-1 gene:LPERR04G10710 transcript:LPERR04G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRSEYRPNGEASISSTGKPAVSRDGHVEISYDVPRRLNANEIPGVIGDFRIAARNAIQAGFDGVEVHAAHGYLINQFLMDSVNDRNDKYGWSLENRCRFALEIVAGCSALSLHRAPGSLRLRTQTCTLGVYMARAVNDLCMLYLHMVEPRMV >LPERR04G10720.1 pep chromosome:Lperr_V1.4:4:12326556:12339128:-1 gene:LPERR04G10720 transcript:LPERR04G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSEDGWVVCRVFKKKCFFKIGGGGGGGGEGSSGSQGGGGDIFGGHGHHLAVSPPLDHDHHQARAAMAAASHYMHHPHQYHSSFYYSQMQPSPPPPPPPPHSAYSHHVQVQDLLTNHRPSASAADAAGGGYDFSGGLQPVAAAGLDVGSSDGLGEGVGRDHATGGDAGGAEQQWQGMDGFSNGGGGAAAVQQQLASAMSSGGQRGGEMDLWGYGSRLLRFRSLPAPSATAKSSPPSAASSTGVVHINISSEMHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDKCFRQEKALKRKANFEESKKFKEQLQAYKREMAENDKELEWTHGLATAKLFSTTGIHNQFKFYKDKDKHGASESKANMEPLPLASSYEMGRFTLSHRIVLAPLTRSRSYGNIPQSQAILYYLQRATKGGLLIAEATGVSSDAQGMSLIPHTPGIWTKEQVEAWKPIVDAVHAKDGIFFCQIWHVGRASDLEQEPISSTNKPVEKNEDNYMDFPIPRRLAVEEIPDVINHFRMAARYAIDAGFDGVEIHGAHGFLLEQFMKDNANDRTDEYGGSLQNRCRFALEVVDAVASEVGSDSVGIRLSPYASYLSCYDSDPDALGVYMAQELDKRGILYCSAVEPEMIMVDGKMRIPHRLHDMRKAFRRTFMVGGGYGREEGNRAVADGYADMVVYGRLFLANPDLLKRFQLNAPLNKYDRSTFYTDDPVVGYTDYPFLDDCEATNAMGYSYLQLLMGASQRGEDRKGASTNNMESIPLLSPYNMGKFNLSHRIVLAPLTRSRSYGNLPQPHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIQRTEENYFLNFSTPRSLTAEEIPDVINHFALAAKNALDAGFDGVEVHAANGFLLDQFMKDGVNNTRSDDYGGSLANRCRLALEVVDAVAAVAGAGRTGVRLSPFSRCLDCADSDPDSLAAHMARELGARGVLYLNVVEPEMVAAAGEGDQRLVIPHRLRGVREAFAGTLMAGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPARFRIGAALNGYDRATFYTADPVVGYTDYPFLDGGGEEAAAAAASSSGEEEGGV >LPERR04G10720.2 pep chromosome:Lperr_V1.4:4:12326556:12339128:-1 gene:LPERR04G10720 transcript:LPERR04G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSEDGWVVCRVFKKKCFFKIGGGGGGGGEGSSGSQGGGGDIFGGHGHHLAVSPPLDHDHHQARAAMAAASHYMHHPHQYHSSFYYSQMQPSPPPPPPPPHSAYSHHVQVQDLLTNHRPSASAADAAGGGYDFSGGLQPVAAAGLDVGSSDGLGEGVGRDHATGGDAGGAEQQWQGMDGFSNGGGGAAAVQQQLASAMSSGGQRGGEMDLWGYGSRLLRFRSLPAPSATAKSSPPSAASSTGVVHINISSEMHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDKCFRQEKALKRKANFEESKKFKEQLQAYKREMAENDKEIVLAPLTRSRSYGNIPQSQAILYYLQRATKGGLLIAEATGVSSDAQGMSLIPHTPGIWTKEQVEAWKPIVDAVHAKDGIFFCQIWHVGRASDLEQEPISSTNKPVEKNEDNYMDFPIPRRLAVEEIPDVINHFRMAARYAIDAGFDGVEIHGAHGFLLEQFMKDNANDRTDEYGGSLQNRCRFALEVVDAVASEVGSDSVGIRLSPYASYLSCYDSDPDALGVYMAQELDKRGILYCSAVEPEMIMVDGKMRIPHRLHDMRKAFRRTFMVGGGYGREEGNRAVADGYADMVVYGRLFLANPDLLKRFQLNAPLNKYDRSTFYTDDPVVGYTDYPFLDDCEATNAMGYSYLQLLMGASQRGEDRKGASTNNMESIPLLSPYNMGKFNLSHRIVLAPLTRSRSYGNLPQPHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIQRTEENYFLNFSTPRSLTAEEIPDVINHFALAAKNALDAGFDGVEVHAANGFLLDQFMKDGVNNTRSDDYGGSLANRCRLALEVVDAVAAVAGAGRTGVRLSPFSRCLDCADSDPDSLAAHMARELGARGVLYLNVVEPEMVAAAGEGDQRLVIPHRLRGVREAFAGTLMAGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPARFRIGAALNGYDRATFYTADPVVGYTDYPFLDGGGEEAAAAAASSSGEEEGGV >LPERR04G10720.3 pep chromosome:Lperr_V1.4:4:12326556:12333334:-1 gene:LPERR04G10720 transcript:LPERR04G10720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPLASSYEMGRFTLSHRIVLAPLTRSRSYGNIPQSQAILYYLQRATKGGLLIAEATGVSSDAQGMSLIPHTPGIWTKEQVEAWKPIVDAVHAKDGIFFCQIWHVGRASDLEQEPISSTNKPVEKNEDNYMDFPIPRRLAVEEIPDVINHFRMAARYAIDAGFDGVEIHGAHGFLLEQFMKDNANDRTDEYGGSLQNRCRFALEVVDAVASEVGSDSVGIRLSPYASYLSCYDSDPDALGVYMAQELDKRGILYCSAVEPEMIMVDGKMRIPHRLHDMRKAFRRTFMVGGGYGREEGNRAVADGYADMVVYGRLFLANPDLLKRFQLNAPLNKYDRSTFYTDDPVVGYTDYPFLDDCEATNAMGYSYLQLLMGASQRGEDRKGASTNNMESIPLLSPYNMGKFNLSHRIVLAPLTRSRSYGNLPQPHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIQRTEENYFLNFSTPRSLTAEEIPDVINHFALAAKNALDAGFDGVEVHAANGFLLDQFMKDGVNNTRSDDYGGSLANRCRLALEVVDAVAAVAGAGRTGVRLSPFSRCLDCADSDPDSLAAHMARELGARGVLYLNVVEPEMVAAAGEGDQRLVIPHRLRGVREAFAGTLMAGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPARFRIGAALNGYDRATFYTADPVVGYTDYPFLDGGGEEAAAAAASSSGEEEGGV >LPERR04G10720.4 pep chromosome:Lperr_V1.4:4:12334004:12339128:-1 gene:LPERR04G10720 transcript:LPERR04G10720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSEDGWVVCRVFKKKCFFKIGGGGGGGGEGSSGSQGGGGDIFGGHGHHLAVSPPLDHDHHQARAAMAAASHYMHHPHQYHSSFYYSQMQPSPPPPPPPPHSAYSHHVQVQDLLTNHRPSASAADAAGGGYDFSGGLQPVAAAGLDVGSSDGLGEGVGRDHATGGDAGGAEQQWQGMDGFSNGGGGAAAVQQQLASAMSSGGQRGGEMDLWGYGSRLLRFRSLPAPSATAKSSPPSAASSTGVVHINISSEMHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDKCFRQEKALKRKANFEESKKFKEQLQAYKREMAENDKE >LPERR04G10730.1 pep chromosome:Lperr_V1.4:4:12344788:12348492:-1 gene:LPERR04G10730 transcript:LPERR04G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMAPPPPLSAAKPPTLPFSPKKPTPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGAKTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDYAVGNMISDAFKRVGRKGMVRIENGRGTENSLEIVEGMQFERGYLSPYFVTDRTNMSAEFTDCKILLVDKKIVDASQIIRILDSAVKEDYPLLIIAEDVEEKAMADLIKNKLKGTIKVAAIQAPSFGEQKSQCLDDIAIMTGGTVVCDDMGYTLEKAGKEVLGSASKVVIGKDSTLIVTDGSTQHVIEKRVALIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRMEDALNATRAAIEEGVVIGGGCSLLRLSMKIDKIKDSLDNMEQKIGADIFKQALSYPTVLIANNAGVNGNFVIEKVLLNEDDTYGYNAAKNRYEDLMAAGILDPSKVVRCCIQHAAVVAKSFLTSDVVVVEAKESKPVRIRPPMPPRHLIPPIPASGIRV >LPERR04G10740.1 pep chromosome:Lperr_V1.4:4:12349288:12350157:1 gene:LPERR04G10740 transcript:LPERR04G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDGGGFAAPLERPPPPVAAAADPTVAVDGDVVLSGVVLILVALAFAFVMHHFLAAVRGRRRRDGAARTSSSGGGGGVDGIAAAKVSGGGGVDPAVLRALPVTVYRRAAEAEAAALECAVCIAEVEEGEAARFLPACGHGFHAECVDLWLRSRSTCPLCRVAVIGDDAAAFALPPVQPEPANYHAAATTAVLPTNVLFWGTHGGAVTTTTTTSVAEYGGGGHDTITTAAAAASPSSSGGGDAAAAVVIEVADTAATATAAAKTRGRERLVGSIRRMWSRGASSSSCT >LPERR04G10750.1 pep chromosome:Lperr_V1.4:4:12362480:12363001:1 gene:LPERR04G10750 transcript:LPERR04G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMVEEVVQAGEEAAAAGGLTLSLSLQPTPPRFQALFSCCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAAASIAAANTSGGDHQHGRAAGESTAQPPSRVVTADLDAAAAGMWGGGAGMRAAAHYRHHYLQAGAGGAAAPPPSSYSGGGGGMGNGELADEMIDLSLRL >LPERR04G10760.1 pep chromosome:Lperr_V1.4:4:12366779:12372666:1 gene:LPERR04G10760 transcript:LPERR04G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSASKRALDGGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPATPARIQGRDTDTYLYYYLYYPHQVDLHIPNKNRTSPKRIEGPTGSNLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPSLKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDATSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASSSHPSSYGSSHSHKQLIGGSVNVEQSSMNSMSEDGARNMSNGSQAARYAANPQDLPQNITMQYDMSSLRPDEHFSGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPINPFDDWSRLQENRGGVDDFLMEEIRVRSHEILENEEDMQQMLRLLSGSSANLSNVDGFSPFMPSPAPPFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >LPERR04G10760.2 pep chromosome:Lperr_V1.4:4:12367056:12372666:1 gene:LPERR04G10760 transcript:LPERR04G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSASKRALDGGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPATPARIQGRDTDTYLYYYLYYPHQVDLHIPNKNRTSPKRIEGPTGSNLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPSLKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDATSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASSSHPSSYGSSHSHKQLIGGSVNVEQSSMNSMSEDGARNMSNGSQAARYAANPQDLPQNITMQYDMSSLRPDEHFSGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPINPFDDWSRLQENRGGVDDFLMEEIRVRSHEILENEEDMQQMLRLLSGSSANLSNVDGFSPFMPSPAPPFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >LPERR04G10770.1 pep chromosome:Lperr_V1.4:4:12376840:12377340:1 gene:LPERR04G10770 transcript:LPERR04G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLSPRNGDESPDEIQELISEKPKSNSENSGIAISSSSSSDMENGRGKSAAAAAGGVGRGSKPSSSSSSSSSDQAAASGGGGGVRSKYFSVEYFLLLVCVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLVLAFMPTSSSAATAAAGGGGGGRNATGQAPYL >LPERR04G10780.1 pep chromosome:Lperr_V1.4:4:12378501:12384677:1 gene:LPERR04G10780 transcript:LPERR04G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHQCFYIKPHSDIFGFRKLRRLHLRRVKIIGNLSGLLLNCLSLQILEITMCSGVNILNVPQQLDKLTHLLVCNTSGQMIEFHVPALSHFGYIGTDIPIVLHGCSKLKWTYLSFLKTYREEDNNKVLGHVFHGIPSVSAVKVLTVHADINAKLPVWSSQMQMLTTGPASMFMNLRYLTYEITVSTTDPDSHSGILQLAPHLSFAPQLEALELHKQMSYQVSRGHFWHGEGVSHHLPRHDHLRIVYMTGFRCYRAQAGLMCSILEMGAALEHVAVEPMTRISYNIELINSDIPVDKICEWAHRASKRFGKAITVVKPP >LPERR04G10790.1 pep chromosome:Lperr_V1.4:4:12385496:12389024:-1 gene:LPERR04G10790 transcript:LPERR04G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATIEDTPTWIVAAVCSVIVLISFAFERSLHYLGKALERRRKTLYEALLKLKEELMLLGFISLLLVVFQDLIQRICIDESLMAHWLPCRREGKASIAKEHYSAAIVFGAGARRLLGKGVASGHCLSKGKVPLLSLHAIEQIHIFIFVLAITHVVLGAVTVLLGLLQMRRWRHWENAIKADGDSAAAPKMINRLQQCKFIQDRYKGFDKFTMVIVWMRSFFKQFYGSITKDDYTAMRLGFVMKHFRGHPKFNFYDYMIKALEKDYKRVVGIKWYLWIFVMIFLLLNLLLLIGTKLEHIITQLAYEVASKHTAVEGDIAVNPSDNFFWFHSPRLVLILIHFILFQNAFEFAYFFWTLATFGFNSCIMDRLPYRLSRIIICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFSDDVADNLRDWAAGARWRRRRAAAVDGAGCLTAAVGSNREGIQLQDV >LPERR04G10800.1 pep chromosome:Lperr_V1.4:4:12390765:12393354:1 gene:LPERR04G10800 transcript:LPERR04G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRLLRDLRVISGQGTASGHHPLTDSRIGHGVRGSREVLTNSATSPSREATSAHPPRRAWPVVISPVSVAASAHFSPSGASTRQVVALDLAF >LPERR04G10810.1 pep chromosome:Lperr_V1.4:4:12394304:12396802:1 gene:LPERR04G10810 transcript:LPERR04G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVIMSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAKELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >LPERR04G10820.1 pep chromosome:Lperr_V1.4:4:12397073:12398464:-1 gene:LPERR04G10820 transcript:LPERR04G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTKLRVSTSQLQHDAAMLRPAPRPAAPGRSKMKILLLVIATNLVSVYLFSGTSLSLHLPATAPSIHLWDSSALLRDLNATRAALAASLAETAALRSQCNASSLLLESVLAALGSAHGEDNTNPSSTAERFNGWPEEPTGELRLAIEPHPLPHGYSAKFGTDELYPGLGFACRNFQDELTRYMTYDVAGECPDDDALALRLVLKGCEPLPRRRCRPRRFSSSPYVEPRPMPGSLWSIPPDGTVANWSPYTCKNYTCLVARARARGGSYECKDCFDLSVSGKERRRWMSDSRDGVGFGIDAVLATRSLGTVRVGLDIGGGTGTFAARMAERNVTVVTTTLDAGAPFAAFVAARGLVPLQLTVMHRLPFADAVLDIVHTMQLSGWVPGAVLEFALFDVYRVLRPGGVFWLDHFFCHGTQLNATYVPVLDRVGFRRLRWKATRKLDLGAERNEWYVSALLEKPMT >LPERR04G10830.1 pep chromosome:Lperr_V1.4:4:12399855:12405331:1 gene:LPERR04G10830 transcript:LPERR04G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLQHGGGGGSAPQAPLPSLVKTVLKFLMWAVFLTWAAAIFFYPTKPVQAALDGLMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISAFPNDHVLVEKNKLKSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYVMTYYAVESVSLITKFDIPALTDSELILFIIGLRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVITWSLSGNLLEQLVEWKEIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVIFVVFLAFHVGDFVFSIVAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPANLRYNALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHMSILIKVLGTWTEKLRGIITDVQEQTRNGSESESRRITASVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGNPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDQKGTGMFVKNGTTMSGLVGTGDNFWAGMYFAASTLGFILAYALARAYYVPRYSVVAWWHLGLVFVLCMVAGVALPGGLVVLLWHLSEKGKAEDDDRWNATVATGTTVWDGEEAEQTTNDGDGVSLAAMRTTRYGCRPQFEAEFAAFAERVAGGGGAADVGVLVCGPRGLQASVARECRSQNLGRHGGRRRRADAVFHFNSHSFDL >LPERR04G10840.1 pep chromosome:Lperr_V1.4:4:12406069:12406659:1 gene:LPERR04G10840 transcript:LPERR04G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTMSSSCARCSAADSVSSDPLFRSPSPDYTPSTPPRRAASPDYTPSTPPRRAASPDYTPSTPPRRSVSPDYTPSTPPRRSSSPDYTPSTPPRRAASPDYTTSTPTHRAASPEYTPLTPPRWAASPDYTPSTPPPRAASPDYSPSTPPPSDAESCTSPPLVRRRHHPYQRSGSCSLRAARVTGKRHHRAFLQGY >LPERR04G10850.1 pep chromosome:Lperr_V1.4:4:12409240:12413631:1 gene:LPERR04G10850 transcript:LPERR04G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREERVPEDVFQDFCGRRAGIVKALTSDVEKFYNQCDPEKENLCLYGLPNGTWEVTMPADEVPPELPEPALGINFARDGMNDKDWLSLVAVHSDTWLLSVAFYFGARFGFDKEARKRLFMMISGLPTVFEVVSGAVQSKTANGSSKNKSGSKPPKQPNSDSKPPKQVQSKHADDNSGRGNGGDEDQTTICGYCYGVYTDDEFWIGCDVCENWFHGKCVRVTPAKAAHIKQYKCPGCSNKRGRD >LPERR04G10860.1 pep chromosome:Lperr_V1.4:4:12412215:12416007:-1 gene:LPERR04G10860 transcript:LPERR04G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFAKEFKSRRSGSWHGSSALRLPKDLYDNLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQFLDLECVQLVFLADVAVHFFLAYRDPHTYRMVYDKRKIALRYIKGSFALDMLGCLPWDAIYKVTGRMEVVRYLVWLRLYRGRKIMAFFKKMEKDIRVSYLLTRIVKLITVELYCTHTAACIFYYLATTLPPSRESGTWIGSLSLGDSRYINFRDIDLLTRYITSLYLAIVTMATVGYGDIHAVNTREMAFTVVYISFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNRLGSDIRSQVKDHLMLQYESSYTRDRVIDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIIAHGCLEEVAHGKDGSEEIISELRPYDIVGDVAVICNIPQPYTVQVSELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKGTESKGKQLESDITYLLAKQESELVLAVNNAAYHGDIFRLKGLISAGADPSKPDYDGRTALHVAARRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDKITMLLVEHGATLNLEDAGGYLCRVVRDGRIDLLKKLLKFGISPNCRNYDQRTPLHIAAAEGLHLVASMLIESGADTQAKDRWGNTPLDEGRRCSSKPLVRILEQARTIATN >LPERR04G10870.1 pep chromosome:Lperr_V1.4:4:12420206:12420880:1 gene:LPERR04G10870 transcript:LPERR04G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRGATAAAAMLACIAVLAATTAVDGAILPWFGSGGGRGGGARDEAPALSEIMAFDPFRILEQVPIGFADRDVDAAMLSMARVDWRETGDAHEITVDVPGMRKEDLRVEVEESTRLLRVSGERRREVKEEEKKGGEHWHREERSYGRFWRQLRLPDNADLGSVAASLDNGVLTVRFRKLAAEQIKGPRVVGIVGGGEDGEKRSIGGGDAAGEGQQQPKKVEL >LPERR04G10880.1 pep chromosome:Lperr_V1.4:4:12422539:12423051:-1 gene:LPERR04G10880 transcript:LPERR04G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTMAATSVHLHSTTGRLSLCSAPTRGGRRRFAAVRASASEAMATEKLGIRVERNPPESRLSELGVRQWPKWGCDKSKFPWTYSAKETCYLLQGKVKVYPDGAGAGGEEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYLFE >LPERR04G10890.1 pep chromosome:Lperr_V1.4:4:12423935:12424486:1 gene:LPERR04G10890 transcript:LPERR04G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFVSFLLFIMACSHSSLASSSSSVVKETCAKATAAGDRSDLAPFCVATLQSAPGSDAAVDARGLAVIATNLTLASYTAAYAAAKALQRRGGWSGRERAALAACRRLYADALDVVHSTVHALNAGETWAYEEDMGVVLRAATGCEDAFAGAGAGGDELPLYKVDVDAINVATVAVLIVLIL >LPERR04G10900.1 pep chromosome:Lperr_V1.4:4:12425354:12428330:1 gene:LPERR04G10900 transcript:LPERR04G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGMRVDVESLLTFADDLLGVLRASKDGEALAQACAGAEMLRSACHSESGDLEVQMKVSDELDNLDSQRDSIEQRKDAVRKKEKEMMKAQNMLSMCVSVTNIMPDFEDKDKISGYIVDKNMKKLERFEFEKTTPPADICNKLWKMV >LPERR04G10910.1 pep chromosome:Lperr_V1.4:4:12430530:12431711:1 gene:LPERR04G10910 transcript:LPERR04G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDAASSSPSMSLPVADPVTVAAAGPPAASGLLALALPIQKQQGGSSGREDAWSEGATAALIEAWGERFVALGRGSLRHPQWQEVADAVSSREGYTKAPKSDVQCKNRIDTLKKKYKVERNKAAPSSWKVPPSPEPEPELSDSESSDGFPPEREPAIPFMPPNGKRSRVDDGDGDRAQGLRDLAQAIRRFGEAYERVETAKLEQAAEMERRRLDFASELESQRVQFFVNTQMELSQVKNHSYSSPANAAAPPAAAAGGSSRRMASVNDAGGNYHRRYRVSESSRHRHHPPPRPHYQYHENKVAAVTAAAAAAASDGEQTDDEEDEDEDEESQ >LPERR04G10920.1 pep chromosome:Lperr_V1.4:4:12433156:12437236:-1 gene:LPERR04G10920 transcript:LPERR04G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQAHTLGLRLSTSPPAPFPLGRRRARPVRAFAAAAAAAAAPRRETDPRKRVVITGMGLVSVFGNEAGAFYDRLLAGESGVGAIDRFDAGSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEDAGLGKGSDGHSKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIIAGGTEAAIIPIGLGGFVACRALSQRNDEPETASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIATIKAITTGWVHPTINQFNPEPEVDFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >LPERR04G10930.1 pep chromosome:Lperr_V1.4:4:12439593:12443507:1 gene:LPERR04G10930 transcript:LPERR04G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVSATSGLNSISPLLSSPSASTSHLTIAQSATFTPPHASSINPTNQTLEQSPCRNRTKAVGLLQSPSRRGSGASSLPRSPAPGFGGFRGDVLGRARRDLPLHVPSRLLGQETYCPTKRWTEAAWHTLLI >LPERR04G10940.1 pep chromosome:Lperr_V1.4:4:12445148:12445486:-1 gene:LPERR04G10940 transcript:LPERR04G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAAAFSLVLAGQRRGPAPHCFRMPRRRKVHVVRLGGGGGGRGGLCLRRIRLRWLRRAVWRLAEFCAAALSAPLGAAAASPWKGAAVDPYAFAAPFVPAMLLKRPGKGF >LPERR04G10950.1 pep chromosome:Lperr_V1.4:4:12450051:12458152:-1 gene:LPERR04G10950 transcript:LPERR04G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAATGSSSPSPSPSSPPDPSAAVTSLMHPRLHALLCLYLPHHHRPLVRLLHSSSPPPPQPPSSYAELWISKALAAAALLRPERLPVFRSLEPPPSPLAAAAAVRLAPCASSALAVFAALHSPPLCITPSAQSYQQIIVVMCRSGRQGDALQLFDQMTTHYGYSPDTRFLSFLVNSCTCANLLDAAATLLSKASEFGCRVEAYAYNKLMSLLIGRGRVNDAVALFERWIQGKVYSPDVWSFNVVIKGVCRVGYVQKALELVERMNEFGCSPDTVTHNILVDGLCRINEVSRGHEVLKRLQRDGVCMPNVVTFTSVISGYCKAGRMEDAMSVYSDMVASGTMPNVVTYNVLINGYGKVGDMGSAVEVYRQMVLRHCPPDVVTFSSLIDGYCRCGQLDDALRIWNEMNQHHIRPNVYTFSIIIHTLCKQNRSKEAIGLLNELNMRPDIAPQAFIYNPVIDVLCKCGNVDEANFIRKGMVEKGCRPDKYTYTILIIGYCMKSRIADAIMCFQEMVEAGCYPDNITVNCFISCLLKAGMPNEVDNVMRLASGGASSIKEVPSPTGSLMIHEQYMSIVLA >LPERR04G10960.1 pep chromosome:Lperr_V1.4:4:12452181:12456174:1 gene:LPERR04G10960 transcript:LPERR04G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKFFCSSTSTTLMIRKRPIVVNGGGFVVTDLSNNVVFIVDGCGILGSKGELLVKDSDGERVLFIYKKGGIVQALSTCNKWNGYSMDYQGKDKLVFSLTDPKSCITKGAPIRIHIEPKRHCKNWDFEIRGSFADRECTIIDCTGKIVAQMGKKEQIGNKDFYYVVMQSGCDKAFIIGVMAVLDNINGESTTC >LPERR04G10970.1 pep chromosome:Lperr_V1.4:4:12460041:12463201:1 gene:LPERR04G10970 transcript:LPERR04G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSGGVGGGGHDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKYLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTVSMFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIPLNILPEQAFHKNSFLSKPDQECKSRVSAKKGNTVVNKDALMQSQTAFQVNKNFVLAS >LPERR04G10970.2 pep chromosome:Lperr_V1.4:4:12460041:12463766:1 gene:LPERR04G10970 transcript:LPERR04G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSGGVGGGGHDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKYLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTVSMFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTHSDRY >LPERR04G10980.1 pep chromosome:Lperr_V1.4:4:12462984:12465379:-1 gene:LPERR04G10980 transcript:LPERR04G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAPATPAKTSPKKANLLDPHSIKHILDETISDVVKNKGYAEDTRLGNWKLAIGATVIAIALLAQFYPKKFPQNRDYTKEKDAIMFTHPPKGSFNSTGLVISSKLPRFSDMYTLTVASADPQSISANKTVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >LPERR04G10980.2 pep chromosome:Lperr_V1.4:4:12462984:12465379:-1 gene:LPERR04G10980 transcript:LPERR04G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAPATPAKTSPKKANLLDPHSIKHILDETISDVVKNKGYAEDTRLGNWKLAIGATVIAIALLAQFYPKKFPQNREFLLGCILLYPFVVEFGFDWELYETCCMLLLFLDLIGGHLCGVERGAGDPELHQGKGCHYGSFNSTGLVISSKLPRFSDMYTLTVASADPQSISANKTVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >LPERR04G10990.1 pep chromosome:Lperr_V1.4:4:12471173:12475368:1 gene:LPERR04G10990 transcript:LPERR04G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPGKPYTYTHSERLGRLRLTQATLGVEAGKVEKSGGRKKSVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEEHVTFSVLGPRSIHLAGYYIGDVYGEEMDDSDTDSDSLQGSDDDAFMDTDGDDSGDEDDTVLIPLSHGSSDGDDDSEYDSEDDDSEMIYNQPRSKSSVVIEEIQEDDKPAGVGGQKGSNKKQISENGDDSKLQLVVRTSPAESLESEDEDGFPVSFSESKKSDGSSKKKGNLNNKKSTEDRKRKSGAVGDHHDSSGDIKDENDGVSKKNKKAKAKKTAVDSVEKESKQEDSPADLVDAKQKKNKNTSEAGTHQNIDKTNNIHNDAEEVTAQEASKKKKNKKKKTQEKNAIENQTPKSQEKNAKGNQTPTDRTGSESKKQPLQTRTFGNGMIIQEIEMGKPDGKKASPGKKVSVKYIGKLKNGKIFDSTVGRRAFDFRLGVGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNQRMGVIPQNSTLVFDVELVNVK >LPERR04G10990.2 pep chromosome:Lperr_V1.4:4:12471173:12475368:1 gene:LPERR04G10990 transcript:LPERR04G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPGKPYTYTHSERLGRLRLTQATLGVEAGKVEKSGGRKKSVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEEHVTFSVLGPRSIHLAGYYIGDVYGEEMDDSDTDSDSLQGSDDDAFMDTDGDDSGDEDDTVLIPLSHGSSDGDDDSEYDSEDDDSEMIYNQPRSKSSVVIEEIQEDDKPAGVGGQKGSNKKQISENGDDSKLQLVVRTSPAESLESEDEDGFPVSFSESKKSDGSSKKKGNLNNKKSTEDRKRKSGAVGDHHDSSGDIKDENDGVSKKNKKAKAKKTAVDSVEKESKQEDSPADLVDAKQKKNKNTSEAGTHQNIDKTNNIHNDAEEVTAQEASKKKKNKKKKTQEKNAIENQTPKSQEKNAKGNQTPTDRTGSESKKQPLQTRTFGNGMIIQEIEMGKPDGKKASPGKKVSVKYIGKLKNGKIFDSTVGRRAFDFRLGNFLTSNCFTFALTVGVKLIVIFTWAAGVGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNQRMGVIPQNSTLVFDVELVNVK >LPERR04G10990.3 pep chromosome:Lperr_V1.4:4:12471173:12475368:1 gene:LPERR04G10990 transcript:LPERR04G10990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPGKPYTYTHSERLGRLRLTQATLGVEAGKVEKSGGRKKSVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEEHVTFSVLGPRSIHLAGYYIGDVYGEEMDDSDTDSDSLQGSDDDAFMDTDGDDSGDEDDTVLIPLSHGSSDGDDDSEYDSEDDDSEMIYNQPRSKSSVVIEEIQEDDKPAGVGGQKGSNKKQISENGDDSKLQLVVRTSPAESLESEDEDGFPVSFSESKKSDGSSKKKGNLNNKKSTEDRKRKSGAVGDHHDSSGDIKDENDGVSKKNKKAKAKKTAVDSVEKESKQEDSPADLVDAKQKKNKNTSEAGTHQNIDKTNNIHNDAEEVTAQEASKKKKNKKKKTQEKNAIENQTPKSQEKNAKGNQTPTDRTGSESKKQPLQTRTFGNGMIIQEIEMGKPDGVGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNQRMGVIPQNSTLVFDVELVNVK >LPERR04G11000.1 pep chromosome:Lperr_V1.4:4:12476859:12478187:1 gene:LPERR04G11000 transcript:LPERR04G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPNAYTYNPLIHTLCQRGLVRDALEVLDEMLCRGCAPDVVTYNILLEATCKMRGYRQAMELIDLMRAEGCTPNNVTYNVIIDGMCGEGDVDDALELLRNLPSYGCRPNTVNYNTALKGLCSVERWKDADELLAEMVREDCLPNEATFNVILNSLCRKGMLQQAIQLLEKMSKHGCTANILTYNAIINSLCEQRNVDGALELLSNMESYGCKPDIVTYNTLLKGLCSAARWVDAEELMDRMTQNDCLPDNVTFNTLISFLCQKGLMVDAIEVFKQMPDKGCSPNSITYSTIISGLVKASKLDQALELFNEMGHKGFNPDKIYQLLSECLNEEDKIEEAIQTVRKLQDTGISPHTVLYNAILRGLSRNGKTEFAIDIMAYMVSSGCMPDDLTYFILIEGLAYEGYLNEARELLNNLCSRDVLVNSLIKSETLLLDQNIHAS >LPERR04G11010.1 pep chromosome:Lperr_V1.4:4:12503351:12512097:1 gene:LPERR04G11010 transcript:LPERR04G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEMMHRVSSCSAGLSAATRGPGRERRGGMCFASMEAAGRRGRRKVTSTAPVGALVERTVVAPAPVEKRAGGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDAFADRVGRSVLLELVSTETDPRKGTPKKSKPSALAGWYEKKDIKAERVVYTAEFAVDAAFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVSRGGAPRVFFSNKPYLPSETPPGLRELRLRELADLRGDGAGERRITDRVYDYDVYNDLGNPDKDAASARPVLGGDQLPYPRRMRTGRPSSATDASAESRVEYPEPIYVSRDEEFEEGKNEMLSDGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSRLEPAMYSPPESAITEEHIIGHLDGMSVQEAVEGNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAIFFLTAAGTLKPIAIELCLPPMTDDCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRVCMEMSAFAYKELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYATDGLLLWSAISRWCESYVAAYYPSDEAVQADYELQSWYAEAVQTGHADKRGAPWWPRLSTPGDLASLLTTLIWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >LPERR04G11010.2 pep chromosome:Lperr_V1.4:4:12504696:12512097:1 gene:LPERR04G11010 transcript:LPERR04G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRPSSATDASAESRVEYPEPIYVSRDEEFEEGKNEMLSDGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSRLEPAMYSPPESAITEEHIIGHLDGMSVQEAVEGNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAIFFLTAAGTLKPIAIELCLPPMTDDCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRVCMEMSAFAYKELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYATDGLLLWSAISRWCESYVAAYYPSDEAVQADYELQSWYAEAVQTGHADKRGAPWWPRLSTPGDLASLLTTLIWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >LPERR04G11010.3 pep chromosome:Lperr_V1.4:4:12503351:12505720:1 gene:LPERR04G11010 transcript:LPERR04G11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEMMHRVSSCSAGLSAATRGPGRERRGGMCFASMEAAGRRGRRKVTSTAPVGALVERTVVAPAPVEKRAGGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDAFADRVGRSVLLELVSTETDPRKGTPKKSKPSALAGWYEKKDIKAERVVYTAEFAVDAAFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVSRGGAPRVFFSNKPYLPSETPPGLRELRLRELADLRGDGAGERRITDRVYDYDVYNDLGNPDKDAASARPVLGGDQLPYPRRMRTGRPSSATGKSTNQPIN >LPERR04G11020.1 pep chromosome:Lperr_V1.4:4:12509782:12512026:-1 gene:LPERR04G11020 transcript:LPERR04G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAQTGESMHSSTFASRYVRTALPRFRIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVCWEKFARYFEVELKEVKLTEGYYVMNPEKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGYFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLTKTMADMDAHAGKKAVAAEPAKKTVREIEKEVTTYWRRLVAGKKSSLNFEFGFPFGLDRFVYGRLCQLPTIC >LPERR04G11020.2 pep chromosome:Lperr_V1.4:4:12509958:12512026:-1 gene:LPERR04G11020 transcript:LPERR04G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAQTGESMHSSTFASRYVRTALPRFRIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVCWEKFARYFEVELKEVKLTEGYYVMNPEKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGYFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLTKTMADMDAHAGKKAVAAEPAKKTVREIEKEVTTYWRRLVAGKKSSLVC >LPERR04G11030.1 pep chromosome:Lperr_V1.4:4:12515943:12529497:1 gene:LPERR04G11030 transcript:LPERR04G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPKVALMHGNARPMPAVGMGTAEFPVVAERTRGAVLAAIEAGYRHFDTASLYGTEAPVGEAVAEATRRGLLASRRRRSSRPSCGAPSATLTSNLQMEYVDLYLIHWPISVKPGPAVFPVKKEDAVPFDFEGVWRAMEECHRLGLTKAIGVSNFTTKHLEKLLAVATILPAVNQGEMNPVWQQWKVRDYCNAKGIHVTAYSPLGGQWGGHGNDVMESPVLAEIARARGKSIAQVSLRWIYELGVTPIAKSYKKERLKQNLEIFDWELTEDDHLKISKIPQKKTVTAARLFTTAAAVPGFALRHGNARPMPAVGMGTAEQANSEPGVTRSAVLAAIEVGFRHFDTAASYGMEAPIGDAIAEAARRGLVASREEVFVTSKLWCSQCHPELVLPSLRESLRRTCSCEIVRNLQMEYVDLYLIHMPISLKPGTPVIPMKKEDAVPFDFEGVWRAMEQCHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQFDTTILACYSKTAWSLVMDLRVEMNPVWQQRTLREYCAAKGIHVVDYSSLGGQNWGGGGNDVMESPVLAEIAEARDKTVAQVSLRWIYEQGVTPIAKSYNKERLKKNLELFHWELTEEDRLKTISRRRRLSQLHSCSLRMVSSHRLIFQTSKLWRNRC >LPERR04G11030.2 pep chromosome:Lperr_V1.4:4:12512510:12520133:1 gene:LPERR04G11030 transcript:LPERR04G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSSRSRVPEFRAGPSGGRGVPAVGLGTASARFMGEDDFRVVLLAALEVGYRHIDTASVYGSERVVGEGVADAVRRGVLKSREEVFVTTKVWCTQCHPDLVLPSLMESLQNLQMEYVDLYLVHWPMSVKPSKPHYPMKREYIVPMDLTKVWQAMEECHRLGLAKMIGVSNFTTKKLQELLTFAKIPPAVNQVELNPVWQQKKLLEFCKEKGIHVTAYHPLGGKYKTSTVNPVMNSDVLKEIAEAKGKSVAQISLRWIYEQGASMLTTSTKRERLKENIEIFDWQLSDDDRLKISQIPQHKKVSVLSILCPDGVSSVELSEVDVVEA >LPERR04G11030.3 pep chromosome:Lperr_V1.4:4:12512510:12520133:1 gene:LPERR04G11030 transcript:LPERR04G11030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSSRSRVPEFRAGPSGGRGVPAVGLGTASARFMGEDDFRVVLLAALEVGYRHIDTASVYGSERVVGEGVADAVRRGVLKSREEVFVTTKVWCTQCHPDLVELNPVWQQKKLLEFCKEKGIHVTAYHPLGGKYKTSTVNPVMNSDVLKEIAEAKGKSVAQISLRWIYEQGASMLTTSTKRERLKENIEIFDWQLSDDDRLKISQIPQHKKVSVLSILCPDGVSSVELSEVDVVEA >LPERR04G11040.1 pep chromosome:Lperr_V1.4:4:12530811:12532888:1 gene:LPERR04G11040 transcript:LPERR04G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVEEVGEAGEAAAAVFASRYVQDPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDKLILEGINKNYADMDEYPVTTELQASIHALPFQQNRCVNIIARLFNAPVGACKRAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGCYVMDPDKAVEMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGSSQVIAQYYQFLRLGFEGYRNVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKGDAGAGPLAFKLSAGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVKMALDEMDLAAKAAVPKVKLTIELGPARNAGEDASVRVVKSEPVTVHKRVPLVTGKTKGVC >LPERR04G11050.1 pep chromosome:Lperr_V1.4:4:12533307:12533924:-1 gene:LPERR04G11050 transcript:LPERR04G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSGRSKRRSERKSGGKDQAPATARQRNGRCGALCCGASRLSVSSTASCSSIDYAPVEQRLPPPPRGLSNLAHGMVQARLQSMIDAAAGQSAPSRPRHGADTAERHRGGRPCRCACACDSCGGVSCQRRQCVVLVAVDRKTSEPREEFRRSIAEVITAKRMAEPAELRALLNCYVSVNSREHRAAILEAFHEVCSALFSSRKC >LPERR04G11060.1 pep chromosome:Lperr_V1.4:4:12535141:12536865:1 gene:LPERR04G11060 transcript:LPERR04G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSTAAVEAGSVEPVSTPLLRRRGSYTRCMSHARDELGSFRSCLRWMCVEHSDGSSAVASWLVFALLAVAVPAASRVALPHRAYDAQVQASLTLSAALAYLTLSRLVRRRGLRRLLYLDRLRHDSQDVRAGYTVELAGSFRLLACFVLPCFLADAAYKVYWYCANRPFPTWWASAAACALEMASWMYRTAMLFMACVLFRIICFLQILRMTGFARDFGQCADVAAVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAVTRPHAQVNLATAGELALCSMSLVTGLLICLHSAAKITHKTQAITSVAAQWHADATINSQDRDNENPRTPSKASRHHFHASPVSVVPPTASSSGDESDDESSRSDDGLDGSKFVSFHATHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >LPERR04G11070.1 pep chromosome:Lperr_V1.4:4:12537572:12539697:-1 gene:LPERR04G11070 transcript:LPERR04G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRDRNLSSRRVSSATCEVPPFYQKTKKVSKENGLRLTSEKKDWKRATCSICLERPHKAVLLLCSSHSKGCRPYMCDTNHQHSNCLEQFKNAYLRGTPACDLSGAAVQAKKPKDTNLACPICRGEVKGWTVVKPARRFLNRKRRACMHEGCSFVGSYKRLCKHVKSKHPSSNPREIDAACLSEWKELEYEKERQDAISIITALNPGARIMGDYFIDPNSDSNDSHGYSSDSLTFSDSSDSGSGDLVSTVDDSGIQRNRSTSSQRPVRVIALPDRSARVRC >LPERR04G11080.1 pep chromosome:Lperr_V1.4:4:12540932:12546908:1 gene:LPERR04G11080 transcript:LPERR04G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEPSLKPEWLVRGQSAVVATSLRSGTSSPRVDDQGRSISSRNQSSNRDRERSSQQSISRRSSGPIGPRRHDRDGTSKSRGYASFGRSNRDRGCEKDPDSRNWESRLGPPDDPLYDGFKPFNSCRSERDRLNHTHLKVDTLNQALGESLDNGLRSVSRKVTGGISFEREFPHLGFDDKNVKQDVGRVPSPGISSSIQSIPLGTAPDGRNSVLAEVPVLSGPTNCPASTSLLLTGSSKQMEAPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPLTPSSNKISVSSSSDKLKSKGARAGDSNGPFKGAPQLPIQLAGSFIRAPVKHELAKPSQSGNFQVLSREQNGIVNTAKDSTSNPASPVLGRSSSVEPLRKPVVNQKLKGVANGLPLQLQGSFGERKSSAKEKHKFFELLRSKSLNGSCTSTVSSSVLLDEQHNSCLELFNSGVKCMDHGSSSCEEANSCEGSQQHLSDNEEINPSWESHDVFDEGVQEIMTDNRDFNSTSEIADTQNVYMKPHTNNTGSTPSIMPAETDNGSMESNCSDGKAILLFGPIGTAGEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >LPERR04G11080.2 pep chromosome:Lperr_V1.4:4:12540932:12546908:1 gene:LPERR04G11080 transcript:LPERR04G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEPSLKPEWLVRGQSAVVATSLRSGTSSPRVDDQGRSISSRNQSSNRDRERSSQQSISRRSSGPIGPRRHDRDGTSKSRGYASFGRSNRDRGCEKDPDSRNWESRLGPPDDPLYDGFKPFNSCRSERDRLNHTHLKVDTLNQALGESLDNGLRSVSRKVTGGISFEREFPHLGFDDKNVKQDVGRVPSPGISSSIQSIPLGTAPDGRNSVLAEVPVLSGPTNCPASTSLLLTGSSKQMEAPNCGTALSMAETVMQAPLKISTTPQVSSSSDKLKSKGARAGDSNGPFKGAPQLPIQLAGSFIRAPVKHELAKPSQSGNFQVLSREQNGIVNTAKDSTSNPASPVLGRSSSVEPLRKPVVNQKLKGVANGLPLQLQGSFGERKSSAKEKHKFFELLRSKSLNGSCTSTVSSSVLLDEQHNSCLELFNSGVKCMDHGSSSCEEANSCEGSQQHLSDNEEINPSWESHDVFDEGVQEIMTDNRDFNSTSEIADTQNVYMKPHTNNTGSTPSIMPAETDNGSMESNCSDGKAILLFGPIGTAGEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >LPERR04G11090.1 pep chromosome:Lperr_V1.4:4:12548533:12549882:1 gene:LPERR04G11090 transcript:LPERR04G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVFVLITAMAAACCAITGGAAAATTTASRLTGLRVHLTHVDAHGNYSKQQLLQRAARRSHHRMSRLVARTTGVPMSSTSSSKATAGSGADLQVPVHAGNGEFLMDLSIGTPAVAYSAIVDTGSDLVWTQCKPCVDCFKQPTPVFDPSSSSTYAAVPCSSASCSDLPTSTCTKASRCGYTYTYGDSSSTQGVLGTETFTLSKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVAQLGLEKFSYCLTSLDDTKKSPLLLGSLAEISAKSVQTTPLIKNPTQPSFYYVTLKAITVGSTWITLPASAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMSLPAADGSGVGLDLCFKVPAKGVDQVEVPKLVFHFDGGADLDLPAENYMVVDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVKQDTLSFAPVQCNEL >LPERR04G11100.1 pep chromosome:Lperr_V1.4:4:12550097:12552555:1 gene:LPERR04G11100 transcript:LPERR04G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCKHTKHTQHQPDHVPTNASPKWNEQNGVREGKKRRDADALAFAVESLTTKMMKNLTTDDGKQSKRPAR >LPERR04G11110.1 pep chromosome:Lperr_V1.4:4:12552593:12552945:1 gene:LPERR04G11110 transcript:LPERR04G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIRYRGKEDGASSSVIPRGCGASSSVMHQFSDKCLPSRGVRGAYELGISNIILETDALMVKQGGLSNDYALSCMGGLLWELKYLLSTHFNSYMHMN >LPERR04G11120.1 pep chromosome:Lperr_V1.4:4:12554565:12555962:1 gene:LPERR04G11120 transcript:LPERR04G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVLLLLLALPFLPASCAAPPRSFRLELASVDATEAAAANLTEHELLRLAIQRSRHRVASMARSGGVASASAARKAVVAETPVTPSGGEYLVKLGLGSPPYKFTAAIDTASDLIWTQCQPCGAGCYRQVDPMFNPRVSSTYAVLPCTSDTCDDLDEHHCGRGGGDDGEEDACRYTYTYSGNATTEGTLAVDKLVIGEDVFRGVAFGCSTSSTGGGPPPQASGVVGLGRGPLSLVSQLSVRRFMYCLPPPASRMPGKLVLGADANAAAHNATGRVAVQLRRDPRYPSYYYLNLDGLAVGDKTSSLTMSSTNTTPIATAPSPNATEVGDANKYGMIIDIASTITFLEASLYDELADDLEEEIRLPRGTGSSLGLDLCFILPDGVAFERVYVPPVALAFDGRWLRLGKERLFAEDRESGMMCLMVGRAEEGSVSILGNFQQQNMQVLYNLRRGRVTFVETTCDALR >LPERR04G11130.1 pep chromosome:Lperr_V1.4:4:12556651:12558235:-1 gene:LPERR04G11130 transcript:LPERR04G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWVFGYGSLVWNPGFAHDASLVGFVRDYRRVFYQGSTDHRGTPDFPGRTVTLDYQPGATCWGVAYKISKEQDKKTALEYLEVREKQYDEKIYLDLYTDSSPKTPAVKDVMVYLATTNKESNENYLGPAPLEEMAKQIYQAEGPAGPNKEYVFKLEDALNKIGVVDEHVQDLANAVRKYSDHTLSC >LPERR04G11130.2 pep chromosome:Lperr_V1.4:4:12556651:12558235:-1 gene:LPERR04G11130 transcript:LPERR04G11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWVFGYGSLVWNPGFAHDASLVGFVRDYRRVFYQGSTDHRGTPDFPGRTVTLDYQPGATCWGVAYKISKEQDKKTALEYLEVREKQYDEKIYLDLYTDSSPKTPAVKDVMVYLATTNKESNENYLGPAPLEEMAKYHSYLTCSVDIMQFNLWQIYQAEGPAGPNKEYVFKLEDALNKIGVVDEHVQDLANAVRKYSDHTLSC >LPERR04G11140.1 pep chromosome:Lperr_V1.4:4:12559278:12561443:-1 gene:LPERR04G11140 transcript:LPERR04G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGTSSGGRFRGGGGQKRGYPGREGKSAPVVKWSHAEAMKKKPKGLGDVAVAGDGGGWMRKFEAEKAVLSLDWPSWERSGFGTSRSEAGTGTCGGEGEHVWFRWEAAAKKARCFDAGEWSGGGGGGDSDEVERVYEWRWTEAVSPEILALVLRGRVATDEVARGAALVCRAWREAAASPDMWGDVDIEAWCRRVNCRPRADAAVRRLVARSQGTLRPLSAYRVGDAAFAYIAASEVSDQAVEKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLVQLKRNMPPPEIPHGYNAVPNVVNDEALAVANTMPKLEHLELAYGLFSDIGLGAILTKCPLLRTLDILGSWNVRLEGDIEERCCALESFREPWESMYTDYSSTEGEDDDDNDSDD >LPERR04G11150.1 pep chromosome:Lperr_V1.4:4:12561852:12566324:1 gene:LPERR04G11150 transcript:LPERR04G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSERSRKALLPSFLYAAPAASSSTTFAATAGLGGRGVPAPSAAGPAVWAHAPSEPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYATRYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVQAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEVIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVSDAVNKLGMWGLMTRGLPLRIVMIGSLTGAQWGIYDAFKVMVGLPTTGGAAPTPTADGEQLKAGA >LPERR04G11160.1 pep chromosome:Lperr_V1.4:4:12567095:12567682:-1 gene:LPERR04G11160 transcript:LPERR04G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREEGGVTAVKLAAVASRTFRPRLWKGGEFGRRPVVVVWWWREGRTAANRLIPFGRPDDSGSGFYLAKSSPSSVHIAQEWLFVCLCVQRQKRLGGKLVRYNFFVPREILMDIL >LPERR04G11170.1 pep chromosome:Lperr_V1.4:4:12567098:12577488:1 gene:LPERR04G11170 transcript:LPERR04G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATAPAISLFCHDEAQHPHVLSACCAAGAGGKRQRARARVAAAMRTADAVEQTASSGGGGVRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAIMNDCHVVDFIDDGNKVTAILEDGRKFEGDILVGADGIWSKVRKVLFGHSEATYSEYTCYTGIADFVPPDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFSGWCDNVVDLLNATEEEAILRRDIFDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWQESAKSGTPMDIVSSLRRYEQERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSKKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGDWYLFPASSGNNYASQPIRLIRDEKKSLSIGSRSDSSNSASSLALPLPQISENHATITCKNKAFYVTDHGSEHGTWITDNEGRRYRVPPNFPARFHPSDIIEFGSDKKTMFRVKVLNTLPYESARRGQQILQAA >LPERR04G11170.2 pep chromosome:Lperr_V1.4:4:12569008:12577488:1 gene:LPERR04G11170 transcript:LPERR04G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSSSTSSSTRASHMAIPSTSISRGRSHRLLSFHPSHAPQRRGLCVSSGSRRRPRAVVVAMAAAMRAASESKARVLVAGGGIGGLVFALAAKRKGFEVVVLEKDMSAIRGEGKYRGPIQLQSNALAVLEAVDAGAADQIMDAGCITGDRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAIMNDCHVVDFIDDGNKVTAILEDGRKFEGDILVGADGIWSKVRKVLFGHSEATYSEYTCYTGIADFVPPDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFSGWCDNVVDLLNATEEEAILRRDIFDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWQESAKSGTPMDIVSSLRRYEQERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSKKLEGRPLSCRLSDKGSAIIYHFLTFAIMLKANDQLRRWFEDDDALEQAMGGDWYLFPASSGNNYASQPIRLIRDEKKSLSIGSRSDSSNSASSLALPLPQISENHATITCKNKAFYVTDHGSEHGTWITDNEGRRYRVPPNFPARFHPSDIIEFGSDKKTMFRVKVLNTLPYESARRGQQILQAA >LPERR04G11170.3 pep chromosome:Lperr_V1.4:4:12567098:12577488:1 gene:LPERR04G11170 transcript:LPERR04G11170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVKCSGMHFIRNLLVALILKMVRSWSEAFQLRSELYLITSSKVMMHICHICLLVIRQCFILAGKKKRLLEIFSGWCDNVVDLLNATEEEAILRRDIFDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWQESAKSGTPMDIVSSLRRYEQERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSKKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGDWYLFPASSGNNYASQPIRLIRDEKKSLSIGSRSDSSNSASSLALPLPQISENHATITCKNKAFYVTDHGSEHGTWITDNEGRRYRVPPNFPARFHPSDIIEFGSDKKTMFRVKVLNTLPYESARRGQQILQAA >LPERR04G11180.1 pep chromosome:Lperr_V1.4:4:12577183:12578995:-1 gene:LPERR04G11180 transcript:LPERR04G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWHGKKTGRSSSPVRPALLAIAVSVMAALLMAVLFGARWTPTYGGSGGGDTSWVTAGARVVIKAVSSQQASAADPVVKVAESRDRLLGGLLSPDFNDTSCLSRYRASLYRRESLHVLSSHLVSVLRRYESLHRLCGPGTSAYDRAVARLRSPSNTSDAATADQCRYLVWTPHAGLGNRILSLTSAFLYALLTGRVLLFHQPGDDMRDLFCEPFPGTTWILPEKDFPIRGMERFEIRTRESLGNALARGDDKAPQPPPWMYVHLRHDYVLRGGAKDRLFFCDEGQDAVGRVGWVVLLSDNYFLPGLFLIPRFERELSRMFPRRDAAFHHLGRYLFHPSNTVWGMVTRYHGSYLANADERVGIQVRIFPWAPIATDELYAQIIACAHGENILPRPVQEPTSSINATAAGKRKAVLVTSLHGDYYERIRDMYYENGAAGGDAVSVFQPTHLEGQKSEERRHNQKALAEMMLLSFSDVALTTAASTFGYVSHGLAGMRPWVLMSPVNKKAPSPPCRLAATIEPCFHSPPNYDCKARTKGDSGRTVRHVQHCEDAKDGVQLVE >LPERR04G11190.1 pep chromosome:Lperr_V1.4:4:12581430:12585200:-1 gene:LPERR04G11190 transcript:LPERR04G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGLVAAEGRRRRSGRSVALGDLLLREASIERASASASTGERRRRPTVAAGQAGRAKKGEDFALLKPVCERLPTGGAPFSAFALFDGHNGSAAAVYAKENLINNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGYVVTVASVGDSRCVLEAEGSIYNLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPIPYVKQIKLSSTGGRIIISSDGVWDALTAETAFSCAQGLPPEAAADQIEAIVSKGLRDDTTCIVIDIIPPEKLSPTVQPPKKAGKGLFKNIFHWKTTPDSPSHADKDQCTQPDSVEEVFEDGCPSLSIRLDSEYPVRNMFKLFLCAICQVEVYPYTRVCQNQESHIHGMGLSFATVARKRKKQWKENDTHEIPLQETVAQVNSNPVGAILKKSLNSVSVQSANALVAFSLGSLAALPLFMDWIVVEQATYCYINISSGASGSGTYRPRGLLIKNGRSPMADSV >LPERR04G11190.2 pep chromosome:Lperr_V1.4:4:12581464:12585200:-1 gene:LPERR04G11190 transcript:LPERR04G11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGLVAAEGRRRRSGRSVALGDLLLREASIERASASASTGERRRRPTVAAGQAGRAKKGEDFALLKPVCERLPTGGAPFSAFALFDGHNGSAAAVYAKENLINNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGYVVTVASVGDSRCVLEAEGSIYNLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPIPYVKQIKLSSTGGRIIISSDGVWDALTAETAFSCAQGLPPEAAADQIEAIVSKGLRDDTTCIVIDIIPPEKLSPTVQPPKKAGKGLFKNIFHWKTTPDSPSHADKDQCTQPDSVEEVFEDGCPSLSIRLDSEYPVRNMFKLFLCAICQVEVYPYTRVCQNQESHIHGMGLSFATVARKRKKQWKENDTHEIPLQETVAQVNSNPVGAILKKSLNSVSVQSANALVAFSLGSLAALPLFMDCLKMQDRCGASNLLLYQHLQWGFWQWHIQAKRAVN >LPERR04G11200.1 pep chromosome:Lperr_V1.4:4:12588044:12592020:-1 gene:LPERR04G11200 transcript:LPERR04G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQQSLRVAYVVLSFCAAFFLGGIKGTTERINAGLKLAVLIALPVLFGLWLGLSIFGSVLVALGYGFFTPWISTFEAFRQESEAKKFLHGVVDGTWGTIKGSCTVVRDFADLCFHSYPAYLKELRESSQNSEPHSIRLLDVPSCIVVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVYQEKSFKRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSNSTEFSVRTNASVKGGDHPSSEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKSCELRGRDLLNFNVITTFDLTEWLRTKENGLDTIGLGVPSYSLLCTILQSIKAGSGGLLVGNGIEINQYNRPQDRLVDWFLHPVLVLKDQIQALKMTEEEMRFLEKLTVFVGNSERANGWDNGSEIPQDPVRAAQIQAISRRLVGIVRSMSKFPTYRRRHRHVIKMLVTYSVEKEGSFRSSASRRSIPVFEITPVEV >LPERR04G11210.1 pep chromosome:Lperr_V1.4:4:12597285:12598256:1 gene:LPERR04G11210 transcript:LPERR04G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDDDVVHDFAPLIVVYRSGRLKRPFADAMQPVPPGTDAATGVVSRDVHLSASLFVRLYLPPAATAAAGENKLPVIVYFHGGGFVIGSAASPAYHRCLNDLAAACPAIAVSVDYRLAPEHPLPAAYDDSAAALAWVLSDTDPWLAAHGDLSRVFLAGDSAGANICHHLAMHHGHDLHRRLKGIVLIHPWFWGKDPIGEEPRGGEAMAAEEQKGLWEFVCPDAVDGVDDPRMNPMAAGAPGLEKLACEKVMVFVAEGDTLRWRGRAYAEAAAAARARGGDAAATVELLESEGVAHVFYLFEPGHEKADELLRRIAAFVSSK >LPERR04G11220.1 pep chromosome:Lperr_V1.4:4:12600801:12604799:1 gene:LPERR04G11220 transcript:LPERR04G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYLHSCFGTSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFSGHMMPNTDRAFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSNAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGEVASVKIPLGKQCGFVQFISRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGNRRNNMYYGAPFYGGYGYASPVPHPNMYAAAYGAYPIYGNQQLVS >LPERR04G11230.1 pep chromosome:Lperr_V1.4:4:12608990:12610607:1 gene:LPERR04G11230 transcript:LPERR04G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTSVGGGVVATAAGDVCCMCGDIGLPEELFRCRLCRVRHQHRYCSDLYPRATAYKRCNWCLREPVPGGAHANAAAEKATVRRKATADETSTSSGEAERQQRLQEAAGCAAASRRAPETGLGRPVKKQKIATGADEGEAAGARAAAKGNNGNKKAKEAGRKRTGVKVRVRRYRLLAEVISC >LPERR04G11240.1 pep chromosome:Lperr_V1.4:4:12613039:12615585:1 gene:LPERR04G11240 transcript:LPERR04G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEEGAAAARRPRILCLHGFRTSGEIMRKQVVGKWPAEVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKSFMEYKNFDKCLNYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGVALTRVPKIKYIIIIGGAKFQSPTVAEKAYANKIKCPSVHFLGDNDFLKTHGEKLIESYVDPFIIRHPKGHTVPRLDEKSLEIMLRFLDKIEKETALEHSSTDVDEKEMCL >LPERR04G11250.1 pep chromosome:Lperr_V1.4:4:12617285:12619582:1 gene:LPERR04G11250 transcript:LPERR04G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRAAARATRSSALFRAILASPRPLSSSSSSCAASTAASVPGAATQPHPRAAAAGDGGDEGVPDTEVVGGEEEDLRGRIFRLRLAKRSATEALERWAGEGRAAPAAAELRRIARDLSRSRRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPSSAQSLEAYTALLHSYARSKMTDKAERLFERMKNANLSMNILVYNEMMTLCISVGELDKVSVLAEELKRQNVSPDLFTYNLRISASAASMDLEGFKGILDEMSNDPNSNEGWKLYQNLAAIYVDAGQLVGSGNSLVEAETKISQREWITYDLLLILHTGLGNRDRIKDIWKSMQMTSQKMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQECSS >LPERR04G11260.1 pep chromosome:Lperr_V1.4:4:12620212:12621351:1 gene:LPERR04G11260 transcript:LPERR04G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELIVVLGVVVAVMAAGADAQNSTASPPPTDTPRMRQQTPFGRTMSTVITVSISVFFFLLFFCAYINQCRLAEAGDARAGAGAGAGGGPSRRGGKRGLDPAVVATFPIVPYREVVKHKIGKSVLECAVCLTSFDDGDDLRLLPHCSHAFHPECIDPWLDSRVTCPLCRANLEKPPPPPPQAAATPSPEQSPRCQPSPPPRHAVAIAVEDEGEESDDEEDRKEEAVELEMLRSERRAARLPRSHSTGHSLVASAVAAAESGDHERFTLRLPQHVRDEVLRSRRLRHTTSLVNLSDMSSEGSSRGGRRAAGVAFGYGGGGGSSHGGRRWQAFLARTMSWARGGGDGSVRRGWDGSTRRGKDDAESSRKGMTSPAAGRP >LPERR04G11270.1 pep chromosome:Lperr_V1.4:4:12626930:12628183:-1 gene:LPERR04G11270 transcript:LPERR04G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRRSLHPLTGHDCDDSQGVGYGCSSPPSPSIVIPPPPAASPSGWLCPPFVAPSPSPTFHGAGGGRRDQHGYSPPAYAGGGGGGGGGGGHAYEHRRRIITLIVVGTAAIAFLSLILLVVSVAVRRRQQRRRQRQQALLAPAGAAAAVAANFDDGGGNDDPEGGGGGGGVVHHIWYIRTVGLDEAAIESIAATRYRAGTGLLDAADCSVCLGEFQDGEMVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLGAAAESAGGEPSSIPQADPGSNTSAAPGDAILVHSEAQEGEQEDQEAPPLPTPPTQMEENRQEQSSSPDPLPPPRNVRRAASMDAAIVSTAADAAALERLPETAAVEEEEEEQSSGDKRAGAPGASCLKVSSSGRLSNLGAGERLPRLFFSRHSRARSSVLPL >LPERR04G11280.1 pep chromosome:Lperr_V1.4:4:12628981:12635977:1 gene:LPERR04G11280 transcript:LPERR04G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVSWYGPLIDLSAAAGHLGGFVQLLASVRRVLPQQGQSATDGRAFQKIMLEVADDTRSSFCVSLWPKHGSSVLAGDVLLLQNIKIVEFRNGLEGRASQISAVQVFLNSKDLKERGIDELIDNCKVGDNTRSKLRRVVEWAWMQHNKCALAENCHKATLKNWKDRKEKELGEFLSISELLPQSKLCNIGIYAFVCKMVLTGSLTSDSNRKFSVIERFSLKEHNDIVRDLVTTGCTLCGSPLYPKNLHGESTYPIDCPKSPKYLHAIGQIYKPFMIYVRDQSGQVPVLVRNKAAEILFANIMADDVSECYKNRHFMLLDTSDCGNLNTSGMLDGTGETGITKRKRTKEKPDWHLIWLIVIKCLLNQHKNSPFCLQISVNPEKSVEDGRFELVSLTMTIP >LPERR04G11280.2 pep chromosome:Lperr_V1.4:4:12629296:12635977:1 gene:LPERR04G11280 transcript:LPERR04G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVADDTRSSFCVSLWPKHGSSVLAGDVLLLQNIKIVEFRNGLEGRASQISAVQVFLNSKDLKERGIDELIDNCKVGDNTRSKLRRVVEWAWMQHNKCALAENCHKATLKNWKDRKEKELGEFLSISELLPQSKLCNIGIYAFVCKMVLTGSLTSDSNRKFSVIERFSLKEHNDIVRDLVTTGCTLCGSPLYPKYAPYIANVSPAIYMYKDICFHCHDIVNLYAETFMENPLIPLTAQKVQNTFMLLARYTNPS >LPERR04G11290.1 pep chromosome:Lperr_V1.4:4:12639461:12646404:1 gene:LPERR04G11290 transcript:LPERR04G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTYSLQGADQALHNGFAPAASVGPAERRINAAMSAQSSEVERRRVTAAGASFPSGRQCGGSLSILFLSAPPHPSRIWREIFASSAMMDLDPRLYENVPVSDNDVRNIVLSYLMHNCFKDTAETFLSSTGLELPVDYTVDVDRRKAIFSLVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADTLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKDSYPPFSLKAFLNK >LPERR04G11290.2 pep chromosome:Lperr_V1.4:4:12639461:12645369:1 gene:LPERR04G11290 transcript:LPERR04G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTYSLQGADQALHNGFAPAASVGPAERRINAAMSAQSSEVERRRVTAAGASFPSGRQCGGSLSILFLSAPPHPSRIWREIFASSAMMDLDPRLYENVPVSDNDVRNIVLSYLMHNCFKDTAETFLSSTGLELPVDYTVDVDRRKAIFSLVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADTLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKDSYPPFSLKAFLNK >LPERR04G11300.1 pep chromosome:Lperr_V1.4:4:12649112:12651059:-1 gene:LPERR04G11300 transcript:LPERR04G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSISTPRSGSFEAGLRAASPTTVSSKDSKPRLHRSRSTAAAAKAPPSPPPEKRRGGIAGVDSAMRERVAEMADELKKEREEKTRELEEVRRDCEKDAAEKVQFLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITNLQQANASLEVAAAAVSRRGGVEQRTVKDLVFGGGGDEDIIRSLRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAEAESANAEAERLRLEVSAAEARLREVCDEHERCRLDAEECAAAWGDKERVLLECVRASEEEVSRARQENTKLVESQRVIRDENARLRDILKQAVAEANAVKESLELARGENARLDAAVAEKDAALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTAPATPASAKASDYGFVDQHLPSVRLVSSAKGTPESASHRWTVEKARTPSSRRYSIGEPAKLKGGFSQSARMGSLNHKERVFASLSNIADLKSAAEAAAMSDFDDEFDHIDESHYAGMDESMKQKKRRPILRKFGDLFRRKSFYKANLAPVHT >LPERR04G11310.1 pep chromosome:Lperr_V1.4:4:12653763:12661039:1 gene:LPERR04G11310 transcript:LPERR04G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKLEKVQRVLSLMGSRGLSSSDSGGGGDGDRFLAHFLLFLVQPFDSLSMEKRAILVSDLLRKLDDLNEYSLHMVPGNNASSTISIEDVMEAIHLKSFDYRVLNLLMYHLTGHQVNEVHMEFLSISELLVEISDDLYDYEDDVMNNTFNILRMFTAIYGPSEAPKMLAKCISDVEEKYEIFSKKLDPNLSGSYWRRCEEATKEGGKTSGHAYGTWNIPPLIRDEELFRLERSNKCDASSITIT >LPERR04G11320.1 pep chromosome:Lperr_V1.4:4:12664137:12665662:1 gene:LPERR04G11320 transcript:LPERR04G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPENSGKPHALCLPFPAQGHVTPMLKLSKILHHRGFHITFVNTEFNHRRLLRSRGAASLDGLPGFRFAAIPDGLPPSDADATQDVPSLCRSTKETCLPHLVRLIADLNANASAEQPPVTCVVGDDAMSFALDAAREFAVPCALFWTASVCGYLGYRYYPTFIDKGIFPLKGNFTHGVRAEEQLTNGFLDTPVDWTPGMSNHMRLKDFPSFFRSTDPDDFMFHFPVHVTERLAEADAVILNTFDELEPEALAAMRAMIPATASIHTIGPLAFLAEQTVPKGSPIDALGSNLWKEDATCFGWLDGKPPRSVVFVNYGSVTVMSNEELVEFAWGLANSGHDFLWIIRPDLVNGDAAVLPPEFLDAVEGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTMESLSGGVPMLCWPFFAEQQTNCRYACVEWGVAMEIGHDVRRNAVEAKIREAMGGEKGREMRRRAEEWRDTGVRSHANLDALVDDVLLSGGKAR >LPERR04G11330.1 pep chromosome:Lperr_V1.4:4:12675186:12675428:1 gene:LPERR04G11330 transcript:LPERR04G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAVMPAAEATVSAVDVGEERPWSENGSGGGASPATVAAASVDLATMWGDERRMKRELVAWAKAVASMAIRESMQF >LPERR04G11340.1 pep chromosome:Lperr_V1.4:4:12677127:12679585:-1 gene:LPERR04G11340 transcript:LPERR04G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCFGAGCSEFPGHASTSSGKGKGCQGQVKLSYGFYLVRGMTNHPMEDYHVAELAEVKGNELGLFAIFDGHLGDTVPAYLQKNLFANILSEEEFWTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRTIQMSTDHDPNVERNAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKKFKDPQAAAKQLTAEALKRDSKDDISCVVVRFKM >LPERR04G11350.1 pep chromosome:Lperr_V1.4:4:12690091:12695091:1 gene:LPERR04G11350 transcript:LPERR04G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEGIIVQSFNADLLYEPWEVVDEEGHSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSLCPSDNLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCALFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGQELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPPSVLQAAGVELGSNYPLPIVGLDEANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDQVPARVTANMLTTARRREDQMVPTMTSSLNRAETEISADLGNSVDSRAEVPAHVHFEPRTAQQENFHLVAGNAARTNGIHEHNNNQQPQHRMRNILAPSVSEASSGWTGREGGVVPVWSPPAASGHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSLTTGWEVENSVQPNLIG >LPERR04G11350.2 pep chromosome:Lperr_V1.4:4:12690186:12695091:1 gene:LPERR04G11350 transcript:LPERR04G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEGIIVQSFNADLLYEPWEVVDEEGHSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSLCPSDNLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCALFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGQELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPPSVLQAAGVELGSNYPLPIVGLDEANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDQVPARVTANMLTTARRREDQMVPTMTSSLNRAETEISADLGNSVDSRAEVPAHVHFEPRTAQQENFHLVAGNAARTNGIHEHNNNQQPQHRMRNILAPSVSEASSGWTGREGGVVPVWSPPAASGHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSLTTGWEVENSVQPNLIG >LPERR04G11360.1 pep chromosome:Lperr_V1.4:4:12699773:12704650:-1 gene:LPERR04G11360 transcript:LPERR04G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIFRPSRSLLSCMVSGPPRGWLPTLARRVASVRGTLNPSLRHVYRFSYGSRNKASGSGVEGEELGKPDRRRLVPSPNDPIHTSKNNVISMDKHFPITRGMYNEENRVAIMEFITRYGHGHPTNVEEDESKIADAIYGDEDVFRTSVEVTGGKQYSRETVKELIDGEQDARDERAVEVSEDNGYEEFNDRFDNFPSDGSIPWMALEMSSHRDGSIYNDTFVSRWKQDYRITDRNETWLEAMRLSCPSKDCILMGGTCRTHTAHRMLQICSIKLVETPVVDSSIELYGYIAARDRRNPLLNYIVNIGRDNPIIVEQGSLIEMTGPKRGIDLSRAVLIEYDMRIKRGKREEDDLQLIDGVSHVDEILSPSVPVTNRIQGDCGAINITRVCLDYAFEATVEVVISQVHTSFNLCLSCFTSGLHEEIQLFDGVIGESRGLRRHVLAVSKHKCLDLKFKVGLGSECFTEHCQSFKATNHGCASEQIKIEFASIFMRVTWSAMK >LPERR04G11370.1 pep chromosome:Lperr_V1.4:4:12706519:12712008:-1 gene:LPERR04G11370 transcript:LPERR04G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGCEYDPCKLPTWKGDSTFQQRNISYHGEIDKIRLYMFGSWHGKSKDEGSINLSFYEEARKAREWISSKHIIEERKNAGSSSNGYFLLSSNGLSDEEEMSFVRDYLRGGYTLLLTSVVAYFLGQSAQDLELVKVINEALRCGNIAEFVHQKALEDVRYKVH >LPERR04G11380.1 pep chromosome:Lperr_V1.4:4:12716927:12723480:-1 gene:LPERR04G11380 transcript:LPERR04G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREKLLEYYANNKIPGEAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAVKDSPHSSSPEKVPNGSVQPLANGVRKEPPVTLNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKNTPDLFFFAITIDIFTNECNIALGAVLGRKKNKFLTKKKSMGKKIDAPPIEDDYSGVSDVESSSTAILQMRFGRSATLVASIPVASTRVGC >LPERR04G11390.1 pep chromosome:Lperr_V1.4:4:12725049:12734445:1 gene:LPERR04G11390 transcript:LPERR04G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFSGLKSLEGFRSLAGSTSMVAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEAKLQQAINENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEIIGKNSKALDELNCLLHDSSIKLECAEQKIVSGSNASIFSFNSGKQEILLIKQEKEEMDQSYKEQLYASDTTIKEKDSLIKQLEGSIEENKARVLYLDSRLQCMEQELKLKEDACICLKDNLASTESEKNDLELRNEGYSLEVQKLCKDNKELNESLSGIMVKVTKLDNEHTSMSSHVVRLISSFERYDGKVQEEKMLIIKSSKDKFEHLQNQYVDLVSENNALKTEIEELKSRIVELQKTQEIVMVQHVEECQVAEDKIRRLESDAQISASKISQLEKAVSDLEGRVEKLLEDSASAENHKQELLQKIQMLESDNQELLGRVQSVLDEKSNDTESLHGEIAKRDQQVETLENQVNQLRSIIDEKEQLYACALEREKSLEEHKLQIQASLAAMESQLSEAKKQYDTMLEGKKIELSKHLKELSLKNDQVAKCILQAINEIRRKYELEKVEIINTEKEKAEKLIKEMENKCNEKITENKQDSERYLICLKEEHGSMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLASPETKRKDVNLSGIIQSPISNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHNTADKDPTKMRKAVAGSHPHPANIGELFSEGSLNPYAEDPYAFD >LPERR04G11390.2 pep chromosome:Lperr_V1.4:4:12725049:12734445:1 gene:LPERR04G11390 transcript:LPERR04G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFSGLKSLEGFRSLAGSTSMVAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEAKLQQAINENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEIIGKNSKALDELNCLLHDSSIKLECAEQKIVSGSNASIFSFNSGKQEILLIKQEKEEMDQSYKEQLYASDTTIKEKDSLIKQLEGSIEENKARVLYLDSRLQCMEQELKLKEDACICLKDNLASTESEKNDLELRNEGYSLEVQKLCKDNKELNESLSGIMVKVTKLDNEHTSMSSHVVRLISSFERYDGKVQEEKMLIIKSSKDKFEHLQNQYVDLVSENNALKTEIEELKSRIVELQKTQEIVMVQHVEECQVAEDKIRRLESDAQISASKISQLEKAVSDLEGRVEKLLEDSASAENHKQELLQKIQMLESDNQELLGRVQSVLDEKSNDTESLHGEIAKRDQQVETLENQVNQLRSIIDEKEQLYACALEREKSLEEHKLQIQASLAAMESQLSEAKKQYDTMLEGKKIELSKHLKELSLKNDQVAKCILQAINEIRRKYELEKVEIINTEKEKAEKLIKEMENKCNEKITENKQDSERYLICLKEEHGSMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLASPETKRKDVNLSGIIQSPISNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHNTADKDPTKMRKAVAGSHPHPANIGELFSEGSLNPYAEDPYAFD >LPERR04G11400.1 pep chromosome:Lperr_V1.4:4:12738484:12747830:1 gene:LPERR04G11400 transcript:LPERR04G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLNVGGDVTAAAHGGELTLSVLVTCLVAASGGLIFGYDIGISGGVSQMKPFLATFFPKVLRRMADAKRDQYCVFDNHALTAFTSSLYVAGLVASLAAGRVTRSLGRRGVMLVGGALFFAGGAMTGGAANVAMLIFGRMLLGFGVGFTNQATPLYLAEMAPPRWRGSLTVAFQFFLSLGILIANLTNYGTARVAWGWRLSLGLAGAPAFLIIVGAFFLTDTPSSLVMRGKVERARAALLRVRGADDEAGAVDAELKAIVRAVEAARGTEDGAFRRLVTRREYRPHLTFAFALPLCHQLSGMMVLTFFSPLVFRVAGFGSNAALMGAVILAGVKFSSLILSTLVIDRYGRKVLVIAGAILMILCQVANAWIMGAQGGKHGEVAMPRAYSVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPVEIRSAGQAVSVSVTLGLTFVQTQTFLALLCRFKYATFAYYAGWVAVLTAFVLVFMPETKGVPLESMGAVWAGHWYWRRFVGDQSENERGEGELLNKMTGGVIVANDDVPATDHGGRLTFSVIITCLVAASGGLIFGYDVGISGGVSAMEPFLRQFFPGVLRKMAEAKPGNEYCIYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGAAVNIAMLIIGRMLLGFGVGFTNQAAPLFLAEMAPPRWRGSLTAGFQFFLAVGVVIATVTNYAASRVPWGWRLSLGLAGAPAVVIFLGALFLTDTPTSLVMRGDTGRARAALLRVRGPDADVEAELKGIARAVEAARQGEDGAFRRMAARREYQPYLVFAVAMPVFFQLTGVIVISFFSPLVFRTVGFGSNAALMGAVILGAVNLVCLVLSTLVIDRYGRKVLFMAGGVVMIIAQVGVAWIMGAQVGKNGSATMARGYGVAVVAFTCLHTAGFGWSWGPLGWVVPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTAFVAVFLPETKGVPLESMAHVFERHWYWKRFAKEQGKSGAVDAPTAG >LPERR04G11410.1 pep chromosome:Lperr_V1.4:4:12751997:12763219:1 gene:LPERR04G11410 transcript:LPERR04G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAEAARDYGGGVTAVVVVNCLIAASCGLIFGYDIGVTGGVTQMQSFLTKFFPEVVRGMHGAKRDAYCRYDNQLLTAFTSSLYIAGALSSLVASRVTRKVGRQAIMLTGGALFLVGSAFNAGAVNIAMLIIGRMLLGVGVGFTTQAAPLYLAETAPTRWRGAFTTAYHFFLVVGTLAATVTNYFTDRIPGWGWRVSLGVAGVPAAVIVVGALFVPDTPSSLVLRGHKEKARASLQRIRGADADVDAEFKDIVRAVDEARRNDEGAFRRLRGEGYRHYLVMVVAIPTFFDLTGMIVIAVFAPVLFRTIGFSSQKAILGSLILNLVNLSCVVFSSIAIDRVGRRVLFITGGIFMMLCQVAVSWIMAEHLGKHHAAATMAKNYATGLVALMCLYTASFGMSWGPLKWVVPSEIYPVEIRSAGQALTMSIALTLSFTQTQVFISMLCAMKYAIFLFYAGWVLTMTIFIALFLPETKGVPLEAMRSVWAKHWYWKRFVNDPKLDAQLQQCASSTIGFVAGGGTAQDYGGGVTFSVVVTCLMAAFCGLIFGYDTGVTGGVTQMESFLSKFFPEVLRGMKSPRRDAYCKYDNQWLTAFTSSLFIAGTLSSLVASRVTRAVGRQAIMFFGGVMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVVGILSATITNYLTNRIPGWGWRVSLGLAAVPGTIIVVGSLFIPDTPSSLVLRGHPEKARAALQHIRGAGADVDAEFKDIVRAVDEARQNEAGAFRRLFSKPYRHCLAVGLGIPLFYEFTGMMGIAIFSPVLFRTVGFSSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGLGMMLCEVAISWIFADHLGKHQGVTVMPRSYATGVLVLICACTFCFGLSWAPLRWVVPSEIYPVEVRSAGQAVSISVALCLSFVELQVFIALLCALKYGVFLFFAGWLLTMTVFVAVFLPETKGVPIEAMRSVWAGHCYWKRFVNDADQKDGRVVDEGTD >LPERR04G11420.1 pep chromosome:Lperr_V1.4:4:12763413:12768307:-1 gene:LPERR04G11420 transcript:LPERR04G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMGDYGGGGGLTFPVVVTCLMAASGGLIFGYDIGISDIAIFPRSNEPEGPEEDAKDEALVPSSNRTTAHASKDVYCIYNDQALTAFTSSLYAFGMVGTLVASRVTRRVGRQAIMLIGGSMFLVGALINAAAANIAMLIIGRMLLGLGLGFSGQATPVYLAEMAPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPLWGWRLSLGLAALPAAVMVAGAAFIPDTPSSLVMRGKHDLARAALQRVRGKGVDVDAEFRDILAAAEHDRRNDDGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESEAALMGAVILGLMNIGGILGSGFAMDRYGRRLLFMIGGALMFACQVAMASIVGSQLGHGSKMAKGYAVTVLVLTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYACWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDQKFGDVST >LPERR04G11420.2 pep chromosome:Lperr_V1.4:4:12764777:12768307:-1 gene:LPERR04G11420 transcript:LPERR04G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMGDYGGGGGLTFPVVVTCLMAASGGLIFGYDIGISGRRTRARTCTASTMTRR >LPERR04G11420.3 pep chromosome:Lperr_V1.4:4:12763413:12764744:-1 gene:LPERR04G11420 transcript:LPERR04G11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLVASRVTRRVGRQAIMLIGGSMFLVGALINAAAANIAMLIIGRMLLGLGLGFSGQATPVYLAEMAPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPLWGWRLSLGLAALPAAVMVAGAAFIPDTPSSLVMRGKHDLARAALQRVRGKGVDVDAEFRDILAAAEHDRRNDDGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESEAALMGAVILGLMNIGGILGSGFAMDRYGRRLLFMIGGALMFACQVAMASIVGSQLGHGSKMAKGYAVTVLVLTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYACWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDQKFGDVST >LPERR04G11430.1 pep chromosome:Lperr_V1.4:4:12781421:12782915:-1 gene:LPERR04G11430 transcript:LPERR04G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLYRALEHVASRSAYMARTGCHVTHIIGRAPLLLIGRAISIIGGAIPIIAVNYGILVACWMLHMFQVVQGKLIRRAIALQSSWRSTSLFLDAFCTLACDLYNSSAIHKPSCGEQFVVNGKNSSEPRLKSTVNDGDLRDWQHLLQTLDFLDSDRIYAVLVLQCVCCSGKPIMDEAHAKQMHDVVVSTTSKKRSGRRRRDGLGHSFRRIVARNEWCVTYAVVVATMQLLLRLTGANVTTLLLPMLSRATTGGGNGAFLAAHAVLILVNAGGVLGSALAARLYGREVMCVVGGVLIVFCQVVIPAAMGTHGVGGGGGAYAAVATFVVACAASGGCGWSWGAAFWAVPGPGEEGVRSAVGAALGFALGFAQTHCFLLMLRQLKRAALAYYAVWIWS >LPERR04G11440.1 pep chromosome:Lperr_V1.4:4:12787837:12792139:1 gene:LPERR04G11440 transcript:LPERR04G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAGTPAADYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLETFFPGVLRRMAAAGRDEYCVYDSHALTSFTSSLYLAGLVASLAAGRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLIANLTNYGAARIPRWGWRLSLGLAAAPAFVIVAGALLIPDTPSSLLVRGRTEQARAALRRVRGAKADVDAELEDVSRAVEASRANEEGAYRRILRRQHRPHLVMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGGNASLMGAVILGAVSLGSSLASILTVDRYGRRALFLGGGVVMIMCQVAVAWIMGSQIGRDGESTMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVFTQTFLAMLCSFKYATFVYYAAWVAVMTAFVWAFLPETKGVTLEAMGDVWARHWYWRRFVQPSPAAVKDAGVAEVLVN >LPERR04G11450.1 pep chromosome:Lperr_V1.4:4:12793792:12796857:-1 gene:LPERR04G11450 transcript:LPERR04G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLTWSATGPGSAATASPAASSRPSLKPAGGITPAMFGAPVSEKEAEDLSKSERKFCSGSKMKEMTGSGIFAEKGENGDSEASNPSTKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLESDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFSLPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDAPASSEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >LPERR04G11460.1 pep chromosome:Lperr_V1.4:4:12799280:12799933:-1 gene:LPERR04G11460 transcript:LPERR04G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWAQQQPGVEFEVKVVRVAGVEARPEGGGGGLFVRYYVPVGDGKRRIRVDTREVPCGGGEEEGAGDAFWGELVRFERLGGGGAVGGVVFELRWRPRRRSSLAFLGTGRPSSRVLARGELAACGEDVVAVAPLASSGTWLRLSPACRELGVCKAPKLLVEVRTIRAAADDGSCGAVKARPLRGGVNHCCSGGERCAQCGWIGTEEDMFLAATFTE >LPERR04G11470.1 pep chromosome:Lperr_V1.4:4:12802294:12804906:-1 gene:LPERR04G11470 transcript:LPERR04G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRHLAASLARALTYAPSRSISSTPSLLQTLDPSTPSPAAALPSAGRLAELRQRLQADAPSLGDFAYSVEVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDAACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKTASAESSS >LPERR04G11480.1 pep chromosome:Lperr_V1.4:4:12806831:12811614:-1 gene:LPERR04G11480 transcript:LPERR04G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLYKLRNLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVKETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVISTRQDAVGGMKVEKPLQRHGGRLEHNETYCGSCYGAEESDEQCCNTCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKSNVHVHDLLPFQKDSFNVSHKINKLSFGQDFPGVVNPLDGAQWVQHSSYGMYQYFIKVVPTVYTDINEHTILSNQFSVTEHFRSSESGRIQAIPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >LPERR04G11490.1 pep chromosome:Lperr_V1.4:4:12813328:12814428:1 gene:LPERR04G11490 transcript:LPERR04G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAVLAAGVRSSEDMALLLPGSTSSSQCVYTLYVETGSIWKAGTDAAIGVELYTAAGNGIIIRNLEAWGGLMGAGHDYFERNNVDIFSGRGPCLGAPVCRIKVTSNGAGAHHGWFCNSVEVTVAGPHTACSRAAFNVQQWLATDAPPYKLYAERSVCGRISTDAAVEGVKENVEGEKEN >LPERR04G11500.1 pep chromosome:Lperr_V1.4:4:12814668:12815786:1 gene:LPERR04G11500 transcript:LPERR04G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRILFFAGLVFMVVLAAGSRSPDGIVLPLRGVDNGKNECVYTLYVETGLIWKAGTDAAIGVHLAAADGSGFTVTNLERWGGLMGAGHDYYERGNVDVFSGRAPCLPSPPCRMNLTSDGAGAHHGWYCKSVEVTATGPHAGCAKATFGVEQWLARDAPPYQLYAERSVCAKSRTGGDEEH >LPERR04G11510.1 pep chromosome:Lperr_V1.4:4:12818415:12820018:1 gene:LPERR04G11510 transcript:LPERR04G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKSTRICHLDFSDSFTVKWPHLVSLIVLPPFLSRQILPISPPSTFLERGHGEALLPSHRLLRRRRGVRSHGRRRRGSGDGGDGGGGVGPGEQVRVHDIRADGVDLEGRDGLGDRGDAAGLGRVRGADQQPGEVGRPDGERTRLLRAWQPRHLQRPRAVHEPAAVPDEPHLRRHGLRPRLVLQLPRGHHHGAPPRLRAAALHRRAVARHRRLAVPPLRRRRQLQEGQG >LPERR04G11520.1 pep chromosome:Lperr_V1.4:4:12826739:12828085:1 gene:LPERR04G11520 transcript:LPERR04G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGKAKPDAAAKAAPEQQQQQEEEFLSDADSGSESIEIADLKKRMWKDQMLLMKLEGRSGGHDGPHSRPIGITKEEEEEESEPPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGIVDESGVPVSGSSDSLRGWWKDDVAFDRAGPTALSSSSGPGMGLHLQSPRSPSASAAAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGGEPWWGLQGEAQASQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQIRKLVWQSKRLQHKMSARDADTWSRVITHEEALSRHAHRSLRLAPLEEEEEQQGAPTPRDSHADKRKRDVVVGSGEMQLSLPAEIDDVVPEADRSSIDELMKLYYSCLQGTDGDGGGSDQGKDVQEVAGAGGEEALVHDDDDMLEGLLGVAQHVVDMSDFPDSPIWRWGSSSE >LPERR04G11530.1 pep chromosome:Lperr_V1.4:4:12828990:12833080:-1 gene:LPERR04G11530 transcript:LPERR04G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVEGKDEARGGGGARRPVVLVTGCSEGGIGDAMARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVRSDDSARAAVADAVRELGRVDVLVNNAGVHLVAPLAEVPMEEFHKVFDTNVYGAMRLIHAVIPQMIERKQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRLELKGFGINVMTVAPGGTKSNLGSTSASKYDQIRDWKYYKKYEESLRARTDVSQGPGSTPAEDLAKRVVALVLKKNPPAWFAYGQFTAILNLLYYAPIWFRDYFYKFGGHTGRGVPTNHKQSQGQDIVHVASTCAAKSDGARSPLAGAISTLPSPGFPIIHLVAAPRRDATININSAAVTSLARSSIASHRPPHRQETTKTAMALASTSATASVLKTPFLGAKRALANAASVGVATGAKSVPRRAMVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAYLKWYREAELIHGRWAMVAVLGIFVGQAWSGVAWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTSENFANATGEQGYPGGKFFDPLGLGGETRDGVYIPDADKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >LPERR04G11540.1 pep chromosome:Lperr_V1.4:4:12835285:12835506:-1 gene:LPERR04G11540 transcript:LPERR04G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIAGDLVEAYVLKKACKEKMKAEANASEAAAALKVKKTADGGSSEKKTPEVSKRESRGFFGLVKKKVHPK >LPERR04G11550.1 pep chromosome:Lperr_V1.4:4:12838895:12843331:-1 gene:LPERR04G11550 transcript:LPERR04G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGRRLHQWAPTARLLLLLVFLAAGAAASASPAAAGRREVVTSPHGAVAADDGRCSRIGRDALRDGGSAVDAAVAASLCLGVVSPASSGVGGGAFMLVRLADGTALAYDSRETAPLAASRDMYGGNATLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAANLARAFRVSPYLRKQMEATRDGLLENKGISAVYTSNGDILNVGDVCRNTRLSRTLKAVAEKGPDVFYKGAIGDQLVKDIQEIGGIITMEDLKKYQIKVRRPLSENIMGLAVLSMPPPSAGGAGLMLVLNMLTQYGLPAGFSGSLGIHRLIESLKHYFAVRMNLGDPDFVNVNEVVSDMMSPKFAADLKKTIYDNMTFDPKHYGGRWNILQDHGTSHLSIVDNERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSANSPPPAPANFVRPLKRPLSSMSPTIILKDGKLKAAVGASGGSMIPAGTMEVILNHFVKNMDPLSSVMAPRVYHTLIPNVVQYENWTTVSGDHFELDAATRADLQKKGHVLSPLAGGTISQFVVHNVERYGDLTAVSDPRKGGFPAGY >LPERR04G11560.1 pep chromosome:Lperr_V1.4:4:12848620:12849419:1 gene:LPERR04G11560 transcript:LPERR04G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRAVRAPRALVFALVVVVMSLCVADGVRMTPAGAQGSTGGLHDGTAPPSAANGSTAAAAYDDNGAGQTALQVKRHGPRKQQQEETEAEGGGGGAWAAAATGSRLPDCTHACGPCSPCRRVMVSLRCAEAAESCPIAYRCMCRGRFFRVPTL >LPERR04G11570.1 pep chromosome:Lperr_V1.4:4:12850785:12855568:-1 gene:LPERR04G11570 transcript:LPERR04G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLLRRLCWAAAVLLVFVAVSRVGANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGLIPETLGQLFKLRFLRLNNNSLSGSIPKSLTNITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMAHGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSLYEEDEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAVELSGPR >LPERR04G11580.1 pep chromosome:Lperr_V1.4:4:12866294:12866815:-1 gene:LPERR04G11580 transcript:LPERR04G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMDPAPSPVEKVDSDENNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDATEGASEQQQHKKKKAAKKEADADQEEEDEVTVELHTVGFRNKDVAAVLKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >LPERR04G11590.1 pep chromosome:Lperr_V1.4:4:12870635:12875799:1 gene:LPERR04G11590 transcript:LPERR04G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGLEMDVPQTIQPLQAPDGTVHSFPVVDEASTSAAVRSNGGYMPSLLFAPRSDSSTANETVQAETQDSLDLTHSDNDDSQHVQHHLQLSPEPSAMTEASFGVSSDVVTAATTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEYDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCAKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCLVAGCTKSARGRTDCCVKHGGGKRCKIDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDAAKNGGVGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCEGTVRSQTMMIPPQLLVPRSMMPSSSSEPTVHGGREGGCVVPEGRVHGGGLLSLLGGSFRNADMDKL >LPERR04G11590.2 pep chromosome:Lperr_V1.4:4:12870635:12875297:1 gene:LPERR04G11590 transcript:LPERR04G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSSGPCSSAIQCFNCHLLSHHCQQYQAVMDNSFVDIPNQPWMNNPFLLMERSAQSYTMANLGKSIPHMDCLGSALTSYGHGNENTQSIDGITARDDGCRLVLGLGPTPNFYSAECQPTGVDNLKEAPSLSGQGLTITDPGMLRLGLEMDVPQTIQPLQAPDGTVHSFPVVDEASTSAAVRSNGGYMPSLLFAPRSDSSTANETVQAETQDSLDLTHSDNDDSQHVQHHLQLSPEPSAMTEASFGVSSDVVTAATTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEYDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCAKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCLVAGCTKSARGRTDCCVKHGGGKRCKIDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDAAKNGGVGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCEGTVRSQTMMIPPQLLVPRSMMPSSSSEPTVHGGREGGCVVPEGRVHGGGLLSLLGGSFRNADMDKL >LPERR04G11600.1 pep chromosome:Lperr_V1.4:4:12876515:12886071:1 gene:LPERR04G11600 transcript:LPERR04G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARLLLLAAVCLVAAASAGGADAEKKTVGVYELKKGDFSIRVTNWGAIIMSVVLPDSRGKLADVVLGYDTVAEYVNASGYFGALVGRVANRIAHARFVLDGKAYHLFKNDGNNTLHGGHRGFNKVIWTVKEYVGGGDSPYITLYYHSFDGEQGFPGAVDVYVTYQLSGPYALSVRMNATAVSGKATPVNLAQHSYWNLAGEGSGDILGNTVQLFASRYTPVDTELIPTGAVAPVAGTPYDLRAPTPVRARVHQVTGGVSKEDGKTTIYGFDINYAVDGDDPHALRRVAVVRDGVSGRAMELRANQPGVQFYTGNFLTSDVKGKGGKVYGQYGALCLETQGYPDAVNHPNFPSVIIRPGQVYRHDMVYKFSPSRICCVRPHPDRLVSLRLSKTKQRCSDRPPIMAGARLSLALLLYLVASALAGGAGPRKMVGIYVLRKGDFSVKITNWGATIMSVVLPDSKGNLADVVLGKDTIAEYVNDTSYFGPLNGRVAQRIARGRFVLDGKVYHTYLNDGKNAIHGGHRGFSKVIWTVKEYVSGGDSPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPHVLEMRSNATALNKATPVNFLQHTYWNLAGHGSGDVLGHTLQLSASRYTPLDVELLPSSGVVAPVAGTPYDFRTPTPIGARIRQVMGGRIAGYDINYVIDGEGMRKVAVARDGASGRALELWANQPAMQLYTGNWLNNTKGKDGKVYNQYGGFCLETQGYPDAVNHPEFPSMTVRPGQVYKHDMVFKFSF >LPERR04G11610.1 pep chromosome:Lperr_V1.4:4:12890268:12891483:-1 gene:LPERR04G11610 transcript:LPERR04G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQESFDENGDLINLADEVVLEAPTLSSEEMDRARREALEILRNNSPEEAFRIFTQGLMNDVQSPVVGNTATATNRAVNVDPPKSGDGEPKTTPPPPNN >LPERR04G11620.1 pep chromosome:Lperr_V1.4:4:12892200:12893906:-1 gene:LPERR04G11620 transcript:LPERR04G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVKSLCAPTLYKESCEKTLTTAANGTENPREVFQAVAKVALESIKSAVERSKDIGDAKASDPMTESARQDCKELLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFTDEKLKADMQQVLRNASELSSNALAITNSLGAIFKKLDLDMFKGDSPVRRRLLAEQETQGGFPSWMKSPDRKLLASGDRNRPQPNAVVAKDGSGQFKSIQEAVNAMPKGHKGRYVIYVKTGLYDEIVMVPKDKANIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEASGFICKNMGFHNTAGAERHQAVALRVQGDLSAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGDFALNTLYYAEYNNRGPGAGTSKRVNWKGFRVIGQKEAAQFTAGPFVDGAMWLKFTGTPHFLGFTV >LPERR04G11630.1 pep chromosome:Lperr_V1.4:4:12894957:12900881:-1 gene:LPERR04G11630 transcript:LPERR04G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFLKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANIAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPDTEHVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPIAGKVMIDGKDIRRLNIRALRLKIGLVQQEPVLFATSIMENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >LPERR04G11630.2 pep chromosome:Lperr_V1.4:4:12894957:12900881:-1 gene:LPERR04G11630 transcript:LPERR04G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDELRAFRAEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFLKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANIAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPDTEHVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPIAGKVMIDGKDIRRLNIRALRLKIGLVQQEPVLFATSIMENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >LPERR04G11630.3 pep chromosome:Lperr_V1.4:4:12894957:12900881:-1 gene:LPERR04G11630 transcript:LPERR04G11630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFLKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANIAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPDTEHVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPIAGKVMIDGKDIRRLNIRALRLKIGLVQQEPVLFATSIMENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >LPERR04G11640.1 pep chromosome:Lperr_V1.4:4:12911350:12915427:1 gene:LPERR04G11640 transcript:LPERR04G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSATTVPLQGGGLSEFSGLRSSASLPLRRNAYSDDFVSAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPEGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCSIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >LPERR04G11650.1 pep chromosome:Lperr_V1.4:4:12914395:12921037:-1 gene:LPERR04G11650 transcript:LPERR04G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQKGKKPRTDGEECDLLDAALVASIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNEIIKQIPDFWLTAFLSHPVLGELLTEDDQKIFKHLESIDVDDSEDIKSGYSITLTFSPNPYFEDTKLTKVYSFSGDEVLKVKATSITWKKGMDIANDGAYTKKGDKRILIDERLLFLQVSLLGSIVKRTEVLLMELWTRCSLRALFAQVGDIIREDLWPNPLKYFNNEFEEEFELVDEDDEDVSDIRDVPDHQEVFVDPARDESLIFELLDLKGELEDGGSALWFLRDIANEQDAGDNLVVEHSGTLELAGLRLGDAPAVAGTAVGQLAVSKGRQGREAQNILRQYLANIRLKNAATDVVITAYEPLLINPLSESARAVAAGLAVPAEQAGCLPMSEVFKLAVMNFNVLNWNLFNGST >LPERR04G11660.1 pep chromosome:Lperr_V1.4:4:12922445:12925912:-1 gene:LPERR04G11660 transcript:LPERR04G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSKSSHHHRRILLLPVLSHLQRAAPQSPSSSPWVPPNRRFFCSDVTAEGDNNNPPPPPSPPLDGKQLWREVSTSEVATGASRLPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPAYAQKFRHFLPSRLSRESAERLLSLPAEDAHALLLPSFAEFCVTNLADELRQHKSIMAAADLTAPHVWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHEACTIEMVSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVHSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQSFEEAKKVGLFPCFEQVEMFASQFPDLTFNELLNKFRENCRIDNAYFMCHQEGIKKVANMLESVQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATHYSQSRRVGIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDNFPHVQKAEEMARNIADLLGKSLAKASWKPESRQQAKPRREQEEESAVEHASHDNAQNGSQDGYGSPMSHIKSFMRKRLDGPSPSQDPSSSSVVA >LPERR04G11670.1 pep chromosome:Lperr_V1.4:4:12926230:12931827:1 gene:LPERR04G11670 transcript:LPERR04G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVVCAAPAARAPGSRVGGRRRMAIECAAASDNRDGEETAPRSMMETYGEISSKPVLLASRRKLVALSAFCSCLHSSRYFSALALGDPSVKIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKTGEVVARVNVLAADGIQKSFEGKLVGADRAKDIAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRSGALILQVPGGRTAAKAGLVPTSRGFAGNIVLGDIIVSVDGKPIKGKSDLLRVLDDYGVGDKVSLTIRRGAETLEVTS >LPERR04G11680.1 pep chromosome:Lperr_V1.4:4:12932986:12933300:1 gene:LPERR04G11680 transcript:LPERR04G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISLALPVLVLAIVLLASGFDAVLAVRTPAAFAETSRRSVSPEEQSRELTEGGNAVAAAGAFDASVKPAETATDSSPSKVFDPDRMSKRRVRRGSDPIHNKC >LPERR04G11690.1 pep chromosome:Lperr_V1.4:4:12935121:12937704:1 gene:LPERR04G11690 transcript:LPERR04G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTSK >LPERR04G11700.1 pep chromosome:Lperr_V1.4:4:12938695:12942839:1 gene:LPERR04G11700 transcript:LPERR04G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNPTARRLALTACRRYRTPAVAAAAEPLVPHWRSQARYNSNLVEADGGFGEMVAGSPRYYVIGGKGGVGKTSVAASLAVKFANCGESTLIVSTKPHSLGDSFEQDMTGGKIVPVSGVDSLFAAEIGQTKEEPSHYLSWIRNIMNWTSLGSIVDLNALHEMLCKAPVAFSELMAIAQSHTLSLLRGTHWMEKSLSLLIKAMSATSSNPAVESTIGKDKINEQKQLAGRATNLFHDPLSVEFIIVTVPTAMAVCESSRFHASLKEDGVLVTKLVVNQVLPSSESDCRFWASKLKEETRVLKMISNDSELGGLKLIKAPLLDMELRGVPALKFLGDAIWK >LPERR04G11710.1 pep chromosome:Lperr_V1.4:4:12946546:12949653:1 gene:LPERR04G11710 transcript:LPERR04G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAGRLHPPHGGGSPEDSAAQRPDFEQPLLHAHGAVPANGKQEPAERDHEAQRSPDADDDGATFVRTCFNGLNALSGVGLLSIPYALSEGGWLSLVLLLAVAMVCCYTGVLLRRCMAASPSVRGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLSFGDVVVSGKQLFVVLVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVGDGVGFQGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKDKFSKVLVICFVACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPVAQAIEERLLAGNKRSVNILIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLARCGRGETLLIVTIIVLGSLVAATGTYSSLKKIIYEF >LPERR04G11720.1 pep chromosome:Lperr_V1.4:4:12951966:12952771:1 gene:LPERR04G11720 transcript:LPERR04G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVTEHGESSKATLVAPVAVGVNRAVAIVDVFLRFIAIVGTIGSAIAMGTTNETLRSPSSLSSSSSRPSTVISRPSRFSWRRTRWCARTCCCPSRCPSYVHIISPRARYSRLFLVFFDTAMLTLLTSGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLVVLITLSAFALARRH >LPERR04G11730.1 pep chromosome:Lperr_V1.4:4:12955839:12959977:1 gene:LPERR04G11730 transcript:LPERR04G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPPQQPAMSTTGSEDGQPVLTHTDRSGQAKMVDVSSKHDTTRVAVATCRVLLGKRAFDLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICVTDICLQHKSGGKSGSWSRRTCHGHWVENPEAHGSLAEEVNFCKASF >LPERR04G11730.2 pep chromosome:Lperr_V1.4:4:12955839:12959977:1 gene:LPERR04G11730 transcript:LPERR04G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPPQQPAMSTTGSEDGQPVLTHTDRSGQAKMVDVSSKHDTTRVAVATCRVLLGKRAFDLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICVTDICLQHKSGGKSGSWSRRTCHGHWVENPEAHGSLAEEVNFCKASF >LPERR04G11740.1 pep chromosome:Lperr_V1.4:4:12964547:12966800:1 gene:LPERR04G11740 transcript:LPERR04G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADHQGQAAMDLPPGFRFHPTDEELITHYLAKKIADARFAALAVAEADLNKCEPWDLPSLARMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRGKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHAKLHPAPKPMSASKNEWVLCRVFKKSLLEVGGSAGAKKAAAAAASTSSVADEIAMSSVLPPLMDMSGGGGVDAATTAHVTCFSNNALEGQFFNPTAVVHGHGGGGGGEDHHHHHQHGHLMAAASSPFMASSFAQYGQLHHGVSLVQLLESCNGYGGLVDMTAAAAAVGGQHQHQLAACGGERERLSASQDTGLTSDVNPEISSSSGQRFDHEAALWSY >LPERR04G11760.1 pep chromosome:Lperr_V1.4:4:12986405:12989175:1 gene:LPERR04G11760 transcript:LPERR04G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKISTSPCTTSTSPSRLVRLNSNSRSFRRLAAAASGAVEAEESSASEGVEEKQGGGGDANVGEQAEEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLSA >LPERR04G11760.2 pep chromosome:Lperr_V1.4:4:12986405:12989303:1 gene:LPERR04G11760 transcript:LPERR04G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKISTSPCTTSTSPSRLVRLNSNSRSFRRLAAAASGAVEAEESSASEGVEEKQGGGGDANVGEQAEEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLSA >LPERR04G11770.1 pep chromosome:Lperr_V1.4:4:13003762:13015120:1 gene:LPERR04G11770 transcript:LPERR04G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELGILCDAEVGLIIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQLVANPNSELKGGSKLETTTAQLARKSPLMGLDLSGLGVKELQTLENQLKMSIHCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKFYETGAETEVNRDSTTPYNFAVVEDANAPVRLELNPPHQQNDTEQTAPPKLGLQLHP >LPERR04G11770.2 pep chromosome:Lperr_V1.4:4:13003762:13014681:1 gene:LPERR04G11770 transcript:LPERR04G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELGILCDAEVGLIIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQLVANPNSELKGGSKLETTTAQLARKSPLMGLDLSGLGVKELQTLENQLKMSIHCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKFYETGAETEVNRDSTTPYNFAVVEDANAPVRLELNPPHQQNDTEQTAPPKLGLQLHP >LPERR04G11780.1 pep chromosome:Lperr_V1.4:4:13010116:13018015:-1 gene:LPERR04G11780 transcript:LPERR04G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGSPIRFSRCRPVALTHMLALISICRLATWLSPSRVQPPGRVLSCCKWRQQARGSNCISCKKITC >LPERR04G11790.1 pep chromosome:Lperr_V1.4:4:13022256:13023108:-1 gene:LPERR04G11790 transcript:LPERR04G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGGAAFGVQANNPPVNSWSSGLFGCCDDVSGCCLTLFCPCITFGRIAEIVDQGATSCCTSGTLYTLLAMVTGFPCCYSCCYRSRMRMQYGLKEKPCADWCVHWCCGSCALCQEYRELKSRGFDMSLGWQGNMERMGKGVATAPPQMYPGMTR >LPERR04G11800.1 pep chromosome:Lperr_V1.4:4:13028134:13030986:-1 gene:LPERR04G11800 transcript:LPERR04G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLAKGAILLCLLLIVSFAILHFSISGNGEKRRNGGGDGDEKVRLLLGGNAPGERPARLNNIKQHRHGHGVVAVSPASAPAPARAQPPPPPPVPHKNDPVPVAGKAGHRRGNATAHSPRNGGGERGGRKGGQLVVVAAAAALTGAALVLLVVLVVFLACRRFQKRSTPGSGDQSGTNKVSFDPGPDMFYLDAVKPYAVGADHGGRGGGVKTATEMAGQKEETKCEEEGEDSGALSDGDGDDGADSVHSSCCFHSSSHFSYSELRDAKSDGVSPTPSGRSRRRSSAPPTPSEKKIAASPYSPQCPRTPSNRDRAVLTSLSLSSSSMSDATTVQVVKGHELRRAVLSLKFPEAQTGGARHVKEDEAESVSTISDSASSNMVTPPPPPPPPPPPPPPPPPPRPSISCGSAVPPPPPPPPPPPLLAPKQQSSSGRSLPAPPAPPGPFRPIAPAVGNNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDAKRLQNITILMKAVNATAEQIFAALLHGNGLSAQQLEALIKMAPTKEEVDKLSAYDGDVDGLVPAERLLKAVLTIPCAFARVEAMLYRESFADEVGHIRRSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEATDIAAGLGAELTNVRKTATVDLDVLTTSVSALSHGLSRIKEFVGSDLSGDERNQCFVEFMAPFVSHADEVIRELEDGEQRVLAHVREITEYYHGDVVGKDEANPLRIFVIVRDFLGILERVCKEVRGAKNCHGGNAALNNV >LPERR04G11800.2 pep chromosome:Lperr_V1.4:4:13028134:13030986:-1 gene:LPERR04G11800 transcript:LPERR04G11800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLAKGAILLCLLLIVSFAILHFSISGNGEKRRNGGGDGDEKVRLLLGGNAPGERPARLNNIKQHRHGHGVVAVSPASAPAPARAQPPPPPPVPHKNDPVPVAGKAGHRRGNATAHSPRNGGGERGGRKGGQLVVVAAAAALTGAALVLLVVLVVFLACRRFQKRSTPGSGDQSGTNKVSFDPGPDMFYLDAVKPYAVGADHGGRGGGVKTATEMAGQKEETKCEEEGEDSGALSDGDGDDGADSVHSSCCFHSSSHFSYSELRDAKSDGVSPTPSGRSRRRSSAPPTPSEKKIAASPYSPQCPRTPSNRDRAVLTSLSLSSSSMSDATTVQVVKGHELRRAVLSLKFPEAQTGGARHVKEDEAESVSTISDSASSNMVTPPPPPPPPPPPPPPPPPPRPSISCGSAVPPPPPPPPPPPLLAPKQQSSSGRSLPAPPAPPGPFRPIAPAVGNNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDAKRLQNITILMKAVNATAEQIFAALLHGNNQIARSITHHHHLHGTQFVRNGLSAQQLEALIKMAPTKEEVDKLSAYDGDVDGLVPAERLLKAVLTIPCAFARVEAMLYRESFADEVGHIRRSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEATDIAAGLGAELTNVRKTATVDLDVLTTSVSALSHGLSRIKEFVGSDLSGDERNQCFVEFMAPFVSHADEVIRELEDGEQRVLAHVREITEYYHGDVVGKDEANPLRIFVIVRDFLGILERVCKEVRGAKNCHGGNAALNNV >LPERR04G11810.1 pep chromosome:Lperr_V1.4:4:13036923:13037972:1 gene:LPERR04G11810 transcript:LPERR04G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAISCVVVTVVLVSSVPGTVAQPTGDESPSSCNTHLAGLFPCLAFISGNDAAPSDLCCSNLGSMVHDHPRCLCDALSNSGGGGGGGAIPVPLNMTRATQLPLLCRLDIPQATAAACPALVPVGAAAPPPPPVSIPRSRPNASSTAPSTRTPATPTPLPLTPPRPAMTASPAYSSGLKLIVGGAPVALGFMALVSVLAF >LPERR04G11820.1 pep chromosome:Lperr_V1.4:4:13038898:13041171:-1 gene:LPERR04G11820 transcript:LPERR04G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLSLPISLCSASSPRHATLLALPCRTAAQPLASPGRCPARSDVPSPRRAGGLALAPCAAKRGGGGGRGVASDDGGGAHALLQASLWGAEAAYILWLFLLPYAPGDPIWAISQSTISDLIGLSLNFFFVLPFLNSAGIHLLESPVLHPMAEGLFNFVIAWTLLFAPLLFTDSKRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDMDNSPQETSKLGSVMVRGATIVGLTGGVVCVGSIAWALFGRADAGFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIDNVKADATEFVNSVSNSNRRPGPVIGKRRLTDGSHGDTWQAAIRAGGHTAADGREPRDASTTRADAAREAS >LPERR04G11820.2 pep chromosome:Lperr_V1.4:4:13038898:13041171:-1 gene:LPERR04G11820 transcript:LPERR04G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLSLPISLCSASSPRHATLLALPCRTAAQPLASPGRCPARSDVPSPRRAGGLALAPCAAKRGGGGGRGVASDDGGGAHALLQASLWGAEAAYILWLFLLPYAPGDPIWAISQSTISDLIGLSLNFFFVLPFLNSEAYQLKVHSITAMIPLVSLLGSVAGIHLLESPVLHPMAEGLFNFVIAWTLLFAPLLFTDSKRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDMDNSPQETSKLGSVMVRGATIVGLTGGVVCVGSIAWALFGRADAGFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIDNVKADATEFVNSVSNSNRRPGPVIGKRRLTDGSHGDTWQAAIRAGGHTAADGREPRDASTTRADAAREAS >LPERR04G11820.3 pep chromosome:Lperr_V1.4:4:13038898:13041171:-1 gene:LPERR04G11820 transcript:LPERR04G11820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLSLPISLCSASSPRHATLLALPCRTAAQPLASPGRCPARSDVPSPRRAGGLALAPCAAKRGGGGGRGVASDDGGGAHALLQASLWGAEAAYILWLFLLPYAPGDPIWAISQSTISDLIGLSLNFFFVLPFLNSGLFNFVIAWTLLFAPLLFTDSKRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDMDNSPQETSKLGSVMVRGATIVGLTGGVVCVGSIAWALFGRADAGFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIDNVKADATEFVNSVSNSNRRPGPVIGKRRLTDGSHGDTWQAAIRAGGHTAADGREPRDASTTRADAAREAS >LPERR04G11820.4 pep chromosome:Lperr_V1.4:4:13038898:13041171:-1 gene:LPERR04G11820 transcript:LPERR04G11820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLSLPISLCSASSPRHATLLALPCRTAAQPLASPGRCPARSDVPSPRRAGGLALAPCAAKRGGGGGRGVASDDGGGAHALLQASLWGAEAAYILWLFLLPYAPGDPIWAISQSTISDLIGLSLNFFFVLPFLNSAFLIPYMAIRLNDPDMDNSPQETSKLGSVMVRGATIVGLTGGVVCVGSIAWALFGRADAGFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIDNVKADATEFVNSVSNSNRRPGPVIGKRRLTDGSHGDTWQAAIRAGGHTAADGREPRDASTTRADAAREAS >LPERR04G11830.1 pep chromosome:Lperr_V1.4:4:13043417:13045155:-1 gene:LPERR04G11830 transcript:LPERR04G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDVPQRHRHGRRRRAQLAVRHGSPRMGPRDGGAGGVVGDDAVHAAAADRAARVRARGAVRPVPRPGRARAGPAPRPLARRAAAAHRAARLRRRVHGHRRQVPPEVRRVGVVVPAPPRFLLDLHLRLVPVRPLPAPLPRLHHRRLPRRGRHVAQLLDDLVGGVRREGAGRRRELRVRVRKRGRRDGVGGRGVPCVQRAGAGGVRVRGARRCAGDPGDGAVDAREAVEGAHVEGRRGGVPRHGALLLPRRVRRVLGVRPRRPRQRARRTAPPAVARRGRQHDGRRPRPRQLPGLRHADLRDARGDFDHQAQGASRRAPPSRGTISLRRGHAVRRGGVPVLRRPARLLRGLRVHADFILPPLHSMAEDQEASEVQRVVVCQLGLHRRWSAADDRVYHRRATKHHPGCLDVPILLVSCELVMVMMCTN >LPERR04G11840.1 pep chromosome:Lperr_V1.4:4:13051284:13055335:1 gene:LPERR04G11840 transcript:LPERR04G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAPASGDRALRLRGGAARPRAWHATLEGHASAFLAPPGGLLASTPILPTPQREETHTEIAKMSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLDSHLVPSSSAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >LPERR04G11840.2 pep chromosome:Lperr_V1.4:4:13051448:13055335:1 gene:LPERR04G11840 transcript:LPERR04G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLDSHLVPSSSAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >LPERR04G11850.1 pep chromosome:Lperr_V1.4:4:13055172:13057407:-1 gene:LPERR04G11850 transcript:LPERR04G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEREREVVPRVAAEPSDGEGIKGGKVMEEGVVVKAAKAPAKGETGNGEERKVAVRVRAADMPPALQRRAIRVALEATAAMRRIDNKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYLSVDNVYILLFRTAVEPLSYPR >LPERR04G11860.1 pep chromosome:Lperr_V1.4:4:13058462:13061061:-1 gene:LPERR04G11860 transcript:LPERR04G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAMSFLTNIAKAAAGLGLSASLVSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVGYLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESAKLISEATAAAGTGLIELRRIEAAKEIAAELARSPNVAYVPAGDSGRMLLGLNAAGFGRAVSFLNNY >LPERR04G11870.1 pep chromosome:Lperr_V1.4:4:13063497:13065595:-1 gene:LPERR04G11870 transcript:LPERR04G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAATVLLLLLALTAGAAAGANDLTSDAAALQAFLAPFGSASVSWNSTQPTCSWTGVVCSGGRVTEIHLPGDGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCVELRVINVQSNHFSGELLPEILSLPALTQLNLADNRFSGRIPATIAKNGKLQLLYLDGNLLTGELPKVTMPSLTSFNVSFNNLTGEVPNGLGGMPVASFLGMALCGKPLAPCRTPISVPPSESPAESPGGAATAAGRGRRGHRLAGGAIAGIVIGCAFGFILIAAVLVLACGALQRKPRPRHSHDVAAELALHSKEAMSPNLYTPRVSDARPPPPPPMAVAPAIQPTVAANIAGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGMYGTTYKAALESGPVVAVKRLKETSLPEREFRDKIAAIGGLDHPNVVPLQAYYFSKDEKLMVYEYVAMGSLSSMLHGNRGSGRSPLGWETRRRIAVSAARGLEYIHATGSKVVHGNIKSSNILLGRTVDARVADQGLAQLVGPAGAPSSNRVAGYRAPEVVADPWRMSQKGDVYSFGVLLLELLTGKAPTHAVLHDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEEKMVELLRLAMDCTVTVPDQRPAMPEIVVRIEQLGGGGGSGSARTGRSVSIDDADDRPLRPAGSIRES >LPERR04G11880.1 pep chromosome:Lperr_V1.4:4:13069739:13071196:1 gene:LPERR04G11880 transcript:LPERR04G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKETGLSLAKVTGQKSPPKYVIKAGEEKWKYKYGEPLVRPDLVRSLLTQMFKLHRWYIEACKEGTQFINVKIKDEHYFRGEDLINIDVEELYQLFQRDALDKSLVSCWCLLDMLRHKREGVYDIGFIDPYVVHSTNIVDQAEEMERNILRFLGKQAHKTKIFFPYCLGKVHFKRAGEWKVPLSVNANKGTNLCAFYVAESIMSRGQRTYSALSDLEYRRDRVAEEDKHKAIQKVLAGLLNDKILDPKGEHCYDGRLGPASVDYNIDLDDPNFD >LPERR04G11890.1 pep chromosome:Lperr_V1.4:4:13073216:13074130:1 gene:LPERR04G11890 transcript:LPERR04G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVNRISGAGFLKPLAGVSFAFMPGVSAFYFLVGSLLGFLAMVYSSESDEAAGDWASAEHWVALARSVSAPQVFVGIPLLILATGVWRLGKRCDAVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLTSAPSPAVERARSKGCCSDKPEKPVARSLAAELEQEADAAEDDDCATVAASGAEEGGNSVERLRRRLSAERRLRDAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQLIESLQWVIQRFGMPCGELEVSSERAASETSEDDRDRK >LPERR04G11900.1 pep chromosome:Lperr_V1.4:4:13076687:13081087:1 gene:LPERR04G11900 transcript:LPERR04G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALPWYAAPPPPPPPPPQPRPPEAASDPLLVAASELALTLPVHPTPLPTTAPTPLLRLLPSFTSDHFRSLLRLNPLSLPPLPLLSLFRLLLAASTPGLFRHTPSSFLSMANHLLRHGLPHLARPLLRLLASRLGRSSAPRVLPLLIPIAAAESPGDPAPLVAVLSTAYAEEGLLPDACDLVLLALRRGVLTPATCTGLMSRFPTAPEAYSFYLQLLDAGLPPEAKLFNVLMRDLVRLGELASAQNVFDEMRSRGVRPTVVSFNTMISGMCRVGDLDGADRLYRGMAEAGVLPDVYTYGALIQGLCRVGRIEDARAMFEKMCERGMRPNAVVFTILIDAHCKKGDAETMLDLHREMGERGVRSDVVTYNALVNGLCRARDLKSASGILVEMRRAGLRPDKVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVALDEVTYTALISGLSKAARSVDAERVLVEMMEAGLEPDNTTYTMVINALCKKGDVKAGLGLLKEMQNKGRKPGVVTYNVIMDGLCKLGQMKNADMLLNSMLNIGVAPDDITYNILLDGHCKHGKITNSEELKSAKGTVPDFGVYTSVVSEIVKRKTTKVSGTVMISSCSLFISLCPTTYPSRQQQNYRSLGSGLSNLRFVNLLGCGLCVLQKDV >LPERR04G11910.1 pep chromosome:Lperr_V1.4:4:13079364:13082173:-1 gene:LPERR04G11910 transcript:LPERR04G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGEAGGLPLLADKAPSHHPERHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRAVQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAIQTAVIGAIASAAAYGMAKAVQAR >LPERR04G11920.1 pep chromosome:Lperr_V1.4:4:13085188:13089066:1 gene:LPERR04G11920 transcript:LPERR04G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARWVSKYTKGLVDVLHENNIAHYRTQNGWRTDGWRKIVNDFNNRYPEAKFTKVQIQEHETQLKRDYRLVKSILEREGVSWDQNASMIRTTDEIWDEIIEDMPKARKYQTKSFPLLQSLELLIEGDIPEGEHHLTPSKPQHAVRNVDDGGNNVTTLPSVPGRTCGTGISDIDEGVNNIGILQRTPELEAHGLDDLDILQNSMEEVLEKPQHCADPKPQKADEPAQSSSCIEPQKDKRKKRKVPDIQQTMEAYLEFRMKHARLKEQTKKDGEQFSLSRCIKALNTMTDVSDQVKVLASDVFKDAANREIFLSYDTRLGALWIKREVNRLLT >LPERR04G11930.1 pep chromosome:Lperr_V1.4:4:13091024:13092320:-1 gene:LPERR04G11930 transcript:LPERR04G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIDAKKKRGNRSSAKLKQCKLDARREQWLSQDCSNSDPVAFWIGVVNGGKEAKAETSPTGTESNAGSLTVPSPHPPLPRRRVDVRSRGGDPEEDRDDSGVARQELGSGYLDSPVHSPSSDNSGSVGGIQRKHYSNVCGLNLSSGSSVWSSSRSVSEAEDDDAGGPDEENGVLDDWEAVADADVLAVDSSHSHQSSSPMAPPEAPSICTAPTNPPIQRTKAWAPDDIRRPQSLPSISRQTSFPASIGNCWMGGAQQDLHSFPLTCPICCEDLDPTDSSFCPCTCKFRLCLFCHNKILEADGRCPGCRKEYVAVRLSRSCSMGPRY >LPERR04G11940.1 pep chromosome:Lperr_V1.4:4:13095179:13099460:-1 gene:LPERR04G11940 transcript:LPERR04G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPLPQAPSPLAALHRFLASPSAPPPPPLPSLLSLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPSPDTFLWNALLRSHHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHGYSVRFGLLEGDGSVAVASSLVYMYARCGGVRDAVRLFDEMPDRDVVAWTAVISGCVCNGECEEGLSYLVRMVRSAGDGGVRPNSRTMESGLEACGVLGELSVGRCLHGYGVKVGVGHCPLVVSSLFSMYTKCDSTEDAWIMFSALLEKDLVSWTSFIGAYCRRGQVEKAVELFLEMEESGVQPDEVVISCLLAGLGNNANLKCSSDRKRRELMLAAYATSNTQSQSALEHQDMLITISSGHVEFIFPTGTSHVEGRATYLVPDLWGRVGLS >LPERR04G11940.2 pep chromosome:Lperr_V1.4:4:13095179:13099460:-1 gene:LPERR04G11940 transcript:LPERR04G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPLPQAPSPLAALHRFLASPSAPPPPPLPSLLSLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPSPDTFLWNALLRSHHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHGYSVRFGLLEGDGSVAVASSLVYMYARCGGVRDAVRLFDEMPDRDVVAWTAVISGCVCNGECEEGLSYLVRMVRSAGDGGVRPNSRTMESGLEACGVLGELSVGRCLHGYGVKVGVGHCPLVVSSLFSMYTKCDSTEDAWIMFSALLEKDLVSWTSFIGAYCRRGQVEKAVELFLEMEESGVQPDEVVISCLLAGLGNNANHLDIINVQNKFQLKCSSDRKRRELMLAAYATSNTQSQSALEHQDMLITISSGHVEFIFPTGTSHVEGRATYLVPDLWGRVGLS >LPERR04G11950.1 pep chromosome:Lperr_V1.4:4:13099774:13105151:1 gene:LPERR04G11950 transcript:LPERR04G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAGRPPLRAWAPASSPAVGFLRARGRRTRLRARPPALSPAAGFLRARGCRTRLRAWPPDSSPHAAVGLVSARGRRTRLRTRPPASSLGERPSDSSPRGAAGLVPSRWTPIRAAPPASSPAAGHHSAAPVAGLTPNSSPSAAAGLIPNSSPVAAMARRPVCGMPVYPLPTTSPPLVLLQWWCHRHPFLIYAHIAARQSFADTAACSSPTPTPAPPPMLRLHRCSSFVQAGAATRSSHPLLKAHRRIAVWRWGEEDRGHVASSSSYVGLVEMLVRSQSSSTKMKLTGPPESTLKRFKEKPLFIQNSTLNDNTMH >LPERR04G11950.2 pep chromosome:Lperr_V1.4:4:13099774:13105151:1 gene:LPERR04G11950 transcript:LPERR04G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAGRPPLRAWAPASSPAVGFLRARGRRTRLRARPPALSPAAGFLRARGCRTRLRAWPPDSSPHAAVGLVSARGRRTRLRTRPPASSLGERPSDSSPRGAAGLVPSRWTPIRAAPPASSPAAGHHSAAPVAGLTPNSSPSAAAGLIPNSSPVAAMARRPVCGMPVYPLPTTSPPLVLLQWWCHRHPFLIYAHIAARQSFADTAACSSPTPTPAPPPMLRLHRCSSFVQAGAATRSSHPLLKAHRRIAVWRWGEEDRGHVASSSSYVGLVEMLNSTLNDNTMH >LPERR04G11950.3 pep chromosome:Lperr_V1.4:4:13099774:13105151:1 gene:LPERR04G11950 transcript:LPERR04G11950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAGRPPLRAWAPASSPAVGFLRARGRRTRLRARPPALSPAAGFLRARGCRTRLRAWPPDSSPHAAVGLVSARGRRTRLRTRPPASSLGERPSDSSPRGAAGLVPSRWTPIRAAPPASSPAAGHHSAAPVAGLTPNSSPSAAAGLIPNSSPVAAMARRPVCGMPEPLFIQNSTLNDNTMH >LPERR04G11960.1 pep chromosome:Lperr_V1.4:4:13107404:13107915:1 gene:LPERR04G11960 transcript:LPERR04G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKIVVKVCMPHESCRTKAREVAAKTSGVISLAITGDDKDKLEVVGVGVDVTCLVVRLRKKVGPADVVQVEEVKEKPPEEKKPEEPPKPVPWCYPPPPPYYCPPPPAAVWEEPSPCSIM >LPERR04G11970.1 pep chromosome:Lperr_V1.4:4:13115004:13119699:-1 gene:LPERR04G11970 transcript:LPERR04G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPIPRRGLFIGGRWREPSLGRRLPVVNPATEATIGDIPAATAEDVELAVSAARDAFARDGGRHWSRASGAVRGRYLKAIAAKIKDKKSYLALLEALDSGKPLDEAAGDMEDVAACFEYYADLAEALDGKQRSPISLPMESFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGTCLELGGICVEIGLPPGVLNIITGLGPEAGAPLASHPHVDKIAFTGSTETGKRIMVTAAQMVKPVSLELGGKSPLIVFDDAHIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKQFLDRLVAWAKSIKVSDPLEEGCRLGSVVSEGQYQKIMKFISTARSEGATVLYGGVRPQNLKRGFFIEPTIITDVSSSMQIWREEVFGPVICVKEFRTEREAIELANDTHYGLAAAVISNDLERCERISQVIQSGIVWINCSQPCFVQAPWGGSKRSGFGRELGEWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >LPERR04G11980.1 pep chromosome:Lperr_V1.4:4:13121694:13123719:-1 gene:LPERR04G11980 transcript:LPERR04G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVHGGDGGDGTGAGAARATTLAPSTAAGSVRTSTFRAMCLDKAAIVDTDVAKQPVTAIMTVTEVEEVKMVVKLLPIWSTRPTWTAAPAGSFSAFLFLSILLFTVLNERLLAPLARRLGLTRRPQGQLTSLQRVGAGLALATLAMAVSALVERKRRRAVDISAFWLAPQFFLVGAGEAFAYVGQLEFFVREAPERMKSMSTGLFLSTVAMGFFASSVLVAAVDAATRGAWIPDGLDGGRLDLFYWTLAVLGVANFAVFLVFASRHQYRPAASADDVELREAGSLTEEDAVREAAATAKVMDV >LPERR04G11990.1 pep chromosome:Lperr_V1.4:4:13131457:13131779:-1 gene:LPERR04G11990 transcript:LPERR04G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFGRFYFCITLGSLLAAAVLVYVQESVACGWGYGASAAAWSPRWRSGTPRYRYRRPQGSPLAAIGRVLLAAWRNWRLPCPVDAGELHGFHKARAPHTDSLR >LPERR04G12000.1 pep chromosome:Lperr_V1.4:4:13133402:13138636:1 gene:LPERR04G12000 transcript:LPERR04G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNGDKKPASGGRGGPTIRTLADISRGTSGFPGGGGGGGGGGGGSDSDEPQEYYTGGEKSGMLVQDPTRRNTVDSIFEQARQMGALQDQPPPFEDQSSSSRSFTGTGRLLSGETTPAAPPPPENVLHNIQFWNNGFTVDDGPLRDYYDPANAEFIESIRLSQCPRELEPADKRTPVHVNVIKRQEDYQAPVKPPSPFQGVGRTLGAGSSAEENSAPAPAPATQEPRRSVGIVVDDSLPRTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGASRPYQLQTGFPPKQLADPAQTVEEAVQVRYGGKETRSLASTSMFTPPFSHLRRRPLAAIPNLLFLRPSHPLSTSTSPATTRAPTTPVAVLWDLAASRPPSTLPLYDAAVRLNLAATSFGRVRLSAAFVHPGHRLPAPSPSAATVHLCRVCGRRFRARDTLLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELVAASAADPCSPTDDLRRARVAVEISRNPSDSLLERAHHVLDEGSTGCLMLVSGRDEVAPLLRLAREKGVRSVVVGGESGPARWADVGFSWAEVIAGKARKAAPSVSGKWRDRDVLKRLEWRYEGDDEDEQVVFEEDGDEDGIDELARKIDGKPWWKLESDGEDSSICR >LPERR04G12010.1 pep chromosome:Lperr_V1.4:4:13162435:13167960:-1 gene:LPERR04G12010 transcript:LPERR04G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPNPSPPLLLSHPSAASRTRPLRLPPIHASPSSSPSPELLAKSALRRISDKLRSLGYLETDDDPEVTGGLATDASPGEIFVPNPAQLPRHRVGSTLDPSWATGDGEAAESPRGRGRRGGGRGDAAAPPSPAELALPRGELRRLQGIGIRMRMRLKVGKPGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREKKDDMDESSEDRNSGDKGVDIDTKDAEQSSSYEDAENPAEHGSNHPEMGGDLGKNPRIVPFKQNGFGKKDTNHLTLSSRRLGVDVLEGNIDIGSTKQNIIITPKDQQAILHRSTNINRPSEISGQARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPIPVDADLLPAIVPGFRRPFRLLPSGVPPKLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGVHNIDSDLISEEIKGLTGGTLLSRDNESIVFYRGKDFLPTAISLAIEKRRKHGNSTISSPKLVFEERTLLNSSELKMATDVSVDGFECYEVKHKEETPVSENRAESLNVVAQNVEARLSQAIEEKEKTEKLIEELEKSPQPSRAETRETISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHSIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKRNALKRSVEHQRYKSLKLHVLNLSKNIDYLRGQMVALLKQMEDQPVTSTNRMNSGHQNTGILDINVNSRTLVDRNEEVPEFLPECPASLVEECSPGESETEGTLDESGVPLDVMQNKLLCFNKLTDDPPETASSSLTGGTSTSSDNLIKHQSRHSSSVTPNSDGSKVGDLKSVGTLTPVHEPKLEEKFASHLPFTAAPLSNRERLMLRKQALKMKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQNSSNGSGTEQVEEEVISPQLLEAIRLECGLHPGESD >LPERR04G12010.2 pep chromosome:Lperr_V1.4:4:13162435:13167960:-1 gene:LPERR04G12010 transcript:LPERR04G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPNPSPPLLLSHPSAASRTRPLRLPPIHASPSSSPSPELLAKSALRRISDKLRSLGYLETDDDPEVTGGLATDASPGEIFVPNPAQLPRHRVGSTLDPSWATGDGEAAESPRGRGRRGGGRGDAAAPPSPAELALPRGELRRLQGIGIRMRMRLKVGKPGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREKKDDMDESSEDRNSGDKGVDIDTKDAEQSSSYEDAENPAEHGSNHPEMGGDLGKNPRIVPFKQNGFGKKDTNHLTLSSRRLGVDVLEGNIDIGSTKQNIIITPKDQQAILHRSTNINRPSEISGQARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPIPVDADLLPAIVPGFRRPFRLLPSGVPPKLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGVHNIDSDLISEEIKGLTGGTLLSRDNESIVFYRGKDFLPTAISLAIEKRRKHGNSTISSPKLVFEERTLLNSSELKMATDVSVDGFECYEVKHKEETPVSENRAESLNVVAQNVEARLSQAIEEKEKTEKLIEELEKSPQPSRAETRETISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHSIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKRNALKRSVEHQRYKSLKLHVLNLSKNIDYLRGQMEVPEFLPECPASLVEECSPGESETEGTLDESGVPLDVMQNKLLCFNKLTDDPPETASSSLTGGTSTSSDNLIKHQSRHSSSVTPNSDGSKVGDLKSVGTLTPVHEPKLEEKFASHLPFTAAPLSNRERLMLRKQALKMKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQNSSNGSGTEQVEEEVISPQLLEAIRLECGLHPGESD >LPERR04G12010.3 pep chromosome:Lperr_V1.4:4:13162435:13167960:-1 gene:LPERR04G12010 transcript:LPERR04G12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPNPSPPLLLSHPSAASRTRPLRLPPIHASPSSSPSPELLAKSALRRISDKLRSLGYLETDDDPEVTGGLATDASPGEIFVPNPAQLPRHRVGSTLDPSWATGDGEAAESPRGRGRRGGGRGDAAAPPSPAELALPRGELRRLQGIGIRMRMRLKVGKPGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREKKDDMDESSEDRNSGDKGVDIDTKDAEQSSSYEDAENPAEHGSNHPEMGGDLGRSSNLQGLAASMIKLWERCEVAKVAIKRGVHNIDSDLISEEIKGLTGGTLLSRDNESIVFYRGKDFLPTAISLAIEKRRKHGNSTISSPKLVFEERTLLNSSELKMATDVSVDGFECYEVKHKEETPVSENRAESLNVVAQNVEARLSQEGEEFLMEPLKTCTFIGSIENFIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKRNALKRSVEHQRYKSLKLHVLNLSKNIDYLRGQMEVPEFLPECPASLVEECSPGESETEGTLDESGVPLDVMQNKLLCFNKLTDDPPETASSSLTGGTSTSSDNLIKHQSRHSSSVTPNSDGSKVGDLKSVGTLTPVHEPKLEEKFASHLPFTAAPLSNRERLMLRKQALKMKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQNSSNGSGTEQVEEEVISPQLLEAIRLECGLHPGESD >LPERR04G12010.4 pep chromosome:Lperr_V1.4:4:13162435:13167960:-1 gene:LPERR04G12010 transcript:LPERR04G12010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPNPSPPLLLSHPSAASRTRPLRLPPIHASPSSSPSPELLAKSALRRISDKLRSLGYLETDDDPEVTGGLATDASPGEIFVPNPAQLPRHRVGSTLDPSWATGDGEAAESPRGRGRRGGGRGDAAAPPSPAELALPRGELRRLQGIGIRMRMRLKVGKPGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREKKDDMDESSEDRNSGDKGVDIDTKDAEQSSSYEDAENPAEHGSNHPEMGGDLGGTLLSRDNESIVFYRGKDFLPTAISLAIEKRRKHGNSTISSPKLVFEERTLLNSSELKMATDVSVDGFECYEVKHKEETPVSENRAESLNVVAQNVEARLSQAIEEKEKTEKLIEELEKSPQPSRAETRETISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHSIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKRNALKRSVEHQRYKSLKLHVLNLSKNIDYLRGQMEVPEFLPECPASLVEECSPGESETEGTLDESGVPLDVMQNKLLCFNKLTDDPPETASSSLTGGTSTSSDNLIKHQSRHSSSVTPNSDGSKVGDLKSVGTLTPVHEPKLEEKFASHLPFTAAPLSNRERLMLRKQALKMKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQNSSNGSGTEQVEEEVISPQLLEAIRLECGLHPGESD >LPERR04G12020.1 pep chromosome:Lperr_V1.4:4:13169661:13172361:-1 gene:LPERR04G12020 transcript:LPERR04G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPVDEPPRRRARAAADEEGEEADRLSDLPDCLLHEILARIGSRQAVRTSALSRRWRHVWRAVPRVDLDQRDFVLRGEAESYAASCDRLEDFADTMLSPHGTAASPLDAFRLHLLDAGRNIVFGRWIRRALLLRPASVDVRIDHGGASDYPPFFSLGTGASVGIGTARLTRLHLFGVQIGFLTGDGKRIAQLLPVLEELHLESCKFAYGGPSTVAFPNLRSLAVVPRCTTATYKMTVTSPRVESLRIFVPFSRAYGAPVHLAPSEGNGVLESLVSASISIYDIDQEIFEPDRRLNKHKLDFLRSTRSMLDRMPNVRDLQLSGFTTIALLDKASQEFPMLHKLRTLLLSDCDVGVGCHVLKSIFRNAPKLESLRLHRCKFIGTPKRKRGGSSKSNKKSSSTSTCPDSPPSLFKNLQSVEIKWRREDRGGPDLMEFKKEISSEVQWHCAKSVSEGTTGKN >LPERR04G12030.1 pep chromosome:Lperr_V1.4:4:13178249:13180420:-1 gene:LPERR04G12030 transcript:LPERR04G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIRPTQAATVKAEEASDAPPCKRARLDADAGGGGGGGGGGAEVVKEGEEDRLSDLPDCLLEDILGHLGSRQAVQTSALSRRWRHVWRRGGGSGGGAGVFDAEVFEDFADNILSPGLLPSAAPELDAFRMSLDEAVCTNFQRWIRRALWRRPASVDIRYSPNFGMSWPPTVTLAPPAAAARIRALRIYGLRPTVVFGADEFPSLEDLHIERCGYAHRTINLPALKRLTIVSPLNGSFCTEQDLTAPGLTSMRLVLPYTRDHGVKVLIDAPLASLVDASISLVDTATVDHRRPNLFQIQYFTAMSDLLGRITSVRNLELTGFTATALLDNKSQEFPLFPYLTTLLLNECDIGTNYHVLKSILQNAPSLEQLKLHNCKFVGKRKRMIGITKLKEKAPPSFACSSLKSVEIKHPRNEIRSCCFIVEFEKEMLLNQWRKRSTCNDEISQIEFLRRELRKA >LPERR04G12040.1 pep chromosome:Lperr_V1.4:4:13181708:13186906:1 gene:LPERR04G12040 transcript:LPERR04G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVVKRRAVSGIDNDDGMHFSGKKRSVRDRLGNNMVGSESFDGQQRNKRRQTETNALQHGDDDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNLRRYDAGGHGPESRSRYDGRDKIPELRSRYGTRERIPEPRTSTRPSLIPSARSMDNLLKLDVSREPYSSWSAGDLMRHRSPEKHSSARRDVSPSRTYDHIHPMHSMPPVRSAGSSRPSGLIPRDTPDALRTQRYAGKSTVSIDTAQPANGVTPSATVLSTTPVMAEVPLTVTGLLNSLGLEKYVILFQAEEVDMTALSQMGDSDLKEIGIPMGPRKKILLAVAPYSKRRR >LPERR04G12050.1 pep chromosome:Lperr_V1.4:4:13187725:13188723:-1 gene:LPERR04G12050 transcript:LPERR04G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHLLRLILLAFLAAAPSSAAAASRLSPNYYRHSCPRVEQIVADVVAAKQRANPSTAAGTLRLFFHDCFVGGCDASVLVSPLTAAKSPERAAEINLSLPGDSFDVVARAKSALESACPGTVSCADVLALAARDLVGILGGPRFPVALGRRDARRSDARDVEGNLPRTNMSARAMAVLFARKGFTPRELVALAGAHTVGFSHCGEFAHRLYGGGGGGDGSSYDPSLNPAFARALQSSCANYKKDPTISIFNDVMTPGKFDEVYFQNLPRGLGLLASDAALWEYPVTRVFVQRYAENRTAFFEDFAMAMQKLGAVGVKTGRQGVVRRQCDVL >LPERR04G12060.1 pep chromosome:Lperr_V1.4:4:13193509:13194031:-1 gene:LPERR04G12060 transcript:LPERR04G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLTVVALLAALLFLTTIKAADYPPAPPPGPPPHKIVDPGKDCLWACNLRCSDNSRKNMCSRACLTCCSVCHCVPAGTAGNRETCGRCYTAWTTHGNKTKCP >LPERR04G12070.1 pep chromosome:Lperr_V1.4:4:13198510:13201019:1 gene:LPERR04G12070 transcript:LPERR04G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNSPPNLHGSNYIQNASEVFPFGRKTLGLMLLSVLLVLVLSAYYPEQIFLYSPMPAHNSHQTAISSSHDNAKTCNLFNGTWVRDFGGPVYTNTTCPTIPEARNCGKYGKQMDYINWRWKPHGCAMAKFEPHLFLTIVRGKTLAFAGDSIARNQMESLLCLLSQVEAPVRVSSDTKDKFVTWNFRSYNFTLMVLWTKFIVEDYERQINGTAVGEHDIHLDKLDPRLAANLHQINFLVISTSRWFFRRNYLYEDEKVIGCIYCSEDNITSFSVPMAIQRVFRTALSKLKECHECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMTDQTEWAIRNVQVEEADRTQKNNHKGGAKVEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKNSLEDQ >LPERR04G12080.1 pep chromosome:Lperr_V1.4:4:13198993:13203649:-1 gene:LPERR04G12080 transcript:LPERR04G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASAAFLLLPVSPPAGPIHPRLLPSSPCRSRPRCHVRLAASRSEGDATGDGGVVGVGGGGVFLSPRALSQREELAAFRYAHTFPHGRLTVRALTPPGADDAEAEADALVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLYERRGLAPHAAVLVGYYRPSTAATGDGEEEVGEGEEEEDDDGEMACTAEVSLDAMGAPGAPPTPTPPLEFPYICNMTVKTSLRRRGIGKQLLKACEDLITKMDAKRRIYLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELLQTSGSSKNYLKGKKAFKTADGQAW >LPERR04G12090.1 pep chromosome:Lperr_V1.4:4:13205256:13206513:1 gene:LPERR04G12090 transcript:LPERR04G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELSVEVKSPADKLWTALRDSTDLFPKIFPQQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVVPKGGEDGAGAVVNWTMEFDKVSEQIPEPDVIKETAAKTFHDLDDYLLKN >LPERR04G12100.1 pep chromosome:Lperr_V1.4:4:13207422:13212429:1 gene:LPERR04G12100 transcript:LPERR04G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVALASPATASLRVSNIPPSAVAAELLSFFDSAVAGYGVGGAFASEIMGARRGWLSRGHGTVQFESAAAAGVAVELASSGRLPRFLGAVLSVSPSPVDLLQRASDASLRAASARLVLGDRVAERVVEAADAWDGVRAEVIPAKRRVDLYLEHDSQRYRLEVFFEDMKDCLGCTLDGVGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGKCSTLVLKLGGGALVSDILKSLPFSGDLGELTIFHKKKGELTMNSVDGVGASSNVVPLVHCPSDCSVPYEVLFRLNSLIHMGKIVTKHVNADLFKVLKEIPVDVSRRIFEKMNKLESTCYEPLQFIQQEFYSMKRSHNALFSSEGEGEKKLMKCYRVHITPSKIFCFGPEDEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSANAISARIEQEGIRRWMGHFQNIRSISKCAARMGQLFSSSRQTFEVATWHVEVIPDIEITTDGSKYIFSDGIGKISLRFARQVAHQIGLDPANPPSAFQIRYGGYKGVIAVDPMSSIDLSLRPSMMKFESESRMLNITSWSKSQPCYVNREIISLLSTLGISDDIFVAMQQDDMRETDEMLTNKEVALSVLGKLGGSETKTALKMLLQGILDYGQVYIRITKNSKEQKYSDQSYFYNVDEETATIVGKVAITKNPCLHPGDIRVLEAIYDPELEDMGLVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDRLIPEKVETPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDALGVISTAHLIHADRAPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRSLRPREYPNFMERWEKPMYISEGVLGKLYRSATGHMEEKSETPSSPGQPNLEYDPDLEIPGSDEFLEAAEEHYELYEEKLTTLMNYYRAEREDEILTGNIRNRMMYLKRDNKRYFEMKDRIVAAVDGLHREVRGWLASSTSKEDAPRMASAWYRVTYHPDRHAGKRFWSFPWVACDNLMAIKESSRLKRRVLQQRAAEDGSVAVQMDCSA >LPERR04G12100.2 pep chromosome:Lperr_V1.4:4:13207422:13212429:1 gene:LPERR04G12100 transcript:LPERR04G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVALASPATASLRVSNIPPSAVAAELLSFFDSAVAGYGVGGAFASEIMGARRGWLSRGHGTVQFESAAAAGVAVELASSGRLPRFLGAVLSVSPSPVDLLQRASDASLRAASARLVLGDRVAERVVEAADAWDGVRAEVIPAKRRVDLYLEHDSQRYRLEVFFEDMKDCLGCTLDGVGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGKCSTLVLKLGGGALVSDILKSLPFSGDLGELTIFHKKKGELTMNSVDGVGASSNVVPLVHCPSDCSVPYEVLFRLNSLIHMGKIVTKHVNADLFKVLKEIPVDVSRRIFEKMNKLESTCYEPLQFIQQEFYSMKRSHNALFSSEGEGEKKLMKCYRVHITPSKIFCFGPEDEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSANAISARIEQGLFSKPFKTGLYHRILSILKNGFSIGPKNFEFLAFSASQLRGNSVWMFASNATLNAEGIRRWMGHFQNIRSISKCAARMGQLFSSSRQTFEVATWHVEVIPDIEITTDGSKYIFSDGIGKISLRFARQVAHQIGLDPANPPSAFQIRYGGYKGVIAVDPMSSIDLSLRPSMMKFESESRMLNITSWSKSQPCYVNREIISLLSTLGISDDIFVAMQQDDMRETDEMLTNKEVALSVLGKLGGSETKTALKMLLQGYEPSSEPYLSMILKAHQENRLIDIRTRCKIHVPKGRVLIGCLDETGILDYGQVYIRITKNSKEQKYSDQSYFYNVDEETATIVGKVAITKNPCLHPGDIRVLEAIYDPELEDMGLVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDRLIPEKVETPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDALGVISTAHLIHADRAPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRSLRPREYPNFMERWEKPMYISEGVLGKLYRSATGHMEEKSETPSSPGQPNLEYDPDLEIPGSDEFLEAAEEHYELYEEKLTTLMNYYRAEREDEILTGNIRNRMMYLKRDNKRYFEMKDRIVAAVDGLHREVRGWLASSTSKEDAPRMASAWYRVTYHPDRHAGKRFWSFPWVACDNLMAIKESSRLKRRVLQQRAAEDGSVAVQMDCSA >LPERR04G12110.1 pep chromosome:Lperr_V1.4:4:13212863:13216521:1 gene:LPERR04G12110 transcript:LPERR04G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLMYALAGGLSLSRLAGPRRFGPHHCAVYAANAFLGPDLGSFAEWLASFLPFGSAAAAAGDIAMAAVHHPFYYPILLGVPLAFLYACLSRWMLRAGVLEAPSGVALSRKQCFLLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINLDAVFVVGLLCIALILGFMYINRMKHAKSAIEKSNQSFFLITLIAILYCMWCASQIYLRNPPQPAIGEEADLGELQEHHTKAVDIPCRIYLVVVAILWIDISKCSSNFRAYV >LPERR04G12110.2 pep chromosome:Lperr_V1.4:4:13212658:13216521:1 gene:LPERR04G12110 transcript:LPERR04G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLMYALAGGLSLSRLAGPRRFGPHHCAVYAANAFLGPDLGSFAEWLASFLPFGSAAAAAGDIAMAAVHHPFYYPILLGVPLAFLYACLSRWMLRAGVLEAPSGVALSRKQCFLLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINLDAVFVVGLLCIALILGFMYINRMKHAKSAIEKSNQSFFLITLIAILYCMWCASQIYLRNPPQPAIGEEADLGELQEHHTKAVDIPCRIYLVVVAILWIDISKCSSNFRAYV >LPERR04G12120.1 pep chromosome:Lperr_V1.4:4:13215394:13217687:-1 gene:LPERR04G12120 transcript:LPERR04G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPFLPLGRQWLVTIANNDRVERYEVLLGCLIGVVIVGIVSVSCHLRRRAYNLKSSKKDIEVSAVSVEYEEVTCKQMSIKEIYNATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEEYFLVYELCMNGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVMDIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVSEFADPRLNGEYSTDAFDLSLKLALSCTGQKQQRPSMEKVVSTLEKALKISMRDDSKHNSISIIESHA >LPERR04G12130.1 pep chromosome:Lperr_V1.4:4:13223305:13223532:1 gene:LPERR04G12130 transcript:LPERR04G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFLCIFSFSRKSRRYYADEEADWERPAAAETRLRKVRSSDEDYGWWVGERDVDQKASDFIARFHHQTSLVV >LPERR04G12140.1 pep chromosome:Lperr_V1.4:4:13231104:13234025:-1 gene:LPERR04G12140 transcript:LPERR04G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAACFSPLCAAAASLPRPRVCFGSSSGSLSCLAGSNGCGVPRGRMRLRWRGPVCAKMDEVDKDKEAGLGFRKPGRRKLLLRLRLRPRLRLLWWRLRRISPRDIPGNALAALRRAVRRVPPAAAAPIVLAVLLLAARLALPKNPAKEVAYADLLAGLRAGAVTAAAFEEDSRRIYFRKAADEDGSDDAAGDGEREASRSGAAAAEAAKWPYYARRVAHDEGFLLGLMRDGGVDYRSAPRSAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAAGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDLKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDFEGRRNILSVHFREVPLEEDIEIMCDLVAKLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENIDSGRQGLTKLFPWLPKPGNKPTNPDDIGGLMGYQTLS >LPERR04G12150.1 pep chromosome:Lperr_V1.4:4:13239933:13244692:1 gene:LPERR04G12150 transcript:LPERR04G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAAMEGPPAKMGFRMPAEWEPHEQCWMGWPERPDNWRELAGPGQKAFARTAIAISKFEPVTICASAKQYAYVHELMLHQLNIRVVEMSMNDCWFRDIGPTFVILKGGPGLGITEQNIAGIDWEFNAWGGAIGGCYADWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMSKLEIENELKDFLGVTKVIWIPHGLYGDEDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYERSVEALATLSRSVDAKGRQIEVVKIHIPGPLYMTKEESEGVENTGGAIPREAGTRLAASYVNFYIANGGIVAPAFGDKWDEEARAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPMRPS >LPERR04G12160.1 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKSSSGTVELGPFVNLPRDCQELAISCLYYFSNLLPDTMEPLASCCLSDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.10 pep chromosome:Lperr_V1.4:4:13244416:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSAT >LPERR04G12160.11 pep chromosome:Lperr_V1.4:4:13244416:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLVLELMWDNLSKEIVRVQNRAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSAT >LPERR04G12160.2 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKSSSGTVELGPFVNLPRDCQELAISCLYYFSNLLPDTMEPLASCCLSDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLVLELMWDNLSKEIVRVQNRAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.3 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPVGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKSSSGTVELGPFVNLPRDCQELAISCLYYFSNLLPDTMEPLASCCLSDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.4 pep chromosome:Lperr_V1.4:4:13244416:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKSSSGTVELGPFVNLPRDCQELAISCLYYFSNLLPDTMEPLASCCLSDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSAT >LPERR04G12160.5 pep chromosome:Lperr_V1.4:4:13244416:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKSSSGTVELGPFVNLPRDCQELAISCLYYFSNLLPDTMEPLASCCLSDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLVLELMWDNLSKEIVRVQNRAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSAT >LPERR04G12160.6 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.7 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPEKTGILFTENDSGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLVLELMWDNLSKEIVRVQNRAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.8 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPVGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLVLELMWDNLSKEIVRVQNRAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12160.9 pep chromosome:Lperr_V1.4:4:13243549:13251568:-1 gene:LPERR04G12160 transcript:LPERR04G12160.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKSIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVVKHPSELKLHKVAIVEKLQERICDNDKVVRESLFSILQSFVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLNGLGHCLSQVAFATENGDASNRLVHNVSGRELWKCTLDEDNSGSRAFAMSNILTKLQNLIQILVNSVEVSASELFAKSTIDAQSSEALLSALHCLDLICKICIQEVKKPQMKFGRSETQFGPEWLKSSLLVYLKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDDSMFPAEEFCQFVSSLLSKVKALRNKDIMEKHLSPLIISIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDSVGKLLLPVGLEYHGVWVDELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIECGNMHSFVKLFGVKCDVLEPTILFRVVEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGPFCTQEDSQTSSNLITFKSLNHLILTSLSDMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDVIKIIAGYLIDAAMDLSKTIELGFQSDKTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDNVLSSVAKLKCPDLSHRICLVTTIIVFLCNDCKLHKNLSLGKSAIKAILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKSKALQLNCWDKSELENFSSATKCDV >LPERR04G12170.1 pep chromosome:Lperr_V1.4:4:13253757:13254422:1 gene:LPERR04G12170 transcript:LPERR04G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRTSTAANVLVVALLIISLLMPLHLPVAYARHVAVLKSTDSSSNSGINIRSGDVNVFTFTKEQTSASGAEQRSTTDANNSGENPGRRRLTAGGRTVEMRTSASATKHHRGNEINRIYEMLKRDYSSRARRRSPINNGEPLQEELP >LPERR04G12180.1 pep chromosome:Lperr_V1.4:4:13255239:13260126:-1 gene:LPERR04G12180 transcript:LPERR04G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQINPSEYSFMKAGQQNGDRSVQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLAAQKVIAEKVSPNLDSSMKETADEPAKSVNDLKPQIVVLPEECGTGDDTVEQYSCGKLHDLEGNNTIDESNTVNVEKSTPNQLVSNDGADYCQQVCSAISESGENHEPIVDGEAINQVSSNDCPETGASISSETTNLNGLPVESTAGGLSDEVTEDDVDGMAQNKREINQINHYNPFIAYGSLEDTWEPKYSLPTAVDDAFIAPCPVEKTDSFSDIVNGALRGLNYLEVGATEDSTLNSIEENSSRADVQASEDSSIVQASEENNNQRESPVANTVNLSVQRESPVANTVSLSDHVDKALISSTETDEARNEDSRLESTEASSSGSVAQHLEESNGQVDDSIDGIRTNAAHGMGLVTSYDNPEPSDVKSDNHPKCEIDSVQDVHDFNPRQADDGTAISEDNKDGKSSTLVQTESIAQQNEPDSAKVTAQNFIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRQDRGWGYRILCCKF >LPERR04G12180.2 pep chromosome:Lperr_V1.4:4:13255241:13259032:-1 gene:LPERR04G12180 transcript:LPERR04G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDGRPYHSNVFHELVSNGGSKVDGEIERETKHNILPDKMVRQINPSEYSFMKAGQQNGDRSVQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLAAQKVIAEKVSPNLDSSMKETADEPAKSVNDLKPQIVVLPEECGTGDDTVEQYSCGKLHDLEGNNTIDESNTVNVEKSTPNQLVSNDGADYCQQVCSAISESGENHEPIVDGEAINQVSSNDCPETGASISSETTNLNGLPVESTAGGLSDEVTEDDVDGMAQNKREINQINHYNPFIAYGSLEDTWEPKYSLPTAVDDAFIAPCPVEKTDSFSDIVNGALRGLNYLEVGATEDSTLNSIEENSSRADVQASEDSSIVQASEENNNQRESPVANTVNLSVQRESPVANTVSLSDHVDKALISSTETDEARNEDSRLESTEASSSGSVAQHLEESNGQVDDSIDGIRTNAAHGMGLVTSYDNPEPSDVKSDNHPKCEIDSVQDVHDFNPRQADDGTAISEDNKDGKSSTLVQTESIAQQNEPDSAKVTAQNFIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRQDRGWGYRILCCKF >LPERR04G12190.1 pep chromosome:Lperr_V1.4:4:13275140:13276582:-1 gene:LPERR04G12190 transcript:LPERR04G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGGSKPAADDAAFPPSEAIAAVQDTHAPSPTTTDDDFDDLYGDVNIFLPLAPLSLSPKSPPKTPSPGRSAPSPRRSPLPEPQPEQEPQPPKPTPPLPAPKPTPPRPPRSPPTTAVFIGELQYWTTDAQLEEALAPHGALRGLHFYTDKHSGKSLGYCRADFLRPDALDGRDFNGRSCVASLSCLPALLRLTGEDRDPYAVHDTPSGATRGRGGRGRGGHGSNSTAAGNAGWGNVGNPLGDHPAVSPLPRPVSSRLPQLPFGGMMGGGAVAGYGGGFVPMGQYNAGVATGMMPAAIAPHMNPAFMAAGGMAPMGGQGVWYNQQMGGNMWGGGQQEPWNFGGHAMPPRQQKQQQKLPAPQQFNRNEDHGKVRGAGRRERPPGGRNDQEGDIGNERGYQDRRQYGRDGFDQSRKHDRDERERYRPRVLEERDQWDERDRYGGDRWRYQEYPDRVLDRRGRTRSRSPSRDGDEDDHLRRRR >LPERR04G12200.1 pep chromosome:Lperr_V1.4:4:13279819:13290326:-1 gene:LPERR04G12200 transcript:LPERR04G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRRILLRLRAPTETLLSRMLSSSPSYGGGGGGVAVKQVTRGNLADALEELRARVRDAAFVGIDLEMSGVTSAPWRDTFEFDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSSFVAHPHNFFIFPHNELLNDCSSHEFLCQTTSIDFLANYQFDFNTCFREGIYYLSRTQEEEALQKLYKLHHNDISAYPNTCEEEEDTPLKSAADILFTERMKIKFNEWRNSIVGNQRVDGHGSENIKFADQFQTVFFKMRPAVMLDGFTSHQLNLIRQVLRKRFRDLVYVCTFCEDGLSEKRVVYTDTNDDKILLMKDVREDLLKSREARVKSAVGIRHVIDLLASERKLIVGHSCFLDILQVHSKFVGPLPSSMEEFALSINKVFPHIADTRHLLSVNEAIQYRMRKKSKSLSSAFSLLCPELHAPDEKSFTLSPVKIEVESDETVLSCFTSGAKHEAGYDAFMTGCVFVQLCDYLGIKFEHLSPLENLATNINLKKHINLLAPCWNSGTVVDLSTGTERPHPGYKRRYPVTVYDNVVLIWGFQSKVRPKDIKDCICKVFGPASLTSVFPIDSTSVLVQFSKRESVDDFMDLKATLDCTDSAISALHPLSTILEGGQTRAAKYDTYRDICGSSVSKFSFADQAEAVCSTSNAEFKSKESNAADGYGAYESALDGTVPSTVEQAEGTKSGSKNQGENDFSLQDILDALQDGKELSGKRMRNA >LPERR04G12200.2 pep chromosome:Lperr_V1.4:4:13279819:13290326:-1 gene:LPERR04G12200 transcript:LPERR04G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRRILLRLRAPTETLLSRMLSSSPSYGGGGGGVAVKQVTRGNLADALEELRARVRDAAFVGIDLEMSGVTSAPWRDTFEFDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSSFVAHPHNFFIFPHNELLNDCSSHEFLCQTTSIDFLANYQFDFNTCFREGIYYLSRTQEEEALQKLYKLHHNDISAYPNTCEEEEDTPLKSAADILFTERMKIKFNEWRNSIVGNQRVDGHGSENIKFADQFQTVFFKMRPAVMLDGFTSHQLNLIRQVLRKRFRDLVYVCTFCEDGLSEKRVVYTDTNDDKILLSCFTSGAKHEAGYDAFMTGCVFVQLCDYLGIKFEHLSPLENLATNINLKKHINLLAPCWNSGTVVDLSTGTERPHPGYKRRYPVTVYDNVVLIWGFQSKVRPKDIKDCICKVFGPASLTSVFPIDSTSVLVQFSKRESVDDFMDLKATLDCTDSAISALHPLSTILEGGQTRAAKYDTYRDICGSSVSKFSFADQAEAVCSTSNAEFKSKESNAADGYGAYESALDGTVPSTVEQAEGTKSGSKNQGENDFSLQDILDALQDGKELSGKRMRNA >LPERR04G12210.1 pep chromosome:Lperr_V1.4:4:13299018:13302203:-1 gene:LPERR04G12210 transcript:LPERR04G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAAGSGRAPAPLRCARAETDSEDAVATTSSHSAAEAEADATEEGNGAPVEDAAADIEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILEKIVWDKEAEMKEKRPLYMLKGPLEAAPPARDFVGALKAAYDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSYDYLQAICNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGIQLVGINNRNLETFQVDISNTKKLLDGERGQTIAQKGIIVVGESGLFTPEHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPVSAA >LPERR04G12220.1 pep chromosome:Lperr_V1.4:4:13302079:13308162:1 gene:LPERR04G12220 transcript:LPERR04G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPQFARQEGIASEEGLTVSARAQRRGAGARPLPAAAVASMGAAATRRRGARVLQRWRWWWRVWSTRPGRGGADPIARASILALYAGGELRSGGGSVVASRRVEWRIGVHVSRYLGGIVWVIFRTSVSYPVDGRIPASKKQRWSLLPRNSKSLENDLFLFSIPDLCAKNPISSGAPGVVLVQTVRLQATPYPRWVPSQWSTFPSAFCDAKKLVHLSGHSFCRQETTEKFGLPWIKLKIRGYTEFQQLFVYPVVVEPYK >LPERR04G12230.1 pep chromosome:Lperr_V1.4:4:13302886:13304890:-1 gene:LPERR04G12230 transcript:LPERR04G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRGGLGGRATATAHHHHYFYKEYRKTAIETKAEKDDDVMKYLPKCINNYRQFDSRIATQRQPMASLPNASDIADVEYDRAGYTTLMESLTHGEAKFFGGFLTAEAVTRKMNKLLGIAEGRGEGAPLTRNPPWIWCCLKSYRLDKNDPWGTRRYRIFGTEIRD >LPERR04G12240.1 pep chromosome:Lperr_V1.4:4:13305048:13314445:-1 gene:LPERR04G12240 transcript:LPERR04G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEGGRHDQGMALRKAEESAARRCEASQWLRRMEPAAVESLPERPSEEEFCAALRNGLILCNVLNRVNPGAVHKVVENPVAAVQSSDGAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRMPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWSEAEGSPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGTHSKLELIEAISKSMKENSECLLSSLQLPCGRRKQLDDGGGLEHQQEELEKLKLSFNEMKCQVESTRTKWEEDLRRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFLKMQTDQRSTIDHIGENAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDIITEETWGVNYRSLNDLFDISQNREDTTTYDVKVQMIEIYNEQLFLTMHTLEIRNSSHVNGLNIPDANLVTVKCAQDVLDLMRVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPEADAFGETMSTLKFAERVATVELGAARANKEAGQVKDLKEEISKLKSALDDKERETSQLRDVANRVASEMRNARTRSPLTTSMSLKPEACQDSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRDLHLSARKFKTPSPPVRSSLSSERVGIAKSVEKSENIDCTPVSRMEVPQKVQHSSSRNTPSSVLTAQSLRKFRDSEENRMAKPSVRESMTKTRLDSATKPQKEEQTANKYAGARVRSESKIPRNISEIENEFANSEPTFHFNRKAKKLPPQATRQSQSIDLRASVREMEPLTEGKLRRGKAPNSERTNIPLPETRRSVSLPRGKIALAQNWSIFCKENRLFLQTGCTSALARLHLPGSHYGSTNNLRCHLATVFKSLAARTVRAMEEPTPLGRKRPRHGDMDPSTSAAAAGEAQYVYLPVADALKAPGARVCLFAAVSEIGAAVRSRGTDYTLTLRIVDQSRASGISVTFFADNTALLPGVRSSGDVISLHNVVITMHHGEFFVTYNKKFSSFALFDGKVSTECKPYQHSMKYHGSKHDDGILTQMRMWLVHNPPGLKDLELQLKSIKSDSTFDLVCKVLDVHEASNGAWILYVWDGTDTPVTEFPMMYKSDNGVTV >LPERR04G12250.1 pep chromosome:Lperr_V1.4:4:13316098:13317104:1 gene:LPERR04G12250 transcript:LPERR04G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCERSRSKAMALVARAAGVISVGITGDGQDRLEVVGDGVDAVRLVSCLRKRIVHAEILQVEEVKAKKSEDKKEKERKPEEEEAKLTAVHPLPQYYTGYGYYHYVPPPPFVVHDEPTPCSIM >LPERR04G12260.1 pep chromosome:Lperr_V1.4:4:13318315:13319264:-1 gene:LPERR04G12260 transcript:LPERR04G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIIRMRPDSDNCHHKALKVAAAVSGVESVTVTGRDRELLVVIGDGVDESKLTKKLRREVGEAEILELRTLDARRGGGVAVASEAAPSLQLLTPAGAKGGGAVVFAQPSPYHHWHHPTTPGRSVNGGGRVTFPVTTHAVASPGAAARWPGGEHYRSSPQAALYYPRTPNAQYLYGGMGERGSSSPMAGGALYAGGGSSYARAVARSHPANYSPMVERHDYGAVGRGERRRRRAGRQPNCCSIL >LPERR04G12270.1 pep chromosome:Lperr_V1.4:4:13324971:13326112:-1 gene:LPERR04G12270 transcript:LPERR04G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEKEIVIRLHSSEKGHKKAIKVAAAVSGVESVTLAGEDRNLLLVIGFSVDSNDLIEKLRRKVGRAEVVELRTVDADELMHPYGGGGYYGAATPYNTHHLATAAASTPTTTPAAGTSAAAARGTISTTTTTRR >LPERR04G12270.2 pep chromosome:Lperr_V1.4:4:13324417:13324968:-1 gene:LPERR04G12270 transcript:LPERR04G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGYYGGGGVYPQQYGQSAYYPAATTNTHTVVHHQYTNNDPESCTIICHRPPSVYGVK >LPERR04G12280.1 pep chromosome:Lperr_V1.4:4:13335280:13335770:-1 gene:LPERR04G12280 transcript:LPERR04G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKIVVKVCMPCESCRTKAREVAAKADGVISLAITGDDRDKLEVVGVGVDVTCLVIRLRKKVGPTVVVQVEEVKEKKPDEPKKPDPPKPCCCPPPPYYCPPPPMVVCEEPSPCSIM >LPERR04G12290.1 pep chromosome:Lperr_V1.4:4:13339631:13340126:-1 gene:LPERR04G12290 transcript:LPERR04G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIEIKVCMPCDGCRAKALEMSARAEGVISLEITGDDRDKLEVVGVGVDVTGLVICLRKKVCFAEIVKVEEVKDKEEEEEEEKKPECKPPCCPPYYCGYPPWSEEESPCKPWYPPYCGYASPPPVVICDEPRGCSIM >LPERR04G12300.1 pep chromosome:Lperr_V1.4:4:13350906:13353704:-1 gene:LPERR04G12300 transcript:LPERR04G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLHPIPHRKLPPPTAGPSLRKLCKEGDLREALRLLAARSPTTEHYGWVLDLVAARRAAAEGRQVHARAVATGALRDDNEDGGGFLATKLLFMYGKCGRLADARRLFDDMPARTVFSWNALIGAYLSSGCAGEAVGVYRAMRAAGAAPDGCTLASVLKACGAEGEWRCGSEVHGVAVKGGLDRSTLVANALVGMYAKCGVLDSALRVFEWMREDGRDVASWNSAISGCVQNGMFLEALDLFRRMQSAGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGAEFNIQCNALLVMYARCGRVDSALRVFREIDDKDNISWNSMLSCYVQNGLYSEAINFLGEMVQNGFEPDHACIVSLSSALGHLGRLINGREVHAYAIKQRLDSDIQVANTLMDMYMKCYSVECSARVFDKMRIKDHISWTTIIACYAQSFRYSEAIKKFRAAQKEGIKVDPMVMGSILEVCSGLKSISLLKQVHSYAIRNGLLDLVLKNRIIDIYGECGEICYALHMFEMLEKKDIVTWTSMINCYANNGLLNEAVALFGKIQNAGIQPDSVALVSILGAIAGLSSLTKGKEVHGFLIRGKFPMEGAVVSSLVDMYSGCGSLNYALKVFDKVQCRDIVLWTAMINATGMHGHGKQAIDIFKRMLETGISPDHISFLALLYACSHSKLVEEGKYYLDMMVSKYRLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPVEPKSVVWCALLGACRVHKNHELAVVATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEVRTRMTERGLRKDPACSWIEIGNNVHTFTARDHSHKDSQAIHLKLAEITEKLRKEGGYVEDTSFVLHDVSEEEKIDLLHRHSERLAIAFGLISSTSGAPLRITKNLRVCGDCHEFTKLASKLFDREIVVRDANRFHHFSGGCCSCGDFW >LPERR04G12310.1 pep chromosome:Lperr_V1.4:4:13354797:13356790:1 gene:LPERR04G12310 transcript:LPERR04G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPNSGDPNGVAAAAAATAPTNTTVTLPAFTLRDVPRLPSAAADDGNATRIPNPISRHPYFHPPPTFYISDGDVSLRHAFFDLASSTPAPLVAYRRAGPRRELAVDPARGARAALVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGPDDDHVRLDPAAVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFEEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFDFLYERIKLKGHAVVVVAEGAGQKLIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKKEHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGFTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFLNTPAK >LPERR04G12320.1 pep chromosome:Lperr_V1.4:4:13357352:13363107:1 gene:LPERR04G12320 transcript:LPERR04G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVCGHDAAGGKTGGGAGAGRRRRQPNWSSPRPRFCADEGEAPHHHRKQSAWTKYIYTMYSKLQSQLLTHDRAKWLDFYGQTSLKLHVRVCHGNVIAIDSPSARHKIFKIDDQKTLSHGYSNEDHVYLH >LPERR04G12320.2 pep chromosome:Lperr_V1.4:4:13357597:13363107:1 gene:LPERR04G12320 transcript:LPERR04G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEEPEGLTKERPPKAALGSLGIQEQRQEMAQHQGQEQGKQDHQSSQHKLSSHHHSTSRLQAPPPPPAHLISQAWSLAATS >LPERR04G12320.3 pep chromosome:Lperr_V1.4:4:13357352:13363107:1 gene:LPERR04G12320 transcript:LPERR04G12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEARPAAALGRAGGDGNPTGVPLDLGSAQMRMKTMYTFTSSRSWADCPRKYTTMEIKMILQEAAQGVASMRPEEPEGLTKERPPKAALGSLGIQEQRQEMAQHQGQEQGKQDHQSSQHKLSSHHHSTSRLQAPPPPPAHLISQAWSLAATS >LPERR04G12320.4 pep chromosome:Lperr_V1.4:4:13357597:13363107:1 gene:LPERR04G12320 transcript:LPERR04G12320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEARPAAALGRAGGDGNPTGVPLDLGSAQMRLRMKTMYTFTSSRSWADCPRKYTTMEIKMILQEAAQGVASMRPEEPEGLTKERPPKAALGSLGIQEQRQEMAQHQGQEQGKQDHQSSQHKLSSHHHSTSRLQAPPPPPAHLISQAWSLAATS >LPERR04G12330.1 pep chromosome:Lperr_V1.4:4:13357528:13362232:-1 gene:LPERR04G12330 transcript:LPERR04G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLRPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALNIVGSWYDMCKDKGRVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAARERISSTVKGIIEERGNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKSEHEGIRSKKEKGEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGANQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGNDIPMAYPYVEFQRGLPIEIEPLCSGQLQPRTEVEGNSSWVAVAAGPPQRRRRSCLRRHHDRTPASSPPSCSPASSLLELAV >LPERR04G12330.2 pep chromosome:Lperr_V1.4:4:13357528:13362232:-1 gene:LPERR04G12330 transcript:LPERR04G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLRPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALNIVGSWYDMCKDKGRVNVIAFSQFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAARERISSTVKGIIEERGNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKSEHEGIRSKKEKGEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGANQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGNDIPMAYPYVEFQRGLPIEIEPLCSGQLQPRTEVEGNSSWVAVAAGPPQRRRRSCLRRHHDRTPASSPPSCSPASSLLELAV >LPERR04G12340.1 pep chromosome:Lperr_V1.4:4:13381847:13382633:-1 gene:LPERR04G12340 transcript:LPERR04G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARKADGKLVFTSDDCRDPSLEDCRVQMNRILEALLAVADIEIDQFIESGGGSLLQKEQDRQDLQMWCDRLLEELRRLKPQKMFCLWT >LPERR04G12350.1 pep chromosome:Lperr_V1.4:4:13387244:13387696:-1 gene:LPERR04G12350 transcript:LPERR04G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKQRENLFRVACDHCEDRNRIFVDGTSATSFYSSTSSRSSNVVVDEASMAGGVGGEKDPFSALFDEVLDEASMWGGGGDEKDPFSALFRRGHRRRGGGERDRRGTRRSLDGGRRGEGPLQQFSALSDEVLRRRRRADQLTSLTSRRW >LPERR04G12360.1 pep chromosome:Lperr_V1.4:4:13387829:13389367:-1 gene:LPERR04G12360 transcript:LPERR04G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQQLTIVALMLRELAVSGCFNVIAPIADISAPVLEKLQWIDFFSSSSSDWVSSLQLNRTTPDAKISTRTTFVQVSNLNFGGKSPSSSTSSCLR >LPERR04G12370.1 pep chromosome:Lperr_V1.4:4:13389417:13390100:-1 gene:LPERR04G12370 transcript:LPERR04G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLGCLRIRLPLFAVFAKLKVRRLTMSGSIVSVISATPSSRIDAHFYESSLSADLKGCQI >LPERR04G12380.1 pep chromosome:Lperr_V1.4:4:13390705:13398621:1 gene:LPERR04G12380 transcript:LPERR04G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALSLSRPRALLGGKRPILWSQEPNNRMLRVLDLASGAVSLVPDCELYQPANYSFTLGRTASTGEYKVLRIPTGEGRQVCSALTLGGSVSRWREVPSPPRTVKTRRRYVAVVDGVAYFVLLNEFMLCGAAGIDSWIVAFDLETDGPPETKHDRLRVTLAALRGSLVVSHDDHRAGTLDLWFLLAGSGDDSSKVGQHWSKQYTVTTMPYHKRPWRWDGESAEPVVVLDDGRIVFWVWTSGRSSSRGGVMCVYDHRQSNGRGSDGWWRPCWRDCVFIGTEGEIQSGTRPYLDTREPPDSGENQKKPRPPRAWSVRSDNEKKTRTRPRDEPKTRRPQSIVHVTNQPLPLLFPTGHIPLPSRRIHPLSPTRFHRESAAPRRSKPPRGAAAEAQMSYAYVFKYIVIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITLDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANATMAVMLVGNKCDLSHRRAVSYEEGEQFAKEHGLMFMEASAKTAQNVEEAFVKTAGAIFKKIQDGVIDSSNEASGVKPGVITLDQSEGAGSSSSRGGGCCS >LPERR04G12380.2 pep chromosome:Lperr_V1.4:4:13390705:13397525:1 gene:LPERR04G12380 transcript:LPERR04G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALSLSRPRALLGGKRPILWSQEDCVFIGTEGEIQSGTRPYLDTREPPDSGENQKKPRPPRAWSVRSDNEKKTRTRPRDEPKTRRPQSIVHVTNQPLPLLFPTGHIPLPSRRIHPLSPTRFHRESAAPRRSKPPRGAAAEAQMSYAYVFKYIVIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITLDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANATMAVMLVGNKCDLSHRRAVSYEEGEQFAKEHGLMFMEASAKTAQNVEEAFVKTAGAIFKKIQDGVIDSSNEASGVKPGVITLDQSEGAGSSSSRGGLLVTLLFFIVGIYLN >LPERR04G12380.3 pep chromosome:Lperr_V1.4:4:13391261:13398621:1 gene:LPERR04G12380 transcript:LPERR04G12380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLDLASGAVSLVPDCELYQPANYSFTLGRTASTGEYKVLRIPTGEGRQVCSALTLGGSVSRWREVPSPPRTVKTRRSGPPETKHDRLRVTLAALRGSLVVSHDDHRAGTLDLWFLLAGSGDDSSKVGQHWSKQYTVTTMPYHKRPWRWDGESAEPVVVLDDGRIVFWVWTSGRSSSRGGVMCVYDHRQSNGRGSDGWWRPCWRDCVFIGTEGEIQSGTRPYLDTREPPDSGENQKKPRPPRAWSVRSDNEKKTRTRPRDEPKTRRPQSIVHVTNQPLPLLFPTGHIPLPSRRIHPLSPTRFHRESAAPRRSKPPRGAAAEAQMSYAYVFKYIVIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITLDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANATMAVMLVGNKCDLSHRRAVSYEEGEQFAKEHGLMFMEASAKTAQNVEEAFVKTAGAIFKKIQDGVIDSSNEASGVKPGVITLDQSEGAGSSSSRGGGCCS >LPERR04G12380.4 pep chromosome:Lperr_V1.4:4:13391915:13398621:1 gene:LPERR04G12380 transcript:LPERR04G12380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLDLASGAVSLVPDCELYQPANYSFTLGRTASTGEYKVLRIPTGEGRQVCSALTLGGSVSRWREVPSPPRTVKTRRRYVAVVDGVAYFVLLNEFMLCGAAGIDSWIVAFDLETDGPPETKHDRLRVTLAALRGSLVVSHDDHRAGTLDLWFLLAGSGDDSSKVGQHWSKQYTVTTMPYHKRPWRWDGESAEPVVVLDDGRIVFWVWTSGRSSSRGGVMCVYDHRQSNGRGSDGWWRPCWRDCVFIGTEGEIQSGTRPYLDTREPPDSGENQKKPRPPRAWSVRSDNEKKTRTRPRDEPKTRRPQSIVHVTNQPLPLLFPTGHIPLPSRRIHPLSPTRFHRESAAPRRSKPPRGAAAEAQMSYAYVFKYIVIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITLDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANATMAVMLVGNKCDLSHRRAVSYEEGEQFAKEHGLMFMEASAKTAQNVEEAFVKTAGAIFKKIQDGVIDSSNEASGVKPGVITLDQSEGAGSSSSRGGGCCS >LPERR04G12390.1 pep chromosome:Lperr_V1.4:4:13398010:13398180:-1 gene:LPERR04G12390 transcript:LPERR04G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIKLNKERVGCFLLVILVVALLIGVLFGLGVFRHGYDRFKDLGRNHTCYDCNRA >LPERR04G12400.1 pep chromosome:Lperr_V1.4:4:13399855:13401130:-1 gene:LPERR04G12400 transcript:LPERR04G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVALDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >LPERR04G12410.1 pep chromosome:Lperr_V1.4:4:13402407:13404510:-1 gene:LPERR04G12410 transcript:LPERR04G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAQLHGSAAAAAAAYRRLPLLRVPSSSCRWPGNSLAESPKLSISPSGVGVKLFDSGAKVSTKCAKGTTQIDELNFRSNQIDELVEEGEDTITQKRSAKIHDFCLGIPFGGLLFCMGLLGYIFSRSTISLVLGVAPGLATLFLGTLSLKFWRSGKSSFIFILCQAAISALLAWKYSHAYILTNRILPWAFYASLSTAMALFYAYVLLSGGNPPPKKKMAETSS >LPERR04G12420.1 pep chromosome:Lperr_V1.4:4:13406409:13407596:-1 gene:LPERR04G12420 transcript:LPERR04G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDSEEHTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPITHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSLEFPSPSPASAAPIPDGAMLAAPPPPPHDHDTIERIKLGLSRAIMSDPSASAAAAAAAAKAEEDMSEGLAGMYAAAYDADEFRYDDGGAAAAAVVAGYDVLGGGGDHGASMWSHQSLYSGSSGTEEANRRTRLPPEKGNDCSVGSSGGGGGGGGDDGDAADDGGKDSGKGGGASDMSGLFASDCVLWDLPDELANHMV >LPERR04G12430.1 pep chromosome:Lperr_V1.4:4:13409030:13411150:-1 gene:LPERR04G12430 transcript:LPERR04G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHSLEVADGGRCDDDGHPPRTGTVGTCVAHIITAVIGSGVLSLAWSVAKLGWVAGPAMMLCFAAVTYVSAALLSDCYRCGDDDKGPRNRSYIDAVRVFLGNRHTTHLVVCGKKHTWACGSLQYVSLYGCGVAYTITTATSMRAILRSNCYHAHGHDAACKYGGSYYMLMFGAAQLLLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLSNTIANGTIKGSITGAPANTPVQKIWHISQAIGDIAFSYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIIVHLLGGYQVYTQPIYQFADRFFAEKYPASGFVNDFHTVKLPLLPPWRVNLLRVCFRTVYVASTTAVALVFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNIPRWSSRWIVLQAFSVVCLLVSAFALVGSIQGLISQKLG >LPERR04G12440.1 pep chromosome:Lperr_V1.4:4:13417472:13417951:-1 gene:LPERR04G12440 transcript:LPERR04G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDGYDGAAAPLLTDRTKHLTITRSGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWVAGPGMMLVFAAVTALQSMLFADCYRSPDPEVGPHRNRTYANAVERNLGKLSLSPFTGITRRLIGGLEHY >LPERR04G12450.1 pep chromosome:Lperr_V1.4:4:13431060:13434102:1 gene:LPERR04G12450 transcript:LPERR04G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEAWGGAAAGEHCRRHHLPSTCRLSVSNRCNSQTEQRTNGVEEMVADMLDLLFERETFDLVIEKRHNVWPVP >LPERR04G12460.1 pep chromosome:Lperr_V1.4:4:13434853:13437659:-1 gene:LPERR04G12460 transcript:LPERR04G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYDGDQSEDQQSEEVQSAYKSQSGGVLRGYFSLDQSEVQNEENEWSWVPQDGDPLAESVSSLQTTQEALETEMQKLSELSKELGVGNFSSDNRANNASISPDEDDVLEMNQKMSHLEQKLEEATSTIRDKDSRLSKLQELIDTAHVTTPEIGSFDIDQLEMDLDRLLEEKIEAEIQSLVMLKARQSWQVRTEDQIALKEHKLASSGVGSSDGENNATRMMMMKLRETEGKILKLKEQVEKLEVHERELFGTTQVLRMQSRTFKICLFGLVQMILLFVSLKTFFTQMTDPFDDIVPT >LPERR04G12460.2 pep chromosome:Lperr_V1.4:4:13434853:13437659:-1 gene:LPERR04G12460 transcript:LPERR04G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGVGRIGRKSDGRAVRDKEACIFESYFITSDAGGAVGNNRHQRMSMSYDGDQSEDQQSEEVQSAYKSQSGGVLRGYFSLDQSEVQNEENEWSWVPQDGDPLAESVSSLQTTQEALETEMQKLSELSKELGVGNFSSDNRANNASISPDEDDVLEMNQKMSHLEQKLEEATSTIRDKDSRLSKLQELIDTAHVTTPEIGSFDIDQLEMDLDRLLEEKIEAEIQSLVMLKARQSWQVRTEDQIALKEHKLASSGVGSSDGENNATRMMMMKLRETEGKILKLKEQVEKLEVHERELFGTTQVLRMQSRTFKICLFGLVQMILLFVSLKTFFTQMTDPFDDIVPT >LPERR04G12470.1 pep chromosome:Lperr_V1.4:4:13442750:13449129:-1 gene:LPERR04G12470 transcript:LPERR04G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEEPSGPSCSSKSFTQGVTADPATSPGEATPACQDQDPTDLVQPLPKFSIRDYVFASRSKGIKRSWPFNPRSLQLCLKRGVKDLLPPFEPPDLIRTQSLNTSTNAEQSAACSEANAPVGLVKTKDDGSSNVNASNISFQSCQPVTESLGPSQYTSPEDGKSALDQGENTDRLDHTDEIIPVDLQVNSCTEAIRQTEVVVPSWRSKNLDSSREPSEKKCKLVVKLGSLTRTEEVASNSSAVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTELVETVVLKPKVKPRKKLLMVDIYRTARVFTLEDLDRRNGTNWAVDLAMPTRNKDVCTENCSPEVVSFEPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVTKNETGKGILMNKTCLKSKICKNKRFKIPGKKHSKLNRLNTQVRARPDGDIHDHTSEEEEEESAMHVQKPIESTSYGGSEIIRQWVGSKRSGLTKNCARKLTDKASKSITPGTKKLARNSMHGFDDSQTSDSLPEAFSSQPPEEMATTSEANDDDQRNGSPRLLRSIPKWSSKTTPTSSVRSKVPRSAAALANRKIKEIGRREAYRSDNYDTARNSTSIRSSVRRGPSSVAGLSDGSNSVASTSKIRKNRSLLRTGRREFSPSNSELVHGFGQDHGSNPNHLSKKIRVSNKETAKKLKKHTEEDTVDNNFSYGSDTPALGQGDDQYDVAQQAGSAQMYYEGEEPETEMQCASASRSNPVDCSNEMTSDSLSPENNETADDVLAESYSAAMVDPCSNEKSAHHAHVPTIVVNNDVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSILDHGLVFDRGSSGSPISTASTISPSTSLRDSRINRSEPGPSTVNFTTVEERVSGSSNQETNSTTLAREGEQLPEKPCCCTCRESISKESQVHHQSETVRSVPTFSGRQVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPIMTHPSYTTDCMSPTIQTQLPSPSNPVLRLMGKNLMVMNSEESGHSQAPSSDYIVRENYMAPGCFVPQNYQHFGDPAFMNTTPSAPNHQIPLSSVQAGNFAGPPLHSGSMVQSDYHSPQKPYRNLVPVMHHPSYMMKEVIMINDSPENRSNQQVSMLLPSAPSPATTIPVPNTMASRPFYCLPSQNQLLPRESAVGPLPVFANINPMVGVSSQSQGNNAEVAHPYINPFYMQSSAGYINPSVYYSQNLR >LPERR04G12470.2 pep chromosome:Lperr_V1.4:4:13442750:13447851:-1 gene:LPERR04G12470 transcript:LPERR04G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEEPSGPSCSSKSFTQGVTADPATSPGEATPACQDQDPTDLVQPLPKFSIRDYVFASRSKGIKRSWPFNPRSLQLCLKRGVKDLLPPFEPPDLIRTQSLNTSTNAEQSAACSEANAPVGLVKTKDDGSSNVNASNISFQSCQPVTESLGPSQYTSPEDGKSALDQGENTDRLDHTDEIIPVDLQVNSCTEAIRQTEVVVPSWRSKNLDSSREPSEKKCKLVVKLGSLTRTEEVASNSSAVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTELVETVVLKPKVKPRKKLLMVDIYRTARVFTLEDLDRRNGTNWAVDLAMPTRNKDVCTENCSPEVVSFEPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVTKNETGKGILMNKTCLKSKICKNKRFKIPGKKHSKLNRLNTQVRARPDGDIHDHTSEEEEEESAMHVQKPIESTSYGGSEIIRQWVGSKRSGLTKNCARKLTDKASKSITPGTKKLARNSMHGFDDSQTSDSLPEAFSSQPPEEMATTSEANDDDQRNGSPRLLRSIPKWSSKTTPTSSVRSKVPRSAAALANRKIKEIGRREAYRSDNYDTARNSTSIRSSVRRGPSSVAGLSDGSNSVASTSKIRKNRSLLRTGRREFSPSNSELVHGFGQDHGSNPNHLSKKIRVSNKETAKKLKKHTEEDTVDNNFSYGSDTPALGQGDDQYDVAQQAGSAQMYYEGEEPETEMQCASASRSNPVDCSNEMTSDSLSPENNETADDVLAESYSAAMVDPCSNEKSAHHAHVPTIVVNNDVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSILDHGLVFDRGSSGSPISTASTISPSTSLRDSRINRSEPGPSTVNFTTVEERVSGSSNQETNSTTLAREGEQLPEKPCCCTCRESISKESQVHHQSETVRSVPTFSGRQVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPIMTHPSYTTDCMSPTIQTQLPSPSNPVLRLMGKNLMVMNSEESGHSQAPSSDYIVRENYMAPGCFVPQNYQHFGDPAFMNTTPSAPNHQIPLSSVQAGNFAGPPLHSGSMVQSDYHSPQKPYRNLVPVMHHPSYMMKEVIMINDSPENRSNQQVSMLLPSAPSPATTIPVPNTMASRPFYCLPSQNQLLPRESAVGPLPVFANINPMVGVSSQSQGNNAEVAHPYINPFYMQSSAGYINPSVYYSQNLR >LPERR04G12480.1 pep chromosome:Lperr_V1.4:4:13476969:13480238:-1 gene:LPERR04G12480 transcript:LPERR04G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIAMEEWKDPNQRGSDYLMPAMPAFLEDEFPPAEDGFGEDGGGFEKHGLSVAVGSPPPHPREDGHSPSPVPPPTPQFFQKPHFGGGGGSLADRRARGGGGFSNVTKLSVPSNPPAVADVSPALAPSPYVTIPPGLSPTTLLESPIFLSNSMGQASPTTGKLHMHDGADDNDPIRFETPPIEEGVVSGAFSFKPLNLKFSHYMTEAKTESHPNNQHQISVKTEANIQTAQEATNLQSQSQSQLMQQQQQLNGHSVPKSSSSCKPSRPAPDTAAAGGGGGGDGASPPDHGQPSAAAAIAPVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHANCVVKKKVERSHEGHVTEIIYKGTHSHAMPTPPPPPPPPTTTASAARRPPPQTTTTDHTAAAARRPPPQTTTTDHTAAPPDAAGQPWHQEVRAGGEGGLEVTSSPSVPGELCDSTASPDHAVDMTSAASDEVDRGDSKAAHVPPHAAGAGADSESEEMERKRRKLESSCGVDMSAVASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSAGAGGGHQAAVASSQQHHVGVGGGRRPEVPSVQDSLMRLGCGGAVAPPFAPHFAGLHLPLPPPTTARDPLAPMSNFPYSFGHVAGLPPVPPPAGIAGVEGLKYPMLGAPPSVHPLLRHRQGGGAGGGVETVIPKGEVKQEAMAPAGGGGGAVAGAGRGAAVYQQAMSRVSLGNQL >LPERR04G12490.1 pep chromosome:Lperr_V1.4:4:13487446:13489670:-1 gene:LPERR04G12490 transcript:LPERR04G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTTVLAVTLLCVALLHGVSSQKPAAPAMADTPAPAPAPRHVDLADLLSLAGPYSTFLGYLTKTGAITTLQSLANDTTVNAQGVTVFAPEDSAFVAVHGAALSNLTADGLRTLMLCHAAPRYYPLSSFSALAASGGPVPTLAGGERYAVNVTDAAGKIRVASGWATARLVSSVYSTSPVAVYALDRVLLPEGIFPTAPEVAPVPAPAPAPARADKASDGAPGAAGHRSTGDKSLSCRVGAGRLLAVLAVMFITMNFTVAFLTTNALSLTLLLAGGALASRPPPSPVRTNAGGGAAPAPQDKGGNLTDVLTIVGPFTTFLMYLRQTNLVAVFEHQAYHTDQGITIFVPVDQAFAAIEPSVLPRLNRNQMRHLMMYHTLGRHYAAGEFEGLCQSNPVTTLAGNAYAVNVTYDGGVAHVTSRWADARVVGCVYETAAMAVYELDRVLLPDVLFRVHPPVADTPPVPPLLGPPAGGGGGGGSGGVDPPPDDDYFPSYDHASPPPAPSAAGTGNAEGSAAADRASSSCYAVAVAVAVMTLMV >LPERR04G12500.1 pep chromosome:Lperr_V1.4:4:13497176:13501519:1 gene:LPERR04G12500 transcript:LPERR04G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSVVSSLVLLWLAVAAAAQKGSSWKTLNGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRTPRFDPSFFPILAVEDIASKFKPPGIWLNVQHDSFYSQFNLSMTNYILSVSKRVIVDYISSPEVSFLTKVLGKVSNNTKLVFRFLDERTIEPSTKQTYGSMLKNLTFVKTFASGILVPKNYIWPVSPDNYLEPHTSIVDDAHKAGLEIYAADFANDFTFSYNHSCFTNLNKSKTNHGKPLIISHNGASGDYPACTDIAYQKAVDDGADIIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASETAVIKNIESVLGIFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDEVIKTLDSAGYSNQTAQKVMIQSTNSSVLVKFKKQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQGAGVNGVITDFPATARRYKLNTCMNMGNKTPSFMAPARPGDLMQIISKLAQPPAMSPMPLLTGSDVAEPPLPPARTAQAAPSLASRMQAHVAIVFTVAMLLTCHHLV >LPERR04G12500.2 pep chromosome:Lperr_V1.4:4:13497176:13502178:1 gene:LPERR04G12500 transcript:LPERR04G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSVVSSLVLLWLAVAAAAQKGSSWKTLNGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRTPRFDPSFFPILAVEDIASKFKPPGIWLNVQHDSFYSQFNLSMTNYILSVSKRVIVDYISSPEVSFLTKVLGKVSNNTKLVFRFLDERTIEPSTKQTYGSMLKNLTFVKTFASGILVPKNYIWPVSPDNYLEPHTSIVDDAHKAGLEIYAADFANDFTFSYNHSCFTNLNKSKTNHGKPLIISHNGASGDYPACTDIAYQKAVDDGADIIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASETAVIKNIESVLGIFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDEVIKTLDSAGYSNQTAQKVMIQSTNSSVLVKFKKQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQGAGVNGVITDFPATARRYKLNTCMNMGNKTPSFMAPARPGDLMQIISKLAQPPAMSPMPLLTGSDVAEPPLPPARTAQAAPSLASRMQAHVAIVFTVAMLLTCHHLV >LPERR04G12510.1 pep chromosome:Lperr_V1.4:4:13502998:13503296:-1 gene:LPERR04G12510 transcript:LPERR04G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRRRTARVVDESALGVGGGGEDVGGAVARGGGGAGALVARALMAMSCVVRLDGEETGGGVEEAWATSGWRPPRAGDDEVSHIMLRESMRYAIYA >LPERR04G12520.1 pep chromosome:Lperr_V1.4:4:13506199:13512895:1 gene:LPERR04G12520 transcript:LPERR04G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFKGTFTGEGLRLLRERVREKLKELMGDYSDDTLVEYVVVLVRNGRRKDEAAKELEVFLSDNNDAFISWLWDHLSSSLHLYVQPKAISTSAETKGTSSNARGMHVHDMTSSTQVVREPVAGIQKTTGIQQRREWGGIVREQSEAVPLRSVVNTVSQAEEKDVRRSHARRRTHSPDTHHHHHQHRKRSREDDEHQIKRTTSQQAHDAPRRLIQFAVRDAVRTVQPITSRSESASKRLRSVVSTMASDAPLDVGLQKTNLDVRVPGATAAAFRAAAEAAEDALKEKYTGSVFRRLGRRGILNAAEESFGYREQDPEREYVDIDNVHAENQLDFHERSHYAGDAYMYDREAPKAVDSASDINGFDDAGAARYNDLIPYRSTLPSSVGKESLVVGFNTVDGAAKSRSRRSIMQDAPASSGSKPSERMLDISVNSITQTHKSANHETRRNAVKVEPRVPTELRGVDSRKSNATLAHVNNTPMTDKSKDLKRSSSVVEAQKVSSLAVGSCTTGQPEGGTDSRTIFVTNVHFAATKDALSRHFNKFGAVLKTLIVTDVSGQPTGSAYIEFLLKESAEQALTLNGTSFMSRILKVIRRNSAEVPQLPGWSRASRGSPFASRLTRTAYPRSAFPGATRGRLPLRGGARSLQWKREDADTVDAGKSSNSIPIPTGNQLVSPVARSFTYTRAEPNQDIGATI >LPERR04G12530.1 pep chromosome:Lperr_V1.4:4:13518543:13523460:1 gene:LPERR04G12530 transcript:LPERR04G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAASTYLLLFVSAASLLASSSTPSPAAAAEKPEELLLSFKASLNDPSGALSTWTPSTPYCNWSHITCAAAAGGGDVAVSVSLQGLGLSSTDIAAGPLCRVPGLAALSLASNTFNQTLPLSLSRCASLVSLNLSATATWGPIPGQLFAELAALTTLDLSGNDIEGAVPPGLAATRALEVLDLGRNRLSGVLHPAIIRNLTRLHFLDLSGNQFMESGIPPEIGEMGGLRWLFLQGSGFTGEIPESLLKLEQLEVVDLSMNGLTGALPLGFGRNFRKLMSLDLSQNGFSGEFPEGIDECVMLQRFQVQGNRFTGELPAGLWSLPDLRVIRAENNRFSGRLPELPSAGISRLEQVQVDNNSFSGEIPRSIGLVRTMYRFSASMNRLIGSVPESLCGSPAMSIINVSGNSLSGAIPDFGGCRRLVSLSLAGNGLTGPIPASLGGLPVLTYLDVSGNGLTGAIPPELQNLKLALLNVSYNHLTGRVPPALVSGELPAVFLEGNPGLCGPGLPDDCAGGGPLRRQHQGLAVAATVASFVAGVMLIALGAFAVCRRLYSSNGFSSASAPWKLVLFYPIKLTGDELLTVIRDKNAIGRGAFGKLCLIELQDGQNVVVKRLMISSGKQTFRAVKNEMKILAKIRHRNIARILGFCYSEGEVCILYEYLQMGSLQDLIWAPKFAVGWNDRLRIAIGVAQGLVYLHHDYTPSLLHRDLKSSDVLLADDFEPKVTGFGIDRVVGEEVYRSSLASDLNYRCYIAPELNCTKKPSNLMDVYSFGVILLELITGKSAEQPASDNSVDIVRWVRRRINVADGAAQILDPAISRTAQQGMQAALELALRCTSVMPDQRPAMDEVVRSLQLLYPPHSSSFTGVAVEP >LPERR04G12540.1 pep chromosome:Lperr_V1.4:4:13522084:13523532:-1 gene:LPERR04G12540 transcript:LPERR04G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFRRWADLPADLLCRIGDRLDLKCYASARGACTAWRQALAPPSPALLVLADSRLCPSAASLPTRRLFDLAAILTGGRCVGSSNGWLVLSIALYGGQSVFVLFNPITATEIVLPPLIYESRWVSKVVFAPNPAKDDFAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLADVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPIDEPGPSSVQPEAPVMPLPECALAIRGRRNQQHRNLRMLCYEHLRPRDPTAPMKFTVCSETLIPYSYRRLNVGPLEPDLNAPATVDPLLPEGNLPFDPATCFAPPYNTVSAFTNAKNLVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMFAEGVPGLKGNCVYWIGGRGRDQGMVFDMETGRSIPCLPTVGFVPGPLQSTICWFFLSDIVNSCNNSGGRRVYQTRSRSRAERAQDMEE >LPERR04G12550.1 pep chromosome:Lperr_V1.4:4:13523870:13526908:1 gene:LPERR04G12550 transcript:LPERR04G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMRDVKTTALGDLSGGGGFFIRRVASPGSLAARGARKPLAWRFIRPSDNKENAPPIWALKATPGKRRSPLPDWYPRTPLRDITVIVKAIERTRSRIAAAQQQSQTSEQDVAHCSEVQGSLDVAPSCSSTQTAATPASSLVKGSLKIFSSPSETSLVTPSKPMDPALQDHMEKKLSSSIEQIEKMVKRNLKRTPKAAAQPSKRAIQRRTLMSMR >LPERR04G12560.1 pep chromosome:Lperr_V1.4:4:13529236:13531548:1 gene:LPERR04G12560 transcript:LPERR04G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQHVNEPWPASGGGERLASAYDLVEQMHYLYVRVVRARGLPATASNVYVEARLGNYRGATPARHYHERKAATATTTPEWNQVFAFSREPVQATALEVFVREEKGDAAVGKIAFDVAEAPVRVPPDSPLAPQWYRLDGGRGGSGEVMLAVWVGTQADEAFADAWHADAASTQSTRSKVYVTPKLWYLRITVLEAQDVAVAGAGDKAGRHNGGEAFVVKVQVGGMMLRTKPCSPTTMAWNEEMVFVVAEPFDEPAVLVIESRSPPPPPPRLPGNGKDKIVARAVVPLTLFEKRLDRRPPPSQWFSLEPFGHRLATAATGRVHIRACLDGAYHVMDEPAMYASDTRPTARQLWRPPIGVLEVGVLGAQGLPPMKTADVTTTDAYCVAKYGHKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNRHLGHSTTAAAAKDERIGKVRIRLSTLEMDRVYTNWHSLAVLHPSTGTLRRNGEIRLAVRLTCLSLASVVRLYAEPILPRAHYAQPIAAAADALRRQAMSIVASRLGRAEPPLRREVVEYMLDAGSHLWSIRKSKANFFRVTALLAGGAGAARWLAGVCNWRSPATTILVHVLLVTFVCFPELILPTAFLYVSAIGVWNYRRRPRRPAQQADARLSCAEATGADELDEELDTFPTSRGNGVVRVRYDRLRTVAGRIQTVVGDVATQGERVRSLLAWRDPRATAVFTALCLVAAVVVYVTPFRVVALVAGLYLLRHPRFRSRMPSAAGNFFKRLPSRADTML >LPERR04G12570.1 pep chromosome:Lperr_V1.4:4:13532287:13534264:-1 gene:LPERR04G12570 transcript:LPERR04G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKKASRSHTYHRRGLWAIKAKNGGAFPKAEKPAAAAAPKFYPADDVKPRQPSTRKPNPTKLRSSITPGTVVILLAGRFMGKRVVVLKQLKSGLLLVSGPFKINGVPVRRVNQAYVIATSTKVDISGVNVEKFDDKYFSRDKKKKAKKTEGELFETEKEATKDLPQFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >LPERR04G12580.1 pep chromosome:Lperr_V1.4:4:13535838:13536312:-1 gene:LPERR04G12580 transcript:LPERR04G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVKPSCCCCCVVAVLAVAVFLAMPPPTAASSSSQTAAELQRPETTATMYTAPELLEKQDVTKGAEEDVATTGFGAEEEREVPTGPDPIHHHGRGPRRQSP >LPERR04G12590.1 pep chromosome:Lperr_V1.4:4:13537241:13545053:-1 gene:LPERR04G12590 transcript:LPERR04G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSMHDNFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYCNVTLFEKYHTVSGMCESIDIEGRTYDLGGQVIAANSAPVITHLAKELGSDFEEMDTHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTLEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSKSLPFDVFCDTEVLNVKRNSYGANVIIKNNNGEKQVMEFDKIILSGALAFKNGRTYRSYSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFGEFMEDPTTIGRPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDVVSSMGGDVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSITAVCKHFAIDDEREMTPYVKRLFPLSHNRNPSPPRDLGELEGVEFPELPSLDGYLQYWGTHKVTEKNVIYTWINEEGKIMNRRTYQELHGNASHIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCLRAGVIPVPVLPPDPMQSGGQALLKVENISKLCNAVAILSTSSYHAAIRAGYIKNIVTLAKRAQKCSAKWPDIPWIHTDSWIKNYRRSPDTFNSDSILFTTTKPQPSDLCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELIIRRLEAEKNKEYDLSSMIFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVACAFGECKPVLIDWQGRVCCGYVEKDDTDTLIRIVDPDSMTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQKTFCNHLKNSPNNKFTRTGDLGRIIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISTPDSSDQVGLVVIAEVREGKAVSEEVVDSIRARVAEEHGVTVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLANGNHISKKKGLFRSLTTGTGMEVKRPILRQTADHTISPRPKSQVKNSKEITEFLTQIVSEQTGIPKDKISPTDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELANFLENLVHKSQPQLAPWPKSKVKNSKEIIEFLTQIVSDQTGISKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLGAPVGAIDIFTASCISELATFLENLAHKSQSQLAPDASCFMEDETQVVPMDAISAEFSVLATGILQVLALTYVCFMLLLPAYLASSTYMGIFSTVSLVKSPLPSYLSSLVMAPIAWIFYILLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFRMQGARIGSSVVIDTVDITDPSLLRVADGAVLAEGVLVQGHEVCNEVLSFRHIRIGREASISPYAVLQKGTVVEDGAVVPPLQKTEAGKSHRTSTTSLSVKEEATKADMILQHLISIYAVGILGALSGAIVYTLYTHFSGKSASPQHFSFACIAGAFHWLPAAITAYVVIVQETPTSAISFALSIAFAYLSYGVILSILTSISIRALAAKPRTKQNTMASLIHRRITTAAHVRFAKLLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISIGDGVHLGDFCNIVPGFYSKGGLFTAAEIKVEENTVVGSGSLLLPGSVLQENVILGALSVAPENAVLRRGGVYVGAQSLTMVKNTLIEEDERIEGMDQGYKKIVANLAANLAITTMNVKSRYFHRIGVSGRGVLRMYDEIPSLPWRRHKIFAAGKSFPVIVRHSNSLSADDDARLDARGAALRILSDEDDDVPLLDLTLKSGRAFYARTIADFATWLVCGLPAREEHVRRSPHIRDAVWGSLRNTDSYAVLHYYSNICRLLRFDDGDTKEMYVKFKLRPADPDVPEESGEVSPRGVLPPETGAIPRDEGDTRPLLFLADDFRRRVESPGGVRYVLQLQVREVPADDGARDVALDCTRPWDEAVFPYVDVGEVVVDRNVLAEETERLEFNPFLRCPEVDVVPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRALLEQSDTKIDLSCCPVAAAAAKPNADDAVATKATVTLARTWYQALWATLCQPLLQTLAPYATLGLVIFLPLRILLAVAAATGAPPLYCLLPAFWAASGVSAMATCAAAKWALVGVRRDGDTAHIWSPAVFLDTVWQALRTATGEYFAELTPGSAPFAAWMRAMGAELPAADGGDGGGVYVDSMGALLNPEMVRLERGASVGRDALLFGHVYEGDAGKVRFGGVRVGEDGFVGSRAVAMPGVTVDDGGCLAALGLAMKGETVRHGM >LPERR04G12600.1 pep chromosome:Lperr_V1.4:4:13553230:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGVNREGIKYYNNLINELLLKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGCLNMAEGYDNKFLSRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTATLHMAVEGAVMMTGGLLLLMVVAGVAYNDAGELPPISRRSFPKGFIFGTSSSSYQFEGAVTEGGRGPSIWDTFTHQYPDKIADKSNGDVADNTYHLYKEDVHMMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTIFHYDTPQALEDKYKGFLSPNIINDYKDYAEICFREFGDRVKHWITFNEPWIFCSFGYASGLTAPGRCSHWEVGKCSVGDSGREPYTACHNLLLAHAETVQLYKEKYQFTKEALQKGKIGIIVDSQWYVPLSQSKSNKDAARRVLDFVLGWFMDPLVRGDYPLNMRELVGNRLPQFSKEQSELVKGAFDFIGLNYYSSSYAFNVPPSYGLDKSYNTDFHVEAASPWLYVYPQGLRELLLYIKENYGNPTMYITENGVDEGNNKTIPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSSGYTVRFGIHFVDFDDGMKRYPKNSAHWFKKFLRK >LPERR04G12600.2 pep chromosome:Lperr_V1.4:4:13553230:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGVNREGIKYYNNLINELLLKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGCLNMAEGYDNKFLSRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKGSNHLNDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTATLHMAVEGAVMMTGGLLLLMVVAGVAYNDAGELPPISRRSFPKGFIFGTSSSSYQFEGAVTEGGRGPSIWDTFTHQYPDKIADKSNGDVADNTYHLYKEDVHMMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTIFHYDTPQALEDKYKGFLSPNIINDYKDYAEICFREFGDRVKHWITFNEPWIFCSFGYASGLTAPGRCSHWEVGKCSVGDSGREPYTACHNLLLAHAETVQLYKEKYQFTKEALQKGKIGIIVDSQWYVPLSQSKSNKDAARRVLDFVLGWFMDPLVRGDYPLNMRELVGNRLPQFSKEQSELVKGAFDFIGLNYYSSSYAFNVPPSYGLDKSYNTDFHVEAASPWLYVYPQGLRELLLYIKENYGNPTMYITENGVDEGNNKTIPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSSGYTVRFGIHFVDFDDGMKRYPKNSAHWFKKFLRK >LPERR04G12600.3 pep chromosome:Lperr_V1.4:4:13575112:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGVNREGIKYYNNLINELLLKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGCLNMAEGYDNKFLSRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETVRLYKEKYQFTEEAVKKWHFIRNNDLNRRSANWALGVEFVSSQYSALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTVRFGMTFVDYNDGMKRYPKNSAQWFKKFLLK >LPERR04G12600.4 pep chromosome:Lperr_V1.4:4:13575112:13610299:-1 gene:LPERR04G12600 transcript:LPERR04G12600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAMVMLGGLVLPFLIAVASGEPPISRKSFPEGFIFGTASSAYQIADKSNGDVAADSYHLYKEDVRIMNDMGMDVYRFSISWTRILPNGSLSGGVNREGIKYYNNLVNELLLKGVQPFVTLFHWDSPQALEDKYNGFLSANIINDYKDYAEICFKEFGDRVKHWITFNEPLSFCVVGYASGSFAPGRCSPWEQGNCSVGDSGREPYTACHHQLLAHAETVRLYKERYQVLQKGKIGITLVSNWFVPFSPSKSNIDAARRALDFMLGWFMDPLIRGDYPLSMRELVGNRLPQFTKEQSELIKGAFDFIGLNYYTLLCRLPPSNGLNNSYNTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETVRLYKEKYQFTEEAVKKWHFIRNNDLNRRSANWALGVEFVSSQYSALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTVRFGMTFVDYNDGMKRYPKNSAQWFKKFLLK >LPERR04G12600.5 pep chromosome:Lperr_V1.4:4:13575112:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGVNREGIKYYNNLINELLLKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGCLNMAEGYDNKFLSRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTVRFGMTFVDYNDGMKRYPKNSAQWFKKFLLK >LPERR04G12600.6 pep chromosome:Lperr_V1.4:4:13575112:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKMEVSQVESTEKESRVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGWYLDPLIRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETVRLYKEKYQFTEEAVKKWHFIRNNDLNRRSANWALGVEFVSSQYSALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTVRFGMTFVDYNDGMKRYPKNSAQWFKKFLLK >LPERR04G12600.7 pep chromosome:Lperr_V1.4:4:13575112:13600782:-1 gene:LPERR04G12600 transcript:LPERR04G12600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALLLLPGGLLLLLAAAVASGNAYNGAGEPPPISRRSFPEGFIFGTASSAYQYEGGAAEEMIADRSNGDVASDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGVNREGIKYYNNLINELLLKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQDLQKGKIGITLVSHWFVPYTRSNSNDYAARRALDFMLGWYLDPLIRGDYPLSMRQLVGNRLPRFTKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNNSYTTDARANLTGVDEFNNKTLPLQEALKDDTRIDYYHKHLLALLSAIRDGANIKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAYWFKKFLQNLDMDRVTLPMAAAGIVAFLLLALATVVASDVYNCAGEPRISRRSFPKGFIFGAASSAYQYEGGAAEDKIDDRSNGDVASDSYHLYKEDVRIMRDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDRNDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSRGYASGRFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHVETVRLYKEKYQALQKGKIGITLVSHWFVPYSRTKSNDYAARRALDFMLGWCLDPLIRGDYPLSMRQLVGDRLPRFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDARANLTGVRNGIPIGPQAASPWLYIYPQGFRELLLYVKQNYGNPTIYITENGVDEFNNQTLPLQEALKDDIRIEYHHKHLLSLLSAIRDGANVKGYFAWSLIDNFEWANGYTVRFGINFVDYNDGRKRYPKNSAQWFKEFLLKPHFPLPMAAVGAVVMSGGLLFLLVVACIAYNDESVLTPISRRDFPKGFIFGTSSSSYQFEGAVSEGGRGPSIWDAFTHQYPDRIADISNGDVADDTYHLYKDDVRIMKEMGMDAYRFSISWSRIFPNGSLNGGVNREGINYYNGLINELLLKGLQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWTFCVMGYAFGIYAPGRCSPWEKENCRVGDSGREPYTACHHLILAHAETVRLYKEKYQFTEEAVKKWHFIRNNDLNRRSANWALGVEFVSSQYSALQKGKIGITVNSDWYVPMSKSKSSNDAAIRALDFMLGWFMDPLITGHYPLSMRELVGDRLPEFNKAQSEMVKGAFDFIGLNYYSSNYADNVPPSYGLNNSYNTDSQTKITDSQNGTLIGPQAASPWLHVYPEGFHELLLYIKDNYGDPTIYITENGVDEVNNKTMPLKEALKDDARIEYYHKHLLALLRAMRDGANVKGYFAWSLLDNFEWSAGYTVRFGMTFVDYNDGMKRYPKNSAQWFKKFLLK >LPERR04G12610.1 pep chromosome:Lperr_V1.4:4:13574563:13582300:1 gene:LPERR04G12610 transcript:LPERR04G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQICSARLASLVWSAPRRRTSYRCDGRPIGHHEMATDTAAASERSLASTLHQPERKSLDSRLITATVTYLGTDVMVLGSAIAAGNFFRLSQGKKVLVVVFYPDVILQGFLEW >LPERR04G12610.2 pep chromosome:Lperr_V1.4:4:13574563:13582300:1 gene:LPERR04G12610 transcript:LPERR04G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQICSARLASLVWSAPRRRTSYRCDGRPIGHHEMATDTAAASERSLASTLHQPERKSLDSRLITGFAHAVELNHGVVLKSILQLINNNGRYQQNRKQSIAGKKVLVVVFYPDVILQGFLEW >LPERR04G12620.1 pep chromosome:Lperr_V1.4:4:13612589:13612878:1 gene:LPERR04G12620 transcript:LPERR04G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPENTLLDDNFIAKISDFDLAKLLKAEQTQTSTGIRGTHELLRSRVVQEYGYLKRNVDLKTSNEDKVILTYGHTVGPAPS >LPERR04G12630.1 pep chromosome:Lperr_V1.4:4:13613279:13613714:1 gene:LPERR04G12630 transcript:LPERR04G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVESFITVALWCIQDKPTMRPTMLKVNRMLDGAIEAPQPPLNTPAFIVTALRCHKLINDKQQAAFFQNSL >LPERR04G12640.1 pep chromosome:Lperr_V1.4:4:13618672:13621083:1 gene:LPERR04G12640 transcript:LPERR04G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFTLLILLLMFIIAPSKAQRNITLGSFLTTQGVNTSWISPSGDFAFGFQLIDANNSYLLAVWFDKTVDKTLAWYAKTNTQIPELVVVPSGSRLQLSSNGLSLLDPGGHELWNAQVTGAAYANMLDTGNFVLAGKDGSTKWGTFDSPADTILPTQGLVTGVQLYSRLTHTDYSNGRFILQVKDGSLEFDLVAVPSGNQYATYWSTKTDGNGSQLLFNATGRVYFTLKDRTEINITSAIMGSMVDYYQRATLDPDGVFRQYVYPKKEAVRGWNNIGWTTVDLVPRNICESITSQDGSGVCGFNSFCAFNWNLNETVDCRCPPRYSFIDQAQKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEAFTSVGMDDCKKLCLTDCFCAVVVFNNGNCWKKKLPMSNGRMDSSVDRTLYLKVPKNNNSQSQLNSNSIKWKKEKKYWILGSSLLLGSFFLVCILLISLIVFGNYFATESKKTDLSKQSSSTGGLPLKSFTYEELREATGGFCEEIGRGGSGVVYKGQLQDQVGTHVAVKKIDRVLPDIEKEFAVEIQTIGWTFHKNLVRLLGFCNEGNERLLVYEFMPNGSLTGFLFDTIWPSWYLRVQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDANLTAKISDFGLAKLLQMDQTQTNTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIVCCRRNVEKDVTNDDRAILTDWANDCYRSGRIDLLVEGDQEASFDIKRVERFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >LPERR04G12650.1 pep chromosome:Lperr_V1.4:4:13622689:13623786:1 gene:LPERR04G12650 transcript:LPERR04G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACFHGGGGGRIRSICIIRELTKNMKAMSLKVKMAGNHGRARRRRRGRRPEDNDEDEEEMENQGKDIATAPSASAKIAPAQPQESDGHEEATTSGCGGNGGDRSKDEQGRKSQQHRDKCDGEELSPGHQTKAACAESDREWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGHREAQRWWEEHYDAIVELYSVVQPEPESSHDGDDDDDTDSVPATPCQSEDDDHGHRRGNPQGSDSASNFSGPSSGSGSGSGGRSASTVGSPILGLVTESGGGGARANQTEHSPT >LPERR04G12660.1 pep chromosome:Lperr_V1.4:4:13623825:13626841:-1 gene:LPERR04G12660 transcript:LPERR04G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPEHRTHLAGAGGGADPYPDPRLPLSVAPLAALPRVPRAVPAPGLHLPAVPLPRRRRRYPPPVGARLHVPPARGQALPPAVVVAVPVPLPAPTADRALRRHRAGAGAVGRGGAPGLRAPSAGAQGSHEVAAAALRAGGCAHHRSQRRHRRGGHPRAVLGPA >LPERR04G12670.1 pep chromosome:Lperr_V1.4:4:13627899:13628543:1 gene:LPERR04G12670 transcript:LPERR04G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRANLPSGYRFNPTDRQIIGCYLIPMALHGEDSLTQKGDVVEGVDVFATRPDAIPFDPRHVLGRSVVRAYFFGDHPTDSRGREVPGGGAWLPCGGGGGEKAYSGGDGDETVAYRLKYEFRTAEEEETGGRRRGPRWRMKEFRLNKGAAAFRRAHARPNPKANLDCVVRVVYTKVDEDELEEEDDDYEPMDYEFEFEDFDDDQIVAEAAAEAH >LPERR04G12680.1 pep chromosome:Lperr_V1.4:4:13629790:13631429:-1 gene:LPERR04G12680 transcript:LPERR04G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRADPSDAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPRLLLYVDLITVLASKGLRTEVDKVCSYLKREQLEPDTEGFNILLKALLDAEFTQLTMDCFRLMKLWDSDPDRVTYRTLVKGLESLGGIDLSSDIKLEAQNDYGDLDFLDEEEMIDTLDEKSIWRGSSLIMTNRRVQNSS >LPERR04G12690.1 pep chromosome:Lperr_V1.4:4:13632712:13633807:-1 gene:LPERR04G12690 transcript:LPERR04G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPVIDLGRAGAEEEEETAARLRDACVRLGCFRVTGHGVPPALQAEMKGAVRALFGLPDDAKRRNADIIAGSGYVAPCPANPLYEAFGLLDAASPSDVDAFCSRLDAPPHVRETVKAYAERMHKLIVDIAGKVAASLGLHDGGVTFQDWPCQFRMNRYNYTEESVGSSGVQIHTDSGFLTVLQEDECVGGLEVLYPAAGEFVPVDPLPGSFVVNIGDVGTAWSNGRLHNVKHRVQCVAAVPRISVAMFLLAPKDDTVIAPGELVDAEHPRRFREFKYDDYRKLRLSTGERAGEALARLAV >LPERR04G12700.1 pep chromosome:Lperr_V1.4:4:13638416:13642839:1 gene:LPERR04G12700 transcript:LPERR04G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRAARLGSAAAMVDAGLMCWEEGRRDEAVEYYHKAAELGHPVGMCNLGVSYLEADPPKVEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNIRAMYNISLCYSYGEGFAQDHVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLPLVNAQRALSDADRWRPRALYTRR >LPERR04G12710.1 pep chromosome:Lperr_V1.4:4:13641207:13647564:-1 gene:LPERR04G12710 transcript:LPERR04G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEKAPVVCCGGGAAAARRDAPGSSPRGAIVAAAAGAAGKVSMSAADERVAAAVVGGGGAVMEEIAAAVQPTTAKVSSKGIPIMTRAQRSHPLDPLSAAEIAVAVATVRAAGKSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAAARGGHHRGKVIASEVVPDVQPAMDAMEYAECEATVKGYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGDADAPSRRLAKPLIFCRTDSDSPMENGYARPVEGIHVVVDMQNNVVIEFEDRKLVPLPPSDHLRNYTSGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIESEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNETHNQVVEVNVKVESAGTHNVHNNSFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYKLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPAASDADIKEAESPKAIQNGLISKL >LPERR04G12720.1 pep chromosome:Lperr_V1.4:4:13653406:13656911:1 gene:LPERR04G12720 transcript:LPERR04G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCGDLAAPPRVLVAPRPSGANCQGNVLSLRHPKSDEETGYLFIDGQLHEFNWFKERFGSWFLGDYVCEDGSLYYCTLVDPVFILLPILKAARMSNGKDPGKFRQMDEILYVEGYPGYQHLMGIAGNHIELVCEVKEVANVKFFRLDDSKVLSWLCCKAHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLLCRKLQLDVKEIIDMNKTSEASFCAESSPVPFRPSEEKPGNSSTKSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >LPERR04G12730.1 pep chromosome:Lperr_V1.4:4:13660471:13660941:1 gene:LPERR04G12730 transcript:LPERR04G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDPDAMEYVLSVMKEAKEKVRKFEESRKDKRPGDSPVSTGKKSGKSSRLSKDDGDNVLLGSTSATTPVGTVAVASSTPMQAAPTMMAIAPASATMPQGMFLVPMHPHPMVFPPFTPAVPPVVAPAAPPPAPAANSVDVASSSTKKRKKRKGNR >LPERR04G12740.1 pep chromosome:Lperr_V1.4:4:13667804:13667998:1 gene:LPERR04G12740 transcript:LPERR04G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLSSLTRRYIHLKASPLHLLQTHKLRPPQEFCHPPHRRDGDLGRRHCGVGTSANGVMTRQK >LPERR04G12750.1 pep chromosome:Lperr_V1.4:4:13669167:13671370:1 gene:LPERR04G12750 transcript:LPERR04G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDLMDPQRNYVLNHSRDDPFVMQQHQSDPFIYLPGPMRGVNMQARVLFEYDVRIKLESHHQEDRYLPLIDEVAIFSEKTCIDEPAAYRIRGGGGGAVDITWALLTRAVEATVEVWIQQLSAVAQHGDGDGGLDLSVSGFVSKIAGQEIKLFRGVVDKPCALNRFVVAVSLDSDLILHIKAHAAAGAGSSDHMGEFVFRTRSTAHGSASDRRNFDFATVEVKVTWSALYP >LPERR04G12760.1 pep chromosome:Lperr_V1.4:4:13674858:13681030:1 gene:LPERR04G12760 transcript:LPERR04G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLYVVEARGLPEHGGGGLYYVRAKAGRQRARTREVEAREEEAAAAAEWNEELVLEVDVGEAVEVGVRRRGGKGEVVGRVKLPVPAAAPAAGRRTTVPPTWLTLQPKHRLRRRKSTGGASDAADCGKILLTYSLHGENSDNTVIHSSPCSSSRSDTDVEFERTMNWEHSSSNSGTVDSPGSFAIEQSSLENSDRSAQENSDFNSEDDDLIEPSAATAKGTSDIERMVPDASFEELLEIMKSRSTPDMPEDLGGGVMFDHTYLVDSKNLNSLVFGPDSQFSKELRELQGTTDYEEQSWTWNNKNPPGLTRTCQYTKGATKFMKAVKTIEEQTYLKADGKNFVIMTRVRTPEVPFGNCFEVVLLYKIIHYPESSSGEEMTHLTVSYNVEFLQSTMMKSMIEGSVRDGLKENFETYAEILSRHVKIADSAGMDKEQLLAPLQTDHPSDVKLAYKYFCNFTVISAVIMAFYVLVHILLSRPGPLMGLEFNGLDLPDTFGELITAGILVLQLERLLSMISRFVEARVQRGSDHGVKANGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDIEVFNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKLHVQSPHRNSTFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEEIEVLPPSFTTVGTPSLLFILKSGRGLDAKHGAKSQDKEGRLKFQFHSFASFSKANRTITGLWKTKSSAIEHRAKLEEDQEDENYVDLSDIQSVLSIGDVPLSKEYTLELPVDADLLMGVFDGGPLEAKTMAKVGCLDYAATPWEHARPGVLERHASYKFNRYMSIFGGEVVSTQLRLPSDDAAADGWTLYDVITLRNVPFGDFLRVHLRNNIRRVEAEAEVVGRGSRCEILVGIEWVKRSKFQKRIARNICEKLAHRAKEVLEAAANEIAPAVSG >LPERR04G12770.1 pep chromosome:Lperr_V1.4:4:13682889:13686077:1 gene:LPERR04G12770 transcript:LPERR04G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQSHPILSYVLARLPTLAKLRPPAAAGEFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAAEAGDGDGGSRIPEADLEACRAVVRLEETHDAYEALLQEAEGRLEAVYRSAMQGKDLEESESDGRGESAAAGGAEAGDAAVQEEVIAVLRQAEEGMAVESVRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALIFLPDSIGLLSNLKILNVASNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNMRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSNLEILNLSSNFSDLKELPATLGDLLNLRELDLSNNQIHALPDSFGRLDKLQKLNLEQNPLVMPPMEIVKEGVDAVKEYMLKRFLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >LPERR04G12780.1 pep chromosome:Lperr_V1.4:4:13689655:13692575:1 gene:LPERR04G12780 transcript:LPERR04G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKLGSRPDTFFSSGPVRSVNTEVATDMQILVDGCLFRLHKFPMLSKCMWLQALCVESGDGGAAGVVELPDFPGGAEAFEACAKFCYGVTVTIGPRNVVAVRCAAARLGMTEAADRGNLAAKLDAFLSSCLLRRWSDALSVLRSTRRLAALSDELGLTSRCVDAVASLIVGAAVPATSSSSPWWASDVSELGIDLYCRVMVAVKAAGTVRGKAIGDALKAYTRRWLPIAAKNQHVAPENHRLLVEKMVSLLPEEREAVSCGFLLKLLKAANILGASPATKAELTRRVASRLEDVADVGDLLIPAPGASGATAPYDVDAVVAILEEFAPRGGGHRRSRSAESGRELEAAAVSRGAMVRVGRLVDGFLTVIAKDARTPLDEMLAVAEAVPNCARPEHDDLYRAIDTYLRVHPEMDKSARKKLCRVLNCRRLSEKASMHAAQNELLPLRVVVQVLFFENARAAGNRVAAGSPDDGDIRTTAGKDEDRPRGDGLAAGRGVAVAEGNEDWSAEGLRRAAASRVATLRMRLDEEEDDDEDAGGEAFVHRARPGLTRSASSRFAAVGKSKRMLSRLWPMSRTFT >LPERR04G12790.1 pep chromosome:Lperr_V1.4:4:13693719:13694228:-1 gene:LPERR04G12790 transcript:LPERR04G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVADVEKQQPLLPATAADEKPSGRDGDRKSCSCTTASPTATRALALVVLASGAAFAAQLAARGEYVLLAVLASQAVSFCVFTSLLALCALPAPEEGNKPGRRVARPWARARLLLLWPLAMALPVSMACWAAESAPAAVGLALLALAMAAVLACYAEVVRSLWPGPGQ >LPERR04G12800.1 pep chromosome:Lperr_V1.4:4:13704115:13709922:-1 gene:LPERR04G12800 transcript:LPERR04G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFCGLMRFEGNLPLEGTKLLRDVVTGFLLVMVSLAASTEGFSFQIAPFRTWIIFGGEVIATKIRNATQLLSCKLGELVPEAWGECPNCKVPIDNSNVNLQWPELPAGVKFDPTDPEILEHLERKINMGNPGPPSLIDHFIPTIKEVEGICYTHPENLPGIKMDGSSSYFFHRISNAYGCGKRKRRKIRCNNHTADDENIRWHKTGRSKEIHENNGVKKGLKKILVLYKGSRQDKIEQANWVIHQYSLGLEDEKDGELVVSKVFYQLSSKQTCTPEMDSVTEEASDALTIKSDPITTITNPPQPRRPMNSPCDTEQNGTISHDQEEGECGTSILRPKVEPENLPGCSGTASTDEDSLKLEALLQWCSDLPGDPVAPLEEPLPFPGMDAFSAMSPDLGFSLALISDLQNFVYVGLRISTRVAVLQSISVSLHVDPAFCLSSRLGKDDPNSKPSPNSVVETSRKPFPSMAPPTSTAAAVAAAARAAPTSAAALSLFKSALSADAVLSPLAVLPHLTGGDAPSSLPSLLLAATAAARPHATSLRLYARIKSLSLPISAASLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCFSGKPSRALQLLRQMPRPNAVTYNTVIAGFCSRGRVQAAMDIMREMRERGGIAPNQYTYGTVISGWCKVGRVDEAAKVFDEMLTKGEVKPEAVMYNALIGGYCDQGKLDTAFLYCHKMLERGVAMTVATYNLLVHALFMDGRGTEAYELVEEMGGKGLAPDVFTYNILINGHCREGNVKKALEVFETMSRKGVRATGVTYTSLIYALSKKGQVQETDKLFDEAVKRGIRPDLVLYNALINSHSSSGDIDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRVDEARKLIDDMTKRGIKPDLVTYNTLIRGYSMKGDVKDALRIRNEMMDKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEVLTTEDERAIEDEQLAPEDATKA >LPERR04G12810.1 pep chromosome:Lperr_V1.4:4:13713670:13715962:-1 gene:LPERR04G12810 transcript:LPERR04G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGPYGHKKTDGICDGVCGEPASKAVLTMSRLKCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKIIPHYYHENVTMEKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYISEFVLLESNSTFTGLKKPLHFKENRNRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDFPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIKFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLQNVDRYRYLLPGYCRRESG >LPERR04G12820.1 pep chromosome:Lperr_V1.4:4:13724364:13725616:-1 gene:LPERR04G12820 transcript:LPERR04G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMPLAVPSRVPTQGYLPQFAPRRGETYKPSNAGVPDPPRTQPSLQIGRRLTTSSEQQPRLHLVT >LPERR04G12830.1 pep chromosome:Lperr_V1.4:4:13726429:13731680:1 gene:LPERR04G12830 transcript:LPERR04G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFPAPPPPDAASAVTAAAPSLVPTVGASAGATVSCSPQPPTTPPPAADDRILVSVEVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLANVKRIQICDTDEWIENHKVLLFWQVKPVVHVYQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWQSSIGDLISLCSLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRYSMCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTYTQGGDSSCILSYFSLKEKQHCPEAEPRGSIHLSSLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASTFLHTLIQTAQRELSESRG >LPERR04G12840.1 pep chromosome:Lperr_V1.4:4:13732122:13733944:-1 gene:LPERR04G12840 transcript:LPERR04G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGSFPASSLSGRQPLDRTAATLEILEDKLAVQTTEAEKLIRENQRLATSHVVLRQDIVETEKEMQLIRAHLGEVQTETDVQMRDLVERIRLMEADIRAGDAVKRELHQVHMEATKLAAERQMLTVEVDKVSKELHKLSGGGKKLPDLLAELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMSKEADKLRIDLANAQNRAQAAAAAQAVAAQAGVAHVTASQPGASQAAAVPAATNQYANAFASHPSAYQQAATQAGVYQQASTQAGAYQQASTQAGAYAYPTAYDAATAYQMQAAQANAYARYAGYPVAGYTQAAMPGYPGAYAAAPQHPISSGVATDVASMFSVISSAGYSAGVVQPSSGATNAGQAPATYAGSYDPTRAGQR >LPERR04G12850.1 pep chromosome:Lperr_V1.4:4:13737602:13740981:-1 gene:LPERR04G12850 transcript:LPERR04G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSSPPAALGGGVARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >LPERR04G12860.1 pep chromosome:Lperr_V1.4:4:13742600:13743572:-1 gene:LPERR04G12860 transcript:LPERR04G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAWNLVRATSHAAAAVRTGANPGAVRFATAVGSHDGSGAVNHPPPTRQPFVGNDASSEGGALFNPGIRSDI >LPERR04G12860.2 pep chromosome:Lperr_V1.4:4:13742598:13743572:-1 gene:LPERR04G12860 transcript:LPERR04G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAWNLVRATSHAAAAVRTGANPGAVRFATAVGSHDGSGAVNHPPPTRQPFVGNDASSEGGALFNPGIRSDI >LPERR04G12870.1 pep chromosome:Lperr_V1.4:4:13746947:13747765:-1 gene:LPERR04G12870 transcript:LPERR04G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAADAVKLSISGAALAALLGCCGSAEGDCDGLLFGRAACPPAPPPSFYDDDNQARASSGPSLTIAVSGHASAARPSSLADALGRYRSSSSSAASAVGFFSSRRRTPLRPSMREAALARSLSKALAVSHPLVFILVSPSVSPALSVHSYDYRAYLLVDSSRRLVPASLHVVNAGAGSREEYLAFAPESPLPSMPQQPVKESYSIGEQKALDRVVEGFGMERMGAMLTSAAGVTSEMEGMYGGMLTKLEGLARQVEESNERVLDQVIVGS >LPERR04G12880.1 pep chromosome:Lperr_V1.4:4:13749115:13751149:-1 gene:LPERR04G12880 transcript:LPERR04G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHFRDVSLVLPTHGHHPPDEADAPRSSALIDLKAYISDHSNATTASCCMRNGLEVQVTLCTVPPPNVSYYCVWCPGKHPTEIATEPTILAAEADLLVLSVARGFEGDVLDLKKNDFFIYQAGGAGRQPSMRLLGPLDPYFRSLYNVGLLRHDVAHTNGNEDQFYLVTLHWTVVFWEFKLYVFNSRTGSWSSRTVSLGREHHHTQFTLYPTKAIALGNGGLMAFVDFWRGIIVCDVFVCDVVDCDASLRLIPLPQSLRSRRILDIRAEIVRIKVADCFQFKCQPDISGSCTKASVRKVSVWSRMVTWEDDWHRDYILSVPDILVDEDTLHLDLLPELKKDDATGRKTLQGLHITRPALSVNNDDMIYLMAKVRTGDKKAWVLAVDMRNKKLKDVGVFRAERTREYPHKKKAGPEMDDTICVPAGSDAGTSMDDEDIDNMDVD >LPERR04G12890.1 pep chromosome:Lperr_V1.4:4:13759101:13764680:1 gene:LPERR04G12890 transcript:LPERR04G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGVGAWALDAERAEEEEREQAVSFPAPDPPAAAGGAASFPSLREAAATAGGGKQKKKNKGTTLSLSEFSGYGAQGQRRGAAAPLEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGAGGGDRRGGGFDDDGRRGPGRSSDLDMPSRADEADNWGTNKRFTPALSDTGRRDRFGGPFPAGRSDDIDDWSRDKKALPSRYPSLGSGGGFRDSPGGFRDSPGGFRDSPGGFRDSPGPSDSDRWVRGGGSFTPMPSNGERERPRLNLDPPKRDPAATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMENEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRPRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPESNEERILKEEINLLKEKLKETEGDKTDGSDQASPEDAKDLSEKITEMEKQLELLTIELDDKVRFGQRPGSGAGRVSTVPPAIAEEPQITASIVDRPRSRGGMESFPKPAEERWGFQGVHNLYIYLVDLICFCIKGFYPALLESLTRPMTRQRW >LPERR04G12900.1 pep chromosome:Lperr_V1.4:4:13763457:13764392:-1 gene:LPERR04G12900 transcript:LPERR04G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARDFRVVLCTLLLVLGACHVTPAAAAGVRLSALSKTLVVEASPRAGQVLHAGEDTITVTWSLNTTAAAAAGADAGYKSVKLTLCYAPASQVGRGWRKAHDELSNDKACQHTIAERPYAAAGDGTFVYGVARDVPTASYYVRAYALDASGTQVAYGETAPEEYSFAVAGISGVTAPVRVAAGVLSACSVAALAVFLVLENRKKNK >LPERR04G12910.1 pep chromosome:Lperr_V1.4:4:13767034:13771553:1 gene:LPERR04G12910 transcript:LPERR04G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPICGLCSEECSNGSVQTLKDGLKVCSKCSANDDNKGEAKHPDDKKEQNDNRSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHILGTVNSKLDNRLHKVQTTDGKVNKSIVTESSSQPIETVDNMHIDGKEDDADKSNEEHPTKHRRLFSSIDSTVSLMEQLAVLTTSTSPDVVAAAADAAIKALSNENPHARKAFQLTEKEYQNRSFSSNHVRQRYCSDAINGGQDVEMHRQPDKMQEKMFISTAYQVRAAVATALGVAAGRAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYASVQQIKASLVDEWLKVLQRGFQSGVPIPRDEVLIKLFQNKPNL >LPERR04G12920.1 pep chromosome:Lperr_V1.4:4:13771984:13779142:1 gene:LPERR04G12920 transcript:LPERR04G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKASTAAAAAAAEAPDAGVSSPQGGGGGGEKEGSFLLGPPTWVDAGGGRWRCAETGHELPEREKEAYGRSRACRLALIDHAVARKRPPLNAFKPHPEHKSKLICNITGDIVNKSEEHVWKHINGKRFLNKLEKLEEKMASGEMAEGENEQSNEVAKKTKSSKKKDKKKAAVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWGSLPVKDDAADDEDGDDDNDGMADKDDEETREIASRTKRLSVEAVGPSSFASRKKKPKKDHIHGFFLLLLVVRAPSLAAAAAAAVQCPAQQAAALLRLKRSFHHQDQQPPLLPSWRAGNATGCCHWEGVSCDDDAGGGGGGGVTALDLTGRGIHSPGDLDTGALSQLTSLRRLSLAGNDFGGAAFPATGLADRLTHLNLSGGGFAGQISAGVGGLRELVSLDLSNNGFNGSFPLGVFKLERLRVLDVSTNSDLAGVLPEFAGGISQLEVLDLSATSFSGRIPSSIGNLKRLKELDLSSSNGRFSGALPDTIGDLSSLSFLDLSSSGFQLGELPDSIGRMQSLSTLRLRECSISGEIPLSIVNLTRLRELDLSQNNLTGPITTIDRKGAFLNLEILQLCCNSLSGPVPGFLFSLPRLEFISLMLNSLADYSNNLFSSIPEELAARLNNSFFLSLANNSLHGGISPIICNARNLKLLDLSYNHFSGPVPSCLLDGHLTVLKLRNNKFEGTLPDDIKEGCVSQTIDLNGNQMEGKLPRSLTNCNDLEVFDVGNNSFADSFPSWIGELPKLRVLVLRSNKLFGAVGGTPVDNGDRNRTQFSSLQIIDLASNNFSGILPLGWFENLKAMMVTRKDDLRQALEDNLSGKFYRDTVVVTYKGATMTFSRILITFTAIDFSDNAFTGNIPESIGRLASLRGLNLSHNAFTGTIPSEFSGLAQLESLDLSLNQLDGEIPDVLISLTSIGWLNLSFNHLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIQCNSPNAGFGLDNESSEARTDTIVLYLAVGLGFGLGFSMAILFQCSGGIRSGF >LPERR04G12930.1 pep chromosome:Lperr_V1.4:4:13782489:13784145:-1 gene:LPERR04G12930 transcript:LPERR04G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQMPSMASLQAPEFVASCLLLLATILLFKLLLLAPPPKKKHAGAASPALSLPSPRGLPLIGNLHQVGALPHRSLAALAAAHAAPLMLLRLGSAPTLVVSTAAAARALFQENDDRALLGRPALYAATRLSYGLRNISFAPHDSAFWRAARRACGTELLGAPRVRGFRTAREREAAALVNAVAAVASGGSPVNLSDMVIATSTRILRRVAFGGVDCDGEIDMDVKAVLDETQNLLGGFWFADYFPWLRWLDSLRGMRRRLERLFHQLDALYDRVIDDHRSKRKDGSGENDDDLVDVLLRLHGDPAHRSTFGNSGHIKGILTDMFNGGSDTSAVTVEWAMTELVRNPDALAKAQREVRRVVTGENITMVREADLPELHYLRLVIRETLRLHPALPLLVPRETTEPCTVNNGAVKIPPGTRVLINAMAIHTDPGVWGPDADRFVPERHENGEGSDGFALVPFGIGRRSCPGVHFATAVVELLLANLLFGFDWGVREVDVAEVNGLAVRKKNPLVLVATKKGNSL >LPERR04G12940.1 pep chromosome:Lperr_V1.4:4:13785413:13787019:-1 gene:LPERR04G12940 transcript:LPERR04G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMASLQAPELVASCLLLFLATILLFKLLLLAPPSKKKHAAGTASPSPSLPSPRGLPLIGNLHQVGALPHRSLAALAAAHAAPLMLLRLGSAPTLVVSTAAAARAVFQGNDDRTLSGRPALYAATRLSYGLRSISFAPHDGAIVLRVAFGGGGGEIGMDVNAVLDETQHLLGGFWVADYVPWLRWMDAVRGMRRRLERRFRQLDALYDRVIDDHLNKNKVATDDETEHDNDDLVDVLLRLHDDPAHRSTFSSRSHIKGILTLVRNPDALAKAQHEVRRVVTGENITMVREADLPELHYLVIRETLRLHPPAPLLVPRETTEPCTANGHAIPPGTRVLINAMAIHTDPGVWGPDADRFVPERHENGDGGPGGSGDGFALVPFGIGRRSCPGVHFATAVVELLLANLLFGFDWRAPPGAREVDVAEVNGLAVRKKNPLVLVATKRGNSL >LPERR04G12950.1 pep chromosome:Lperr_V1.4:4:13789894:13794449:1 gene:LPERR04G12950 transcript:LPERR04G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTPLLLLLLLPLATAAAAFPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGVGEIAARVAAMGFNCVRLTWPTYLATNATLAGLPLRWSLELLGMRESIAGVRVNNPQLLDLPLINVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPDEWLNGLKKMATMFRNTKNVVGMSLRNELRGPFQNVSLWYSYMKQGAEAVHEKNPDVLVILSGLDFDNTLHFLDPNKIHLSFTGKLVFEQHWYGFSDGGNWESHNENDACGMVVGFIKDKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVMVKSSEALELGPCDESNAWNYSSTHELVVQHTGQCLQAKSVGDNAKLGTDCSKSSSKWQLISNSGMHVSTELTKNGTRVCLDATPDGIIKTNPCKCLTADPNCDPESQWFKIILSSKSIPSGDSILQLPSDGDWYPTSSS >LPERR04G12960.1 pep chromosome:Lperr_V1.4:4:13794834:13795503:-1 gene:LPERR04G12960 transcript:LPERR04G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAHVRRTQLKPMDTTSLAMAPTGCLPSPSASIGSRCDGQFTHASFTRFPPSSTIHRDTVDSVGNPAAAAGCQPWLMMAKITSHDAVARAKCSLMVATTKAGNVEWQEKLGDITVRLVTVQCCSEPEKTKDFSLHVGGRLVGGWSKNGYQNPCC >LPERR04G12970.1 pep chromosome:Lperr_V1.4:4:13795217:13798168:1 gene:LPERR04G12970 transcript:LPERR04G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHFALATASWLVILAIISHGWQPAAAAGFPTLSTVSRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGAIARDVVSMGFNCVRLTWATFMVTNASYSSLTVSQSFLRLNLTESLAAIRVNNPALVDLKLIDAFKAILLPCSMVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDTYFDPDVWVDGLTKMATMFAGATNVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPGVVVILSGLSFDNDLAFLNSRPVNLTFAGKLAFEVHWYGFSDGQAWRTGNPNEVCARVAASVSRRALYLLDKGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNETALNRIHALQRPFRGPGLAEASPYTVLFHPATGRCVVRGASLLQPLLQLGPCGETEAWAASSSQRRLALRDTPLLCLRADGAGRPARVGLSCGDDMARWSLVSDSRLHVAVNVSSSAGENGGGGGLLCLEVGEDGKSVVTNACRCLSADNSCDPESQWFKLVTSTRSVAAASTVLAQLTEKLRPWKIRSLR >LPERR04G12980.1 pep chromosome:Lperr_V1.4:4:13798801:13802605:-1 gene:LPERR04G12980 transcript:LPERR04G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRLTVSHSRWPPPRAPPTRASRGYNSRCRGLLAAQCCRPRPRPIISASSAVATDACPRSEAMARLERGSLVVGAGGGGRELLSGAPPNVALHPAAGAAAFLGSTATAPSSRHVFSLGTLARGWRWLALFRFKIWWMAPTTGEGAAGVPAETQMLLLESRSDAGDALYALMLPVLEGGFRASLQGSPENELQFCFESGDPDVQTSEAVDAVFINSGDNPFKLMKESIKILSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKAVNPVGIEEGLKRFAERLVDLTENDKFGGETCKNLGDHVKKIKGYYGVKYVYIWHALHGYWGGVLATSDAMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGVIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVTLTQKYQQALEESIARNFKGNNLICCMSHNTESIFSALKSAVARASEDFMPQEPTMQTLHIATVTFNSLLLGEIFIPDWDMFHSKHESAEFHGVARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKYAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGSGNWTWPVKENTHIPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSCSLSRLQKHQNLEVSLSTMTCEIYTISPIKVFGGFVQFAPLGLVNMYNSGGALEGVISITDSSATTIQIKCRGPGRFGAYSATRPDICRVDEHEVEFRHTEDGFLAFELSNGSSQNLRNIEILYKAS >LPERR04G12990.1 pep chromosome:Lperr_V1.4:4:13802634:13803026:-1 gene:LPERR04G12990 transcript:LPERR04G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCRGNKDDRGGHWRSGGAGTTWWAGGKGTGLASCNAGLGDDTRGGQGPTQMERRWHETDVGEDDYTASRWAWWSDGVARNIKISHIGDEFRKVLVLRKTCLLPANSEISRPTPGSRSWQRPYETIFP >LPERR04G13000.1 pep chromosome:Lperr_V1.4:4:13804595:13805911:1 gene:LPERR04G13000 transcript:LPERR04G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRTTSCDLHCSTETLPRKPTQCTLGHQPLEPLDEINRPRRHAPRSASHDAASTATAAAAASTTLHPCRRRVTTTTAVSRWNPSPGADAGRPHEAGRAPGPRLLLLPAPREACGRRLPRGAHRRLPRPAPPRVGSPRPHELMDQPPAPGAGAPARPRRRPRPQRAGARQEPASRTLLRQGSQQGAAAPATELLLRRCPLHRQRPIPPIPRKGFCGDIPGVEAGGSVHRELQQQDVLREGDRGVEGGDGLQPGAARDAVFSVRRGVHAAGGGEEAAVRRREAAGVVAAGRRDEAVRDGELVRPVLRGHLV >LPERR04G13010.1 pep chromosome:Lperr_V1.4:4:13806094:13807878:-1 gene:LPERR04G13010 transcript:LPERR04G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAAASAFSSSRCLSPSSSSALPRRFLHHLLAAPPPPPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTDNVQRSAAAQLLKDGSLSFHVADGRLGWPDEAPYDAIHVGAAAPEIPQPLVDQLKPGGRMVIPVGSYFQDLQVVDKNDDGSVTVRNDASVRYVPLTSRSAQLQDS >LPERR04G13020.1 pep chromosome:Lperr_V1.4:4:13808853:13810599:-1 gene:LPERR04G13020 transcript:LPERR04G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEATRGETFDPDLIHAIFKLVWSRRGERGGGAGDEVVEVEPVAETSRRNRSATANASALKVSCELLRIFVTEAVQRSAFIAEAEGTTKIEPTHLERVLPQLLLDF >LPERR04G13030.1 pep chromosome:Lperr_V1.4:4:13817226:13818569:-1 gene:LPERR04G13030 transcript:LPERR04G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGEGDGSNNTSSTVSTSSSAAAAAVSEASSSMSLPSLPSLSAALTNSFHLAHVTTLCPPSMSPSSAAAAVAAAASDGLVVVARPAAVSFHDASTMEATASTSGAEDAACGAGSVKCVAAAELHGGRFAAVTGHQDGRLRLWRASLRSPGRLRLAAALPTVSDRIRRFPVPSNHVAVRRHHRRLWIEHADAVSGIDASPDGRLLFSVSWDKTLKVWSVPSLRCVQSLTAHDDAVNAVAVAPDGAVYTASADRRVRVWAPADAAPRRPGKRPAAYHLVATLSRHAAAVNAVAVGCGGHVLYSGGNDRCVVTVRAWRRAADGGGYACVAVIDGHGGAVRSVAAAAIVPAQKKKHRAVDGDGEEWSVCSASFDGEVRLWSLRVAAAS >LPERR04G13040.1 pep chromosome:Lperr_V1.4:4:13824750:13828910:1 gene:LPERR04G13040 transcript:LPERR04G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEKPSFLRLVRYADAYDRLLMALGVLGSFGDGMMQPLSMLVLGDIVNSYGGAGSEESTFSSTAVDKGFCWTRTAERQASRMRRLYLESVLGQNTAFFDAAPPTSSTPSPPHQAATTFRVVSTVTDDADAIQDFLGEKLPVVAANATLFLGSLAVSFAFAWRLALAGLPLTLLLFVAPSVLLGRRMASAAAGARAAYEEAGAIAEQAVSSVRTVASYAAEARTVERFRAAVARCAALGARQGLVKGAVIGSMGVVYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYLIEATAAAARMREMIEMLPPLEAEGKKGATMEVIRGDIVFNDVYFSYPSRPDTRVLNGFSLTISEGATVGLVGGSGSGKSTVIALLQKFYTPDAGEILLDGHGIDTLNVEWLRGQIGLVSQEPVLFATSIRENILFGNETASLKQVVAAAKMANAHEFIVKLPHGYETHVGQFGTQLSGGQKQRIAIARALVRDPKILLLDEATSALDAESERAVQDALDRASVGRTTVVVAHRLSTLRKKDTIAVLDAGRVVEAGTHDELASTDGVYAGMVRLQRAPPPVAVREKERDRVVDVVESEMVSFRSMEFFSDGEHSPNPARSSFSVEHSTEMGRRIVDHGVTRPRKPSKLRLLRMNRPEWKQAVLGCAGAVVFGAVLPLYSYSLGSLPEVYFLADQGQIRSKTRLYSLVFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEMGWFDEEENSSAAVCARLATQASKVRSLVGDRMCLLLQAGATASLGFALALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSRKAKKAQARGSQLAGEAVANHRTIAAFSSQRRILRLYEAAQEGPRRDGVAHSWISGFCLCLCQFSNTGSMALALWYGGKLMANGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDNEMMTKKKKNLKEIKGAIEFKNVHFSYPTRPEVAVLAGLSLEIGAGKTVALVGPSGSGKSTVIALIERFYDVQKGSLLIDGKDIRSYALSHLRSSIALVSQEPALFSGTIRDNIAYGAEAEHAATEDEVARAAALANAHEFISAMPRGYDTFVGERGASQLSGGQRQRIALARAVLKDARILLLDEATSALDAASEALVQGAVDRMLRGSGRTCVVVAHRLSTVERSDTIAVVKDGRVVERGRHHELLAVGRAGTYYHLIKLQHGRSPCISPM >LPERR04G13050.1 pep chromosome:Lperr_V1.4:4:13829465:13831498:1 gene:LPERR04G13050 transcript:LPERR04G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSGSFFAKVLNPQFLYSRLGTLPVPSISAARLLLLLLLRHHLPHEPPLRCALRLRRRRSRAVWIGGARRVCHQHYLSQLNAPCCVNYY >LPERR04G13060.1 pep chromosome:Lperr_V1.4:4:13833175:13834221:-1 gene:LPERR04G13060 transcript:LPERR04G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLISLVGVGAAVTAAMWYARLAARRLRPGTLRLAAFVPVLAVLPFLPLAFRALHPRAISGFFLAWLAEFKLLLLAAGQGPLDPSLPLPTFVAIATFPVRRRDPKNAQGSGLGIVASSVMAALLAAIVSLYGHKERMNPYALLVLYSLHVYLAMELVLALAAAAVRVVMGMDLEPQFDRPYLSASLRDFWGRRWNLSVPALLRVCVFRPVRSLAGGSGGAAAGVLAAFLVSGVMHELMFYYVTLTPPTGEATAFFALHGVCAVAERWWAAHEGWPRPPRPVATALTLAFVMGTGFWLFFPPITRPGADNVVIAESEAVVAFLRDAGGWAAASVRSALTGGSTVVV >LPERR04G13070.1 pep chromosome:Lperr_V1.4:4:13834856:13836821:-1 gene:LPERR04G13070 transcript:LPERR04G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGGDGGDPALGPDADAAAGEGLQLAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHRHEPTYRDVCGSDTGHAEVVRVHYDPEACPYDLLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKAAKESLAEKQKEWKDKKIVTEILPARRFYPAEEYHQRYLEKGGQSAKKRCNDPIRCYG >LPERR04G13080.1 pep chromosome:Lperr_V1.4:4:13839388:13841824:1 gene:LPERR04G13080 transcript:LPERR04G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVDLDALRPSMAADVQVVTSDGKSIAAHSFVLATASPVLERMIDRARCGWNTECTIRVLGVSSDAVFAFLRLLYASNVAPEDDETVTAHAPQLLALSHVYRVGWLKRAAEASMAARLTPEHAVDMLKLARLCDAPRLYLRCTRLAAKDFAAVELSDGWRFARRHDAALELEVLQLLEDADQRRERWARERDSREAYRQLADAMGSLEHIFSGDGCACDGAAPCRGLRLLMRHYAACGARKAGCTRCRRMLQLFRLHASVCDRTTAPDDEHPCRVPLCR >LPERR04G13090.1 pep chromosome:Lperr_V1.4:4:13845715:13846072:-1 gene:LPERR04G13090 transcript:LPERR04G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGIVKLVALVFLLIFSPFQQQPGVGAIRLHDRRQHGEQWAEERTQMRTFMTMDYSGVRRRRPIHN >LPERR04G13100.1 pep chromosome:Lperr_V1.4:4:13848775:13852250:-1 gene:LPERR04G13100 transcript:LPERR04G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGSGDQPPAPEATRVDAAAALEPTQKTRCIPFEDCVNGITKALRHPTVRFMREQMEKVGCPMPPGMIRAAECGTHTHTGNYSSGKGIKVCCDEILHQEDIDRLLIHELIHAYDDCVTKNIDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGQEQECVKRRTLMSLDANPYCSGVAKDAMDAVWNICYNDTRPFDRAP >LPERR04G13110.1 pep chromosome:Lperr_V1.4:4:13853401:13857440:-1 gene:LPERR04G13110 transcript:LPERR04G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHASKKEGAGGKNELGNLEDGDYVPSQELNNNLVNGNSKVPATLDEYKRLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFVKKLVSMAMDRHDKEKEMASVLLSAMYADLLSSSKMSEGFMMLFESAEDLAVDIPDAIDVLAVFVARAIVDEILPPVFIIRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTRFTVEEAKMRIQDILKEYVESGDTDEAFRCIRELGLPFFLHEVVKRALTLSMDNLSSQPLILKLLKESAAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKTLFDKLVLTATSEGWLDASFTTCSAPSEDMQNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLRELSAPEYNPIFLKKLITLAMDRKNREKEMVSVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISCRLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLKEACQCIRDLGMPFFNHEVVKKALVMAMEKQNEARILALLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGAYVDLATKRGWLLPSLA >LPERR04G13120.1 pep chromosome:Lperr_V1.4:4:13861196:13863291:-1 gene:LPERR04G13120 transcript:LPERR04G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTAATSFSYHKPRFAVVCRKKDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLVNLYLDGLLDKS >LPERR04G13130.1 pep chromosome:Lperr_V1.4:4:13865790:13867747:1 gene:LPERR04G13130 transcript:LPERR04G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFRRSSSKGSTDSSSSSSSDGDVGGRSGGGSGEIEWEVRPGGMLVQKREGRGGVEVITVRVATTGFSWHDVSIGATCTFGELKAILSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPAIKDMKLRAALAARPMQNSYQPFIQV >LPERR04G13140.1 pep chromosome:Lperr_V1.4:4:13870496:13875085:-1 gene:LPERR04G13140 transcript:LPERR04G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLLRRIHDREWSAWRIHNNDTTARGSASSTAQSASSDGTASSNPLPEKLPLHSRFVLAEAMVQTGASWDVDGVDSAGKAVHMCIRSNLARARQLGEQEQDLADLRLQRRRPAAGGRAAASATRALAKGTIFEDVISTIKERGMANFMNAVKEAGTDVHVLRSEAAKNNLELVGTRGPLSCDGLLKNSNFSLSLLVCCNHS >LPERR04G13140.2 pep chromosome:Lperr_V1.4:4:13870496:13875085:-1 gene:LPERR04G13140 transcript:LPERR04G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLLRRIHDREWSAWRIHNNDTTARGSEAMVQTGASWDVDGVDSAGKAVHMCIRSNLARARQLGEQEQDLADLRLQRRRPAAGGRAAASATRALAKGTIFEDVISTIKERGMANFMNAVKEAGTDVHVLRSEAAKNNLELVGTRGPLSCDGLLKNSNFSLSLLVCCNHS >LPERR04G13140.3 pep chromosome:Lperr_V1.4:4:13871020:13875085:-1 gene:LPERR04G13140 transcript:LPERR04G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLLRRIHDREWSAWRIHNNDTTARGSEATREAVMDMRCGSELHGTAEAMVQTGASWDVDGVDSAGKAVHMCIRSNLARARQLGEQEQDLADLRLQRRRPAAGGRAAASATRALAKGTIFEDVISTIKEVIPWLSCPAALFT >LPERR04G13140.4 pep chromosome:Lperr_V1.4:4:13871020:13875085:-1 gene:LPERR04G13140 transcript:LPERR04G13140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLLRRIHDREWSAWRIHNNDTTARGSGSTDFPLSLLSLLSWIHGAKMVATSTRGDQGGSNGHAVWQRAPRHSQHPPTALLPPIHFLRSSLCIAEAMVQTGASWDVDGVDSAGKAVHMCIRSNLARARQLGEQEQDLADLRLQRRRPAAGGRAAASATRALAKGTIFEDVISTIKEVIPWLSCPAALFT >LPERR04G13150.1 pep chromosome:Lperr_V1.4:4:13883631:13885949:1 gene:LPERR04G13150 transcript:LPERR04G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDLSDDALRVILNKMDTREAVRCSILSRRWMFATSSADDGFTSTLSDNARNNYAIVQAVQSLLAQESRQDIRRLKLSFFSRDESVGILHAIDDAMAGGRVILDLRFKVLSEKSYLERPNRDRIRQGRRLMYCFDAYPRVFAGLTSLRLDCITVQGHCFSNVVAACEKLVKLYLVYCDFGKETPLAIEHEQLREMNFEFCTCESIELAWLPKLLEMAVAVWSWKSPHEYPLVVGHAPRLRRLELADAGLAGSKVLQLSKLLDNSTSIRELWLNFESEKIWIQPETPTHLAPFLRNLTFVDVHRIHPNCGITWTLFLLEAAPLLKMLSIMVTKHQCLPIEGELLKMMLICEKNNINWEPSEFKHYNLNVLRIHGFEVENKFMRYIRRVIKIAMNLIEISLHEDSCEMCESYHPVIRYPRTKEIRDLVKKAINKGRTSPIKSIQFFHTSEAGTIKIID >LPERR04G13160.1 pep chromosome:Lperr_V1.4:4:13888995:13894207:1 gene:LPERR04G13160 transcript:LPERR04G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWGSLGSFIKFLPYFCGLLILGIIKGLLLCPWACLIMAIGLSALILGLWPMHVIWTYYCIVRTKLVGPVVKFLLLIAATAILIIWLIVGIPGSILTGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDVKDLLFHSYFSIMDDIRLHAPPDNKPYEIRLLDIPGALLSAACGLILDVIMFTLIAIYKCPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAYGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKNEDSSHGGSLSRPASFDKEKQEGKKPPARVTSFKNSIDEFNPFKLLDHLFAECKHQGEALVNKGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITPDNRPKHTLFEWFFEPLLVIKEQIKAENFTEEQEEYLKMRVLLIGGPDHAMGSLPHVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDALVKALLLELEKTMGGGQSTNGSQSQSLRGGIARMLSQKSMGKTANGGDEDPEAQTTNYAHNP >LPERR04G13170.1 pep chromosome:Lperr_V1.4:4:13894082:13900258:-1 gene:LPERR04G13170 transcript:LPERR04G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPPPPHACSGSGEASVSLFVDTDLGTRFALLVAGDSTMRNLKSTVAAEHATAFPDIGPVTVQSFQVRRKGELYHLSDTMTIRNAFAKIKSGCFLHVKMTVAVTDTHCCRVTSSMEDRGRSSEGCPGVEVHKDKCALKIPAPISKIANDLPGMENSSIDGMEKKRKRHQPESSSAQVMTKPSTGAMEVPGSIGQVLLHKSNQELQGDDAYNVEMTNRENSGGQGTKHAQVMSDAQETTDLASVRGIDDLVHKEYKDLVTRDMVSSSRVVAGSEKSTQEAHDEAVVETGKMEKASTSKSILEKIQSDGHTSQGKRKKKAKKVNSVDTGSLDIAGEKDQGEHRKNSAKSDKFITQGKIVNDPLDQQISRNVQPEGSNPCDDGRRKKKRKHRSEPSKNTDPTHDVTKSSGLITNEITMQNTDAYPLDPEQLTSATTGEGAVSHQKKFDVSLDVAAAAAANVIDEVLADLRSTDITSKNLDEYKLTEQIHPDRYHNALGVHGNNVGKGGPSAVLPPKYPAAIHSDVPTSSPDYSKAKGKQLKVLPVVHDSLMSSGGIPEENANTELREPVSLRSSDNTSDSHNISTENVVVQGDDKTKATKRQRKKISLKHAPTDNCKTIQSLDEQVNQVATENLNGVNATKADLVQGGSVIDGSAGTVENVKKKIRSSKICKPEIQQDNHSTHAEDSKSAKGSQDNSVSYIGESGTLSNVTAVGAPTQSPAVQEEATALKTSTPNVRKGRKRSSKIELQSQNSALDCGSDVDLMNYKAERITVSPKKSAIAAEPNENINFLDRFSPSVTNDPYISAENKESNEDETVREVEDRSNKRKPDTQSQRAGNAKSNDLLESHLPGDMGVPSDSTENIDMTDGNVKKGKGKKRNRKSDLLNSVSPQADPNGDHREIDNGIHDLSFSVVQEGRMEHDKNENSNNVIQKSSMQQDPKDAMCDSSLGKKPNQSKIDSNNQGKLPVGKDHAWMDKEQCKSSSQTKPHNGRVDPNSKSIRNLVKSFSMSPPASSDSMHGTPQNVRLRVAVRKVPRKRYEQTDGKSKKDKSTGTIFSDAISDGSDDELHTMSQKVAMETSSDDSSTSADSGISSAAHDESGDSDDDGNGNAPLSQKSLKGISSILRGSSSYKKARQRQTEQLDDTEVPDSQPVDF >LPERR04G13170.2 pep chromosome:Lperr_V1.4:4:13894082:13900258:-1 gene:LPERR04G13170 transcript:LPERR04G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPPPPHACSGSGEASVSLFVDTDLGTRFALLVAGDSTMRNLKSTVAAEHATAFPDIGPVTVQSFQVRRKGELYHLSDTMTIRNAFAKIKSGCFLHVKMTVAVTDTHCCRVTSSMEDRGRSSEGCPGVEVHKDKCALKIPAPISKIANDLPGMENSSIDGMEKKRKRHQPESSSAQVMTKPSTGAMEVPGSIGQVLLHKSNQELQGDDAYNVEMTNRENSGGQGTKHAQVMSDAQETTDLASVRGIDDLVHKEYKDLVTRDMVSSSRVVAGSEKSTQEAHDEAVVETGKMEKASTSKSILEKIQSDGHTSQGKRKKKAKKVNSVDMASVDIADQCGAKHVQLMSDAQATTDLVADQEIADLVHKEYKDQMIGDVINPKGVAVGESVVETSKLGESSTSKSILEKIKPAGHTSQQKKHKKAKKVSSVDMESLDVSGEKDQCGTKHFQLVSDAQANCDPVADQGIDDLVHKEYNNPTMRDMVNSSEVVAGAGESTKVRHDESGFETSKLEKSCILEKIQSAGHTSQQKKSKKAKKVNSVDTGSLDIAGEKDQGEHRKNSAKSDKFITQGKIVNDPLDQQISRNVQPEGSNPCDDGRRKKKRKHRSEPSKNTDPTHDVTKSSGLITNEITMQNTDAYPLDPEQLTSATTGEGAVSHQKKFDVSLDVAAAAAANVIDEVLADLRSTDITSKNLDEYKLTEQIHPDRYHNALGVHGNNVGKGGPSAVLPPKYPAAIHSDVPTSSPDYSKAKGKQLKVLPVVHDSLMSSGGIPEENANTELREPVSLRSSDNTSDSHNISTENVVVQGDDKTKATKRQRKKISLKHAPTDNCKTIQSLDEQVNQVATENLNGVNATKADLVQGGSVIDGSAGTVENVKKKIRSSKICKPEIQQDNHSTHAEDSKSAKGSQDNSVSYIGESGTLSNVTAVGAPTQSPAVQEEATALKTSTPNVRKGRKRSSKIELQSQNSALDCGSDVDLMNYKAERITVSPKKSAIAAEPNENINFLDRFSPSVTNDPYISAENKESNEDETVREVEDRSNKRKPDTQSQRAGNAKSNDLLESHLPGDMGVPSDSTENIDMTDGNVKKGKGKKRNRKSDLLNSVSPQADPNGDHREIDNGIHDLSFSVVQEGRMEHDKNENSNNVIQKSSMQQDPKDAMCDSSLGKKPNQSKIDSNNQGKLPVGKDHAWMDKEQCKSSSQTKPHNGRVDPNSKSIRNLVKSFSMSPPASSDSMHGTPQNVRLRVAVRKVPRKRYEQTDGKSKKDKSTGTIFSDAISDGSDDELHTMSQKVAMETSSDDSSTSADSGISSAAHDESGDSDDDGNGNAPLSQKSLKGISSILRGSSSYKKARQRQTEQLDDTEVPDSQPVDF >LPERR04G13180.1 pep chromosome:Lperr_V1.4:4:13902659:13905231:-1 gene:LPERR04G13180 transcript:LPERR04G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLSTQPAWAVALAGVGLLVAATASARLARWLYAAFLRPGKPLRRRYGEWAVVTGATDGIGRALAFRFAGAGMSLVLVGRSPDKLAAVSGDIKAKHPRVEIRTFVIDFAAEGIAAKVEALRDSIRGLDVGVLVNSAGMSYPYARYFHEVHEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSVYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRLLLNMSVGIRKKGMAKDARKKTQ >LPERR04G13190.1 pep chromosome:Lperr_V1.4:4:13908229:13915732:-1 gene:LPERR04G13190 transcript:LPERR04G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAPPFVPAALHEGFRHAAASASASGCKSKRGEAETKREGGGGGGGEMGKKQKKPGKGKEKTERKTAKGDEKRARREARKVGEEDDIDAILRNIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKDTELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLISSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLIIYMYGGYFKEVISSDKTASEKGTVHADMWALDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKIKDVKRKESLNDAEANLSNEEDETMEDSETTGGQSEVHGVSNHLTKSLTLNKVGSGNNSDTLSDSTTQVPPEAVKPSGRINACMAVGKDVLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISDDEDDEDEEDDDNESNSGGDADQTDEDDEESDEDAEKNVDMSNAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLRDFYKRTDKYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSSMR >LPERR04G13190.2 pep chromosome:Lperr_V1.4:4:13908229:13915732:-1 gene:LPERR04G13190 transcript:LPERR04G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAPPFVPAALHEGFRHAAASASASGCKSKRGEAETKREGGGGGGGEMGKKQKKPGKGKEKTERKTAKGDEKRARREARKVGEEDDIDAILRNIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKDTELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLISSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLIIYMYGGYFKEVISSDKTASEKGTVHADMWALDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKIKDVKRKESLNDAEANLSNEEDETMEDSETTGGQSEVHGVSNHLTKSLTLNKVGSGNNSDTLSDSTTQVPPEAVKPSGRINACMAVGKDVLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISDDEDDEDEEDDDNESNSGGDADQTDEDDEESDEDAEKNVDMSNAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLRDFYKRTDKYWQMAAYEHTQHTGKLAGSMNLLYLVVLIQFQELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSSMR >LPERR04G13200.1 pep chromosome:Lperr_V1.4:4:13916061:13916709:1 gene:LPERR04G13200 transcript:LPERR04G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDLSGDVLRVILNNLDTRQAVRCSILSSRWRHVPGLLSNIDLDVNSFTPADDGFTSTLSDAARNNLEMVQAVQSLLAHESRHDIHRLKLRFFSRDESVGIIRAVDDAMARGRRILDLRFYVLSEKPFAGCPGRYMARLGKRFLYCFDTYPRVFAGLTGLRLEEFSDVVIACKNLIYLALVNCDFREETALMIQHEKLRGMNLDFLHL >LPERR04G13210.1 pep chromosome:Lperr_V1.4:4:13917052:13923725:1 gene:LPERR04G13210 transcript:LPERR04G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRMLICEKTNIEWETSDFKHHNLTMLIIHGFQPENKFMGYIRRVMKAAVNLKDISLHDDRCEHCESHYPATRYPHTKQERDLVKKAINAGRAFPIENIQFFHTSEDGTGERFLAFFRACPNAFGSLKYLHLRSVRLGSSDIPNVLKTCKKLEWFSSCRIVTDSGVHSVLRIEHPEIIELKIALCAFQKVELNWLPRLKSLTCQAWMPYSYPLSFGFVLQLCSLNLIKTGTWTQPKQCDLSWTMFLLQAAPFVK >LPERR04G13220.1 pep chromosome:Lperr_V1.4:4:13941796:13948560:1 gene:LPERR04G13220 transcript:LPERR04G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGADGALDSWARFCALSDELFGGAGDLSAGPRLAPAVADLCARGLAELLRDHFLRSLEEIFRSNAVKKFWQQFHPYCSSSPVERINFCVQDNWPEDVLSRALEDICLEKSYQEKCVLALVHSFQSYEDRTPNRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELSTMMAGSDGSDPFGNHDVFERSTTSDWHSEMDIDGQEPVISECRTLVKNIGKVVRDLRYLGFTSMTEDAYSSAIIWLLKSKVHELAGDDYRVPVLGSVKKWIQAVPLKFLHALLTYLGDSVDNESGSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKHCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRCRKDTIKCIVTMLTDGSGGNTNVTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSGFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTEGTVQEETDLSHDVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVHVDSLNRRISFWTSKGVLTESVGPDHEDHIFTVVDSTSDFNKNSTVNQICERFEMTEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVSDEKLDMRDGSYLLRK >LPERR04G13220.2 pep chromosome:Lperr_V1.4:4:13941796:13948558:1 gene:LPERR04G13220 transcript:LPERR04G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGADGALDSWARFCALSDELFGGAGDLSAGPRLAPAVADLCARGLAELLRDHFLRSLEEIFRSNAVKKFWQQFHPYCSSSPVERINFCVQDNWPEDVLSRALEDICLEKSYQEKCVLALVHSFQSYEDRTPNRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELSTMMAGSDGSDPFGNHDVFERSTTSDWHSEMDIDGQEPVISECRTLVKNIGKVVRDLRYLGFTSMTEDAYSSAIIWLLKSKVHELAGDDYRVPVLGSVKKWIQAVPLKFLHALLTYLGDSVDNESGSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKHCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRCRKDTIKCIVTMLTDGSGGNTNVTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSGFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTEGTVQEETDLSHDVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVHVDSLNRRISFWTSKGVLTESVGPDHEDHIFTVVDSTSDFNKNSTVNQICERFEMTEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVSDEKLDMRDGSYLLRKMKIPVSRLSPHFVQ >LPERR04G13220.3 pep chromosome:Lperr_V1.4:4:13941796:13951148:1 gene:LPERR04G13220 transcript:LPERR04G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGADGALDSWARFCALSDELFGGAGDLSAGPRLAPAVADLCARGLAELLRDHFLRSLEEIFRSNAVKKFWQQFHPYCSSSPVERINFCVQDNWPEDVLSRALEDICLEKSYQEKCVLALVHSFQSYEDRTPNRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELSTMMAGSDGSDPFGNHDVFERSTTSDWHSEMDIDGQEPVISECRTLVKNIGKVVRDLRYLGFTSMTEDAYSSAIIWLLKSKVHELAGDDYRVPVLGSVKKWIQAVPLKFLHALLTYLGDSVDNESGSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKHCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRCRKDTIKCIVTMLTDGSGGNTNVTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSGFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTEGTVQEETDLSHDVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVHVDSLNRRISFWTSKGVLTESVGPDHEDHIFTVVDSTSDFNKNSTVNQICERFEMTEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVSDEKLDMRDGSYLLRK >LPERR04G13230.1 pep chromosome:Lperr_V1.4:4:13949171:13952581:-1 gene:LPERR04G13230 transcript:LPERR04G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAATAVGGEQQRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGSVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVQLVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIACKEHRSNKLAIISGVGTRHYYRKLGYELEGPYMVKCLV >LPERR04G13240.1 pep chromosome:Lperr_V1.4:4:13952771:13959375:1 gene:LPERR04G13240 transcript:LPERR04G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGIGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMAALYESLAAAGVLEAEPALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVITLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >LPERR04G13250.1 pep chromosome:Lperr_V1.4:4:13961327:13965932:1 gene:LPERR04G13250 transcript:LPERR04G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQNPFASHTSTSHLCPRRRKRGDEEFHLRLAPMDLDAEAAAMAPEGSHHSQQPTSQDKLKALAYEYGHEFQVFSSATFESTTSKLPPADQEEDDDFYELHPADYFNLVSNRIGEQSKVLKTRKMREAELVAQRAKIKKAVMRVRFPDGYILEANFHPSEKVQSLMDLLKKVISRPDLPFYLYTVPPKKRIQDTSLDFYTIGFVPGANVFFSYDLPEGSELNTDSVKSGPYLCEEIRMLDGLPIVQEPVHQPIDSNMNSSAHQSDASQSDFAPPANKKPSKPKWFKSD >LPERR04G13260.1 pep chromosome:Lperr_V1.4:4:13969327:13978726:1 gene:LPERR04G13260 transcript:LPERR04G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSAESSPSSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFLQSGDVHIFGYARSNLSDDGLRERIHGYLKGASEEHLSEFLQHIKYVSGSYDSGEGFEKLNKEISEYEMSNKSESSRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGNLKAVPYQPGSRGPKEADELSERVGYVQTHGCQAWLSKQERNPWADPDEDRSRSC >LPERR04G13260.2 pep chromosome:Lperr_V1.4:4:13969327:13978650:1 gene:LPERR04G13260 transcript:LPERR04G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSAESSPSSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFLQSGDVHIFGYARSNLSDDGLRERIHGYLKGASEEHLSEFLQHIKYVSGSYDSGEGFEKLNKEISEYEMSNKSESSRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGNLKAVPYQPGSRGPKEADELSERVGYVQTHGYIWIPPTLA >LPERR04G13260.3 pep chromosome:Lperr_V1.4:4:13969327:13976194:1 gene:LPERR04G13260 transcript:LPERR04G13260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSAESSPSSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFLQSGDVHIFGYARSNLSDDGLRERIHGYLKGASEEHLSEFLQHIKYVSGSYDSGEGFEKLNKEISEYEMSNKSESSRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGNLKAVPYQPGSRGPKEADELSERVGYVQTHGYIWIPPTLA >LPERR04G13260.4 pep chromosome:Lperr_V1.4:4:13969327:13978646:1 gene:LPERR04G13260 transcript:LPERR04G13260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSAESSPSSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFLQSGDVHIFGYARSNLSDDGLRERIHGYLKGASEEHLSEFLQHIKYVSGSYDSGEGFEKLNKEISEYEMSNKSESSRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGNLKAVPYQPGSRGPKEADELSERVGYVQTHGYIWIPPTLA >LPERR04G13270.1 pep chromosome:Lperr_V1.4:4:13976613:13978528:-1 gene:LPERR04G13270 transcript:LPERR04G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDYQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQVAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWVLNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQDMEVPFLGKVPMDPQLCRAAEEGRSCFTDQKCSASAPALKSIIKKLVKTQ >LPERR04G13280.1 pep chromosome:Lperr_V1.4:4:13979957:13984262:1 gene:LPERR04G13280 transcript:LPERR04G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVSAQSPNCYSRRRTTLLDARHVLDHMPQRRAPPRAAGLLAPPASAAVAGRAAACPATSVPSLFRTLLPIPKAFRSTPRVRLTPFAFLPSRRNFEGYIPQSCSGTSLQIYSRSSLLSLSPSSALMVSSQLTSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGSTEIKLADAAKSAMNWGTVNESIAIEHYTTITGRLVGSLGFAVHTEANSGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWNVMPFYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDREYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIVRSRKLASEAKLLCKDVGGHVEFFP >LPERR04G13290.1 pep chromosome:Lperr_V1.4:4:13985013:13987778:-1 gene:LPERR04G13290 transcript:LPERR04G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSRSFTSSFLVNEDCAGMMRGCGCWSEEASPLSSCGLNSLWWDELEFELELEEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVMSGAGRFGDGGEDAVFADLSYYLNQAFVLSPELRFGGYRGVFEGPLGSGGLSVFEGGDSFGFVENPSTSGNADVSFGFVEDPSTSANADVSLGFVEDPSTSGNAALVCGDSVEVVPIQEGGDPHEGMMFALGYLGLRDILSVEMVCKALHFSVRNEPLLWKSIHIEERLRNNIFDADLLHLTQKCPDTLQSLSIARCLNITDQGLKAVLESNPRLTKLNISDCSRLTLDGLISNLKSFNTKAVVGIKQLRVGRLFSLQKDQYEELLSLLNTDKMQEVHSRGPRFLHANRFLSDCNEGYALDIEMCPICQNYKLVYDCPEEGCDDRRSGHLNISFVLRKKKKKAKSLLFLKGLQFKSESVAWTFSVLWRLLMFKEC >LPERR04G13300.1 pep chromosome:Lperr_V1.4:4:13993577:13994138:1 gene:LPERR04G13300 transcript:LPERR04G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPPATVITSPPNVRFRAVCASWRSATDSPRGRSVTDPRFHPRRWMMLPEGHGLRPGHPNLRGYARFLHLDTGTFVRARVPLLADHCIIDSVDGLLLLMRDPDQDNEGAAPVRLVHPSPATSSSSHRYQLLFVVTYN >LPERR04G13310.1 pep chromosome:Lperr_V1.4:4:13998113:14002788:1 gene:LPERR04G13310 transcript:LPERR04G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTPLLVPYTKNVRRVGHQSPLLPSHHRLHRPHGAPPPLPSPRPRLRGPAMLLSGPSPQQPTPPLLLPESSGEDGVHDHDSSSRAAAAAGPKKRAETWLHDETLSLIALRREMDAHFNTSKSNKHLWEAISGRMREQGYDRSPTMCTDKWRNLLKEFKKARSHARGGGAVGVGAIGGVNCPYKMECYKEIDDLLKRRVKPGGGGGGGGGAAVGGGHGGGGGGGGAVKSPTVSSKIDSYLQFAADKGFEDANIPFGPVEASGRSLLSVEDRLEPDGHPLALTADAVATNGVNPWNWRDTSTNGENNHVTFGGRVILVKWGNYTKRIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDNGMTIKLCMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >LPERR04G13320.1 pep chromosome:Lperr_V1.4:4:14003245:14004376:-1 gene:LPERR04G13320 transcript:LPERR04G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDTDVAVPFTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >LPERR04G13330.1 pep chromosome:Lperr_V1.4:4:14006327:14011440:1 gene:LPERR04G13330 transcript:LPERR04G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVAMQSDDVEVVAVNDPFITTDYMTYMFKYDTVHGQWKHHELKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVMGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHIYNTQ >LPERR04G13330.2 pep chromosome:Lperr_V1.4:4:14006568:14011440:1 gene:LPERR04G13330 transcript:LPERR04G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDVEVVAVNDPFITTDYMTYMFKYDTVHGQWKHHELKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVMGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHIYNTQ >LPERR04G13340.1 pep chromosome:Lperr_V1.4:4:14013887:14021930:1 gene:LPERR04G13340 transcript:LPERR04G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSHAADSDSDGSLLSDVSASPPRRRSPPPPPKQRPKPVSTSTPKPKPKPKPKPKPKPNPTPASVASASAAVPALRAAALSDPHGLAARIAAGSALTAASTTVSSSSFRRLVQSRNPTFDPATAFTAPAPSDAPTAAPPAPCVPPAAAAAAAATDAPQQQPQARPKRVHPNSVSEVAPAAAAGGEQPKRARGGSEGNFVRLNINGYGRRRTFKNAQARRSTKCRSWRRQRAAAGGAAPRDQGDEEGDLVAEALMEREKKSASDGILEVVEAAREDASEQNLERLLKAACGYDSFREGQLEAIQNVVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLGSSQTSDEFHDTLRRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSGNLIQTSQLRENLQLSISLSDNRLKDLMLLFKSPPFVDMRSIIVYCKFQSYHSGLLIKNRSRVQELFCSNKIRVVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDLSTFYKIRSLSHSDGVDEYAMSKFLYQIFSSENTTGYICSLVKELTSRKFDIKEEVLLTILTQLEIGHQQYIRLLPQFSVTCTLNFHKTPILGSWKNRSEMKDGHYVFDIPRIANDLKITMNEVSDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTRWLSEVESSKIRKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMQYFGNGDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELVKFVGKGE >LPERR04G13350.1 pep chromosome:Lperr_V1.4:4:14022211:14026654:1 gene:LPERR04G13350 transcript:LPERR04G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVNYPLAAALLAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVSIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPVEHPLSETKPLREILGHTIPQVVAGCILGILIAVVMRLALGS >LPERR04G13360.1 pep chromosome:Lperr_V1.4:4:14026521:14028672:-1 gene:LPERR04G13360 transcript:LPERR04G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATAAAPPCYDTLEGVEILGRYDPGFATILTRDALAFVAALHREFRGAVRYAMERRREAQRRYDAGELPGFDPATRPVRESDSWSCAPVPPAIADRTVEITGPADPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITWRDVVRGKEYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHNHAAFRAGQGRGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMDEILHELRHHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMSQHFMRSYSLLLIKTCHRRRVHAMGGMAAQIPIKDDAAANEAAMELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFVGHLAGKPNQIADATAADDAVVTEDDLLQPPRGARTVEALRHNTRVGVRYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALEHGPGGVEVRATRELMARLVEEEMARVEAEVGAEAFRRGRYAEAGRMFCRQCTAPELGDFLTLDAYNLIVVHHPGAGGSPCKL >LPERR04G13370.1 pep chromosome:Lperr_V1.4:4:14031595:14036396:1 gene:LPERR04G13370 transcript:LPERR04G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAAPPPKAPPSKQLMALAAVAILGLVLVADFLWASSSSSPSSPATAWSSRIDLPARPADPVPFSGEKQTKEKTPGSMDINATFADLPGPELEWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTATKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALENEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMEWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLAGYYDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHLDWGVTNTFALKSL >LPERR04G13380.1 pep chromosome:Lperr_V1.4:4:14041843:14043714:1 gene:LPERR04G13380 transcript:LPERR04G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPLLAALLLSLAAAATSPAAAQSATPKEDDVRCLKEVKAELRDPDGRLTGWSFSNTSSGALCLLSGVSCWNPQESRIIGLTLSGFNLGGRIPSALQFCSAATTLDLSDNKLEGTIPSTLCDWIPFVVNLDLSGNQLTGALPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSGNSLDGQIPPQLASFGKESFANNKGLCGRPVSSRCGRALGGAGLGIVIAAGVFGAAASLLLAFFFWRCTGRKSKGGRRRGGSESGGASAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMSRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGESPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVSTMKSDVYAFGVILFELVSGQEAATVTSDAAGEGFKGTLLDWINQLKASGRTGDVVHKSLRGNGHDSEIDEFVKIAFSCTMVRARERLSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >LPERR04G13390.1 pep chromosome:Lperr_V1.4:4:14047031:14049194:-1 gene:LPERR04G13390 transcript:LPERR04G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDETNRLFRIRRTVMQMLRDRGYLVLEESINMTKGEFVAKYGDPVNRDDFIINSAKVGSPADQIYIFFPKDAKPGVKTIRSYVERMKQESVFSGILVVQQALSAFARSAVLECSQKFHLEVFQEAELLVNIKEHTLVPEHELLTPEQKKTLLERYTVKETQQPVCFDDIELVMRMQLPRIQITDPIARYYGMKRGQVVKIIRSSETAGRYVTYRYVV >LPERR04G13400.1 pep chromosome:Lperr_V1.4:4:14049720:14050636:-1 gene:LPERR04G13400 transcript:LPERR04G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSRERDAPAPSCCLPWRSLVHGCVEALRRPAPAEVLAGRAACLCGRTIERFGCGHSRHRPEEEASTMEPPSCAICLPSGGMPPATERGDDDDSDKSPSPVYSSADAPGEGKPLVLLPPPPSRFVRAPAMARQGQDQASSSIVAVQIGPCRGGAGSSGSTAIGDFGSGFRGGGEIVVIAAAVIEIISVFSTDDWVLIVFLKIKYIV >LPERR04G13410.1 pep chromosome:Lperr_V1.4:4:14053306:14053908:-1 gene:LPERR04G13410 transcript:LPERR04G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLEEVLEVVGVSLGGVVIVGGIVAAHACLRGISAGRETLRMLQVRKLGGVTTLERPSGRDCGMCKHPMDAGDEIRRLSCGHVFHTRWVDVWLRDHGLRCPSCRRIARCVRVVVEVEPEADVVVVVEPEAEVVVEIEPEAEADVVLEVESEEEVVIELAPEADLPQSQEGTRGPRRRPHVVIDMEAAAAATSSAQRPE >LPERR04G13420.1 pep chromosome:Lperr_V1.4:4:14058533:14061112:-1 gene:LPERR04G13420 transcript:LPERR04G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPNGFLAVGIGLLAGITGVVGSVHLGMYIYDNMPVWMLGGVTKLDEALDAECPTCQDAMVAGDVVRKLSCGHVFHKSCTRGGIDKWLRENKLSCPNCRKRALSVRVLPWPWNKQRPLPEEEEQENTQRPLPAPASSTNAGFAPEGTDVEAHQGQLLPPPQAESGPPRSEEQRENPPPPSTTSSRASSSPAPSDLEEEPLLSEISKARRSSRGSNRIGGRERARARTMADDPIDPTGYLVGTIETIAGITAVIGSVHLGIYIYENMPVWMLSGVTKLDETLVAECPTCQDAMVAGDIVRKLSCGHVFHKSCTRGGIEKWLRENKLSCPNCRKRARSLRALPWPWNKRRPLPEEEEQENPPPPPDTSSTNVGFAQEGTDVEAQGEFQPPPPPQTAASDSPRSEEQREQHPPPPPSETSPRASSSSGLEEPLLHSSASP >LPERR04G13430.1 pep chromosome:Lperr_V1.4:4:14061726:14063865:1 gene:LPERR04G13430 transcript:LPERR04G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIVGAVVSLAVFHLLRSGWWLLRKLAALGRTGWRRLRASSAAGRRKLRAWRKAAARWRAPIWALGGVTTLRHPLHPQCRVCGQAMARGDRVRSLSRKCTVHKACVDSYLRAHDMACPVCSRTAFPVRPWKPPRSKLVNEVHLDRRGVWTLQDDMAYDCMICLERMVAQGIVRTLACLHIFHFACIKKWFVDENNGCPICRKPDVPAPQQETRLSAMSRRRPCSRRRPRSRWSSSGGRHRRGRRVAALGGVTTLRRDLGVDCPMCRHGMVAGDAVRELSCGHVFHKDCECGVDKWLRDNNLSCPNCRRTARSVRALPRWFNARRPPPPLTPEDEEEEMMGMDLEGQEDWMPPHTWRSRAHHRPEPEEQHPPPPPTSTSSSSSISP >LPERR04G13440.1 pep chromosome:Lperr_V1.4:4:14065633:14066070:1 gene:LPERR04G13440 transcript:LPERR04G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLFTWMMDNSDASLVPVVVSSGVAGALVLGGVIAVNEFGPALRRNLAVWMLGGVAKLDRDMGVDCPMCLDGMVAGDVVRKLSCGHVFHKACEISIDRWLRENNLSCPICRERARSVRVLLPWRLLRGGGGAAPNAAAAVELG >LPERR04G13450.1 pep chromosome:Lperr_V1.4:4:14067446:14073303:1 gene:LPERR04G13450 transcript:LPERR04G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAAEASRRSKEQHHHLSGRHHRGDADPRREADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARVGDREPGEVVSGSASDDSGGRPHRARENGVSSSSRDGESAAAPMAPSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKTVESVPTELPPPPPLPPQDYIPERLAVEKSPMDVELAVAAESAEQLQEHGESTVMEEEEEYSTMRNISTSRWAGANDDEEEGSAQRKKKSASPADSSELGQRKKALSPELGEVVASDVSGGRTVSRSSDSGRLGADENEDLEVNKDDYMDVDRVDAGNSDVANHQSDTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRYPQTYSDVGCTCDFTRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >LPERR04G13450.2 pep chromosome:Lperr_V1.4:4:14067446:14073731:1 gene:LPERR04G13450 transcript:LPERR04G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAAEASRRSKEQHHHLSGRHHRGDADPRREADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARVGDREPGEVVSGSASDDSGGRPHRARENGVSSSSRDGESAAAPMAPSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKTVESVPTELPPPPPLPPQDYIPERLAVEKSPMDVELAVAAESAEQLQEHGESTVMEEEEEYSTMRNISTSRWAGANDDEEEGSAQRKKKSASPADSSELGQRKKALSPELGEVVASDVSGGRTVSRSSDSGRLGADENEDLEVNKDDYMDVDRVDAGNSDVANHQSDTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRYPQTYSDVGCTCDFTRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >LPERR04G13450.3 pep chromosome:Lperr_V1.4:4:14067446:14073731:1 gene:LPERR04G13450 transcript:LPERR04G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAAEASRRSKEQHHHLSGRHHRGDADPRREADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARVGDREPGEVVSGSASDDSGGRPHRARENGVSSSSRDGESAAAPMAPSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKTVESVPTELPPPPPLPPQDYIPERLAVEKSPMDVELAVAAESAEQLQEHGESTVMEEEEEYSTMRNISTSRWAGANDDEEEGSAQRKKKSASPADSSELGQRKKALSPELGEVVASDVSGGRTVSRSSDSGRLGADENEDLEVNKDDYMDVDRVDAGNSDVANHQSDTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRYPQTYSDVGCTCDFTRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >LPERR04G13450.4 pep chromosome:Lperr_V1.4:4:14067446:14073731:1 gene:LPERR04G13450 transcript:LPERR04G13450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAAEASRRSKEQHHHLSGRHHRGDADPRREADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARVGDREPGEVVSGSASDDSGGRPHRARENGVSSSSRDGESAAAPMAPSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKTVESVPTELPPPPPLPPQDYIPERLAVEKSPMDVELAVAAESAEQLQEHGESTVMEEEEEYSTMRNISTSRWAGANDDEEEGSAQRKKKSASPADSSELGQRKKALSPELGEVVASDVSGGRTVSRSSDSGRLGADENEDLEVNKDDYMDVDRVDAGNSDVANHQSDTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRYPQTYSDVGCTCDFTRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >LPERR04G13450.5 pep chromosome:Lperr_V1.4:4:14067446:14073731:1 gene:LPERR04G13450 transcript:LPERR04G13450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAAEASRRSKEQHHHLSGRHHRGDADPRREADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARVGDREPGEVVSGSASDDSGGRPHRARENGVSSSSRDGESAAAPMAPSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKTVESVPTELPPPPPLPPQDYIPERLAVEKSPMDVELAVAAESAEQLQEHGESTVMEEEEEYSTMRNISTSRWAGANDDEEEGSAQRKKKSASPADSSELGQRKKALSPELGEVVASDVSGGRTVSRSSDSGRLGADENEDLEVNKDDYMDVDRVDAGNSDVANHQSDTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRYPQTYSDVGCTCDFTRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >LPERR04G13460.1 pep chromosome:Lperr_V1.4:4:14073908:14074378:-1 gene:LPERR04G13460 transcript:LPERR04G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALACPLFSSTILTGTSGTAPAPLPIASSVVIKIIALSCAVTVTAILAAIGSALWLRRRAALAETLEEWELDSPHRFPYKELHMATKGFKDSELLGAGGFGQVYRGDVVAVKRISSNGKQGMREFVAEVVSLGRMRHRNGATS >LPERR04G13470.1 pep chromosome:Lperr_V1.4:4:14076760:14078784:1 gene:LPERR04G13470 transcript:LPERR04G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASVTLLCHLAVLSLLAHVLLLLPACSAADVDFIYNGFRNAANLSTEDAASVLRGGVLQLTNDTNKIMGHAFYDSAVQMVRDSAVVSFSTAFVFEIVSVSSGGGHGMAFVVAASKTLPGASVDQYLGLMGKDNMGNLSNHVFAVEFDTVQANGLLNEANGNHVGVDLNSLVSNVSEPAAYFADDNGSSNVSVQLESAQPIQTWVDYDGVTKILNVTIAPVNSVPARPRRALISHAVDLIPIFKQEMYVGFSSSTGKLASSHYVLAWSFRTGGAAAEPIDLSRLPKVPKKPAPPPSVSVVIKIVALSCAVTVTAIVAAIGSAIWLRRRAALAETLEEWELDNPHRFPYKELHMATKGFKDSELLGAGGFGQVYRGVLRRTGDVVAVKRISSNGRQGMREFVAEVASLGRMRHRNLVELRGWCKRGQDLLLVYEFMPHGSLDALLFGGGAQSPVLTWEQRSRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLAADMGARLGDFGLARLYEHGADPATTRVVGTLGYMAPELAVTGKATTATDVFAYGALLLEAACGRRPIDPVTGVNLMRWVRDHGVRGDLVHAVDGRLDGCYDKVEARLVLWLGLSCSQARPEARPSMRQVCQYLDGEEAVPEEAVLVFSDVDTVDFGSLTSLTWSSCATMSVGSQRHGGR >LPERR04G13480.1 pep chromosome:Lperr_V1.4:4:14083114:14089642:1 gene:LPERR04G13480 transcript:LPERR04G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRALLSKKGVLGTVWVAAVSGVVALSRDQIARTNIVACIDKISPDDNDETPHRILGLLLLGIVRIYSKKVEYLCHECNELIEPYGSPHCTELSISTGGSMQIVPKQVMKPVRERRLGIRQDNPGKVKKTKRAARTTKVSGMESRAEIGATSQISAEVLEACTTADLPGFTIPTRFELDSFDLGIPEDRDDDDEGLHHQLPRQGSNAVSDVVTTAKKPFYLRLILFIDTILDDENHHTSYLYESYKVVTCSYADLDSACIMPVRITIPTEMISAISEVNSLLCLSSIGGEPERDNQNGVSACFTPVKDILPPEMLDMMAEGKNSSNKSTRGKKPQRELNRDDNGDSACHIPLSGSQEGLVSENMVENVTFPSLDAHCPTIEEPENELMQGTNTNPSRVDVEEPESLESPTLRCKTSLTNVLSPSTPEPMTEGATGVPYSPKFMVTTPAKKEKNRVTRKRRRGWYNKDYIPTDRGDKRQVRRRETRVTYDENIILQNEALKRAIEDASDLVCKRRKAPHTRLDTWKETKISSLAVTFMDPLILYSTSMYFKYTVMPDTHESPCREAIASRCISLELSESNNICNDAKNVEGESIADEPRKRNFDEPTNSIQATVGCYTESVQYQDDDNRFTDDTAKDKDLSIGENESYSTGRHERLYASKSNNILLDEALSAAIDNIEDIPMNEEHAKDKGLLLRSTRTRTVARYFHQLLVNQKCQQENDSVRLGQALEGTKRKTSARFFYETLILKSNDLIEVNQEQPYKDIIISATPQLEVALESSEKQ >LPERR04G13490.1 pep chromosome:Lperr_V1.4:4:14090774:14095983:-1 gene:LPERR04G13490 transcript:LPERR04G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLEESPQWRQKATDFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKNRWAVFQESRQRRPPPGETVQERFISAAATTGVLLRKSISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGERKVLQQLVSLYNEDSGAPLPDGANPIDVAALIKCYVASIPEPLTTFALYDELRNARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNSTEWDLLDEDDVDASSQIPLDDASPPDYSSIEVIQSLIEHHNAIFTDANETVWR >LPERR04G13500.1 pep chromosome:Lperr_V1.4:4:14099492:14107187:1 gene:LPERR04G13500 transcript:LPERR04G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSVRDLLASPKPLPARALLAAHAILTSSGLAAAAEHDADLLLGHFASHLASSARGRPDERSAFRALLLLRRPCRRRCGAHPFNALISGLTRAGELSAAFRAFALLLASSPGAGGARPDGYTLPTALKACARLGGLAEGSQAHAVAEKAGFMGRVPVRNALVTFYGACGLCGDARRVFDEMAERDVVTWTALLSAFTRGGMLAEALGVLGEMDVAPNEGTLASALVACGRMGMARAGKAVHGWCFKREKELSLIVGNALLDMYVKCEKLDLARRVFDMLLVRDIVSWTIIISGLVQCKRPNEALEVFNAMQTSGVKPDKVVLSTVLSACASLGALESGKWVHEYIERKGIEWDVHVGTSIVDMYVKCGCLDTAVSIFEKMPFKNVSSWNALINGFALHGRGREALDCFDGMVASGLHPNEVTFITILGACCHSGLVQEGRQLFELMTKSYQLSPWEEHYGCMVDLLGRAGLIKEAYDVIKSMPMRPAVLTWGALLSACQSHGRMDFSQQLLMHVHELESSGNGVYVLLANAYAVNDRWADVRKVRGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDICTMLSVLMKQMHLDEPDKAFSKMRFEATFILKAPCLVLGYISKRLPPHPFSLWQLKNTAATGGPRLYSAEKSLVLKFGLHPESSKKRLCNGEANLRRNVNLGELMSSGRLKVQEKGKELSYMDELNVRLEESCPNCRWHDNLDWSTRQEDNAPSPLHVGASHSSRDR >LPERR04G13500.2 pep chromosome:Lperr_V1.4:4:14099492:14107187:1 gene:LPERR04G13500 transcript:LPERR04G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSVRDLLASPKPLPARALLAAHAILTSSGLAAAAEHDADLLLGHFASHLASSARGRPDERSAFRALLLLRRPCRRRCGAHPFNALISGLTRAGELSAAFRAFALLLASSPGAGGARPDGYTLPTALKACARLGGLAEGSQAHAVAEKAGFMGRVPVRNALVTFYGACGLCGDARRVFDEMAERDVVTWTALLSAFTRGGMLAEALGVLGEMDVAPNEGTLASALVACGRMGMARAGKAVHGWCFKREKELSLIVGNALLDMYVKCEKLDLARRVFDMLLVRDIVSWTIIISGLVQCKRPNEALEVFNAMQTSGVKPDKVVLSTVLSACASLGALESGKWVHEYIERKGIEWDVHVGTSIVDMYVKCGCLDTAVSIFEKMPFKNVSSWNALINGFALHGRGREALDCFDGMVASGLHPNEVTFITILGACCHSGLVQEGRQLFELMTKSYQLSPWEEHYGCMVDLLGRAGLIKEAYDVIKSMPMRPAVLTWGALLSACQSHGRMDFSQQLLMHVHELESSGNGVYVLLANAYAVNDRWADVRKVRGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDICTMLSVLMKQMHLDEPDKAFSKMRFEATFILKAPCLVLGYISKRLPPHPFSLWQLKNTAATGGPRLYSAEKSLVLKFGLHPGHRNIALSFSTLTEAEGAGKRQRTQLHGRTERQVGGIMP >LPERR04G13500.3 pep chromosome:Lperr_V1.4:4:14099492:14107187:1 gene:LPERR04G13500 transcript:LPERR04G13500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSVRDLLASPKPLPARALLAAHAILTSSGLAAAAEHDADLLLGHFASHLASSARGRPDERSAFRALLLLRRPCRRRCGAHPFNALISGLTRAGELSAAFRAFALLLASSPGAGGARPDGYTLPTALKACARLGGLAEGSQAHAVAEKAGFMGRVPVRNALVTFYGACGLCGDARRVFDEMAERDVVTWTALLSAFTRGGMLAEALGVLGEMDVAPNEGTLASALVACGRMGMARAGKAVHGWCFKREKELSLIVGNALLDMYVKCEKLDLARRVFDMLLVRDIVSWTIIISGLVQCKRPNEALEVFNAMQTSGVKPDKVVLSTVLSACASLGALESGKWVHEYIERKGIEWDVHVGTSIVDMYVKCGCLDTAVSIFEKMPFKNVSSWNALINGFALHGRGREALDCFDGMVASGLHPNEVTFITILGACCHSGLVQEGRQLFELMTKSYQLSPWEEHYGCMVDLLGRAGLIKEAYDVIKSMPMRPAVLTWGALLSACQSHGRMDFSQQLLMHVHELESSGNGVYVLLANAYAVNDRWADVRKVRGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDICTMLSVLMKQMHLDEPDKAFSKMRFEATFILKAPCLVLGYISKRLPPHPFSLWQLKNTAATGGPRLYSAEKSLVLKFGLHPESSKKRLCNGEANLRRNGG >LPERR04G13500.4 pep chromosome:Lperr_V1.4:4:14099492:14107186:1 gene:LPERR04G13500 transcript:LPERR04G13500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSVRDLLASPKPLPARALLAAHAILTSSGLAAAAEHDADLLLGHFASHLASSARGRPDERSAFRALLLLRRPCRRRCGAHPFNALISGLTRAGELSAAFRAFALLLASSPGAGGARPDGYTLPTALKACARLGGLAEGSQAHAVAEKAGFMGRVPVRNALVTFYGACGLCGDARRVFDEMAERDVVTWTALLSAFTRGGMLAEALGVLGEMDVAPNEGTLASALVACGRMGMARAGKAVHGWCFKREKELSLIVGNALLDMYVKCEKLDLARRVFDMLLVRDIVSWTIIISGLVQCKRPNEALEVFNAMQTSGVKPDKVVLSTVLSACASLGALESGKWVHEYIERKGIEWDVHVGTSIVDMYVKCGCLDTAVSIFEKMPFKNVSSWNALINGFALHGRGREALDCFDGMVASGLHPNEVTFITILGACCHSGLVQEGRQLFELMTKSYQLSPWEEHYGCMVDLLGRAGLIKEAYDVIKSMPMRPAVLTWGALLSACQSHGRMDFSQQLLMHVHELESSGNGVYVLLANAYAVNDRWADVRKVRGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDICTMLSVLMKQMHLDEPDKAFSKMRFEATFILKAPCLVLGYISKRLPPHPFSLWQLKNTAATGGPRLYSAEKSLVLKFGLHPGHRNIALSFSTLTEAEGAGKRQRTQLHGRTERQVGGIMP >LPERR04G13510.1 pep chromosome:Lperr_V1.4:4:14102109:14104008:-1 gene:LPERR04G13510 transcript:LPERR04G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDSFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIGGHDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYESPKPTAGIHRFVFIVFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >LPERR04G13520.1 pep chromosome:Lperr_V1.4:4:14108303:14113168:-1 gene:LPERR04G13520 transcript:LPERR04G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRPAAVAAALRASLRRACSSSFRAAGDSDDHLLLGLVEPPPAPPQQPQSRLAAKDFAFFQEPSPALPAAALPPPEAELISKVIRAFGGSGGDFHGKAERVLRRFREFLTESVVVAVLGAVRSPELCARFFLWADRQIGYSHTGACYNALGDVLGFGGRARAAERLLREIGEEDREVLGRLLNVLVRRCCRGGMWGEALEELGRLKDFGYRPSKATYNALVQVLSSAGQVELGFRVQKEMSEAGFGMDRFTVGCFAHALCKEGRWADALDMVEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLNKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNARDYAYAYKLLNRMTTCGCPPGYVVYNTFIGSICGQEKLPSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKDMMKKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVSCPPTVVTYTALIHAYLKAKRIPQAKDIFHRMVDAGCHPNDVTYGALVDGLSKAGHIRKAFEVYATLIGTSDSAESDFYFACEDKDTVAPNVVTYGALVDGLCKAHKVTHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMVDGLCRICESDKALKLLSLMEKKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKAHLLLDEMKQTYWPVYLQGYRSAIQGFSKRFIASLGMLEEMESYGTVPIAPVYGILIDCFSKAGRLEIAMELHKEMMEIPSSVKVDNETYTSLIQALCLASKVEEAFRLYSEMTRRGLVPELSVFVCLIKGLIEVKRWNEALQLCYGICHEGIDDHLQMVKAMKESLYAATGEY >LPERR04G13520.2 pep chromosome:Lperr_V1.4:4:14109216:14113168:-1 gene:LPERR04G13520 transcript:LPERR04G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRPAAVAAALRASLRRACSSSFRAAGDSDDHLLLGLVEPPPAPPQQPQSRLAAKDFAFFQEPSPALPAAALPPPEAELISKVIRAFGGSGGDFHGKAERVLRRFREFLTESVVVAVLGAVRSPELCARFFLWADRQIGYSHTGACYNALGDVLGFGGRARAAERLLREIGEEDREVLGRLLNVLVRRCCRGGMWGEALEELGRLKDFGYRPSKATYNALVQVLSSAGQVELGFRVQKEMSEAGFGMDRFTVGCFAHALCKEGRWADALDMVEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLNKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNARDYAYAYKLLNRMTTCGCPPGYVVYNTFIGSICGQEKLPSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKDMMKKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVSCPPTVVTYTALIHAYLKAKRIPQAKDIFHRMVDAGCHPNDVTYGALVDGLSKAGHIRKAFEVYATLIGTSDSAESDFYFACEDKDTVAPNVVTYGALVDGLCKAHKVTHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMVDGLCRICESDKALKLLSLMEKKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKAHLLLDEMKQTYWPVYLQGYRSAIQGFSKRFIASLGMLEEMESYGTVPIAPVYGILIDCFSKAGRLEIAMELHKEMMEIPSSVKVDNETYTSLIQALCLASKVEEAFRLYSEMTRRGLVPELSVFVCLIKGLIEVKRWNEALQLCYGICHEGIDDHLQMVKAMKESLYGYQD >LPERR04G13530.1 pep chromosome:Lperr_V1.4:4:14113181:14115444:1 gene:LPERR04G13530 transcript:LPERR04G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASKTISIGFANCGLYGETRQLSPSYKNYPRRSSYKLLKVHAVQGNDGRRRLVDIIRIIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFAFALLNNFKMGFTYGLFIDAFKLAS >LPERR04G13540.1 pep chromosome:Lperr_V1.4:4:14126101:14127601:-1 gene:LPERR04G13540 transcript:LPERR04G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMAPSPPPELSLGDLKAVSMLGRGAKGVVFHVVPAAAAEAAGEDGMGVSLISSSSSMALKAVSREAARHKKTGSGGEDGHRRIWFERDVLMSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSIGVVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPPEQDATAAADSTPPPPSSPSPNRAKPRRQPGAALCFPFRSIGAATKPAASAADSPSPPSSTSRTASSSSSSSSSTTTTASSSTTARTTAKSNSFVGTEDYVAPEIIAGSGHDFTVDWWGLGVVLYEMLYGRTPFRGTNRKETFYRVLAKQPELVGEKTPLRDLIARLLEKDPEKRIGASGIKAHPFFNGVDWDRILRVARPPFIPPPTEDADGGEVLDVEKVVHEVFAANEGGAASRVGEKTSPESGGDVAGGEQRRDPSKEGDFSVFF >LPERR04G13550.1 pep chromosome:Lperr_V1.4:4:14138698:14147602:-1 gene:LPERR04G13550 transcript:LPERR04G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPTRGPTTSSTPSFRVGMVRAVSFLVGGLNVAVLLLGIYLIDGVLPPGCGGGLALAAAPALAGVRVLAMIGAARAQHATADAIARRHLDEGAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLFGAIYLIYVIVRDLSDKRRATSCFFGQDETDQASRQALIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKSENLPHLQTDLTEAPLKLIQEAANLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFAHIPAKALLRGRVCQSKREAAYFVVVLHDKKTVVIAVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILEAARELFMQLNDCTGDNPSSRTVGFLPTLVGEGSECHGYKIRVVGHSLGGAVATVLGMMLFGRYPDVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNKYHDSGRDGGVMEDYTDRGKMATGRVASNEQQFPRQDAACTSEPDLPNLQNGFDGYNGSNSSIDEYMSSQDLQAGCDVQAISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHCGEEEASSLFGNAGACREVNHHIKLFWQKERTSLILLSLLSCLRITYRGENSGSANVRKLH >LPERR04G13550.2 pep chromosome:Lperr_V1.4:4:14138679:14150017:-1 gene:LPERR04G13550 transcript:LPERR04G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFRIRGVRRMSAALAAVNAAAVAVGAAAEWMGVMSTERCERRREVAAAGAAVAVLAAVRIAVMVGAAHAQEVTAVAIVASGADGGEGRPTQEFAKRETRVRYKRWLWWTRFGMAVGAMQLFGAIYLIYVIVRDLSDKRRATSCFFGQDETDQASRQALIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKSENLPHLQTDLTEAPLKLIQEAANLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFAHIPAKALLRGRVCQSKREAAYFVVVLHDKKTVVIAVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILEAARELFMQLNDCTGDNPSSRTVGFLPTLVGEGSECHGYKIRVVGHSLGGAVATVLGMMLFGRYPDVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNKYHDSGRDGGVMEDYTDRGKMATGRVASNEQQFPRQDAACTSEPDLPNLQNGFDGYNGSNSSIDEYMSSQDLQAGCDVQAISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHCGEEEASSLFGNAGACREVNHHIKLFWQKERTSLILLSLLSCLRITYRGGVTMPCREFWKRKCPQTSLILIHP >LPERR04G13550.3 pep chromosome:Lperr_V1.4:4:14147609:14150017:-1 gene:LPERR04G13550 transcript:LPERR04G13550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFRIRGVRRMSAALAAVNAAAVAVGAAAEWMGVMSTERCERRREVAAAGAAVAVLAAVRIAVMVGAAHAQEVTAVAIVASGADGGEGRPTQEFAKRETRSSLAPSSRDPTKAFLPPPPPPLLFPCPPPTTRESPLSMAGAAAG >LPERR04G13560.1 pep chromosome:Lperr_V1.4:4:14157806:14171670:1 gene:LPERR04G13560 transcript:LPERR04G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGNFIAAGNWKLHGALCKQLHKVVLEILDAIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLALTADNVLAKFVKARYALLECLHQLEETLPEASSSQILDIANDLEKAVFALDRTEKQAGADVNQLIQNEAKSNGFLDENELEFFRQTAFRVGVASSAAALTERRALRRLLERAHAEEDMKKETVASYLLHLMRKYSSLFRSETSDFTNSSLCSSPSCSSSSLASSIDFQGNGLTVEKPISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIKKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLTSSKCLVTNGVSTVLFEDTCAKDDVKGANKVSSKKCTRQNSGDAPSEICEVEVSPERRPHENCGKVAQETCELWLRVLDKNDEECVDEQREVVEQIRFLLKDDGELRNYAGGNGITELLIHYLKKAVCRDDVQCQVIGTMALFNLGVSNDRNKKQLLSGGVIPLIEQMIQKPETYEAAIAMYLNLSCLPEAQVIIGPSKATPLLIRALQDDNSSRSKTCCLDALLTLYNLSLQASNIPTLIVSGVLESLQELLIPSSPRTEKAIAVLINMALTRAGKQEIAANSAMVGAIVVILDNGEPAEKEKAVSCLWIICSGDEGGSQMVLQEGVIPALVSLTANGTGKTKEKAQRLLLLFRGKRQRELEQMQPRVQLHDVVSQATAQAQHEQQQQEEVTAAAAKRGSEPAACGKRPRLRKSKSKLRRFTRAVARLLKKWGMR >LPERR04G13560.2 pep chromosome:Lperr_V1.4:4:14166607:14171670:1 gene:LPERR04G13560 transcript:LPERR04G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGNFIAAGNWKLHGALCKQLHKVVLEILDAIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLALTADNVLAKFVKARYALLECLHQLEETLPEASSSQILDIANDLEKAVFALDRTEKQAGADVNQLIQNEAKSNGFLDENELEFFRQTAFRVGVASSAAALTERRALRRLLERAHAEEDMKKETVASYLLHLMRKYSSLFRSETSDFTNSSLCSSPSCSSSSLASSIDFQGNGLTVEKPISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIKKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLTSSKCLVTNGVSTVLFEDTCAKDDVKGANKVSSKKCTRQNSGDAPSEICEVEVSPERRPHENCGKVAQETCELWLRVLDKNDEECVDEQREVVEQIRFLLKDDGELRNYAGGNGITELLIHYLKKAVCRDDVQCQVIGTMALFNLGVSNDRNKKQLLSGGVIPLIEQMIQKPETYEAAIAMYLNLSCLPEAQVIIGPSKATPLLIRALQDDNSSRSKTCCLDALLTLYNLSLQASNIPTLIVSGVLESLQELLIPSSPRTEKAIAVLINMALTRAGKQEIAANSAMVGAIVVILDNGEPAEKEKAVSCLWIICSGDEGGSQMVLQEGVIPALVSLTANGTGKTKEKAQRLLLLFRGKRQRELEQMQPRVQLHDVVSQATAQAQHEQQQQEEVTAAAAKRGSEPAACGKRPRLRKSKSKLRRFTRAVARLLKKWGMR >LPERR04G13560.3 pep chromosome:Lperr_V1.4:4:14165689:14171669:1 gene:LPERR04G13560 transcript:LPERR04G13560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGNFIAAGNWKLHGALCKQLHKVVLEILDAIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLALTADNVLAKFVKARYALLECLHQLEETLPEASSSQILDIANDLEKAVFALDRTEKQAGADVNQLIQNEAKSNGFLDENELEFFRQTAFRVGVASSAAALTERRALRRLLERAHAEEDMKKETVASYLLHLMRKYSSLFRSETSDFTNSSLCSSPSCSSSSLASSIDFQGNGLTVEKPISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIKKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLTSSKCLVTNGVSTVLFEDTCAKDDVKGANKVSSKKCTRQNSGDAPSEICEVEVSPERRPHENCGKVAQETCELWLRVLDKNDEECVDEQREVVEQIRFLLKDDGELRNYAGGNGITELLIHYLKKAVCRDDVQCQVIGTMALFNLGVSNDRNKKQLLSGGVIPLIEQMIQKPETYEAAIAMYLNLSCLPEAQVIIGPSKATPLLIRALQDDNSSRSKTCCLDALLTLYNLSLQASNIPTLIVSGVLESLQELLIPSSPRTEKAIAVLINMALTRAGKQEIAANSAMVGAIVVILDNGEPAEKEKAVSCLWIICSGDEGGSQMVLQEGVIPALVSLTANGTGKTKEKAQRLLLLFRGKRQRELEQMQPRVQLHDVVSQATAQAQHEQQQQEEVTAAAAKRGSEPAACGKRPRLRKSKSKLRRFTRAVARLLKKWGMR >LPERR04G13560.4 pep chromosome:Lperr_V1.4:4:14157806:14165346:1 gene:LPERR04G13560 transcript:LPERR04G13560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGPSRPQRQGVGEMPSRYGGGASEQGGGGAGRAFFADAPPVVERGATARTFFPVPGGGGEQQQQQPAAAERAMRHYGGGGGSAEISLGHGHGHGQHHFHQFGVEAKDGQSLMARHNSSPPGFFSSPVMDNGFSSSTRGGLGEVRHATMSSNNKKMKSPLNFGRQGSLSHISEDGIPDLIDNVHGAQGRHEENISTDHVVRSFSGGFSIGSWEDSNSNIVFSTSTGKSGVHNEDDIIVTLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVEHIKGLQNQLKTLKEDKEKCTCSCKQASRK >LPERR04G13560.5 pep chromosome:Lperr_V1.4:4:14157806:14158717:1 gene:LPERR04G13560 transcript:LPERR04G13560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGPSRPQRQGVGEMPSRYGGGASEQGGGGAGRAFFADAPPVVERGATARTFFPVPGGGGEQQQQQPAAAERAMRHYGGGGGSAEISLGHGHGHGQHHFHQFGVEAKDGQSLMARHNSSPPGFFSSPVMDNGLVHAFYISSAVQCSHPIYICTSVVI >LPERR04G13570.1 pep chromosome:Lperr_V1.4:4:14173145:14180312:1 gene:LPERR04G13570 transcript:LPERR04G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDLCLCGLSVRSGLAAAYRLRKRGVQVTVFEAANRAGGKIRTNSESGFIWDEGANTMTESDLEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKIKLFLEPFLYEKSSKINSGKVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLNSKGGSAKMGGSSPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACSCDGVSSSGGWSISVDLKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKSGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKRPLEGFGVLIPYKEQQKNGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSYNRDLAGAPTDILKQLVTSDLRKLLGIEGQPTFVKHVHWRNAFPLYGHNYDLVLEAIAKMEKNLPGLEHS >LPERR04G13580.1 pep chromosome:Lperr_V1.4:4:14185075:14192961:1 gene:LPERR04G13580 transcript:LPERR04G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPTAAIAGGRQVWPVTEEHHHHHHHHSRQLRGDEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAEIRADHEEIRADVSPLFLAAGNGDAALVRTLLSLIFGANVHGVQAKGADVNGKVFRGYPTTAAAREGRAEVAVLLVRAGASQPACEEAVVEAALQGQAALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHNNVDCTALFAAIVSRQVAVVRQLLEAGVRRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGSILRMLLQNGYSSGATHLGRTLLHHAVLCGSTGAVQTLLATSGADHEAPVKTSSRGSRSSRPAHLAARLGQAEILEMLIAKGCDVNARAEGGDVEAILAARHRREDCLRILVSAGADVALMNSAGESAASVACSGGWNVGFDRAVLAVIRSGTIPRSSDRNVFSPMMFAARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVEAFRALVFAGANVKLSDRRGETAIGIAQQSKKRDMFEQVMLEFALEKGMPGGGFYALHCASRRGDATAVRRLATTGCGDVNVPDGDGYTPLMLAAREGHAAVCELLIAHGARCDARTARGETALSMARAALATSPFNKAEEVIMDELGRRLVLAGGRVAKHTKCGRGRPHGKSLRMVAAAGVLRWGGSTRRNVVCREADVGGSSAFQLHRQRRGGDAYEAGLFRVVTATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >LPERR04G13580.2 pep chromosome:Lperr_V1.4:4:14185075:14192961:1 gene:LPERR04G13580 transcript:LPERR04G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPTAAIAGGRQVWPVTEEHHHHHHHHSRQLRGDEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAEIRADHEEIRADVSPLFLAAGNGDAALVRTLLAKGADVNGKVFRGYPTTAAAREGRAEVAVLLVRAGASQPACEEAVVEAALQGQAALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHNNVDCTALFAAIVSRQVAVVRQLLEAGVRRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGSILRMLLQNGYSSGATHLGRTLLHHAVLCGSTGAVQTLLATSGADHEAPVKTSSRGSRSSRPAHLAARLGQAEILEMLIAKGCDVNARAEGGDVEAILAARHRREDCLRILVSAGADVALMNSAGESAASVACSGGWNVGFDRAVLAVIRSGTIPRSSDRNVFSPMMFAARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVEAFRALVFAGANVKLSDRRGETAIGIAQQSKKRDMFEQVMLEFALEKGMPGGGFYALHCASRRGDATAVRRLATTGCGDVNVPDGDGYTPLMLAAREGHAAVCELLIAHGARCDARTARGETALSMARAALATSPFNKAEEVIMDELGRRLVLAGGRVAKHTKCGRGRPHGKSLRMVAAAGVLRWGGSTRRNVVCREADVGGSSAFQLHRQRRGGDAYEAGLFRVVTATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >LPERR04G13590.1 pep chromosome:Lperr_V1.4:4:14193935:14196450:-1 gene:LPERR04G13590 transcript:LPERR04G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRGAALASRSIRAAAAASTAVHRLPSDGSLAGAGALAPTKLFLLESRRGFAKGKKSKDNGRGDTVQDTPDIGPTVKSAATQQMEASVVALSRELSKLRTGRASPGMLDHIIVETADVKVPLNRVAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENVQALCKVVTKSAEDFKQGIRRARQKALDTIKKSSSGMPKDDVKRLEKEIEELTKKFIKSADDMCKAKEKEISGN >LPERR04G13590.2 pep chromosome:Lperr_V1.4:4:14193935:14196450:-1 gene:LPERR04G13590 transcript:LPERR04G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRGAALASRSIRAAAAASTAVHRLPSDGSLAGAGALAPTKLFLLESRRGFAKGKKSKDNGRGDTVQDTPDIGPTVKSAATQQMEASVVALSRELSKLRTGRASPGMLDHIIVETADVKVPLNRVAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPHYARSSLNLLRISNKALDTIKKSSSGMPKDDVKRLEKEIEELTKKFIKSADDMCKAKEKEISGN >LPERR04G13600.1 pep chromosome:Lperr_V1.4:4:14198619:14204518:1 gene:LPERR04G13600 transcript:LPERR04G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAGPTKYRPFTSKSRNFVYFLHLLSIALGSIAAISSTSWHETSAFSNRSADHQLMPAMGLWESIRTLFGEGGGCLPRIGKKGSESDDLYSYSMDHEKRKGSERPAAEEVVTVEVPEVSVKELNEITNFFSNENLIGQGSYAKVYRVLMRGARPAVVKRLEKPSKHASNDVFLKQLSVASRLKHDNFVRLLGYTISNDLRVLVYEYAAMGTLHDVLHGPRDTAQAWGEADQGGGGGKALSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFDGFRAKLADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQDCIDPKLGDNYPPTGALKLGRIAVQCLQYDPTFRPSMGTIARVINYAVVRDQQGVV >LPERR04G13600.2 pep chromosome:Lperr_V1.4:4:14198660:14204518:1 gene:LPERR04G13600 transcript:LPERR04G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMGLWESIRTLFGEGGGCLPRIGKKGSESDDLYSYSMDHEKRKGSERPAAEEVVTVEVPEVSVKELNEITNFFSNENLIGQGSYAKVYRVLMRGARPAVVKRLEKPSKHASNDVFLKQLSVASRLKHDNFVRLLGYTISNDLRVLVYEYAAMGTLHDVLHGPRDTAQAWGEADQGGGGGKALSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFDGFRAKLADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQDCIDPKLGDNYPPTGALKLGRIAVQCLQYDPTFRPSMGTIARVINYAVVRDQQGVV >LPERR04G13610.1 pep chromosome:Lperr_V1.4:4:14201975:14207575:-1 gene:LPERR04G13610 transcript:LPERR04G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVTPSPRSVSRAYDKHRNKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSAIPDGTKSVGLAVTTIAYIYTFIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGAIELEDAQNHRTSDSFVNMTAGAADDRWMLEEMLVALKAENIRHIHG >LPERR04G13610.2 pep chromosome:Lperr_V1.4:4:14201975:14207575:-1 gene:LPERR04G13610 transcript:LPERR04G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVTPSPRSVSRAYDKHRNKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSAIPDGTKSVGLAVTTIAYIYTFIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGAIELEDAQNHRTSDSFVNMTAGAADDNIRHIHG >LPERR04G13610.3 pep chromosome:Lperr_V1.4:4:14202458:14207575:-1 gene:LPERR04G13610 transcript:LPERR04G13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVTPSPRSVSRAYDKHRNKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSAIPDGTKSVGLAVTTIAYIYTFIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQDTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGAIELEDAQNHRTSDSFVNMTAGAADDHDE >LPERR04G13610.4 pep chromosome:Lperr_V1.4:4:14201975:14207575:-1 gene:LPERR04G13610 transcript:LPERR04G13610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVTPSPRSVSRAYDKHRNKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGAIELEDAQNHRTSDSFVNMTAGAADDRWMLEEMLVALKAENIRHIHG >LPERR04G13610.5 pep chromosome:Lperr_V1.4:4:14202458:14207575:-1 gene:LPERR04G13610 transcript:LPERR04G13610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVTPSPRSVSRAYDKHRNKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTELTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGAIELEDAQNHRTSDSFVNMTAGAADDHDE >LPERR04G13620.1 pep chromosome:Lperr_V1.4:4:14207652:14208491:-1 gene:LPERR04G13620 transcript:LPERR04G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTQDQTGNFSITGQKERQKGKKNAIRPREIVNKYTRNARPPSFRSVSPTITSPSPFPLELNEISAASEAGQGGGDPTPPPDLPVRCRERNPDHADPTGARFAGVGVDPADSRPQLSRGGQRDQRPRL >LPERR04G13630.1 pep chromosome:Lperr_V1.4:4:14213140:14215927:1 gene:LPERR04G13630 transcript:LPERR04G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGRGCKRVWEAATAKAIGNDDGRGYEMGVGLWRRGRNGCAAAAMARRARHNVARQVDFEMTMWPLSAFLREDDLRASARLLRGLPAVPEETKQFVLALRESPGSRGGGGVIYVLAAQNLSEQSAADADRLIRGVRPGAVVTQVAHTAADDVRIEEECLEAGGAGGVPASPFQVIRRCVTERRSKDQYVKAAACQVLQEIFGVGFYGHLLASKRAAEETGSCFLLLESPYERSCNGSASGGQTTIEGSSGQQLVSSCSISQSAIDDGASGQVQGSCLLPRSTSSIVSSRVNKICLVDDIGGQLVKSLVPAVNLLMSQAISSDGVSECRTTECKPTDRYEAPPFAQSVYPLLADLYDIFVDIPSIGKAMASAQILLRQVHDGKPISSEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHDSKKMEFSELNSEEKCHILLVQALRSQLKEFGSVVAIVDASCLAGIRRHWDTPVPPEIAQLASSCCKHYRNKNDSEDNELPSVGSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASSAFLKLAPYKTPLVLKYGLIQLQRHASIVLSKVISNGVFSASSNASVLQYAASSEKIRAVAHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEAAPSVPMIATLGRGLESLRLTSQEVRRTRGQHVKEALGALMSNLKKTAK >LPERR04G13640.1 pep chromosome:Lperr_V1.4:4:14216535:14219071:-1 gene:LPERR04G13640 transcript:LPERR04G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQQAPSPISGRIQHRLVSVSSPVSGGPRRAARRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEVYVIGEEGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >LPERR04G13650.1 pep chromosome:Lperr_V1.4:4:14224249:14226382:1 gene:LPERR04G13650 transcript:LPERR04G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPYKGIDGGEADDDGRQPRRTGTMWTASAHIITAVIGSGVLSLPWGVAQLGWVAGPAVMVLFGAVIHYCSVLLVECYRSGDGAGTGGRRNRTYMDAVRANLGGAKVRLCGVLQFANFFGVCVGITIASSISMLAIRRAGCFHVRGRDQREACGGSSRPYMVVYGALQVVFSQIPDLHKMSWLSTLASVMSLSYSAIGISLAVAQVIANGGIKGTIIGVFIGGAGAGVGVTSMQKIWRTLQAFGNIAFAYGFSFILLEIQASHSPLRSFLNSWLLMLIDTVKEVAPPATETKVMRKAVGVSVATTTIVYLLCGCAGYAAFGDTSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDRRAAEGTWPGSAWLGGGKERVLLRVGPTARVTVSPFRLAWRTAFVCVTTAASTLLPFFGSVVGLIGAASFWPLTVYFPVEMYVASRRVPRWGARWVCLQALSAGCLAVSLAASAGSVAGVVEAFKAHNPFCWTC >LPERR04G13660.1 pep chromosome:Lperr_V1.4:4:14226711:14234957:1 gene:LPERR04G13660 transcript:LPERR04G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPSLSCGHSITPTFAHNLFLRNAVAERRGGIRSGRRRMEMLRVTFLASSEEAFSMSHMPIFLLLIIMLVNRSIDKDVFEPLVMRISRIEQRFFRSVGSYETGDKGLLMQEFPEKIKWELQHLEELFEHIKEDKEEVYRGIRSVNLAISEWQRRLAVAYKKASIVPRPFEGMKWAVEHYEMWAELEDDDIIGSDDEILDFDENELFKSLRYRKTAQGGEEACLLESIKSGTQCIKNVLATIRSRKEADNRSWCIVEQIFSPLLKLLKTIDHLVSEAIARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVDEYFRLMEDLILPLLTCLKATYNDRSEALSFLDSVKNGVNNLEGVLHKIKRKQLDGNDNFHIVEAAFSPLLTCLCTYRCISLEALAHEDKSAAFVLLDNIKDELSQLKDVLHMVQEKENGIYNNFDAIEEHIDEIYVGPMNVEGSLKPIHIGGLRDKLQLIHEEIANIRGKVNDSFKVQEASSHVVAAAHEASSSHQFLASETFCINMESAQIWQLKGIIDEQETRLRHCLLSLSVFPVDAIIKKRLLIHWWIGEGFVTSVSEGKSFFNKFMLSDGFIKPIKKCHCDKVHWCKVQPWIRGLLIEAAKSKAFVELSSDGSSRNDFTRTRRACLHAGKVLSTFHPDVLTIYNIKQQYIELDKTWFSKKTCLSTLQLGQWQDTNYDPRAHHIEINNSKFLKQVSSCRQLKYLSLRGISRIDALPPSIGKLSRLVILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSSIPKGLGKLFQLEVLKGFVLSNAKSKDPCHLNELAMLKKLRKLSIRIGYNIDSSQFANFGEFCALQSLTLTWGAHGSSASHVAAPAMPCVLPLGLEKLELRCFPLAELPHWVSPEKLRNLKKLYIKGGYISGLGDMNCWEVTILRLRFLKHMNYAWTALNDSFRKLDVLEVYECENLLPWPYCEKGLWRKEPNGTLLC >LPERR04G13670.1 pep chromosome:Lperr_V1.4:4:14228287:14231415:-1 gene:LPERR04G13670 transcript:LPERR04G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLHAESVQEQGRLLGVESLFQELHTQFRMVHMRISGINDSALLMINANNERYYQLLQRLWSLTLDIDDMLNKVSCHLTKTRVLSIQVHSSFILRRLPFRHHFVRKIKQSITTLQECYAQTYRILFPAKHRDTSTHMACRGAHSIGLEGILGREKEVDDVLRIMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVLVSSSFDAMIILSRIVEFLTTRQCNTEDYENLQCLVKEQLSGRRFLIVLDDVWDQNLQKWKQLIEVLESVGKPGSKMIVTSRIPDVVTMINSLRPYTLNRLLPIDSSKLLTQWMQNSAELPPRLIPIRKMFADKCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFNSDPLLLDATYISYQQLPSNIQQCFLYCSLFPVHSFIPEQLTDIFFADDLIKLLSSKSDMHMYFSKIMSEHYYDVVQKPRYKGKTIYKMHPGMQLLAQRISRGFHLAIDARKELVWPTENTDNARCLSLLVDSNTSKLPTELFEMRNLRTLILLGDEKMLLSDKKCSIIDIPEELCKCLTAMRVLHMQSCRIKRIPKVIDMLKTLAYLNLSHNDIEIIPDSICNLQFLTHFNLSRTEIAELPELVGKMQSLQVLDLSHCEKLLGLNESVSNLVNLQILNLEGCHYLAILPKSMKNLKSLTYLNVFECPSLTKMPCRMNQLKNLKILPRYIAVENHEHTISELRPLVSLNELGICDMENASSDDARNVTLQEKTKLESLALSWTRCCADPMTSSRAQQILENLKPSRGLKVLRIFSCPAKKLPSWITCTSPYLKSLTEIKLVNLACECLPPLGQLPLLKNVELSGINAVTRVGEEFYGDNGTFASLEKLSFIHMHNLEIFHPSQREVLFPNLQELTITQCPKLRGVHVKLPVVKKLIMLMNNEKLIESRGALEGFSHNLKSLSVSMCDELLESSGCDGLQELHGIEELHISRCTELISLPHGMQHLSFVRILTITECTNLETLPEWLKNFTSLRSLCIYDCPKLCIPKSLNNLSNLQISLE >LPERR04G13680.1 pep chromosome:Lperr_V1.4:4:14237394:14237675:-1 gene:LPERR04G13680 transcript:LPERR04G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAPRGGDSSRSCTAAVATNGGGVRLRRRGFVLCAIDRHGVRLAVSGQFLSKSDLRRLYELCFCLRELLLVQRPAEQARLPSVGRVRAHAA >LPERR04G13690.1 pep chromosome:Lperr_V1.4:4:14238088:14254768:-1 gene:LPERR04G13690 transcript:LPERR04G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFTCLRMLILSVVTSMANIPAMLNLVTYLNGTMHMGVSSSSTTVTNFIGATSGFALLGAFLSDSYITRSKTILLFGPLEFLGFGLLALQAYLPSLHPLPCNTEAELRNCEEVHGLNNVILHVGLYTWAFSEGCMRACAPSLGADQFDHEDPSESRQQSSFFNWYTFGISLGGFIGLILIVWLENYKGWDIGFGVCALIILLGLVVVASALPLYRNQVPEGSPLTRILQVLVVAFRNRNYELPEKLEEAQENHNGPDSIEVPCHTDCLKYLDKASINHGEDGAWSVCSTKKVEETKIVLRMLPLFISSMVGYISNPLLLTFTVQQGSMTNTSLGKIHISPATLFVIPITFQMLMLAIYDRFLVPFMRKRTGYVCGITHLQRVGLGFASMIFASVIAAIVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPRGMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHGGWLEGTTLNNSHLDLFYWVVSVVGLLGFLNYLYWAKKYVYRHDPRILFALRSCPNSANFSLVAYMHGTLHLDIVTSSSMITYLVGVVSFFAALMNILSYAYIKPNTAIFVFGPFAILGYMLLALQSHLPSLHPPICEINKDPSKCVPAHGRNLALLYLSLSLFAIGEGCMRACIPTLGKDQFNNDDPQESRLRSSFLSWLKSANSLGALIGLVFLVWIENNLGWDIGFMLCALIVLVGLVIAASGLPFYGMRRPNGSPVTRILQVLVTSSKKRRAAVVDVIELQEISTTNHVDGEGEDKSDSKSTCTTQLDEKGESITRMLPIFISCLLIYLPFTTMDTRIGMIQIPSASLVAIPTAFHMLMQPCYRRILTPLLRRYTGHTNGITPLQHIGAGSACGTVAACIATLVEAKRLMVVKQQGLTLVAAGVPMSIFWLVMQFFLLSIMDVASFGGLVEFIKSEAPDPKRKHIAPAAQFILVGVAAWSGWAFIQLINRVTRHGDNGRGWLDGTDFNSTRLDRFFFLLATFELMAFINYVFWARRYTGKKRVITAVIKRERLELISRTMAIGGFVDWRGNPINRKVHGGVRAAWFVYLMNIVNVPNMLNMVTYLHGTMHMGVSSSSTTVTNVLGATSGFALLGAFLSDSYITRARTLLLFGPLELLGYGLLALQAYLPSLRPPPCNIDAEVSSCEEVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISLGGFIGLILIVWLENNKGWDIGFGVCALLILLGLLVVAAGLPLYRNQVLVVAFKNRKLQFPEKLEEAQQASITEQGSTEVTEVPSKTNSSLKFLDKACINGGKDGPWSVCSANNVEETKAVLRLLPVFISSLIGYMSNPLLFTFTVQQGGMTNTRLGRISVSPATLFIIPSAFQLAMLPAYDRLLVPLLRRRTGHAAGVTHLQRVGAGFAAVIVASAIAAVVERKRKAEEGMTRKMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNRATRRRGGNGGGGWLEGATLDSSRLDLFYWVVAGVGLVGFVNYLFWASRYRYRQDPRVAAVEASSGDRDSP >LPERR04G13690.2 pep chromosome:Lperr_V1.4:4:14238088:14254768:-1 gene:LPERR04G13690 transcript:LPERR04G13690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFTCLRMLILSVVTSMANIPAMLNLVTYLNGTMHMGVSSSSTTVTNFIGATSGFALLGAFLSDSYITRSKTILLFGPLEFLGFGLLALQAYLPSLHPLPCNTEAELRNCEEVHGLNNVILHVGLYTWAFSEGCMRACAPSLGADQFDHEDPSESRQQSSFFNWYTFGISLGGFIGLILIVWLENYKGWDIGFGVCALIILLGLVVVASALPLYRNQVPEGSPLTRILQVLVVAFRNRNYELPEKLEEAQENHNGPDSIEVPCHTDCLKYLDKASINHGEDGAWSVCSTKKVEETKIVLRMLPLFISSMVGYISNPLLLTFTVQQGSMTNTSLGKIHISPATLFVIPITFQMLMLAIYDRFLVPFMRKRTGYVCGITHLQRVGLGFASMIFASVIAAIVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPRGMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHGGWLEGTTLNNSHLDLFYWVVSVVGLLGFLNYLYWAKKYVYRHDPRILFALRSCPNSANFSLVAYMHGTLHLDIVTSSSMITYLVGVVSFFAALMNILSYAYIKPNTAIFVFGPFAILGYMLLALQSHLPSLHPPICEINKDPSKCVPAHGRNLALLYLSLSLFAIGEGCMRACIPTLGKDQFNNDDPQESRLRSSFLSWLKSANSLGALIGLVFLVWIENNLGWDIGFMLCALIVLVGLVIAASGLPFYGMRRPNGSPVTRILQVLVTSSKKRRAAVVDVIELQEISTTNHVDGEGEDKSDSKSTCTTQLDEKGESITRMLPIFISCLLIYLPFTTMDTRIGMIQIPSASLVAIPTAFHMLMQPCYRRILTPLLRRYTGHTNGITPLQHIGAGSACGTVAACIATLVEAKRLMVVKQQGLTLVAAGVPMSIFWLVMQFFLLSIMDVASFGGLVEFIKSEAPDPKRKHIAPAAQFILVGVAAWSGWAFIQLINRVTRHGDNGRGWLDGTDFNSTRLDRFFFLLATFELMAFINYVFWARRYTGKKRVITAVIKRERLELISRTMAIGGFVDWRGNPINRKVHGGVRAAWFVYLMNIVNVPNMLNMVTYLHGTMHMGVSSSSTTVTNVLGATSGFALLGAFLSDSYITRARTLLLFGPLELLGYGLLALQAYLPSLRPPPCNIDAEVSSCEEVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISLGGFIGLILIVWLENNKGWDIGFGVCALLILLGLLVVAAGLPLYRNQVPEGSPLTRIVQVVLLPGSNMMLHQFSRKEIFCALVNCDLIYPRFLWLHSRTGSFNFLRSWKKHNKQASLSKALLKFLDKACINGGKDGPWSVCSANNVEETKAVLRLLPVFISSLIGYMSNPLLFTFTVQQGGMTNTRLGRISVSPATLFIIPSAFQLAMLPAYDRLLVPLLRRRTGHAAGVTHLQRVGAGFAAVIVASAIAAVVERKRKAEEGMTRKMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNRATRRRGGNGGGGWLEGATLDSSRLDLFYWVVAGVGLVGFVNYLFWASRYRYRQDPRVAAVEASSGDRDSP >LPERR04G13700.1 pep chromosome:Lperr_V1.4:4:14260370:14264981:-1 gene:LPERR04G13700 transcript:LPERR04G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLSMPGSSGVLDAVGGKKRITFFSNRYVLALTSAAGIGGFLFGYDTGVISGALLYIRDDFPAVKDNYFLQETIVSMALVGAIIGAAGGGWINDAHGRRKSTLVADMMFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAVLQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSEGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNTAGTIVGIYLIDRCGRRRLALTSLAGVVVSLVVLAMAFIMQSSSGLCSGALDGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGWVGTGLTFLIIAGIAVLAFIFVAVYVPETKGLSFEQHWVNQAVLVLNLVYEEDCKGCALPSQVYRSGVSDLADLQSSVVEYWFNTEPSSVSSWRAFMAWPDPWRRVHGHPFPAQLVQPRPRRSPLMLAIYDRFLVPFLRKSTGYVCGFAYMIFASVIAAVVESKRKEAALQMSLFWLAPRFSLLGVSDVTSFVGLLEFFNSEAPRGMKSIGTQRCSGARYIGHASWKGTFMVELVNKATRHRRHGGCWPEGKTLSSSHLDLFYWVLAVVGLLGFLNYLFSCSGRRSISIGMIHASALSPHRLIRTYHNILHLKHHVFLENLVNF >LPERR04G13710.1 pep chromosome:Lperr_V1.4:4:14270567:14278412:1 gene:LPERR04G13710 transcript:LPERR04G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQLNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGLLEKRIEMPNDRELTCGICFESCPRTSMSAAACGHPFCSVCWRGYISTSINDGPGCLMLRCPDPSCTAAVGQDMIDSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGGGSYDVNCDCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDDAERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSQGACSKNTTTKSLGGKSKSGKSRASSTSSKTGGTGRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >LPERR04G13720.1 pep chromosome:Lperr_V1.4:4:14278714:14279457:1 gene:LPERR04G13720 transcript:LPERR04G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSMLLATSLLVAPSTAHWDHHSPASAPHPHHHHHHHHAPAPSPSHRAPSPSSSWAPGHSPIAPATHSPAPSPQGDHGHAPAPAPVHDNQPVEAPSPNYHHAPAPAPVHHRHHHHCGHHHHASAPAPVHASEPAQAHAPSPYQHGAVPVPAPAQLPETNWSPAPSPSSSSSHVDQSPSPSPTPVSGGAPQASPPVQPPSALAPSYYGYNPAAAPAPAPESSSAAFAGLAGGAGLLAVTAVALLL >LPERR04G13730.1 pep chromosome:Lperr_V1.4:4:14281063:14294914:1 gene:LPERR04G13730 transcript:LPERR04G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPRIHPSLLPTRPKPLLIPIAAATLTLPLSSAGSRSAETPTRAAASSGASPGRMARPEERLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSKLYNMEMQPVPNGLLDKRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSVAKKGRAGLVILHDCSKTLDGSTEELKSALSHKKEKISISAVRMLDPATVLSLFKRMSDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGVQFMKCFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKNYTKIKDEDKDKVKPPEAMCPNDGFVYFRNSELLSGQVGKKTLGNGNKDGMFSILVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQQKKKEIDEGYKTCHDLIASYSKGALTLQPGCDAAQTLELQITSALNKLRETAGNICMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQTVGGRRAPNGFIDRTLPHFPVNSVTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGDDGKPLNLDQLFMKVMATCPRRGQNTLSPVEILQILNDKLSEHEASSNHGCSEKFKQLLTNFLENRIKLLKSTRRALRLDEDHVEKDSSIEESIAANISGISRKQLQVFLDTCLSRYHSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMSILILDDVTLGVPRIKEIINAAKKISTPIITAELISQKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQCIEALHMGISADTVQLSILNHPKIKLKSEHVRVIDKAKLRIYPAGIDKSKLLYELHNLKSMLPKVIVKGIPTVERAVISEHGKDDNKTYSLLVEGTNLLAVMGTPGVDAMKTTSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILS >LPERR04G13730.2 pep chromosome:Lperr_V1.4:4:14281063:14294914:1 gene:LPERR04G13730 transcript:LPERR04G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPRIHPSLLPTRPKPLLIPIAAATLTLPLSSAGSRSAETPTRAAASSGASPGRMARPEERLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSKLYNMEMQPVPNGLLDKRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSVAKKGRAGLVILHDCSKTLDGSTEELKSALSHKKEKISISAVRMLDPATVLSLFKRMSDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGVQFMKCFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKNYTKIKDEDKDKVKPPEAMCPNDGFVYFRNSELLSGQVGKKTLGNGNKDGMFSILVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQQKKKEIDEGYKTCHDLIASYSKGALTLQPGCDAAQTLELQITSALNKLRETAGNICMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQTVGGRRAPNGFIDRTLPHFPVNSVTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGDDGKPLNLDQLFMKVMATCPRRGQNTLSPVEILQILNDKLSEHEASSNHGCSEKFKQLLTNFLENRIKLLKSTRRALRLDEDHVEKDSSIEESIAANISGISRKQLQVFLDTCLSRYHSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELISQKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQCIEALHMGISADTVQLSILNHPKIKLKSEHVRVIDKAKLRIYPAGIDKSKLLYELHNLKSMLPKVIVKGIPTVERAVISEHGKDDNKTYSLLVEGTNLLAVMGTPGVDAMKTTSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILS >LPERR04G13740.1 pep chromosome:Lperr_V1.4:4:14301810:14305755:1 gene:LPERR04G13740 transcript:LPERR04G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATKLPPRVSAYISHSPAVPTPSCSGLGVAGGTMKTPSLLVQCFPGLLPSKATSCVPIVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASSKYDGSLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLSPNVHFYAGDWEELPTILSVVQPPALATNLSFSEDDFMDGCSSHDGSSIVGHDNGPRRSRKLSGSRAWERASETDQADGGYDVILISDIPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >LPERR04G13740.2 pep chromosome:Lperr_V1.4:4:14302750:14305755:1 gene:LPERR04G13740 transcript:LPERR04G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRFAQVKGGTMKTPSLLVQCFPGLLPSKATSCVPIVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASSKYDGSLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLSPNVHFYAGDWEELPTILSVVQPPALATNLSFSEDDFMDGCSSHDGSSIVGHDNGPRRSRKLSGSRAWERASETDQADGGYDVILISDIPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >LPERR04G13750.1 pep chromosome:Lperr_V1.4:4:14306325:14321065:1 gene:LPERR04G13750 transcript:LPERR04G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKKGGAGRKAAKDHAGQLEGDQAALADELTALASIFLEDFKVTTESPHTRFSICIRPYSDGMGFGDLNVSAILDVTCFAGYPHKCPKLRILPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSASSAPWLGSSTFVQTTDADMKLKLDNGSYHGVTYIYNSFDLYSQLYDGGGWSAQGPDPTTESARKNTSSQLKYSLKGKRKTIIEKSRVSSDKVNVAMGLSQNNAGQQHIIKHDAVRETVSNLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHELEDSDLADEAWNDEDSGSDSGFSNTPSFVSDMFDDASRIKKKDLILVHLLRLACASKDSLSAALPAISSELCNIGILSEWAKDLISESPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNGAGSHTAESSMYSYEDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKLGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFPTAMERHLVLSDLKQKGDPPLSWATQFPGQSNLLKRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQHEGSKKSTGKSDNSELLDSIIEVGKEVFKRHCAKRFQISPLDTLGGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVVANQILSFKRYEISWVHRRAVGHSIPYRFLQGDFDIIGGAAPIPEAEIVKVTMDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSAFFKCLRVWSIEEHITIDVLMPPSECYYTDMFFQVYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDKTYKTKPPGAVGVSIALEKFLPNNPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKVGAAEVFSVRVSETVTRAQFVPQEDPSLQEQYEYASDHEIKCLLFITEAGVSQTELVKVRYLDAKREKEVKREELVNSRILQSGVDWQSALNSLVQPWLPFCEPRLRTLASVQDSETIGGLM >LPERR04G13750.2 pep chromosome:Lperr_V1.4:4:14306325:14321065:1 gene:LPERR04G13750 transcript:LPERR04G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKKGGAGRKAAKDHAGQLEGDQAALADELTALASIFLEDFKVTTESPHTRFSICIRPYSDGMGFGDLNVSAILDVTCFAGYPHKCPKLRILPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSASSAPWLGSSTFVQTTDADMKLKLDNGSYHGVTYIYNSFDLYSQLYDGGGWSAQGPDPTTESARKNTSSQLKYSLKGKRKTIIEKSRVSSDKVNVAMGLSQNNAGQQHIIKHDAVRETVSNLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHELEDSDLADEAWNDEDSGSDSGFSNTPSFVSDMFDDASRIKKKDLILVHLLRLACASKDSLSAALPAISSELCNIGILSEWAKDLISESPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNGAGSHTAESSMYSYEDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKLGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFPTAMERHLVLSDLKQKGDPPLSWATQFPGQSNLLKRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQHEGSKKSTGKSDNSELLDSIIEVGKEVFKRHCAKRFQISPLDTLGGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVVANQILSFKRYEISWVHRRAVGHSIPYRFLQGDFDIIGGAAPIPEAEIVKVTMDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSAFFKCLRVWSIEEHITIDVLMPPSECYYTDMFFQVYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDKTYKTKPPGAVGVSIALEKFLPNNPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKAQFVPQEDPSLQEQYEYASDHEIKCLLFITEAGVSQTELVKVRYLDAKREKEVKREELVNSRILQSGVDWQSALNSLVQPWLPFCEPRLRTLASVQDSETIGGLM >LPERR04G13760.1 pep chromosome:Lperr_V1.4:4:14323379:14324092:1 gene:LPERR04G13760 transcript:LPERR04G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSSSSSFVKFPLLPRGLLSYIPSSILPRSSSSTCVVSEAPAASPPPPPPTTKMATPSPAGISKKKAADAAELARVFEMFDRNGDGRITREELEDSLGKLGIPVPADELAAMIARIDANGDGCVDVDEFGELYRSIMAGDSNDGAGVAAEEGNGDGDDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGKVDRDGDGRVDFHEFLQMMRGGGFAALG >LPERR04G13770.1 pep chromosome:Lperr_V1.4:4:14326095:14329378:1 gene:LPERR04G13770 transcript:LPERR04G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEKILYKLKLARNKEERLVAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEMASELARLSGGIVLDIQEGNMIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRDRLRALRRYIPRLEQELVDLHAQMKLAGDHKGQSAARDIACISDSVKSTSVKEYSSGPVHKRGVSDLLSESIQGSTRPDNENYEVYNDSASESYTYSESEDLSDIFETDSDEQAEDSKEQPLYLDKLDKFPSENNETDDFEEHLRKIASLSDKTDSSAKELKVSELDEIDKIFLRASSLLRRR >LPERR04G13780.1 pep chromosome:Lperr_V1.4:4:14329608:14330611:-1 gene:LPERR04G13780 transcript:LPERR04G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEGAAATVVCCADEAALALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSANADHLPPPVPKSNNSKPQQASSVAAAVAPKPAVSAPAQEVPSSPFLPSPSGWAKGSPLGFKDLEWLDDIDLFHVPSPVKGGNACTAAEVPEFFASPQTASNMGFYKTSGARQSKKARVEIPDDDEDFFIVPDLGLDVM >LPERR04G13790.1 pep chromosome:Lperr_V1.4:4:14335528:14336475:-1 gene:LPERR04G13790 transcript:LPERR04G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFSGKAAVTSSSEEFGSMTSKKPRNTSPRGGPVSPKEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLGSPYMRAPPVPGAVPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >LPERR04G13800.1 pep chromosome:Lperr_V1.4:4:14336491:14340304:-1 gene:LPERR04G13800 transcript:LPERR04G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYTHAWFTTSGPHHLRRRAHPFCHRRSSCLFSSEPRRYAQTIASSSNSRSTHASLLLGVIVQHSSDLSISLEKRGKKT >LPERR04G13810.1 pep chromosome:Lperr_V1.4:4:14346722:14349593:-1 gene:LPERR04G13810 transcript:LPERR04G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGFRPMKTGNPLPAVGERTHTSQIPHSTSVAQSPPTAEVEAAESRRRRLPLPSAHATAAAIVLPIRPIDSPPDSSTEGTTAFRRFGPGNFTVPGSMSYFQATTYKPHNGILVDKVAVGFGSTCKLLRRQAVCSHSTRSSKLQEQVYPRLLLVAACHKRIGPVYASSGKENSDRVNDPFSMESLNKAIAGTKRQWSIQDMLADQISKIRGSGSGGNGGNKNRYGGSDGGAEDESLTESLYEVVQVLLATIAFILMYIHIIRGEEIYRLARDYTRYLVTGKRTSRLKRAMLNWNNFFEGITKDSVQEGTYKNSVTSEPVWWQQPLKFVHRIEELCRGYLRPHAQES >LPERR04G13820.1 pep chromosome:Lperr_V1.4:4:14351080:14361549:-1 gene:LPERR04G13820 transcript:LPERR04G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHYCQVSARTTTIRTQTQAISSIMANSPTPTMLALLALGLTLILSAAGPAAAQNCGCQSNLCCSKHGYCGTGNDYCGEGCREGPCYNKGGSSGGNTGVPVESVVTEQFFNGIKNQAPNNCVGKSFYTRQSFINAARSYSGFAKGRSNDDSKREIAAFFAHITHETGHMCYTEEINGASRDYCDRNNKQWPCQPGKAYFGRGPIQISWNYNYGPAGQAIGFDGLRNPERVSQDPTIAFKTALWFWMNNVHQVMPQGFGATIRAINGNLECNGKNPGAVNARINYYKDYCRQWRPMLALVFGLALLLSAAGPAAAQNCGCSPDLCCSKWGWCGLGGDYCGDGCQSGPCYRGGNAVGVDGLAGRKAAAGVDVTESNNNCSR >LPERR04G13820.2 pep chromosome:Lperr_V1.4:4:14361994:14366390:-1 gene:LPERR04G13820 transcript:LPERR04G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLVLGLGIVILSTAGSVAAQNGGGGGGNAGVPVDSVVTEQFFNGIKNKAPNNCVDKSFYTRQSFINAAHFYSGFAKGRSNDDSKREIAAFFAHITHETGDLCYTEEINRASDFCDRNNKQWPCQPGKAYFGRGPQAPN >LPERR04G13820.3 pep chromosome:Lperr_V1.4:4:14357118:14361549:-1 gene:LPERR04G13820 transcript:LPERR04G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHYCQVSARTTTIRTQTQAISSIMANSPTPTMLALLALGLTLILSAAGPAAAQNCGCQSNLCCSKHGYCGTGNDYCGEGCREGPCYNKGGSSGGNTGVPVESVVTEQFFNGIKNQAPNNCVGKSFYTRQSFINAARSYSGFAKGRSNDDSKREIAAFFAHITHETGHMCYTEEINGASRDYCDRNNKQWPCQPGKAYFGRGPIQISWNYNYGPAGQAIGFDGLRNPERVSQDPTIAFKTALWFWMNNVHQVMPQGFGATIRAINGNLECNGKNPGAVNARINYYKDYCRQFGVDPGGNLYC >LPERR04G13820.4 pep chromosome:Lperr_V1.4:4:14357118:14366390:-1 gene:LPERR04G13820 transcript:LPERR04G13820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLVLGLGIVILSTAGSVAAQNGGGGGGNAGVPVDSVVTEQFFNGIKNKAPNNCVDKSFYTRQSDYCDRNNKQWPCQPGKAYFGRGPIQISWNYNYGPAGQAIGFDGLRNPERVSQDPTIAFKTALWFWMNNVHQVMPQGFGATIRAINGNLECNGKNPGAVNARINYYKDYCRQFGVDPGGNLYC >LPERR04G13820.5 pep chromosome:Lperr_V1.4:4:14351080:14357011:-1 gene:LPERR04G13820 transcript:LPERR04G13820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRYTLEVIDSNIWRPMLALVFGLALLLSAAGPAAAQNCGCSPDLCCSKWGWCGLGGDYCGDGCQSGPCYRGGNAVGVDGLAGRKAAAGVDVTESNNNCSR >LPERR04G13830.1 pep chromosome:Lperr_V1.4:4:14368442:14370720:1 gene:LPERR04G13830 transcript:LPERR04G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIGARVVALLLLGCVAARAAGDGLLLNGNFEYTPNKSQMNGTRVMNEHAIPYWTITGFVEYISSGQMQGDMLLTVPEGAHAVRLGNEASIQQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQSNMLRNGDFEEGPYMFANAPWGVMVPPISEDEHSPLPGWMVMSYTKSVKYVDSAHYAVPRGARAVELVSGLETALMQEVATVPGRTYRLEFSVGDAGDQCVGAMQVRVYAGQGTVTVPYDSQGTGGHTRASLEFAAVAETTRVVFLSSAYITKWDGTLCGPVVDDASLVCVSQQAQPPARRLLRL >LPERR04G13840.1 pep chromosome:Lperr_V1.4:4:14370766:14382263:1 gene:LPERR04G13840 transcript:LPERR04G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLETWNETEMVQSSCCVATLLLVSVAAQSALAITDGLLPNGNFEEAPDRSQMNGSRVTGRYALPKWEITGFVEYIGSGQKQGDMILPVPEGAYAVRLGNEASIRQSISLTRGAHYSITFSAARTCAQAEQLNVTVTPESGELPIQTVYTSSGWDSYSWAFKAKHSIVTLIVHNPGVEEDEACGPLIDSFAITTLPLPQTNKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYSVPGGARAVELVSGMETAMVQEVSTVIGRTYRLEFSVGDAGDGCVGTLTVQAYASRGSVKVPYQSQGTGGYKRGVFEFTATEKRTRVVFVSMAYTMKTDGTLCGPVVDDASLVSVRSHRRRRHLMRRKTEMVQSTCCVWLLILLLGVAARSASGIMDGLLPNGNFEEAPEKSQLNGTRVMGRYAIPHWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITATPEIGEIPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLFPWTILGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVSTVPGRAYRLEFSVGDAGDWCAGSLSVQAYAAHGSVKVPYESRGTGGHSRASLEFTATDKRTRVVFVSMAYTMKTDGTLCGPVIDDVLLVGTNSHRRSIESWNSEAAEGSRLSTRELEMTGSTRRAMALLLCLLVGSAARTVSAVTDGLLPNGNFEAGADKSQMNGTVVTARDAIPNWEISGFVEYIESGHKEQDMILAVPEGARAVRLGNDATIRQRISVTRLTYYSITFSAARTCAQKEKLNVSVTPESGVLPIQTVYTSTGWDSYSWAFKAKHSVVWLSIHNPGVEEDPACGPLIDSVAIKTLYPPRRPKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVVKYVDSAHHVVPQGTRAVELVAGRETALVQEVATAPGRRYILSFSVGDAGNGCKDSLAVEAYAARATLRVPYESQGTGGHKRAVLEFAAVANLTRVVFQSYNYHMKPDGTMCGPLVDDISLVSVRKSNAPNHARARTSVPSCRDA >LPERR04G13850.1 pep chromosome:Lperr_V1.4:4:14382535:14385536:1 gene:LPERR04G13850 transcript:LPERR04G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKRTHYLALVVAVLVGVAAPGVFSVTDGLVPNGNFEHGPSKSQLNGTVVTSRYAIPNWEISGFVEYIPSGHKEKDMLLAVPEGAYAVRLGNDATIRQRISVTRQMYYSVTFCAARTCAQGEKLNVSVTPESGVLPIQTVYTSTGWDSYSWAFKAKHSTVWLSIHNPGFEEDPACGPLIDLVAIKTLPPPHRITGTMLRNGDFEEGPYIFPGTPWGVLLPPVDEDVHSPLPGWMVMSYTKVVKYVDSAHHAVPRGARAVELVAGRECALLQEVATVPGRRYNLSFAVGDVGNGCVGSLAVDAYAATATAKVPYESRGAGGHKRAGFVFAAVANRTRVVFHSSNYHTKSDGTLCGPVLDDVSLVSVGKHAARRLYQ >LPERR04G13860.1 pep chromosome:Lperr_V1.4:4:14393208:14393767:1 gene:LPERR04G13860 transcript:LPERR04G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSKRVDAALRRAPSFAAACDDAFARCLADAQHAFAGVRPYQLADASARLHSSLHGSLPLVRRWFGEFAAELFREAVLAGVAQAALVRAPAGAAGLVGVGLATRAGAGVVGRLVAVYAAGVAAAVYLSLG >LPERR04G13870.1 pep chromosome:Lperr_V1.4:4:14398372:14401575:1 gene:LPERR04G13870 transcript:LPERR04G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASHSSSVRHLFLLCLLGFCFAFAASQQQQQQSDSCSSAGVAVAHLVPFNSSSFRCLTVWKDEDFILRYKNTGDSQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVGWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSRSGRLYIAFQLSTDLPQPHLIYAVGPEGNLPSASDFTLPMHRSMHSHSFNYTSGMATKSSGSGVGGGFPPERKHGLLAMMGWGVLMPLGMMAARYFRRADPYWFYAHMAIQGVAFAVGIAAAVLGFRLNDDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAIVVSIGNIFLGLHIAGEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >LPERR04G13880.1 pep chromosome:Lperr_V1.4:4:14402437:14405507:-1 gene:LPERR04G13880 transcript:LPERR04G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASTSAAESSRDVVAAMPDSPPRRAARHRRAQSEILLGAALPDDIGFDADLGVVGEVGGGGDDYEEEEDEDYDEEGAGGSRMFEMFLEAGGKLEMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQKLKIATGQVNANCSKVGNFGLSSYGGANPQGYQRNHVQSLLAAQQLQQLQIHSQHQQQQMHLQQQHLSTVQQQLLQEDLKMKGIVAASHAQNAGASESHALRSEP >LPERR04G13880.2 pep chromosome:Lperr_V1.4:4:14402783:14405507:-1 gene:LPERR04G13880 transcript:LPERR04G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASTSAAESSRDVVAAMPDSPPRRAARHRRAQSEILLGAALPDDIGFDADLGVVGEVGGGGDDYEEEEDEDYDEEGAGGSRMFEMFLEAGGKLEMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQP >LPERR04G13890.1 pep chromosome:Lperr_V1.4:4:14409397:14413208:-1 gene:LPERR04G13890 transcript:LPERR04G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGGGGGGGANPSSGGTAAALRHDPGLAREWSSEEQSTLDELLVKYASDAPVVRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSTKKSKDKKLMHDVAKRYTDSHLLLQEKVSDSSSKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGELLETNAQVLTQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >LPERR04G13900.1 pep chromosome:Lperr_V1.4:4:14424138:14429373:1 gene:LPERR04G13900 transcript:LPERR04G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNISSCEEHSDDPYGTAAMNFDSYPEICSPSVADQLFSLLNDPAAHRMFAMWSSSMGSSPRAAGMSEDIQLETYSGLGEAVEEPSQIMSVNPTEAERTSKSSSELGSDNGAHQGSSIVPRSLVSNSLADRMLMALSLFRESLGNDALAQVWMPIEQEGHVLLSTCEQPFLLDQVLAGYREASRHFVFSAKEEPGLHPGLPGRVFISGVPEWTSSVRYYNRPEYLRMEHALHHDIRGSLAMPIYDPSKDSCCAVLELVTKKEKPDFSAEMDSAVNLKAKKDSSNQKFYTDNQKLAFTEILDVLRAICHAHMLPLALTWVPTSNGSDGGYIVGKDSAKNSQSGKAILQIHESACYVNDTNMQCFFQACAKRHLEKGQGIAGRALKSNLPFFSPNVKEYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSGEQQMLLNKLSSTMQRICKSLRTVYEGEVDKVSACTAAMFSKTNESCLPTGRTESSSHDDQPITEASLVNEPEVMEPELAEQVQSSSTRHADKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPCDALPTPSVEKAMEEKSGPKSEQGYSSADGSLKQSCQLHISDVKKSKGDEFHVQTSNYIGSGDSASYGANVMTKSNSEGAQGPLCPAGAFSALHIKGTDCTNLSASLRPSSDTTRYQIVGRNSPSTQQADIDMLGGHEKGDHIYPSTSGMTDSSSGSASSQPTFKQNIRRDLKDKTSPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLSTGAFQIKYKDDEDEWVILANDSDLQECVDVLDSIGSHNVKLQISLVSSAAQEAALVCSWKRIARDRGYTVEQPVIFLDVTKATTCGRLG >LPERR04G13900.2 pep chromosome:Lperr_V1.4:4:14424138:14428745:1 gene:LPERR04G13900 transcript:LPERR04G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNISSCEEHSDDPYGTAAMNFDSYPEICSPSVADQLFSLLNDPAAHRMFAMWSSSMGSSPRAAGMSEDIQLETYSGLGEAVEEPSQIMSVNPTEAERTSKSSSELGSDNGAHQGSSIVPRSLVSNSLADRMLMALSLFRESLGNDALAQVWMPIEQEGHVLLSTCEQPFLLDQVLAGYREASRHFVFSAKEEPGLHPGLPGRVFISGVPEWTSSVRYYNRPEYLRMEHALHHDIRGSLAMPIYDPSKDSCCAVLELVTKKEKPDFSAEMDSAVNLKAKKDSSNQKFYTDNQKLAFTEILDVLRAICHAHMLPLALTWVPTSNGSDGGYIVGKDSAKNSQSGKAILQIHESACYVNDTNMQCFFQACAKRHLEKGQGIAGRALKSNLPFFSPNVKEYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSGEQQMLLNKLSSTMQRICKSLRTVYEGEVDKVSACTAAMFSKTNESCLPTGRTESSSHDDQPITEASLVNEPEVMEPELAEQVQSSSTRHADKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPCDALPTPSVEKAMEEKSGPKSEQGYSSADGSLKQSCQLHISDVKKSKGDEFHVQTSNYIGSGDSASYGANVMTKSNSEGAQGPLCPAGAFSALHIKGTDCTNLSASLRPSSDTTRYQIVGRNSPSTQQADIDMLGGHEKGDHIYPSTSGMTDSSSGSASSQPTFKQNIRRDLKDKTSPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLSTGAFQIKYKDDEDEWVILANDSDLQECVDVLDSIGSHNVKLQEAALVCSWKRIARDRGKRFWALVT >LPERR04G13900.3 pep chromosome:Lperr_V1.4:4:14424138:14428745:1 gene:LPERR04G13900 transcript:LPERR04G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNISSCEEHSDDPYGTAAMNFDSYPEICSPSVADQLFSLLNDPAAHRMFAMWSSSMGSSPRAAGMSEDIQLETYSGLGEAVEEPSQIMSVNPTEAERTSKSSSELGSDNGAHQGSSIVPRSLVSNSLADRMLMALSLFRESLGNDALAQVWMPIEQEGHVLLSTCEQPFLLDQVLAGYREASRHFVFSAKEEPGLHPGLPGRVFISGVPEWTSSVRYYNRPEYLRMEHALHHDIRGSLAMPIYDPSKDSCCAVLELVTKKEKPDFSAEMDSVCNALQASTNLLLLYHEAVNLKAKKDSSNQKFYTDNQKLAFTEILDVLRAICHAHMLPLALTWVPTSNGSDGGYIVGKDSAKNSQSGKAILQIHESACYVNDTNMQCFFQACAKRHLEKGQGIAGRALKSNLPFFSPNVKEYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSGEQQMLLNKLSSTMQRICKSLRTVYEGEVDKVSACTAAMFSKTNESCLPTGRTESSSHDDQPITEASLVNEPEVMEPELAEQVQSSSTRHADKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPCDALPTPSVEKAMEEKSGPKSEQGYSSADGSLKQSCQLHISDVKKSKGDEFHVQTSNYIGSGDSASYGANVMTKSNSEGAQGPLCPAGAFSALHIKGTDCTNLSASLRPSSDTTRYQIVGRNSPSTQQADIDMLGGHEKGDHIYPSTSGMTDSSSGSASSQPTFKQNIRRDLKDKTSPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLSTGAFQIKYKDDEDEWVILANDSDLQECVDVLDSIGSHNVKLQEAALVCSWKRIARDRGKRFWALVT >LPERR04G13900.4 pep chromosome:Lperr_V1.4:4:14419359:14428745:1 gene:LPERR04G13900 transcript:LPERR04G13900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLALTWVPTSNGSDGGYIVGKDSAKNSQSGKAILQIHESACYVNDTNMQCFFQACAKRHLEKGQGIAGRALKSNLPFFSPNVKEYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSGEQQMLLNKLSSTMQRICKSLRTVYEGEVDKVSACTAAMFSKTNESCLPTGRTESSSHDDQPITEASLVNEPEVMEPELAEQVQSSSTRHADKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPCDALPTPSVEKAMEEKSGPKSEQGYSSADGSLKQSCQLHISDVKKSKGDEFHVQTSNYIGSGDSASYGANVMTKSNSEGAQGPLCPAGAFSALHIKGTDCTNLSASLRPSSDTTRYQIVGRNSPSTQQADIDMLGGHEKGDHIYPSTSGMTDSSSGSASSQPTFKQNIRRDLKDKTSPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLSTGAFQIKYKDDEDEWVILANDSDLQECVDVLDSIGSHNVKLQEAALVCSWKRIARDRGKRFWALVT >LPERR04G13910.1 pep chromosome:Lperr_V1.4:4:14431810:14433723:1 gene:LPERR04G13910 transcript:LPERR04G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLLMQNMAKNTTTTEHQQQKRSTKEAKSRGVSSSKKSPWYQRAVEVLLLIWKQPAAGTPTKAAAAAGGKASAAAAASGMSARLRKSSSLNVAASFTRVCLCAPISSYNEQALYFQAGDVAPRRSYSYPRASSASASGSGVGGGSSNPLVAPPPAAEQRALMRGAPGGESARRPVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAAAKRRRLGPSPLRRMALAESESEADDEEQEEEESTPTTSRRKPTSGAAA >LPERR04G13920.1 pep chromosome:Lperr_V1.4:4:14437807:14442569:-1 gene:LPERR04G13920 transcript:LPERR04G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSKEPSPAATAARAWWSRETVAVVTGANRGIGLALAARLAEHGLTVVLTARDAERGEAAAALLRDRGLHVVFRRLDVADPGSVRAFAAWLRDAVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSSSTSRILNISSQLGLLNDEETLTEAEVEAMASRFLSQVKDGTWRERGWPKVWTDYAVSKLALNAYARVLARRLQSRGDRVSVNCFCPGFTRTDMTRGWGKRTADEAAEVGARLALLPPDELPTGTFFKWCTPQLYSKL >LPERR04G13930.1 pep chromosome:Lperr_V1.4:4:14446490:14447071:-1 gene:LPERR04G13930 transcript:LPERR04G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRVYTTHMSGTVFVSILQGAAAVLAFSRTSEFLSDGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPMRRSAKVGGEDGAGSWPWPFQV >LPERR04G13940.1 pep chromosome:Lperr_V1.4:4:14451600:14457861:1 gene:LPERR04G13940 transcript:LPERR04G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSEAGWYVLGPNQEHVGPYALSELREHFANGYINESTMLWAEGRSEWMPLSSIPELLAAVTKKDQPDEAIEDDFIKFQKEVIEAEAEVEALKDKAADSDINQEHGADDPDVRPATPPDDEKEFTDDDGTAYKWDSVLRAFTPVNDLEGKNDNYEVEDMTFAQEEEVFQAPDISGSTTLEENNASAEIEFKEPKEVEKRVDKKRKLSEKPADKKEANKPPESWFDLKVNTHVYITGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSQARFEQKGDVFISKKTDKQKKKKSKKVEDKILGWGGHDDKKVTIPTAVILRHMFTPAELRADETLLPELESDVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGMKCIEKMNGRWFGGNQIHASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >LPERR04G13950.1 pep chromosome:Lperr_V1.4:4:14459687:14461943:-1 gene:LPERR04G13950 transcript:LPERR04G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGATDSPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLAHGRNHSRSKSSSKKEKKDKDAKKQSKKRRKHRSSSESSSSSSSDSSSSDDEDRDSRKSRSRSRSKRTKKDKKHRSRSKHRGSDSEEEGPVRLSKFFGNPKK >LPERR04G13960.1 pep chromosome:Lperr_V1.4:4:14462472:14468277:-1 gene:LPERR04G13960 transcript:LPERR04G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRRKYALEHTNIPLLLRYSSISTFGQENFGCEVEQSTAYQNSRESSRENAQYILRKRGLLGLSNGFLSHPAHGPLLAFYASKAHNSSFPMGAKYFLQSVRPASSTAGQPKVNNLDERGENQKQMQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSGQSIIHKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADMFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDRMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEEKAKLVEPKSTEEDLALKEMTDPTAREEEEQKRAKVEHDRKVQLCSISQALAVLASASSVTKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYRAAREESDHAAEVAAGEKVSSALIERVDAMLQKLEKEIDNVDAQIGNRWQLLDSDRDGKVTPEEVAAAANYLKDTIGKKGVQELISNLSKDKEGNILVEDIARDSGVSSELLFCPL >LPERR04G13960.2 pep chromosome:Lperr_V1.4:4:14463003:14468277:-1 gene:LPERR04G13960 transcript:LPERR04G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRRKYALEHTNIPLLLRYSSISTFGQENFGCEVEQSTAYQNSRESSRENAQYILRKRGLLGLSNGFLSHPAHGPLLAFYASKAHNSSFPMGAKYFLQSVRPASSTAGQPKVNNLDERGENQKQMQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSGQSIIHKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADMFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDRMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEEKAKLVEPKSTEEDLALKEMTDPTAREEEEQKRAKVEHDRKVQLCSISQALAVLASASSVTKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYRAAREESDHAAEVAAGEKVSSALIERVDAMLQKLEKEIDNVDAQIGNRWQLLDSDRDGKVTPEEVAAAANYLKDTIGKKGVQELISNLSKDKEGNILVEDIVKMASQTGENNEHEETQRQ >LPERR04G13960.3 pep chromosome:Lperr_V1.4:4:14463003:14468277:-1 gene:LPERR04G13960 transcript:LPERR04G13960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRRKYALEHTNIPLLLRYSSISTFGQENFGCEVEQSTAYQNSRESSRENAQYILRKRGLLGLSNGFLSHPAHGPLLAFYASKAHNSSFPMGAKYFLQSVRPASSTAGQPKVNNLDERGENQKQMQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSGQSIIHKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADMFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDRMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEEKAKLVEPKSTEEDLALKEMTDPTAREEEEQKRAKVEHDRKVQLCSISQALAVLASASSVTKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYRAAREESDHAAEVAAGEKVSSALIERVDAMLQKLEKEIDNVDAQIGNRWQLLDSDRDGKVTPEEVAAAANYLKDTIGKKGVQELISNLSKDKEGNILVEDIVKMASQTGENNEHEETQRQ >LPERR04G13970.1 pep chromosome:Lperr_V1.4:4:14468300:14469482:-1 gene:LPERR04G13970 transcript:LPERR04G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAEQQMQMLFGLSSVVFVPNRLRFQQLVRSAHTLHPPSFFPPCRTASTTRLSLCSLPTPLLPRSPSPTGSYAGATRRVPPRGRSAARAPGSTQKGVTLAFS >LPERR04G13990.1 pep chromosome:Lperr_V1.4:4:14476376:14477799:-1 gene:LPERR04G13990 transcript:LPERR04G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLSSHSRRISNSREPITAGGEFESRGGKQIAIEADRSERRSTEMAATNKKIVLKNYVKGFPKESDMELVATGTAPSKVPEGVAGVVLVKNLYLSCDPYMRGRMSNNGGSYVDSFVVGEPITGYGVCKVVDSSHPGFKAGDFVWGMTGWEEYSLIKDPSKALFTIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPKEGERVFVSAASGAVGQLVGQFAKQMGCYVVGSAGSDDKVRLLREKFGFDEAFNYKEEKDLDQALKRRFPDGIDVYFENVGGAMLDAVLLNMRVGGRVAACGMISQYNLDRPDPVHNLVTIVMKRLRIQGFIVSDHYGKYREYEETAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVARE >LPERR04G14000.1 pep chromosome:Lperr_V1.4:4:14479790:14483368:-1 gene:LPERR04G14000 transcript:LPERR04G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIAADGGAAEDDHSRNMDLDRGALSARQHQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWLLWTLVAAFILIGLPIIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTILSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKINGTQPDDHYCWNRPEDMAYPRPVQTSSSAPDLGGEMAAALAAASIVFRDNAAYSKKLVNGAAAVYKFARSSGRRVPYSRGNQFIEYYYNSTSYWDEYMWSAAWMYYATGNNSYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLSGYHNTTGLNMCMYFPRFGAFNFTKGGLAQFNHGRGQALQYAVANSFLAALYADYMESVNVPGWYCGPNFMTVDDLRAFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGVKYSCTGGYKWRNSKGADPNLLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGTGVSAVDKNTMFSAVPPMFPATPPPPSKWKP >LPERR04G14010.1 pep chromosome:Lperr_V1.4:4:14487869:14488226:1 gene:LPERR04G14010 transcript:LPERR04G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVTDKEWGKATDEQLNAWPRVAGKPVAMNPVTRQNYIVKKKRASGSKDASKP >LPERR04G14020.1 pep chromosome:Lperr_V1.4:4:14489304:14493773:-1 gene:LPERR04G14020 transcript:LPERR04G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPATTSTAAARISSSSSFSRLSEAPLRAARAAAVSFASSPRLAALAAGARASRISPVVAAAVAGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAEEGYLALEGVYRNHGGSQEQTEDADNFDDADVVRDDTWVQSGSDNFHIYDYHVVYSFSYKVPMLYFQGHQSGMHIFRTQMNFSFLSVLFDIRHLPLIPRWPATNSR >LPERR04G14030.1 pep chromosome:Lperr_V1.4:4:14494481:14498218:-1 gene:LPERR04G14030 transcript:LPERR04G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSAASLDEAKAKDVLRQVEFYFSDSNLPRDAFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQENMPEETMLSVAEVLRRSSALRVTEDGKKVGRAIELSKLDEIIEQVDSRTIAAAPFPYNVKLEDVQSFFAEYGKVNSVRLPRHITDKRHFCGTALVEFAEEEEANAVLKNSLVFAGADLEIKPKKEFDAERETKKEAYEKAQPSRNGHDEGYPKGLIVAFKLKKIVADVSGAENGGEKVSDTDGANKAGTGNKEKIPENSVSEDLPDDVEKSKEAAPGEAAQSVNKGEGPSENADDPISREDIKEKFGKFGTVRFVDFSIGDDSGYIRFEDSKAAEKARAFAALSDEGGVIMKGHIFTLEPVSGQAEKDYWDAIKGGQGKFRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGRDRASNKARKVDAAA >LPERR04G14040.1 pep chromosome:Lperr_V1.4:4:14501576:14505016:1 gene:LPERR04G14040 transcript:LPERR04G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARVCDACGGEAARLYCRADAAFLCAGCDARAHGAGSRHARVWLCEVCEHAPASVTCKADAAALCAACDADIHSANPLARRHERFPVAPFFGALADAPKPFASSGPTGAGADGGGAANDDGGDDGSNDAEAASWLLPEPDHQGHQKAGDVFYADSDSYLDLDFARSMDDIKSIGVQNCPPELDISATKLFYSDHSINHSVSSSEAAVVPDAAVGGAPMPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTPRGGGADADGEDEEMYSSAAAAVAALMAPPGSDADYGVDGVVPTF >LPERR04G14050.1 pep chromosome:Lperr_V1.4:4:14508776:14511342:1 gene:LPERR04G14050 transcript:LPERR04G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPDRGLIACEEPPSEPMDLLSSAWCSSAIQVLQAGPIDCSMALAPENDRRDLLPKNDRSLVVDSSSFSTATTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSAPASASMKETAVASAAALVAAQCAKVAEAAGATREQVAAAVNAAVAATDASNVITLTAAAATCTPLSLLSRHVFHPLRGAAALRGRRGGGSQNERTEHASSAALSRDDLDFDFNYARSKAALAKGDELFVAMPDGKWKLHTVSVATGKGGKVVLRIKKMNLVMAFSNAKESVIHDMQPCAPEKPSREEDATYPIEMTTSKGKVELRADDYGVYKRWVTTVTHMLAAPTAICKAH >LPERR04G14050.2 pep chromosome:Lperr_V1.4:4:14508776:14511342:1 gene:LPERR04G14050 transcript:LPERR04G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPDRGLIACEEPPSEPMDLLSSAWCSSAIQVLQAGPIDCSMALAPENDRRDLLPKNDRSLVVDSSSFSTATTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSAPASASMKETAVASAAALVAAQCAKVAEAAGATREQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRGGGSQNERTEHASSAALSRDDLDFDFNYARSKAALAKGDELFVAMPDGKWKLHTVSVATGKGGKVVLRIKKMNLVMAFSNAKESVIHDMQPCAPEKPSREEDATYPIEMTTSKGKVELRADDYGVYKRWVTTVTHMLAAPTAICKAH >LPERR04G14060.1 pep chromosome:Lperr_V1.4:4:14516330:14518623:-1 gene:LPERR04G14060 transcript:LPERR04G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >LPERR04G14070.1 pep chromosome:Lperr_V1.4:4:14522457:14523644:1 gene:LPERR04G14070 transcript:LPERR04G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSVADPPPASAIGFEGYEKRLEITFSEAPVFADPDGRGLRSLSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYPLKVVIKTCGTTKLLLTIPRILELAEGLSMPVAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLDNYFGSLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPMVTLEMCMTGLDKKKASVFFKTSADGHISCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDASAIAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWEKSLNADAYECNNMVEQELPCGGHLIYQSFAATEDVAVGSPKSVLHCFQSENVENPAPLKDGKMAKFLPWGEDALEKAGVYDE >LPERR04G14090.1 pep chromosome:Lperr_V1.4:4:14532724:14537037:1 gene:LPERR04G14090 transcript:LPERR04G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMSTASKGGGGGGGDGDSERTGQWRAEEVIAGNCESLKALRELVMYPILYAHETSVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTMINSISVHQPHAGEGEKFLREAFTEAHSQASQGRPSVIFIDELDGICPPRGTRREQGSRIVGQLLALMDGNKRSSKMLPHVVVVASATRVDAVEPAVRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQYVAASCNGYVGADLQALCREAARHAYDRLSNSSESENMLTIIMEDWESAKSVAKNSVTRGVTKEIPTVSWDDIGGVKDVKKKLKQAVEWPIKHAASFHRLGISPIRGGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRHEVLCIHTRKMPLGEDVDLWKIAERTELFTGADLEGLCREAGMAALRECLLSERFSCDDIHIQAALRSLRPSLTRAVVDEYSNAAISGPFTRRKH >LPERR04G14090.2 pep chromosome:Lperr_V1.4:4:14533426:14537037:1 gene:LPERR04G14090 transcript:LPERR04G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMSTASKGGGGGGGDGDSERTGQWRAEEVIAGNCESLKALRELVMYPILYAHETSVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTMINSISVHQPHAGEGEKFLREAFTEAHSQASQGRPSVIFIDELDGICPPRGTRREQGSRIVGQLLALMDGNKRSSKMLPHVVVVASATRVDAVEPAVRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQYVAASCNGYVGADLQALCREAARHAYDRLSNSSESENMLTIIMEDWESAKSVAKNSVTRGVTKEIPTVSWDDIGGVKDVKKKLKQAVEWPIKHAASFHRLGISPIRGGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRHEVLCIHTRKMPLGEDVDLWKIAERTELFTGADLEGLCREAGMAALRECLLSERFSCDDIHIQAALRSLRPSLTRAVVDEYSNAAISGPFTRRKH >LPERR04G14090.3 pep chromosome:Lperr_V1.4:4:14532628:14533671:1 gene:LPERR04G14090 transcript:LPERR04G14090.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPSPAFYLSLSLSTCEGRRAAGVPEAGPGDRRQRPAAAQPVVEPRRPAGGAGATAGVGAGGRSAAHGARAAAGTGTRPQDRLPAFHQNDSTSTLQPRRLPLRQEQTRREA >LPERR04G14100.1 pep chromosome:Lperr_V1.4:4:14536116:14539032:-1 gene:LPERR04G14100 transcript:LPERR04G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKISSFFKRQAPGPNSTSDGGDTHREGTGATEAKRPKSSADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVVARSECGDRIILATDENSGARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISRQKIVGCLVVEPIKIAHKVIPGSTEENCSDPPVDKIEPSKTNNTLEFGKISFKREVLKRPDRSDKNKEEYRDPGAIICEEEAVPALCGFRAIWVVPSRRRMQIGSQLMDAARKSFLEGQTLSISQCAFSPPTSSGKALARSYCKTSAFLIYKEQSTFPQGSHSCLPAQAFQICTSEKLGTLRYLPEIHILSKWHFACMDAQYFMSTFRIWWRNI >LPERR04G14110.1 pep chromosome:Lperr_V1.4:4:14540992:14543367:-1 gene:LPERR04G14110 transcript:LPERR04G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLLHLWNEWGIQILVLASFALQVFLLVFGGIRRRSSSPVLRVALWLAYLLADSTAIYTLGHLSVASGSSSPSSRKHQQLVAFWAPFLLLHLGGPDNITAYALEDNSLWLRHLQTLAVQVLGAAYVLYKHVAGGGEDTALLLLASVAMFAAGLVKYGERVWALRCGSMSSIRNKLGESDLVVRPYRFVIAERDDDDEEEEILLQAHANFQVCKGVFLDITLEPGEIEHGCSVRVDVDDAGEGMYYKLVEMELSLMYDILYTKAAVIHTWYGLCIHLTSLLGAAAAFVLFQLTTISVVGNGFSTVDVAISYVLLAGALLLEAISLCRALLSSWTCSLVHEKTRTSSFYSGTPTAWLSWLRCALLGLRRPARSARRRLWRGSIGQYNLFHLCTRDRAELGSRLATRMGIQNWWNVLRCSGSFSGSGGTLSMLELKEFVSRALPMADVGARTNLNSRGRMVLERMGVHGEFARWSVGIDFDESILVWHIATDVYIRESMAGRRGEPPNKLAAATTVLSNYMVFLLVSKPDMLPGRARHDLYLDVCKYSERGGQPSGGISQKLEPVPSRLRKELFHHEGPNCSRISQRERLAERLFVEYKDIQTFVQQGAARDPFLEPFRDSGDKLAVLLARELLDLGRDDMLELIFGVWVEMMLYAADHCARDSHARQLSNGGEFITIVWLLVHHRMYIARYNKFIDKLNSRHPGSNIQNV >LPERR04G14120.1 pep chromosome:Lperr_V1.4:4:14546055:14549311:1 gene:LPERR04G14120 transcript:LPERR04G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQAAGAGWLRRLSRELHWSFVLAVVAVYGACQGVGDAVGGVAAGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGVSSMLTLAIHREMGIMPAILALTAQSAGAAVADVTVDALVAQNSISHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSAMVFLAGVLLKEKRATNFDYRQVHRKLYKAIQSMVTTLKCAEVWRPCVYMYLSLNLSLDIQAGMFYWYTDPTVGPGFSEEFIGLVYSIGSVGSLLGVLLYQSALKDYPFRSVLFWSQVLSSLAGMLDLIMVARVNTKIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLLLHMLNVTRTEFSNLWIVVLIRNISRLLPLMLLFLVPQSDQNSTLLPAEMLQDTESTEAVKTGADTVEFSILVSDDSSCHPLNVAVEDERIKVLDAGAESTDDVELTPTHEQVTRSCELTPLMNKLQDHVS >LPERR04G14130.1 pep chromosome:Lperr_V1.4:4:14548778:14551404:-1 gene:LPERR04G14130 transcript:LPERR04G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIGDRVSTLHFPLSRERGSSTSAAARVCAARWYCAASADGRALCTENMAERHTIILMQPSQNRATRTFMDFNSVNHALDGLYDQSLHAFLPYDRRWIKHKMFQHLKWLAQQ >LPERR04G14130.2 pep chromosome:Lperr_V1.4:4:14548778:14551404:-1 gene:LPERR04G14130 transcript:LPERR04G14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIGHHSPLIEACAAFLGFVDQRGGASVRGEVAERHTIILMQPSQNRATRTFMDFNSVNHALDGLYDQSLHAFLPYDRRWIKHKMFQHLKWLAQQ >LPERR04G14130.3 pep chromosome:Lperr_V1.4:4:14548778:14551404:-1 gene:LPERR04G14130 transcript:LPERR04G14130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIGHHSPLIEACAAFLGFVDQRGGASVRGEVVLRCIGRRYDQSLHAFLPYDRRWIKHKMFQHLKWLAQQ >LPERR04G14140.1 pep chromosome:Lperr_V1.4:4:14551611:14557330:-1 gene:LPERR04G14140 transcript:LPERR04G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQPVISLRPGGGGGGPRAGRLFAPVFAAATSGSADFLRGGASGTSKIGDSNFETRERVRYTRDQLLELREIIDIPEAILRIKQEIDIELHGEDQSWLRSESNAQVQVQVQAQTQAHNRYGETDNRDWRTRTVQPPAANEEKSWDNIREAKEAFASSGRQQEQVNRQDQLNHQFASKAQVGPPPALIKAEVPWSARRGSLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGADKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNPQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAIKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDPLRNQGPLLNKPSSINKPSSINSRLLPHGSGAVIGKSALLGTGVPTSRPSSFVANPSHTPAQATPSPKPISASPAVVPVSDKAASSANEKVQKKAVALLEEYFGIRILDEAQQCIEELQCPDYYPEIVKEAINLALDKGPNFIDPLAKLLEHLHTKKIFKTEDLKSGCLLYASLLEDIGIDLPLAPALFGEVAARLTLSCGLSFEVIEEILKTVEDTYFRKGIFDAVMKTMGANPSGQAILSSHGAVIDACRNLLK >LPERR04G14150.1 pep chromosome:Lperr_V1.4:4:14562333:14563067:-1 gene:LPERR04G14150 transcript:LPERR04G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATSPVRREDVARAVAALLRWLQHRPTPAPEPIYLLVTLKAAPVRRFEHSLRLPRSPFPSISLVTDRLPPAGIPDDIDPLPSSALGGGPGSLPAAARRGLVLVDSHLRVPRKSGRAALVPVDLADPAWAERAREAARRVELRVEGGTCRAVRVGHAAMVPEEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESIALPLYSSLGAGDGGKPEEAKRKGVAAVKEQGIGKRMKKNRSADCD >LPERR04G14160.1 pep chromosome:Lperr_V1.4:4:14572983:14575898:1 gene:LPERR04G14160 transcript:LPERR04G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIGVTVFYCIALSSLVAAVAIQLPPTQADLISAEAIASSATATELMSPPRKLIGVGDLEIIGTCPVNFDDLTGYTELGSKCKTPPENECCEAFKALACPHSRLINNVSNGCADAMFSSIHTKGDLPPGTILEKCLEGPDGLKC >LPERR04G14170.1 pep chromosome:Lperr_V1.4:4:14586372:14587389:1 gene:LPERR04G14170 transcript:LPERR04G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAVILAYCVSLSVVAATVVSSAAAVELPQDESGGFISETALASSTPTAEKSRKLTGAEIPGPCPVRFDLMKGYESLGAKCKKPPPKKECCAAFKALACPHNKLLNDVNNGCADEMFYLIQTKGKLQPGTIFDNCIEGAHGMKC >LPERR04G14180.1 pep chromosome:Lperr_V1.4:4:14595485:14597771:1 gene:LPERR04G14180 transcript:LPERR04G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIILLCCVSLFVVVSSAAEAEVPQDGANFLSEAVLASSTATHEMSEKARKLFVPSKDNVTGSPCPVRFEKVKGFEELGDTCKKRPKNCCAAFKKLACPHSKVLNNPNNGCAEDLFYFIFHRGKLNPETFTFADKCKEGPDGLAC >LPERR04G14190.1 pep chromosome:Lperr_V1.4:4:14599698:14601067:1 gene:LPERR04G14190 transcript:LPERR04G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIRPALCRLQGRLRHSQRSKCKEISMNIHFPFWKETNEAVSCNCKGYWEQDTLSKSMNNPQTGVCLQTP >LPERR04G14200.1 pep chromosome:Lperr_V1.4:4:14600946:14605439:-1 gene:LPERR04G14200 transcript:LPERR04G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNASLHPVSVMANMQKYTPLARSPRERTDSSSEDDETGSTPLYTPVSAGNSRAKSSFACEHEFFAGAAAEDEPVAADSVAAAVASPPAAAGSAPDRREPPRATPPAAASCRGISNLRCCGGQEGGAGGGRGGDLREVGKRRRLWPAGTEEEAPRRRWRRRRRATPGLEEELAEEGLEQGREPAAGRGRTGELHIFARFIQSYLNVTAAAHI >LPERR04G14210.1 pep chromosome:Lperr_V1.4:4:14617684:14622543:1 gene:LPERR04G14210 transcript:LPERR04G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAGETPRQRLWNSNLDLVVPRFHTPSIYFYRRCGTPGEGAPPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPTVEYTDDISSFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAVMPFIDRTLLRARDPPTPSYPHIEYQPAPAMLSSVPQSVTAKTMPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPPFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGASVIQEALDRMNDNYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLILEV >LPERR04G14220.1 pep chromosome:Lperr_V1.4:4:14624024:14629996:1 gene:LPERR04G14220 transcript:LPERR04G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAALRMPVISKSTHAGGNARLSYAASAMQGYRNTMEDAHATIEDLDVSTNTSFFGVYDGHGGCAVARYCANHLHTKVLEQEDFSGNLPNALGKAFLRMDDMLRNQEASRELTRYNSGYEYFRTADKSSWLKCTPCLQKPVYRGPLEEGCTACVVLIRNNQIVVGNAGDARCVISRMGQATALSNDHKPNFPAESARIQAAGKIVTFSRGCYRVGDGIAVSRSIGIAYMFVGCAGYSSAINCVVTGIFLLYTGDLLYKQDKTKGPDQQALTCCPDITSTQITDDTEFLVIACDGIWDVLSNQAVVDFVNMRLRRGMTLALICESLLHEAVSHDPPSMDNMSVILVVFHHADKKNPGQTSRRRSFS >LPERR04G14230.1 pep chromosome:Lperr_V1.4:4:14631208:14632143:-1 gene:LPERR04G14230 transcript:LPERR04G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKATPTKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKARDPKYPRISAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >LPERR04G14240.1 pep chromosome:Lperr_V1.4:4:14633213:14636966:1 gene:LPERR04G14240 transcript:LPERR04G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHDPKERLLLPSRAAAAANGPHRRTTAAAGGGGGGGGGGGGGVAIDVHGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGAAAEEGQEAVRRFVDELQRRLVDRADDLPFEFVALEIALEAACSFLDAQAVELEAEAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLDEQALQGIGNSGFGSTFSAPVSPVSSAPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVTIFAVVSGVFGMNFEVALFKVPHAFEWTLVITGLCGLVIFCCFIWYFKKRRFFPL >LPERR04G14250.1 pep chromosome:Lperr_V1.4:4:14637602:14642726:1 gene:LPERR04G14250 transcript:LPERR04G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGRLSPASGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAVAAVAEEKKPAVVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTVGQTDHKIVSMLEREVGLAYASDVPGLKRRCAWVTANTEPCYAVFHDEEWGVPVHDDKKLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFSTAVGCEANSDGSNHATEQKMNGTNGLASDIGRTIDELSIS >LPERR04G14250.2 pep chromosome:Lperr_V1.4:4:14637602:14645981:1 gene:LPERR04G14250 transcript:LPERR04G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGRLSPASGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAVAAVAEEKKPAVVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTVGQTDHKIVSMLEREVGLAYASDVPGLKRRCAWVTANTEPCYAVFHDEEWGVPVHDDKKLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSSTAVGCEANSDGSNHATEQKMNGTNGLASDIGRTIDELSIS >LPERR04G14260.1 pep chromosome:Lperr_V1.4:4:14653565:14654970:-1 gene:LPERR04G14260 transcript:LPERR04G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLASPAAAGGGGGGGSVAEYFIDRNPACFAVLLDLLRTGSLHRRPVSLGHSQVNDVAYLDESTLLVAARERRLGKCDDDGGGMAAFSAASGDLRHRFRVAHARQPKSFTAGALAFDRDASIFASCKGRLNEYGIGVWDRATGEQSDFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKSVAWSWSDAGMAAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKFPTEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >LPERR04G14270.1 pep chromosome:Lperr_V1.4:4:14658102:14664254:-1 gene:LPERR04G14270 transcript:LPERR04G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGTQMEVERRLRDIGARFESPPKADEGLLRLLEETETWLSRVDQSPPESMHKALRPTMTALIQKELLDHTDPDVKLAVASCLTEVTRITAPEAPYDDDVMRDVFARVVEAFEKLNDMESPSFARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNNHQENVISSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNVKMEEKETLPASFGLAEKVISMCHEKLRPIFIPLLRGTPLDEYSKVVTSFFEDTGVADNADAPGKDTVADGKSSQKIVSDESPQESSKVEQDANCPGNGGTPNNTSTSAVSNGGALVDKVKSPNGPSSSDKKTELPSDDKQAKDSDDSISGAKVPEPITTEPEKSPDHNLKKSHKLGSSSDSEMSDRSKAVNNNEDNLISGELSPKRNDGDNKLPSQTGNRTADHKPKPDKTPVVKAKRGRPAAPKSQEKKTHGKGKGSDSESKKADIVSDSGGRATRRLAKDEIKTSSKKAGEGESSKKQQKANSKVQEDTPPDKDTDEELSLKDIISPPKSTTKTGKNKGQPDDSGGSKRRRVQEAEETPQPKKNKVLDGSLIGSRIKVWWPDDKKFYTGVVHSFDSSSKKHKVVYDDGDIERLLLKKEKWDFIDEGQVKNPDASSDMPRGRRGRASLGEETKEGKTETPSSGKQRGNDGTDLPKKRGRPKGVRSGNSSQNDDSPLSSTRLKGKSAEKDDEDVPKTPKTALKHEGRSSRSTGKTKDGHKDETGSSKSANKTKNDPGSKHKDSKDEAKFSESNKKGSSTPKAADGSKTNGLSAKRKQKEKEVESSEEEEHVSAKISTGKKRRRKAHN >LPERR04G14280.1 pep chromosome:Lperr_V1.4:4:14670450:14674327:1 gene:LPERR04G14280 transcript:LPERR04G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRRGAAAAACGRWCLVILAVASALGVSGPAFYWRYKKGFASSSSVSASSAAVVSTSCPPCSCDCPPPLSLQSIAPGLVNFSTSGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEESRERSEAAISKEKKLTALWEQRARQMGWQDSRVKTI >LPERR04G14290.1 pep chromosome:Lperr_V1.4:4:14673444:14675161:-1 gene:LPERR04G14290 transcript:LPERR04G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNYGVMDEDNASSDTNSITLDLRVYMHCDACERSVRRTIKSIDGVEKVEVDREENKVTVTATGDFEAENLVKKIKKKTGKKAEILEPEEDQHDEQDLDHPHWMHPNAQAHEFQRLGMGHYVYVPCNYAPCPCYYDRDRVGGAEVSHQFWRHSDYAPCYASSSYYDPVHPYNGGRGADVQANEFQKPHRDWDLHCFDDENTEACRLQFQKDGNSSVPQRWKCATLHRCPIILLVTNTDV >LPERR04G14290.2 pep chromosome:Lperr_V1.4:4:14673444:14674697:-1 gene:LPERR04G14290 transcript:LPERR04G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNASSDTNSITLDLRVYMHCDACERSVRRTIKSIDGVEKVEVDREENKVTVTATGDFEAENLVKKIKKKTGKKAEILEPEEDQHDEQDLDHPHWMHPNAQAHEFQRLGMGHYVYVPCNYAPCPCYYDRDRVGGAEVSHQFWRHSDYAPCYASSSYYDPVHPYNGGRGADVQANEFQKPHRDWDLHCFDDENTEACRLQFQKDGNSSVPQRWKCATLHRCPIILLVTNTDV >LPERR04G14300.1 pep chromosome:Lperr_V1.4:4:14675899:14680751:1 gene:LPERR04G14300 transcript:LPERR04G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERWLIGAADTERQSRQSQAQPQTFCKSRNAARAGRCSGELFGDGFWELFVDGLGLFHEDASSARSNSKKIIGGVTSVPSVEGLEFFHGGCSGELAGGGASAQLFHDALGLFHKVFYFVRPSRIVVGVGTCILPEAWIDAVGTCVRPDAWWIVGVSTCVLPDDGLELEFFPGGWAGRFSALARPDISGDALASSL >LPERR04G14300.2 pep chromosome:Lperr_V1.4:4:14675862:14679556:1 gene:LPERR04G14300 transcript:LPERR04G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLRLSPEIPAAARRYLEIGALVVSSSSPSYDGLTRAEWAGPHLFTKARPFGVLQFAPYIMASRRERWLIGAADTERQSRQSQAQPQTFCKSRNAARAGRCSGELFGDGFWELFVDGLGLFHEDASSARSNSKKIIGGVTSVPSVEGLEFFHGGCSGELAGGGASAQLFHDALGLFHKVFYFVRPSRIVVGVGTCILPEAWIDAVGTCVRPDAWWIVGVSTCVLPDDGLELEFFPGGWAGRFSALAREVKIKGTRTDLLGLDLGLLAEQKRSVQKYMDRKEKTFAPEQ >LPERR04G14310.1 pep chromosome:Lperr_V1.4:4:14681157:14688651:1 gene:LPERR04G14310 transcript:LPERR04G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVSIESGSAIRVAVLPVGGPIPPACLRDYAVLVARHARVDLAALRPYYSEHQKSPFAHQPWDSGRLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLDRVAADFVDAARSYPSALANRCFAFCPTDAQLVQKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMDESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALRLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKNSKRDHGLLKSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLHSFPLHPSQREIVKRNPNKKDWWTGGVANFVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPSDLEADGSRSPTNSRRIAREGSNPFLDIHYAGPSTNSEGDNVSLPPGRHLVVPLNICVLQGMRLVRARLLSMELPARFTDAQLGSVSSTDKISNDRDVMHNDISLLKIDPYKGSLDLRLLELELFNPTDVVFDVDVSVHLDGTTVEQKILQEVKSAGSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPAGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFNFRHVEDGTAAKSDFSKKLGDDSSHYADESVLRWQDPISANEMTHMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSSTVLWAGVLSDIYLEVQPLQEVVHPFSIYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA >LPERR04G14310.2 pep chromosome:Lperr_V1.4:4:14681157:14688651:1 gene:LPERR04G14310 transcript:LPERR04G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVSIESGSAIRVAVLPVGGPIPPACLRDYAVLVARHARVDLAALRPYYSEHQKSPFAHQPWDSGRLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLDRVAADFVDAARSYPSALANRCFAFCPTDAQLVQKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMDESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALRLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKNSKRDHGLLKSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLHSFPLHPSQREIVKRNPNKKDWWTGGGPSGPFIYTPFTKGGTSATSKQEVNWIVGEPVQVMVELANPCSFDLVVDSIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPSLPLLVANFVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPSDLEADGSRSPTNSRRIAREGSNPFLDIHYAGPSTNSEGDNVSLPPGRHLVVPLNICVLQGMRLVRARLLSMELPARFTDAQLGSVSSTDKISNDRDVMHNDISLLKIDPYKGSLDLRLLELELFNPTDVVFDVDVSVHLDGTTVEQKILQEVKSAGSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPAGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFNFRHVEDGTAAKSDFSKKLGDDSSHYADESVLRWQDPISANEMTHMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSSTVLWAGVLSDIYLEVQPLQEVVHPFSIYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA >LPERR04G14320.1 pep chromosome:Lperr_V1.4:4:14704177:14710136:1 gene:LPERR04G14320 transcript:LPERR04G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGGGGIGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANCLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGIYLMVATILGDTLKLSDTITYLLFGIMILLLLAPLAIPVKMTFYPNKPKGEKISTLAPSYSTDSLSGVDQENSEPLLGGTSTFGTGANDSDEAATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFAGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCYGPDCFRLTFYVCAIVCCCGTLVSVIFIARIKPVYQMLYASGSFRHPRSQQQLH >LPERR04G14330.1 pep chromosome:Lperr_V1.4:4:14712085:14716240:1 gene:LPERR04G14330 transcript:LPERR04G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPRAALLRSAVRRLRSPEAAAAARAFLSSAAAAEAPLGRDGALAAAAVGVVGSGLGLWLMPPSPADSGEVGDSPVGQISVGAGAADERRERRRFLFGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNQEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGATHRDGLRTGLKVSQPVENGGVVEYFFGNDGDEPLHYEKFTNFLKGLHDEIIRLEFRHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRADNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGMLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGSLSSEEFVRALQRRETDIRQPATPGSMGMLSYWLNFHKCSSITQMLLNWISVMG >LPERR04G14330.2 pep chromosome:Lperr_V1.4:4:14712085:14716694:1 gene:LPERR04G14330 transcript:LPERR04G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPRAALLRSAVRRLRSPEAAAAARAFLSSAAAAEAPLGRDGALAAAAVGVVGSGLGLWLMPPSPADSGEVGDSPVGQISVGAGAADERRERRRFLFGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNQEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGATHRDGLRTGLKVSQPVENGGVVEYFFGNDGDEPLHYEKFTNFLKGLHDEIIRLEFRHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRADNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGMLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGSLSSEEFVRALQRRETDIRQPATPGSMGMLSYWLNFHKCSSITQMLLK >LPERR04G14340.1 pep chromosome:Lperr_V1.4:4:14717257:14722376:-1 gene:LPERR04G14340 transcript:LPERR04G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGKASQLRSNRFVISARIITMGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPGPGPGRCPSLVELCVAKVREDIGRYSDFSRLPRDLSQQIFNELVEWNSLTEELLGAFRDCALQDICLGDYPGVRDSWMEVVASQGLSLLSVDVSCSDVTDSGLNQLKDCINLQSLTCNYCDQISEHGLKTLSGLSNVTSLSFKKCGAVTAEGAKAFANMVNLGNLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNCITDSDMKHLSDLTNLRELQLSCCKISDLGISYLRGLASLVLLNISRCGIYDEGCEHLEGLVKLKVLNLGFNYITDACLVHLKELVNLECLNLDSCKIGDEGLIHLKGLLQLRTLELSDTEVGSNGLRHLSGLRNLQSVNLSFTLVTDIGLKKLSGLNSLRSLNLDNRQITDNGLAALTCLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGFITDAGVKNIKDLKALTLLNLSQNGSLTDKSLELISGLTALVSLNLSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >LPERR04G14350.1 pep chromosome:Lperr_V1.4:4:14729789:14736071:1 gene:LPERR04G14350 transcript:LPERR04G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVCFDALCPCFGSRRKDGSEDPVLGRDANSLNSSELRSISDKVPASPLRVPASPLRVPASPSRFSLSSSPSRNEPLNLSLDQVIKLTHNFAPVLMIGEGYFGKVYRAQLRDGHIVAIKRAKMEHFASLRTEFSNEIALLKKIEHRNLVQLLGYIDKRNERIVITEYVSNGTLREHLDGQRGLVLSFSQRLEIAIDVAHGLTYLHIYAEKPIIHRDVKSSNILLTQGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRTARERITVRWAFEKYNRGDVTEILDPMLTESINEDILNKIFDVAFQCVAPTRADRPAMKEVAERLWKIRRDYAKTQRRAEMAL >LPERR04G14360.1 pep chromosome:Lperr_V1.4:4:14734051:14738426:-1 gene:LPERR04G14360 transcript:LPERR04G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVAAAVAAWAIPLAALVDSIVPDPYMDEIFHIPQVQRYCRRDFLTWDPMITTLPGLYYISIAYVASLFPAAWASRVAEEFDSLCNTALLRSTNVIMAMICGVLVHDLILRIRPELGKRNATAFAILVALYPLHWFFTFLYYTDVASLAAVLAMYLSCLKKQFWLSAVFGACSILLRQTNVIWMIFFAANGAISHAQYLYVKDNVSDENNELTDKSNKEVPDRDNKTTAPGLRRRRNYNPINKREVVSESYRMSSSFTEEIWDIIFKLWNSKYKVLIDFIPFAMVLVVFVAFVIWNGGIVLGAKDAHVVSPHFAQLLYFGLVSAAALLPWHFTPSRVAALFHLCRKNKLYSSFVTLVALGLSLVAVHFFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIINILGKSQTRVWVLSFVLSVSLVLIPAPLVEFRYYTIPLVILVLHSPVISNGKLLALGFLYAVVDLFTLAMFLFRPFQWQHEPGTQSTPIQHSIPNAKITREKTPRIMNNTELDIKDQLYN >LPERR04G14370.1 pep chromosome:Lperr_V1.4:4:14738732:14742003:1 gene:LPERR04G14370 transcript:LPERR04G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYIFLNPLYLPGSRITSSHFDTKVRALARRYL >LPERR04G14370.2 pep chromosome:Lperr_V1.4:4:14738732:14742077:1 gene:LPERR04G14370 transcript:LPERR04G14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYIFLNPLYLPGSRITSSHFDTKVRALARRYL >LPERR04G14380.1 pep chromosome:Lperr_V1.4:4:14750978:14756025:1 gene:LPERR04G14380 transcript:LPERR04G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEESNNNISKSSGGDGMVDQAPAAKENGRAADPRLQAISDAIRVVPHFPQPGIMFNDITELLLRPTVFKDAVDMFVERYRGKDIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLSAAIKLIERSGADVVECACLIGLPKYKDKV >LPERR04G14390.1 pep chromosome:Lperr_V1.4:4:14758967:14759638:-1 gene:LPERR04G14390 transcript:LPERR04G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAETNSEGDGGIVAAAAAAVEPQIVVVERVVTVEYLEPSMSRGLLGKFPDSSAFDFDYAQSGIWSPLNKVPRAPPSPSPSPLPESYGGDGAEGSRDFLIANPKRRARAAAGGGRSRSMSRRRRVRLRRDGSFMSLHEMGRAKLDFSPQPSSPLPAKVDALCIQIPLFTLRESYHSYYEVSGCWTLQEEGWRRVLKAAMRKFKSRQRRSRPAPLLQMILPTL >LPERR04G14400.1 pep chromosome:Lperr_V1.4:4:14773716:14777804:1 gene:LPERR04G14400 transcript:LPERR04G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHHQNGSPSAAAGAIDISGAGDFYGLPTADAHHHLGMAGAEDASYGVMDAFNRGTHETQDWAMRGLDYSGGSSDLSMLVGSSGGGRRPAVDNGGEAPKLENFLDGNSFTDVHGQAAAAAGGYLYSSAASAVTGGAGYSNGGGGSGTIELSMIKTWLRTNQPQQQHTDQDMSTDDASASSYACSDVLAGSCGNGGGAAGGTASSHGGQGLVLSMSTGMSVAVGAESSSSENKRVDSPSGAVDGGGVARKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPMNNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLSAVTNFDMSRYDVKSILDSSTLPVVGGAARRLKEAELAAAGDHGGYYYGCPTIAFGGQHQQPAPPLAVHYASASAYGQVPSGWCKPEQDAVIAAQDLQHLHLGGATHNFFQQQPPAALYGGGNAFMMPMGADQGQSSGGGGGGGAYGGDVGYGGGAVVDPYAAMRSAYELSQGSSSSSVSVAKAAAANGFPDNWSSPFNGMG >LPERR04G14410.1 pep chromosome:Lperr_V1.4:4:14780387:14785793:1 gene:LPERR04G14410 transcript:LPERR04G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAAALVQQHPPPPPALLPSSRRAGSCRASPWPRGGGARLLGFRLQAPASARAARVVSPCCSYSAGGGTSAEELRRVLESSGVHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMVDQGRLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIRAAVDARKDSGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKIIAYPLSLIGVSMRAMEDALTAIKGGRIPPPGSLLSFEEIKDTLGFNSYYEEEKQYVVTPGQSSSYRSGYYDNTSEASSPGDAKPKTETPQEPVIDILPQLYDPGSTRGRGPSTGIWSRTLRLRITGRDGVQKIDARIPAGFLDGMTKIIPGLAGANIMERLRNAPIDTENPQNGQILLDFEDAMGDRIQVFIE >LPERR04G14420.1 pep chromosome:Lperr_V1.4:4:14784397:14791771:-1 gene:LPERR04G14420 transcript:LPERR04G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMAAVAMNGGGSPGAVTAAAAAAVGGVGAAQPSLYVGDLEASVTDSQLYELFSQAGTVMSVRVCRDVNTRRSLGYAYVNFNNPVDAARALELLNFAPLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDNKTLHDTFSAFGKILSCKVATDGMGQSKGFGFVQYEKGEDAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTAKEDLVKVFGAYGNITSAVVMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREMELKRRFEQSMRDAADKYQGLNLYLKNLDDSIGDDQLCELFSNYGKITSYKIMRDANGVSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVALAQRKEDRKAMLQAQFSQNRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPSAILPPQPGFGFQQQLVPGMRPSGTHMPNYYVPVVQQGQQGPRPGIRRSGAGSAQGHQSAQSFQQQMLPRGRVYRYPPARSMPDVPPMPGVAGGMIQSYDMGGYPVRDAGLSPSPIGMMTSALANANPEQQRTILGENLYPLVELLEHNHAAKVTGMLLEMDKTEVLHLLESPEALRRYSYLPGILAIEARRRDYPVSRSGIDRPTRPQLARACHSVSVAIARGPRSQPERVSRHAPKPDDLYLHVIAANYFQNSEGTTRKTPPMQTPRARLLHSCGESILSMARNAYRRVEAMRCPVGCVARGVSRAAAPVLGPLRRRCLAALAFADRQLLVVQDVAGVLFPHAERVLGKADDVVLLVESLPARLDGAFDGLEALVAGVVKSRGAAGLFVFPKQHRRYRADDDIWCDDEDGASLHRAIEQKARKNVARKLESLEVVTADGKKVLVDVKDEGKGEATPAKGGDASGGEETTDDKAEVTEAMREDTENAKGEAHEGGGSDEEAVAAMAGTESREDALLGLFDTAWQQKLA >LPERR04G14430.1 pep chromosome:Lperr_V1.4:4:14796768:14802144:1 gene:LPERR04G14430 transcript:LPERR04G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLLVREEKECLIIEAADKLSLEQGKIQALQQKLEDANKRFAKVTTENYNLRNTINLKDKVISELSESEALLNQKLTDATARLELSHKQCASLQYEVRMLQKELEIRNKEREYDLKSIDAAQKHQQESVKKITSLETECRRLRAMVQKRLPGPAALAKMKDEVDRRGDCCVDYGRRPRIPARPSSHLVTQRHLASEDYLVKIQELNDENRYLRQLLAKKENDLQFVQLQYADGASKLSVVQRQLKELADSHENNRPEPWDNSLVPKQEKIRVGKQHASHRRGRRVAGSDMQLLVDLSEIEKLEINSRPSSAPYQSVPDASDTESKTALTETVCQDRILEHGLSDKYPEWIQDVLELIMQKHQFLEISVSDILDEIRSALRKEISDKENDSANLTYDQVVIDTMVATLVERVSCMIERYTENNVVSSQSFLHEKSEVTCHLEHLIHVCSDVLDGKVNLQKFIDEVCLTLEWTVNQYFCCVDGLETVDCIMNDFDGSVSLRSLNMQEKQPMQSAYSKVDVGVHHEVQKEPIETTEVQIPGHHVENHSEVQCKLDKELVAVRQDHGYNCQEKQSVYYEEESATADGSMKLLPEEEGKKLTNSAISAAAEKLAECQEAITSLSKKLQALKCPTSADCVDKEKSDNLHLLVTNLPAEKGAKPDNFSSPLSEEVACKKGNGEPIGTKKLLVQGTGHKVDSNGSTKVVLHPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFRKKA >LPERR04G14430.2 pep chromosome:Lperr_V1.4:4:14798301:14802144:1 gene:LPERR04G14430 transcript:LPERR04G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLLVREEKECLIIEAADKLSLEQGKIQALQQKLEDANKRFAKVTTENYNLRNTINLKDKVISELSESEALLNQKLTDATARLELSHKQCASLQYEVRMLQKELEIRNKEREYDLKSIDAAQKHQQESVKKITSLETECRRLRAMVQKRLPGPAALAKMKDEVDRRGDCCVDYGRRPRIPARPSSHLVTQRHLASEDYLVKIQELNDENRYLRQLLAKKENDLQFVQLQYADGASKLSVVQRQLKELADSHENNRPEPWDNSLVPKQEKIRVGKQHASHRRGRRVAGSDMQLLVDLSEIEKLEINSRPSSAPYQSVPDASDTESKTALTETVCQDRILEHGLSDKYPEWIQDVLELIMQKHQFLEISVSDILDEIRSALRKEISDKENDSANLTYDQVVIDTMVATLVERVSCMIERYTENNVVSSQSFLHEKSEVTCHLEHLIHVCSDVLDGKVNLQKFIDEVCLTLEWTVNQYFCCVDGLETVDCIMNDFDGSVSLRSLNMQEKQPMQSAYSKVDVGVHHEVQKEPIETTEVQIPGHHVENHSEVQCKLDKELVAVRQDHGYNCQEKQSVYYEEESATADGSMKLLPEEEGKKLTNSAISAAAEKLAECQEAITSLSKKLQALKCPTSADCVDKEKSDNLHLLVTNLPAEKGAKPDNFSSPLSEEVACKKGNGEPIGTKKLLVQGTGHKVDSNGSTKVVLHPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFRKKA >LPERR04G14430.3 pep chromosome:Lperr_V1.4:4:14796768:14802144:1 gene:LPERR04G14430 transcript:LPERR04G14430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLLVREEKECLIIEAADKLSLEQGKIQALQQKLEDANKRFAKVTTENYNLRNTINLKDKVISELSESEALLNQKLTDATARLELSHKQCASLQYEVRMLQKELEIRNKEREYDLKSIDAAQKHQQESVKKITSLETECRRLRAMVQKRLPGPAALAKMKDEVDRRGDCCVDYGRRPRIPARPSSHLVTQRHLASEDYLVKIQELNDENRYLRQLLAKKENDLQFVQLQYADGASKLSVVQRQLKELADSHENNRPEPWDNSLVPKQEKIRVGKQHASHRRGRRVAGSDMQLLVDLSEIEKLEINSRPSSAPYQSVPDASDTESKTALTETVCQDRILEHGLSDKYPEWIQDVLELIMQKHQFLEISVSDILDEIRSALRKEISDKENDSANLTYDQVVIDTMVATLVERVSCMIERYTENNVVSSQSFLHEKSEVTCHLEHLIHVCSDVLDGKVNLQKFIDEVCLTLEWTVNQYFCCVDGLETVDCIMNDFDGSVSLRSLNMQEKQPMQSAYSKVDVGVHHEVQKEPIETTEVQIPGHHVENHSEVQCKLDKELVAVRQDHGYNCQEKQSVYYEEESATADGSMKLLPEEEGKKLTNSAISAAAEKLAECQEAITSLSKKLQALKCPTSADCVDKEKSDNLHLLVTNLPAEKGAKPDNFSSPLSEEVACKKGNGEPIGTKKLLVQGTGHKVDSNGSTKVVLHPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFRKKA >LPERR04G14440.1 pep chromosome:Lperr_V1.4:4:14805860:14807230:1 gene:LPERR04G14440 transcript:LPERR04G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSVARCLTLFCLLAACVAPGARANGSHRHSRRDLDIGIGGGGGGSSGGGGISIGIGGGGSSGGGGGGGSGSSSEPRPCDFENERLYRAYLVIQKFRKTVTCDPQDITSSWSGTDLCKSYKGFFCERPPKITDRAIASVDFNGFNLQSSSLKEFVDALPDLALFHANSNNFGGFIPDLSRLPYFYELDVSNNKLASAPFPTDVLKLKNATFVDIRFNNFYGDLPGGVFCSFPQVQAIFVNNNQFSGTLPDNIGDSPVNYLSLANNKFTGEIPKSIARMANTLFEVLFLGNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASYACLRKVEQFNLADNLLYGEVPDALCKLAFSDTGRLRNLTLSNNYFTSLGSCCWDLIKQGKLNVDRNCIPWAPNQRSHEECAAFFKRTKTSACPCNTYVPCSQHKYDGAGSEGNTAAEEDKYRTYSALHP >LPERR04G14450.1 pep chromosome:Lperr_V1.4:4:14819265:14825292:1 gene:LPERR04G14450 transcript:LPERR04G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNEDGNDNGGSGDNDNGGNGRRGDGSGDRMHLVSPSATTCPKGIAFKGTTSKGGCDPCGVNMGP >LPERR04G14460.1 pep chromosome:Lperr_V1.4:4:14821594:14825282:-1 gene:LPERR04G14460 transcript:LPERR04G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPQGSHPPLDVVPLNAIPFGQVVASNLHKKHKKSVREEVPIPQWMKELDNYKHGDWKVFRETRASGHKDWFYTHWKYQREFRSKPEVQLFMRIALIDGTNMFNGHVLQKKRSMDSSGEGSEY >LPERR04G14470.1 pep chromosome:Lperr_V1.4:4:14830299:14831981:-1 gene:LPERR04G14470 transcript:LPERR04G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKEAVDANLSKSPPPGALPRWQALNRNLPLITNGDALPPRQEPEREQERSPAAGEVRVQVQSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPKSLRDGDERAYMPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTARDVTAYLNAVRPLEDRVRACYEGHVAGMQGNELAECLVLDGTFVLELFRGALDGGKGFADDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLQLQVGDPVQTGVVAGLALRFFDPLMPTDAPLLRKDRSRLESSVGAAAAAAAAAFDPLSGPMLHCLDVFRRSLLRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIKFDNGVLQIPRILIQDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVANLFNRLCQEVVFDINDSYLSGLSDKVNRYYDYKWSTWVASLKHNYFSNPWTIVSVIAGVFLLLLTMAQTFYGTYSYYRPMH >LPERR04G14480.1 pep chromosome:Lperr_V1.4:4:14836573:14838156:-1 gene:LPERR04G14480 transcript:LPERR04G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRSQRTMLVVVGVDAPPTADDDTDRFAAPPPERDYTQDLPDEILSLVFASLTPADRTACSLTCVRWKEVDAATRHRLSLDARAALGFAAPGIFARFTAVTKLALRCARGSGTDSLSDDGAARVAAALPSERLARLKLRGLRQLSDDGLASLAGATPAIRKLSVASCSFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTNGAATAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLKSLKILRCSGSWDLPLEVIAARAPGLVEIHLEKLQVGDRGLTAVSACASLEVLFLVKTPECTDAGIISVAERCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDAEIICLAERCAALKKLCIKGCPVSDRGMGALNGGCPSLVKVKLKRCRGVSYECIEHLKVVRGGSFSISLDIVLDRDARGASENGGQEAGQVQITELTDQMAAMDLPTNSASAQSSAQASSRMRSVMSALRRRFSNPPAL >LPERR04G14490.1 pep chromosome:Lperr_V1.4:4:14843644:14845119:-1 gene:LPERR04G14490 transcript:LPERR04G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEARSPHVVLFPFLAHGHIPAFLRLAGHLQALCPGLAVTLVSTPRILASLSLPSSSTIQLHALPFAPAEHGLPDGAESLADLHLHQFITFFQASESLRPAFDGFLAGIGSPVCVIADAFFGWTAHVARARGASHAVFLPGGAFGYAVFFSVWEHLPHTLATAGEEEFPLHDFPDVVLHRTQISRYMLAATGADPWTAFFRRVIDSCRETDAVLVNTVQELEPSGLAMIRASFGVQPWPIGPILAEPAPSKSNDDDDRIIQWLDSHPPRSVLYISFGSQNTISIHQMGELALGLEASGRPFLWAIRPPLGFNPKDGFDPNWLPAGFEDRISHSRRGLLVRGWAPQVRILSHPSTGAFMTHCGWNSILEGLRHAVPLVGWPLVADQFFNAMVVVGQWGVCVEVARGNLESTAVESGGVAEAVGTVMGETEEGERMRRKAGEIARAMAAAWEGAGGGGAGGGGGSSAASLDGFLRCVEAGLLRDSCRSAS >LPERR04G14500.1 pep chromosome:Lperr_V1.4:4:14845952:14849559:-1 gene:LPERR04G14500 transcript:LPERR04G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAAHKPWVLQLGVVLTFLLATGSQGLNHEGWLLLKLKKQMVDTFHHLDDWNPEDPSPCGWKGVNCSSGSRPAVVTLNLSNMNLSGTIDPSIGGLGELTELDLSYNGFSGSIPAEIGNCSKLVVLNLNNNQFQGTIPPEFGKLTMMTTFNLCNNMLVGSLPDEIGNMASLEDLVGYSNNLTGSIPHSIGRLKNLKTVRLGQNAISGNIPIEIGECLNLVVFGLAQNKLGGPLPKEIGKLSLMTDLILWGNQLSGPLPPEIGNCINLRIIALYDNNLVGPIPATIGKLQNLQKLYLYRNSINGTIPSEIGNLSLAEEIDFSENALTGGVPKELGNVPRLYLLFLFQNKLTGPIPTELCVLKNLSKLDLSINSLSGPIPGCFQYMTTLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRHSNLILLNLGSNKLIGNIPHGITSCKSLVQLRLSDNSLTGSFPTDLCNLVNLTTIELGRNKFSGPIPLQIGNCKALLRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNNFEGSLPNEVGRLPQLELLSFADNKLSGQIPPILGKLSHLTALQIGGNQLSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMDATCFLGNKGLCGGQLGKCGSESVSSSRSSNSGSPSLGKVIAIVAAIIGGISLILIVIIVYHMRKPLETNFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPGILDSNLDLEDKTSVDHMIEVLKIALLCTSLSPYDRPPMRHVVVMLSESKDRAKMSSSSSPASDHSSKEDNS >LPERR04G14510.1 pep chromosome:Lperr_V1.4:4:14864682:14868591:-1 gene:LPERR04G14510 transcript:LPERR04G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAQGPVVLYHDGVREARRRQSLRARYTYGLIFFATNLLAWFVRDYGAKLLRVLHHVPVCGAGDFKCFQSGGVLRIFFWVMFASTFGTRKLHEHRNSWHSGCWVLKFLVYAVSIIIPFIIPNIFIKLYGEIARMGAGGLLGLFLSTISFIASFAGIAVLYVLYAPNSSCAFNIFTITWTAILVKIMMAVSLHSKMFAKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTQMKFAKNSDWATIVSFIIAICSIVMATFSTGIDTRSFQYRNDEVQLEDDISYSYEIFHIVFAMGAMYFAMLFINWELNHPTRKWSIDVGWASTWVKIINEWLAASIYVWRLVSPVVLRKQAANNEELVPRTLTV >LPERR04G14520.1 pep chromosome:Lperr_V1.4:4:14871392:14872858:1 gene:LPERR04G14520 transcript:LPERR04G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYILVTFLFSLLISTSPSAATDTVTLARSLAAGDKLVSGNGKFALGFFQLNSTSNGPRWYLGIWFNTVPKLTPTWVANRANPLANSTTWQLAISSHDGNLVVTNRANNSMPPPWSSQQSFNHMADTFLPGAKMGWNKATGFTHGLVSNKNSIDISPGVYSATPSSDFANPGLFLLWNTSVVYWSAGLWNGEYFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLDVSGQAKNMIWSSVTQDWVTFYAKPAAQCDVYSVCGAFTVCREDMLPFCNCMKGFSVRSAEDWELGDHTGGCIRNIPLNCNVTGSFYAIIDVRFPANAKHYMEAGNSDECKQACLNDCSCTAYSYNGSCNIWKDGLFNVAQQYNQSTGGGVLYLRLAAEVDASESSKHRRGLIIGVAVGASIFTLFLFTIVAMFVRRNKKNRSSVNTVKLCWIASRL >LPERR04G14530.1 pep chromosome:Lperr_V1.4:4:14873553:14873962:1 gene:LPERR04G14530 transcript:LPERR04G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRFRHASPIGSSIRVRATRTNQLLPRVLRTAGAFPLAIARARATPGPRVSSCELRRRVSSASFARANETLPRVPRIVATTLSPRAPRAYRALPRVVAGPSMRSWAGPSRCCCCFESFNTDK >LPERR04G14540.1 pep chromosome:Lperr_V1.4:4:14874431:14875300:1 gene:LPERR04G14540 transcript:LPERR04G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAFGYKDLQYATKNFSERLGGGSFGSVFKGVLTDSTVVAVKRLDGARQGEKEFRAEVRSIGIIKHINLVRLIGFRCEGNKRLLVYEYMPNGSLDAHLFGSKVTSLGWSTRYKIALGVARGLAYMHNNCQDCIIHCDIKPQNILLDASFVPKIADFGLSKFVGRDFSQVLTTVRGTIGYLAHEWIGGMTTSSKVDVYSYGTVLFEIIFGRKNFRGECTSDDTYFPVQVVVNSLRGMCNVFWTKTSTVKPIQMKLKEVAELLAGVLEVDIPPMPKLLQAISGDIDSTRT >LPERR04G14550.1 pep chromosome:Lperr_V1.4:4:14878154:14879287:1 gene:LPERR04G14550 transcript:LPERR04G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHWSHPSCGLLLLVAVFCLLLLFRCSLRHSGAGHATAADGDVDERLMELAAVDPAASAVFQAAKKLLEGNLLAARAAPEKHRDVALRELREWLGKQERFDPGVMSELVDLIKRPIDRYNGVEGDGDAGRRYASCAVVGNSGILLSAEHGELIDAHELVVRLNNAPAGRRNNNDDGDRYARHVGSRTGLAFLNSNVLSHCAAPRRAACFCREYGEAVPILIYMCNAAHFLDQAVCNTNNNASSSSSAAAPVIVTDPRLDALCARIVKYYSLRRFVRETGRRAEEWAREHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDSAARHHYHTLQRRELDLHDYEAEYEFYRDLESRPDAIPFLRDSGFRLPPVAFYR >LPERR04G14560.1 pep chromosome:Lperr_V1.4:4:14883220:14890056:-1 gene:LPERR04G14560 transcript:LPERR04G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLHHKTDQPLSSSSHTVEITHFTRIGFGEKSAAFRVESFDFRVRLGFSVRYVSFFSFVCTLSLYLFSPANLLSPSWCLFFSFCRCSDETCKILFEAQHAYDLVAMHNVFEVNNSNFDFLGVETRASLAVSLLPAVELGTCSRVRKSQQDANRIVDPSKGCVEDGLRLVAMEMPKEVESKRKPPSVVARLMGLDDDLSAKEPPLHYSRRSLRKSHSLDNLAAINRPQQQQDLHNYSRTTPNIHISPKETVEFKDVYEVSEEPSRKHPILGQTFHRERSSGNKGDIRIEVVRQKFMEAKRLATNENLLHSKQFQEALEVLSSNRELFLKFLEEPSPVFLKQLNGLDTMPAPPPTNRITVLKPIKSAENKGVRETRKHRVNEEKEPVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPDRTGARLTPRAAPSEQTQRDDFHGGLQDDASILGSRELLPDSVQYLPESHHRRDESLISSTYSNGYGGDESSFSGSEVDCIDGGSLGDPEVVTPMSRHSWDYIKKHNSPYSASTFSRENELAIQDACKSTLIEYGENEKSSPRNLAKSKSVPVSSSIFDDIALNAQSSNSEGTSKVVTNSGRAKSSFKGKISNFFFPGNKRSTKDKSSPSFDSSGERIGCIGYMASQSDRNLGPDEQLAFCKDEDDNFTSQAPCSSKDAGSIEVPVSSSCVSGDVNEVKSNGDLKSIQDEPSPTSILERFFEDTNSNEPESSRSTSCIERVALQSSVMDSVACSLSWDDTNSCSPLLGCVKHSNVGHDEDDDDELKCYSLVQDIVSSAGLGHLQLSMVFTGWYLLDSPLDPALCDKFLDRKEEDAKSRERRSNQKLIFDSVNMALVEIGQDALLCTYPWSRACLGTWREKLSQPLGAEVWDIASDWLYGAGSFAANNDENAGTMLERIMQEEVEGKGWIKLLTMETDEIMEQIACEVLEDLVAESVKHLSICCSEHGISMPMPNLSKMFPPGWHLSHIKVHRLIV >LPERR04G14560.2 pep chromosome:Lperr_V1.4:4:14883797:14890056:-1 gene:LPERR04G14560 transcript:LPERR04G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLHHKTDQPLSSSSHTVEITHFTRIGFGEKSAAFRVESFEFLVLPRLRSENRSFSSRVRKSQQDANRIVDPSKGCVEDGLRLVAMEMPKEVESKRKPPSVVARLMGLDDDLSAKEPPLHYSRRSLRKSHSLDNLAAINRPQQQQDLHNYSRTTPNIHISPKETVEFKDVYEVSEEPSRKHPILGQTFHRERSSGNKGDIRIEVVRQKFMEAKRLATNENLLHSKQFQEALEVLSSNRELFLKFLEEPSPVFLKQLNGLDTMPAPPPTNRITVLKPIKSAENKGVRETRKHRVNEEKEPVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPDRTGARLTPRAAPSEQTQRDDFHGGLQDDASILGSRELLPDSVQYLPESHHRRDESLISSTYSNGYGGDESSFSGSEVDCIDGGSLGDPEVVTPMSRHSWDYIKKHNSPYSASTFSRENELAIQDACKSTLIEYGENEKSSPRNLAKSKSVPVSSSIFDDIALNAQSSNSEGTSKVVTNSGRAKSSFKGKISNFFFPGNKRSTKDKSSPSFDSSGERIGCIGYMASQSDRNLGPDEQLAFCKDEDDNFTSQAPCSSKDAGSIEVPVSSSCVSGDVNEVKSNGDLKSIQDEPSPTSILERFFEDTNSNEPESSRSTSCIERVALQSSVMDSVACSLSWDDTNSCSPLLGCVKHSNVGHDEDDDDELKCYSLVQDIVSSAGLGHLQLSMVFTGWYLLDSPLDPALCDKFLDRKEEDAKSRERRSNQKLIFDSVNMALVEIGQDALLCTYPWSRACLGTWREKLSQPLGAEVWDIASDWLYGAGSFAANNDENAGTMLERIMQEEVEGKGWIKLLTMETDEIMEQIACEVLEDLVAESVKHLSICCSEHGISMPMPNL >LPERR04G14560.3 pep chromosome:Lperr_V1.4:4:14883220:14890056:-1 gene:LPERR04G14560 transcript:LPERR04G14560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLHHKTDQPLSSSSHTVEITRSRVRKSQQDANRIVDPSKGCVEDGLRLVAMEMPKEVESKRKPPSVVARLMGLDDDLSAKEPPLHYSRRSLRKSHSLDNLAAINRPQQQQDLHNYSRTTPNIHISPKETVEFKDVYEVSEEPSRKHPILGQTFHRERSSGNKGDIRIEVVRQKFMEAKRLATNENLLHSKQFQEALEVLSSNRELFLKFLEEPSPVFLKQLNGLDTMPAPPPTNRITVLKPIKSAENKGVRETRKHRVNEEKEPVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPDRTGARLTPRAAPSEQTQRDDFHGGLQDDASILGSRELLPDSVQYLPESHHRRDESLISSTYSNGYGGDESSFSGSEVDCIDGGSLGDPEVVTPMSRHSWDYIKKHNSPYSASTFSRENELAIQDACKSTLIEYGENEKSSPRNLAKSKSVPVSSSIFDDIALNAQSSNSEGTSKVVTNSGRAKSSFKGKISNFFFPGNKRSTKDKSSPSFDSSGERIGCIGYMASQSDRNLGPDEQLAFCKDEDDNFTSQAPCSSKDAGSIEVPVSSSCVSGDVNEVKSNGDLKSIQDEPSPTSILERFFEDTNSNEPESSRSTSCIERVALQSSVMDSVACSLSWDDTNSCSPLLGCVKHSNVGHDEDDDDELKCYSLVQDIVSSAGLGHLQLSMVFTGWYLLDSPLDPALCDKFLDRKEEDAKSRERRSNQKLIFDSVNMALVEIGQDALLCTYPWSRACLGTWREKLSQPLGAEVWDIASDWLYGAGSFAANNDENAGTMLERIMQEEVEGKGWIKLLTMETDEIMEQIACEVLEDLVAESVKHLSICCSEHGISMPMPNLSKMFPPGWHLSHIKVHRLIV >LPERR04G14570.1 pep chromosome:Lperr_V1.4:4:14894328:14910468:-1 gene:LPERR04G14570 transcript:LPERR04G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRLLASSVVAATPRWLPVAESFLRRRHRPRCCSPLPVLLFNRRSWSKPRKVSRGISMVSRKVNKQGDFCNEGMLPHILWWKEKMERCRKPSSIQLTQRLVYSNILGLDPTLRNGSMKDGNLNTEMLQFKSKFPREILLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSTLRNNSSGTSRWGEFGEGGLLWGECNGKSFEWFDGNPIEELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGILETSFLILHHLMSRHQFKLVKLLESKEVNHIEFCRIKNVLDEVLFMSSNAELSAILNKLLEPAAVATGLKVEADMLVKECGSISQRIAEVISLGGESDQAITSFEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVISSNGSPKGEICYSKEHEAVWFKGKRFTPNVWANTPGEHQIKQLKPAIDSKGRKVGEEWFTTIKIDNALTRYHEACDIAKGKILQLLRGLSSELQDKINILVYCSTLLIITKALFGHVSEGRRRGWVLPTLSPLCKDYVTEEISSEMELLGAFPYWFDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHSTDFKAMGTEVIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLALSTNKQTSSTVNHEPSISNLTVNSLVEKPDYLRNGLELQSGAFVLLRKEVESVVTTICKKKLLDLYNKRSISELIEVICVAVGAREQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLVGRLSAHRSKEGMQDAMMLYVLVPGKSIACQLETLLINLLPSKGFKLINKADGKHRHFDSLSRGPVTL >LPERR04G14570.2 pep chromosome:Lperr_V1.4:4:14894328:14910468:-1 gene:LPERR04G14570 transcript:LPERR04G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRLLASSVVAATPRWLPVAESFLRRRHRPRCCSPLPVLLFNRRSWSKPRKVSRGISMVSRKVNKQGDFCNEGMLPHILWWKEKMERCRKPSSIQLTQRLVYSNILGLDPTLRNGSMKDGNLNTEMLQFKSKFPREILLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSTLRNNSSGTSRWGEFGEGGLLWGECNGKSFEWFDGNPIEELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGILETSFLILHHLMSRHQFKLVKLLESKEVNHIEFCRIKNVLDEVLFMSSNAELSAILNKLLEPAAVATGLKVEADMLVKECGSISQRIAEVISLGGESDQAITSFEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVISSNGSPKGEICYSKEHEAVWFKGKRFTPNVWANTPGEHQIKQLKPAIDSKGRKVGEEWFTTIKIDNALTRYHEACDIAKGKILQLLRGLSSELQDKINILVYCSTLLIITKALFGHVSEGRRRGWVLPTLSPLCKDYVTEEISSEMELLGAFPYWFDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHSTDFKAMGTEVIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLALSTNKQTSSTVNHEPSISNLTVNSLVEKPDYLRNGLELQSGAFVLLRKEVESVVTTICKKKLLDLYNKRSISELIEVICVAVGAREQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLVGRLSAHRSKEGMQDAMMLYVLVPGKSIACQLETLLINLLPSKGFKLINKADGKHRHFDSLSRGPVTL >LPERR04G14580.1 pep chromosome:Lperr_V1.4:4:14912698:14914005:-1 gene:LPERR04G14580 transcript:LPERR04G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLTTISLRTPLGPLLFLRPSSCKQSAISASCSSSSRACRGAAGVRCSAANKPSPPTTPGNEVSSASMAKIRSEVLSPFRSVRMFFYLAFTASAGLGALIALTQLIPAMSGRGVAGGGAVETLKGLGIDVAAASTFAFLYWRESKAKDAQVAKLTREENLSRLRVRVAAAGAGEGRSPVPLGELRGTARLVIVAGPGEFVTESFRRSKPFLKELMERGVLVVPFSTDGNSPDLQFDEADEEEDDGGEAGKMKRRIWQLTPVYITEWAKWLDDQKKLANVPPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >LPERR04G14590.1 pep chromosome:Lperr_V1.4:4:14914675:14916166:-1 gene:LPERR04G14590 transcript:LPERR04G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLAFTACRGDPELVAPARSTPRGIKRLSDIDDQGSFRFYRSVIYFYRSRHGGVGDDDPARVIRAALAAALVHYYPIAGRIRELPGRKLVVDCTGEGVSFVEADADVALEAFNDALCPPIPCAGELLSLPESNSAVVTDRPLLYVQVTRLRCGGFVLGTQICHNLVDAAGITQFLQAVGEFARGAPVASAPSVQPVWARELLDSRDPPRPEHRHLEYEPASDEANDKLSPGGVDGLIHRKFLFSAADIDALRGELPPRLRSRCSRFLLLSAFTWRCRTAALGYAPGDEVHFMFVVNLRGVSVTRTTTPPLLPEGFYGNALAFGVARTTAGELCAAPLSRAVEMIAAARARAMGDGYARSTADALVTRGRPRFTTARTYLVTDLTRSSLHEVDVGWGKPVYGGPATTTLATFHLPAPGGGIAVPMCLPPEAMERFADGVRAGIAAAAAGSSRVEDSSVLSKM >LPERR04G14600.1 pep chromosome:Lperr_V1.4:4:14917732:14928685:-1 gene:LPERR04G14600 transcript:LPERR04G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLTAAEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSFAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAICCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLEKIEPKVSTSDGSAVQYKAVESRSVSANPKRGSPRTKSTPRESTLFGGDTDVTEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFSKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVAQPSRALSHSSGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISSTRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTTNASARNGGSRFLESMTTQLNTRERSRSPYLGNKSSDSMSNLSLPYPRRSSERPLEGGRMDESNDIRSARRIPQMHNYVDMPLRDAMHRDSHNNHVPNFQRPLLRKQAMSRASASSRHSFDDSQVQSGDVSGYTDALASLNDALSEGLSPKLVKKPCSSTLDIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYTVDSEGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYCHFDSTAVLNFILSLSVEEQNLLRRALKQYTPRIEVDLVSYLQSKKERPRPKSYDQADYGTSSEDGYALTSKKSYPFGRYSSSSLDAEGGKRMNSVQESTFRNTPMARTTSDMSIDHTSQSLELNTGSEVLLSRSRESKNNNSSLVEAARSWQNYPEKTDAPLDETAMSTPRLDLSHHLTSDGHNDVGSAEESVQEGDIAVNLSSIKTSLHADNGLSVPQLLHQISNGTEVSSLEKREALQQFVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVSEMLNNQGGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNFLLAKYDPFRCLAVVVPQLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQVRLVTIYANRISQARSGAPIDANQ >LPERR04G14610.1 pep chromosome:Lperr_V1.4:4:14934867:14939447:1 gene:LPERR04G14610 transcript:LPERR04G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSATLVGFLVAATLLVAVAPVAAAPRAFYVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGENLLVGANFASAGVGILNDTGIQFVNIIRIGQQLENFQNYQQNLAAFVGEDAARQVVEQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYKKILTRLHDLGPRRVVVTGTGMIGCVPAELAMHSIDGECATDLTQAADMFNPQLERMLEDLNSELGGHVFIAANTNKRSFDFMFNPQDYGFVTAKIACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVGQIMHGSADHIKPMNLSTILAMDEKAMNEKRN >LPERR04G14620.1 pep chromosome:Lperr_V1.4:4:14938437:14940769:-1 gene:LPERR04G14620 transcript:LPERR04G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTAAIPSAPGPAARRRGVAAVRCCSSSAADERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKRGDRVLDLCCGSGDLAFLLSQKVGLDGQVMAVDFSSQQLQTAASRQDQRWKPCHKNIKWIEGDALDLPFVDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILQYLTGKELEELAKEAGFSAAKHYELGGGLMGDLERCDQNQTPEIKSGTVKYQHAGNI >LPERR04G14630.1 pep chromosome:Lperr_V1.4:4:14940956:14942870:1 gene:LPERR04G14630 transcript:LPERR04G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEGKDEEERRQDRAGTVSLFSVDADEEDASRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWKQTADALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRAKPHLLYSGSDDCSFSCWDLRQSPSSAVFQNKKSHNMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSTVKPVNEKSLNLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVSTGDAKVMETYCKHESLAYGADWQRRECAEQSKNCSVVATCSFYDRLLCVWQPENLGNL >LPERR04G14640.1 pep chromosome:Lperr_V1.4:4:14946695:14947322:-1 gene:LPERR04G14640 transcript:LPERR04G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFLSWSSAIALHPPASALKNHVHVQAIHLPWLVGTTNKTRGTATLYLMRLLVYAVYTLSLAICLDSVDT >LPERR04G14650.1 pep chromosome:Lperr_V1.4:4:14948292:14950868:-1 gene:LPERR04G14650 transcript:LPERR04G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRKAWLSVLDRGGSGGGGSSGHLHGLLSPSSSSSSLNGYKRSSRGKYGGGGGNVIMSSKAVLGCFTVALVVAFFYISVASGPTADASFPSPTGATNSTAAAALPRPSLPPHPPIPPAVGGSDSGGNDPWNATSRTQSGAEEESVLPAPEKISLRDAGDVKGYQPVGNGTRTRQQREEITATPMPRWRRKGDTENSTRDAIVGATPGEPSSGPDGAATGNNSTDVGSSIGRSGKNGTTDAASPNTTATATATARADTPSPPLPERKTDSHHSHRRGIRHKHHQHPRRRKDTVLLPAAAARQEAPDRRDVAAINASVAGDNRVVWTPGAVQSLVSFAKCDVFSGGWVRDDGGGDGDGGYPFYPPGSCPHIDDDFNCHKNGRTDTGFLKWRWQPHGCDIPRLNAVDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRVYEASGRNQFKTRGYYSFKFRDYNCSVDFIRSIFLVKEMIRETKGGNEDTKLRLDELDEATPAYRTANIVVFNTGHWWTHSKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNQTYLTEYPEKMRILEQVLRQMKTPVIYLNISTMTDYRKDGHPSVYRVRYETEEERMAAVAKQDCSHWCLPGVPDTWNELLYASLLQAGKGSWRL >LPERR04G14660.1 pep chromosome:Lperr_V1.4:4:14951354:14954742:1 gene:LPERR04G14660 transcript:LPERR04G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLFARALSYVVNEFIVEGLANNRAFQRFAVRTNRSLESLSSKVKEARKDLSEQWREARGHDDQFRQ >LPERR04G14670.1 pep chromosome:Lperr_V1.4:4:14955518:14961348:1 gene:LPERR04G14670 transcript:LPERR04G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLRCAGMAPLYGPASATTKALLLNPAAALPLARARVPAAPFAGGRSFRGGASLRCYAAAAAAVAEQHRIKVQNPIVEMDGDEMTRVIWKMIKDKLIYPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEVKEFKLKSMWRSPNGTIRNILNGWKKPICIGRHAFGDQYRATDIIVNGPGKLKMVFAPDGAEPVELDVFDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDENDRLLDFTKKLESVCIETVESGKMTKDLALLIHGPKVTREFYLSTEEFIDAVAQKLREKIQMPAAL >LPERR04G14680.1 pep chromosome:Lperr_V1.4:4:14963153:14966786:1 gene:LPERR04G14680 transcript:LPERR04G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQFYRVTDLFGLIGISGSSFSVILKRRNHAMTQITQSTAGHRPISRIPCTPQALRPRRAPSPRARAARRTGSPARSRTPPSTTPSATAASPRRRPPYTRTRSGSPCPGSSRPRRGTLAPPRTPPALPSAAAPPRAHRAGAGARRRRATRTGRWQPGERP >LPERR04G14690.1 pep chromosome:Lperr_V1.4:4:14966226:14967383:-1 gene:LPERR04G14690 transcript:LPERR04G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNQNQAAPEAAAASLREEEIDDGWVFLAGRSSSRVAKPPPSPSSMARAVASSAGGQLPFDPTVEDIVTIYLPCRRALRCDALPVHVHDADLYGAHPALLASVYPAANKRFEWFFFAFRRRRCPGGRRRAGPGEYRLSQEARHRGGDAYSHSFRYYEDGKETEWRMVEYGDRRRDVGDGGGGSEGFELVVCKVYLARGGAVHEMLGADRAALASRGRGDEAAKPQVLVQLYLATLRMGNPLACRVHRATARDVLGAHPAVITAVLPAANDRCEWLFAAVRQRRRGEHGAARPRKAGPGEYVAVRECRVVDGRSRDMGYRIVFWYREDDDEARRLSPTEWWMEEYGFGPDFPYGELPAPVGWARGEDEELVVYKVYAKLVGGRR >LPERR04G14700.1 pep chromosome:Lperr_V1.4:4:14968562:14970642:-1 gene:LPERR04G14700 transcript:LPERR04G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQSAPGWGRQQQDGGGWRKGPWTSQEDTLLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQSQQQHHQPPPQTKVDDVAVVEAGISCTDDDVVAAPPPSSSLPGNNEADQQLIIQDAMDDLMMCPTMSYQLLLHGAVGQLSNGGGSCCASTSEEYGSSEEDGATWGSLWNLDGVVDHDGACSLW >LPERR04G14710.1 pep chromosome:Lperr_V1.4:4:14977725:14979077:1 gene:LPERR04G14710 transcript:LPERR04G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAAACAVALLLALPVARAEIKTTPIVADARPVILFEEFGFKAGGVAAVSVRGVSWRVPEGSQLQAADPRLMGFVLISNSNFFEINNESDYAEATGGAFCPLTSKYALPLFRLNDIAPDGNGKVSVTIDAADQYTVLFSSCQDGVEVTMDVRTEMYNVVPGAAGAGGREYLTVGLLPLPGIFAAASAVYAAFLLAWAWACCCAHRATAERIHAVMGALLLFKALKLACAAEDSWYVSRTGTPHGWDVAFYVFGFLKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIIIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKKFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYVFVFYNFKPVERNPYLYVGDEEEEAAGGQLELEGTFEI >LPERR04G14720.1 pep chromosome:Lperr_V1.4:4:14979665:14980504:1 gene:LPERR04G14720 transcript:LPERR04G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRSPAMGASRRRDYEDEVSLYGFLEPLTDLGVALGLTAVLLMCTHLGLWVVDIRNHTAAARGAEYSLAVTDFAGLSSYRELSSPVIGLALSVRVPAAAKAEVCVGGHAVAAAVSYGDAFLGKGSVPRLCVEPGQEGAVAVTAWGVDVTVPWFLRKRMMQEQKKGEAELDVAVPIRGGEVLVCKAKIGGGMSPCTLEEASDDYFLHWK >LPERR04G14730.1 pep chromosome:Lperr_V1.4:4:14982061:14982820:1 gene:LPERR04G14730 transcript:LPERR04G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPCDDGSSRGAARDIRVSAALVAASLIGLLLALFVSVVSSKSGDIPERPVYSVSITEFEDLPTYWNNKLAYSPVLGLTVHVKVPGGGKSDVCIGGHTVAAVVSYGGAFLAEGPVPRLSVKPLQEDAVAVAAWGWDAWVPKFLRSRFIEERERGEAAVDVAVPMRGGEVLVCKAKIGGDS >LPERR04G14740.1 pep chromosome:Lperr_V1.4:4:14983193:14983798:1 gene:LPERR04G14740 transcript:LPERR04G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCDNGCRGPARDLGRSAALTTVSLIFLLLALFVIASSTYGDNIREEHAATAPRAVYSAAVTKVDGLHGYLFRGRSYDSYEPVFYLTIHMKVPGGGENDVCIGGRSAAAVVSYGDVFLGKGSVPRLCVEPHQEGAVAVMAWGIDVRIPQVMKSRLNGDLKRGETELDVAVPMRSGEVLVCKAKIGGGPFPCTLEEASIN >LPERR04G14750.1 pep chromosome:Lperr_V1.4:4:14983982:14985893:-1 gene:LPERR04G14750 transcript:LPERR04G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRKLQSIGVCYGTHGNNLPPPADVVRLYESNHIDAMRIYSPDAGILHALRGSNISVIVDAPDVLSLASSNSTSAYSAAQAWVRTNVQPYAQDVNIRYIAVGNEVEGVDTHKILPAMESLSDALSAAGLGGGRIKVSTSVKMSVIAGSPLPSGGAFADPSVMGPIVRFLARTNSSLLANIYPYYAYKNTDANIDLNFALFLPSSTTIDDDDNGHTYTNLFDAMVDSVYSAMEKEGGSGVDIVVSETGWPTAGGRGASKENARIYNQNLNNHVRKGTPKRPVGLESYLFAILDENQKSGDEIERHFGLFNPDKSPAYAIDFSGTAGSSAKPSVGCARRPVRYAMVVVENLAYRVHEVFSCHK >LPERR04G14760.1 pep chromosome:Lperr_V1.4:4:14987490:14992053:-1 gene:LPERR04G14760 transcript:LPERR04G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRRAKRALGLRLCVHVPAEDCGEGGSSSGERRRRLPAVGARCRSEAAVTVGPESVPPLDVPVPVPGVVRRSKSGSSRSSSNKRKCAICFDSMRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRVGVNRARLTQQDANMSLLHQVPNRHHRVRRPHTSEPADFNDDEPLQQPEVFDNLSVRSTKTAEISTYPEFSAIPQSSSKDEFAILVHLKAPSANPDQGTSKLANGSSVGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRMSHYGRQQALQAINSLGAGGGTNIADGLKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSNVQGASPDYKSLVPSSILNDARHTVPLHSFGFGADHDSDSLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECLHPGVQLCSIKSGSYPSKVARDGRNGSVDIGHMYAEEERDILLSVNIPQSHQQTSALKVSCAYRDPVTGETIKIQGDEVTINRPVSNTPESVSIEVDRERNRIQAAESIESSRAAAERGALSEAVAILEDCRRTLSQSFASQSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATTRGDSTDSSTVIYSYQTPSMVEMLQHSQNHFPSPQDRQRPRLRSAAAEKPLASLVLQFVELDCLVFHLIIGDVYKPEHHGRDKNRLVGRRRKGRRKNAAWPRPDEPALAESWLTGVRGGRKWILCLCSLKVSR >LPERR04G14770.1 pep chromosome:Lperr_V1.4:4:14995881:14998717:-1 gene:LPERR04G14770 transcript:LPERR04G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTASGRRRNKGEDDDDDDDEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASASARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGIVTIKDGISHRLTEIGGSPESQIKALMGKLECSGDPSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIGKCKKSKIRCSVIGLSAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSMYSNKLGQKGGQKCYGCQQSFINPDSQSSLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESRRSSS >LPERR04G14770.2 pep chromosome:Lperr_V1.4:4:14995881:14998717:-1 gene:LPERR04G14770 transcript:LPERR04G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTASGRRRNKGEDDDDDDDEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASASARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGIVTIKDGISHRLTEIGGSPESQIKALMGKLECSGDPSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIGKCKKSKIRCSVIGLSAEIFICKHLCEETGGSYTVALDEVLKYACVFAFVYPSHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSMYSNKLGQKGGQKCYGCQQSFINPDSQSSLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESRRSSS >LPERR04G14780.1 pep chromosome:Lperr_V1.4:4:15005630:15010386:1 gene:LPERR04G14780 transcript:LPERR04G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAALLLLALAVYGHAIGHPVPRHHRRRPHVALPPPSSSSSERQDCSGISCTAPLTSTPIGSPCGCVYPMQVQLDLGVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSAILTSNRFLEKKVPIKSSIFGDYNVLYVHYPGLPSSVPSVAGSLGPISSSEYPFSANVHNRTHQRINSKIVAIIALSAIVLVLMSFGICIIWRYKGFENPHGTGRVSNSSATKKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFNKIIGEGGYGRVYRGTIDDEVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTEVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTNREGLQQLVDPSMPAASYCFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLISNDSDNDETGSFCGGGATEEESPWNAHGDDVTTPPHARRTMDYSSDGSASARRPRSASSLVLDKIESLAAYDWSGPLRATRGRNFYRLRGSMSEHGHPSEDCSMECGYWM >LPERR04G14780.2 pep chromosome:Lperr_V1.4:4:15005630:15010386:1 gene:LPERR04G14780 transcript:LPERR04G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAALLLLALAVYGHAIGHPVPRHHRRRPHVALPPPSSSSSERQDCSGISCTAPLTSTPIGSPCGCVYPMQVQLDLGVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSAILTSNRFLEKKVPIKSSIFGDYNVLYVHYPAIVLVLMSFGICIIWRYKGFENPHGTGRVSNSSATKKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFNKIIGEGGYGRVYRGTIDDEVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTEVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTNREGLQQLVDPSMPAASYCFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLISNDSDNDETGSFCGGGATEEESPWNAHGDDVTTPPHARRTMDYSSDGSASARRPRSASSLVLDKIESLAAYDWSGPLRATRGRNFYRLRGSMSEHGHPSEDCSMECGYWM >LPERR04G14790.1 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWGTSDPYVVLQLNGQTAKSKIKWATKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGDNHGITVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.2 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGRIPGEARPLRGRDREGWGHQRWRDDGRRNRRQARDEAVAVRDAIGGVGRQEFHGEERSEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.3 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGDNHGITVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.4 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGRIPGEARPLRGRDREGWGHQRWRDDGRRNRRQARDEAVAVRDAIGGVGRQEFHGEERSEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.5 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGDNHGITVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.6 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWVAAWDANLVTPHKRMGNAGLCLESLCDGRIPGEARPLRGRDREGWGHQRWRDDGRRNRRQARDEAVAVRDAIGGVGRQEFHGEERSEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.7 pep chromosome:Lperr_V1.4:4:15010542:15021152:1 gene:LPERR04G14790 transcript:LPERR04G14790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGDNHGITVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.8 pep chromosome:Lperr_V1.4:4:15010542:15020598:1 gene:LPERR04G14790 transcript:LPERR04G14790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAATCVCRMAPPPPPLAAASVSGRWQLRTSSYRAARCWWAGARRWRRRRWGARCAGQSVVKPGTESESAGEGLDGEGPPRPPFDLNLAVVLAGFAFEAYTSPPEGVGWRETDAAECQTVFLSDSFLREVYDGQLAVRVKKGINLPAMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGRIPGEARPLRGRDREGWGHQRWRDDGRRNRRQARDEAVAVRDAIGGVGRQEFHGEERSEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSTFTYTYLPKPPSLDNGAEASKRTEDSVDNSVGSDELEQQTSGDSADSHSETESTATVVNNEQSNSPNMKASDEYFWNAFTSVLNQNVLQNFGFSLPEVRQLDGFDLLGSLGLKSSELAEKEYLESGLATADTSTSEGQETAFKDATDLDNKDAAIPIKENLPKEELQSSFPDINKVSRDVLSQTENILGALMILSRSLSPQDNESVVVDDGSSKDDLVKEEQGASDSTNKDAAAASTEVFTDAQEAEDMRQLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSKWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIIALVNNAVGYMDEDSAENIPKWHVYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNATVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEIIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEKVRSRYQTVDNANEDYRLRVVGFLWQIKSTCHVT >LPERR04G14790.9 pep chromosome:Lperr_V1.4:4:15019261:15021121:1 gene:LPERR04G14790 transcript:LPERR04G14790.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKAQKPSTESMNRRISSSSSASSRPSRRPPSLASSTSSASTTRGTDPASRGCSAVAAAILAGISRVPPSPLAVEALRGRVVAFA >LPERR04G14800.1 pep chromosome:Lperr_V1.4:4:15019295:15021042:-1 gene:LPERR04G14800 transcript:LPERR04G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEQPRDAGSVPRVVEAEEVDEASEGGRRLGRDDADDDDEILRFMDSVDGYLLLMDSLSSALRQGWFDLASARHSMGTSRVSSTLFDHKEQSAATKLQVVDSEDLQSSEPNPHFILSKWCLQEKSNSNDAGDIVKDITKPNLRYRGSATTPEDGSQESDAAPAESSTGVDTSNHVQKARSKALSIFGALVSPKLRTTQISFETALELIVELANLRSNMLSSFSQIKGE >LPERR04G14800.2 pep chromosome:Lperr_V1.4:4:15019295:15021042:-1 gene:LPERR04G14800 transcript:LPERR04G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEQPRDAGSVPRVVEAEEVDEASEGGRRLGRDDADDDDEILRFMDSVDGYLLLMDSLSSALRQGWFDLASARHSMGTSRVSSTLFDHKEQSAATKLQVVDSEDLQSSEPNPHFILSKWCLQEKSNSNDAGDIVKDITKPNLRYRGSATTPVSLHIFTSSRVKKMAARNQTQLQQSLLLVQKARSKALSIFGALVSPKLRTTQISFETALELIVELANLRSNMLSSFSQIKGE >LPERR04G14810.1 pep chromosome:Lperr_V1.4:4:15024184:15039542:-1 gene:LPERR04G14810 transcript:LPERR04G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDGGAAAGTSAWGEPLPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGYLIRKPSHEVCIFLAPTIPLVRQQAMVIASSTNFKVQCYHGDGKNSRDHQEWENDLREFEVLVMTPQILLHSLRHCFIKMNSIALLIFDECHHAQAQKRHPYAQIMKVCSVDNVELESVVASPDMEVYYYGPVNCNNLTVKYSKELDSLKLQAAKTFLSFDVDKLDRREVDLNSNSSSYAQHYLNGATSILSCDKKDGSHAGSFDLEMLEEPFYSNKFLVLIDVLSRYRLQENMKCIVFVKRITVARAMSSILQKLKCLDFWKCEFLANLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTNSKYVVLLERGNQSHEKMLNGYKAGESIMNEEIDSRTSNDLFDCLEENIYRVDNTGASISTACSVSLLHRYCDNLPKDMFFTPSPAFYYIDGIEGIICRLILPPNAAFRQVDSQTCLSKDEAKRDACLKACIKLHKLGALTDFLLPGPGSRKNKISTTNNSSNNRVEDESLREELHEMLIPEVLKPSRLKLDCLSKLHFYYVRFIPIPEDRRYQMFGLFVINPLPEEAETLEVDLHLARGRIVKAGIKHLGKIAFEKEQASSSFVQLIMMLAHKFQETFLKILLDRSEFTSSHVVLSNDVTLEINSSFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKAPIDVSVHASYSPNESLRLLDGICSKADVVGSVVYSPHNKIFFFVDSILDEINARSKCKGATYTYVEHFKERFRIEISHPEQPLLKAKQLFNLRNLLHNRLPETTESEGRELQEHFVELPPELCSLKIIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMSSSFPEASQISASCTASFQILEALTTEKCLERISLERFEVLGDAFLKYIVGRHNFITYEGLDEGQLTRRRSDVVNNSNLYDLSIRRNLQVYIRDQQFEPTQFFAPGRPCKLVCNTDVEVSLHQMDIHPDNRVNCNLICTKSHHWLHRKVIADVVESLTGAFLVEGGFKVAFAFLHWMGIDVDFSNSALYRVLDASSINLSLMDRIYTAELEESIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDLKPGQITDLRSLAVGNESLAYAAVQKSIHKHLIKDSVHLTSAINKFEKYVKLSNSEKDLLEEPACPKALGDIVESCIGAVLLDSGFNLNYVWNVMIMLLKPVLTFSNMHTNPMRELRELCQCHGFELGLPKPIKADVEYHVKVEVNIKSKMIICTAANRNSKAARKFAAQETLSKLKNCGYKHRNKSLEEILFVARKRESELIGYNEDPIDVEADISVKMNSLHIHEERDANIFFQNTETKFTGNSRIPNQRIAGGIKSENYDIVNGRNNQPKLAMESGCLPSEATEKSNKKVYHGEMVHKTGRSFLFELCAANYWKPPEFKLCKEEGPSHLPMFTYKVVVEIKGTSATLLECYSDAKLQKKAAQEHAAQGALWCLRQLKYLPKVEVRV >LPERR04G14820.1 pep chromosome:Lperr_V1.4:4:15043067:15044363:1 gene:LPERR04G14820 transcript:LPERR04G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASSPDTMEATATAPSLSITVEKNPPEARLLQLGIKSWPKWGCPPGKFPLKFDARLTCYLLKGRVKASVKGTGRCFEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSA >LPERR04G14830.1 pep chromosome:Lperr_V1.4:4:15050851:15052408:1 gene:LPERR04G14830 transcript:LPERR04G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAADLAPLLGTAAANATDYLCNRLADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDYFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFVTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARRRYVEEIYGPGRPYGLFMGGGGKLLAAHIIQILVIFGWVSVTMGPLFYGLKKMGLLRISADDEMSGMDLTRHGGFAYVYHDEDDHDKSGVGGFIPGRQTRVEPTAAAANNNQV >LPERR04G14840.1 pep chromosome:Lperr_V1.4:4:15063973:15064419:-1 gene:LPERR04G14840 transcript:LPERR04G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKPTTTVEALGLLEPDVWTPLYPEFLYDLASFRSQTEGFVFDPLLFHFGTIEDGYFYDEHGFLVEATEEDVADDISRLCARVKELKEEADALASSCGGGSNDDDVEVDGEDADAGDEGAFDFSHINDLIEELLEDDETTTTTKGF >LPERR04G14850.1 pep chromosome:Lperr_V1.4:4:15067331:15067774:-1 gene:LPERR04G14850 transcript:LPERR04G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSTVEALGLLGPGVWTPLYPGFLYDPASFRSQVEGGFVYDPVSRSGTTGDGFLYDEHGFRVEATEQAVADDIAGFCARVGVLQGEADALSSRRGGGLGGVADAEVAGDDDDALADGFSHIIDFAEELLLEDDEDDGACYFSMN >LPERR04G14860.1 pep chromosome:Lperr_V1.4:4:15068999:15090314:1 gene:LPERR04G14860 transcript:LPERR04G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMTEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNTSHPSLNGPISTLNSDSILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSLPMDPSSLYGQGIIQPKPELSGGVLNQGVSGLPLKGWPLTGIDQLRPNIGGQMQKPFLSTQSQFQLLSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLAALTRVVSNGKDGQPAGTDGCISSPMQSSSPKVRPDQDYLMKTSSQQPQEQVQQQQQQNQQQQTQQGNRKRKQTTSSGPANSTGTGNTVGPSANSQPSTPSTHTPGDGLGMAGNMRHVPKNLMTYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSTAEPNSAASKGFTFSEVKCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFHTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTVKLWNAADPGFSLHTFAGHGSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCLRVMKGGTAQVRFQPNTGQFLAAATENGVSIFDVETHGRKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYADLLVIGGYQSLELWNTVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLRMAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMSEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNSAHPSLNGPISALNSDGILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGIPGSVSTTLQQIQARNQQNIDIKTEGNISVAQRSLPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGLDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLTALTRSVLNGKDGQPVGTDGCTSSPMQSSSPRVRPDQEYLMKASSQQPQEQLQQQHTQQQQQQNQPQQTQQGNRKRKQPTSSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMSGNMGHVQKNMMLYGMEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFSAPEKSPAEPNSVASKGFTFNEVNCWRTNNSKVICCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAVKGGTAQVRFQPNIGQFLAAATGNVVSIFDVETHGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECMHEVSSNGSKFHSCVFHPSFADLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQTPVTGMVASGSHDNSTWKI >LPERR04G14860.2 pep chromosome:Lperr_V1.4:4:15068999:15090314:1 gene:LPERR04G14860 transcript:LPERR04G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMTEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNTSHPSLNGPISTLNSDSILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSLPMDPSSLYGQGIIQPKPELSGGVLNQGVSGLPLKGWPLTGIDQLRPNIGGQMQKPFLSTQSQFQLLSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLAALTRVVSNGKDGQPAGTDGCISSPMQSSSPKVRPDQDYLMKTSSQQPQEQVQQQQQQNQQQQTQQGNRKRKQTTSSGPANSTGTGNTVGPSANSQPSTPSTHTPGDGLGMAGNMRHVPKNLMTYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSTAEPNSAASKGFTFSEVKCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFHTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTVKLWNAADPGFSLHTFAGHGSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCLRVMKGGTAQVRFQPNTGQFLAAATENGVSIFDVETHGRKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYADLLVIGGYQSLELWNTVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLSPRASSGLSTLDRALGSFSLRPPLAWLLRGSPRNSSTAKAFMSEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNSAHPSLNGPISALNSDGILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGIPGSVSTTLQQIQARNQQNIDIKTEGNISVAQRSLPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGLDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLTALTRSVLNGKDGQPVGTDGCTSSPMQSSSPRVRPDQEYLMKASSQQPQEQLQQQHTQQQQQQNQPQQTQQGNRKRKQPTSSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMSGNMGHVQKNMMLYGMEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFSAPEKSPAEPNSVASKGFTFNEVNCWRTNNSKVICCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAVKGGTAQVRFQPNIGQFLAAATGNVVSIFDVETHGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECMHEVSSNGSKFHSCVFHPSFADLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQTPVTGMVASGSHDNSTWKI >LPERR04G14860.3 pep chromosome:Lperr_V1.4:4:15068999:15090314:1 gene:LPERR04G14860 transcript:LPERR04G14860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMTEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNTSHPSLNGPISTLNSDSILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSLPMDPSSLYGQGIIQPKPELSGGVLNQGVSGLPLKGWPLTGIDQLRPNIGGQMQKPFLSTQSQFQLLSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLAALTRVVSNGKDGQPAGTDGCISSPMQSSSPKVRPDQDYLMKTSSQQPQEQVQQQQQQNQQQQTQQGNRKRKQTTSSGPANSTGTGNTVGPSANSQPSTPSTHTPGDGLGMAGNMRHVPKNLMTYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSTAEPNSAASKGFTFSEVKCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFHTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTVKLWNAADPGFSLHTFAGHGSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCLRVMKGGTAQVRFQPNTGQFLAAATENGVSIFDVETHGRKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYADLLVIGGYQSLELWNTVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLRMAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMSEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNSAHPSLNGPISALNSDGILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGIPGSVSTTLQQIQARNQQNIDIKTEGNISVAQRSLPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGLDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLTALTRSVLNGKDGQPVGTDGCTSSPMQSSSPRVRPDQEYLMKASSQQPQEQLQQQHTQQQQQQNQPQQTQQGNRKRKQPTSSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMSGNMGHVQKNMMLYGMEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGFTFNEVNCWRTNNSKVICCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAVKGGTAQVRFQPNIGQFLAAATGNVVSIFDVETHGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECMHEVSSNGSKFHSCVFHPSFADLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQTPVTGMVASGSHDNSTWKI >LPERR04G14860.4 pep chromosome:Lperr_V1.4:4:15068999:15090314:1 gene:LPERR04G14860 transcript:LPERR04G14860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMTEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNTSHPSLNGPISTLNSDSILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSLPMDPSSLYGQGIIQPKPELSGGVLNQGVSGLPLKGWPLTGLDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLTALTRSVLNGKDGQPVGTDGCTSSPMQSSSPRVRPDQEYLMKASSQQPQEQLQQQHTQQQQQQNQPQQTQQGNRKRKQPTSSGPANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMSGNMGHVQKNMMLYGMEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFSAPEKSPAEPNSVASKGFTFNEVNCWRTNNSKVICCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAVKGGTAQVRFQPNIGQFLAAATGNVVSIFDVETHGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECMHEVSSNGSKFHSCVFHPSFADLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQTPVTGMVASGSHDNSTWKI >LPERR04G14870.1 pep chromosome:Lperr_V1.4:4:15089801:15095490:-1 gene:LPERR04G14870 transcript:LPERR04G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPQSSDLSTPPQESSQIRMGKKGRGGKKPKRAAASAGTMVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVVDVGEGDRSTGKDQKKKKKRKKRKRGDDGDALPGDGDLVVECEALGEKSVNRKKRKVKEMEENLESKEDVSDDNVEDMKDDNDSTEQDNNTDELILGEDEVYAWRELRLHPLLITAMRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAARFCQEEDNTKDGSRIESPLRGLILTPTRELAKQVCDHLKEAAKFLGIQVVPIVGGLSMEKQERLLKRKPEIVVATPGRLWELMSTGNQHLVKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTSSSDEQAVGTTPSCETVPILQIKKRQTFVFSATLALSDNFRKKLKRGLVASKASASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECTDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRFLGINVLTNHAQMQQRARMKAVDRFRGSENSVLVATDGFARGMDFDDVRTVYIHRSGRTARKSMAGCSIALISSADKAKFYSLCKSLSKENLQQFPVDHAYMPAENVNKSWVQRNAESMGLVLETSDSEEERVQGHKQRKATSAHLQKLQQDLSELLQRPLQPKTFSRRYLAGAGISPLLQKQLEELSKRNVKGSASGNANKGSRFVVIGQDQIEPLQALQNSGQEVCVNIDKQREKRRLAENWRRKKQKEKKNTREQKRKEKRRAKERD >LPERR04G14880.1 pep chromosome:Lperr_V1.4:4:15095935:15104727:1 gene:LPERR04G14880 transcript:LPERR04G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYSVEMLTCAGMKELNRLQQQIASHPYAFEVCSYFLQCYYNVVANSPELACQFYSHESTVVRMDCQTMKSSFGETIQEINDIIMSMNIHKVEVKTANFLETYKGALQMLVTGLVQLKDYPVRKRFSQTMVLAPQANGYFVYSDIFKLICDEYDYYEAADYSHTDNILQMDVHNTMTETASDGVAGEVEAKETFAPADIEERDPAFVPENHEVPQEDPLESWVVIDEDSPSEDPIDPSFPSSTNSKQDSPHGCITHPSTLTPEEEPMAEPLRTKGNPSHQATLNKATTRSVESQQNGQMTKQVQPVHEKPNPDTHDEDEVYVRNLSPSTSVFDLEKVFQAFGKIRPDGVAIRSRKEAGVFFGFIEYEDISGIRNALRASPIELNGRLIHVEERRPNSGVYRVGGAQRGRGRAADFSRGQTGGRYDGDYATRSKGNGYQRKG >LPERR04G14880.2 pep chromosome:Lperr_V1.4:4:15095935:15104727:1 gene:LPERR04G14880 transcript:LPERR04G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYSVEMLTCAGMKELNRLQQQIASHPYAFEVCSYFLQCYYNVVANSPELACQFYSHESTVVRMDCQTMKSSFGETIQEINDIIMSMNIHKVEVKTANFLETYKGALQMLVTGLVQLKDYPVRKRFSQTMVLAPQANGYFVYSDIFKLICDEYDYYEAADYSHTDNILQMDVHNTMTETASDGVAGEVEAKETFAPADIEERDPAFVPENHEVPQEDPLESWVVIDEDSPSEDPIDPSFPSSTNSKQDSPHGCITHPSTLTPEEEPMAEPLRTKGNPSHQATLNKATTRSVESQQNGQMTKQVQPVHEKPNPDTHDEDEVYVRNLSPSTSVFDLEKEAGVFFGFIEYEDISGIRNALRASPIELNGRLIHVEERRPNSGVYRVGGAQRGRGRAADFSRGQTGGRYDGDYATRSKGNGYQRKG >LPERR04G14890.1 pep chromosome:Lperr_V1.4:4:15101837:15103330:-1 gene:LPERR04G14890 transcript:LPERR04G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHHHSPFLLSPSSSPSSLQLRRAFSDGHLPSQLHSDGDTRRSNTGLHTELSFSVYNTFDKMPPPPVKEQEEADQQEQEQKQHEEPELPLFLARGLGIDRIASGLFTAGGGGDAGRMMSLSAVVEEEEEQREKVAAVDAQYKRMVDEQPGNALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFNKSVQAAPQDSNVLAAYASFLWEQDDDDEEPDVVATAQPVQMRELATAAV >LPERR04G14900.1 pep chromosome:Lperr_V1.4:4:15104062:15106396:-1 gene:LPERR04G14900 transcript:LPERR04G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAASSLARRGIRRLSSAASPAAAGAQRQPPPLDEGDWSYHREWWGEDEGPREGEHTVFRAHSEHGNGVVSVSAYPASRPASDQWAVTERWLQERNAILYPNSTGADQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSGDAGMYLMQQPHCLPVPYVKSMVSAGLTALPSCSFDLHQAASGQNNMKILCIGHGGGSLPLFLASKFRGAVVHIVEIDPVVASASVDSMGFPSLPVKGLPVDSTQPTDGNELLWGGIHDRLFLHIADAEDFVANDSNVYDIVFIDAYDGDDIFPRKLWDADGTFLKNLEKKVHPVHGTVVVNLHSDSELSVSGENNSHVESILPLGKHVSRVCRSYKQHFGLAFTAAVPWLCNITLVACRDKAITSGARLGLSRRDFVLGKLLSNTDMVEDALDLPFPCLRYIKNGFVLVE >LPERR04G14910.1 pep chromosome:Lperr_V1.4:4:15108721:15110040:-1 gene:LPERR04G14910 transcript:LPERR04G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKAGRNGDRPGAGAEGPGAARATEGMRGGGAVAARKGGDTRGMSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDDHEHHHLSVMQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPLFPIYIHNIHRSKHGSDSGSYDNEGRFMPVNFENIFSKYARTSPDRLTFREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFEYVSRQREQHAKMS >LPERR04G14920.1 pep chromosome:Lperr_V1.4:4:15111032:15112254:-1 gene:LPERR04G14920 transcript:LPERR04G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEAAKAAPTETMSSVAAKAPVTAERPVRADLELKIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFLLAIAINVGLSYSTLPSWIPSLLFPIHIDNIHRAKHGSDTSTYDTEGRFMPVNFESIFSKNARTAPDKLTFGEIWRMTEGQREAFDLLGRIASKGEWILLYVLAKDEEGFLRREAVRRCFDGSLFESIAQQRREAHEKQK >LPERR04G14930.1 pep chromosome:Lperr_V1.4:4:15115105:15117973:-1 gene:LPERR04G14930 transcript:LPERR04G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRRLSILNADALFLAGAVIMAFAPSPAVIIVGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVNGTWRWMLGIAGVPALLQFILMWMLPESPRWLYRENRKEEAAAILRKIYPADEVEQEIEAMRRSIEEEIQLEGSIGEQGLVGKLRKALGSKVVRRGLTAGVLAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPPAAKQTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSAFALVVIFFTVPETKGLQFEEVEKMLEQKDYKPWKRYRPDAPSKGHEIGMSIP >LPERR04G14940.1 pep chromosome:Lperr_V1.4:4:15121542:15130171:-1 gene:LPERR04G14940 transcript:LPERR04G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPSHPRSFPASWTGKKPSWPAERNYSSRLCRFDREESEGGGARRRVRATAATAARRRRDGLSSGSCPLRRLSPSLRMEMKMNVVPDTPDRIQQSACPVSGPARKGITKAAGNQLPSRRIKFKITNSSVQVQSSRGNAGSVPSAPLDAGDIFKQAELARLLTVAEDPAARPSLQKSGRTIQNEKRPEKLDLNQSRNMGPGGRDHSCQIRKKEVITQDANCCNADFLDLGSGIPTTTVGKPRNRMGTLTFNKPKGVVGANVCAIPSSREDKGEGKEIIDKGTNVPSSSSALSIVPQRCVGQRKLVRNGCISPSNIAKTSLKVDEKREICSKSRLLHYPNTQLDAFEKGNVIDLTDNSPIIRRQGNTTADMENRPGRKLTISRAGETVIPSVANQVNSSNFSEGSSNKGKEISHDFMGAKQSGEAFMRRVSPRYMGDSSSGPNIDQGSEQGWRTTHNNTSKLPMPLSAGDSNNSIDGATTMQTSSFVNRAIRISSRKRKHIPSLYHAGESSSFADQPRVASSASTAARNHTAQCHDIPIVDIDDICSPEARPTSSGIGYINETLVDPNIREQLESDELLARQLQEQLYNETPRVIPTEEIDAIVAMSLQHEEDPRVIPTEEIDAIVAMSLQHEEDEQQSSRTVRRFQNITSGTRVLRSSASQHASRRVGYDSGNRRPNYQRVLPRYPAARIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSVGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >LPERR04G14940.2 pep chromosome:Lperr_V1.4:4:15121542:15130171:-1 gene:LPERR04G14940 transcript:LPERR04G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPSHPRSFPASWTGKKPSWPAERNYSSRLCRFDREESEGGGARRRVRATAATAARRRRDGLSSGSCPLRRLSPSLRRGGRLSVPDTPDRIQQSACPVSGPARKGITKAAGNQLPSRRIKFKITNSSVQVQSSRGNAGSVPSAPLDAGDIFKQAELARLLTVAEDPAARPSLQKSGRTIQNEKRPEKLDLNQSRNMGPGGRDHSCQIRKKEVITQDANCCNADFLDLGSGIPTTTVGKPRNRMGTLTFNKPKGVVGANVCAIPSSREDKGEGKEIIDKGTNVPSSSSALSIVPQRCVGQRKLVRNGCISPSNIAKTSLKVDEKREICSKSRLLHYPNTQLDAFEKGNVIDLTDNSPIIRRQGNTTADMENRPGRKLTISRAGETVIPSVANQVNSSNFSEGSSNKGKEISHDFMGAKQSGEAFMRRVSPRYMGDSSSGPNIDQGSEQGWRTTHNNTSKLPMPLSAGDSNNSIDGATTMQTSSFVNRAIRISSRKRKHIPSLYHAGESSSFADQPRVASSASTAARNHTAQCHDIPIVDIDDICSPEARPTSSGIGYINETLVDPNIREQLESDELLARQLQEQLYNETPRVIPTEEIDAIVAMSLQHEEDPRVIPTEEIDAIVAMSLQHEEDEQQSSRTVRRFQNITSGTRVLRSSASQHASRRVGYDSGNRRPNYQRVLPRYPAARIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSVGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >LPERR04G14940.3 pep chromosome:Lperr_V1.4:4:15121542:15130171:-1 gene:LPERR04G14940 transcript:LPERR04G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPSHPRSFPASWTGKKPSWPAERNYSSRLCRFDREESEGGGARRRVRATAATAARRRRDGLSSGSCPLRRLSPSLRRGGRLSVPDTPDRIQQSACPVSGPARKGITKAAGNQLPSRRIKFKITNSSVQVQSSRGNAGSVPSAPLDAGDIFKQAELARLLTVAEDPAARPSLQKSGRTIQNEKRPEKLDLNQSRNMGPGGRDHSCQIRKKEVITQDANCCNADFLDLGSGIPTTTVGKPRNRMGTLTFNKPKGVVGANVCAIPSSREDKGEGKEIIDKGTNVPSSSSALSIVPQRCVGQRKLVRNGCISPSNIAKTSLKVDEKREICSKSRLLHYPNTQLDAFEKGNVIDLTDNSPIIRRQGNTTADMENRPGRKLTISRAGETVIPSVANQVNSSNFSEGSSNKGKEISHDFMGAKQSGEAFMRRVSPRYMGDSSSGPNIDQGSEQGWRTTHNNTSKLPMPLSGKMVSSCGREPRLSEQSNLNHLSAAGDSNNSIDGATTMQTSSFVNRAIRISSRKRKHIPSLYHAGESSSFADQPRVASSASTAARNHTAQCHDIPIVDIDDICSPEARPTSSGIGYINETLVDPNIREQLESDELLARQLQEQLYNETPRVIPTEEIDAIVAMSLQHEEDPRVIPTEEIDAIVAMSLQHEEDEQQSSRTVRRFQNITSGTRVLRSSASQHASRRVGYDSGNRRPNYQRVLPRYPAARIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSVGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >LPERR04G14940.4 pep chromosome:Lperr_V1.4:4:15121542:15130171:-1 gene:LPERR04G14940 transcript:LPERR04G14940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPSHPRSFPASWTGKKPSWPAERNYSSRLCRFDREESEGGGARRRVRATAATAARRRRDGLSSGSCPLRRLSPSLRMEMKMNVVPDTPDRIQQSACPVSGPARKGITKAAGNQLPSRRIKFKITNSSVQVQSSRGNAGSVPSAPLDAGDIFKQAELARLLTVAEDPAARPSLQKSGRTIQNEKRPEKLDLNQSRNMGPGGRDHSCQIRKKEVITQDANCCNADFLDLGSGIPTTTVGKPRNRMGTLTFNKPKGVVGANVCAIPSSREDKGEGKEIIDKGTNVPSSSSALSIVPQRCVGQRKLVRNGCISPSNIAKTSLKVDEKREICSKSRLLHYPNTQLDAFEKGNVIDLTDNSPIIRRQGNTTADMENRPGRKLTISRAGETVIPSVANQVNSSNFSEGSSNKGKEISHDFMGAKQSGEAFMRRVSPRYMGDSSSGPNIDQGSEQGWRTTHNNTSKLPMPLSAGDSNNSIDGATTMQTSSFVNRAIRISSRKRKHIPSLYHAGESSSFADQPRVASSASTAARNHTAQCHDIPIVDIDDICSPEARPTSSGIGYINETLVDPNIREQLESDELLARQLQEQLYNETPRVIPTEEIDAIVAMSLQHEEDEQQSSRTVRRFQNITSGTRVLRSSASQHASRRVGYDSGNRRPNYQRVLPRYPAARIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSVGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >LPERR04G14940.5 pep chromosome:Lperr_V1.4:4:15121542:15130171:-1 gene:LPERR04G14940 transcript:LPERR04G14940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPGGDFNPSHPRSFPASWTGKKPSWPAERNYSSRLCRFDREESEGGGARRRVRATAATAARRRRDGLSSGSCPLRRLSPSLRRGGRLSVPDTPDRIQQSACPVSGPARKGITKAAGNQLPSRRIKFKITNSSVQVQSSRGNAGSVPSAPLDAGDIFKQAELARLLTVAEDPAARPSLQKSGRTIQNEKRPEKLDLNQSRNMGPGGRDHSCQIRKKEVITQDANCCNADFLDLGSGIPTTTVGKPRNRMGTLTFNKPKGVVGANVCAIPSSREDKGEGKEIIDKGTNVPSSSSALSIVPQRCVGQRKLVRNGCISPSNIAKTSLKVDEKREICSKSRLLHYPNTQLDAFEKGNVIDLTDNSPIIRRQGNTTADMENRPGRKLTISRAGETVIPSVANQVNSSNFSEGSSNKGKEISHDFMGAKQSGEAFMRRVSPRYMGDSSSGPNIDQGSEQGWRTTHNNTSKLPMPLSAGDSNNSIDGATTMQTSSFVNRAIRISSRKRKHIPSLYHAGESSSFADQPRVASSASTAARNHTAQCHDIPIVDIDDICSPEARPTSSGIGYINETLVDPNIREQLESDELLARQLQEQLYNETPRVIPTEESGTRVLRSSASQHASRRVGYDSGNRRPNYQRVLPRYPAARIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSVGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >LPERR04G14950.1 pep chromosome:Lperr_V1.4:4:15132881:15134789:-1 gene:LPERR04G14950 transcript:LPERR04G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGREFLMDFRFAPQVALRGEASTAVDAGVKEEALTAPPLQDANAVVPASTPPPLSPPVPAEIAEEAVTGASPRKEPREQEESPASSSLLDKQVVTPPLSPVAHSSAVEAVVCTPDPEFREVGGQENRSSGKKKVTFDMNVTAYENTTPADQEEEPLESVSMVEYEDGKHMQKDVLLPENHRYRNCSDSEEENDEYGEDSNYGDDSDEEEEDFVDCKIDLVDEDELYTEDSKQESHESLFSLQMYKDQQNDNEVSSPAPKSSDTSEDKQSPLIQRNNPRDRSQNVCPVLNPVRNMSEWKEIKIQAAAPKKLDKENVNSVPNVATSPGTSIKMEVSVDASLSTWLVSSDNSAVDKAQSRSPCSVSTVNRDERPVLCALTIDDLKQSSATSSPRRSPKHNREEAPILGTVGSYWSSTKQDNAHCSSISDSGTTGIPNTTSKYREDRRVNWHSTPFNVRLDRALKKSSA >LPERR04G14960.1 pep chromosome:Lperr_V1.4:4:15137273:15142651:-1 gene:LPERR04G14960 transcript:LPERR04G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGLLTEWPWQWMGSFKYLVLAPAALHTAHRVATKGLGDLDPAYAIMLPALLMRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDREQSWDDQIILNGILFYLAYATIPDIKLMPLWRTDGAIITILLHMGPLEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHLAYFFLFSIPILTPFFMGCGSVLVVVLYLAFIDFMNNMGHCNFELMPKWMFQNFPPLKYLIYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDSLSDELYERSLKGTEETPDLIHLTHMTTLQSTYHLRIGIASISSKPCNDSVWYIWMIWPAAWLSMVLAWIFGSSAFVTERLKLKKFHMQIWALPRYNFQYGLSWGKKSINNLIEKAILDADERGVKAKQLNGSGQLFTKKYPKLRIRLVDGSGLATAVVLNSIPLATKQVFLSGSNSKVARATAIALCERGVQVIMNQEKEYGMLKSRVPENRAIYLKFSNDETPQIWIGDSIDDAQWKAPKGTVFIPTSQFPLKKARKDCTYLSNPAMKIPEAMQNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDTMDIEKTWAAAIEHGFTPLAKACSLNPGIGL >LPERR04G14970.1 pep chromosome:Lperr_V1.4:4:15144649:15147772:-1 gene:LPERR04G14970 transcript:LPERR04G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTTLQSAERPMPVDHTLFEFGSIRYHLLASMTDSENVYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPPKEGYALTLKLNFSGLIRPKDRIKAINKISLLQSVILSSQLKDMLGSLGSSGTMKLVYNQRDPFFVSKTPAKINAIFPMRFRDDTDLAIASSFFQELEDLGSESSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRGHIQSRMRKRLEIMTEKLQKGFKAFIDKIKRLRLRIRVKGLDRFRRQYFQCFAVPKLTMAQKEHKYQKLE >LPERR04G14970.2 pep chromosome:Lperr_V1.4:4:15144649:15147772:-1 gene:LPERR04G14970 transcript:LPERR04G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTTLQSAERPMPVDHTLFEFGSIRYHLLASMTDSENVYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPPKEGYALTLKLNFSGLIRPKGISIPITKTNRIKAINKISLLQSVILSSQLKDMLGSLGSSGTMKLVYNQRDPFFVSKTPAKINAIFPMRFRDDTDLAIASSFFQELEDLGSESSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRGHIQSRMRKRLEIMTEKLQKGFKAFIDKIKRLRLRIRVKGLDRFRRQYFQCFAVPKLTMAQKEHKYQKLE >LPERR04G14970.3 pep chromosome:Lperr_V1.4:4:15144649:15147772:-1 gene:LPERR04G14970 transcript:LPERR04G14970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTTLQSAERPMPVDHTLFEFGSIRYHLLASMTDSENVYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPPKEGYALTLKLNFSGLIRPKDRIKAINKISLLQSVILSSQLKDMLGSLGSSGTMKLVYNQRDPFFVSKTPAKINAIFPMRFRDDTDLAIASSFFQVGRTFFLVVGLNLWLTPSNKPEEIEGKRHTMQELEDLGSESSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRGHIQSRMRKRLEIMTEKLQKGFKAFIDKIKRLRLRIRVKGLDRFRRQYFQCFAVPKLTMAQKEHKYQKLE >LPERR04G14980.1 pep chromosome:Lperr_V1.4:4:15148949:15152634:-1 gene:LPERR04G14980 transcript:LPERR04G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFMNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTQTMNGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVKTMVTQQEVQAGIPVAKTSFQGTPESGNRNGAEKSDQMKSYTPVASKLAYNQSTGLAYRNVDGAKERNPALETRGADATAMPPILVRKGPCGSQSSDDPRDLDYDSNGLEGELITSRSSPQSVLKREPNAANDDNRELKRQKSTDQDDRQPAIGGVWECEFCHSSKTTESTGPLSHYLHGEPLEDSEVWKSNVLHVHEKCIEWAPQAFFTGDIVNNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDEENFVMLCPSHSSKKLPCERSKSRNKKARLQRSSSDTMLDDLNSPSPIQMNELWTASPFLTSEWVICGSALSCQEKDILDQFEHHTGITVINSWRSNVTHVIANTDERGACARTLKVLMAILAGKWVVNINWLKACMEAKEPVPEEPYEISCDVHGSFDGPRMGRLRAMQNAPHLFTGLTFYLCGHFMPNYKVHLEDLITAAGGSILDKADIASTSLIIYSMEPPQGSDPDTLNEVIRRRKAEAEELAATIGCRAVPHTCVLDSIASCTVQMTI >LPERR04G14980.2 pep chromosome:Lperr_V1.4:4:15148949:15152634:-1 gene:LPERR04G14980 transcript:LPERR04G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFMNPLVLNLQKMELELTCPVCLKLLNAPTMLPYLRPASHLEAIVNIHRSLSSTVKTMVTQQEVQAGIPVAKTSFQGTPESGNRNGAEKSDQMKSYTPVASKLAYNQSTGLAYRNVDGAKERNPALETRGADATAMPPILVRKGPCGSQSSDDPRDLDYDSNGLEGELITSRSSPQSVLKREPNAANDDNRELKRQKSTDQDDRQPAIGGVWECEFCHSSKTTESTGPLSHYLHGEPLEDSEVWKSNVLHVHEKCIEWAPQAFFTGDIVNNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDEENFVMLCPSHSSKKLPCERSKSRNKKARLQRSSSDTMLDDLNSPSPIQMNELWTASPFLTSEWVICGSALSCQEKDILDQFEHHTGITVINSWRSNVTHVIANTDERGACARTLKVLMAILAGKWVVNINWLKACMEAKEPVPEEPYEISCDVHGSFDGPRMGRLRAMQNAPHLFTGLTFYLCGHFMPNYKVHLEDLITAAGGSILDKADIASTSLIIYSMEPPQGSDPDTLNEVIRRRKAEAEELAATIGCRAVPHTCVLDSIASCTVQMTI >LPERR04G14990.1 pep chromosome:Lperr_V1.4:4:15154903:15155301:-1 gene:LPERR04G14990 transcript:LPERR04G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERDLLAQAPAKVAAEPVSKPATATAPVPNNKLLAGHLAHEFLTRGTLHGRRVEPPLKPSQASSDPAGEPEPEPESDSAKRRRYAEVSWLLMASGARVPGVVNPTQLGRWLQIKE >LPERR04G15000.1 pep chromosome:Lperr_V1.4:4:15164877:15169187:1 gene:LPERR04G15000 transcript:LPERR04G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEEGSNEDNVSAHHGETTEEKIETALDTPPADSSNTETVVVQETDKAKPPKLKVYHLWPSTSPPTYFDGYCRSLPPEPGSLATNQFVAKQMAKMNYKNGTGLGKYGQGIIEPISPRITFGRGGIGTFRSFSLYDSDSDFEEDTVPPQPKHEPEQDAVDIEEIRAMDTLHRERAEYAAARAREQRHVKKRAANMCGRRLSKHHVEDKKITSAKTEIFSALGVIRRKSESGTLTIGGLIHEFAFLKEKYPEEYRTYRMPYHAIYYAAPLLRSMAYWSEYVHGEPPPMLRRALAIIEALKDMLEVDASAAYPCLINNVVMEPPIEAWEWSADKPEQMLRFVNRWKHVLPKSTMDFIVKDIILTELVGTEDEYWERSRVPNTWVGVWIPHLGHAHLRGVYNAIARWLGSYTPQESGLDSYDYRLWLSWKEVFDPVSWDESIEQYVVSRPRRNLDEFKISSTSTWAVSNCFPLVMRWASLIPVKYMVPLLLESNFFSKWRYATYGFFMGVMLRPEQVTSWYESWKVLFTPELLADERPEQMLRFVNRWKHVLPKSTMDSIVKDIILTELAQRMSTRKGQECRIPGWAYGFLT >LPERR04G15010.1 pep chromosome:Lperr_V1.4:4:15169314:15175225:1 gene:LPERR04G15010 transcript:LPERR04G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDEFIEQYVVSRPRRNLDELKISSTSTWAVSNCFSLIMRLASLVPVKYMVPLLLESNFFSKWRYATYGFLMGVMLRPEQATSWYESWKLFVPLRLLINILDGGDVQPAYDMLIKDAVVPSVKASPWKVWNPSPMIHFMKTWKDALPPSAVRVILDEAVLPELIAAAVSWSPWIPHLGVCTPPSRQNSGGGWMGVTSSNVPTPRWHSGRTCSTRRRGKSSIVPLVIRSLRNLKISPARTWERRSNTFPLVMRWALLVPDRYMVPILMREFFPNWRQTVYRFLMEVRPLPSQATAWYESWKGLFTPELLASVVMLLQLDTGLYMIDCAAQGLEGIEDPVEIDVCGPRNGNAALAAVEGAAPAPAPAAVPGPAETAARGGNGVDVEMERTLATLSEEGAAYDAAREERIQFVTAAGGGSRGVPPASAEKEVIRALAVVFRESALDNLTLGGLIHEFTGLKEKFPVEYVAHRLDFTAARLAAPLLRPLLRARYGRRDLLQKPSCALALVQSLRNILHGGDVPAAATSAYDMLINDAVVPSVKASTWKVWNPSPMIHFMEMWKDALPSSAVRVILEEVVLPELIAAAESWSPTSWPETGSVWVSPWIPHLGVDRLRGVYAAITAELGRWMDGRDVARCSDTVVAQWKDVFDPATWDELVLVHVVPLVIRSLRNLKISPARTWERRSSTFPLVTRWALLVPDRYMVPILVREFFPKWRQAVYRFLMVVRPLPSQATAWYESWKGLFTPELLDSVVVLLQLESGLDMIDCVAQGLEVDRPDF >LPERR04G15020.1 pep chromosome:Lperr_V1.4:4:15175866:15176532:-1 gene:LPERR04G15020 transcript:LPERR04G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYCSVNYLLQLPLFPHLETLEVRCHKKLISVRKFQHSPFLNLRLRKEPVQKKTWTLLRCPQQILECTYNLVACTDLAFSQTDVHPSEEEISKAVIFHIGQAEAVELVSCKTVWVQIGQPDEIELICIN >LPERR04G15030.1 pep chromosome:Lperr_V1.4:4:15176657:15177361:-1 gene:LPERR04G15030 transcript:LPERR04G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKCCNYISQLPQVIEFLANLRHLELPCMDLWNVYMPCGISELTNLQTIHAIKFRSDSGSCGIADLVKLDNLRGEDCFSNIGDSKVQAWILGSNGMKLRLQISLVSNI >LPERR04G15040.1 pep chromosome:Lperr_V1.4:4:15177448:15179806:-1 gene:LPERR04G15040 transcript:LPERR04G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLHRIIANRLEGKRLVRCTSLLVPLKSAAPGSKIVATTRSAKVARMMALKILQLGYLTDTACWSVCQDAALQDRDPSIIDDALISMGKSVESKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNDVIDHTLPALLEYVFRKEKVVRLWLAQGFAAADGESHAEDTACEYFQNLVEKFFLQRPPSYDHNEQRYGMHDLYHELAEYVAADEYSRIERFTLNINGEAHHLSLAPIQRTEHKDRRKTLSITMPSVLFKAFVCLRALDFSNTDMEGCQTLFGN >LPERR04G15050.1 pep chromosome:Lperr_V1.4:4:15179876:15180247:-1 gene:LPERR04G15050 transcript:LPERR04G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDELEELEYEALRASRLERFKLQLLRSSAGERKKREVSSLFCSSPDRLNPKIGKIMERYNDLTRDRDALRLRGNEGERRSEASTLTPTSCLTKCRLHGREWDKRQVLKLLLSDKNVGLGVP >LPERR04G15060.1 pep chromosome:Lperr_V1.4:4:15180474:15181920:1 gene:LPERR04G15060 transcript:LPERR04G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPAAAAAAPIRCVSLHSPAPRVLSASFPAAPSSSRRFPRFAVPSSGGGGGGTSPGPKPGDDESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQAQDFQEEVVQRAQKAKERAAMEVVDEKSPKTLREPSKTIVTPAPVTPPPITTPTQEE >LPERR04G15070.1 pep chromosome:Lperr_V1.4:4:15182495:15186614:1 gene:LPERR04G15070 transcript:LPERR04G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSSCRQNGLKTLSVTSYKQNHRLKIEGRLGTGKLGYLVSRSGGPEEESRREQAAVDGRDAREMEEPVHGEEHHETRRRLSRHFQALLDAVLPLLGQARLAFLAFTLVLPHYLSTRTCTCRESSRGSVAKWLSMRCVAKWMLPRAWTETGATRMPREADRDTQVSISAK >LPERR04G15080.1 pep chromosome:Lperr_V1.4:4:15183256:15186351:-1 gene:LPERR04G15080 transcript:LPERR04G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAACLVVLLTVHRLLHLSGVAAIDRGLFPPGFLFGTSTSAYQVEGGYLEGNKGLSNWDVFTHKQGTIVDGSNGDTADDHYHHYMEDIELMHSLGVNSYRFSISWARILPREQGRFGDVNPDGVAFYSSLIDNLLQKGIQPFVTLCHYDIPHELDKRYGGWLSPEIQKDFGYFAEICFKMFGDRVKFWATFNQPNLFIKFSYMVGWYSPGRCSEPFGNCKSGNSSIEPYIAGHNIILSHANAVSIYRNKYQGKQGGQIGIALCIIWYEPFRNTTADILAVKRALSFSAPWFLDPILLGDYPREMREVLGGRLPKFTSKQKKRLEATKLDFIGLNHYTTCYVKDCIFSQCEIDPINGDARVFSLVERDGVPIGKETGSPFFYDVPRGMEEAVMYYKQRYNNTPTYIPENGYSQASNSSMTAKDFTNDTRRINYISGYLTSLASAIRKGADVRGYFVWSLLDDFEWNSGYTSRFGLYHVDYKTLKRTPKLSADWYRKFLMGSPLRRKFRDESQLHQFTS >LPERR04G15080.2 pep chromosome:Lperr_V1.4:4:15183256:15186351:-1 gene:LPERR04G15080 transcript:LPERR04G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAACLVVLLTVHRLLHLSGVAAIDRGLFPPGFLFGTSTSAYQVEGGYLEGNKGLSNWDVFTHKQGTIVDGSNGDTADDHYHHYMEDIELMHSLGVNSYRFSISWARILPREQGRFGDVNPDGVAFYSSLIDNLLQKEICFKMFGDRVKFWATFNQPNLFIKFSYMVGWYSPGRCSEPFGNCKSGNSSIEPYIAGHNIILSHANAVSIYRNKYQGKQGGQIGIALCIIWYEPFRNTTADILAVKRALSFSAPWFLDPILLGDYPREMREVLGGRLPKFTSKQKKRLEATKLDFIGLNHYTTCYVKDCIFSQCEIDPINGDARVFSLVERDGVPIGKETGSPFFYDVPRGMEEAVMYYKQRYNNTPTYIPENGYSQASNSSMTAKDFTNDTRRINYISGYLTSLASAIRKGADVRGYFVWSLLDDFEWNSGYTSRFGLYHVDYKTLKRTPKLSADWYRKFLMGSPLRRKFRDESQLHQFTS >LPERR04G15080.3 pep chromosome:Lperr_V1.4:4:15183256:15186351:-1 gene:LPERR04G15080 transcript:LPERR04G15080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAACLVVLLTVHRLLHLSGVAAIDRGLFPPGFLFGTSTSAYQVEGGYLEGNKGLSNWDVFTHKQEGRFGDVNPDGVAFYSSLIDNLLQKGIQPFVTLCHYDIPHELDKRYGGWLSPEIQKDFGYFAEICFKMFGDRVKFWATFNQPNLFIKFSYMVGWYSPGRCSEPFGNCKSGNSSIEPYIAGHNIILSHANAVSIYRNKYQGKQGGQIGIALCIIWYEPFRNTTADILAVKRALSFSAPWFLDPILLGDYPREMREVLGGRLPKFTSKQKKRLEATKLDFIGLNHYTTCYVKDCIFSQCEIDPINGDARVFSLVERDGVPIGKETGSPFFYDVPRGMEEAVMYYKQRYNNTPTYIPENGYSQASNSSMTAKDFTNDTRRINYISGYLTSLASAIRKGADVRGYFVWSLLDDFEWNSGYTSRFGLYHVDYKTLKRTPKLSADWYRKFLMGSPLRRKFRDESQLHQFTS >LPERR04G15090.1 pep chromosome:Lperr_V1.4:4:15187171:15193424:-1 gene:LPERR04G15090 transcript:LPERR04G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRVTATCILTVVVATSLAVLTPGARGLGRDDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDGGNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRLGDVNPAGIAFYNRLIDALLQKGIEPFVTLNHFDIPQELETRYRGWLGAGIREEFVYYSDVCFKAFGDRVRSWTTFNEPNLYTRFQYMLGVYPPNRCSPPFGSCSGGDSRREPYVAAHNILLSHAAAVRNYHTNYQAKQGGKIGMVIAMKWFLDPIFFGDYPRVMKEMISSNLPKFTPEEKKLLQTNKMDFIGINQYTSIYAKDCIYSPCALNTYEGNALVYTTGVRNGKKIGRPTAFSSYFVVPQGIESAVMYVNDRYKDTPIFITENGYSQHSNTNMEDLINDVERVNYMQCYLKYLSSAVRKGANVRGYFAWSLVDNFEWIFGYTVRFGLYHVDFDTQERIPRASAKWYRDFLTGSSLKDDTVQSAP >LPERR04G15090.2 pep chromosome:Lperr_V1.4:4:15187238:15193424:-1 gene:LPERR04G15090 transcript:LPERR04G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRVTATCILTVVVATSLAVLTPGARGLGRDDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDGGNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRLGDVNPAGIAFYNRLIDALLQKGIEPFVTLNHFDIPQELETRYRGWLGAGIREEFVYYSDVCFKAFGDRVRSWTTFNEPNLYTRFQYMLGVYPPNRCSPPFGSCSGGDSRREPYVAAHNILLSHAAAVRNYHTNYQAKQGGKIGMVIAMKWFLDPIFFGDYPRVMKEMISSNLPKFTPEEKKLLQTNKMDFIGINQYTSIYAKDCIYSPCALNTYEGNALVYTTGVRNGKKIGRPTAFSSYFVVPQGIESAVMYVNDRYKDTPIFITENGYSQHSNTNMEDLINDVERVNYMQCYLKYLSSAVRKGANVRGYFAWSLVDNFEWIFGYTVRFGLYHVDFDTQERIPRASAKWYRDFLTGSSLKDDTVQSLRADS >LPERR04G15100.1 pep chromosome:Lperr_V1.4:4:15195192:15195458:1 gene:LPERR04G15100 transcript:LPERR04G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILASTSWIIWLTRNEFVFEDIVQRWKVLQKERVRGNLQNEMLRKARELKPSDVLPFDIEGVG >LPERR04G15110.1 pep chromosome:Lperr_V1.4:4:15203687:15206222:1 gene:LPERR04G15110 transcript:LPERR04G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAAAVAAFPFISSGHRPRLRPGRLTRRAVLLTRCVASSSSSSSSSSSWEEREEARWLREEQRWLREEQRWLREESRWRAEREAFLAEIAALRLRLPAAPPAPAVPATAAAPPPPAAVVVVEKEKEEVEVRKEVVVVEEKKATAKSGSGGGDGRRTLRMGAEGEDVREMQDALQKLGYYSGEEDMEFSSFSSGTERAVKTWQATVGTSENGIMTSDLLDRLFTGQAEKDVKTKDGINGAAIPAVTEIAEVQQTIVKENGISGVGFSENRVFLIGENRWEDPSRLTQWNKPINSANNASVKKCVSCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDMKCPYCEGLGSIVCDVCEGKTVAAN >LPERR04G15120.1 pep chromosome:Lperr_V1.4:4:15208053:15211148:-1 gene:LPERR04G15120 transcript:LPERR04G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVARVRLAPKPRASQHPLPPLQLAAASSVAALGCGSHPDAFYYASILSSLSRECLLSHARHPFDESPTRALHAQTCRALHGRILRAALPLQGRLGDALVELYCKSGRVGYAWSALSCVGAARASGAASSLLACHARSGSPEDVLGAFRYVRCAAGGRPDQFGLAVVLSACSRMGVLACGRQVHSDVIKSGFSSSAFCEAALVDMYAKCGDVADARRVFDGITCPDTICWSSMIACYHRIGHYQEALDLFSRMDKMGHAPDQVTLVTIISTLASLGRLDHATTLLKKMPTPSTVAWNAVISGHAQSGLGFDVLGLYKDMRSRGLQPTRSTFASMLSAAATMKAYHEGQQIHAAAARHGLDANVFVGSSLINLYAKCGCLGDAMNVFDLSCEKNIVMWNAMLTGFVQNELPEEAIGMFQYMRTYTIQPDEFTYVSILGACTYLNSFYLGKQVHCVTIKNRMGISLFVANATLDMYSKFGAIGDAKVLFSLIPHKDSVSWNALIVGLARNLEEEEAVCMLKRMRLNGVTPDDVSLSSAINACSNIRATETGKQIHCLAIKYSICSNHAVGSSLIDLYSKHGDVESSRKILAQVDDSSIVPINALIAGLVQNNNEDEARQLFQQFLKDGLKPSSATFSSILSGCIGSLSSVIGQQAHCYTLKSGLLYDDALLGVSLSGIYLKSKMLEDANKLFTEMPDHKNLFEWTAIISGYAQNGYGDHSLVSFWRMRRYNVHPDEATFASVLKACSDVTALADGKEIHGLIIKYGFDSYEIATSALIDMYSKCEAQEVIDHLPFRPDGVVWATYLAACQIHKDEERGKIAAKKLVELEPHYSSTYVVLSSLHAAAGNWAEAKVARESMREKGVAKFPGCSWITVGNKTSLFLVQDKYHPENLSIYEMLGDLTGMMKKCNDIEEYSLINSAEMLA >LPERR04G15130.1 pep chromosome:Lperr_V1.4:4:15217529:15220827:-1 gene:LPERR04G15130 transcript:LPERR04G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVISAASSCLQPFCECLDGSGLLEASAGEVASFLQLRSNWDDLEKARESLGAVERMVRGRVTAEIDKLNVCDPQVELWLRRVDELQVHTLDEDYTNLMKYSSLCQCTRHAARRSRIGKRVVESLDEVNKLIEEGRQFKKFGFKPSPEIVERLPESKTFGLETMLAQLHDLLEKADSNIIGVWGQGGIGKTTLLHAFNNDLEKKVHDYQVVIYIEVSNSEILDTLEIQKTISERLNLPWNEGETIVKRARFLVKALSRKRFVLLLDDVRKKFKLEDVGIPTPDTNNRSKLILTSRYQEVCYQMGAQRNLIKMDVLDSDAAWKLFLSKLSTEASAAVESSSPNNVVKERAMVIAQGCEGLPLALNVIGTAVAGYVESSDWISAVDAINKNIVEFEGVDGMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEQLVDYWLAEGLLLDDREKGNQIIRSLISACLLQSTSSMSSKVKLHNIIRHLGLYLVSADKSFVVKAGMALDNAPPATEWKEARRISIMSNNITELPFSPKCRNLTTLLIQNNPKLNKLGWGFFKYMPSLKVLDLSHTAITSLPECDTLVALQHLNLSYTHIMRLPERLWLLKELRHLDLSVTPALEDTLNNCSRLHKLRVLNLFRSHYGIRDVDDLNLDSLRALLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKFCGEMQSIKISDFNHMKHLEELYVESCYDLNTLVADTELTTSCLQALTLSILPSLENVLVAPMPHNFRFVRKLSITQCPKLLNITWVRRLELLERLVISNCREMLTIVEEANNTEEQYKAQTILKDCYSEQEDDHTMPESSHDEWNDDYQIINRESINDTMMQPEFPKLRSIVLTDVNKLRTICKPRDFPCLETIRVEDCPNLRSIPLSSTHNCGKLKQICGSSDWWKKIQFENKEEAAHMESKYFIPI >LPERR04G15140.1 pep chromosome:Lperr_V1.4:4:15221678:15225627:-1 gene:LPERR04G15140 transcript:LPERR04G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRPSGKPSGLVGRSAERTERTAGEAIARRTGSGSGRNGEPKHRTLLDSLMSSKAVRNRCTVLKLHSIPSVMTEEFTILSCDLQTIDSDKTRPTSLSRNGSTSRRAIVSSSKPNSGDPSDTNRTSRLLSSSSSRPSAAQRALQAAGAEVRSSSLSKTRKSSRDDPTIRSFEMLSLSADRWK >LPERR04G15150.1 pep chromosome:Lperr_V1.4:4:15234459:15236111:-1 gene:LPERR04G15150 transcript:LPERR04G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >LPERR04G15160.1 pep chromosome:Lperr_V1.4:4:15237109:15239817:1 gene:LPERR04G15160 transcript:LPERR04G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKATTTMEEVRGEERKETAPASDVSLKELSKKLDDFAKERDWEMHHAPRNLLLAMIAEVGELSELFMWKGEVTKGLKDWTEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >LPERR04G15170.1 pep chromosome:Lperr_V1.4:4:15254185:15256668:1 gene:LPERR04G15170 transcript:LPERR04G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVTNEHVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPSTRAHVGMRKTLVFYQGRAPNGLKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNNGATSAASPVTFAASSRAAVPDQAQAAYSDQTGSSMGFAPRQNEILDSSNHDHQLLNLAMFQCNSVLDQLPQEVNSSAMMGLGSIGIGDEYGFFYDTGFEETASLGAAMRFPQGWS >LPERR04G15180.1 pep chromosome:Lperr_V1.4:4:15262439:15263038:-1 gene:LPERR04G15180 transcript:LPERR04G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSAGGNGGGSSSSSSSPSMGTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQLQLQGGDGGLHGGHQQHHPPPPPPSAAC >LPERR04G15190.1 pep chromosome:Lperr_V1.4:4:15278560:15280896:-1 gene:LPERR04G15190 transcript:LPERR04G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNNVVDLRSDTVTKPSEAMRGAMAAAEVDDDVLGADPTAHRFEAEMARIMGKEAALFVPSGTMANLISVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDRIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVVKSHGLKLHIDGARIFNASVALGVPVHRLVKAADSVSVCLSKGLGAPVGSVLVGSTAFIDKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRKAKVLADGLRKIKYFRVDSASVETNMVFFDIVDSRISPDKLCQVLEQRNVLAMPASSKSMRLVIHYQISDSDIQYALTCVEKAAEEILTGSKKFEHLTNGTTRNSYGH >LPERR04G15200.1 pep chromosome:Lperr_V1.4:4:15284769:15288305:1 gene:LPERR04G15200 transcript:LPERR04G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRAHAVAACATGVAKVFVVCLVLGFHPGALGFAFLCGVIALVLNRAISSLPDAGDADIAGEGEVPEPEHVAAEDSSSSAVCGREDDEQYLSTSAAFGCEEEEDSSFAAVCDREEEDKTTKENFDGSDEVIEEQEEQNFSDGCMDEWNLVEADPVMPINVNGGANGSGKFKRWPQKYSYLRWVL >LPERR04G15210.1 pep chromosome:Lperr_V1.4:4:15293141:15293470:1 gene:LPERR04G15210 transcript:LPERR04G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAATTFAWAAGGVHERPSALSGGSGVQLDATPMRTGSVEAFCFGWLLVYRIYGQAFGTPRGRGEEARGGAARRPGGEGPWQREAQRGTHGDARRCSRTASAVFGPW >LPERR04G15230.1 pep chromosome:Lperr_V1.4:4:15307915:15311160:-1 gene:LPERR04G15230 transcript:LPERR04G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILERIRGGGDRAVGAERPREPEPWVEISESVSRLCCFDGGRVSVKVIQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTRFRALQHFSSAMLHVLSTQILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLVEEAGNVKIGQPVRSVFSPQHVEQLKATFSKEKFLLSRKGNNAYMVLEQSATGEDALRGWLVAAFASEMERSGVSSGDTVLNVAYQRMENVFPMFVAEVRSRGWYTDQFLDGNRSRIAYAKSQ >LPERR04G15240.1 pep chromosome:Lperr_V1.4:4:15311515:15318131:1 gene:LPERR04G15240 transcript:LPERR04G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRLALVAAIAAAALLAGVVMAAAATEEAYATLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVQHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVYLDADTVVVKSIDDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFRDMMHQIDSLPSYTGGDQGFLNSYYADFANSHVYEPDKPSTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLVKPVGVWQDIRQTLEESLPGTGGGRNPHDQFVVKILFILPVLLLLFGYYQSCFQTNKELLNIRHLCVFARRARYKYKSEEALPSYSAVGVSSSASASSNQRFSNGAHSKLPSYFGAISVVACFMSAGVSLAFAFTIIPQQIMPWTGLLLMFEWTYVSFFLLFGTYLRFVYRWGSLDANHVGYGRFGSSENHMATGRQHNMSDCDMAATFYWTGMAIMATVTVLLPTLLGVTALFAKLGLMVAGGVALTSFMTYASEHLAISAFYKGQRDKNVSRSRSICFLF >LPERR04G15240.2 pep chromosome:Lperr_V1.4:4:15311515:15318131:1 gene:LPERR04G15240 transcript:LPERR04G15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRLALVAAIAAAALLAGVVMAAAATEEAYATLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVQHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVYLDADTVVVKSIDDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFRDMMHQIDSLPSYTGGDQGFLNSYYADFANSHVYEPDKPSTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLVKPVGVWQDIRQTLEESLPGTGGGRNPHDQFVVKILFILPVLLLLFGYYQSCFQTNKELLNIRHLCVFARRARYKYKSEEALPSYSAVGVSSSASASSNQRFSNGAHSKLPSYFGAISVVACFMSAGVSLAFAFTIIPQQIMPWTGLLLMFEWTYVSFFLLFGTYLRFVYRWGSLDANHVGYGRFGSSENHMATGMAIMATVTVLLPTLLGVTALFAKLGLMVAGGVALTSFMTYASEHLAISAFYKGQRDKNVSRSRSICFLF >LPERR04G15240.3 pep chromosome:Lperr_V1.4:4:15311135:15311576:1 gene:LPERR04G15240 transcript:LPERR04G15240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTSMFIRKGLAGGRALVSLSGEAEPAAAGGDWGRVGGDRSSRPGLTRKVSAGPAHGKFRGSHTIAMIRAAQAHLVRSDPMEPHAIEWTGRPRRRLRSPNWINSLTEQS >LPERR04G15250.1 pep chromosome:Lperr_V1.4:4:15320534:15321808:1 gene:LPERR04G15250 transcript:LPERR04G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGEEIGRGRFGVVHRCASRATGDVYAVKSVDRSRLSDDLDRSLAALEPKLAQIAAAGNPSVVQVHAVYEDESWTHMVMDLCTGPDLLDWLRLRCGQPVPEPDAAAVIAQIAEALAVCHRRGVAHRDVKPDNVLIDASDGDGEAAPPRVRLADFGSAAWVGDGLSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVMYVLLTGGTLPFGGETASDVFAAVLRGSLRFPPRLFSGVSPAAKDLMRRMMSRDVYRRLSAEQVLRHPWIMSGGGTRDVQPT >LPERR04G15260.1 pep chromosome:Lperr_V1.4:4:15326245:15326622:1 gene:LPERR04G15260 transcript:LPERR04G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSSKRRFLRAFLHSWKKLGAAAAPAAGEWLPLDGAAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFAAGAGADARLCIPCDEDIFLGVLCHVDSKQEHWRLSLCR >LPERR04G15270.1 pep chromosome:Lperr_V1.4:4:15327469:15330541:-1 gene:LPERR04G15270 transcript:LPERR04G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYDELSSKENVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQAAGAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRFEHLKRPENWALVERAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALLYADYIFGNETEARTFAKVRGWETENTEEIALRISQLPKASGAHRRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQERSIDECVRAACYAANVVIQRSGCTYPEKPDFN >LPERR04G15270.2 pep chromosome:Lperr_V1.4:4:15327469:15330541:-1 gene:LPERR04G15270 transcript:LPERR04G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYDELSSKENVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQAAGVNASYKFLFTFAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRFEHLKRPENWALVERAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALLYADYIFGNETEARTFAKVRGWETENTEEIALRISQLPKASGAHRRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQERSIDECVRAACYAANVVIQRSGCTYPEKPDFN >LPERR04G15270.3 pep chromosome:Lperr_V1.4:4:15327469:15330541:-1 gene:LPERR04G15270 transcript:LPERR04G15270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQAAGAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRFEHLKRPENWALVERAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALLYADYIFGNETEARTFAKVRGWETENTEEIALRISQLPKASGAHRRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQERSIDECVRAACYAANVVIQRSGCTYPEKPDFN >LPERR04G15280.1 pep chromosome:Lperr_V1.4:4:15337594:15346709:-1 gene:LPERR04G15280 transcript:LPERR04G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNLAVYIEAGRQALKLLLIHQLIHQHPASPKPSSPSSYINSLPPSVSLQEEYTASQLFFYHHHPTLPPLSSSSPVQMATDATAFVANDPLSWGKAALEMTGSHLDEVKRMVAQSREPVVKIEGSSLRVGQVAAVSAAKDASGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGHALPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINAGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNALAVTADGKKVDAAEAFKVAGIQGGFFKLQPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKSCVTTVAKKVLTTSVATGDLHAARFSEKAMITAIDREAVYSYADDPCSANYPLMTKIRAVLVEQALANGPAEKEDGSSVFSKITAFEEELREALPREMEAARVAFERGTAPITNKIRESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >LPERR04G15280.2 pep chromosome:Lperr_V1.4:4:15346874:15349128:-1 gene:LPERR04G15280 transcript:LPERR04G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAAANGSGLCMAKPPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVAAAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGMKVDAAEAFRVAGIEHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHVLEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDVLKLMSSTFLIALCQAIDLRHLEENVKSAVKSCVTAVARKTLSTSAATGDLHAARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVDTSVFAKVATFEAELKAALPREVEAARAAVENGTAAKANRIAECRSYPLYRFVREELGTEYLTGEKTRSPGEEVDKVFVAMNRGKHIDALLECLKEWNGDPLPIC >LPERR04G15280.3 pep chromosome:Lperr_V1.4:4:15337594:15349128:-1 gene:LPERR04G15280 transcript:LPERR04G15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAAANGSGLCMAKPPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVAAAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRHLNAGIFGNGSDGHALPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINAGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNALAVTADGKKVDAAEAFKVAGIQGGFFKLQPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKSCVTTVAKKVLTTSVATGDLHAARFSEKAMITAIDREAVYSYADDPCSANYPLMTKIRAVLVEQALANGPAEKEDGSSVFSKITAFEEELREALPREMEAARVAFERGTAPITNKIRESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >LPERR04G15290.1 pep chromosome:Lperr_V1.4:4:15366893:15370967:1 gene:LPERR04G15290 transcript:LPERR04G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADHTAEIIKEGEAVVETMALLLPSRFRRVCVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPEELLDKLEAYSPRHEEVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQTQRGSML >LPERR04G15290.2 pep chromosome:Lperr_V1.4:4:15366893:15371241:1 gene:LPERR04G15290 transcript:LPERR04G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADHTAEIIKEGEAVVETMALLLPSRFRRVCVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPEELLDKLEAYSPRHEEVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQTQRGSML >LPERR04G15300.1 pep chromosome:Lperr_V1.4:4:15374924:15377964:1 gene:LPERR04G15300 transcript:LPERR04G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTDLAAPTGDGGRCVGSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAGVASDLLSAARVPPLVPCRVVAVRFMADAETDEVFARIRLAPLRPGDAVVDVGEAAAAAAEAEARQEEEGGGRATKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSTEPPVQFVYAKDVHGEEWRFRHIYRGTPRRHLLTTGWSAFVNQKKLLAGDSIVFMRDEAGNVHVGIRRAKRGFGDDGEGLSSLPGWGLMRPNASACGGNGAAKGGKVPPENVLAAARMAASGQPFEVLYYPRASTPEFCVRASAVTTAMSVHWCPGMRFKMAFETEDSSRISWFMGTVAGVHPADPIRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRIPPYSEFPLDAHQLFAPAAPFLSNPMAAHGFPFLPFFPDSSSSSSSVQPAGIQGARHAHFAPSFQDLHTGGNLRPNLLLYSGIHLPTADHAAAPPPARIISTDLTIGSPIAPPDDDGAKPQGFLLFGQAILTEEQIKNSGGTRKRSPNASEGSGSGITEGSPNNNHTPSPFNPPCSGHEVDLGQCKVYVQSEEVGRSLDISELSSFEELYARLSEMFSIDGDGMSHTRVVYNSLSGKDKHAGDEPFCATMGS >LPERR04G15300.2 pep chromosome:Lperr_V1.4:4:15374924:15377848:1 gene:LPERR04G15300 transcript:LPERR04G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTDLAAPTGDGGRCVGSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAGVASDLLSAARVPPLVPCRVVAVRFMADAETDEVFARIRLAPLRPGDAVVDVGEAAAAAAEAEARQEEEGGGRATKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSTEPPVQFVYAKDVHGEEWRFRHIYRGTPRRHLLTTGWSAFVNQKKLLAGDSIVFMRDEAGNVHVGIRRAKRGFGDDGEGLSSLPGWGLMRPNASACGGNGAAKGGKVPPENVLAAARMAASGQPFEVLYYPRASTPEFCVRASAVTTAMSVHWCPGMRFKMAFETEDSSRISWFMGTVAGVHPADPIRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRIPPYSEFPLDAHQLFAPAAPFLSNPMAAHGFPFLPFFPDSSSSSSSVQPAGIQGARHAHFAPSFQDLHTGGNLRPNLLLYSGIHLPTADHAAAPPPARIISTDLTIGSPIAPPDDDGAKPQGFLLFGQAILTEEQIKNSGGTRKRSPNASEGSGSGITEGSPNNNHTPSPFNPPCSGHEVDLGQCKVYVQSEEVGRSLDISELSSFEELYARLSEMFSIDGDGMSHTRVVYNSLSGKDKHAGDEPFCEFLKSARKLTILIDAGSATMGS >LPERR04G15310.1 pep chromosome:Lperr_V1.4:4:15382902:15392328:-1 gene:LPERR04G15310 transcript:LPERR04G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGSTVTASEKTKVDEAVSRNEDYGKHRRTPFLLLGLLIVFLHGSWSVYRVQFANLPLPLDAEQAVAEKIKKTAHWEVDVQLELFHTDIGTNRIAGGLFNGKTMVYSDLKHVLLRVVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDMTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGADQNKAVFFDILGKYMVVYPHRLATMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLSIFLTLISSVILSVVVAFALPHICSFPVAYVAHPWLVVGLFGSPALVGAFIGQHIGFIILKRHLKHVYSTTKPDLSQSMLEHIVNLEAERWIFKSGFVQWLVVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPSRSPKQLKVVTLVLALAAPVVSSAGLVIRMVDVIIGSIVRVDRNPGGLPDWLGNVVVSVAIAVVICFTFVYLLSYVHISGAKRILGFLLCILFGLALALASTGIVPAFTEDIARSVNVVHVVDTTTVNSGNPEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRTIDFVTFTMKYGCLSYEGSNTGWSKSEVPVLSLENDSVTDDARQTVISVDTKSSTRWSLAINKEEIDDFTVHVDSKNLVPLGNKSEVNGWHTVQFAGGKDSPTKFHLTLFWSSNAKDASPKSVKSEDQSFLVKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPNTLAFLTALPVNI >LPERR04G15320.1 pep chromosome:Lperr_V1.4:4:15394793:15399536:-1 gene:LPERR04G15320 transcript:LPERR04G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLQHSLNQTQRVRLDAALNELQTIAPSGASAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLTVHAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENTNMMEDKPSLSAEVENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASISSNVEINDMLGAEFYVQTAEREAKRRADLLKKKSGGR >LPERR04G15330.1 pep chromosome:Lperr_V1.4:4:15399900:15400329:-1 gene:LPERR04G15330 transcript:LPERR04G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVVPRLLPLSCVHNHRHSCRLCPASVAIVAIILCLQPPSPRHPASAVDGSGAATHARLIDNFETQA >LPERR04G15340.1 pep chromosome:Lperr_V1.4:4:15408818:15409351:-1 gene:LPERR04G15340 transcript:LPERR04G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSFSVAGADSSPAELGARGGRPIPSARAGRKAQPSLDRVAAGAAAGSLPVNIPDWQKILGVEYRDHQAAAGEWELHGDGDDDYECGKVVIPPHELAWRGRAASMSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >LPERR04G15350.1 pep chromosome:Lperr_V1.4:4:15415685:15421742:1 gene:LPERR04G15350 transcript:LPERR04G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLYSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTYFKCIHLSNQESSKSCMRQQGYRNDGSGSVLGDGDQWIFAFCFVLFVFMDGLNCSIHEQDNGFHG >LPERR04G15350.2 pep chromosome:Lperr_V1.4:4:15415685:15421742:1 gene:LPERR04G15350 transcript:LPERR04G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLYSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTYDHIARRLKRRVAGFLCIHLSNQESSKSCMRQQGYRNDGSGSVLGDGDQWIFAFCFVLFVFMDGLNCSIHEQDNGFHG >LPERR04G15350.3 pep chromosome:Lperr_V1.4:4:15415685:15422196:1 gene:LPERR04G15350 transcript:LPERR04G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLYSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTSPARAACVNRVTGTMAQEASWEMEINGSSLSVLCCLSSWTA >LPERR04G15350.4 pep chromosome:Lperr_V1.4:4:15415685:15421742:1 gene:LPERR04G15350 transcript:LPERR04G15350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLCCNVGNTDYALHMLDEMPFNGVFADSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGLLDFGRFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTYDHIARRLKRRVAGFLCIHLSNQESSKSCMRQQGYRNDGSGSVLGDGDQWIFAFCFVLFVFMDGLNCSIHEQDNGFHG >LPERR04G15350.5 pep chromosome:Lperr_V1.4:4:15415685:15421742:1 gene:LPERR04G15350 transcript:LPERR04G15350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLCCNVGNTDYALHMLDEMPFNGVFADSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGLLDFGRFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTYDHIARRLKRRIPELDGDLHCIHLSNQESSKSCMRQQGYRNDGSGSVLGDGDQWIFAFCFVLFVFMDGLNCSIHEQDNGFHG >LPERR04G15350.6 pep chromosome:Lperr_V1.4:4:15415685:15422198:1 gene:LPERR04G15350 transcript:LPERR04G15350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGQDGGESSHRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSGVCYSRPKSAVRFFIWAGRSVKHTGYARNLLVDILGKAGMFQPMWDAVRSMLQDGGGHITVATFASIFSSYCAGGNLKEAVKAFDVMERYGVKPDAAALNSLLSAMCRGREAGRAQAAHDMFERVKGSVAPDGDTFGILLEAWEKEGNAQRAVSTFGEMVLRVGWSASYMPAYDALLSTLLGGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANALAIWQMMVSDAGLVPNLPMYNAMIDLCCNVGNTDYALHMLDEMPFNGVFADSNTYNSILEGLIKQRKVREAEAFLAEMSKNEQLPSATNCAAVISMFSKEFNPPAAIDVWRCIVEHHITPADDSARELIVGLLDFGRFAEVEKYADEMFDMRIELPQSTIDNMKRTCDKAGKRHTYDHIARRLKRRVAGFLESSKSCMRQQGYRNDGSGSVLGDGDQWIFAFCFVLFVFMDGLNCSIHEQDNGFHG >LPERR04G15360.1 pep chromosome:Lperr_V1.4:4:15422676:15425462:1 gene:LPERR04G15360 transcript:LPERR04G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPGGDQYAAKDYSDPPPAPLIDAAELGSWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDTAASGADAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >LPERR04G15370.1 pep chromosome:Lperr_V1.4:4:15433371:15440241:1 gene:LPERR04G15370 transcript:LPERR04G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHVGPASVKDHGSSRDRQNFSTTTTSQSVKSNYHHFTMSAPLFFLFLLLVSSASAGYEDALLRRAEEEREWMVGVRRRIHAHPELAFREHCTSALVREELEQLGLTARSVAGTGVVADIGSGRPPIVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLNERKEQIKGTVRLLFQPAEEGGAGATYMIKDGVLDGVEAIFAMHVDYRMPTGAIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLSVDPVVAASFAILSLQQIISREDDPLHSQVLAVTYVKGGNAIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGAVRIKEDDYPMYPAVFNDEKLHHHVETVSRQLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSIHTAHNPHFFVDEDVIPIGAALHTAIAEMYLTERSTVGEEGILHSH >LPERR04G15370.2 pep chromosome:Lperr_V1.4:4:15427231:15433555:1 gene:LPERR04G15370 transcript:LPERR04G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSNRIRDSFKHAYLDKLEFNLARMKRARGQGQQGDDQDQQQQKPPGDGKAAPLLEGRPASRSSFVSGALELAMDAAMLLTCCCCCFCCGEMDDFFSGLDSRLRCSVKVADSIMMGLVNAAMEDAYKKSLWKDGDLERLFQKLRFAELAIMQLDWCLRFVRGEMDSAAADDHGRSHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMRRKHEDLASSRREQELVAPARRREPDDECRRAFGELKGSVDRQMARMRFRLEEARSTLTALMQRVSSEASPMARLQEAGHEGDGVKGVSGFYSMAQLLMEFQEMVLDAGAVRDSVTSSFEFMERSVSSLKEAMDEQRWLANVEKEMHAAIINGFVKEINAGSPVLNDYSSPKERQLTPTENIWEETEYLKEKTRHIQSTRPMALEEPSICHEEVERLVEEKIDSEIRCELQHVLHTEMLKDLARELVGLDVQKLAEENDELNIRVELLCEIYTAVYEGLVSKLGAESAEHHIGTFIKDEVEAVVVAKTLNELKCVTEMVHNERHIKEGDDCDSLPELKRGLEENIRFPDENAHSNNLGRFSIIGNPEQLHTMKMKTSGASEDRCADSYQAPLKKEVLSIAGNCDRQNSEENDLRTEISTGKDGIFYSWNGSLEQSLQQQDNMKQDVKDEALNSRVPPEEAKTLDGKMILILNEKPDVIHSTYSNSMLAEQDHFELQNALVPFIGFQKLFMNYEAVICEKLGAAMLRLNDFKRQQGNLAEQMSSLKMSEQTYQRAFTRRCHDLQTAEAEVDLLGDEVELLIGLLRKTYQALDRYSPVLEHYLGIREMLKLLGKELAVRS >LPERR04G15370.3 pep chromosome:Lperr_V1.4:4:15433371:15440241:1 gene:LPERR04G15370 transcript:LPERR04G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDGVLDGVEAIFAMHVDYRMPTGAIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLSVDPVVAASFAILSLQQIISREDDPLHSQVLAVTYVKGGNAIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGAVRIKEDDYPMYPAVFNDEKLHHHVETVSRQLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSIHTAHNPHFFVDEDVIPIGAALHTAIAEMYLTERSTVGEEGILHSH >LPERR04G15370.4 pep chromosome:Lperr_V1.4:4:15427231:15433555:1 gene:LPERR04G15370 transcript:LPERR04G15370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSNRIRDSFKHAYLDKLEFNLARMKRARGQGQQGDDQDQQQQKPPGDGKAAPLLEGRPASRSSFVSGALELAMDAAMLLTCCCCCFCCGEMDDFFSGLDSRLRCSVKVADSIMMGLVNAAMEDAYKKSLWKDGDLERLFQKLRFAELAIMQLDWCLRFVRGEMDSAAADDHGRSHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMRRKHEDLASSRREQELVAPARRREPDDECRRAFGELKGSVDRQMARMRFRLEEARSTLTALMQRVSSEASPMARLQEAGHEGDGVKGVSGFYSMAQLLMEFQEMVLDAGAVRDSVTSSFEFMERSVSSLKEAMDEQRWLANVEKEMHAAIINGFVKEINAGSPVLNDYSSPKERQLTPTENIWEETEYLKEKTRHIQSTRPMALEEPSICHEEVERLVEEKIDSEIRCELQHVLHTEMLKDLARELVGLDVQKLAEENDELNIRVELLCEIYTAVYEGLVSKLGAESAEHHIGTFIKDEVEAVVVAKTLNELKCVTEMVHNERHIKEGDDCDSLPELKRGLEENIRFPDENAHSNNLGRFSIIGNPEQLHTMKMKTSGASEDRCADSYQAPLKKEVLSIAGNCDRQNSEENDLRTEISTGKDGIFYSWNGSLEQSLQQQDNMKQDVKDEALNSRVPPEEAKTLDGKMILILNEKPDVIHSTYSNSMLAEQDHFELQNALVPFIGFQKLFMNYEAVICEKLGAAMLRLNDFKRQQGNLAEQMSSLKMSEQTYQRAFTRRCHDLQTAEAEVDLLGDEVELLIGLLRKTYQALDRYSPVLEHYLGIREMLKLLGKELAVRSVHEKKVTRGE >LPERR04G15380.1 pep chromosome:Lperr_V1.4:4:15439595:15440068:-1 gene:LPERR04G15380 transcript:LPERR04G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSGSFLAFAMALALFAVNVSNAARLLADATESASPTASPAAVPKPPLPTAMPPMPAVPAATIPQVTLPPMPAVPTVTMPPMPAAVPTVTVPPMPAVPKVTLPPMPAVVVPKVTMPPMPSVPAGIPKVALPPMPTIPGVNVPVAPFLAPPPSA >LPERR04G15390.1 pep chromosome:Lperr_V1.4:4:15442188:15443346:1 gene:LPERR04G15390 transcript:LPERR04G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGRMVAPVLFLLFLLVASEMGTMRVAEARHCVSQSHRFQGACMRKSNCEHVCMTEGFPWGECRFHGVERKCFCKKRC >LPERR04G15400.1 pep chromosome:Lperr_V1.4:4:15451439:15455342:-1 gene:LPERR04G15400 transcript:LPERR04G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFTEIAVDPPLADSYRTLLRAGGGDCIAPAPSSEKIISGGSAPDVMERDLPTIDLKRLTSGSARERKACADAMARAASEWGFFQLTNHGVGRELMEEMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLATISQEDCDFGDLTSLSCLYMDDSTVAAALNGSCLACRGVMQEVAGAMSRVANTVAAVLAAKLTNTGHGGAGARAAAWSFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDTLIVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSFDSFVGTCGEPSPYRAFTFGEYRKKVQEDVKTTGKKIGLPNFLKHSSVQ >LPERR04G15420.1 pep chromosome:Lperr_V1.4:4:15475768:15479148:1 gene:LPERR04G15420 transcript:LPERR04G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAEVWEEATAEAAAGSPSETKGKRNGEGILGVIWRALFGGRGEDYEKRLQYLSKEEAAVHARMRRRAQFARRGVRNLVVLSILSEVGAAVYAIVMTKSEDLGWRMRAFSVLPAFSVLLKTSMSLVGVDQKDQQALERLREERKAKIDELKVRTNYLTQKLIQKYLRYQFFPCATLKCDHLEHVHKYDLDPAAKAAAASVLATKLGAATDFKVYVGDEPKSESAPFNELRNRKQSKEKCCRTGNVVDAHNAGQVVSSETVGNRLETMEPSKVVGHYQSSGLARKEDFPHVTYYCPHCHALNISNQSIGRCSSSNSGQLTSSAQDGVSATNPVAENELGNLTKRQERAEEENSVKQPMDAELQIEPLTR >LPERR04G15420.2 pep chromosome:Lperr_V1.4:4:15475768:15479148:1 gene:LPERR04G15420 transcript:LPERR04G15420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAEVWEEATAEAAAGSPSETKGKRNGEGILGVIWRALFGGRGEDYEKRLQYLSKEEAAVHARMRRRAQFARRGVRNLVVLSILSEVGAAVYAIVMTKSEDLGWRMRAFSVLPAFSVLLKTSMSLVGVDQKDQQALERLREERKAKIDELKVRTNYLTQKLIQLGAATDFKVYVGDEPKSESAPFNELRNRKQSKEKCCRTGNVVDAHNAGQVVSSETVGNRLETMEPSKVVGHYQSSGLARKEDFPHVTYYCPHCHALNISNQSIGRCSSSNSGQLTSSAQDGVSATNPVAENELGNLTKRQERAEEENSVKQPMDAELQIEPLTR >LPERR04G15420.3 pep chromosome:Lperr_V1.4:4:15475768:15478743:1 gene:LPERR04G15420 transcript:LPERR04G15420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAEVWEEATAEAAAGSPSETKGKRNGEGILGVIWRALFGGRGEDYEKRLQYLSKEEAAVHARMRRRAQFARRGVRNLVVLSILSEVGAAVYAIVMTKSEDLGWRMRAFSVLPAFSVLLKTSMSLVGVDQKDQQALERLREERKAKIDELKVRTNYLTQKLIQGWPGKKIFLTSNSGQLTSSAQDGVSATNPVAENELGNLTKRQERAEEENSVKQPMDAELQIEPLTR >LPERR04G15420.4 pep chromosome:Lperr_V1.4:4:15475768:15479148:1 gene:LPERR04G15420 transcript:LPERR04G15420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAEVWEEATAEAAAGSPSETKGKRNGEGILGVIWRALFGGRGEDYEKRLQYLSKEEAAVHARMRRRAQFARRGVRNLVVLSILSEVGAAVYAIVMTKSEDLGWRMRAFSVLPAFVLPALSSMIYSILISFIRMLDQKDQQALERLREERKAKIDELKVRTNYLTQKLIQKYLRYQFFPCATLKCDHLEHVHKYDLDPAAKAAAASVLATKLGAATDFKVYVGDEPKSESAPFNELRNRKQSKEKCCRTGNVVDAHNAGQVVSSETVGNRLETMEPSKVVGHYQSSGLARKEDFPHVTYYCPHCHALNISNQSIGRCSSSNSGQLTSSAQDGVSATNPVAENELGNLTKRQERAEEENSVKQPMDAELQIEPLTR >LPERR04G15420.5 pep chromosome:Lperr_V1.4:4:15475768:15479148:1 gene:LPERR04G15420 transcript:LPERR04G15420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAEVWEEATAEAAAGSPSETKGKRNGEGILGVIWRALFGGRGEDYEKRLQYLSKEEAAVHARMRRRAQFARRGVRNLVVLSILSEVGAAVYAIVMTKSEDLGWRMRAFSVLPAFVLPALSSMIYSILISFIRMLDQKDQQALERLREERKAKIDELKVRTNYLTQKLIQLGAATDFKVYVGDEPKSESAPFNELRNRKQSKEKCCRTGNVVDAHNAGQVVSSETVGNRLETMEPSKVVGHYQSSGLARKEDFPHVTYYCPHCHALNISNQSIGRCSSSNSGQLTSSAQDGVSATNPVAENELGNLTKRQERAEEENSVKQPMDAELQIEPLTR >LPERR04G15430.1 pep chromosome:Lperr_V1.4:4:15480958:15484507:1 gene:LPERR04G15430 transcript:LPERR04G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGIELKGCVCRINNCAVELFSMEEDLVIDDEDSWGLLVRDLRLKATFLYIDLSRVISFCDFDEHKKMLTGLANKFFYFMDEE >LPERR04G15430.2 pep chromosome:Lperr_V1.4:4:15482856:15484507:1 gene:LPERR04G15430 transcript:LPERR04G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGIELKGCVCRINNCAVELFSMEEDLVIDDEDSWGLLVRDLRLKATFLYIDLSRVISFCDFDEHKKMLTGLANKFFYFMDEE >LPERR04G15440.1 pep chromosome:Lperr_V1.4:4:15484923:15485672:1 gene:LPERR04G15440 transcript:LPERR04G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVGFMGFRLNVPKRQREQTLSDSTWLCARLDRHVQDYTATAMAPQLIFQSLAAIPSGAQNKRATPPAPGDGHHKPATAKGRRRLAAALLASQLLPPGGAAGAFDLRITVPEQSGEEAEAVVRIHARNLVRVKQLIDAKSWRELQLALRSSASNLKQDLYAIIQASPASRRPELRRLYSDLFDSVTGLDYAARDKDELQVQEYYSNMITSLGEIFSKIM >LPERR04G15450.1 pep chromosome:Lperr_V1.4:4:15486104:15490437:-1 gene:LPERR04G15450 transcript:LPERR04G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRIGQGTHGKEYSTLGIIVKSPCLNEFLEQEAPKPSIVHCEVVLGQLGERPSELEIPNSVLDNLLCMQMADDPSLNFGEFPQPFCSQQVVSFQPSATTSGTGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTSSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYVNEQGIMKYIKIAASLPTKTVRDVAMKCQWLGKRENTRRRKSEEHQNGRRMKDRKGKTPEPSVWGANHPVQTDARVSPFVSHNAIQNNQFLTEATEIDRAMQQLLVQNDRLLDQIEANMLSCQDCSLFVKHGFHYKNYFFQAQNNIDLFHRARRNINGLLQTMNQMPGIMGKMPRLPVSVNEDLASIVLPGLSMPQFLGGSHLKEEPRCEIFGNYCCQMVMTDE >LPERR04G15460.1 pep chromosome:Lperr_V1.4:4:15513364:15518503:1 gene:LPERR04G15460 transcript:LPERR04G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKALHLYAAVLAVLLCSSVNFIQSPTDVLGPVVLLEPTPSSARDFGAVVSDAPFAVMRPESPGDVARLLGALSSAPGPSPRATVAALGAGHSLHGQAQARGGIVVETRALPRDVRVVVAAAPAHGDRAYADVGAGALWVEVLEECLRFGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCAPTKIPELFFAVLGGLGQFGIITRARIPLQHAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSIAFPAQLNFSPDFGSKGRKKVYYCIEFTVHDFQQDGSSVDHVVELVSGKLSYLRPHMYSVEVSYFDFLNRVRMEEESLRSRDLWDVPHPWLNVFVPKHGITQFKDLLMDTVSAEDFEGPILVYPLLTDKWDGNASAVVPATPDGVMYIFGVLRSTDPARCGRACVESIMAQHRRVADEVCRGIGAKQYLARQLSPAHWRDHFGSGWGRFEARKALFDPLHVLGPGQGIFPRTDRAGSM >LPERR04G15470.1 pep chromosome:Lperr_V1.4:4:15517639:15519102:-1 gene:LPERR04G15470 transcript:LPERR04G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATSKPHFVLVPWMGSISHIVPMTDIGCLLASHGAPVTIITTPVNSQLVQSRVDRVSMPHAAGIAVTTIPFPAAEAGLPEGCERLDLIPSPAMVPGFFGANKKFGEAVARHVLGQDADRPSCIIAGMCHTWALGLARELAVPCYIFHGFGAFALLCIDYLYKQGRHEVLASPDELVDIPVLPPFECKVLGRQLPPHFLPATSMGSGLMQEVREFDLAVDGIVVNSFEELEHGSAALLAAGARKKVFAVGPVSLCHEAILDPRASSDDARRCMAWLDAQEAKSVVYVSFGSVGQMPAAQLMQLGKALVSCPWPTIWVVKGADTLPNDIKEWLRENTDADGAGKCLVVRGWAPQVAILDHPAVGCFMTHCGWGSTLEGVAAGVPMVTWPFFAEQFINERLVVDVLGIGVSVGVARPTENVLTAGKLGGVGGTAEVGAEQVKAALKRVMDEGEHMKRKAQEFKEKARAALQEGGSSYIYLEELIHSSV >LPERR04G15490.1 pep chromosome:Lperr_V1.4:4:15532439:15537713:1 gene:LPERR04G15490 transcript:LPERR04G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSAAAAAVATTAISSSSPSNPSHELKPLQLSLRLFSPLPKPHWLSCSGPQAPRATAGDGSSAGSSGSDSGGNNGKGGGGDGGDDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDAGIREVLLLRYFDLQAAPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGLFGRFSRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >LPERR04G15500.1 pep chromosome:Lperr_V1.4:4:15536562:15542531:-1 gene:LPERR04G15500 transcript:LPERR04G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTPAAADVEMVEVSELRRRSKPSDRVPSSSSSSPGPPPPPRRDGEEEEEAAASVERAFADRPVPTWREQLTVRAFVVSFFLSIMFSVIVMKLNLTTGVIPSLNVSAGLLGFFFVRLWTAAIEKVGLLRQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLLAMSERIAGQATEGNNAQNIKNPHLGWIMGFLFLVSFIGLFGLAPLRKIMIIDYKLTYPSGSATAYLINGFHTPQGAKIAAKQVKKLGVFFVLSFFWGFFQWFYTATDDCGFHKFPSLGLQAYKNTFFFDFSPTYVGVGMICPHIVNISVLLGGILSWGIMWPLIAKKRGSWFSADLPDTNLHGMQGYRVFIAIAIILGDGLYNFLRMIILTTFSLISQTKKKNASTLPVSDDGTATSTAAISYDEERRNEFFIKDQIPWYIAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWSGASHGGVLAGLAASGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYNAFDDIGISGTDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYIFFAAAVVVNLIRDLVPKKVSKFIPIPMAMAIPFYIGTYFAIDMLIGTVILFVWQLVDRANADTFGPAVASGMICGDGMWTLPQSVLSLAKVKPPICMRFLSRRTNDKVDVFLKTLS >LPERR04G15510.1 pep chromosome:Lperr_V1.4:4:15555090:15562737:-1 gene:LPERR04G15510 transcript:LPERR04G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQEKVLQRSTGNDVRDVQVVCDLRVEASVYYEFCSLRVSNENLQFNILYDMDMLAIVGNQSHVTHNQLLNKAVVSLITDMARKVAKLSTEFATCREKLIGDSFNYTLYGLVQCSPELSGSSLSTTGSIGGRKSTTWCSFRYELYHFFAGPPLLDLSTYQTSRKTKGNSRGHHKKAFWVVISIACVIVIIVSLIALYFCWKKWLKKNPKSSSKSATNTGKVENIDQVECPSALASFEQITTSAHGKKVALFLDYDGTLSPIVDNAKRAFMPPEIREAVKNIARLFPTSIVSGRSREKLWDLIEKIVHEVVQNFEDLRTSKGQMIYSKDSWNKGNVVEYLLDRLGLNFEDVLPIFIGDDTTDENAFKVLRQRQTGLGILVSKDLEKIKVTTAMYTLKDPYEVITFILISIFCRLHVICVLNTICYLGDGVPQFLG >LPERR04G15520.1 pep chromosome:Lperr_V1.4:4:15568550:15577580:1 gene:LPERR04G15520 transcript:LPERR04G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHATAANASAAGRDEEMSGGLLLRRRNTSSRGNAGDEAAAEEVVSVEQAFADKPVPSWREQLTVRAFVVGFVLSILFNLIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIEKMGILKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNVKNPHLGWIIGFMFLVSFVGIFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSFSFIWAFFQWFYTAGDNCGFGSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNASLLFGGIISWGLMWPLISTKKGSWYPADLPESSLHGLQAYKVFITIAVILGDGLYNFVKVFGCTIKGFIEMYKNKNLNTLPVSDNGTLATIEEESFDDKRRNELFLKDQIPKKVAIGGYVVLAVITTGCLPLIFPQLKWYYILLAYVFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQLIGTAMGCVIAPCVFWLFYRSFNIGASDGAYPAPYTIMYRNMAILGVTGLSSLPKYCLTLCYISFAVAFTVNLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYIWERRNKDEAQSFGPAVASGLMCGDGLWALPEAILSLVNVNPPLCMKFLSRAANTKVDAFLSDYCCHAASLCALLPGEVPDLRPVHWTFSIRLAWMDAIRGGTGALIGGRFLLVRLGRWTPDRDGWSHRPSVPARRQPAGRRRRWSCLVRVPSEVTAEMGQID >LPERR04G15520.2 pep chromosome:Lperr_V1.4:4:15572869:15577549:1 gene:LPERR04G15520 transcript:LPERR04G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTADAVESGGLLLRRRNTSSRGNAGDEAAAEEVVSVEQAFADKPVPSWREQLTVRAFVVGFVLSILFNLIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIEKMGILKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNVKNPHLGWIIGFMFLVSFVGIFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSFSFIWAFFQWFYTAGDNCGFGSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNASLLFGGIISWGLMWPLISTKKGSWYPADLPESSLHGLQAYKVFITIAVILGDGLYNFVKVFGCTIKGFIEMYKNKNLNTLPVSDNGTLATIEEESFDDKRRNELFLKDQIPKKVAIGGYVVLAVITTGCLPLIFPQLKWYYILLAYVFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQLIGTAMGCVIAPCVFWLFYRSFNIGASDGAYPAPYTIMYRNMAILGVTGLSSLPKYCLTLCYISFAVAFTVNLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYIWERRNKDEAQSFGPAVASGLMCGDGLWALPEAILSLVNVNPPLCMKFLSRAANTKVDAFLSDYLDGCDSRRNWGADRRKIPAGSTRAVDAGQGWLVASPIGAGAPPASRPAATVVLPGAGAE >LPERR04G15520.3 pep chromosome:Lperr_V1.4:4:15572869:15577549:1 gene:LPERR04G15520 transcript:LPERR04G15520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTADAVESGGLLLRRRNTSSRGNAGDEAAAEEVVSVEQAFADKPVPSWREQLTVRAFVVGFVLSILFNLIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIEKMGILKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNVKNPHLGWIIGFMFLVSFVGIFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSFSFIWAFFQWFYTAGDNCGFGSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNASLLFGGIISWGLMWPLISTKKGSWYPADLPESSLHGLQAYKVGVGTDMLTMQVFITIAVILGDGLYNFVKVFGCTIKGFIEMYKNKNLNTLPVSDNGTLATIEEESFDDKRRNELFLKDQIPKKVAIGGYVVLAVITTGCLPLIFPQLKWYYILLAYVFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQLIGTAMGCVIAPCVFWLFYRSFNIGASDGAYPAPYTIMYRNMAILGVTGLSSLPKYCLTLCYISFAVAFTVNLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYIWERRNKDEAQSFGPAVASGLMCGDGLWALPEAILSLVNVNPPLCMKFLSRAANTKVDAFLSDYLDGCDSRRNWGADRRKIPAGSTRAVDAGQGWLVASPIGAGAPPASRPAATVVLPGAGAE >LPERR04G15520.4 pep chromosome:Lperr_V1.4:4:15568148:15573113:1 gene:LPERR04G15520 transcript:LPERR04G15520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHATAANASAAGRDEEMVEASTLRHRHGAGKDAAAAANGGVVDGRQLTEGGEEEVAPSVERAFADKPVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIEKVGLLRQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANDAQNVKNPHIGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFSFFWGFFQWFYTGGDGCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGVMWPLIRNSKGSWYPATLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTTGFIAMMKKNSTLPVSNNGSPMVTNEAVSFDDERRTELFLKDQIPKTVALGGYIAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRAMFISQVIGTAMGCVIAPCVFWLFYKAFTDIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLVRDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFIGSVILFVWEMVNRAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAKVDGFLGN >LPERR04G15530.1 pep chromosome:Lperr_V1.4:4:15578054:15579468:-1 gene:LPERR04G15530 transcript:LPERR04G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACGTAFRLQLPPNPITPQLPICRTNAARSRGFAAHAQPRAGRRDDEPAADSPKKQAETTQSYRRRQRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDTCSSPYYAKIDEQFNLTVLSSIRKEQSRMPDIGDSDPSSSCSEACEKSTVVWQYGSNEKRPNSQRWSKLLDLKKTLDKAPN >LPERR04G15530.2 pep chromosome:Lperr_V1.4:4:15578054:15579468:-1 gene:LPERR04G15530 transcript:LPERR04G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARACGTAFRLQLPPNPITPQLPICRTNAARSRGFAAHAQPRAGRRDDEPAADSPKKQAETTQSYRRRQRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDTCSSPYYAKVKSVSRLKPYMQVMISNGTISLPSLLQIDEQFNLTVLSSIRKEQSRMPDIGDSDPSSSCSEACEKSTVVWQYGSNEKRPNSQRWSKLLDLKKTLDKAPN >LPERR04G15530.3 pep chromosome:Lperr_V1.4:4:15578054:15579468:-1 gene:LPERR04G15530 transcript:LPERR04G15530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARACGTAFRLQLPPNPITPQLPICRTNAARSRGFAAHAQPRAGRRDDEPAADSPKKQAETTQSYRRRQRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDTCSSPYYAKGTISLPSLLQIDEQFNLTVLSSIRKEQSRMPDIGDSDPSSSCSEACEKSTVVWQYGSNEKRPNSQRWSKLLDLKKTLDKAPN >LPERR04G15540.1 pep chromosome:Lperr_V1.4:4:15582650:15589498:-1 gene:LPERR04G15540 transcript:LPERR04G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAIQPAVLLVPFPAQGHVTPMLHLARALAAHGVAATVAVPDFIHRRFAAATTGRATGATTDGCGGVELASIPSGIPLAESGKDAEPPGFGAIVHAMENHMPAHLERMLLTGFRGGRVASLVVDVLASWAVPVAERCGVPAAGFWPAMLASYRAVAAIPELLGKGIISESGTPAVESNHPDNDGNDDGQDKQVIRGLRILPGQLELSAKELPWLVGDSATQKARFAFWLQTLRRARAFRSVLVNSFPAEASSNTASADDDVGVQGPRVLPVGPLMLAAGGNAERAKCEGGETRSSQENPSMWQADETCIRWLDAQPAGSVVYVSFGSWVGSIGHDKIRDLALGLESTGRPFLWALKDDPSWRAGLPCGYAERVAGRGKLVDWAPQDDVLAHEAVRCYLTHCGWNSTVEAIHHGVRMLCCPVSGDQFINCAYITRVWEVGLRLGSVISRDGVRDCIERIMDGAEGTRLKERMEALRQRVVTAEAWCLAQGNVASFVDEIKREHPLLMQIYNIL >LPERR04G15550.1 pep chromosome:Lperr_V1.4:4:15594727:15595491:1 gene:LPERR04G15550 transcript:LPERR04G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLVAAAPRAFFSSQTPAAGLTARREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDPPNQGGGGDGAAKATDEPSPA >LPERR04G15560.1 pep chromosome:Lperr_V1.4:4:15597650:15602615:-1 gene:LPERR04G15560 transcript:LPERR04G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMALLSLSLAAAFLAASAAAKGESWRPREDLVIGLPGQPKVGFRHYAGYVGVGSGGSGKALFYWFFEAEKESDKKPLLLWLNGAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKRASGDGVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSVVRRECDSFKEEEDGGKPGKGCSPAVRAFLRAYDDIDIYSIYTPTCLSSSGSSARRRRSPGLVAAPRLFSKHEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEVISKWNDSPATVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNTMRLRPRERRRTVGGNNGDDSGVEAELGGWHAWYDRQQVGGWAVEYEEGLTLVTVRGAGHQVPLFAPRRSLNMLYHFLRGSSLPASRSS >LPERR04G15570.1 pep chromosome:Lperr_V1.4:4:15606004:15606527:-1 gene:LPERR04G15570 transcript:LPERR04G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQYTRHETRRRDPNPRAAALLVVDVQGHFASIAAPAMPAVATTVALCRAAGVRLLPGAGRREGDLVVEKSTYSAFAGGTGLEEALRGMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASADLQEATLANMAYGFAYIVDCERLEAAFGKAK >LPERR04G15580.1 pep chromosome:Lperr_V1.4:4:15610403:15619485:1 gene:LPERR04G15580 transcript:LPERR04G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDVTTTQGSDDARVAVVVVGAPVNDESASVSGPAPREAAATPAEAAAAAATTTGLQAMAVTVARDIEAGVQDASTSGAAGEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSPKSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPTAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHGVKTEEYGAMFNPELQDETKQGASTGNDGLAGTLPQKFSFSSFAKRVFTEIRCFGKDMKELLQEKVYVINVLGYIAYNFVIGAYSYWGPKAGQAIYNMASADLMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFRSLYGFIPFFALGELLAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGLLQDKINNWRSTALSLTSILFIAAIVWFVGIFIRSVDRFNEQSEDGVPAVERSNLRPLLDEAEEARTS >LPERR04G15580.2 pep chromosome:Lperr_V1.4:4:15610019:15619485:1 gene:LPERR04G15580 transcript:LPERR04G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDVTTTQGSDDARVAVVVVGAPVNDESASVSGPAPREAAATPAVTTTPGEESKQEAAAAAATTTGLQAMAVTVARDIEAGVQDASTSGAAGEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSPKSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPTAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHGVKTEEYGAMFNPELQDETKQGASTGNDGLAGTLPQKFSFSSFAKRVFTEIRCFGKDMKELLQEKVYVINVLGYIAYNFVIGAYSYWGPKAGQAIYNMASADLMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFRSLYGFIPFFALGELLAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGLLQDKINNWRSTALSLTSILFIAAIVWFVGIFIRSVDRFNEQSEDGVPAVERSNLRPLLDEAEEARTS >LPERR04G15580.3 pep chromosome:Lperr_V1.4:4:15610019:15619485:1 gene:LPERR04G15580 transcript:LPERR04G15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDVTTTQGSDDARVAVVVVGAPVNDESASVSGPAPREAAATPAVTTTPGEESKQEAAAAAATTTGLQAMAVTVARDIEAGVQDASTSGAAGEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSPKSCTGGTCTSGSGIQHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPTAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHGVKTEEYGAMFNPELQDETKQGASTGNDGLAGTLPQKFSFSSFAKRVFTEIRCFGKDMKELLQEKVYVINVLGYIAYNFVIGAYSYWGPKAGQAIYNMASADLMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFRSLYGFIPFFALGELLAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGLLQDKINNWRSTALSLTSILFIAAIVWFVGIFIRSVDRFNEQSEDGVPAVERSNLRPLLDEAEEARTS >LPERR04G15580.4 pep chromosome:Lperr_V1.4:4:15610019:15619485:1 gene:LPERR04G15580 transcript:LPERR04G15580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDVTTTQGSDDARVAVVVVGAPVNDESASVSGPAPREAAATPAVTTTPGEESKQEAAAAAATTTGLQAMAVTVARDIEAGVQDASTSGAAGEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSPKSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPTAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHGVKTEEYGAMFNPELQDETKQGASTGNDGLAGTLPQKFSLSLYGFIPFFALGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGLLQDKINNWRSTALSLTSILFIAAIVWFVGIFIRSVDRFNEQSEDGVPAVERSNLRPLLDEAEEARTS >LPERR04G15590.1 pep chromosome:Lperr_V1.4:4:15622363:15623043:1 gene:LPERR04G15590 transcript:LPERR04G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGLSSSLSSVFRPGTDVFGGVSGEELKMKRDGGGPPLALLARPCARARRRWARGRRRGPGDSGAAASSAAAWAGSGVCVHICALRGCNDVGVGASGQLRRRVGAGSNDPACGGGYAGCGQCAAAGTRAAAVHARAHTGHGGAGAEAALAVRPVVPGGCATMAGAVRSVMGVPRSSRPAAISFTTSGRLGSGLPMAVLVVRGWRRVGVKAVSCLAWSKKRAGYP >LPERR04G15600.1 pep chromosome:Lperr_V1.4:4:15628162:15628776:1 gene:LPERR04G15600 transcript:LPERR04G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLATFQVYRPMAMPTPSAPLPSQQITMPFAAAPPADAAVLPAPPRKACGGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTAPAVFSCSSAPSSVLGKRHRQDGDGFDEPPPPSLSFWEALHQPPRPVLSAWGISQEAQAYASSVAHVQHLNLLSALSGGSAATRRIAEEE >LPERR04G15610.1 pep chromosome:Lperr_V1.4:4:15635225:15636268:1 gene:LPERR04G15610 transcript:LPERR04G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVQTSPSLEADTETDKELYQHFTDLVSTWPSSESMSFIPLYRHVDGGWHSSLKPMVGAMVADARFTARPSDIIVATLPKSGTTWIKALLYAMVHRREHHPADAVAHPFNSLGPHECIRFLEYQLYTNDRVPDLDKLPDPRLFATHVPFASLPSAAAASRCKVVYVCRDPKDNLISLWDFANKFRVRHGQEPVTAEAAADLFCRGVSPFGPYWDHVLGYWDAHVERPEQVLFFRYEEMRLDAAAHVRRLAEFVGVPFSAEEEEGGVVDAIVRLCSFDHMSGLEATKGGKTELVIGTVANNEFFRRGQVGDWANHLSPEMARRIDAITESRFKGSGLRANYAKLH >LPERR04G15620.1 pep chromosome:Lperr_V1.4:4:15639416:15640021:-1 gene:LPERR04G15620 transcript:LPERR04G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRLPLVLLSLLAISLTSCSANAPPLPPPVYDTDGHELIADKSYYVLPAVHSHGGGGLTLAPRVFPCPLLVAQETDEHRKGLPVRFTTRGGASDKTIRVSTDVRIRFDAATTCVQSNEWHVGDEPLTGKRRVVTGPVIGPPIPSGREDAFRVEKYGAGAYKLVSCRDSCQDLGLTRDSGHAWLGASQPAHVVVFKKAIK >LPERR04G15630.1 pep chromosome:Lperr_V1.4:4:15640196:15646285:-1 gene:LPERR04G15630 transcript:LPERR04G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNHRHRVLTSQTYVHGAHEHDHTTIYTRPTTLVRTQSTITHTVTHLVVVQRCRTRRFQPFRAFQTSAWSGSSSLPLVLLSLLAISNAQAPSPVYDTDGHELIADQGYYVLPAAQAGGGLTLAHDVFPCQLLVAQQTGDRLNLPVYFTAWGGASDRTASRPTSASRFKIATTCVQTTKWHVSGERQVVTGPVAWPPSGREDAFRVEKSGAGAYKLVSCTDSCRDMGLTRHRKLDFLEVSQQAHVVVKGPARATLTWRESSACSRIETGGIERVFSAKKGERLVKALQCCLYTTGGPIGYRRDALRLHQKDRLLQGQVGPGNDVVRVPYRQIAKVRRSENTDKPHEKYILISSLHRDGFWFMGFVSYQRSCKQAPSYY >LPERR04G15640.1 pep chromosome:Lperr_V1.4:4:15648055:15648981:-1 gene:LPERR04G15640 transcript:LPERR04G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGGHVIGFPVTAKAYGIEEEVSSRGQSFRKADGDHLAVSLSHPSPYTSFGYKHGSKGQVIHWVSKLSRKAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRKIAFRSDRPVTVTTPMGNDVARVPYKVVIPLKQIAKVRPSENADKPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQKAFWELQ >LPERR04G15650.1 pep chromosome:Lperr_V1.4:4:15654384:15655510:-1 gene:LPERR04G15650 transcript:LPERR04G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQSCSGVVGTPLHMQHSTSTELKPTTTHIKKSSPLTDSLAQEPELAKAQRGAKRSTLHFSFSSQQRSMEKAGRQEHVIGIPVSNRAFGIEEPDFPSEGAAYHHVDAKGSANTRTSSRLGSRNGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAVEKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPRGDVTVRVPYKVDIPLRRVKTAKPSENRHRPEQKYVQVVTDDGFEFWFLGFVSFQVTLKNLELAVAQAQ >LPERR04G15660.1 pep chromosome:Lperr_V1.4:4:15670116:15679316:-1 gene:LPERR04G15660 transcript:LPERR04G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKPLGDSVFAGHAAAGAAAISASAVAVHPLDTVKTLLQLSATGSKQKMGLSHVVDRLMAASGPAGLYSGIGWSVLSKLPGLGARFGTYELLTAFYKDGREDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQIGSVKPMKATGPANITNETFPLVSKLLPGYVPDIRIWNGTVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPYDVHVPSRVIALEGWGTLWRGLRPGIARDCVFGGMFFSTWQFIHTAMLTWSAVNVNPQPRKLEEAGPISPFASSIAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRFLKWKAPGTWIERKTGISPADRNVLFRGIGLRMARSGIASIVLVGSYYFVVDQVLCRLAAMWSL >LPERR04G15670.1 pep chromosome:Lperr_V1.4:4:15671455:15675590:1 gene:LPERR04G15670 transcript:LPERR04G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSRQKDTGGGGGPRQRPGSVGDVVVFLPGLRVPRSVDFAQALAGRLDKGVVERLSSLRARVVDMAMQESAAALKPKRKAAAARHGSSTANLLQALEDYLPVLLGLVKEGGELRHSVQFVWTNQEDNAEETTMADVWYEANTLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQFPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPELPVSNGWGRKHLLFVKWKYVEAKGIAIAAHCKNPFLSMGTEVNTLELCRSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQDRVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDGHQ >LPERR04G15670.2 pep chromosome:Lperr_V1.4:4:15671615:15675590:1 gene:LPERR04G15670 transcript:LPERR04G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSRQKDTGGGGGPRQRPGSVGDVVVFLPGLRVPRSVDFAQALAGRLDKGVVERLSSLRARVVDMAMQESAAALKPKRKAAAARHGSSTANLLQALEDYLPVLLGLVKEGGELRHSVQFVWTNQEDNAEETTMADVWYEANTLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQFPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPELPVSNGWGRKHLLFVKWKYVEAKGIAIAAHCKNPFLSMGTEVNTLELCRSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQDRVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDGHQ >LPERR04G15680.1 pep chromosome:Lperr_V1.4:4:15679442:15682776:1 gene:LPERR04G15680 transcript:LPERR04G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPGQRSPGLNSGPNLLPALWWCSARALVICWTWTPAGRLDHVPTDSPRRRLPPALAVVVREDEGAAAADCSFSSSASSMGQGMSQPAQAAEELSPSPPAAEPSPSPSPAPASSSLEALAAEAMSFDEDDNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRPPAWSREPKPKL >LPERR04G15690.1 pep chromosome:Lperr_V1.4:4:15683045:15687837:1 gene:LPERR04G15690 transcript:LPERR04G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFFQPLTAPISGDGGARLRLRRGPTALPFPARTWPLRRPTTPLLVARAKRSGSRAAAASRQPANPSAAPKRETDEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRKFTSPRTQKKRRVSKNIFLLKSLDELFQKGRDAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQTLFGKILYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSDLGQPSS >LPERR04G15690.2 pep chromosome:Lperr_V1.4:4:15687395:15688800:1 gene:LPERR04G15690 transcript:LPERR04G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHLSAVPSSRRANAAAAMESLVTGATRAVLSEFVATAVFVFAAEGSVYGLCNQPREPKTHNRRCRAHASPPTRKMYRDTGTVGGLLVVAVAHALALAAAVALARNASGGHVNPAVTFGVLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGMTLGHGIHERHALLLEVVMTFGLVYTVCATAVDDRTSRRGASVTGDMGPLAIGFVAGANILAGGPFDGAAMNPARAFGPALVGWTWRHHWVYWVGPLIGAGLAGALYEFVIAEQQPQAPPADAETRLPLAAEDY >LPERR04G15700.1 pep chromosome:Lperr_V1.4:4:15689312:15693700:-1 gene:LPERR04G15700 transcript:LPERR04G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDDVDYGSGAKLEGVHSNLRSFLHSVTPTLEAYTVAKSNNYSGKTADLGRCFYLVDLWNHFYPLSACGVSTPVRLPTGQEIEQYFVPYLSAIQTPAGHGDNKMIVSNLFDTNNYDWCSKTDNWNCQYATTSLESSGKSDSPRSNRSGGPCFQYFECDSPYERVPLADKVYQLCYNSAPLSSLNSLELSPSSWMSVFWYPIGHLPAMNKKDLTTCFLTYHSLSTLEDRTPLDSNDPFTLPPIGLATHKTDGNVWTSVDSGDQELTTYLVGAADSWLKKLGVQHHDFNYFLNSNRSLAHYRSLTEASARVELALASPEASEASTEASEASPPEASTEASEASPEEASEAGAGAA >LPERR04G15710.1 pep chromosome:Lperr_V1.4:4:15694846:15700152:1 gene:LPERR04G15710 transcript:LPERR04G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSTVLLLPTSRAPGTLSRRRASGIAQRRGFVVGSVGRSRRGSAGTVRACFNPLGDKRILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEDNQTSESSEGPQNDAPQQIEIE >LPERR04G15710.2 pep chromosome:Lperr_V1.4:4:15694846:15699107:1 gene:LPERR04G15710 transcript:LPERR04G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSTVLLLPTSRAPGTLSRRRASGIAQRRGFVVGSVGRSRRGSAGTVRACFNPLGDKRILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEDNQTSESSEGPQNDAPQQIEIE >LPERR04G15710.3 pep chromosome:Lperr_V1.4:4:15694846:15699107:1 gene:LPERR04G15710 transcript:LPERR04G15710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSTVLLLPTSRAPGTLSRRRASGIAQRRGFVVGSVGRSRRGSAGTVRACFNPLGDKRILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEDNQTSESSEGPQNDAPQQIEIE >LPERR04G15710.4 pep chromosome:Lperr_V1.4:4:15694846:15696421:1 gene:LPERR04G15710 transcript:LPERR04G15710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSTVLLLPTSRAPGTLSRRRASGIAQRRGFVVGSVGRSRRGSAGTVRACFNPLGDKRILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEDNQTSESSEGPQNDAPQQIEIE >LPERR04G15720.1 pep chromosome:Lperr_V1.4:4:15700967:15701404:1 gene:LPERR04G15720 transcript:LPERR04G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCFLVFLLLAVATGSKLSLATRESGDGGNDIPANLGRELREFISKAGDFLGTAARRVAGADGLHTAAAAADSDDAIRASLRRTARRSRRARKSAANCIPADMCRKKKVFCGKRCYRSSSGSSLNHIPTTKCVVKCKKCVPTC >LPERR04G15730.1 pep chromosome:Lperr_V1.4:4:15703799:15709612:1 gene:LPERR04G15730 transcript:LPERR04G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGGGEERTPRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGFAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGEASQACEFFAQSGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDKMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMNGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >LPERR04G15740.1 pep chromosome:Lperr_V1.4:4:15711663:15713999:-1 gene:LPERR04G15740 transcript:LPERR04G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKASFTDDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARAAVVQDVPISRPLSRSDVERLQAAIDDAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRGIAPGGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGTS >LPERR04G15750.1 pep chromosome:Lperr_V1.4:4:15714917:15716913:-1 gene:LPERR04G15750 transcript:LPERR04G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKQQQSRRRREEEQPCNEPKKIGSGKKAGAKAVAVVSEAKKAPQVKPAPSKKTAEEPAADKRTVFVVKAAAAAAAAEVAAAASGDADEVVKRAVQEEEAKPVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSSSGKVGSGEGGGGGHRRYSESKRSYDFDRERRGGGVDDDGDWERQGVGAGVSRPSPRRRTPERKRSESHERSGGSGSRRVSRSPGRRGDSVPATASSGGGGERSSRQQPGKMVSVPAREKGRAPSPVPAAAASAKRYPSPRSNSPARAAGNENAAVQPAHGPSLSRSSSRKAEQSPYRRNPMAELDENTLGNHSNGRPQKKSTDSVGALPQKVAERGKEHPPASRPAKKIVQEATVASDTKLGNSGRMKATHTVSIVAESAVNPKGRSSRRSSRDFDNNGNWYASLLLEDIQNYHQQNTGAGGAAAPAPAFSLPACVSKACSILEAVADLNSSSSENRSFELDRSANDKCSANGRYGNDAAGGGGGTVVVESEVVVKDDLMEPSLHKYVSVRDPIRGVEADQPEESAGSNSFAGNAWTPSWEPGSVDSTDRSWTASQSNNGDEVEQVSPLEMSWQSKQKLPSRVGSTTSSAQRGRSAHRGGGGAVNARSDVRAAPASSSVV >LPERR04G15760.1 pep chromosome:Lperr_V1.4:4:15722589:15723059:-1 gene:LPERR04G15760 transcript:LPERR04G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATATAAASPSTSFRLLYRIPLPPTPASALCLPPPRCRGGIRTARQVAVSGDVSSSSSSSDVAAEEAAAAPKIGKRVRVTAPVRVHHVSKAPVLDLRGMKGVVKQYVGIWKGKRITANLPFKVEFEIRVDGQDKPVRFFAHLREDEFELVGDQ >LPERR04G15780.1 pep chromosome:Lperr_V1.4:4:15729937:15731283:-1 gene:LPERR04G15780 transcript:LPERR04G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESSGGGRRWKGKGVTPIQPRRLLGTVMEDASAALLRPLKKIGRSPDRLLRSASSLSTSSSAPPSPRSSSASDVVAPAGVVSSSSPSPPSARRIFPFAYEASTLQHPLQWQHTSMSQPASPSQQQPLQHQQMISFGGASSPPQQQQFVVPENAQQQQVLLRYWSEALNLSPRGGGAGVPPWLYQQLLRAGPPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVTSSSSAAAAAASCSSSSPSPPETPDEANTQQHAPQPREQRDTAKMSMEKQPQAPTVDDTAAPYSAEMLHAPPVASGGMWAPPDESWFSTWGPGSSFWDDYDMDSARGLYLHPRFVSGDETSMDHSGTQASVPATAATAAGRCISCDDVPVTSSSDLPPQGASQNPAFMWKD >LPERR04G15790.1 pep chromosome:Lperr_V1.4:4:15761223:15767103:1 gene:LPERR04G15790 transcript:LPERR04G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEVFYEARERVLSSSSCSSTSASDDEDYQRRGRDGGAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLDGDPSLARLEMGRSASYDGAIRPETVSPISRSRSDGAVPASATKPPLAVRSRHTSSDSSEATPEGGDDAADPRCLIRNLDDGSEFVVKEEFALREVGTGRRLTIEEFDLCVGRSPIVQELMRRQNVADSSGSSNGASALIQRSSSDSSNGATRHRRRSSWLRSIRDVAGSMVVGGSRERRSSDEKDTSSEKGGRRSSSATDDSQETASTVRHGPERVKVRQYGKTCKELSGLFMNQEIQAHNGSIWSIKFSPDGRYLASAGEDCVIHVWEVLELERKREENEVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSVSSDRLMFPDHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVRLWHMSSTSCLKIFSHSDYVTCIQFNPVDDNYFISGSLDEKVRIWSIPRREIVDWNDLHEMVTAACYTPDGQRALIGSHKGSCHIYDTSDNKLLQKKQIDLQNKKKKSNQKKITGFQFLPGSSSKMLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLAANGRYVVSASEDSHVYFWRNHDSSAQGRSKTVVSVTNSYEHFHCQDVTVAVALPSAESATTSRTNSRKNEELDSTPQLRTQPDKLQDSSDFQRLSGNALSTSSNHSGDRTSATWPEELMTPSKQSPQSSTSLSNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >LPERR04G15800.1 pep chromosome:Lperr_V1.4:4:15768762:15779648:1 gene:LPERR04G15800 transcript:LPERR04G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNSMVFFGNTFLGHVELWPKGGTDLAVAAWAQEIRVDRLSPPSERCPPLAVLHAVASGGRCFVMESRSTATGDESSRQLVDLHAACLRDGKTAVFRVEAEEIHLVAMTSKRNLPSLAYFWGYKVPLGLYDSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQKKLSNEIDPQRISGMLAEVKRYQEDRSILKQYIESDQVIDGGKVYKVQTEVIPSLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPDRLVCVKSGSRKSLLNVFSDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYAPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISGVQYEDELNDFPSTPDVGNYLISEDENAAILNVNKNPLAFDGMADAEVERRLKEASCSVQAVNPVATNVDMMPVAPNQQLITSSTSLAPSLGMVPLNNDQAPQPPSFSWQDNIAQHAPVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPYPAQTSVPPVQSHGNWSHVEDDVNPRGLNRPSTGFHLESDSANYDKKQPHNPPYFPGEDNLATSDRYNYRSHRYPTQVPHSEDHRMLNHSSSIAYRSFPGEDVGTRFGPSSHRSSQTEPAHQFVQYAQTSAGALEEIAVKCGFKVEYQSTLCNTAELQFSFEVWILGEKVGEGIGKSRKAAKRQAVNMSLRNLAEKFLSSDPDKTMILKENGFSNTSNSFRYPGGSRDDILASTSNGSRYMNERVDSLRKPADSVAALKELCTVEGYNLVFQEQPSPDGSSGKEAYAKVKIGGQILGEGVGATWEQAKLQVAADEALGNLTSMLGIFAHKSAGYQRSSVSNFNRYKPDFQRTLQTIPSGWDSRNGRRVP >LPERR04G15800.2 pep chromosome:Lperr_V1.4:4:15768762:15779648:1 gene:LPERR04G15800 transcript:LPERR04G15800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNSMVFFGNTFLGHVELWPKGGTDLAVAAWAQEIRVDRLSPPSERCPPLAVLHAVASGGRCFVMESRSTATGDESSRQLVDLHAACLRDGKTAVFRVEAEEIHLVAMTSKRNLPSLAYFWGYKVPLGLYDSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQKKLSNEIDPQRISGMLAEVKRYQEDRSILKQYIESDQVIDGGKVYKVQTEVIPSLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPDRLVCVKSGSRKSLLNVFSDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYAPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISGVQYEDELNDFPSTPDVGNYLISEDENAAILNVNKNPLAFDGMADAEVERRLKEASCSVQAVNPVATNVDMMPVAPNQQLITSSTSLAPSLGMVPLNNDQAPQPPSFSWQDNIAQHAPVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPYPAQTSVPPVQSHGNWSHVEDDVNPRGLNRPSTGFHLESDSANYDKKQPHNPPYFPGEDNLATSDRYNYRSHRYPTQVPHSEDHRMLNHSSSIAYRSFPGEDVGTRFGPSSHRSSQTEPAHQFVQYAQTSAGALEEIAVKCGFKVEYQSTLCNTAELQFSFEVWILGEKVGEGIGKSRKAAKRQAVNMSLRNLAEKFLSSDPDKTMILKENGFSNTSNSFRYPGGSRDDILASTSNGSRYMNERVDSLRKPADSVAALKELCTVEGYNLVFQEQPSPDGSSGKEAYAKVKIGGQILGEGVGATWEQAKLQVAADEALGNLTSMLGIFAHKSAGYQRSSVSNFNRYKPDFQRTLQTIPSGWDSRNGRRVLSAKML >LPERR04G15800.3 pep chromosome:Lperr_V1.4:4:15768762:15779648:1 gene:LPERR04G15800 transcript:LPERR04G15800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNSMVFFGNTFLGHVELWPKGGTDLAVAAWAQEIRVDRLSPPSERCPPLAVLHAVASGGRCFVMESRSTATGDESSRQLVDLHAACLRDGKTAVFRVEAEEIHLVAMTSKRNLPSLAYFWGYKVPLGLYDSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQKKLSNEIDPQRISGMLAEVKRYQEDRSILKQYIESDQVIDGGKVYKVQTEVIPSLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPDRLVCVKSGSRKSLLNVFSDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYAPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISGVQYEDELNDFPSTPDVGNYLISEDENAAILNVNKNPLAFDGMADAEVERRLKEASCSVQAVNPVATNVDMMPVAPNQQLITSSTSLAPSLGMVPLNNDQAPQPPSFSWQDNIAQHAPVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPYPAQTSVPPVQSHGNWSHVEDDVNPRGLNRPSTGFHLESDSANYDKKQPHNPPYFPGEDNLATSDRYNYRSHRYPTQVPHSEDHRMLNHSSSIAYRSFPGEDVGTRFGPSSHRSSQTEPAHQFVQYAQTSAGALEEIAVKCGFKVEYQSTLCNTAELQFSFEVWILGEKVGEGIGKSRKAAKRQAVNMSLRNLAEKFLSSDPDKTMILKENGFSNTSNSFRYPGGSRDDILASTSNGSRYMNERVDSLRKPADSVAALKELCTVEGYNLVFQEQPSPDGSSGKEAYAKVKIGGQILGEGVGATWEQAKLQVAADEALGNLTSMLGIFAHKSAGYQRSSVSNFNRYKPDFQRTLQTIPSGWDSRNGRRVP >LPERR04G15810.1 pep chromosome:Lperr_V1.4:4:15781480:15782835:1 gene:LPERR04G15810 transcript:LPERR04G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATRLQQPEPKNAMASAPPPTTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPNATTTMTTSAAAAAPPPTLDSSMDKSLRSFHASPPPPPLPLSPPPPSASAVKSSKKKALTKRNKTLLKQLLAETPRTRRFAARAAELFASTPRRPCTRRFFMTWLSPLDRFGRRELLVVESLFASHRDACLLIASDAMDSRGGGERLAPFLDRGLRVAAASPDLSYLLSDTPAEAWLAAARHGGVAPGSVPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFPGILRNAIGAQAVDAATGDWIRLNNAVMVFDRGHPLLREFIAEFAARFDGSKWGHNGPYLVSRVVRRRRPEEADNLTVLPPPAFYPVDWNKIGGLFVAPKDRKGERWVKAKVERIKGESFGIHLWNRESRSLEMEEGSVIGRLFSDSCLFCNSSMFANHE >LPERR04G15820.1 pep chromosome:Lperr_V1.4:4:15782316:15785659:-1 gene:LPERR04G15820 transcript:LPERR04G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAEAVNGRNKYVVIDPSDEPELDAAGLDVRWRRPSASERRSKERFVFACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERLVEIEEAANLLKSTKSEDKAVWMELLNPSPSVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTICLFILGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAAISTVSVAFVYFCVPETKGKTLEQIEIMFEGVKEWRGGEVELEDTQHLIHNSKKSAPALPVPQVDTKALTFDSLNLGLHPPLSLPVLGRNKQPSDLVPVDRNAGGGSTVRLSASSGRRRRTTLDTRYGPLWPHLLPSNLAANSAINSRSSGWPRSNTMTALLRRIQSPVAASTACAPMALRRMPGNGLSTTTSASR >LPERR04G15830.1 pep chromosome:Lperr_V1.4:4:15786702:15789228:-1 gene:LPERR04G15830 transcript:LPERR04G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSEYRRMEPDEEELDEEEWARRTEAQRHRRRSGERYVFTCALFASLNAILLGYGCDVYIPDPWLIQL >LPERR04G15840.1 pep chromosome:Lperr_V1.4:4:15790224:15793341:-1 gene:LPERR04G15840 transcript:LPERR04G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKPIIMSHHSSSSRWLGPIGQLIRPTLDFLPVLPPLPKLQPSQPPTPPSAAALTPGLLSGFAEPERFRGATPRPSPFPSLGFAIAGGRPREPRGGRSAARLTPFRGFRRLVCADHLVLGAGGLASRAPPSLGITTDNKSTKDIIAGEVRTHEKDMRFEKEEREEEEEEYVLLELDDCLYSDIQPGAPYGLDTLTPTLILGDDLKMIGEYEETIGTCYLFSETNAPPKPIHREIPPSGENMDKQGTSSSKDVPSKEVKHLASVQKILKFRSINMDHEQHKTCMDNDKEISATDRLFGRKYQRRRRKQ >LPERR04G15850.1 pep chromosome:Lperr_V1.4:4:15795085:15799073:1 gene:LPERR04G15850 transcript:LPERR04G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSSALSVLLMLLLAPPFAASFLFDGGKSKSSAAAAGVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPLKARVGSVSPVLFKDGEGCGACYKVKCLDHSICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKTLTAREVIPKNWKPTATYTSRLNFEAAL >LPERR04G15860.1 pep chromosome:Lperr_V1.4:4:15799697:15800332:1 gene:LPERR04G15860 transcript:LPERR04G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHMDRVDPVFIVQDPITKQKSQSQTPLSAEDEASNQKAGMKEEGDRTTQVKERAKELKLLKGAMMKGVKVVSDSCKKAWKKVKNIKR >LPERR04G15860.2 pep chromosome:Lperr_V1.4:4:15799697:15800332:1 gene:LPERR04G15860 transcript:LPERR04G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHMDRVDPVFIVQDPITKQKSQSQTPLSAEDEASNQKAGMKEEGDRTTQEDIRLGLRLASYQVEEEEMGGFDLQVKERAKELKLLKGAMMKGVKVVSDSCKKAWKKVKNIKR >LPERR04G15870.1 pep chromosome:Lperr_V1.4:4:15801551:15805360:-1 gene:LPERR04G15870 transcript:LPERR04G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSARDGAGEEEEEAAAAARSRSRSKSIEADEEERSKGSRDRDRRGKSKRRDEDEEEEGRSESSGEDSGERRKRRRKEKDRRHRRRRSRSRSRSESSGSSSESESESESSYYDSSAESESQTDSEEERRRRRRRRRKEREEEERRRRRKEKERRKRKEKEKEREKKRKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRMMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >LPERR04G15880.1 pep chromosome:Lperr_V1.4:4:15803839:15808724:1 gene:LPERR04G15880 transcript:LPERR04G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAALMSSFIIRKPAPKLPFTNAAIKTLESVRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEEKSGSAKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELINLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >LPERR04G15890.1 pep chromosome:Lperr_V1.4:4:15809515:15813647:-1 gene:LPERR04G15890 transcript:LPERR04G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWEVGTSDGVASWRSSTPGFSPGGSAFAFGWHIGLGKPGVWPNGWSPYETVTATTRGFAREPTSQSEVYGIRVSATVSSKLFRSKLSAVIEKDHAMARGSGESPREASPESNVGNPRGRPIEASDGSDRPPSHPGPIRAVRRLIGRTPAAVSVFYISFISPRPFLIASSASDVDASSVSAPFPLSSSSFLLSSSPPHSPAAIRRSCTSRFLPPRPQEGPPTAASCRVDWVLGGSSQTRRVTIRMDQIYMTAVSNQTSLPDAEPVKKISGDMPATAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTAGNNSSSARQQCPVCKATLSPDMLVPLYGRGGSLKKSLNGMAIPRRPNVQREVIEHQTTQNSINDRHHHNMEPSPPLQPLRHSSHHSSATDFDFIYPPSPMGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPQYVTAHNMSSRARRQQMEVERSLHQIWFFLFVFVVLCLLLF >LPERR04G15890.2 pep chromosome:Lperr_V1.4:4:15809515:15811417:-1 gene:LPERR04G15890 transcript:LPERR04G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSGLFVLCLSNKQTRRVTIRMDQIYMTAVSNQTSLPDAEPVKKISGDMPATAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTAGNNSSSARQQCPVCKATLSPDMLVPLYGRGGSLKKSLNGMAIPRRPNVQREVIEHQTTQNSINDRHHHNMEPSPPLQPLRHSSHHSSATDFDFIYPPSPMGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPQYVTAHNMSSRARRQQMEVERSLHQIWFFLFVFVVLCLLLF >LPERR04G15900.1 pep chromosome:Lperr_V1.4:4:15815526:15818548:-1 gene:LPERR04G15900 transcript:LPERR04G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALATSTAVLSSPLAAASASASASSVRRLRAVPPSRGQRYQALRADSGFAGNRRGGGRGASVVCGVQGQDTSIQVPDVTKSTWQSLVMESELPVLVEFWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIATQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLITCIDKFC >LPERR04G15910.1 pep chromosome:Lperr_V1.4:4:15819138:15822648:-1 gene:LPERR04G15910 transcript:LPERR04G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPLLLLLLVVASAGAGDGAAAAPNARPCASPAANSYPFCNATLPFPTRARALVSLLTLDEKIAQLSNTAAGVPRLGIPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAVAGAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWKGFTRYTFNAKVNGVPACARKDILQKARDEWGFQGYITSDCDAVAIIHENQTYTPSDEDSIAIVLKAGMDINCGSFLIRHTKSAIEKGKIQEEDINHALFNLFSVQLRLGFFDKTNGNQWFTQLGPNNVCTKEHRELAAEAVRQGTVLLKNENSFLPLKRNEVGHIAIIGPAANDPYILGGDYTGVPCGSTTFVKGMQVYVPQTTFAAGCKDASCNSTDGFGEAIEAAKRADVVILIAGLNLTEEAEDLDRVSLLLPGRQTDLIHTIARGKLPITWYPESFTSVPMNDMNMRADVSRAYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLINKEPAYTRRDGLDYAQVEDIASCEALQFSVHISVSNDGAMDGSHAVLLFARTKPSLPGSPVKQLVGFERVHTAAGKATDVEITVDPCKLMSSANTEGRRVLLLGTHVLMVGDEEHDLLIEA >LPERR04G15920.1 pep chromosome:Lperr_V1.4:4:15827319:15828344:1 gene:LPERR04G15920 transcript:LPERR04G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVVLLAAVVAVAAAAVELPEFREAPAFRNGAGCEGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESIDFHFVASSASHARRLAALRRALAAAFPTLPATVHRFDARLVRGKISTSVRRALDQPLNYARIYLADLLPPTVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWNHPEYSSIFADRARPPCYFNTGVMVIDLDRWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >LPERR04G15930.1 pep chromosome:Lperr_V1.4:4:15831433:15836883:1 gene:LPERR04G15930 transcript:LPERR04G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDTEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATIPLEAIYTEGSIPPTVYNVVKDEEYCGEIKVGLTFTPEDDRGGLSEEDIGGWKQSS >LPERR04G15930.2 pep chromosome:Lperr_V1.4:4:15831433:15836883:1 gene:LPERR04G15930 transcript:LPERR04G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRHVRPRHPVAAAERGELRGQQEQQHRHKQRHGYWQQSGAGVSHGNSDNDDMWGEEGIERRGRRRCVCKDGSTRARERVMGKKQPAAAEARSGVFVGIWGEARAVAHVCLLRCVAFLAFV >LPERR04G15930.3 pep chromosome:Lperr_V1.4:4:15831433:15836883:1 gene:LPERR04G15930 transcript:LPERR04G15930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRHVRPRHPVAAAERGELRGQQEQQHRHKQRHGYWQQSGAGVSHGNSDNDDMWGEEGIERRGRRRCVCKDGSTRARERVMGKKQPAAAEARSGVFVGIWGEARAVAHVCLLRCVAFLAFV >LPERR04G15930.4 pep chromosome:Lperr_V1.4:4:15832231:15836883:1 gene:LPERR04G15930 transcript:LPERR04G15930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRHVRPRHPVAAAERGELRGQQEQQHRHKQRHGYWQQSGAGVSHGNSDNDDMWGEEGIERRGRRRCVCKDGSTRARERVMGKKQPAAAEARSGVFVGIWGEARAVAHVCLLRCVAFLAFV >LPERR04G15930.5 pep chromosome:Lperr_V1.4:4:15831433:15832470:1 gene:LPERR04G15930 transcript:LPERR04G15930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASALKPIFTWNDVLLVCVKVETPST >LPERR04G15940.1 pep chromosome:Lperr_V1.4:4:15836014:15836484:-1 gene:LPERR04G15940 transcript:LPERR04G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPGLSPDPNKHSAPRLRGGRLLFAHHSLARARTAVLANTPSSSPALDPLLTPHIVVVTIAMRDTGAALLPVAVPLLVPVLLLLLASELATLGCSHRMARADVAAWKHHALVAPSTTSSATTTAFPTVAGTGDAAAALGESKRLVPQGSNPLHN >LPERR04G15950.1 pep chromosome:Lperr_V1.4:4:15838174:15844341:1 gene:LPERR04G15950 transcript:LPERR04G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVGNLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDNVVFRTCPQERDRVVFQMGTSDAVRALKAAQIVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTIVTCKIRLLNTRQDTVELARRIEKTGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYDDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKRDYVRKSILWDNDLKSTKQTIKEMIMHHSCLELPEGKGVNKCDTSADISKLYGEEEYYNFVFSNRNQTT >LPERR04G15950.2 pep chromosome:Lperr_V1.4:4:15838174:15844341:1 gene:LPERR04G15950 transcript:LPERR04G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVYSELVHKKGTGLYFKWERQMLCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTIVTCKIRLLNTRQDTVELARRIEKTGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYDDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKRDYVRKSILWDNDLKSTKQTIKEMIMHHSCLELPEGKGVNKCDTSADISKLYGEEEYYNFVFSNRNQTT >LPERR04G15960.1 pep chromosome:Lperr_V1.4:4:15846701:15848731:-1 gene:LPERR04G15960 transcript:LPERR04G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKPEMLPFLVLLIFLGLRGLRPAAEAADEQFVYNGFTGANLSFDGMATVTPNGLLMLTNGTSQLKGHAFYPAPIQFHRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGLVSSATNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPIDVPRPKKPLLSTIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNVSSLPSMPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFMFMRRRRMFSELKEDWEVTFGPHRFSYKDLFHATDGFSDKHLLGIGGFGRVYRGTLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLYDFQGKITLSWAQRFRIIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >LPERR04G15970.1 pep chromosome:Lperr_V1.4:4:15850092:15852134:1 gene:LPERR04G15970 transcript:LPERR04G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFLLLFLLLIAVVGSDHGGALAADQFTYNGFGGANLTLDGMAAVASNGLLVLSNGTNQMAGHAFHPTPVRLRAGAGGEVQSFSAAFVFAIVSNFTVLSDNGMAFVIAPSTQLSTFNAGQYLGILNVSDNGKPDNNVFAVELDTMLNPEFQDMNSNHIGVDVNSMRSVQNHSAGYYDDVTGVFNNLSLISRQPMQVWVDYDGATTVLTVTMAPLDVARPRKPLISAPVNLSSVVTDTAYVGFSAATGVIYTRHYVLGWSFASNSTAAPALDIASLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRIRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVAAGLLYLHEDWDKVVIHRDIKASNVLLDGEMSGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGLIAPDDQNVLLDWVQDHERRHAALDTVDARLCGKYDADEARLALKLGLVCAHPLPDARPSMRQVTQYLEGDAPMPEVAPTFVSYSMLALMQNDGFDSFAMSFPSTVTTTSASPMSVDVSAVSGLSGGR >LPERR04G15980.1 pep chromosome:Lperr_V1.4:4:15853472:15866109:1 gene:LPERR04G15980 transcript:LPERR04G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLGAGGHGVHIPRSAAANRGRGGGTMEATIPNPSRKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVEGIRRSGAGDTDVVFHQLDVTDPASVARLADFVRDQFGRLDVLINNAGISGVDRDPVLVAKVKDQVEGMDVDQRVEWMKENSKETYDEAKSCITTNYYGAKLVTEALLPLILLSSSGRIVNVSSGFGLLRNFNSEELIKEFNDIDSLTEKRVEELLDLFLDDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILSKKYPTLRINCLTPGYVKSDMSMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRNGEASFLSRASKPSPIFFVGSLEQWSLRCAFMEPTIFSSQDTRIAVVTGGNKGIGLEVCRQLAGNGIAVVLTARDEVKGAAAVEKLHGLGFSNVNNAAVGGIELVDDPSFGLMPTEDKTEETAKTGLETNYYGTKNVTETLLPLLLSSSDGRIVNIASDFGQLRFFTNEELKRELNDADSLTEERLDELLAMFVRDFEAGAVAERGWPTEFSAYKLAKAAVNAYTRILARKRPELRVNCVHPGYVKTELTRNSGLLTPEEGANRVVAVALLPAGGTTGAFFDDGKEASFARTGQVDITTIHHHKRQRRPGGRTVGASLIGSEKLWIRASFYAPASLLVRHKMEGAILSKSARVAVVTGGNKGIGLEVCRQLAADDITVVLTARDETRGTEATEKLRGMGLSNVVFHQLEVTDSSSVARFVLQGVNNAALGGVEYTPGVDTNEEQFVGMDVLQRVEWMRKQCRETYDAAKNGVQTNYYGAKHVTQALLPLLLESSSEGRIVNVSSILGQLRLVSNEDLKKKMDDIDNLTEERIDEVLDVFLKDFEAGKVEADGTAAYKMAKVAMNAYSRILARRHPKLRINCAHPGYVKTDMTMNSGFLTPEEGARNVVTVALLPDGGPTGAFFDQGKEASFV >LPERR04G15980.2 pep chromosome:Lperr_V1.4:4:15853472:15866109:1 gene:LPERR04G15980 transcript:LPERR04G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLGAGGHGVHIPRSAAANRGRGGGTMEATIPNPSRKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVEGIRRSGAGDTDVVFHQLDVTDPASVARLADFVRDQFGRLDVLINNAGISGVDRDPVLVAKVKDQVEGMDVDQRVEWMKENSKETYDEAKSCITTNYYGAKLVTEALLPLILLSSSGRIVNVSSGFGLLRNFNSEELIKEFNDIDSLTEKRVEELLDLFLDDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILSKKYPTLRINCLTPGYVKSDMSMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRNGEASFWSLRCAFMEPTIFSSQDTRIAVVTGGNKGIGLEVCRQLAGNGIAVVLTARDEVKGAAAVEKLHGLGFSNVNNAAVGGIELVDDPSFGLMPTEDKTEETAKTGLETNYYGTKNVTETLLPLLLSSSDGRIVNIASDFGQLRFFTNEELKRELNDADSLTEERLDELLAMFVRDFEAGAVAERGWPTEFSAYKLAKAAVNAYTRILARKRPELRVNCVHPGYVKTELTRNSGLLTPEEGANRVVAVALLPAGGTTGAFFDDGKEASFARTGQVDITTIHHHKRQRRPGGRTVGASLIGSEKLWIRASFYAPASLLVRHKMEGAILSKSARVAVVTGGNKGIGLEVCRQLAADDITVVLTARDETRGTEATEKLRGMGLSNVVFHQLEVTDSSSVARFVLQGVNNAALGGVEYTPGVDTNEEQFVGMDVLQRVEWMRKQCRETYDAAKNGVQTNYYGAKHVTQALLPLLLESSSEGRIVNVSSILGQLRLVSNEDLKKKMDDIDNLTEERIDEVLDVFLKDFEAGKVEADGTAAYKMAKVAMNAYSRILARRHPKLRINCAHPGYVKTDMTMNSGFLTPEEGARNVVTVALLPDGGPTGAFFDQGKEASFV >LPERR04G15980.3 pep chromosome:Lperr_V1.4:4:15853472:15866109:1 gene:LPERR04G15980 transcript:LPERR04G15980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLGAGGHGVHIPRSAAANRGRGGGTMEATIPNPSRKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVEGIRRSGAGDTDVVFHQLDVTDPASVARLADFVRDQFGRLDVLINNAGISGVDRDPVLVAKVKDQVEGMDVDQRVEWMKENSKETYDEAKSCITTNYYGAKLVTEALLPLILLSSSGRIVNVSSGFGLLRNFNSEELIKEFNDIDSLTEKRVEELLDLFLDDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILSKKYPTLRINCLTPGYVKSDMSMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRNGEASFLSRASKPSPIFFVGSLEQWSLRCAFMEPTIFSSQDTRIAVVTGGNKGIGLEVCRQLAGNGIAVVLTARDEVKGAAAVEKLHGLGFSNVNNAAVGGIELVDDPSFGLMPTEDKTEETAKTGLETNYYGTKNVTETLLPLLLSSSDGRIVNIASDFGQLRFFTNEELKRELNDADSLTEERLDELLAMFVRDFEAGAVAERGWPTEFSAYKLAKAAVNAYTRILARKRPELRVNCVHPGYVKTELTRNSGLLTPEEGANRVVAVALLPAGGTTGAFFDDGKEASFARTGQVDITTIHHHKRQRRPGGRTVGASLIGSEKLWIRASFYAPASLLVRHKMEGAILSKSARVAVVTGGNKGIGLEVCRQLAADDITVVLTARDETRGTEATEKLRGMGLSNVVFHQLEVTDSSSVNNAALGGVEYTPGVDTNEEQFVGMDVLQRVEWMRKQCRETYDAAKNGVQTNYYGAKHVTQALLPLLLESSSEGRIVNVSSILGQLRLVSNEDLKKKMDDIDNLTEERIDEVLDVFLKDFEAGKVEADGTAAYKMAKVAMNAYSRILARRHPKLRINCAHPGYVKTDMTMNSGFLTPEEGARNVVTVALLPDGGPTGAFFDQGKEASFV >LPERR04G15980.4 pep chromosome:Lperr_V1.4:4:15865806:15873090:1 gene:LPERR04G15980 transcript:LPERR04G15980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPNKPKLIVRTKFLDVCTKFSYARLRLADSYGLQINNAGIVGATAEIDTTIVLQKVSSGDRGSGCIKQLVGKSAMERLQWLLQHSTETYDEAEECLRINYIGTKYVTEALLPLLQSSSDGRIINVSSNYELLRDSFLEDYRNGHLKSHGWPADAEYLAYKVSKALINGTLE >LPERR04G15990.1 pep chromosome:Lperr_V1.4:4:15875071:15876222:-1 gene:LPERR04G15990 transcript:LPERR04G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVTYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGCKSSDKELSRAPSKISSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKTESQEALPDVVPDEQPAPPQDEHREDN >LPERR04G16000.1 pep chromosome:Lperr_V1.4:4:15892159:15894501:1 gene:LPERR04G16000 transcript:LPERR04G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDYILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARLLPGRTDNEVKNYWNSYLKKRVETAQAATARKSAEPADDVTTGSPNRSEAGQDQRAAADLPASSDSSGPVMFADWFDMDYGTSLAGTAPAGLSHQGSSVQVDGPCGGGGVDFLHGLGDGGFFWDFDDAAGHMQGGGLSDLLSMSEFLGIN >LPERR04G16010.1 pep chromosome:Lperr_V1.4:4:15896921:15902382:1 gene:LPERR04G16010 transcript:LPERR04G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPQQQQPHQHQQPPQQQPYHHQYVQRQPHPQQSQQHNNQHQQWLRRNQIAAEAAGASEQKAPPVTDAVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCILKNCSMLVMDEADKLLSPEFQPSVEQLIRYLPSNRQILMFSATFPVTVKDFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQCHLMIAYGVS >LPERR04G16010.2 pep chromosome:Lperr_V1.4:4:15896921:15902382:1 gene:LPERR04G16010 transcript:LPERR04G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPQQQQPHQHQQPPQQQPYHHQYVQRQPHPQQSQQHNNQHQQWLRRNQIAAEAAGASEQKAPPVTDAVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCILKNCSMLVMDEADKLLSPEFQPSVEQLIRYLPSNRQILMFSATFPVTVKDFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVSSHDCIWCILIKVVWGHSNK >LPERR04G16030.1 pep chromosome:Lperr_V1.4:4:15913794:15917167:-1 gene:LPERR04G16030 transcript:LPERR04G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKRVEVEVTEAPPAAEPEPAAKDVTEEKAVIPASAPPAEEEKPPVDDSKALAIVEKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAVIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >LPERR04G16040.1 pep chromosome:Lperr_V1.4:4:15917671:15918798:-1 gene:LPERR04G16040 transcript:LPERR04G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAWPCTVGAPVDTRFAGSRDPNPPSPTPRGPPHSSVPLARIRCGRVRALGPHVNRLLKRWHSLHRAVSPSHWHCA >LPERR04G16050.1 pep chromosome:Lperr_V1.4:4:15919168:15920521:-1 gene:LPERR04G16050 transcript:LPERR04G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINKEKNLQKVPEQSKWMELGVLPACVAFELDISQGRMHPTRRGTRDDVEYKDPPKLQLNKANSPGICTRTDRGLT >LPERR04G16060.1 pep chromosome:Lperr_V1.4:4:15926608:15928272:-1 gene:LPERR04G16060 transcript:LPERR04G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGVKAAPFTYVAHALAVVAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGFIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSAGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEGYAPIPVN >LPERR04G16070.1 pep chromosome:Lperr_V1.4:4:15930955:15935339:1 gene:LPERR04G16070 transcript:LPERR04G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIVEYEKAQVLFLGHRVRRYLPRCGSGRRSPSQQASSLTAFSTSGRSSSPPIDASHGAFSRSVDFQNLVGAEAAEVNGFIAQATKGRLTNRHQVPPRQRHTLQGDVARAFKSSNTVHRTFHLLDSTTALVPFLSDPGRHFVASFDIDGVAFKVLQLFYKSDDDQVDSGAPRFCMLRDQKGLRDILRMAVTEPGFVTRCMPRTTQTVSRCMVPKFKFSYRFDAGAALAQLGFGALFDPLTTDLSRMAVNREHAAREALRCEVEVDEEGTMAVEGIPGYSPRFRPPKPLNFQAEHPFMFAIVEYQQNWSNPTLEYGNAKVLFLGHVMDPSN >LPERR04G16070.2 pep chromosome:Lperr_V1.4:4:15929744:15930948:1 gene:LPERR04G16070 transcript:LPERR04G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCLQVAWIAGDKAITEQSNFMFSPLCVRAGLALLATGTDGETLRQLLSFLAPNTSTSSMRRAPSSSPRCGRGRSFPSHRPSSLLRLGPDFQYTAAADHRAFVRPVDFQTQAEAATVQVNRFFAQATKGRLTNVIPSGTFKSTTKCVLANAMHFKATWARKFDPSDTVRRMFHRADGTSVRVPFLSDPGIHYATSFDRLGFKVLQLFYKMVGHDNKFHFNAPCFCMLVFLPTRRDGLRDLLRMAVTEPDFVMRCVPRTEQQVAPCMVPKFKFSAELDAAGALRQLGLAAPFDPVAADLSKMAVNPPPEGLYKCAVEVDEEGTTAVAATYEASSPTYSPGDVPTLPMSFVAD >LPERR04G16080.1 pep chromosome:Lperr_V1.4:4:15935768:15936338:-1 gene:LPERR04G16080 transcript:LPERR04G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVILKVSTMSDAKVKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >LPERR04G16090.1 pep chromosome:Lperr_V1.4:4:15937827:15947847:1 gene:LPERR04G16090 transcript:LPERR04G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVGRLTRSSLTASCPAVNGGVVVFFAAVVAGALVSASWMSSGARVTSISTLATRNVAQKSAPSPEPEPTLPRLTSPDTNRTPSAPANLASPPRQSPPPASAPEAAATPTPPPPPSCPAYFRWIHEDLRPWRATGITREAVDGAARRHGPKFRVTVVAGRLHVALHGGRCFQTRDVFTQWGILQLLRRYPGRVPDLDLVFDCQDLPVVDAGGGHTPSPMFGYCGSERTVDIAFPDWPELNIKPWEALRGEIAEGNAAVKWTGRAPYAYWKGNPTVGADRWNLVRCNASGKRDWNARIYAQDWRKEVREGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACESVALIVRPRYHDFFSRGLMPLQHYWPIPGGRGMCRSIKFAVDWGNAHTDKAQEIAGNATKFIQEDLTTARVYDYMFHLLTEYAKLLKYRPTVPDSAVEVTVESILHRRRGRERQFMVDTMVDGSGTGEPCELPPPFSSDELQTLWRRQLDASRQVEMWEKHDRIPMSRLAVPVQRLASRVQRDLIHIAVAFCAAENPEPRGGGKDSVLIAVCTQDDRRQRPPEMAIAVADVRQSMPKLVAVLSRTSAAFLFVSVVVVGLVSSARWITRTTLQASLPGATAIPAAVAATATRRRIPPAAPRPTYSISCSAPPLPTLNLSGGGGGGTPESSQTLALALSSSSSCRRSSPGPPPNATASTNSSSCPSYFRFIHEDLRPWRDAGGVTRAMLGRARATASFRLVVLGGRAFVERFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFGYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRKDLKDGNSRVRWLNREPYAYWKGNPAVAVTRQELIKCNVSNTKDWNARIYKQDWFRESKAGYKDSNLGSQCIHRYKIYIEGSAWSVSQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVQNDDKCGSIKYAVDWGNSHKQLAQRIGKQASDFIQEEVNMAHVYDYMLHLLTEYAKLLRFKPIKPPEAVEICLESLACQAEGLEKKFFMESMVKSAHDAGPCDLPPPFNPQELTLLKRRKENSIKQVETWERRSRRA >LPERR04G16090.2 pep chromosome:Lperr_V1.4:4:15938280:15947847:1 gene:LPERR04G16090 transcript:LPERR04G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVGRLTRSSLTASCPAVNGGVVVFFAAVVAGALVSASWMSSATPTPPPPPSCPAYFRWIHEDLRPWRATGITREAVDGAARRHGPKFRVTVVAGRLHVALHGGRCFQTRDVFTQWGILQLLRRYPGRVPDLDLVFDCQDLPVVDAGGGHTPSPMFGYCGSERTVDIAFPDWSFWGWPELNIKPWEALRGEIAEGNAAVKWTGRAPYAYWKGNPTVGADRWNLVRCNASGKRDWNARIYAQDWRKEVREGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACESVALIVRPRYHDFFSRGLMPLQHYWPIPGGRGMCRSIKFAVDWGNAHTDKAQEIAGNATKFIQEDLTTARVYDYMFHLLTEYAKLLKYRPTVPDSAVEVTVESILHRRRGRERQFMVDTMVDGSGTGEPCELPPPFSSDELQTLWRRQLDASRQVEMWEKHDRIPMSRLAVPVQRLASRVQRDLIHIAVAFCAAENPEPRGGGKDSVLIAVCTQDDRRQRPPEMAIAVADVRQSMPKLVAVLSRTSAAFLFVSVVVVGLVSSARWITRTTLQASLPGATAIPAAVAATATRRRIPPAAPRPTYSISCSAPPLPTLNLSGGGGGGTPESSQTLALALSSSSSCRRSSPGPPPNATASTNSSSCPSYFRFIHEDLRPWRDAGGVTRAMLGRARATASFRLVVLGGRAFVERFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFGYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRKDLKDGNSRVRWLNREPYAYWKGNPAVAVTRQELIKCNVSNTKDWNARIYKQDWFRESKAGYKDSNLGSQCIHRYKIYIEGSAWSVSQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVQNDDKCGSIKYAVDWGNSHKQLAQRIGKQASDFIQEEVNMAHVYDYMLHLLTEYAKLLRFKPIKPPEAVEICLESLACQAEGLEKKFFMESMVKSAHDAGPCDLPPPFNPQELTLLKRRKENSIKQVETWERRSRRA >LPERR04G16090.3 pep chromosome:Lperr_V1.4:4:15937827:15947847:1 gene:LPERR04G16090 transcript:LPERR04G16090.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVGRLTRSSLTASCPAVNGGVVVFFAAVVAGALVSASWMSSGARVTSISTLATRNVAQKSAPSPEPEPTLPRLTSPDTNRTPSAPANLASPPRQSPPPASAPEAAATPTPPPPPSCPAYFRWIHEDLRPWRATGITREAVDGAARRHGPKFRVTVVAGRLHVALHGGRCFQTRDVFTQWGILQLLRRYPGRVPDLDLVFDCQDLPVVDAGGGHTPSPMFGYCGSERTVDIAFPDWSFWGWPELNIKPWEALRGEIAEGNAAVKWTGRAPYAYWKGNPTVGADRWNLVRCNASGKRDWNARIYAQVLTLRRAGTRTSVDKGVDCRVLCHIDTQDCTIFQDWRKEVREGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACESVALIVRPRYHDFFSRGLMPLQHYWPIPGGRGMCRSIKFAVDWGNAHTDKAQEIAGNATKFIQEDLTTARVYDYMFHLLTEYAKLLKYRPTVPDSAVEVTVESILHRRRGRERQFMVDTMVDGSGTGEPCELPPPFSSDELQTLWRRQLDASRQVEMWEKHDRIPMSRLAVPVQRLASRVQRDLIHIAVAFCAAENPEPRGGGKDSVLIAVCTQDDRRQRPPEMAIAVADVRQSMPKLVAVLSRTSAAFLFVSVVVVGLVSSARWITRTTLQASLPGATAIPAAVAATATRRRIPPAAPRPTYSISCSAPPLPTLNLSGGGGGGTPESSQTLALALSSSSSCRRSSPGPPPNATASTNSSSCPSYFRFIHEDLRPWRDAGGVTRAMLGRARATASFRLVVLGGRAFVERFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFGYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRKDLKDGNSRVRWLNREPYAYWKGNPAVAVTRQELIKCNVSNTKDWNARIYKQDWFRESKAGYKDSNLGSQCIHRYKIYIEGSAWSVSQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVQNDDKCGSIKYAVDWGNSHKQLAQRIGKQASDFIQEEVNMAHVYDYMLHLLTEYAKLLRFKPIKPPEAVEICLESLACQAEGLEKKFFMESMVKSAHDAGPCDLPPPFNPQELTLLKRRKENSIKQVETWERRSRRA >LPERR04G16090.4 pep chromosome:Lperr_V1.4:4:15937827:15947847:1 gene:LPERR04G16090 transcript:LPERR04G16090.4 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVGRLTRSSLTASCPAVNGGVVVFFAAVVAGALVSASWMSSGARVTSISTLATRNVAQKSAPSPEPEPTLPRLTSPDTNRTPSAPANLASPPRQSPPPASAPEAAATPTPPPPPSCPAYFRWIHEDLRPWRATGITREAVDGAARRHGPKFRVTVVAGRLHVALHGGRCFQTRDVFTQWGILQLLRRYPGRVPDLDLVFDCQDLPVVDAGGGHTPSPMFGYCGSERTVDIAFPDWSFWGWPELNIKPWEALRGEIAEGNAAVKWTGRAPYAYWKGNPTVGADRWNLVRCNASGKRDWNARIYAQVLTLRRAGTRTSVDKGVDCRVLCHIDTQDCTIFQDWRKEVREGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACESVALIVRPRYHDFFSRGLMPLQHYWPIPGGRGMCRSIKFAVDWGNAHTDKAQEIAGNATKFIQEDLTTARVYDYMFHLLTEYAKLLKYRPTVPDSAVEVTVESILHRRRGRERQFMVDTMVDGSGTGEPCELPPPFSSDELQTLWRRQLDASRQVEMWEKHDRIPMSRLAVPVQRLASRVQRDLIHIAVAFCAAENPEPRGGGKDSVLIAVCTQDDRRQRPPEMAIAVADVRQSMPKLVAVLSRTSAAFLFVSVVVVGLVSSARWITRTTLQASLPGATAIPAAVAATATRRRIPPAAPRPTYSISCSAPPLPTLNLSGGGGGGTPESSQTLALALSSSSSCRRSSPGPPPNATASTNSSSCPSYFRFIHEDLRPWRDAGGVTRAMLGRARATASFRLVVLGGRAFVERFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFGYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRKDLKDGNSRVRWLNREPYAYWKGNPAVAVTRQELIKCNVSNTKDWNARIYKQDWFRESKAGYKDSNLGSQCIHRSLMPIQHYWPVQNDDKCGSIKYAVDWGNSHKQLAQRIGKQASDFIQEEVNMAHVYDYMLHLLTEYAKLLRFKPIKPPEAVEICLESLACQAEGLEKKFFMESMVKSAHDAGPCDLPPPFNPQELTLLKRRKENSIKQVETWERRSRRA >LPERR04G16100.1 pep chromosome:Lperr_V1.4:4:15949238:15955727:1 gene:LPERR04G16100 transcript:LPERR04G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGLLGVSLLVAGLGCVLLVAPSESAADDVAALLAFKKAIFEDPLAKLSDWNSKDEDPCAWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDQNTLMGSIPKGIGKLRDLIVLNLSTNQLVGSIPSEIGDMPKISKIDLHANRLDGAVPPELGKLTSLTELRLSNNSLTGTIPGSNDSSMLSTNSDGQTGLCLLTQLTDMDLSYNYFVGDVPKCFKQIQRLSLVGNCFDNNGTTNRPDNQCENSLKGNENSRFGGDKQKSFQQPLWLLILEVITAISLLTVLTLCTIAGFRRCKARSSGNSATWTRATSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHDTVVYKGTMKDGNEIAVVSLSASVHYWTSYVELYFQKEARRFMHLVVEMSRLNHENVAKMVGYSKESDPFLRMLVFQYPPNGTLYEHLRDGEGCQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIMDSRHMRFMDVQANTFAFGVILLELISGRASLSKDTVDLVDWARKHLDQTEEFVKLVDPKLRNTNHDNLGIICNVVNLCIDPEPCRRPSMNMITAILEEGIDTSPATVLRASSLAWAEAEIAISSHEKTVDRQTPASTPMAADRGRSGCTKVNGGGSRRGKANGVDWRGARSSTGSGADAGGQSGARWGRGPRSGAELNGVEPGAAAAAGAEMDGAEAVDDSGRVGRRTARMPTWGGGWRLVDNSEI >LPERR04G16100.2 pep chromosome:Lperr_V1.4:4:15949238:15954820:1 gene:LPERR04G16100 transcript:LPERR04G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGLLGVSLLVAGLGCVLLVAPSESAADDVAALLAFKKAIFEDPLAKLSDWNSKDEDPCAWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDQNTLMGSIPKGIGKLRDLIVLNLSTNQLVGSIPSEIGDMPKISKIDLHANRLDGAVPPELGKLTSLTELRLSNNSLTGTIPGSNDSSMLSTNSDGQTGLCLLTQLTDMDLSYNYFVGDVPKCFKQIQRLSLVGNCFDNNGTTNRPDNQCENSLKGNENSRFGGDKQKSFQQPLWLLILEVITAISLLTVLTLCTIAGFRRCKARSSGNSATWTRATSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHDTVVYKGTMKDGNEIAVVSLSASVHYWTSYVELYFQKEARRFMHLVVEMSRLNHENVAKMVGYSKESDPFLRMLVFQYPPNGTLYEHLRDGEGCQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIMDSRHMRFMDVQANTFAFGVILLELISGRASLSKDTVDLVDWARKHLDQTEEFVKLVDPKLRNTNHDNLGIICNVVNLCIDPEPCRRPSMNMITAILEEGIDTSPATVLRASSLAWAEAEIAIS >LPERR04G16100.3 pep chromosome:Lperr_V1.4:4:15949238:15954820:1 gene:LPERR04G16100 transcript:LPERR04G16100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGLLGVSLLVAGLGCVLLVAPSESAADDVAALLAFKKAIFEDPLAKLSDWNSKDEDPCAWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDQNTLMGSIPKGIGKLRDLIVLNLSTNQLVGSIPSEIGDMPKISKIDLHANRLDGAVPPELGKLTSLTELRLSNNSLTGTIPGSNDSSMLSTNSDGQTGLCLLTQLTDMDLSYNYFVGDVPKCFKQIQRLSLVGNCFDNNGTTNRPDNQCENSLKGNENSRFGGDKQKSFQQPLWLLILEVITAISLLTVLTLCTIAGFRRCKARSSGNSATWTRATSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHDTVVYKGTMKDGNEIAVVSLSASVVEMSRLNHENVAKMVGYSKESDPFLRMLVFQYPPNGTLYEHLRDGEGCQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIMDSRHMRFMDVQANTFAFGVILLELISGRASLSKDTVDLVDWARKHLDQTEEFVKLVDPKLRNTNHDNLGIICNVVNLCIDPEPCRRPSMNMITAILEEGIDTSPATVLRASSLAWAEAEIAIS >LPERR04G16100.4 pep chromosome:Lperr_V1.4:4:15949238:15954820:1 gene:LPERR04G16100 transcript:LPERR04G16100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGLLGVSLLVAGLGCVLLVAPSESAADDVAALLAFKKAIFEDPLAKLSDWNSKDEDPCAWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDQNTLMGSIPKGIGKLRDLIVLNLSTNQLVGSIPSEIGDMPKISKIDLHANRLDGAVPPELGKLTSLTELRLSNNSLTGTIPGSNDSSMLSTNSDGQTGLCLLTQLTDMDLSYNYFVGDVPKCFKQIQRRCKARSSGNSATWTRATSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHDTVVYKGTMKDGNEIAVVSLSASVVEMSRLNHENVAKMVGYSKESDPFLRMLVFQYPPNGTLYEHLRDGEGCQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIMDSRHMRFMDVQANTFAFGVILLELISGRASLSKDTVDLVDWARKHLDQTEEFVKLVDPKLRNTNHDNLGIICNVVNLCIDPEPCRRPSMNMITAILEEGIDTSPATVLRASSLAWAEAEIAIS >LPERR04G16100.5 pep chromosome:Lperr_V1.4:4:15955149:15955727:1 gene:LPERR04G16100 transcript:LPERR04G16100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRGRSGCTKVNGGGSRRGKANGVDWRGARSSTGSGADAGGQSGARWGRGPRSGAELNGVEPGAAAAAGAEMDGAEAVDDSGRVGRRTARMPTWGGGWRLVDNSEI >LPERR04G16110.1 pep chromosome:Lperr_V1.4:4:15955911:15959409:1 gene:LPERR04G16110 transcript:LPERR04G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGETGGKVEQECAAGGEPMNDYEKQRLARIRENEARIQALGIRRLAASPLLHSPAPSAAEKGKRKSRAADADEEYIPSDGGEDDDKESSSSGDRDGEEEEDINKSSSSRSRKKGKKKMLNSRKSSKVSSRKEDAPIVDFVDDDAALQQAIALSLAESSENPVPAMSAETSSAGVKGSDSTPREKSYSVPIQDSTKTVKIKMQGKSRIRLTEDDVIAFFFSFDEVGKGYITPWDLERMATVHDFIWTDFEISKMIHCFENDRDGKINLEDFRTIVSRCNMLQEPGK >LPERR04G16120.1 pep chromosome:Lperr_V1.4:4:15959056:15962206:-1 gene:LPERR04G16120 transcript:LPERR04G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTAKDVTGEMFDKGSGNVVDERKLKVVYVQPPQPPSPVREGSEEGSSPRPSLSEGGSLNYQDTTRESDEPPLLSATSAHKDPKDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVIALLGIILGLQLDFEKSTVGQKTEELESLKHD >LPERR04G16120.2 pep chromosome:Lperr_V1.4:4:15959056:15962206:-1 gene:LPERR04G16120 transcript:LPERR04G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIARAFLVTMQAQREAPADMQCKDKFLVQSVVVTEGTTAKDVTGEMFDKGSGNVVDERKLKVVYVQPPQPPSPVREGSEEGSSPRPSLSEGGSLNYQDTTRESDEPPLLSATSAHKDPKDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVIALLGIILGLQLDFEKSTVGQKTEELESLKHD >LPERR04G16120.3 pep chromosome:Lperr_V1.4:4:15960323:15962206:-1 gene:LPERR04G16120 transcript:LPERR04G16120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTAKDVTGEMFDKGSGNVVDERKLKVVYVQPPQPPSPVREGSEEGSSPRPSLSEGGSLNYQDTTRESDEPPLLSATSAHKDPKDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVIALLGIILGYIMKR >LPERR04G16130.1 pep chromosome:Lperr_V1.4:4:15963404:15965881:-1 gene:LPERR04G16130 transcript:LPERR04G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTMASLICSQIKLSKACIRSRRQAHENEDGATIIKGADVVEPIDTEEAPQIPFLQSDQDFVEVTKEPSMQLTPLKVPMWLLGPSILLVTVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSDLVKQIPTSYRFWNLAASIVLTEMLTWHWKSPVWLVAPVVYECYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASNSKYGASDDNQ >LPERR04G16130.2 pep chromosome:Lperr_V1.4:4:15963404:15965881:-1 gene:LPERR04G16130 transcript:LPERR04G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTMASLICSQIKLSKACIRSRRQAHENGQMRLSKSVYWKPLQSNHFENIVSRCTKNLSWEASLPYASAEDGATIIKGADVVEPIDTEEAPQIPFLQSDQDFVEVTKEPSMQLTPLKVPMWLLGPSILLVTGIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSDLVKQIPTSYRFWNLAASIVGFLVPFALFFASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYECYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASNSKYGASDDNQ >LPERR04G16140.1 pep chromosome:Lperr_V1.4:4:15966565:15967236:1 gene:LPERR04G16140 transcript:LPERR04G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSHPGLAGRAKNWETSSGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWMARRASFVSAFGECVGYVFFIAMDMIMIRRGVRQERKLLRDGGKGDVEKEVKRIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGITGLVSAWAGWYRNWPS >LPERR04G16150.1 pep chromosome:Lperr_V1.4:4:15978619:15980091:-1 gene:LPERR04G16150 transcript:LPERR04G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCFGSILILILIAAASSLQCHGLLLPLTNTLSSLGHTNDTSIHRLLHSSSLRSAARHRRHRGRRHGMPPPRHRQLSLPLAPGSDYTLSLLVGPPSTPSSVSLFLDTGSDLVWFPCAPFTCILCEGKATPGGNHSTPLPPPIDSRRIPCASPLCSTAHSSAPSSDLCAAARCPLDAIETDSCASHACPPLYYAYGDGSLIANLRRGRVGLAASVAVHNFTFACAHTALAEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVAHSFRADRLIRPSPLILGRSTDDAAAAASSETTDDDFVYTPLLHNPKHPYFYSVALEAVSVGGRRIEAQPELGYVDRDGNGGMVVDSGTTFTMLPRDTFARVADEFARAMAAARFERAEDAEAQTGLAPCYRYSPSDRAVPPVALHFRGNATVALPRRNYFMGFKSEQGRGVGCLMLMNVGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDTFSRR >LPERR04G16160.1 pep chromosome:Lperr_V1.4:4:15984058:15986153:-1 gene:LPERR04G16160 transcript:LPERR04G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLCFRGGTTAARRRPERAPMADWVMAFQDINPSAFRYLPCVQLSHTASCWEIGRVVLWGLVWITGCDLVFPLTFVFRHYLVIPIEHIPTFHNLQRTTEDHQLVSHMLAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFLEAESLLERIRP >LPERR04G16160.2 pep chromosome:Lperr_V1.4:4:15984058:15986153:-1 gene:LPERR04G16160 transcript:LPERR04G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLCFRGGTTAARRRPERAPMADWVMAFQDINPSAFRHYLVIPIEHIPTFHNLQRTTEDHQLVSHMLAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFLEAESLLERIRP >LPERR04G16170.1 pep chromosome:Lperr_V1.4:4:15992069:15999280:1 gene:LPERR04G16170 transcript:LPERR04G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETIPDRDPARAPKKRPTTTVLPAVASAVLLLGLAALFLVYGFQDGGEGTTATVVEVVAASRGVVEGVSEKSTASALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATGESVQVQNLAEPDDPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRSPASNDSSWRITIGSKNGEHAGLALVYKTDDFLHYDLLPTLLHVVPGTGMWECVDFYPVSASPADGETGLDTSTPPGPGVKHVLKASLDDDKNDYYAIGTYDGEADAWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASVQSIPRTVLLDTKTGSNLLQWPVVEVENLRMRGKSFDGLTISPGSVVPLDVGKATQLDIEAVFEVDTSSTKDAVVEVGATAYSCGTGGGAVGRGLMGPFGLLVLADQELTERTSVFFYLVRGDDGNLTTFFCQDELRSSKANDLVKTVYGSLVPVLDGENLSIRILVDHSIVESFAQGGRTCITSRVYPTKAIYESARIFLFNNATNVRVSAKSLKIWELNSAYIRPYVE >LPERR04G16180.1 pep chromosome:Lperr_V1.4:4:16004041:16007576:-1 gene:LPERR04G16180 transcript:LPERR04G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAVTTGQPDLACRARSSSARPTTAAAAAQEDGARRHTSPPAPIFDPIASAGPGPRRPPLARHDSCADLPSLPDADPATPTPDPTSQLVAPTGSPGAACCPPRSLDSRRIASSPVGRRRRRAAVVRRPLVPVCSLLLCPLASWRRLSKPETLPPSTEKAIEVLMSAPVQCRNDTQAITLTKQLDEKMLLLEEEHWRTGLVMRGDAARGSDNSRRRREQASGGGAVATVDKAAGIVLFVCTVNYKGEYGHGRSYARGSYTYITFLPV >LPERR04G16190.1 pep chromosome:Lperr_V1.4:4:16011693:16013663:-1 gene:LPERR04G16190 transcript:LPERR04G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAAETFSLDHLAQHQPPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAANQLPFGQALLSPTSPHGLLDEVPSFQAPQSLMTEQASPNVSSITSSNSSCANNTPATSMASAANKSAQREPQQPKSAQSATNRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKAGIQSQDGPGECMLFKDGLYAAAAAAAAATAASSMGVTPF >LPERR04G16200.1 pep chromosome:Lperr_V1.4:4:16021048:16022396:-1 gene:LPERR04G16200 transcript:LPERR04G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDVDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYATPQEEGWVVCRVFKKRVTTVQRMAGDGGDSPFWFNEHVAFMAPATSGHGLDSPYHVHRQISHPCKLEVEYHHHLLPQEPHFLHLPRLESPKLPAADIIGASADLQPPSGHTTVQQLQLQIEPVFVTADASASDWRDLDKLVASQFGGHGGSTPKETGYSSQIQVFQVEGKQEDTLDYVSTSASCGGEDDLWK >LPERR04G16210.1 pep chromosome:Lperr_V1.4:4:16034697:16035206:1 gene:LPERR04G16210 transcript:LPERR04G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSHGGFRLGRKLLSAWRWMLCCRRRRRRGGYLRLQTATGGACKEGREEEAKRQLAPVLRWGQSLVRRLSLGRKGAGGRRIMDGGEEVSTPKGQVAVYVGGGAPGESMRYVVPVVYFNHPMFGELLREAEEEFGFQHPGGITIPCAVSRFERAAAVAAAGRKGFGRW >LPERR04G16220.1 pep chromosome:Lperr_V1.4:4:16041180:16041947:1 gene:LPERR04G16220 transcript:LPERR04G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRDRRCCPVAAAVVIAVLIGLCTTVITEAATGTFIYAGCSPSKYQPGTPFEGNLNSLLTSIANAAPNGGYNTFTSGSNGTGDGGAGPAYGLYQCRGDLGNADCAACVRDALAQLGEVCASAYAASLQLEGCYVRYDSSDFVGKPDTAMVYRKCSTSTSGDGEFLRSRDAVLAALQGGVESGYKASSSGDVQGVSQCLGDLAAADCTACLAQAVGQLKGTCGTALAADVYLAQCYVRYWASGYYFRPSQGTYAQ >LPERR04G16230.1 pep chromosome:Lperr_V1.4:4:16041290:16047943:-1 gene:LPERR04G16230 transcript:LPERR04G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWVWGFVVALLAVAAAASWADDGEEEEGRWEPLIRLPTEEKGGDAEAAAAAPASAPAAAESVGTRWAVLVAGSFGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIANNFLNPRPGTIINHPKGGDVYAGVPKDYTGHHVTTENFYAVLLGNKTTVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFMKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPDNLNIYVTTASNAVENSWGTYCPGDKPSPPPEYITCLGDMYSVSWMEDSETHNLKKETIEDQYEVVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNKLIWPAPKAAVNQRDADLLFMWKRYEKLNGGSEDKLKILREIEDTIAHRKHLDSSIDFVGKLVFGFENGPSILDGARSSGQPLVDDWDCLKKMVRIFESQCGSLTQYGMKHMRAFANMCNNGVSEAKMMIASINACGSYNSVKWNPITEGGYKMNTTKIASPAKMPTIIATHCARYTSAASAVPHVPLSCPTACARHAVQSAAARSPRHCDTPCTSPELLALYPLSTPPCSAASTASRLLRNSPSPLVLVLHLRYTMAVSGLPTKSLLSCSDAAYALAHTSPSCASASRTHAAQSALPRSPRHCPYAGPAPPSPVPLLPDVKVLYPPLGAALAMEVRSELRLPSNGVPGWYFDGEQPA >LPERR04G16230.2 pep chromosome:Lperr_V1.4:4:16043946:16047943:-1 gene:LPERR04G16230 transcript:LPERR04G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWVWGFVVALLAVAAAASWADDGEEEEGRWEPLIRLPTEEKGGDAEAAAAAPASAPAAAESVGTRWAVLVAGSFGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIANNFLNPRPGTIINHPKGGDVYAGVPKDYTGHHVTTENFYAVLLGNKTTVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFMKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPDNLNIYVTTASNAVENSWGTYCPGDKPSPPPEYITCLGDMYSVSWMEDSETHNLKKETIEDQYEVLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNKLIWPAPKAAVNQRDADLLFMWKRYEKLNGGSEDKLKILREIEDTIAHRKHLDSSIDFVGKLVFGFENGPSILDGARSSGQPLVDDWDCLKKMVRIFESQCGSLTQYGMKHMRAFANMCNNGVSEAKMMIASINACGSYNSVKWNPITEGGYSA >LPERR04G16230.3 pep chromosome:Lperr_V1.4:4:16043946:16047943:-1 gene:LPERR04G16230 transcript:LPERR04G16230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWVWGFVVALLAVAAAASWADDGEEEEGRWEPLIRLPTEEKGGDAEAAAAAPASAPAAAESVGTRWAVLVAGSFGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIANNFLNPRPGTIINHPKGGDVYAGVPKDYTGHHVTTENFYAVLLGNKTTVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFMKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPDNLNIYVTTASNAVENSWGTYCPGDKPSPPPEYITCLGDMYSVSWMEDSETHNLKKETIEDQYEVVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNKLIWPAPKAAVNQRDADLLFMWKRYEKLNGGSEDKLKILREIEDTIAHRKHLDSSIDFVGKLVFGFENGPSILDGARSSGQPLVDDWDCLKKMVRIFESQCGSLTQYGMKHMRAFANMCNNGVSEAKMMIASINACGSYNSVKWNPITEGGYSA >LPERR04G16240.1 pep chromosome:Lperr_V1.4:4:16049604:16055965:1 gene:LPERR04G16240 transcript:LPERR04G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDWQFFPLTSSTDRIKRIGDQRDLASSRLSPTLRNVPEPLRSRDSRTPRPFYPFSPSKPPSTDPDPTISNSRSPHPLRFPPINLSPAAAHAAARSMAAAADEAKAKGNAAFSAGRFSEAAEHFTSAIALAPDNHVLYSNRSAAYASLGRYPDSLADAEKTVALAPGWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNQALKDGLAQAQARARQPRRPASSSGADAIAKVFQGPELWSRIAADPTTRGYLDQPDFTQMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRMQNHDAPQPPPPQQQQNQPETKAREPEPEPEPEPELEPMEVTEEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGTALAKLAKCSKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQRYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQQINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLVSAGIVQMK >LPERR04G16240.2 pep chromosome:Lperr_V1.4:4:16049604:16055965:1 gene:LPERR04G16240 transcript:LPERR04G16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDWQFFPLTSSTDRIKRIGDQRDLASSRLSPTLRNVPEPLRSRDSRTPRPFYPFSPSKPPSTDPDPTISNSRSPHPLRFPPINLSPAAAHAAARSMAAAADEAKAKGNAAFSAGRFSEAAEHFTSAIALAPDNHVLYSNRSAAYASLGRYPDSLADAEKTVALAPGWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNQALKDGLAQAQARARQPRRPASSSGADAIAKVFQGPELWSRIAADPTTRGYLDQPDFTQMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRMQNHDAPQPPPPQQQQNQPETKAREPEPEPEPEPELEPMEVTEEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGTALAKLAKCSKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQRYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQQINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLATRFITEAVDLSSSGVQITNNIPQMLFRGYHLNFHYRFEESWTSLPQSFTECTPGCNLECQDT >LPERR04G16250.1 pep chromosome:Lperr_V1.4:4:16053406:16057572:-1 gene:LPERR04G16250 transcript:LPERR04G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAVSAASASRLLLGHRPFQAPSSYRSRYAAAGRAAVAGPAAGIRPRQRSPRLSVVAMAGNDRQVPLEDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVVDLVKMQAIVWTGEELGAKFTYQDIPADLQELAQDYRAQMMETIVELDDDVMETYLEGGEIDEATVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPEVILERSPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGRLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSSLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEAAA >LPERR04G16260.1 pep chromosome:Lperr_V1.4:4:16060939:16061271:-1 gene:LPERR04G16260 transcript:LPERR04G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDGGASSSGSRSHSCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYAKLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >LPERR04G16270.1 pep chromosome:Lperr_V1.4:4:16066124:16066870:-1 gene:LPERR04G16270 transcript:LPERR04G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLSSHVCACKLTKEEEDVADAVVVVVDVEADQAPHYSSLKTAPEADAVVVPSGAGGADGAEEVNYMARAQWLRAAVLGANDGLVSVASLMIGVGAVDGSHNTMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVSQIERDGGGDKENLPSPTQAALASALAFAIGGLLPLLTGGFIKGWAPRVGTVCAVSSLGLAGFGAAGGYLGGANMVKAGIRVLLGGWLAMLVTFGVLRLFGTIFHMNISSG >LPERR04G16280.1 pep chromosome:Lperr_V1.4:4:16072987:16077794:-1 gene:LPERR04G16280 transcript:LPERR04G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSDSGTGGGGRGGAELMAKQFHLKVVHAVLAVRAPRPLQPAASASFSRRDRWFHLPLHDPPPPPAAEEVEAPAPASGEPLGEGIAVNRAYNRCMTVLRSVYGALRFLPAYRVFRLLCANKSYNYEMVHRAGTIAEPLTRDEEAAMRSYTFAPVETQPGRLIVSVQYLPSLAAFNFEISSLSPSMLIPDYVGSPAAEPMRAFPASLTEAAGSAFPLSNQPQRRHSWAPAAFWPQARHSPPQLFNASPTPSPPNFPSGYLQPRHKGGTAPMSIPQIGGRRSPGRRQIMLEPSKGSTLPPPSPRRVGETGTGSSQHSPSEINRSFGRADGLRLMDTYGSLSPGRKGKDSKDESGRFSALSSCDSPRRDDIDDADYPFVVDDVDTPSSQPGGGDGKETRDQLSSLSHKSQDAAIGSLVHLLHTARPLRSHASMVESNEAASTSSVVSRKKSDALEVLQSFKEIKESLVSRSIAKQPESPEKPKHQEPPRRP >LPERR04G16290.1 pep chromosome:Lperr_V1.4:4:16079986:16085723:-1 gene:LPERR04G16290 transcript:LPERR04G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPSSTISPKTARSWRCLAAVAASCRFQKLASASRRMTAASEYVVVPSSGDTSRGQGRVGVRERGEMGAIGGDEVVQWDKMDGGEVVNGSGGGGVGKLERILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCDTGEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWDHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLENELRCPAPYSSVEALVKEKDNQIRKMEKEIKELKVQRDLAQSRLQDLLQVVGDNHVSKKSLVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQAQRAPQREHKSQQADNIAQFTTPPRYSVSSPPFSGMLPTNRRDNLSQISNEDSDDICKEVRCIEINETGRNECLESSAVGSNSLQDSNAGSSMHINNDSNPSVNSRVHDESAITLEQHLENVRKPFASIVKDVGSSTRNSSSSKVIGRSRSCRSLTGSSLFEELEKDDCTPPNRSFMDFPGRPQNCPRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANCSVAGDTEFTGIGEFVAELKEMAQVQYQRQLGGQGGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGSMERKAVASIPSTSLASSAKKLHREREMLCRQMQRRLSVEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >LPERR04G16290.2 pep chromosome:Lperr_V1.4:4:16079986:16085723:-1 gene:LPERR04G16290 transcript:LPERR04G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPSSTISPKTARSWRCLAAVAASCRFQKLASASRTSRGQGRVGVRERGEMGAIGGDEVVQWDKMDGGEVVNGSGGGGVGKLERILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCDTGEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWDHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLENELRCPAPYSSVEALVKEKDNQIRKMEKEIKELKVQRDLAQSRLQDLLQVVGDNHVSKKSLVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQAQRAPQREHKSQQADNIAQFTTPPRYSVSSPPFSGMLPTNRRDNLSQISNEDSDDICKEVRCIEINETGRNECLESSAVGSNSLQDSNAGSSMHINNDSNPSVNSRVHDESAITLEQHLENVRKPFASIVKDVGSSTRNSSSSKVIGRSRSCRSLTGSSLFEELEKDDCTPPNRSFMDFPGRPQNCPRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANCSVAGDTEFTGIGEFVAELKEMAQVQYQRQLGGQGGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGSMERKAVASIPSTSLASSAKKLHREREMLCRQMQRRLSVEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >LPERR04G16290.3 pep chromosome:Lperr_V1.4:4:16079986:16084860:-1 gene:LPERR04G16290 transcript:LPERR04G16290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMDGGEVVNGSGGGGVGKLERILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCDTGEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWDHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLENELRCPAPYSSVEALVKEKDNQIRKMEKEIKELKVQRDLAQSRLQDLLQVVGDNHVSKKSLVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQAQRAPQREHKSQQADNIAQFTTPPRYSVSSPPFSGMLPTNRRDNLSQISNEDSDDICKEVRCIEINETGRNECLESSAVGSNSLQDSNAGSSMHINNDSNPSVNSRVHDESAITLEQHLENVRKPFASIVKDVGSSTRNSSSSKVIGRSRSCRSLTGSSLFEELEKDDCTPPNRSFMDFPGRPQNCPRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANCSVAGDTEFTGIGEFVAELKEMAQVQYQRQLGGQGGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDLWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGSMERKAVASIPSTSLASSAKKLHREREMLCRQMQRRLSVEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >LPERR04G16300.1 pep chromosome:Lperr_V1.4:4:16092420:16093400:1 gene:LPERR04G16300 transcript:LPERR04G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPPDPEAASVPLVRLNHVSFQCTSVENSVDFYRRVLGFELIKRPESLNFKGAWLYKYGMGIHLLQRGDAAECSIIQTNPPPKINPMGNHISFQCSDMELMKKRLQAMDREFIVREVWDGDTIVDQLFFHDPDGNMIEVCNCENLPVIPLVVAATELPELAPVQANVHG >LPERR04G16310.1 pep chromosome:Lperr_V1.4:4:16093676:16095524:1 gene:LPERR04G16310 transcript:LPERR04G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPFLSPAKPSLRSRVTLARPTARRSVRFSPARAQQQEQVEEAAAVENLPPSQDEEKRGQKTRKGPAQPLPEQPLAESKNMSREYGGQWLSTTTRHIRIYAAYINPETNAFDQTQMDKLTLILDPTDEFAWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKLLYDGEIKYNMMSRVLNFSMGKPRIEFNSSQIPDAK >LPERR04G16320.1 pep chromosome:Lperr_V1.4:4:16094326:16098462:-1 gene:LPERR04G16320 transcript:LPERR04G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLPFAAGDYPADEVDPDYLYFLRHIRPDGESYTLELPSDGVSPHSVIRYEAPADDSGGGGGAAATDEGECVSDPSAGRASTNRPPTAEEKESSVEVDAGADPSSWVDSLVDVDEDYRIFLKHTRVVNGNLVLEIDGVVVNYPCAMCSESGSEVEDAREKGEKEVAMDEGDESVVIVPDPKVCDWVAEGEEEEGQLTSSARRTKGTKKMKASSSNGSGAGNSVPPGLQGVIWPTHINNRPDSDFKRRLLDVLNKPFSRKEYIKLFDMASIRTPLVKLRQVRNDAKFYPTEEMGNSYFDHYPDLVEQVLHTSYPNGLALMRGFFFWLQNSAHEDQFRPWVDVSKDHETFWHVSSVQANSSVGSRMRVSLSICVWSKALVSGLMYAA >LPERR04G16320.2 pep chromosome:Lperr_V1.4:4:16095305:16098462:-1 gene:LPERR04G16320 transcript:LPERR04G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLPFAAGDYPADEVDPDYLYFLRHIRPDGESYTLELPSDGVSPHSVIRYEAPADDSGGGGGAAATDEGECVSDPSAGRASTNRPPTAEEKESSVEVDAGADPSSWVDSLVDVDEDYRIFLKHTRVVNGNLVLEIDGVVVNYPCAMCSESGSEVEDAREKGEKEVAMDEGDESVVIVPDPKVCDWVAEGEEEEGQLTSSARRTKGTKKMKASSSNGSGAGNSVPPGLQGVIWPTHINNRPDSDFKRRLLDVLNKPFSRKEYIKLFDMASIRTPLVKLRQVRNDAKFYPTEEMGNSYFDHYPDLVEQVLHTSYPNGLALMRGFFFWLQNSAHEDQFRPWVDVSKDHEVIRLVD >LPERR04G16320.3 pep chromosome:Lperr_V1.4:4:16093936:16094325:-1 gene:LPERR04G16320 transcript:LPERR04G16320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCRVVVLNHCPPYSLLMFLLSASGCSGSGCAGPFLVFCPRFSSSCDGGRFSTAAASSTCSCCWARAGENLTLRRAVGLASVTRLRSDGLAGDRNGEAVVAMLFRLALACVSCCAHC >LPERR04G16330.1 pep chromosome:Lperr_V1.4:4:16103530:16104792:1 gene:LPERR04G16330 transcript:LPERR04G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGYSSTGSYICYFIALHPKSAIITFSGPLADITNISAAESKNKVIFVMDDSVEGSTPKSSTMTGALVDLTNLSAGELKKKRARDKYALLTVDQKKDIVHRNRENRQRRNENSNVATGNESMHPVTTPARLQFLDNDDGSCIVPSTPTNPLLTQALQHGDSTSSIPNSGNESVDPFITPRRLPFTDKSHEMLTSANPSLTHRDNTIDPTTEDIHGNLNT >LPERR04G16340.1 pep chromosome:Lperr_V1.4:4:16113733:16115429:-1 gene:LPERR04G16340 transcript:LPERR04G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWWFPPWQPLLVLLSTVLLLYHIASSWHRGERHLPLPPGPWGLPFVGNILQFGDMTHHGLARLASRYGGLLHLRLGRLHTVVVSTPEMARLVLQANDRAFANRPTTAAIVYLSYDLADMVFAPYGPLWRQLRKLCINKLFSRRRAASWAAVHDGVDGLIREVARNSGKVVNVGELVFGMSVSITLRAALGMRIDECDGGKDAAELVAIVKEFSELFGASNFADYVPWLGWLDVQGINRRMVAARAALDRLIDRAIDEHLANPKPADADDADMVDGMLCFLDDAGAANTKCRDGAALRLSRDNIKATIMDVLFGGTETSASTIEWAMAELMNSPEEKKRVQDELAQAVGLHRQLRESDLTGDNKLPYFRCVVEETLRMHPPVPLLQHEAAVDCDVAGYRVPRKTRVVINVWAIGRDASAWGDDADKFRPARFGAGTDNAETDYRGEHFHLLPFGSGRRSCPGMQLGMHEVELALARLLHGFDWSLPPAAPGDLDMRESYGLTSSRAVRLSAVPVPRLSHVL >LPERR04G16350.1 pep chromosome:Lperr_V1.4:4:16132319:16134200:1 gene:LPERR04G16350 transcript:LPERR04G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSPLSSALSPPPLLFASNAAAATTTAQGSDTFRTSAMPSYAHHHSSLDGKMEPLKSSCRSEDAADEGAAVAPAEWAMADRDGFDVEDLLDLEEFVEVDKDGADEQAPVAAAPEEEKPKDDSPQQSSVVSYELVPLPPPPPEIVDLPAHDVEELEWVSHIMDDSLSELPPPPQPPASLVAAAARPPLRRLPQDGAYRALPLPPPASYPLLRTPTICALSTEALVPVTAKRSKRSRSSAWSLSGASPFSDSTSSSSTTTTTSSCSSSAGSFSRASSAAPFSPLLKWQPLGSSDLSEDYQLLMTPPPPGKKSKHGKNGGGKNGKPKKRGRKPKQLPPNPSAAAGAAAAAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTYVSAIHSNSHRKVLEMRRKKEAGTGTGTGILAAAAAAVPAVASF >LPERR04G16350.2 pep chromosome:Lperr_V1.4:4:16132319:16134200:1 gene:LPERR04G16350 transcript:LPERR04G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKSSCRSEDAADEGAAVAPAEWAMADRDGFDVEDLLDLEEFVEVDKDGADEQAPVAAAPEEEKPKDDSPQQSSVVSYELVPLPPPPPEIVDLPAHDVEELEWVSHIMDDSLSELPPPPQPPASLVAAAARPPLRRLPQDGAYRALPLPPPASYPLLRTPTICALSTEALVPVTAKRSKRSRSSAWSLSGASPFSDSTSSSSTTTTTSSCSSSAGSFSRASSAAPFSPLLKWQPLGSSDLSEDYQLLMTPPPPGKKSKHGKNGGGKNGKPKKRGRKPKQLPPNPSAAAGAAAAAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTYVSAIHSNSHRKVLEMRRKKEAGTGTGTGILAAAAAAVPAVASF >LPERR04G16360.1 pep chromosome:Lperr_V1.4:4:16134731:16135841:1 gene:LPERR04G16360 transcript:LPERR04G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKCNSMICLVRRMRVEIARCVALSALGGGDSIAWTQLRQNGSFIISDLTRAVMAMMVVVVGH >LPERR04G16370.1 pep chromosome:Lperr_V1.4:4:16144564:16148217:-1 gene:LPERR04G16370 transcript:LPERR04G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQLPISGLVILLFVIGKGVFKQFGAAYGNSDNAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGNTCTSGNTLSKAATYYGKARSLMEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAPNGDVISKLEAAEYKLEELKSSMVGLGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQKVLEILDQLEQEMVSERQKIEAPPTPSAETYMAQAPPSYDEVNGMFAFSSVDDSVTSVDFFLGEALDSFKAESESELNLSVGDIVIVRKISSNGWAEGECKGKAGWFPHGYIERRERVLASKIPHIF >LPERR04G16380.1 pep chromosome:Lperr_V1.4:4:16155149:16162848:1 gene:LPERR04G16380 transcript:LPERR04G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAADAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLHPSPSSTSSSKPPICDICQDKRGFLFCKEDRAILCRECDVAVHTTSELTRRHSRFLLTGVRLSSAPMDSPALSGEEEQAEEDSCSPSSGAGANTAAASASDGSSISEYLTKTLPGWHVEDFLVDEATAAAASSSSSDGLYQGGLAQIGGVPDGYSAWAGREQLLSGVAVAADERASRERWVPQMHAEWGAGSKRPRASTPCLYW >LPERR04G16390.1 pep chromosome:Lperr_V1.4:4:16163304:16165579:1 gene:LPERR04G16390 transcript:LPERR04G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQLILFVFLCCLSSQFADAYDPVDPNGDIIIKWDFQSIENVYTVMVSIHNHQLYRHIEQPGWRLSWRWAGNEVIWGMTGAEATEQGDCHKIRGATRPHCCEKQPVIVDLPPGTPYNNQVANCCRGGVLSSLTQSNRTSTAAFQMVVGGFRHVTYHGGARGPALPSGFGVGVPGYSCSNATNVNATRSQVDKHRHVQALRKPSFPPFRFIASRAFSERLLTRPRECCSDMAGHLLVLAVQGGRVADVLRLPLVVLQRHDRAVPALQLSLSTVSHGAAMHQARPPAPLISCAVPLGYSFVCIFGWFSSEREKPVLPGGDGEADAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTISNYNLVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIQYGNVNDTGIFWGIQYYNEMLLQDGNVQTEMILKKDKGHFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNAGRDSRVSAAQRLLIASSFLLSMSIFLV >LPERR04G16400.1 pep chromosome:Lperr_V1.4:4:16168342:16172678:-1 gene:LPERR04G16400 transcript:LPERR04G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAPSFEEVGGKQQPAVGLGETVSRTVSELRVAYESGRTRSLEWRQSQLRGLLRLLAEEEAAAFRALREDLGKHHAEAYRDELGVLVKSANAALREVWVPLIAFPARAQLEPQPLGVVLVFSCWNVPLGLSLEPLIGAVAAGNAVALKPSELAPATAKFLGDNIGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIGRVVMAAAAKHLTPVALELGGKCPCIFDTLGGSARDLQTAVNRVVSGKWSSCAGQACLAIDYVLVEERFVPVLIKAIKSTLKRFFTDSDHMARIVNARHFQRLSGLLKDTSVAASVLHGGKLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIDFVKARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKHSFEMFSHKKAVLTRGYLIELSARYPPWDGSKISMMRQLYRYNYVGFVLTFLGLKK >LPERR04G16410.1 pep chromosome:Lperr_V1.4:4:16180611:16181699:-1 gene:LPERR04G16410 transcript:LPERR04G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGGSDVRKQSGEAGAAASSRRVAADPAGAVEEGSCKNGGAAARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHGGGGSGEVLTWTRRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDASMDAKLCDFGFAHVGFSSTVGCRPSARAVMGSPGYVDPHLIRSGVATKKTDVYSFGVLLLELLTGKEAVCGETGRRLTAVVGPTLEEGDVTEVVDRRLRGEHDATEAAVMAELAMQCVSDSPGLRPSIADVVRALQEKTSALASAVGSRLDRKMMF >LPERR04G16420.1 pep chromosome:Lperr_V1.4:4:16201559:16204819:-1 gene:LPERR04G16420 transcript:LPERR04G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHGGGGSQYGAVPTDMGPFSPTSHASAAPLPLSSRPPPPPAVASLPQQQQPRTSYDELAGLGGFPDVDDMLGDAGGSGGGGGSGSAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRNAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSELEALHAAAPPTPTPQPHQIQQQQQLPPVSSSGPAMHSYAPPPPMGAMPPPTGPIQPAPISSAAPAVAPLELPPQPPINLQGLSFSSMSGSESDEESEDDEMTAETGGSLGKRKRGGSAGGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVQAPPPVAATVIQMPTPVQLQTPPPAKQPARQQHQPQPTPTPTPPPPPPQAPIPAAPLQQQPPQQKETTTTTTHHEAVSVTPRSAPPTSGSSLEVVPAAAETNTESGSASSSRWPKTEVNALIQLRMELDMRYQETGPKGPLWEDISAGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLDAIYRRKHLTGGVGVGASVANVAGATPATAAAIEQQNPNRHEIEGKHINDNDKRNNGGGGGAAQVPTSNGDTAPTAATFDVDSGMKKLIHPIFTGVFRAQFTFVRKLTGYAVAVKPEDIVRELSEHPPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTAVPTSTPTSTFLAMVQ >LPERR04G16430.1 pep chromosome:Lperr_V1.4:4:16224341:16232443:1 gene:LPERR04G16430 transcript:LPERR04G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKSKSKSSRQKHLVFDKDSKKAKNNEQNNDVADEKSNFLGYEIYSGKLFFDKKNRSTGDQISANGKANTTDIRLTSKALVWGSSVLSLEDVVSVSYSSGAQHFIVHAYPSKKYFFGKTQRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNILPRPPTSNTEQDTDGPFSLFDYPPIKCRTPQRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDVSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILAVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMVDHGKGTGQDNGVSDIYHNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHGSFWQRTRSKQRTERNSVGVTTNDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDTDLTWEPDHQIELPGPPENEIGPTEELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGRGRWKLLKFFVLLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCTLLREQCMLIGRQSRQST >LPERR04G16430.2 pep chromosome:Lperr_V1.4:4:16224341:16232443:1 gene:LPERR04G16430 transcript:LPERR04G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKSKSKSSRQKHLVFDKDSKKAKNNEQNNDVADEKSNFLGYEIYSGKLFFDKKNRSTGDQISANGKANTTDIRLTSKALVWGSSVLSLEDVVSVSYSSGAQHFIVHAYPSKKYFFGKTQRVRKDLRFIAPTVEEAISWLAGFHMEVVKTTHAGHAKSLASTFDVSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILAVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMVDHGKGTGQDNGVSDIYHNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHGSFWQRTRSKQRTERNSVGVTTNDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDTDLTWEPDHQIELPGPPENEIGPTEELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGRGRWKLLKFFVLLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCTLLREQCMLIGRQSRQST >LPERR04G16440.1 pep chromosome:Lperr_V1.4:4:16235717:16238013:1 gene:LPERR04G16440 transcript:LPERR04G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAASTSWNQSIAMDRGDHLQLLMPPPAPVVHHQQLCMPMMTADEQSYMMGVGGVAPAARGGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYASLRSKYDALHSRVESLKQEKLSLTSQLHELRERLREREERSSVGNGAATTAASSSSCNGSGSEEEVDDDDKRNVAAGCLDLEPPESCVLGGATCATPDVSVESDQCDDQLDYDEGLIPESFCATPELWEPWPLIEWNAVA >LPERR04G16450.1 pep chromosome:Lperr_V1.4:4:16244563:16246817:1 gene:LPERR04G16450 transcript:LPERR04G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGKHRGAPAADEEAAATAASLNNLCATAGDAPAPAPFPRVTVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAVASASGRALRRFVDGMPASSLRAAADGQLVKITGLVACGDISLISSYEKVESCVYTSTLLKNCGRWGSEVANPKNRCSKWKLAHAERFAADFYITDAKSGMRLSVIGILSKKDGDIMILPPPEPISTGCVLLSFLLPTYFDGIVLRLVDRNYFMPKAGVS >LPERR04G16450.2 pep chromosome:Lperr_V1.4:4:16244563:16247074:1 gene:LPERR04G16450 transcript:LPERR04G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGKHRGAPAADEEAAATAASLNNLCATAGDAPAPAPFPRVTVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAVASASGRALRRFVDGMPASSLRAAADGQLVKITGLVACGDISLISSYEKVESCVYTSTLLKNCGRWGSEVANPKNRCSKWKLAHAERFAADFYITDAKSGKRALVKAGYHSRVVPLIDENLLVTTSGNTELSSTLRCWLDERNLPSEESQLIRLEEGYISEGMRLSVIGILSKKDGDIMILPPPEPISTGCVLLSFLLPTYFDGIVLRLVDRNYFMPKAGVS >LPERR04G16460.1 pep chromosome:Lperr_V1.4:4:16251404:16252057:1 gene:LPERR04G16460 transcript:LPERR04G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDRRSGAAKSPSLRFLGLLKQPDDGSGGDHGLELDERDVVWSSSSSSNTSPSSWASSTNSSPSLTPSAGGGIRRPLPSSSRAFPAAGGGSVGLSALLADDYGHAPTASIPAAARPERQPPQQQPYHQSAPVAVPAWPKGKGSDRRRAGAQHEAGNYNGDEEEEDDELVVPPHEMAALRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >LPERR04G16470.1 pep chromosome:Lperr_V1.4:4:16257723:16260906:-1 gene:LPERR04G16470 transcript:LPERR04G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGLVPTLNVSAALIAFVVLRCWTQALGRLGIASRPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNWYSADIPESSMRSLQGYKAFLCVALILGDGLYNFVKIVALTIKSLFDSSNLKNAKKGEDILVLDELHRNEVFARDNIPSWLAYTGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16470.2 pep chromosome:Lperr_V1.4:4:16257723:16260906:-1 gene:LPERR04G16470 transcript:LPERR04G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGLVPTLNVSAALIAFVVLRCWTQALGRLGIASRPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNCRHTRKQHEKPARIQGEDILVLDELHRNEVFARDNIPSWLAYTGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16470.3 pep chromosome:Lperr_V1.4:4:16257723:16260906:-1 gene:LPERR04G16470 transcript:LPERR04G16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGLVPTLNVSAALIAFVVLRCWTQALGRLGIASRPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNWYSADIPESSMRSLQGYKAFLCVALILGDGLYNFVKIVALTIKSLFDSSNLKNAKKGEDILVLDELHRNEVFARDNIPSWLAYTGYLALSIIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16470.4 pep chromosome:Lperr_V1.4:4:16257723:16260906:-1 gene:LPERR04G16470 transcript:LPERR04G16470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGLVPTLNVSAALIAFVVLRCWTQALGRLGIASRPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNCRHTRKQHEKPARIQGEDILVLDELHRNEVFARDNIPSWLAYTGYLALSIIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16470.5 pep chromosome:Lperr_V1.4:4:16257723:16260568:-1 gene:LPERR04G16470 transcript:LPERR04G16470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNWYSADIPESSMRSLQGYKAFLCVALILGDGLYNFVKIVALTIKSLFDSSNLKNAKKGEDILVLDELHRNEVFARDNIPSWLAYTGYLALSIIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16470.6 pep chromosome:Lperr_V1.4:4:16257723:16260568:-1 gene:LPERR04G16470 transcript:LPERR04G16470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAFLSWGVMWPLISDLKGNCRHTRKQHEKPARIQGEDILVLDELHRNEVFARDNIPSWLAYTGYLALSIIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCIISPLTFLLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPAKYSRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMIDKNKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >LPERR04G16480.1 pep chromosome:Lperr_V1.4:4:16274228:16277622:-1 gene:LPERR04G16480 transcript:LPERR04G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTEPRPSCPRAAVSELELLVPRVSAAGDMDRHALGGGAHDEIEKTPDAGADMESEPALAASREAERVPPWREQVTLRGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALARLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNRRTYELAGGASTPGNVPGSTKEPGIGWMMGFLLSISFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFLWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGKQKGNWYSAKASESSMTSLFGYKSFLCIALLVGDGFYNFVKVIVVTLKSVRERSKRRGVNNRVADADTMAIDDMQRNEVFSRDSIPTWMAYTGYTLLSAIAVVIIPVMFRQMKWYYVIIAYLLAPALGFCNAYGTGLTDQNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYEAFGGVGDPAGYWKAPYALIYRNMAILGVEGFSALPRHCLQLCAGFFAFAVAVNVARDFLPRRYGRFMPLPMAMAVPFLVGASFAIDMCVGSLVVFLWHKLDGKKAALLVPAVASGLICGDGIWIFPSSLLALAKIKPPICMKFTPGN >LPERR04G16490.1 pep chromosome:Lperr_V1.4:4:16280571:16285790:1 gene:LPERR04G16490 transcript:LPERR04G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKGLINVAIDAVEGAGQGRRDEEEDAPRRHRPAREAEQEEEDRGEERSRSTWAEVVSDHKGGEQEERPDHRNSRREGRQERREDADWERVDGRKQHQHNNQYEEEDRRDNCSRRPQQQQAPAYRRQQQDGDERNDGGWQTVGEKKHHGKPQQSEAWNAYRRPPSEQQYSEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASENLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEAAFIEEIARTAPIKYLHRYLVLKGAASQDYQDFKRMLTSLWFDLYGRGGSSGSSSAFEHVFVGEIKGRGQGENEFYLEEANGNVNYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEENRVEIGPYAVNVKCYRMGNNKIGSAFPIAEN >LPERR04G16500.1 pep chromosome:Lperr_V1.4:4:16284416:16286081:-1 gene:LPERR04G16500 transcript:LPERR04G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLFQDKSKSKSKRRPGMAAAPAFSSEACSNMSAPAAASSRSSSSSTNATNPSSASSSSSARSITDMHEARRGVAREIALRELRVATGDFSPLLMVGQGGFGCVYRGVLRLPGGRPHGTPVAVKRLNPNSRQGHKEWLAEVQLLGVVEHPSLVKLVGYCASQTEQGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDENFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTTKSDVWSFGVVLYEILAGRRSIEKSRPKGEQKLLEWVRHYPADSPEFGRIMDARLRGRYSVRGAREVAGLAAGCLARHARDRPSMAEVVDTLRRAARHEEMDGEVYDDDAGGEEESSATLREAAAVEDDVAVAAAAARRRMLHLAALGENANANAHARRRLMLMRAAAAATAAT >LPERR04G16510.1 pep chromosome:Lperr_V1.4:4:16286470:16287055:1 gene:LPERR04G16510 transcript:LPERR04G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQSILTSPADLFILLCCLPPSRTYTAHQQDAGKGEAQPPFWLSPDRHLLPPKSRPPTRRTELTEELAGDGGRRRTRQPAAVAGGRAGDEGHGGLRRAKP >LPERR04G16520.1 pep chromosome:Lperr_V1.4:4:16293000:16300260:1 gene:LPERR04G16520 transcript:LPERR04G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRRGAAAAAGRKGPGTRGRLVKAQAAVEEPAPVEEVKEAAAEEAKVVEETPKVEEQKRQPSPPPPQPAAEEKVLSDATENGASHGEDEGTTKETYEEDKGERLEFEDEPEEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEDGDNGEGEGYENAEEEQNVDVDDEDHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPATKKNKGFAFLRYATVEQARRAVSELKNPLIRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKIYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRTAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKIELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDNKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRYPYDHPPPLRRPPPRMLRPDVSRLPPIRSRPLKRPVDTRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRHDELPPPRSRATFGDYSSRIPVDRRPSYRDEYSPRGSAYSDLGPRSAPRLSDRRAYADDGYGGKIDRPLPTYREGRGRDYDTISGSKRSYAEMDDVPPRYHDISVRQPKARLDYDVGGSSARYADTYSERPGRSHAGYSGGRSVSGHDSMYSSGRHGMSYGGSASSSDTGGMYSNFGGSYMSRGSDVGGSSYSSMYSSRNVGSSSGYYGGSGSSSYY >LPERR04G16520.2 pep chromosome:Lperr_V1.4:4:16293000:16300726:1 gene:LPERR04G16520 transcript:LPERR04G16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRRGAAAAAGRKGPGTRGRLVKAQAAVEEPAPVEEVKEAAAEEAKVVEETPKVEEQKRQPSPPPPQPAAEEKVLSDATENGASHGEDEGTTKETYEEDKGERLEFEDEPEEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEDGDNGEGEGYENAEEEQNVDVDDEDHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPATKKNKGFAFLRYATVEQARRAVSELKNPLIRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKIYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRTAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKIELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDNKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRYPYDHPPPLRRPPPRMLRPDVSRLPPIRSRPLKRPVDTRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRHDELPPPRSRATFGDYSSRIPVDRRPSYRDEYSPRGSAYSDLGPRSAPRLSDRRAYADDGYGGKIDRPLPTYREGRGRDYDTISGSKRSYAEMDDVPPRYHDISVRQPKARLDYDVGGSSARYADTYSERPGRSHAGYSGGRSVSGHDSMYSSGRHGMSYGGSASSSDTGGMLAEVRIRQCTQVVMWVAAVATMVVVVPVHITELITGKWASRHVDDDAGAAATS >LPERR04G16530.1 pep chromosome:Lperr_V1.4:4:16305261:16309620:-1 gene:LPERR04G16530 transcript:LPERR04G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYFVAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVAFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGLAKGYNALDFPAVALILVLTLCLCYSTKESSTVNMVITVFHLLFFVFIILAGLWNGSPRNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCTMLPYTQIAESAAFSAAFREKVGWEWAGSVVGAGASLGIVASLLVAMMGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTASIALFTELQIVFEMISIGTLLVFYLVANALIYHRYAELGSNRSLHVLLFLLLLTLSSLGFSLSRRIQGQCQWGMALFGTISIAITAIFHCRIRREVAEPSSEWTVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSLVIIIFYVCYGVHSTYTAEENEAVNAMIHHANMDMVDLQMADTPSVY >LPERR04G16530.2 pep chromosome:Lperr_V1.4:4:16306061:16309620:-1 gene:LPERR04G16530 transcript:LPERR04G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYFVAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVAFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGLAKGYNALDFPAVALILVLTLCLCYSTKESSTVNMVITVFHLLFFVFIILAGLWNGSPRNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCTMLPYTQIAESAAFSAAFREKVGWEWAGSVVGAGASLGIVASLLVAMMGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTASIALFTELQIVFEMISIGTLLVFYLVANALIYHRYAELGSNRSLHVLLFLLLLTLSSLGFSLSRRIQGQCQWGMALFGTISIAITAIFHCRIRREVAEPSSEWTVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSLVIIIFYVCYGVHSTYTAEENEAVNAMIHHANMDMS >LPERR04G16540.1 pep chromosome:Lperr_V1.4:4:16312434:16317208:1 gene:LPERR04G16540 transcript:LPERR04G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPLSLLLLLFALVSLQDVKRKQPWRSRPSSGPTVFMVVMEDDPVISHKSSQKEVMRGEEAQKYKQTTRTKHDIFLDSLLPVGSYKKLYSYTHLINGFALQAKSKETVKILSGAKGVRFIQEDVKMSKMTTYTPRFIGANGVWPLLGGAEKSGAGVVIGMVDTGIDPSNPSFLSTSDQTKPPPSFKGTCQSGDRFPPDSCNGKIVGARWFGRAGQASGEFNATINYASPYDPDGHGSHTASIAAGNFHTPAISRGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDIIAAVDQAVEDGVNIISLSLAPNSITSGPASFLNLLETQLLLATKAGISVVQAAGNGGPDANSIVSFSPWITSVAASTTDRKYNKTIITGNGKVFSCGGLSTSTPGETMYPLALADDVSNGNLTDGSSPSSCQDPNVFIRSLVQGKVIICMFASSSYYGSDYFVGIADTIQKIGAAGVIITDRSSKDVDIEYQPTFPTTIPSAIVINGADADALLDYYDNNLVRDADGTVTTFGATVRILEGRRASYTKEAPVVADFSSRGPDVDNAQMQASDVLKPNVMAPGHQIWGAWSPTSDSMAEFQGESYALLSGTSMATPHVAGVMALIRQRHPKWSPAMVMSAIMTTADITDRSGRPLTARRDEGGAVERATPFDMGAGSVNAARAVDPGLVFDAGYKDYLQFLCAVPGVDDGAVRRAVGAACPSPSRPRRWGSDLNTPSVTVASLVGSRRVDRRVTSVGAENETYMAYVQAPDGVAVRVSPETFAVAPGATATLRIVLNTTAPGNAFSFGEVVLKGDKKHTVRIPLAVYPAAVLGA >LPERR04G16550.1 pep chromosome:Lperr_V1.4:4:16321560:16326117:1 gene:LPERR04G16550 transcript:LPERR04G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSSSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAAQLIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSAFHHIKAMCKSQDCNLRMGAFTLGVNRVARATLLRGWEA >LPERR04G16560.1 pep chromosome:Lperr_V1.4:4:16326346:16328851:1 gene:LPERR04G16560 transcript:LPERR04G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYGYLCDGQDAPSDHCEAEPFKYSADLRDSATVDVDGMDGQRAHPPGQGRTAPPPPPPGWTGDSGSGQGSGPDGSWRYGWAWASGPGGGWAYGHSSTQSPGGTAFGFGYGGGGGGGGAGGGGGGGSGRGGGFGWAGGQGQGGHAGNHHGAAGGGASGGGNGSGRSARGGFHGGGESHRPQLGGGGAGGN >LPERR04G16570.1 pep chromosome:Lperr_V1.4:4:16330453:16332996:-1 gene:LPERR04G16570 transcript:LPERR04G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPRAAGHRRYKALATWRFQPSYVRKQPKPPAAAAAAAAVDAAAAPSACSGGGVAGTPGAKCRGGLGASGKGGESRGDGGDFQSRRCTRSSSARDSDARSVEKEKGGRRAAGSDGDLGKSGRNASGLEGLTNGRGGGLGTGAEGEDCGLGNSSPNSIVRDSDAQHLASGSGARGGECISEGLSKHCVNSNSGSSAADCMLKETKGNDSSCAPDGAADGNNAAVRGSNSAGVGSNGDETIRKGRKAVVPWRFQVGYKRSFSKAFCSDTESSEAFDAQFHGIQDTSTHCSPATRSSVRCYASVHSGVRVSAMRDFSVKGEKETSSVYKKSKPDKDGPSQGMLINGVALARENIMSSLQDFRSIYKDLLLEEDDKSLGRIRPDLQAYRIFREQFIKECDEKKYIGNVPGIQVGDIFHLRVELCVVGLHRPHRLGVDHIKQEDGTSLAVSVVSYTEPSDVKNNLDVLVYSGSMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFITTLNGKKLRTYIYGGLYTVVKYWREKESEDNYVYKFRLRRMEGQKHIDIQEILKSGQTESYGAVIIKDLSRGLERIPVSVVNSISDEYPMPYRYISRLQYPRYYKPAPPAGCGCVGGCSDSIRCACAVKNGGELPFNDKGCILEAKPLVYECGPSCKCPPTCHNRVGQHGLKFRLQVFKTKSMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQNRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNVYAQNVLYDHDDKSVPHIMFFATEDIPPHQELAYHYNYSIDQVHDANGNIKKKKCLCGSIECDGWLY >LPERR04G16580.1 pep chromosome:Lperr_V1.4:4:16334417:16334623:-1 gene:LPERR04G16580 transcript:LPERR04G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPHTPVVPYRAEPLPSFYSPSRSASALVSVIGGCGNREHGAAAAVAAMEATGAADRTGSGEASSRR >LPERR04G16590.1 pep chromosome:Lperr_V1.4:4:16341549:16343634:-1 gene:LPERR04G16590 transcript:LPERR04G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDMPRVVGVLSALLERATERNDAAAETQLAPSPFRATTKPDITVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRCAHSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFARVGGISLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELERPPPSSPAPARLHCCLAEDDTGGTSTSSCPALSIS >LPERR04G16600.1 pep chromosome:Lperr_V1.4:4:16345721:16348105:-1 gene:LPERR04G16600 transcript:LPERR04G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHILTSSSLAPARPTPLRQSCAGAGAAFTVRCASSSSSSTPPPHSSSSSAAAAAAGKQVAMVHGYGTVDYERRAAMRWSSLYRRIAVGHGGRPVGRTLVAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDFGETEKVSLLIDEMMERNVAFDVCTYNIWIKSCAAMQDTDGMEEVFNQMIRDETVVANWTTYTTLASMYIKLGNFEKAEESLKEAEKRTTGRDKKCFHFLMTLYSHLGKKEEVFRIWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYDEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTVNRFVEKGGNPKPNTWEILGTAYLKDGQLSEALSCLEKAAAVTSASKWRPRPTNVESLLANFKEKNDTESADRLMNVLKSRGCEENEEYKSLFNTYAFQAT >LPERR04G16610.1 pep chromosome:Lperr_V1.4:4:16350987:16354419:-1 gene:LPERR04G16610 transcript:LPERR04G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLVNYTPMHARDDIDDEEPRVNKLKPPTLKLKEQKQLKKKPNHITMENGPFSDQNFRKMGDADLSYRSGSGSALSYSESCAPYGTADASEMTASAQSHAWESLVPSKKRSCVTRPKPSSVETLAKDLNSIMHEEQLLYLSGSSEEDLIYHSETPVDSFEIGYGSMLLRPNSKSVEEESEASSVPADNKSYVTSESYSGSASFVYSESKATSNQNAITEQPKTLLLQTSDNATRANLHAKNQDTLENAYSPLVSLDIEGKYSEETGEKASALKRLTKSSLNPLKRPHGTHFQSSLGLRGTMRSPKRASKFGGAMGLNCQVPIMPKPGNAKDSACSGRGLNLFMLPPDKLSMLVPPQYVNNDSDQDLLLDVPLNARLAEAELLCQPFQLSSVAHSSTSGGGSAEGEGCLKQP >LPERR04G16620.1 pep chromosome:Lperr_V1.4:4:16366824:16374191:-1 gene:LPERR04G16620 transcript:LPERR04G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPSPAARLLREYGWDLMLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIKKYDHLEFPGVVPRSDNVGLYYPDDFETSPSSVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLVGAFLDRRIVPYILPVFSFVVLYSKLPHKELRFIIASIPMLNVSASLAASRVYNNRKKTGWKLLYVLMIGCFFASLGYSAVTFMASYNNYPGGYALKALHEADSLMKEKNVHIDAFTAMSGVSRFCENKYPWRYSKEEEISIEEYQERNFTYLLNEHSHISGYKCLFAVKEPKVFAHGNMRDRDILSLNWPGCP >LPERR04G16630.1 pep chromosome:Lperr_V1.4:4:16375603:16380324:-1 gene:LPERR04G16630 transcript:LPERR04G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPALYALPSPSFLAPLPSQNKLPPQLLPPLVHEHEHAGTNHGVMFSSDHGCLYPLLPGIPFCHSGGGDGAVAGEKPAGFAPLGAGETGTSAAAKADNEIANAATATTCHGPNSWWKASAAAEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDANSAAGDHTGSCAFTSF >LPERR04G16640.1 pep chromosome:Lperr_V1.4:4:16389587:16392247:-1 gene:LPERR04G16640 transcript:LPERR04G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARIAARRRHTHAAGGGRVENKAPKTAERQRHRGMDRSAGSFVAVRRLSGGASCHHPSPAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFELSPAQEGCLQRLQNRIEVPYDSSNGEHQEALKALWRASFPGTELLGLVSDQWKDMGWQGKDPSTDFRGGGFISLENLLYFATNYTKSFQELLYKKIGDRALWEYPFAVAGVNITFMLIQMLDLQAENDRAFDVLYCITFKLMDHKWLEMHANYMDFNAVIKSTRRQLERELVLEDIQRIEDMPSYRFLDR >LPERR04G16650.1 pep chromosome:Lperr_V1.4:4:16394045:16398412:-1 gene:LPERR04G16650 transcript:LPERR04G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPCLGACSGGIAVPLRRHNHRLASASRATVSCAGAGGGKASPRGKENVWSVDNDRAAAEAARGSKHRRRRHPGGRCLPPPLSPGRRKGKDAGAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGIWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERHTDSEYGSVIRRKTNWVQLNPHGEAEKQSGGYDSDKRTADLPAHESFDLKRSDVLDEDAIAQEPGIVYFEDGSYSRGPIDLEIGEFDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEQWLSPAHVHVEDDTPVDIKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRNLPESSIFFGEEEMLDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSEEGINMVMERDYGTDGKLREVRWKTEVKRRWYQT >LPERR04G16660.1 pep chromosome:Lperr_V1.4:4:16400887:16402390:-1 gene:LPERR04G16660 transcript:LPERR04G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDEASFDVGPAFDVISGAVKFAFSAAIPAAANATNAATPAGVRVAADASSVANAGAIDGPGDIDIGLSELSGDSSGAISGDSSGAKMGDGVGASTLGAGAGETGAGAGAKVGVATGVATGAASSGAGADVGGAVAGGSVAGGVASGGDATGAGVAGGCAATVGGVAAGIGEETGGEEVTVGGDATASCGAAAVVVGGAAAETRGAEATLVGAAAENCGAAAAFVVGAAAALVVGATAETCGAAAALVVGAAAETCGAAPADVVGAVADTCGDDAAAEVVGGDADVCGVAALNGGAFDADADGDDAGDCAAHDTSIRQSARGRSAIAGLA >LPERR04G16670.1 pep chromosome:Lperr_V1.4:4:16403126:16403803:-1 gene:LPERR04G16670 transcript:LPERR04G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCLALALCVLLVNITARRTEAAMYNVGDSAGWDISADFPSWLDGKSFYVGDTLVFQYSKYHTVSEVDEAGYKNCSTANAVLTSSDGNTTVPLTAPGDRYFVCGNELHCLGGMRMHVLVADPPAPAGSPGGGATPASPLTPSGTGGGDAGVPTLEFGGSHRAAVGPAVVTWLCIAAALFVW >LPERR04G16680.1 pep chromosome:Lperr_V1.4:4:16405625:16406332:-1 gene:LPERR04G16680 transcript:LPERR04G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHTAKSRQMAALLPVALVAALLAAVAAPASAKDYTVGDSSGWTTGVDYTAWAKGKAFNIGDTLLFQYSGGHSVAEVGAADHVSCSASNPLRSYNDGTTTVTLTRPGTRYFICGATGHCAAGMKLAVTVSSSLSGGGGGGKPSRPGGEAPSDADEPAGTTTTRTSSTTSGAAGDSWARPATWLLFLAAAWLALLADDGR >LPERR04G16690.1 pep chromosome:Lperr_V1.4:4:16407226:16408435:-1 gene:LPERR04G16690 transcript:LPERR04G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHVESMQTAVPTRVTGLGRTLPVAVSGTGPAPLTAAALQRRFRAVLYYRGRSGAVVEQKQQQQRARQLVDEERAAWVKESLSATLTNHPVMAGRLRRRADGGSSWEVKLNDNGVRVVQASVDMPMSAFLEAKDLESKEAALALWTDLDAREPEFSAPFFVQARFFSHARRAFRLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWACTHAEMQARSEPIDAPPAVIRYTRYLQSPGATKRLKSVPLDSCAAVGAKTVLFRADADAAQVERLHALAAACVDQAVEKMGRNAKKPPRLAVLAADGSGRMGVHVCDGDGEAAAPVSRGHALRPAYWGDLGLGEIALDGSEPVHVSCGVVSPCADEGLVVVMAPAGGGELLISAIVPN >LPERR04G16710.1 pep chromosome:Lperr_V1.4:4:16409732:16412237:-1 gene:LPERR04G16710 transcript:LPERR04G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPPRYRFAGAGFMEVERGFQPWVMPKSKARGGAGVAVKNVKRFLRKMDEEMDYEYYDWALRSYRFKSPFDRRPLIGPREHFRKNVEKRTLRLVGSSDPDYLVQCEDAAFGDWEDSYNSEDEDEYVEEYHQITSTAYTRTAFT >LPERR04G16710.2 pep chromosome:Lperr_V1.4:4:16410177:16412237:-1 gene:LPERR04G16710 transcript:LPERR04G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPPRYRFAGAGFMEVERGFQPWVMPKSKARGGAGVAVKNVKRFLRKMDEEMDYEYYDWALRSYRFKSPFDRRPLIGPREHFRKNVEKRTLRLVGSSDPDYLVQCEDAAFGDWEDSYNSEDEDEYVEEYHQHTKRIEQGSK >LPERR04G16720.1 pep chromosome:Lperr_V1.4:4:16412994:16428333:1 gene:LPERR04G16720 transcript:LPERR04G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLVICNHVQAHEEAMDHVQAHEEAMVDLFKILIYFYPNTIRCSGGCMEAATGNLGAELGSVEAWNPMTPADCMHILIDRCPGWSLSYYFREGVASLGANNLPGSRLDFWNSMVALRAEICFSGCKKTVGRIVDKIAEPREPLMVSSRKVQNLEAPIPIKASWKGKSSQQQDEKDFPADGEESFLSLDSSDEGGRNSFSGASHPLEPIDMDLMKTVYVAIDEEKPEPPVCLVRGLSVKGPFIDDLSIRVSGMKANAVVGAGGADGLAEERKMSGAAVVSLATVRSSQATEAVSLPPDSEEDCVWDASLPPSGNVSPHSSIDSMGVVTAVSTMNSCASTYKSEAISSGPVVTMESNCGSVKGSIRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGVSKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASTFDSDPRRAGGSFCVQPACMEPTSACIQPSCFMPKLFGQKSKKKTKKTRSELGQSATTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGTTDMKSGGKYLDFEFF >LPERR04G16720.2 pep chromosome:Lperr_V1.4:4:16420249:16428333:1 gene:LPERR04G16720 transcript:LPERR04G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDKIAEPREPLMVSSRKVQNLEAPIPIKASWKGKSSQQQDEKDFPADGEESFLSLDSSDEGGRNSFSGASHPLEPIDMDLMKTVYVAIDEEKPEPPVCLVRGLSVKGPFIDDLSIRVSGMKANAVVGAGGADGLAEERKMSGAAVVSLATVRSSQATEAVSLPPDSEEDCVWDASLPPSGNVSPHSSIDSMGVVTAVSTMNSCASTYKSEAISSGPVVTMESNCGSVKGSIRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGVSKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASTFDSDPRRAGGSFCVQPACMEPTSACIQPSCFMPKLFGQKSKKKTKKTRSELGQSATTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGTTDMKSGGKYLDFEFF >LPERR04G16730.1 pep chromosome:Lperr_V1.4:4:16429357:16429797:-1 gene:LPERR04G16730 transcript:LPERR04G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHRGAVGGGYGGHQQGQEQKQPFLMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALSLMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >LPERR04G16740.1 pep chromosome:Lperr_V1.4:4:16440781:16441711:-1 gene:LPERR04G16740 transcript:LPERR04G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNHNPESAAVLETIRHHLLEVEEDEEEAVAASATTSFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSTCGWLPVPVPDCHIVFADVKPEPSSLLSPDSSYDGSCCFGGGLADSSEPVTPSEDASVEVKEEEVTAAAVGSRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDTAEDAALAYDRAAYRMPGDKRMSPEPASSESSSSSFSTTSSPSSSSTSSSGSTKRRKRGEAAAVSMAMPLVPPSNWPASPQPLFPAAAAEPVAMTQRVEQLVI >LPERR04G16750.1 pep chromosome:Lperr_V1.4:4:16466206:16473582:1 gene:LPERR04G16750 transcript:LPERR04G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSIEFIREHLLGGDAPVPVLPAAYPPTMFLPQQPRGYVDSAPAAEAAFRETEEMFMFGDNMLSPVNTSSLTITPPPPSSFGWAAAPAMTPAVVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPAEAARAYDRAAFRMRGAKAILNFPNDVGTHGADLLAPPPPTTSSSKATTETTKYKRKRQAAPEGHSVVEAVGVVNSKAAKTEAVTSYTSSLSSSSASSMSRDTTAAAAATSSSTGTSIGSWEAAGAGTESFPVTPSSWSCDQYWDALVAYMDFHGDADDFALELIREHLLGVDATTLPSPANLEASVVDVTIPEPAATYAPPAFFPQHEHHHHHHHQDCHVQATRESSRAPEVMIKFGGEPSPVSRQPSSLTITLPPRNSFGWAAPGAAGGAGAGAHAVAEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTAFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNDIGTQGADLLAPPPAPPPTSNKRKRQSSSSDEDDGDVVEVNSKAVKTEAPTSYTSSSLSSSSVSQDTTPATSSTGNSTVSTSEHAGAVDVSFPMTPSSWSWEQYWDGLLGGLPPLSPLSPHPALGFPQLTVN >LPERR04G16760.1 pep chromosome:Lperr_V1.4:4:16482698:16486668:1 gene:LPERR04G16760 transcript:LPERR04G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVDTAAAAAALCPAGGRRRRRAGSFLNCSCSSAKGMCKVSASYSIGRMLSGVRSAARKKLFRSEAPSPDLLGISWSPPDSPSDRHHHHHWWTALENNFVLEAAEDEYGGVVVDADRLPSDTAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPEEPCLLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFSGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSNNIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIKRLRKCYCGLTAHNVISKFDGRRSTLYYNVSEPEDVNCSAA >LPERR04G16760.2 pep chromosome:Lperr_V1.4:4:16486235:16486789:1 gene:LPERR04G16760 transcript:LPERR04G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNGVLGGVNINNSNGRLVSGVARDRCTATDEVNDDGFAGSRSGIFLRQTMALTRFFWELFEP >LPERR04G16770.1 pep chromosome:Lperr_V1.4:4:16487936:16494348:1 gene:LPERR04G16770 transcript:LPERR04G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPLSSSQVQNPDSQHCVASGFRFEVVPNAHQLDRVSKAGHGPAHRRQTPSAYMKIESALEGQCEIAELDIVAAGEHVVAAAVEDDNRKVSPYGLALEREDHVGSEAVRQLGGKMRLLHPPWFDAFGCDRRRERLGGAWRRPEAEEIRVPSLLVKQRAPRHDSQGVVPPIAIAVSAAHEERLRREGGWTEGGQMGNHGERRLGGVVYEIKVGSAAIVRQRRCEDDDLIIDGHDGSSQKLPSRLAGGQADV >LPERR04G16770.2 pep chromosome:Lperr_V1.4:4:16491713:16500496:1 gene:LPERR04G16770 transcript:LPERR04G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEFRSFIENLAGKYNSNACHLISKNCNHFTYDVCYITGQSVPGWVSFSTTSCQKAFKFLRLDTLQIILHFLIIKAQI >LPERR04G16770.3 pep chromosome:Lperr_V1.4:4:16487936:16494348:1 gene:LPERR04G16770 transcript:LPERR04G16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPLSSSQVQNPDSQHCVASGFRFEVVPNAHQLDRVSKAGHGPAHRRQTPSAYMKIESALEGQCEIAELDIVAAGEHVVAAAVEDDNRKVSPYGLALEREDHVGSEAVRQLGGKMRLLHPPWFDAFGCDRRRERLGGAWRRPEAEEIRVPSLLVKQRAPRHDSQGVVPPIAIAVSAAHEERLRREGGWTEGGQMGNHGERRLGGVVYEIKVGSAAIVRQRRCEDDDLIIDGHDGSSQKLPSRLAGGQADV >LPERR04G16780.1 pep chromosome:Lperr_V1.4:4:16492640:16493386:-1 gene:LPERR04G16780 transcript:LPERR04G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSFQGQAIWADLAIVILYCSCDDVLSGGYDVQFRYLALPLECRLDLHICRRGLPAMRRTMACFGDSIQLVCIGDDLKSEATGDTMLTVWKLHELSLATLWGLEGFKEAGLPEILPIHPILSTQQDGVLYMLFLDEYHPPDKQDAETEDEEEEEEEVEETTRHCLFGLDICNKRILSSRRLPAIPYVYRPNLLGFDFFKCLDKHCLSPLAAQSTDEAAVHPFSASRKRKCPDSPSSA >LPERR04G16790.1 pep chromosome:Lperr_V1.4:4:16493432:16494353:-1 gene:LPERR04G16790 transcript:LPERR04G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHGAPDPHANDIALPGPLGGACIESLFSKPYARSPLLNPPNPPPIFFSDTDTDTESSSTPSHIRSRTNKLQSSSSLTDRLFVPQQGKDSALSKTTRPLPSWVLLDSAVRVAPGAVEKEAEWAIKCTDRQAYLYAWRIMKKASRALVRDITLLARLAEPPDLSSLYIRLAAGKSGGQFLRTSVMAVDNKIIVLTTTLPDDCGAPYFYLIYDSTKTSLSMIPHLPSFCPPSFTTQPLLVRRGDGDGDGGDYSLAIMARCSLFDKKRRDPDFLCLWPPPCSAKPLPPSIASKSIEPWRV >LPERR04G16800.1 pep chromosome:Lperr_V1.4:4:16498179:16501714:-1 gene:LPERR04G16800 transcript:LPERR04G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSTKVAAALSSPVAARLASLAGIRSGIEAAARDLGLLRAFLRFVDSQHGTDALAEAWVDQVRDVAFELEDVADEYTFLSSRSFFRHGTDLGAWFALSRRLGRARERLRELSAAKEQLGIRPAEVSASSSAAGDYSAVMIGRKLAEASHFVEEDEIVGFAMHKRLLMKWLTGDADPRRMLIAVCGMGGVGKTTLVTNVYKKVTASYHFDCAAWVAVSKNFTRDDLLRKIVKEFHRDTHAGMPRDVENMDYRSLVETLHRHLAKRRYLLLLDDVWDAQAWYEISKAFVDDGTKSRIIITTRSQDIASLASSNRIIRLAPLSELESWSLFCNTAFRGDEDRECPYRLRHWASKILDRCCGLPLAIVSIGNLLALKDRTEFSWKNVYDSLVWSDSLGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRAWIAEGLIEEKGQSTMEEVADDYLNQLVQRSLLQVAVQNEFGRAKRCCIHDLIREMIVHISTKERFFVFSKCTTTFTLESSRKARHLVFDQCRSDLLPAPKMNSLRSFHAFMVDLDASFLSSFRLLTVLNLWFSPIGKLPSAVANLLNLRYLGIRSTLIDELPEKLGQLHNLQTLDTKWSKVQSLPRSIIKLKNLRHLVLYKRYADIIYPGQGTAIALPDGLQNLTCLQTLKYVEANEKMVRSLGNLKQMRSLELSGVQESNLIHLPSSISKMSCLLRLGITSQDDNVKLDLEPFYPPPFKLQKLALEGILAKGNLPSWFGSLNNLMQLRLHSSNLKEDSIELLSYLPRLLHFSLINAYNGKSLTFADGYFPVLKKLSLQGLPNLSYLKFQKGSLVNLRVLMLGRCTQLTEIPQGIENLVHLESLHLFELPSEVIQQIQNEEVLQDHHQDSGHIIIVKSIRWGNGELLEKTFYVNLSLFKAIMPLADGNYILISDNNVAVERLKLGTGNRMKGKKNDSHIALRAIIILI >LPERR04G16810.1 pep chromosome:Lperr_V1.4:4:16503350:16519116:1 gene:LPERR04G16810 transcript:LPERR04G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSALGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLGDVDNRFRRYSNTLFSENSLEVNREQQTFKENEELNKSISSYLRLLAGHLQLPAALKTLEYLIRRYLVHVYNVDELLLCALPYHDTHAFVRIVQLINLGNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLEALCNYAAPTKEFHHATTVVCFCTAVVVECLGAIPTLDTDVVQRVLRFVFDSLNPVKKGDQDYKSQSVSDFPKKPLMILKDIRDFSGILSVLSSEFNIEKFIRLYVESLVGYSFSDDSCHSHLIEIVEALQIEKFVERIVCKVIHHSVKALQATENLDMNRTGLWAKKTLNAIGKKYPKELRDAIHKFLENSEVNTIGEDSASNLLGLVFDESKGTPTEISDSNIWFSLEHPKAEVRKSALTKMAAFNIFKNHKLDRQNLINMKDAIIHNMYDDDLDVVQATLSIEGLAAVASPDSLLKAYDDLLTKCINIIHKGGGPKASKACDVAVSCLEKIIVECQLHYIDHAKDIAVVVFRLLIVHPKTVRVNLKALELAKSIQWEFYTSSSLVYNAITTDKMKSMSSESVVSINMRNIKAFAETFLANPNKHVEWLVDAGKRSAFSRTTFLLIILQALLAPAEVLDVQMNLCQACLPVLKNEWCQIMPKDDCVGDEISIDKLEKCITELVKHAFNNDTEALNSRTLVCIFWAMLRVQSFYIKQNCMINDGGNTILDDMFLFFITSPGKNIFQKHLQYLMINCTREPFQFISKYFMDEGFSSGIQVESLLMLASICSACALPETTLDESLCLQLLLGFPCVMLPLAHENKDIRSSAIKYIEGLSLVWQRLSASLSRNGNNSKLPKCMLSPTFGVFLGSLVNQKTMISSDATFLPAYISSMLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKLSSYGKFMVLSILKGVGSILFDVEDVKSLFFDLLDRRNQYQNGPDSRQILSTHEIQIVCLLLEVLLSVSDCGNVSSETFEALLKVLRIDVSAQEDPVIVMPCVTALQAVQPVFFDFLKTDTKEKVFASITSMFRIENAEIRNAARDALLRINVHASTAVKFIELIAARGDIKVNSKRIKRKDDLNRDIFKNFDDLFGAKPTSSILLSLLDILFLKKDVIQRPCLLQPLFKLLSKLLSDQWISNIVCQYNNGHDASSITPDLSNFLIEAQQLVLLILKDIMDTLQSDHQDALFNSGDVNLLVNCIRSAKDRGTRNHGFSLIASLAKAFPQLVSESIEDLFIAIGDAVKQIFVKALADVAEHRRVTLMVYLLRTLGEKQYLGTVIMYLLRSLVDRISHSPEHQDRDYALSLRTMPQEWEYDLVVNITNQYSYKLWFHCLSKLLKEIRVHEKQNLLPILHLAMRVILLKLQDTELIFDLDSEDAANSIQGSLGELMEEVVLCTVAVRDKKTGISSDALKELRDSANTVLKVTASWMSASMYFKGISRMLDHPKSVVKRKALGILCETAKGNGLIQKKQKKARKLNHRSTAPQVDKSSAPYFSELCFKILELVDREVDSDSSVKIAAISSLETLAKEYPSDNPAYSKCLSKITNHISSGDVAASSRSIHTVGSLINVLGSKTLPQLPLIMKNLLQISHKVSFCSSGKCVDSSIETDAKRSNQAITILFSVLTTVEVIVKKLGEFVNPYLEGILDLVVLHPECASRIDEKLDAKAADVRRLLTERVPVRLMLSPLLNLYNGATKCGEASLSLAFEMLSTLIGTMDRQAIGTYHAKVYDHCLVALDLRRQHLDSLKDIATVEQSIIHAIITLTMKLTEATFRPLFLRTLEWAESDVDQSTSKRSMDRGIVFYKLVNSLADKHRSLFTPYFKYLLEGSVQYLSEDNSLISSKQKKKKAKLDDAQVEQKDKLSGQKLWNLRALVLKSLHKCFLYDNDQTILDSSNFQQWFYMSSKVTWMALLKPIVSQFVMEPPEHFESVPEAATVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVLMRTRSDKVRPKMLGLKVVRYMVQHLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >LPERR04G16820.1 pep chromosome:Lperr_V1.4:4:16520924:16523206:-1 gene:LPERR04G16820 transcript:LPERR04G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVVNAVLFSALAVLVALVLCYVFVRCRRRRRRRTRRGAAVLPSHGARHPNLVPIRALYVGPRGEMLLVHPFYAAGSLRRLLQEGINVSQKWDIICKLSIGIVKGLDHLHTGSQKPIIHGNLKTNNIMLDADFQPRISDFGLCLLLNPAAAQQMLETSAMQGYKAPELIKMREATRESDIYSLGVIFLEMLAQKEAANSSSPNARDIHLPASFKDLVLERKISDAFSSDLIKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEEISR >LPERR04G16830.1 pep chromosome:Lperr_V1.4:4:16525662:16526906:-1 gene:LPERR04G16830 transcript:LPERR04G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVAAPAEARVSGDVPARLQQALALLFPSNLAAKAVLFAVVVALLPLLPTSQTPRIWELPHILLLGLIISYGVFGQRNADSEVAAVAAAKAVDDEYQSVESYVSQIMQGPLVFEENDVVAGEDDDVTAVGKEGVQAWSSQYFPDDPLVVVADTNTGKGDESEKPLLLPVRKLKPAATEESATLTEEFMEGSIEEEEEDEFLVPKARHGGVREHAIPSPSSVLDAGLTLSPCSPPLLPPPPPPPPPPPFLGVTSGLRTKVKARSFNDYGRAGLQSGGGAGYNFRSRSAIQSSRNTFSAFDDPVSADDLEKKAAASDISSLSSDMVTDGEDDNGNEIDDYEEEEYEVERDDHVDDDDDGSCDEELFELATRPEPEEEEDEMVEDEVDRKADEFIAKFREQIRMQRVVEPGGRRS >LPERR04G16840.1 pep chromosome:Lperr_V1.4:4:16534547:16535751:-1 gene:LPERR04G16840 transcript:LPERR04G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVVAADEGMRGGGGGSDEEEGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNTNNSNSNSSSSAAAAAADRRGVGSDTAAEGGILCHRPIAVRPQQS >LPERR04G16850.1 pep chromosome:Lperr_V1.4:4:16542247:16542835:-1 gene:LPERR04G16850 transcript:LPERR04G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGIWIIPMTLVFVPCRRLVTLVDKLQQLEESFGRRAPQPALSPETWSRVTRLHTMSIMSKRKR >LPERR04G16860.1 pep chromosome:Lperr_V1.4:4:16544799:16547951:-1 gene:LPERR04G16860 transcript:LPERR04G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRCYAQLLLGLLLLRLSWCLVVADDGGGAEEGGGVFPVVVSTWPFREAVRVAWEVVSPSGAGGSAVDAVVAGCSACEMLRCDGTVGPGGSPDENGETTLDALIMNGTTMEIGAVAAMRYVKDGIKAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIEKWTIWRQNQCQPNFWKNVIPVGSCGPYHPIGVPSEESKGSVKHVLEQTQGGICQGLLEPNNLLEPMNSLKSVDRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSAYADDEVVESMRRGMEPRDAAMDAILRIARKYPEFVGAVFAINKKGVHAGACNGWTFQYSVRNSSMQDVEVITVAP >LPERR04G16860.2 pep chromosome:Lperr_V1.4:4:16544799:16547951:-1 gene:LPERR04G16860 transcript:LPERR04G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRCYAQLLLGLLLLRLSWCLVVADDGGGAEEGGGVFPVVVSTWPFREAVRVAWEVVSPSGAGGSAVDAVVAGCSACEMLRCDGTVGPGGSPDENGETTLDALIMNGTTMEIGAVAAMRYVKDGIKAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIEKWTIWRQNQCQPNFWKNVIPVGSCGPYHPIGVPSEESKGSVKHVLEQTQGGICQGLLEPNNLLEPMNSLKSVDRHNHDTISMAVIDKAMDQYQDLLHMLMMKLVRVEQLVMVVESMRRGMEPRDAAMDAILRIARKYPEFVGAVFAINKKGVHAGACNGWTFQYSVRNSSMQDVEVITVAP >LPERR04G16870.1 pep chromosome:Lperr_V1.4:4:16547351:16552319:1 gene:LPERR04G16870 transcript:LPERR04G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPGGVRGRGGGRGGGEEEVRKGPWSEEEDEVLREHVRTHGPREWSSIRSKVGLPRTGKSCRLRWVNKLRPNLKSCKFTANEEREVIELQARYGNKWARIATHLQGRTDNDVKNFWSTRQKRLARLLRVPLCARSKKQKNSSRGKALASFPKSEAEPETAMVHQGSACLNQASLEGNSSYCQYSEAAPFVKYQNVAQAPYDQACAGSFAFKGALPPLAAGEGSSSAAGEVSSSNAAQLAPLMLFDHPAYPRRLLRTPVNAWSDTEKNSNKGKEPSSSLESETAMIHQDPTYPDQASFEGYSFGCQCYEDELYVDYWNAAQAHDPAWSGSFSFEGAQELPQQLLPPAAGEASSSSAAQFAPPLPFDHPGYPLINFPGVSHALVGAMNDLTFHEMLPMMQSAPMIVPFVCMEFAHGAVKDEPRDAFNDLPPVMSNDTVDQLPPAPPPSPASSGDEF >LPERR04G16880.1 pep chromosome:Lperr_V1.4:4:16554891:16560848:1 gene:LPERR04G16880 transcript:LPERR04G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKLLSDPEKRDIYNQYGEDTLKEGMEVGSDFLNQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.2 pep chromosome:Lperr_V1.4:4:16554891:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKLLSDPEKRDIYNQYGEDTLKEGMEVGSDFLNQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.3 pep chromosome:Lperr_V1.4:4:16555285:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSWRLGINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKLLSDPEKRDIYNQYGEDTLKEGMEVGSDFLNQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.4 pep chromosome:Lperr_V1.4:4:16554890:16560848:1 gene:LPERR04G16880 transcript:LPERR04G16880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.5 pep chromosome:Lperr_V1.4:4:16555908:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKLLSDPEKRDIYNQYGEDTLKEGMEVGSDFLNQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.6 pep chromosome:Lperr_V1.4:4:16554891:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.7 pep chromosome:Lperr_V1.4:4:16555285:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSWRLGINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16880.8 pep chromosome:Lperr_V1.4:4:16555908:16560693:1 gene:LPERR04G16880 transcript:LPERR04G16880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYALRINNTKYYEVLGVPKTASQDELKSAYRKAALKSHPDKGGDPDQFKEYHKRMKFSVIRRRGTYTTNMGRTLLKRDFLNRVSIFGDFPVPNSYIRSRVRRQRHGDDMVHTLNVSLEDVYNGSTKKQLLSRNILCPKCQGKGTKSGAPGTCYGCHGTGVRNRELQYGLGELISDEDKCTNCRAIKVIQEEIVLEVHIEKGMQHGQKIVFQGKADEAPDTVTGDIVFILQVKEHPRFKRKCDDLFIEHTISLTEALCGLQFILTHLDGRKLLVRSNPGEIIKTGQHKAINDEGMPHQGEPFMKGCLFVEFNAEFPESSVLSCDQCRSLEKILSPKPLHQLSEIDLDRCEEAITHDVNIEEEMRHKQEEEELMWKQEEEELRRKEEEEDEELRRELENLEILLTPDRRQRPSSSKKRRQRRRK >LPERR04G16890.1 pep chromosome:Lperr_V1.4:4:16565289:16574194:1 gene:LPERR04G16890 transcript:LPERR04G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASNHHTPTTSSSVSVSVSSSSLSTSSSVSSLLDNGAQLLSSKLKHPKKRKRAAAAAAADSPAASPDAANAHGGEDSISSCSTEENAASGGGGKASRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRNAHLNFPDSAHDLPRPASTSPADIQAAAAKAAAVESRCDDSSSSTSPPPSPAAEERPEEEAAAEGCPDTAHADNADAGGGGGQDNALFDLPDLLLDLRDGLWWSPVWPAAAMPAEEYDGGDAVVLNEPLLWADRPEASGGGPAGRSEKGNENERYAMEQEQVLSPESNSCTCTCSNDASSAISLNASSSGSVDSVSAGAGGGGGKKRQRGGRDLKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRAAHLNFPELAGELPRAASASPKDVQAAAALAAATAAPSPSPCHVDAEDDECEQVVERPDEQTTAPVCVVENGTILQQDGDIGLEYTYFTMPDALLEFGLPPPPSYYCGSPWDDAADDLFFGEPLVLWEH >LPERR04G16900.1 pep chromosome:Lperr_V1.4:4:16586719:16587411:1 gene:LPERR04G16900 transcript:LPERR04G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTHEPSSPASHDTTTSSSTSSSSSSSSTSSSSCAAVAKKKRARNGNGNDEGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGARAAHLNFPGLAHLLPRPASSAPKDVQAAALLAAAADFPFPSPSDDGNGSANAKSPDADASPSQQQQQQQPSAPVDPDSELFDLPDLLLDLRYESSSSLSCGASWAVDDGVFRLEEPMPMLWDY >LPERR04G16910.1 pep chromosome:Lperr_V1.4:4:16587416:16588333:1 gene:LPERR04G16910 transcript:LPERR04G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRFLEQQPVSCFMLASGNSSSSSLPLEATSCAATSTCGAAVHKGHESDGVAASRQRLTGYAQVNAASPRRHTRGPRPRPLARSTLRRRSTSSLARGHAAGLVHACVRERQKRPMWPPEKPVCQVRAARCRVQWSFVQETL >LPERR04G16920.1 pep chromosome:Lperr_V1.4:4:16598188:16606152:-1 gene:LPERR04G16920 transcript:LPERR04G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRLSSSVPPASPAKRLAVPSSEDKKLDFAVLKYKNQKLSEQLEAHKFVYHALESKFTGLKEKQRTHNETLSLVNNSWEQLVADVKLRSFCKSGPHNSGPVSGHTDVQKDGTCAPIERDTLRNPLESGVTESSGCLPGCHLGSDAPPLRLLTANVLGDILFPSSDLLQANEKCALAASSKLPENDRSKQLQSTSTNLSASLNNVIQELSDLHLKHKQLAENYQNQRDSSFRKRVEHKRLKEELASTASELEETNHKLAALKAQRDNTQGTMILYATLGNNNTAEDKVRDKQRELRDLEATHKELSNILTDFKSIRSSKAFQLVNDRLQKSRAELDHYQTLLEKLQADKDRFVWQERQFNLKVDLAEIPERVSTYCESRIADLKKDIQKLRDERNMLILKLEEASREPGRNQVITKFKDLVSSIPREMGAMQHEMTKHKEASLELNSLRAEVHSLSSILSRKEHDNEEASCRSACAGSDITQLQSEISGLRQTNKELKLFLDMYQRESTDSREVMESRDREFQEWARVHALKSSLDENKLEQRVKAANEAEAISQQRLAAAEAEIAESRQKLGTSRKDLISLSHMLKSKQEESEAYRVEVECIGQAYDDIQTQNQQLLQQIIERDDDNTKVIFMEGVKAKQIQDTLHLETNSLCRNLQQESALMDLYNQKIVSLEDQLKVWSDRVGKLQEEGWQQSVSLSNYQRKLVDAHRDAQKLMQSLDGIQAKVGSSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNPCIQKSLGNRQRRCPSCGLSFGANDVKPIYI >LPERR04G16940.1 pep chromosome:Lperr_V1.4:4:16611100:16611600:1 gene:LPERR04G16940 transcript:LPERR04G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNKRRKIAMASNAPQLPWELITEILLLLPVKSLLRFRAVSSDWAELISSDEFVTLHTAKVESGAAPPRLLFITPTPGYGSTAAYSCSLSGGHDDINHLFTIDEARGDFVDSIEASCRGLTLVYDAVAPAYYVCNAATRAITIQCRDWIRRTDKGAQGGEVVPR >LPERR04G16950.1 pep chromosome:Lperr_V1.4:4:16611605:16613545:1 gene:LPERR04G16950 transcript:LPERR04G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEVYTLGSQYGDCWRPAAGGVPFRFCRAVNAVLANAEVNKQPPVIANGCFHWLVHPSYLAIRRKAAVVSFSMQDETLIWIRTPSFMRQNSVVHLVEIYGHLCMVCDRRHSLDANGAHALEIWNVVDYSSGVWALLHHISLPGHTPRSLLEAPVVKVLGSIGDAISAKKIIIATSELTVHMYDLMTENLETVLSIKDTDICYDQNKPSALRISLVKESLSPVHRTNEEMILSSPLAKAVKEILLRLPSRSVMKFKLVCKQWCMLIEKDWLISSYFEYWNIDRRPKIMLVSKGNGLSWLHFYSLPEIVSRSTQMARHKGVSSCNRLMISNVWRQSDRACAVGNGSFGLGFNPLTQEHVVVEIYYQRKDFRTREYCLECEIWVCSTNYSFPCSHNIPLPVNDMPPAYLAGFLYWKSEPRLGPSYEWSIVAFDIDRKMFDLIHCPSCLPKWSSESPCYAFVAELDGLLCVVYADPVTDMLEIWKLGHGQWDKAYTLCLKKFPGYSLGTNVVIPLAQYMRDGRILLSTGRKIAYYDPTKHNIEDVYSVDEILNLSSKMQTHPYLTASAGKDLVCSNGLQCMEEQKGMNTAVIPLVPMLNEDSLVRYPQKPKMRNLIKSLRFLPFY >LPERR04G16960.1 pep chromosome:Lperr_V1.4:4:16614128:16615162:1 gene:LPERR04G16960 transcript:LPERR04G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKPQLSSSSLLPSTRVSSPAPVHHRARPIAASPAPQHRLRIAATSAAALAAPAAEAEAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDASVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >LPERR04G16970.1 pep chromosome:Lperr_V1.4:4:16619385:16622525:1 gene:LPERR04G16970 transcript:LPERR04G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIAPMQAVVHHHHHHVPVPPPPPTRRCLRRRGVPVPVRATAAAETDTLSAAFWDYNLLFRSQRDECHDSIPLRVTEGAIPPDFPSGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFHPGHAVRYSARFVETAAKREESVGDGASTWRFTHRGPFSVLQGGRKVGNVKVMKNVANTSVLRWGGRLLCLWEGGEPYEVDPRTLETLGPFDLLGLADDGEANASASRRRRRPWIHEAGLDVAAHLLRPVLSGVFDMPGKRLLAHYKIDTRRGRLLMVACNAEDMLLPRSHFTFYEFDAHFNLVHKREFPVPDHLMIHDWAFTDNHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSMPVYLLPRSPEAEAASGRDWSVPIEAPSQMWSVHVGNAFEEENSRGGVDIRLHMSGCSYQWFHFHRMFGYNWHHKKLDPAFMNAVKGKEWLPRLVQVSIGLDKTGACRRCSVRRLSDQFARPADFPAINPGYANKRNRFIYAGAASGSRRFLPYFPFDSVVKIDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDDGYVVLVEYAVSKHRCHLVVLDAKKIGGKNALVAKLEVPKNLTFPMGFHGFWGDE >LPERR04G16980.1 pep chromosome:Lperr_V1.4:4:16621266:16624030:-1 gene:LPERR04G16980 transcript:LPERR04G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVYRRRDTSARLQGAAAMNSRYLIRLAGRAVGSSSLRGGSALSPTISGGGRPDARGRTLHAASPPPPCSIASIGCWESRSLHLDGDDGWEEVIGHGGDGAMGADSGVFDFDAVQEAADEHGVLGTPPTDQEVRAAVASIQQVLENHPGVDSDAPAQSLALPPISGLPPSGMFVNYFAEDSAPSDIKIGQLANLEHSTPDSASEECIEPAVLVLNSTALLPREHRNVLDAFHLLQVDPSVQKMVMALSTDKSVWDAVMKNEVVQEFRRSFQDAKEADFNGKSSASSGVMQWVMENTQAKIKELLENILKLVNMLFQDESKDYDMYDDTVRMSFMLTVFVFIVPSSSPPPVGTKTGSPTNLRPSVDHHRADPSDTSIFTTLSNGKYGRNLREPDAAPA >LPERR04G16980.2 pep chromosome:Lperr_V1.4:4:16622114:16624030:-1 gene:LPERR04G16980 transcript:LPERR04G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVYRRRDTSARLQGAAAMNSRYLIRLAGRAVGSSSLRGGSALSPTISGGGRPDARGRTLHAASPPPPCSIASIGCWESRSLHLDGDDGWEEVIGHGGDGAMGADSGVFDFDAVQEAADEHGVLGTPPTDQEVRAAVASIQQVLENHPGVDSDAPAQSLALPPISGLPPSGMFVNYFAEDSAPSDIKIGQLANLEHSTPDSASEECIEPAVLVLNSTALLPREHRNVLDAFHLLQVDPSVQKMVMALSTDKSVWDAVMKNEVVQEFRRSFQDAKEADFNGKSSASSGVMQWVMENTQAKIKELLENILKLVNMLFQDESKDYDMYDDTVRMSFMLTVFVFIVVTMARVK >LPERR04G16990.1 pep chromosome:Lperr_V1.4:4:16633557:16634823:1 gene:LPERR04G16990 transcript:LPERR04G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPGSVAPAYARPWWSCAAPGSAEVAAARARGRSICGYAASGVLRLSARAASVVCAGVHTVVQRLRRGRWAAGVLALGGVTAGDGEAALMREGQIYGRRAWIWSWLGWFPSPRQGGGASLCTVGFVARGWRCEARSLAGRWLMLVCSRKGAGRRGRLPLCRSGALSSLSGTGGGFGLRKGLAAVCWRFECGHESIFPVVNVRVLVWVVRRCWRKLCRAFGRFDDGDVRGRHFPSWGRCLGENLVPTLGRAAAAFHVVSFLGASLRGSTFCMGNHGLFFSTLKPSWLDVRRGLAFFRAALLLRWAAHSSSELLVDGVAAMLGNDDMLHSLPRCFGAGRMQEWSFGGLADVLRRMLGLSFAWRHDGFNVAAVVQSALLGRVGG >LPERR04G17000.1 pep chromosome:Lperr_V1.4:4:16635432:16636270:-1 gene:LPERR04G17000 transcript:LPERR04G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKSYVAEFIATHLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFVTHGQAIPTHAVAGISEVEGVVMEAVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVVAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVAQQEYP >LPERR04G17010.1 pep chromosome:Lperr_V1.4:4:16643370:16647051:1 gene:LPERR04G17010 transcript:LPERR04G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSNSLLQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPVVLHMLDLPFAADALNGVRMELIDAALPLLRGVVATSDEAKAFEGVNVAILIGGWPRKDGMERKDLISKNVTIYKSQATALQQHAAPNCKVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVCTDRGEMPVRELVADEKWLREEFVSTVQQRGAAVIKARKQSSSLSAASAACDHIRDWILGTPKGTWVSMGVYSDGSYGVPEGVFYSFPVTCEKGEWSIVQGLQIDGFARTKMDASATELKEEKSIAYGFL >LPERR04G17020.1 pep chromosome:Lperr_V1.4:4:16645928:16649021:-1 gene:LPERR04G17020 transcript:LPERR04G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDPAKPHSSGAASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQIKNNEPINIWKSRYSNLYYGCSRGSTYFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARVLNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLAKDVTIVKRIPYEVMISMDKLPWTMRAPRKSIPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRVMTSRYVAVHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNNTYLYVASGEIYGGEETLQPLRDLFPNFYTKEMLAGDDLKPFLPFSSRLAAIDFIVSDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNSLFQKRNQMGWDTFSRRVKKAQRGLMGEPDDIRPGKDDFHEFPSSCICQRLPVNRSVTSRP >LPERR04G17030.1 pep chromosome:Lperr_V1.4:4:16653130:16656466:1 gene:LPERR04G17030 transcript:LPERR04G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGGAPTPLGVGMHWAPVTSSPSPQPFLPPPPCHPGQMQQQQGLTCLKLGKRPCFWGGSDAAAAAAAGNVAQGSDGSTGGGAAAAEGKRKEKAASAGVPAVPRCQVEGCDVTLTGVKEYHRRHKVCEMHAKAPRVVVHGAEQRFCQQCSRFHVLAEFDDTKKSCRRRLAGHNERRRRSNANEAMARGSAHPHGMPALGHGFPPYGLPASSAGALSLLSSARATTGPWLIPTPDISARSSAALDELIAQNRAALLSWQFFSERSPPPGSRPTGRPPAETPPAGWHAHLQARPPRHESPAAASGHVTLDLMQSTTEAGAAGAPFRPVPARPAKDGGGGGDAGCTSDAWTSSPMEGARVV >LPERR04G17040.1 pep chromosome:Lperr_V1.4:4:16663333:16663818:-1 gene:LPERR04G17040 transcript:LPERR04G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAAAAAGGSDMCDWCLSDVAAGKQARYSSMVAGGGKMQQGAGSQESSSTTTSSSSAGRGGKGAGGEQESGRRGSKAAGRRYKLLKDVLC >LPERR04G17050.1 pep chromosome:Lperr_V1.4:4:16667058:16671306:-1 gene:LPERR04G17050 transcript:LPERR04G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHSPAAAKILAPNPRTSRRLAPAPPAVGGFLRALFPSRPPPPPPAKADLLRLIADQRRGLDTQSDPSRLADIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVDGSIEVQPPQRVYTRNVEREQLGGSFSSLWFDTVYLDDDIRVAKDIRGDYLVVERIEYCPSIAPVEFWQDLPSLTKYWSHFKGYKAKAPHVKKDAP >LPERR04G17050.2 pep chromosome:Lperr_V1.4:4:16667060:16671306:-1 gene:LPERR04G17050 transcript:LPERR04G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHSPAAAKILAPNPRTSRRLAPAPPAVGGFLRALFPSRPPPPPPAKADLLRLIADQRRGLDTQSDPSRLADIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVDGSIEVQPPQRVYTRNVEREQLGGSFSSLWFDTVYLDDDIRVAKDIRGDYLVVERIEYCPSIAPVEFWQDLPSLTKYWSHFKGYKAKAPHVKKDAP >LPERR04G17050.3 pep chromosome:Lperr_V1.4:4:16667058:16671306:-1 gene:LPERR04G17050 transcript:LPERR04G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHSPAAAKILAPNPRTSRRLAPAPPAVGGFLRALFPSRPPPPPPAKADLLRLIADQRRGLDTQSDPSRLADIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVDGSIEVQPPQRVYTRNVEREQLGGIEYCPSIAPVEFWQDLPSLTKYWSHFKGYKAKAPHVKKDAP >LPERR04G17050.4 pep chromosome:Lperr_V1.4:4:16667060:16671306:-1 gene:LPERR04G17050 transcript:LPERR04G17050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHSPAAAKILAPNPRTSRRLAPAPPAVGGFLRALFPSRPPPPPPAKADLLRLIADQRRGLDTQSDPSRLADIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVDGSIEVQPPQRVYTRNVEREQLGGIEYCPSIAPVEFWQDLPSLTKYWSHFKGYKAKAPHVKKDAP >LPERR04G17060.1 pep chromosome:Lperr_V1.4:4:16670825:16676946:1 gene:LPERR04G17060 transcript:LPERR04G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQTPDILGERQSGQDVRTQNVVACQAVSNIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEERLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKMTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTKVAPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLQKEESGNEE >LPERR04G17070.1 pep chromosome:Lperr_V1.4:4:16678883:16683604:1 gene:LPERR04G17070 transcript:LPERR04G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFQLILSTFIVIAAVTFLPLPCASFEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQSAVDQAPFSSMIAAGSPSIFKSGMGCGSCYQVKCTGNNACSGNPVTVVLTDECPGGPCLAEPVHFDLSGTAFGAMANPGQADQLRGAGVLQIQYNRVPCNWAGVKLTFVVDAGSNPNYFAVLIKYQNGDGDLSGVELMQSGAGAAWTQMQQSWGAVWKLNAGAALQAPFSLRLTSSSGKTLVASNVIPAGWKPSAAYTSAVISLPVSAYETNPKTPSQHNYTTGRRSTMAWDTGYGWSTGGATWYGGPNGDGSEGGACGYESAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNQACSGRPVTVVITDSCPGGVCINEGGHFDMSGTAFGAMANRGMGDRLRSAGILKIQYKRVPCRFATNVVFKVDAGSNPYYLAVLVKYENGDGDLAAVHIMESGGSWAAMQQSWGATWRINSNTGKPLRPPFSIRLTSGSGKVLVANNVIPSGWRAGSTYRSAVNYAA >LPERR04G17080.1 pep chromosome:Lperr_V1.4:4:16686609:16687100:1 gene:LPERR04G17080 transcript:LPERR04G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPDVARGPLLLSLGLGVAGEILLWIRHASLRVSLANVGGHGDIHCDGEGFCHWHERSDQRAVLPTHQRRTARRRRCGQESEATILVRGGDCGGTVRKVLLVFGGLRSTLLFSCGDTLSSQDGRVGLANEEGGDPSFSITLFPSNPTARMDLERVRNQGVSS >LPERR04G17090.1 pep chromosome:Lperr_V1.4:4:16689142:16689594:1 gene:LPERR04G17090 transcript:LPERR04G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTFLERFSRYVNVSPQVYVVAYAYLDRLRRGGGGGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLSAAELSSLELDFLFLMQFRLNVSVSVFQTYCRHLQREVSYGGGYQVERCLKKALVCSGEARAQGRQAAAAAAQ >LPERR04G17100.1 pep chromosome:Lperr_V1.4:4:16691612:16693336:1 gene:LPERR04G17100 transcript:LPERR04G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPSPPRARPSPSSQQASTYKHFCRVCNKGFTCGSALGGHMRAHGVGDNDGLGGDNDDDDSDDNSLVDDALRRGRVRGGADDVWNANGASSSGATNHVYALRTNPNRITRSRQVCKNCGKEFTSWEHFLEHGKCNSGEEDDDDVDHSLQLLSPDGEDDPAPAAAWSKGKRSRRAKLIGGALDASPTASASACEAGGEEEDLAKCLVMLSESKVQQTPIAEAEQESSASASREDRTPISFIEPVAFAPYTAMLPPPPMPTPQYASTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLDNNNNGGEPSRQAADADRDADVHSRKLNANAASDVRIRNVVDAITNGEANAGTSEAAAAELSMAITPMSPAIAALAAAPMKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSSPAEHAASVANDMVVTSCHPLAFRPMPDAPEPALGLSIAANPPPPLVSVAAAIRSQAVGGGSSFLDAPPPVYIPSAPAMPSNAAVHRNKATATTGSQNDNDHAAEDEADSGSTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGGGGDDTDKE >LPERR04G17110.1 pep chromosome:Lperr_V1.4:4:16697614:16698561:1 gene:LPERR04G17110 transcript:LPERR04G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCSRRFGNPRALAGHMRSHSVSAAAAAAARSQISSTSSASTSIAAGDDDGGGDAKTKKPIQGYVLGEKPKRRVRLAESSDFSDRESESEYYSSSSPPHGKRANTGSGGGDAEQVSSVSDAGTSEEDFVAMSLIMLSRDTWPSPPPPPLSSYHGDEPPAPAAAQKRTRFQCPACKKVFRSYQALGGHRASHIRGGRGGCCAPPPINHPPPSPATPLQPLPEFDVGGGEEDGGKPHECPYCFRVFASGQALGGHKRSQLCSAAAAAAAADDHHPATGKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPGS >LPERR04G17120.1 pep chromosome:Lperr_V1.4:4:16705595:16707289:1 gene:LPERR04G17120 transcript:LPERR04G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVVDSLKVASISTSATQTIVFVEANDGFLNPVRAQGWQSTRASEAIGDMVPFSTVRMEAASLTPLAGKITPP >LPERR04G17120.2 pep chromosome:Lperr_V1.4:4:16704504:16708930:1 gene:LPERR04G17120 transcript:LPERR04G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGAGAVFLLRPISATTHPLIRLSGAVASANHKSHLLRLHPSRRRLPVPRLSLTPASTSSNNSPPSPPPASPEPPPPPFSGWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVLACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFIADFALSCLFFQGAGDSLKYAMGTLSVHKVGNRPEHLRCTVSGGHISDQGSLQGGLSLISLMCAPG >LPERR04G17130.1 pep chromosome:Lperr_V1.4:4:16709127:16717934:-1 gene:LPERR04G17130 transcript:LPERR04G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLVAPNAISPIHAGAPRLSRTPAAIPSPVRRSALPPLAARRTCRPLAVSAQSANPQAGLRLEQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYFAPLRSGDRFVVKVRLASTKGIRMIFEHLIEKLPNRELILEAKATAVCLDKDYRPTRISPEFLSKLQFFTSEGTSNIYQQLCTSHLPNASIAARPGSGHRVAPIGRRPASLGRVTAYAYPATRRSRTVHGSPAIAVDAPKSCLLQDAPVAAAPKPSFHLQDDAPAKNAAKDQHVNGLRKDNFYEVEMTVQDDDLDEYGVVNNAIYASYIHSARDFFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKGDRFVIRMKVVQIKGVRIIVDHTIETLPDRKLVLDAKATAVCLNDKYRPTRVFPELSAKIHQFFLS >LPERR04G17130.2 pep chromosome:Lperr_V1.4:4:16709127:16717934:-1 gene:LPERR04G17130 transcript:LPERR04G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLVAPNAISPIHAGAPRLSRTPAAIPSPVRRSALPPLAARRTCRPLAVSAQSANPQAGLRLEQFFEVEMKVRDYELDQYGVVNNAIYASYCQHAHFGSKGNSGLFGQRLPPHPHISRVPVQAAQQLCTSHLPNASIAARPGSGHRVAPIGRRPASLGRVTAYAYPATRRSRTVHGSPAIAVDAPKSCLLQDAPVAAAPKPSFHLQDDAPAKNAAKDQHVNGLRKDNFYEVEMTVQDDDLDEYGVVNNAIYASYIHSARDFFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKGDRFVIRMKVVQIKGVRIIVDHTIETLPDRKLVLDAKATAVCLNDKYRPTRVFPELSAKIHQFFLS >LPERR04G17140.1 pep chromosome:Lperr_V1.4:4:16720533:16722266:-1 gene:LPERR04G17140 transcript:LPERR04G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVLALALATLASLLPLLACQATAACALQRRGGHHEYRHPVGARRIVVDASGGGDFLSIQQAVDSVPENNTVRVIMQINAGSYIEKVVVPASKPYITFEGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLANYFTAKNISFKNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFIFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >LPERR04G17150.1 pep chromosome:Lperr_V1.4:4:16729195:16733482:-1 gene:LPERR04G17150 transcript:LPERR04G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRWWVVVALVVAAAAEGAVEVAPATRRNAYAAMMYMGTPRDYEFYVATRVMMRSLGRLGADADRVVIASLDVPPRWVQELKDDGVKVVSVENLKNPYEKQGNFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMVVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWFKPWYWWSWPVLPLGLSWHEQRRDNLGYSSELPVVLIQALLYIGVIAVTRLARPSLSKMCYNRRMEKSTILLLTTLRVVAAWSILAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSWIVINVFLLHPLAVLTTWFGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >LPERR04G17160.1 pep chromosome:Lperr_V1.4:4:16734770:16736608:-1 gene:LPERR04G17160 transcript:LPERR04G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPLMNDPKIQNQPLLALPSNLMPCLATSKKHPCSALRVTYISKRRLVEVVDGLLSALTEQLNHESPNMDSGCGGSSDEDSADNSRAEFFPSAICSFDQIIASAKDKKVVLFLDYDGTLSPIVNDPEKAFMSPELFDFVKLTEMYYAGSHGMGILASTADSDNTTKKVSTQFITCTSLLVSLKILVNSEAQAQETKVFQPAKDANNLSVKFN >LPERR04G17170.1 pep chromosome:Lperr_V1.4:4:16741259:16744764:1 gene:LPERR04G17170 transcript:LPERR04G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKKITCSAVRTCPPYDICRVDPTTKSRPNILLLRSPSCSRESRSPSLLIISSPFVLILYFKRQQPLSHFPRRAVQDTCSFETEKRSKRPNLFCCCCSFLACSLIGSMSNTLLRVHPSELKIPYEVRRKRSCCMQLTNKTNQYVAFKVKTTNPRKYSVRHACGILLPRSSCDITVTMQAPNEVLFDYHCKDKFLVQSVVVRHGATMRDFVPELFTKAPGRVIEEFKMRVVYIAANPPSPVPEEEEEDASPRSDVMSYEVKMTSVFDSGASVESTLVAKREYSVEENQKLEKQMELLGAARSSQQGFSVMFVLLVFMSSVCIGHLMKHIKV >LPERR04G17180.1 pep chromosome:Lperr_V1.4:4:16746151:16746834:-1 gene:LPERR04G17180 transcript:LPERR04G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVDMETPKPPPPPPPAQAQGLRPNPVVERKLGELEACLADAMSSRPRRGDVDGSLFTEIQARTDFLKTLIGAEVECHGGAVPDHLAEAKARLAVLKGAFDQWAAPPEEEEEAAGGAATGSECSCTESCFGVEVAGCQDATPDGDAEREAVEVAPLDAAAFNAERVHGHKPAPAPAPAAATRGATRCRGWRRGAACCGAAGVALAVGLAIEFASVAHQNVYVVPT >LPERR04G17190.1 pep chromosome:Lperr_V1.4:4:16751088:16751483:1 gene:LPERR04G17190 transcript:LPERR04G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNMVVFSLASACGGRCPTPTPSTPSTPTPTPASYGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNYCGKTVPTGFKCL >LPERR04G17200.1 pep chromosome:Lperr_V1.4:4:16751646:16756134:1 gene:LPERR04G17200 transcript:LPERR04G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAFGKCPRDALKLSVSANVRGLIKAEDKYRAMAPKVLVLLLAINLLFFTTANACGCACGKCPTPTPPALPPPPPPPTQTPSYGNKCPVNTLKFGACADVLGAISGDVGQVPAEPCCSLISGLADLEAAVCLCTAIKANVLGLVVNIPVKLSLLVNYCGKCVPSGYTCA >LPERR04G17210.1 pep chromosome:Lperr_V1.4:4:16769323:16772857:1 gene:LPERR04G17210 transcript:LPERR04G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFCADGNGAALLWPEDKGGGIGVGGGGGGLLVEPRSVLDCRGSPSPPNSTSTLSSSHGSGAADSTSTGVAAVSENSAAAAAAEASRWAGPGEHGGGGRREEWGGGDLPPIPGALDSGFVGVESWDAMLGDAAAAGGQDQTFLNWIMDGPGDMEPQAPGLPHQQLLGNVAGFGFPAADSLGFSLQRHFDLSLTSSSGSNSKVTSTFGLLPPQPALQSPPATAVPFHGGADIKPPLLGLPSSPNLLLNQHQTTAASTFFMPFPTFSDHQNQPLLQPPPKRHHSVPDNLFLLHNQPQPPARCLPFPTLHGAAPFQLQPSLQSARNAMKTTVAAAQQQQILDELAAAAKAAEVGNSIGAREILARLNQQFPPTGKPFLRSASYLKEALLLALADGHHGATRLTSPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGSTTASCIRVIDFDLGVGGQWASFLQELAHRHGTGGRPNGFGNLGVSVSMV >LPERR04G17220.1 pep chromosome:Lperr_V1.4:4:16780602:16782878:-1 gene:LPERR04G17220 transcript:LPERR04G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSERKSKTRSMKPLGIQLYECVRGSSISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTDNLGMLHWPSHLYLRDLKDAQNNKTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHSFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGMMIAVVGLAVFLFLPVGPEVIGIEEDIHEKDPEKDDMSTPLLEERSKEKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGEYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSLYWNIALMFITGMLVNGPYALITTAVSADLGTHSSLNGNSRALATGMMCISSELKKGVIKAVQECRSAVPIKCSCNPAHSPRLERRLELLSVLQCYSITNYLLLTCKLKHMWCARSVS >LPERR04G17230.1 pep chromosome:Lperr_V1.4:4:16788199:16793860:1 gene:LPERR04G17230 transcript:LPERR04G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEAYPEEENAEPVGMEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYSDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLCAIIGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRSLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSTAPSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQARNRAVKSTGPREPAWKWNSEHLFFRDRDVLENQKDLSLPTPVMIFGI >LPERR04G17230.2 pep chromosome:Lperr_V1.4:4:16789009:16793860:1 gene:LPERR04G17230 transcript:LPERR04G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEAYPEEENAEPVGMEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYSDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLCAIIGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRSLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSTAPSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQARNRAVKSTGPREPAWKWNSEHLFFRDRDVLENQKDLSLPTPVMIFGI >LPERR04G17240.1 pep chromosome:Lperr_V1.4:4:16795636:16795905:-1 gene:LPERR04G17240 transcript:LPERR04G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVVTGRPLESLTRYLAARSRRELREMVAEPCYEEGQARKKMKRTSDESAPYEQCAKLSKLVGVDDGGVKRIFLRNNIILIENCKNR >LPERR04G17250.1 pep chromosome:Lperr_V1.4:4:16798783:16819961:-1 gene:LPERR04G17250 transcript:LPERR04G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPRDSSDSSPEPPPRGQVSEEEFSAVPLADEVCAEGKELDPDMSTGTSVTVTPTPTPTQSEPSPPPPPRGRRPRPLGVPPDAPQEVVRAVDDAIMVGGGAGAAAGVDRLREMVSDEQGELPHTVVDVLLGTMGGSDGFDEVEDRTGTGAPPNIMFNSRAAVAAAELLPYLPCVGEEPSPRTRMAVGIHATLSACTRNRAMCSSSGLLTVLLESAEKLLIGTGRTSSWDGTPLLHCIQLLGGHSLSVKDLHLWLGLVKKAIGTSWATQLMLALEKAMGSEEARGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLNTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGCGRGKKASLHFTYAFKPQQWYFIGLEHTNKHGILGKGDSELRLYVDGNLHESRPFEFPRISKPLSFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGLERMSRLASRGGDVLPSFGNGAGLPWRATNDYVKNIAEESFTLNQQIGGCLHLLYHPSLLNGRFCPDASPSGSAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMVLLPLTISNVQMDNLEPMLGELSLATTSLSAPIFRIISLAIQHPGNNEELCRTCAPEILSRVLHYQLQTFLKSEGGKEELVIDEEIVDAVVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCAYGLQKKLLSSLADMLFTEAACMRDANALQLLLDGCRRCYWAIREPNSIDNHALTGTKRTLGEINALIDELLVVVELLLGSASSTSASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNVSRANMFAQSFISSGGVDALLVLLQREAKAGNDSILDNSDAHLSENDVLRNDDLDKNADSSEPKSQDDQIQSVEQHESILHEEHTELEATSTNDVPCEILGSSIGRKLSSSENQILKNLGGINFSITAENVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASSAANPNLPGGLLTTVQEEGSTMSEDRVSLLLFALQKAFQAAPRRLLTVNVYMALISAAINASSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSIAEWPEWILEVLIYNHEMGVKKNADGISIGDIEDLIHNFLIIMLEHSMRQKDEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKIQAENAAHLSVALAENAIVILMLVEDHLRSQSQHFCTSSSLDSAVASSSMASSAATRSNSLCRIGNEPLDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTAAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEYWKSVLEKDSNETWVELPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTRNISIKDVISEGLGHQAGSMMPFDSNNRSSTRKPQSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAVGRDRSPIRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADVLPIEAALSMISPGWAAAFASPPVALALAMMAAGASGTETVTPPRNTLNRRDTLVPERKAAPKLQSFSSFQKPFETAPNKPGSTPKDKAAIKAAAFSATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEMERQTQADVLSRHRASTGVRAWRHLLHCLTEMERLYGPFGEPLCTPDRIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERNLISNVAQSNECKPEGAEPSLTGTLPSTAPIITAEAMSVDDRNEDSEQLESDTTQGSVDDRLQQADQHSVKGSVDSRGSGTSADRNLVRSTVIAPGYVPSDADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDESASNSNMDDNASTSGQYDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEMLNLDDSSTYRDLSKPIGALNPERLEKFQERYSTFDDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVSLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRRAMQDQIAYFGQTPSQLLTIPHMKRKPLAEVLHLQTIFRNPSELKSYVLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTSGALMRIFKGSASSGEDYDFPSAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLVSPDGAKTIETASGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAASLHKKNATEPPPTTPRSPHSSSSSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHVACLSSQGVILVWNESKKRLSTFTVNGLPIATSVLSPFSGQVSCIEISTDGHFALMGTCSSSNYKKNTETGDHEPIKPNGKDGISKQIETTQPLHVPSICFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLVSTVDKQLIVFTDPTDIPFVCVGNIAFHELHAAFKLIGGN >LPERR04G17250.2 pep chromosome:Lperr_V1.4:4:16798783:16819961:-1 gene:LPERR04G17250 transcript:LPERR04G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPRDSSDSSPEPPPRGQVSEEEFSAVPLADEVCAEGKELDPDMSTGTSVTVTPTPTPTQSEPSPPPPPRGRRPRPLGVPPDAPQEVVRAVDDAIMVGGGAGAAAGVDRLREMVSDEQGELPHTVVDVLLGTMGGSDGFDEVEDRTGTGAPPNIMFNSRAAVAAAELLPYLPCVGEEPSPRTRMAVGIHATLSACTRNRAMCSSSGLLTVLLESAEKLLIGTGRTSSWDGTPLLHCIQLLGGHSLSVKDLHLWLGLVKKAIGTSWATQLMLALEKAMGSEEARGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLNTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGCGRGKKASLHFTYAFKPQQWYFIGLEHTNKHGILGKGDSELRLYVDGNLHESRPFEFPRISKPLSFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGLERMSRLASRGGDVLPSFGNGAGLPWRATNDYVKNIAEESFTLNQQIGGCLHLLYHPSLLNGRFCPDASPSGSAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMVLLPLTISNVQMDNLEPMLGELSLATTSLSAPIFRIISLAIQHPGNNEELCRTCAPEILSRVLHYQLQTFLKSEGGKEELVIDEEIVDAVVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCAYGLQKKLLSSLADMLFTEAACMRDANALQLLLDGCRRCYWAIREPNSIDNHALTGTKRTLGEINALIDELLVVVELLLGSASSTSASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNVSRANMFAQSFISSGGVDALLVLLQREAKAGNDSILDNSDAHLSENDVLRNDDLDKNADSSEPKSQDDQIQSVEQHESILHEEHTELEATSTNDVPCEILGSSIGRKLSSSENQILKNLGGINFSITAENVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASSAANPNLPGGLLTTVQEEGSTMSEDRVSLLLFALQKAFQAAPRRLLTVNVYMALISAAINASSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSIAEWPEWILEVLIYNHEMGVKKNADGISIGDIEDLIHNFLIIMLEHSMRQKDEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKIQAENAAHLSVALAENAIVILMLVEDHLRSQSQHFCTSSSLDSAVASSSMASSAATRSNSLCRIGNEPLDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTAAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEYWKSVLEKDSNETWVELPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTRNISIKDVISEGLGHQAGSMMPFDSNNRSSTRKPQSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAVGRDRSPIRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADVLPIEAALSMISPGWAAAFASPPVALALAMMAAGASGTETVTPPRNTLNRRDTLVPERKAAPKLQSFSSFQKPFETAPNKPGSTPKDKAAIKAAAFSATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERNLISNVAQSNECKPEGAEPSLTGTLPSTAPIITAEAMSVDDRNEDSEQLESDTTQGSVDDRLQQADQHSVKGSVDSRGSGTSADRNLVRSTVIAPGYVPSDADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDESASNSNMDDNASTSGQYDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEMLNLDDSSTYRDLSKPIGALNPERLEKFQERYSTFDDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVSLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRRAMQDQIAYFGQTPSQLLTIPHMKRKPLAEVLHLQTIFRNPSELKSYVLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTSGALMRIFKGSASSGEDYDFPSAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLVSPDGAKTIETASGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAASLHKKNATEPPPTTPRSPHSSSSSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHVACLSSQGVILVWNESKKRLSTFTVNGLPIATSVLSPFSGQVSCIEISTDGHFALMGTCSSSNYKKNTETGDHEPIKPNGKDGISKQIETTQPLHVPSICFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLVSTVDKQLIVFTDPTDIPFVCVGNIAFHELHAAFKLIGGN >LPERR04G17260.1 pep chromosome:Lperr_V1.4:4:16822205:16825764:-1 gene:LPERR04G17260 transcript:LPERR04G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRQRLARKRFREANPGLFPANPTPPADGTKKKSKKKSNKKSMFKKTGKAGGGGGGAGRSKHPLRVPGMRPGERCFICKGTDHVAKACPEKALWEKNKICLLCRQRGHSLKNCPEKNDESQNLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGREDLISSREDALNAEEHHLEDNAVLRGGDDLDDDFIEAEEPKPTKAKKAKQSSSKSTTGTGDGDNNANAKAKAKQAPKVANSASGMAVGDECKLKFQDLKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >LPERR04G17270.1 pep chromosome:Lperr_V1.4:4:16827751:16830450:-1 gene:LPERR04G17270 transcript:LPERR04G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDFSSRSCHPTKESSEDRISTLPDDVLLEILQRLSDDLCSIVRVGALSRRRTNLPRMLPALKLDARDITRPDNAASGHNNSCTTVDQIMAAYTATARWLLLNPTTTRRVIKKCIDLVFFLRDPYLHSIGQAVESAVGDGTEELDLTVQADIAAAALTDEHSALLGRRFASFFEACPVVFRWLTRLTLEDCLSGPNDIPTLVNTCDRLRFLELRHCDVIDDSVMKIDAPRSQLVCLKLHCCNFRRVDLIRVPKLRRVYCDTWIGDSPPEPFVLSEWLSNARSLSILYLNFRGQKIWVTPEDPKLLSPLFSNLRDVHLRNIFQGCDLNWTLSFLEAAPFLNNFYISLCRHTCETSKSEDSAEKTNVPWEASSFKHHNLRLLEMKGFKVERRVMQYLRLVIQRAVCLKRICLLENDPCMECDSVKHQSLLPKKRSFNAETRNSLIRARLKDGLSSYVEISIG >LPERR04G17280.1 pep chromosome:Lperr_V1.4:4:16831100:16833324:-1 gene:LPERR04G17280 transcript:LPERR04G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPTLQPDTAAAATAAVEEEVGDGHPLQEWVMLSAVPVVRRSSSMFPPGTDFVLALQKPPRVSAVTISGRIAPGQATLTLLPYIVDVDAGGAFLLHVTQRPREPPLATGADASVRVRHRELGRAPMYFICDAQTGAASRVPDSPAGRPPIEVDRVGFISTPSGGGGAASYIITELVPLQNTDQTILRRYWTDTGVWLDRKVTYASPGHPGSWVNHAVISHAQKIWWVDLSCGLLACDPSPITRIFPSFQFRTGVCSLHPARQRTTAGSLRYVQIHARLGEKMISIWKLTDPEHAMWEPEFDLRLTDIWTRSWVRKLNRKKGLGLMVAAAHPVLSDILFFIHKNIMFTVDVRARKLITCSHVDMTEYQSSRYVHAWLLNRPLRQEHDESPSPSAVTVGTPVYQSEPIQLKYQQVPRAKEDQFERLPEDTQKEERKKPNGKRHGLAMKARMVNRV >LPERR04G17290.1 pep chromosome:Lperr_V1.4:4:16835821:16838644:-1 gene:LPERR04G17290 transcript:LPERR04G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGSGAGDEAETQGYAANIHSIREAQARISPYVHKTPVLSSSSIDAIAGKQLFFKCECFQKAGAFKIRGASNSIFALDDDQASKGVVTHSSGNHAAAVALAANLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVTIESRESVAKKVEEETGAILIHPFNNKYTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKALNPSIRILAAEPKGADDSAQSKVAGKIIRLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >LPERR04G17300.1 pep chromosome:Lperr_V1.4:4:16844758:16850819:1 gene:LPERR04G17300 transcript:LPERR04G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRALFLSCIHGSGGGGGGSEVSRRLVLRPRYPSMPRRPRSAAVVGEGGEGGGDGDLEAAAGEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKEMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIITASQLTHAVEETGFEAILITTGDDQSKIDLKVEGKLDERSIMVVKSSVQALPGVEDIEVDTELHKITISYKPDQTGPRDLIEVIQSAASGDLTVSIYPEADGRHQHRHGEIKRYRQSFLWSLVFTIPVFLTSMVFMYIPVLKDGLDKKVINMMSIGELLRWILSTPVQFVIGRRFYIGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRASSSHDYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETAAMLIYDHEGNVIGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLAKAIVEHAKKFHSEETYGWPKARDFISVTGHGVKAKVSDRSVIVGNKSFLLTSDIDIPVEALEILTEEEEKAQTAIVVAIDQEVVGIISVSDPIKPNACEVISYLKSMKVESIMVTGDNWGTAKAISKEVGIENVVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGMAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >LPERR04G17310.1 pep chromosome:Lperr_V1.4:4:16852614:16859058:1 gene:LPERR04G17310 transcript:LPERR04G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFLVFYCMCISLDTFMGGHCFVRLLLQDRFWSRKRARVSTPCLADPTAPSGFSRAAVATLFHSSIKSLTTRRTSVAMDVGIRFCYSGVSDLLPRVPEFFGYPEYRIFFTPRPGTSPGTRFGIHCNTRMLPVLLLSLRPPFSGRRSSPAAELPTSSRRPVDVAHRQPDPVALGTAPSDLHRRSRRHLLSPSPGGRGRTSGVTDGGA >LPERR04G17310.2 pep chromosome:Lperr_V1.4:4:16852626:16859058:1 gene:LPERR04G17310 transcript:LPERR04G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFLVFYCMCISLDTFMGGHCFVRLLLQDRFWSRKRARVSTPCLADPTAPSGFSRAAVATLFHSSIKSLTTRRTSVAMDVGIRFCYSGVSDLLPRVPEFFGYPEYRIFFTPRPGTSPGTRFGIHCNTRMLPVLLLSLRPPFSGRRSSPAAELPTSSRRPVDVAHRQPDPVALGTAPSDLHRRSRRHLLSPSPGGRGRTSGVTDGGA >LPERR04G17310.3 pep chromosome:Lperr_V1.4:4:16856021:16859326:1 gene:LPERR04G17310 transcript:LPERR04G17310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQACEIPYQVPVGATVASSAKERLWNPPPLPSYGLPPPPRLVPVVGVTASPVPMSRPYLAGAERHQRHSRGPWPTSFPSSFRPSSLLPVVTARATRVATSVAPATYPAHRSPPTLWFRQLHRRLAAHPPPVHLPPRPPSKLWRSPTSPLLPHPNPEPLLQGRGFVGGGHRWSCRRWRRRCRRRVATVVDPVCVSSTLPTPCVAVVTRLPASLARGADEQ >LPERR04G17320.1 pep chromosome:Lperr_V1.4:4:16856967:16858382:-1 gene:LPERR04G17320 transcript:LPERR04G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSPETVAFVAVPFPAQGHLNQLLHLSLQLASRGLEVHYAAPAPHVRQARERVHGWDDEALLSIQFHDLRISTYVSPPPDLAASIAFPSHLMPLWEAYTAEARVPLSALLDKLSALHRHVVVVYDLLSSFAAEEAARLPNGMAFGFHCTSLSYMVGKLDAEHRLMRENGLHYHKIDSCVTKEFLDYTHNRVLVSQATALAAGILANSCRALEGDFIDAVAETLAAGGKKLFVVGPFNPVLDASASKQATPRRHECLDWLDKQPAASVLYISFGSMSSLREDQVVELAAALSASKQRFIWVLRDADRGNIFTDSGESENRHANILSGFCKQTEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDTELVCKYLKAGLVVRPWEKNGEVVTATTIQEVIEKMMVSDEGLAVRQRAKALGDAIRSSVDVGGSSREDLERFVAHITSHGR >LPERR04G17330.1 pep chromosome:Lperr_V1.4:4:16860874:16862280:-1 gene:LPERR04G17330 transcript:LPERR04G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAIVAVPFPAQGHLNQLLHLSLQLASRGGGVDVHYAATAVHVRQARARVQGWDGAALRSVKFHDLDIPEYVSLPPDPNADTPFPSHLMPLFEAYTTGAPAQLAALLGELSASYRRVVVVYDRINAFAALEAARLHNGEAFVMYCLAVSLLARKIVPEEHQQILRENGLTSISVDDCATEEFMEYVRRTIPPAKEMSTVAGVLTNTCRALEGEFIDVMAGRMAADGKKIFAIGPLNPLLDANASKQGKQQPRHECLDWLDKQPAASVVYVSFGTTSSLRAEQIEELASALRESKQRFIWVLRDADRGDIFADSGEMNSRHEKLLHEFTERTEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHCDQPWDAELLCKYLKAGILVRPWERHNEVTPAKVIRGVIEEAMLSDKGAAMRQRARELGDAIRASLATDGSSRKDLDDFVAYITR >LPERR04G17340.1 pep chromosome:Lperr_V1.4:4:16863605:16864996:-1 gene:LPERR04G17340 transcript:LPERR04G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPSHVRQARSRLHGWDPDALRSIQFHDLDVSAYESPPPDPAAPPFPNHLMPMFETFSVAARPPLAALLEQLSASYRRVVVVYDRLTSFAAAEAARLSNAEAFGLQCVAMSYNVGWLDPEHRLVREHDLQFHPVDACMSREFLEYIGRVEQDEQSAGSPGMVMNTSRALEGEFIDAIAAYPMFKELKLFAVGPLNPLLEATARTPGKTRHECMDWLDAQPPASVLYVSFGTTSSLLGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGVLVRPWEKHGEVVPAEAIQEVIEEAMLSEKGMAIRRRAKQLGEAVRASVADGGSSRKGLDDFVGYITR >LPERR04G17350.1 pep chromosome:Lperr_V1.4:4:16877543:16882678:-1 gene:LPERR04G17350 transcript:LPERR04G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTKSWADCLFRSLDRESYRRLGSRRHGKGEEKGAGGGGDKIPPVFRFKPTDKDLVEYYLLPRLQGLPAVPNNRIIETNAYAFHPDKLINELYKDRGEDAWYFLSPRARISRGGTRPTRSTEDRRGRWKASTGNKKADEAIGDGTVKFYKNSLVYHEGSVKNEKPTKWLMHEYTIPEYTIKVDKSSAGNNRTDKHVMCKIYISSRKRSGDEDEDEEASPSDATNDVELSSSSQPGPQSSTGTAASDGDLSTPQPVPAVGTSEETAAVQQTGNKRPAEEHAATAATVAQRPPQQPKLTVEGATAPPALYIGGSGAGGMQMPPRMVILQDNQTGHTMAHAGGHVTFNGPVGMQQRKVAHNEVMRRQQMAAYPGQMQLRQQASPAAFNGQAPPMQGTVMANNGRQASSVQWPPAAFNGQAPPMMGTVMAGIDNGQALSAQLRAAAFNGQALSMQGTVMADHWQSSSVQRPAATFNSQAPSMPGTVMAENGQASSVQWAPVAFNGQAPHMQGPVMGDNGQDSSVQRPAAACKCNIHAPVVQGPQVASNAQMSLEQRQQAAVAAAYNYNPQQQRAALAYNLQLAQLQGRPMAMTPNGQPMSVQPQPRRNNVQMMQVQGPEVAQNGESSAAPGQRLTLRRPHPAVPDFGNLVFGGTMMMPQPLPPQTVQPPAQEYWLPETPEIEETPEMEEKRVIQQLLDEYYRQKRIKEEAQAHGAQGHPIPLQVPPQQQQPCSNAVQSNDDREERPAEVAATTTEVARDGSASDEGDGPQRNAPAAAPQNK >LPERR04G17360.1 pep chromosome:Lperr_V1.4:4:16885043:16887212:-1 gene:LPERR04G17360 transcript:LPERR04G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVANLRGPFLQFCQGTDAQRKDAALEIQKGMKALMKLYKEMELDLSVAAGPTTDEPATGDARNMPDEKIPSGEKNQVPLTDQTASCMHNSGEKVPLNPVISEISAERPSVEIKREGEPSVVDTKMPGQRLEGSYVVGGSPTGWNFFMWPGSRAV >LPERR04G17370.1 pep chromosome:Lperr_V1.4:4:16890899:16893342:1 gene:LPERR04G17370 transcript:LPERR04G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGVATTQREAQAHGTRGAVVAPSRRRDTFPAGSEAVALLLLILFCSLFGSSHQSIHKLYRKCWSSNSHSMLNMLSISKSLGQRIRMKRIHVSSHATDLMNTPQEHEDNVFYKLVYRLPENLSWLLASPEMAKRPAPKKKLKKEEMVAGNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKKIEGMRTDQVILEVLHWSEDPNEVQRLAARKEVIYQTLRGRFYQLRPGVLDFLNALVDFDIPIAITTTRPRLILEEGIKAVALQGYFDAIVGAEDFCRGKPEGEIFEVAAGQLGLEPDVCLVLGNSNLTIESAHTAGMRCVAVASRHPAYELQAANHVVRWLDQLSVADLQRLANGEILGSRGRGSDMEMEIVVEE >LPERR04G17380.1 pep chromosome:Lperr_V1.4:4:16899470:16906657:-1 gene:LPERR04G17380 transcript:LPERR04G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPDQEEPLQPGTKPFRNQLAAVVRSISWSYAIFWSISTSRPGVLTWNDGFYNGEVKTRKLSNSADLTADEIILQRSEQLRELYSSLLSGECDHRARRPVTALSPEDLGDTEWYYVICMTYVFRPGQGLPGRSFASNGFVWLCNAQSTDSKTFARALLAKSASIQTIVCVPFMSGVLELGTTDLVMEEPNLVDRITTSFREPRFSVCLEVPDSSPSLNEMGDADNIVLEDLIDNAIEEEQMIQPAEHELGNAVVVADQHVSNANNGLEQITMEIDELYSLCEEVDLDNALSLEHFNSYWPMNTGSFQPVRTSSPEAMAATTAAAASDVHGVVSITSCFMTWKRANLDEVAISHTGIESQKLLKKTVDGGTWMNNNGRGSVAVTQESSIKKHVMSERSRREKLNEMFLILKSLVPSIHKMDKASTLAETITYIKELEKRASTPDGLFRLKIQAKFACSGEVAPGRIIEAFQNAIGI >LPERR04G17380.2 pep chromosome:Lperr_V1.4:4:16899472:16906658:-1 gene:LPERR04G17380 transcript:LPERR04G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVFRPGQGLPGRSFASNGFVWLCNAQSTDSKTFARALLAKSASIQTIVCVPFMSGVLELGTTDLVMEEPNLVDRITTSFREPRFSVCLEVPDSSPSLNEMGDADNIVLEDLIDNAIEEEQMIQPAEHELGNAVVVADQHVSNANNGLEQITMEIDELYSLCEEVDLDNALSLEHFNSYWPMNTGSFQPVRTSSPEAMAATTAAAASDVHGVVSITSCFMTWKRANLDEVAISHTGIESQKLLKKTVDGGTWMNNNGRGSVAVTQESSIKKHVMSERSRREKLNEMFLILKSLVPSIHKMDKASTLAETITYIKELEKRASTPDGLFRLKIQAKFACSGEVAPGRIIEAFQNAIGI >LPERR04G17380.3 pep chromosome:Lperr_V1.4:4:16899470:16905798:-1 gene:LPERR04G17380 transcript:LPERR04G17380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVFRPGQGLPGRSFASNGFVWLCNAQSTDSKTFARALLAKSASIQTIVCVPFMSGVLELGTTDLVMEEPNLVDRITTSFREPRFSVCLEVPDSSPSLNEMGDADNIVLEDLIDNAIEEEQMIQPAEHELGNAVVVADQHVSNANNGLEQITMEIDELYSLCEEVDLDNALSLEHFNSYWPMNTGSFQPVRTSSPEAMAATTAAAASDVHGVVSITSCFMTWKRANLDEVAISHTGIESQKLLKKTVDGGTWMNNNGRGSVAVTQESSIKKHVMSERSRREKLNEMFLILKSLVPSIHKMDKASTLAETITYIKELEKRASTPDGLFRLKIQAKFACSGEVAPGRIIEAFQNAIGI >LPERR04G17390.1 pep chromosome:Lperr_V1.4:4:16921981:16929966:-1 gene:LPERR04G17390 transcript:LPERR04G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGHPPAPPLVVMASAPPVREEPVQPGTKPFRSQLAAAVRSISWSYAIFWSISTSRPGVLTWNDGFYNGEVKTRKLSNSGDLTANEIILQRSEQLRELYSSLLSGECNHRARRPVAALSPEDLGDTEWYYVICMTYVFRPGQGLPGRSFASNGSVWLYNAQSADSRTFARALLAKNASIQTIICIPFMSGVLELGTTDLVMEQPNLVDRITASFGEPGFSACLEVPNSSPSPNEVGDADDIVLEDLIDNAIEEEQMIQPGEHELGNAVVVADQHVSNAYDGLEQITMEIDELYSLCKELDMDTSLSLEHDNSYWPMNIGSFQLVRTFSPVAMDATAAAAVSDVDGVASITSCFMTWKRANLDEIPVSQTGIESQKLLKKAVDGGTWMNNNGRGSVAMTQKSSIKNHVMSERRRREKLSEMFLILKSLVPSIHKMHKASILAETITYIKELEKRVKELEFNSEPSHQRSTKVPSNMNVIVIDKIVHLDVCLFG >LPERR04G17390.2 pep chromosome:Lperr_V1.4:4:16921981:16929423:-1 gene:LPERR04G17390 transcript:LPERR04G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVREEPVQPGTKPFRSQLAAAVRSISWSYAIFWSISTSRPGVLTWNDGFYNGEVKTRKLSNSGDLTANEIILQRSEQLRELYSSLLSGECNHRARRPVAALSPEDLGDTEWYYVICMTYVFRPGQGLPGRSFASNGSVWLYNAQSADSRTFARALLAKNASIQTIICIPFMSGVLELGTTDLVMEQPNLVDRITASFGEPGFSACLEVPNSSPSPNEVGDADDIVLEDLIDNAIEEEQMIQPGEHELGNAVVVADQHVSNAYDGLEQITMEIDELYSLCKELDMDTSLSLEHDNSYWPMNIGSFQLVRTFSPVAMDATAAAAVSDVDGVASITSCFMTWKRANLDEIPVSQTGIESQKLLKKAVDGGTWMNNNGRGSVAMTQKSSIKNHVMSERRRREKLSEMFLILKSLVPSIHKMHKASILAETITYIKELEKRVKELEFNSEPSHQRSTKVPSNMNVIVIDKIVHLDVCLFG >LPERR04G17390.3 pep chromosome:Lperr_V1.4:4:16921981:16929966:-1 gene:LPERR04G17390 transcript:LPERR04G17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGHPPAPPLVLTWNDGFYNGEVKTRKLSNSGDLTANEIILQRSEQLRELYSSLLSGECNHRARRPVAALSPEDLGDTEWYYVICMTYVFRPGQGLPGRSFASNGSVWLYNAQSADSRTFARALLAKNASIQTIICIPFMSGVLELGTTDLVMEQPNLVDRITASFGEPGFSACLEVPNSSPSPNEVGDADDIVLEDLIDNAIEEEQMIQPGEHELGNAVVVADQHVSNAYDGLEQITMEIDELYSLCKELDMDTSLSLEHDNSYWPMNIGSFQLVRTFSPVAMDATAAAAVSDVDGVASITSCFMTWKRANLDEIPVSQTGIESQKLLKKAVDGGTWMNNNGRGSVAMTQKSSIKNHVMSERRRREKLSEMFLILKSLVPSIHKMHKASILAETITYIKELEKRVKELEFNSEPSHQRSTKVPSNMNVIVIDKIVHLDVCLFG >LPERR04G17400.1 pep chromosome:Lperr_V1.4:4:16943348:16948554:-1 gene:LPERR04G17400 transcript:LPERR04G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAFRPGQGLPGKSFESNEFVWLSNAHSADRKTFQRALIAKTIVCVPFMHGVLELGTTDRVLEDPALVDRVAASFWETPFRVVCSPEAPSSSPPPNDETADAADIVFEDLDNDNAVRETTTVPAEESNEIGRHREVAELESNGANDQQITMDIGEIYSLCEELDVDVDVVRTLDDDSSWAVDPWSFQLVPTLSSPPDAAGVATHADDIAALDGSCRSSSCFVEWKKTPNSDEVAVPLTSGGDSQRLLKKSVAGGAWMNNGGGGSAAMTQGSSIKNHVMSERRRREKLNEMFLILKSVVPSIHKVDKASILAETIAYLKELQKRVEELESNNEPSRRPIETRRRRCREVTRKKAYAGAKRKASPELVSGGSDDDDDGGTDGERHCVSNVNVTIMENKEVLLEMQCQWKELLMARVFDAIKGVFLDVLSVQASTSDGLLGLKIQAKFANSAAVEPGVITEALRKAIAS >LPERR04G17400.2 pep chromosome:Lperr_V1.4:4:16943441:16948554:-1 gene:LPERR04G17400 transcript:LPERR04G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAFRPGQGLPGKSFESNEFVWLSNAHSADRKTFQRALIAKTIVCVPFMHGVLELGTTDRVLEDPALVDRVAASFWETPFRVVCSPEAPSSSPPPNDETADAADIVFEDLDNDNAVRETTTVPAEESNEIGRHREVAELESNGANDQQITMDIGEIYSLCEELDVDVDVVRTLDDDSSWAVDPWSFQLVPTLSSPPDAAGVATHADDIAALDGSCRSSSCFVEWKKTPNSDEVAVPLTSGGDSQRLLKKSVAGGAWMNNGGGGSAAMTQGSSIKNHVMSERRRREKLNEMFLILKSVVPSIHKVDKASILAETIAYLKELQKRVEELESNNEPSRRPIETRRRRCREVTRKKAYAGAKRKASPELVSGGSDDDDDGGTDGERHCVSNVNVTIMENKEVLLEMQCQWKELLMARVFDAIKGVFLDVLSVQASTSDGLLGLKIQAKVSAAAQSLLAAEMQLASICISITTIYICLEF >LPERR04G17410.1 pep chromosome:Lperr_V1.4:4:16964362:16965651:-1 gene:LPERR04G17410 transcript:LPERR04G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRAEEIAAPSRSLSKAVRRQAAAVSEKLAARGLNAFVWKKKLERDLSRGVLPDIVSLRAERRRCIARHREAAGVKASAAVSRRRSPPPVDVDASSRARAEEEAAFLLEQSRLRAEARFASGRPKPIDVLVKSLDGTRPCALAAFRGASVEELKELAEEIAAYADLDTTNRPFWEAAKVTCDAEIGKAAWHDDGERALHPAVFADVKGVVEGKSLAELDSMQHAISTQMATGEAKVVEHWQEVTELIRVEKARKYLEQYSTCNDAPSPDKNDGDQPESINGNAEDADEEGSIALCPVALPSPAPGQEWRKPKYIARVRSGFEWNKYNRVHYDHDHPPPKTVKGYKFVLYYPDLAGRKPPQYTVGEDNNGGSGETCIIRFHAGWPYEDVAFRIVNKEWEYSRKAGFKCTFDRGVLHLNFQFKRFFYKR >LPERR04G17420.1 pep chromosome:Lperr_V1.4:4:16966081:16973384:1 gene:LPERR04G17420 transcript:LPERR04G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPGSSIRRIADAVLVKRYEPGDYVAREGEPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWNAEDTPENYSLLEQILHLEPLEVDIFRGYTLPGAPTFRQALAAASKTVDCLKVVHSLHAIFLIAGDNDLPIIYQVQRVRDGTSFATRKVEAKQKGLVVFTLFASFQKDELGFEHQAATMPDVPPPEQLLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSSSQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQTAQTPRPKL >LPERR04G17420.2 pep chromosome:Lperr_V1.4:4:16966081:16973384:1 gene:LPERR04G17420 transcript:LPERR04G17420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGKAEQNLLNLGAILANPKGGCHWCAVTEFLGQVPLLQCLPGSSIRRIADAVLVKRYEPGDYVAREGEPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWNAEDTPENYSLLEQILHLEPLEVDIFRGYTLPGAPTFRQALAAASKTVDCLKVVHSLHAIFLIAGDNDLPIIYQVQRVRDGTSFATRKVEAKQKGLVVFTLFASFQKDELGFEHQAATMPDVPPPEQLLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSSSQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQTAQTPRPKL >LPERR04G17430.1 pep chromosome:Lperr_V1.4:4:16971840:16974091:-1 gene:LPERR04G17430 transcript:LPERR04G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLTPRQDGASRSHPKRKIPISTADAVAREDAAEEEDIEELEREVDRLGRRLLEQRRDAATRLLDAGVSRLTALRPRLRLEDTTGPQSVGTPVAKANQENIDKLKTIKSKVEANIAAMPMILKTVNQSISQIEKMEHLNVNVQNHESLPSNRNVQQVDAIIND >LPERR04G17440.1 pep chromosome:Lperr_V1.4:4:16984011:16984352:-1 gene:LPERR04G17440 transcript:LPERR04G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEGDDVDAYGRATMRGGAASAAVASCWGRLGLAALWHRLLQLRLARRRRRHGGRTSILGGGGLNYDPLSYAQNFDDGSLDPDFTARFAPPRKAGSPRSPPPSAPAPATA >LPERR04G17450.1 pep chromosome:Lperr_V1.4:4:16985141:16987543:1 gene:LPERR04G17450 transcript:LPERR04G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHVRCSLPRLAIVGAAVVVLVSLAATPAASHGGPDTGVHSNYLIIVRKPYEYDTNVYKNVSSWHASLVASVCDMAKEALESDPSSVSRLIYSYRNVVNGFSARLTTEEVAEMEKMDWFVRAYPEKTYQLLTTHTPQLLGLMGPGSRRGASAGVWNTSNMGEGVIIGILDDGIYAGHPSFDGKDMKPPPAKWNGRCDFNKTVCNNKLIGARSFFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVPGANVFGNALGTASGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQADDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVLTVGAGTTDRRFVASVKLGSDITLDGESLSEPKNFGDEMRPLVRDVGDGMCTSENVLRAMNVTGKIIICDAGGDISTAKAKLVLRAGAAGMIVVAPQVFGSVIVPRPHALPTVQVPFANGQKIKAYIRATQNVTANFIFKGTVLNTPKSPIVAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDIDLAPNAEMPKFDIKSGTSMAAPHLSGIAALIKNAHPTWSPAAIKSALMTTTDTTDNLRKPISDVDGTPAAYYAIGAGHVNARKAMDPGLVYNLSAADYVPYLCGLGYTDQKVNSIIHPAPPVECGKMMKLEQKDLNYPSITAILDKEPFAVNIDRSVTNVGTASSTYTVEVNVPATLSVEVKPTTLEFKALNEVLNYTVSVKTASGQAPASAIEGQLTWSSGKYVVRSPVLIIPGTGAKSSASAVAKQPTGGT >LPERR04G17460.1 pep chromosome:Lperr_V1.4:4:16990526:16992826:-1 gene:LPERR04G17460 transcript:LPERR04G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCKLSFLSFLPFVFLSIAVVATGDELQTFIVHVQQQESHLVATADDRKAWYQTFLPEDGRMLHAYHHVTSGFAARLTQQELDAVSAMPGFVSAVPDQLHTLQTTHTPQFLGLGAPAPPPTHGNRGSSSYGGAGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGRCDFNGGSVCNNKLIGARTFIANATNSSSSSYGQPLPPVDKVGHGTHTASTAAGAVVPGAHVLGQGLGVGAGIAPRAHVAVYKVCPNDSCAVSDILAGIDASVADGCDVISISIGSPPVPFHKNPVAIAALGATEKGVFVSMAAGNAGPNVSSVINDAPWILTVAASTMDRSIRTTVRLGNGLHFHGESLYQPNVNNSPNTFYPLVYAGASGKPFAELCGNGSLDGLDVRGKIVVCEFGAGPNLTITRITKGAVVQSAGGVGMILPNHFVQGYTTLAEAHVLPASHVDFVAGAAIMAYINSTANPVAQILPRGTILGTTPAPAMAFFSSRGPSIQSPGILKPDITGPGVNVLAAWPFQVGPPSAAPFFSGPTFNIISGTSMSTPHLSGVAAFIKSRHPNWSPAAIKSAIMTTADITDRSGNPILDEQQKPANFFVTGTGHVNPEKAAHPGLVYDIAPCDYIGYLCGMYTSQEVSVIARRPVNCSTVTVIPEHQLNYPSISVTFPQAYNSTTPVLVKRTAKNVGKVPSEYYAAVDMLDTTVTVRVFPSTLQFTEVNQEKDFTVVVWPGQGGARVVQGAVRWVSERHTVRSPVSITFA >LPERR04G17470.1 pep chromosome:Lperr_V1.4:4:16998395:17003706:1 gene:LPERR04G17470 transcript:LPERR04G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSTTCDESSEVDARDDYGDIDDVERLRERGCRHRREASSDVSSECSGEPGSPYGSPYPRWPVCSIAKAPPPPLLQKLGTARRGNTGRDRKAATGDGELQLIKERFSKLLLGEDMSGSGKGVSTAVAISNAITNLYATVFGGCHRLEPLPAEKRTMWRREMDCLPSVCDYIVELFPTKEIMPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDSCKDAAVPCRPVSHRGGEKWWLPVPCVTKPGLTESARRDLRQKHDCASQIHKAAMAINNGCGRASVGDMIYRHMSFPGKFSPEYLLDRLEISSEHGALEVADRVEAAMHVWLRKASQGHSRSPWSAVKDLMESDKNVMLASRAGDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLLADESARKI >LPERR04G17480.1 pep chromosome:Lperr_V1.4:4:17001007:17005110:-1 gene:LPERR04G17480 transcript:LPERR04G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSYKQRRKSEKVHMGCMSGLIHMFDFRRSPKLISDGSHAIRKSSVRSGLKGSEDSHGIIFSDEDRDYEVRTVYGGRPSIKALMEEEMASGTLILKETQRNTFGLHSDDLKYVNLQGSDIDLDLATSLMELYRNHNGGHDDTSEVSDHSSDLIDKEHNTDAGIHPKRIPCSIEKALEAVAEAVISHQSANPKYTSRGCEASSNEFLDALQLLSSNEEFFLMLLKDPSSRMLQSLQNLYTALGSPMLKLAEDDKKTNSKVTSNSLAQSEVSKYSVPKIHNSFLKEDKLVTRKPPKLNDSSRGISRIVILKPSPARSQTTLVSSSAISSPISTHTDSQGQENNDKYPQHFSLRELKRRLRLALSNNRKDIMSGTFQKETQQFLLESMSTSVSSMDSSQCEKDKKPSIVDKKTNPEDSGSGMGNDATHCVSSFFYEKAKKHLIERLDKQKNDTSQMVQKSEPFGKLLSYSENGTFSPTDCPQEDVNLSEDSTASSASLTTEQEDSSSNSNAPWSLDELILSDTSTLANTQPHDHVSHQIKEGTISQELTSEGIDSKNDAADTPQLCIQIEHSTEILEQINTDQCFAEESQPMNVFPEEPLYAPENLVKEQDNHSPSAVVGLTKPSMLTFSCSSENADEKEERLSPQSVLDSFLGDGISPSHKTRKQDELPMPSTRIPFKEADTPSGTSTLHNTPQEVILDDKQARLSFIKVVLEASDLLTEESSEIWYMDEPLLDTSVLAEVGTSYCLTDDAVLIFDCVEEALFKIRDNFFGCDPWVAYLKHNVRPAPVGTELVQEVAKCIDSLVSDQFSSTMDQVVLKDLESGSWMDLRGDTEGVVIEVWDSLLDDLLEEMVFDLWL >LPERR04G17490.1 pep chromosome:Lperr_V1.4:4:17010948:17011337:-1 gene:LPERR04G17490 transcript:LPERR04G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDHYQIKEQIATQSLHKHIEPAGDEEQRGKYGDGDLSSSKQPQGGEVARGTYKEDPATVAITGRDAPAAATRTPLDLIHRQIHEPPQDPEARLDTALLAAWLDTTEVILEMKASAAAASPRSSFCL >LPERR04G17500.1 pep chromosome:Lperr_V1.4:4:17012786:17018791:-1 gene:LPERR04G17500 transcript:LPERR04G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPCAAPGPGRGGAVPPPTRGHRPLSRPILKRSAVAAGAVAVSRGAVNRRTRSRWSTLLTAAYNTGTADLVDFNWDSLGFQLVPTDFMYVMRCSSDGAFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRIGADRLCMPAPSVEQFLEAIKLTILANKRWDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVHANKRAKERGHSDVLYLDPVHQKFVEEVSSCNIFMVKDSIISTPLLTGTILPGITRRSIIEYACSLGFQVEERLITIDELLDADEVFCTGTSVVLSPVGCIVYKGRRVEYGNQKFGIVSQQLYSALAAIQKGLVVDSTHCIAISWVQSNSEKIVGSRAGEWMEVIDHYQIKEQIATQSLHKHIEPAGDEEQRGKYGDGDLSSSKQPQGGEVARGTYKEDPATVAITGRDAPAAATRTPLDLIHRQIHEPPQDPEARLDTALLAAWLDTTEVILEMKASAAAASPRSSFCL >LPERR04G17510.1 pep chromosome:Lperr_V1.4:4:17021505:17022124:-1 gene:LPERR04G17510 transcript:LPERR04G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRRFRFKIVVEDDLAGDGFTEEDLEAADQLMQLSGRGARQEDEDRSSLQEDNAEMMAEQDDDDDDDDWGTRDRKRKRPRFRSLSELLEVKDGGSRRGFGELGI >LPERR04G17520.1 pep chromosome:Lperr_V1.4:4:17029250:17033958:1 gene:LPERR04G17520 transcript:LPERR04G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYVTILTVMGVNNSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQKGLYESTGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNRDHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >LPERR04G17530.1 pep chromosome:Lperr_V1.4:4:17033249:17037978:-1 gene:LPERR04G17530 transcript:LPERR04G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLWWGKSSSKDAKKSTKENLIDTFHRLISPNEQKGSVKSKRSCRRGNDSAVEKGCRSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGIRPPVTRTVSDITESKPILEKRGKPPLLLPLPKPNRPQRRHGNCDVVSEIVVASPSSNCSDSDDHGDSQLQSPVGNDAENATLVTVKSKSSNVRKECPGPITAKNMKEMQRPAQLHGNHILSTSPRGFAAENYQSNSQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPVSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPTAMELLQHPFIQKAVSLEKSVLPDPLEHLAVISCRPNVKLPDGCTYKKYFLIGIGGSDDLPKEGCKIFFKTQELVLSPNIVCNKAKQLSKNQSSPEYSLMKKRMLNKKRQPTYINDGFAITSRSPDDLFANRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDRLSPSEQFTHHAFVDHVKLNPSLDLTSGSRHLGLKHGN >LPERR04G17540.1 pep chromosome:Lperr_V1.4:4:17043291:17044916:-1 gene:LPERR04G17540 transcript:LPERR04G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKWAVVVAAVAAYMAWFWRMSRGLSGPRVWPLVGSLPGLVQHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHNRLLPILGDAAAACGSVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDSATEATLNRFIFPECVWRLKKWLGLGMETTLARSVQHVDRYLSAVIKARKLELAGKGDAATASAGATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERRIVRELCAVLAASRGADDPALWLTAPLEFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGARFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHCLAVAPGHRVEQKMSLTLFMKHGLRMVVRPRDLAPIVDELRGVGEFAAAARATAACA >LPERR04G17550.1 pep chromosome:Lperr_V1.4:4:17045971:17048699:1 gene:LPERR04G17550 transcript:LPERR04G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAPLRGSPRKLLSPDPLVSLAVVRQRHRRSSSLPFPRPVPSRAGSSPRSPLLRSPPPLTEQQARKMGEADNGKWEAKKTGLEGTGLSLPVSSHGNLRSAGSDQQLKQMLDSLKSSKSPLQNDNAKNGCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLATYSIISELVQLFFSRAYVKTEKNAGYNYADISLPIMVLEQISANHVSWKWAG >LPERR04G17560.1 pep chromosome:Lperr_V1.4:4:17049483:17052311:-1 gene:LPERR04G17560 transcript:LPERR04G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLSMHKIRDKCPLYRPDGSSVDPEVDKKVEGTFNKLLDKASLLRASMGDVAMDVSLGAALETLRQTDGDVSTEQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAESMPIAYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNEGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSQRGEFFLFYSYATVAGGPLLMALVAGEAAQNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLVDLFRQPDLEFGSFAVIFGGKASDPKSPAILKVELGGVRKKGATEGGKTDQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNNSRTKTRPSKLKIGIPKSKS >LPERR04G17570.1 pep chromosome:Lperr_V1.4:4:17052995:17056221:-1 gene:LPERR04G17570 transcript:LPERR04G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFLLLLVDLLLFAHGITSSAISTPPPLPILPVPSYAQLQWQLSEMALFLHFGPNTFTDSEWGTGHADPSVFAPSALDAGQWARVAAAGGFRRVVLTTKHHDGFCLWPSALTNYSVAASPWKGGTGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYMGQMTELLTRYGDVDEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSMVTIGHIIPEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISAEDMQVLWEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQNSWAMLFDLRQSASFNVIQLQEPIQMGQRVIKFHVEILVNELWQTIVEGTTIGFKRLFQFPVIEGQLLKLSIDSARADPLISFFGVFMDPFSVIYSLEKHDKPSDVNSNEVIMLRTDHSFGNKSTATM >LPERR04G17580.1 pep chromosome:Lperr_V1.4:4:17056552:17062193:1 gene:LPERR04G17580 transcript:LPERR04G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17580.2 pep chromosome:Lperr_V1.4:4:17056552:17062198:1 gene:LPERR04G17580 transcript:LPERR04G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17580.3 pep chromosome:Lperr_V1.4:4:17056552:17062198:1 gene:LPERR04G17580 transcript:LPERR04G17580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17580.4 pep chromosome:Lperr_V1.4:4:17056552:17062931:1 gene:LPERR04G17580 transcript:LPERR04G17580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17580.5 pep chromosome:Lperr_V1.4:4:17056552:17062198:1 gene:LPERR04G17580 transcript:LPERR04G17580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17580.6 pep chromosome:Lperr_V1.4:4:17056552:17062198:1 gene:LPERR04G17580 transcript:LPERR04G17580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTPLAAGPVAGGRFPLPSVRSRRRRGRSVRADASSEADTRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLFGAESGPTEEFCFSDPCIVVAHRVLVEFPIFHDQPRLPAPFGMLAYPEFPSLPVIDRLTSIPVMTAVIDFDNTDNAWMKYDAMSARELFKMFGCSQRLYNEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDMIFSPWLKSLELKGVKFRGNRVPTSLVINKDTACVSGIVCGEESQQDFANLLHLSTIDVITVKLWFDKKITISKVANVCSGFDNPSGWTFFDLTSIYDDYTGNSTTVVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEANVIQHIVRRSPKSTMHSLPGSYKYTLRGSTTLANLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEGDEPHIETARDLNRRANELKTQIPFSEFFLQ >LPERR04G17590.1 pep chromosome:Lperr_V1.4:4:17060598:17063049:-1 gene:LPERR04G17590 transcript:LPERR04G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEIPITSGTMRRPTTEAERGKTRGGGDQPATWRRPSFPRHAAPSYRPRPPPGSTASSRTAAGALSRRASGGGGEVGPILQRPMVDVRTVYQLERKLGSGQFGTTYLCTERATGLRYACKSVSKRKLLRRADVDDIRREITILQHLSGQPNIVEFRGAYEDTENVHLVMEFCSGGELFDRITAKGSYSERQAAAVIRDIITVVHVCHFMGVIHRDLKPENFLLASAADDAPLKAIDFGLSVFIEEGKVYKEIVGSAYYVAPEVLQRNYGKEADVWSAGVILYILLCGAPPFWAETEKGIFDAILVGQLDFSSTPWPSISESAKDLIRQMLNRDPQKRITASQALEHRWLKEGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKVGLTKLGSKISEAEVQKLLEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMSDEANIKEVLDEVDKDKDGRIDYEEFVEMMRKGIQT >LPERR04G17600.1 pep chromosome:Lperr_V1.4:4:17064315:17066937:1 gene:LPERR04G17600 transcript:LPERR04G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRASIRVCLQSKGQQPTGDTFTKTLKQPAIAPKKMAVPVYSITRAEIEEFWRRKEMAEEEQRLTSEKEAARIKAKTLMIEDYELFEQMIREILEEGRKGDRSRTDRDITTNGGAASKSTEARIGIRDWWKKSTYAYLNEPAMTSMDENGRRKRAIKYIPQERCMKFFPSIPSQHNTATFAIF >LPERR04G17610.1 pep chromosome:Lperr_V1.4:4:17076535:17077342:-1 gene:LPERR04G17610 transcript:LPERR04G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATEMEMLLEKKQVVTVQGRDKTRRPIVRIVGKNFPARELGGRGGGQAEAALKGYVRRRVLPAIGETEFVVVYMHSGVDRGENFPGVAAIRAAYESMPAAVRERLHAVYFVHPGLQSRLFFATIGRFIFSSGLYGKLRYVSRLEYLWAHVPKGELDVPEVVRRHDDELERRPLMDYGIEASERCGVFDAASMDTTASLRSLRCVS >LPERR04G17620.1 pep chromosome:Lperr_V1.4:4:17078940:17083286:1 gene:LPERR04G17620 transcript:LPERR04G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPPLRYPPARRDDDIVDDYHGVLVPDPYRWMEELESKEVKEFVDAQATVADAVLSTCDDHRGRLRKQLTALFDHPRFRAPFKRGGSYFYLHNAGLQPHSALYVQHGLGGEPDVLLDPNTFSDDATVSLAMFGVSNDGEHLAYGTSASGSDWVIIRVMRVRDRRHLHDEISWVKFSGIAWTRDGKGFFYSRFPAPEHDVGSGIKTSVNLNHEVFYHILGTDQSEDVLCWEDPEHPKYIYSPEVSEDGKYVILSVAETSEPINKLYYCDLTALPDGLEGMRGNHGMLPFIKLVDEFEAYYALIANDDTQFTFLTNKDAPKYKLSKVDVDKPHSWMDVLPEDEKAVLESACPVHGNKLLVNYLSDVKYVLQIRSLVTGELLHDIPIDIGSVNGMSGRREDSEVFIDFSSFLTPGIIYRCNVSKETPEMNIYREISVAGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKDTTLDGSHPTLLYGYGGFGMNMTPQFSITRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCIEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVKRPWEKGGRSQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSLEDSPQTNPIIARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGVSWID >LPERR04G17630.1 pep chromosome:Lperr_V1.4:4:17084398:17086708:1 gene:LPERR04G17630 transcript:LPERR04G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMCPAARKASRVGAPAPKVTEAELARRREEERLRLERESEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQTATWVRTSSDVHGLNMLESIGFSANNSKDDAEVHLNVSDIELQYLSSAA >LPERR04G17630.2 pep chromosome:Lperr_V1.4:4:17084398:17086708:1 gene:LPERR04G17630 transcript:LPERR04G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMCVNSKAEAARERRSVAEADRRERPAARKASRVGAPAPKVTEAELARRREEERLRLERESEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQTATWVRTSSDVHGLNMLESIGFSANNSKDDAEVHLNVSDIELQYLSSAA >LPERR04G17640.1 pep chromosome:Lperr_V1.4:4:17086692:17091732:-1 gene:LPERR04G17640 transcript:LPERR04G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAEVDAEASSILERAAASSFPPLHVVHHLLSVGPTMSVKEALRILLVPSLEKQMIQETEAIQEFCSLFQLPPEKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAARREDIDVGMLGSGCPFLVEVLNVRSIPSANEVQQIAAKINDSEKKYARIRNIKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLRDDDLRNISLIKDMYWRDVKRQS >LPERR04G17650.1 pep chromosome:Lperr_V1.4:4:17094305:17095678:-1 gene:LPERR04G17650 transcript:LPERR04G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRGAVALVAAVCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNYLANSKQNCKANYPRYGVDYPFQSPTGRFSNGYNLADQLAQKLGFDKSPPPYLSLPDVAIIPQMSKGINFASGGSGLLDSTGPAVCTQVFNLTAQVQSFTSAVQKMGNGTADLISRSLIFVNTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKSQLKLLYGAGARKFSVVSPSLVGCCPSQRGIGHDKNDLDRYGCFRAPNFLSRQMYPMLDSMLRGLATDLPGMRYSLGDSVGMAELVLNGTVLPGANFTVLDRPCCGDGGAGGCNGTATLCQDRGSYLFWDGFHPTDAASSVFARELFADPGVFVHPINVHELAELRP >LPERR04G17660.1 pep chromosome:Lperr_V1.4:4:17098371:17101916:-1 gene:LPERR04G17660 transcript:LPERR04G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRSTGALAAICFYMFVFVSFARAEAPYKFFDWEVTTGNINPLGVRQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPNGLQHRKNSWQDGVSGTNCPIPPGQNFTYHMQAKDQIGSFFYFPSLAFHKAGGGFGAIRIHSRPLVPVPFDQPADEYTLLIGDWYKTSHKDLKDTLDSGKQLPFPDGILINGKGPNGADFTVQQGKTYRLRVSNVALQSTLNLKIQDHNMTLVEVEGTHTVQNTYSSLDVHAGQSLSVLFTANRPAGVYQIAVSTRFAKRALNSTAVLRYAGSSGAPVSGPPPQDGPVNDDLAFSLDQARSIRMNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGQVAGKQRYAVNGVSFVEANTPLKLADYYNISGVFRLGSIPDAPPAGTAALRSEAAVMDSDHRSFLEVVFENGEDSVQSWHLDGYSAFVVGMEKGVWSEQSRKGYNLVDAVSRCTVQVYPKAWTTVLVALDNVGMWNVRSEDWARRYLGQQFYLRVYTPSHSFRDELPIPSNALRCGRATSGSRVTLSRY >LPERR04G17660.2 pep chromosome:Lperr_V1.4:4:17098371:17101916:-1 gene:LPERR04G17660 transcript:LPERR04G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRSTGALAAICFYMFVFVSFARAEAPYKFFDWEVTTGNINPLGVRQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPFLLSWQHRKNSWQDGVSGTNCPIPPGQNFTYHMQAKDQIGSFFYFPSLAFHKAGGGFGAIRIHSRPLVPVPFDQPADEYTLLIGDWYKTSHKDLKDTLDSGKQLPFPDGILINGKGPNGADFTVQQGKTYRLRVSNVALQSTLNLKIQDHNMTLVEVEGTHTVQNTYSSLDVHAGQSLSVLFTANRPAGVYQIAVSTRFAKRALNSTAVLRYAGSSGAPVSGPPPQDGPVNDDLAFSLDQARSIRMNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGQVAGKQRYAVNGVSFVEANTPLKLADYYNISGVFRLGSIPDAPPAGTAALRSEAAVMDSDHRSFLEVVFENGEDSVQSWHLDGYSAFVVGMEKGVWSEQSRKGYNLVDAVSRCTVQVYPKAWTTVLVALDNVGMWNVRSEDWARRYLGQQFYLRVYTPSHSFRDELPIPSNALRCGRATSGSRVTLSRY >LPERR04G17670.1 pep chromosome:Lperr_V1.4:4:17105801:17112730:1 gene:LPERR04G17670 transcript:LPERR04G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKWCEAEAVHNSIWFAGFTYLGTIPLYSYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQELAGLSIPHNSLNLYLLVSYHSPQFEKFNVCYCIVLMCSGGGWSEIMWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17670.2 pep chromosome:Lperr_V1.4:4:17105801:17112730:1 gene:LPERR04G17670 transcript:LPERR04G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKWCEAEAVHNSIWFAGFTYLGTIPLYSYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQELAGLSIPHNSLNLYLLVSYHSPQFEKFNVCYCIVLMCSGGGWSEIMWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17670.3 pep chromosome:Lperr_V1.4:4:17105801:17112730:1 gene:LPERR04G17670 transcript:LPERR04G17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKWCEAEAVHNSIWFAGFTYLGTIPLYSYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQPPRTKHCHDCDECVLQFDHHCIWLATCIGKKNYCRFWWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17670.4 pep chromosome:Lperr_V1.4:4:17105801:17112730:1 gene:LPERR04G17670 transcript:LPERR04G17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKCYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQELAGLSIPHNSLNLYLLVSYHSPQFEKFNVCYCIVLMCSGGGWSEIMWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17670.5 pep chromosome:Lperr_V1.4:4:17105801:17112407:1 gene:LPERR04G17670 transcript:LPERR04G17670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKWCEAEAVHNSIWFAGFTYLGTIPLYSYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQELAGLSIPHNSLNLYLLVSYHSPQFEKFNVCYCIVLMCSGGGWSEIMWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEKPPTATGYKQSAMMR >LPERR04G17670.6 pep chromosome:Lperr_V1.4:4:17105801:17112990:1 gene:LPERR04G17670 transcript:LPERR04G17670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKWCEAEAVHNSIWFAGFTYLGTIPLYSYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQELAGLSIPHNSLNLYLLVSYHSPQFEKFNVCYCIVLMCSGGGWSEIMWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17670.7 pep chromosome:Lperr_V1.4:4:17105801:17112745:1 gene:LPERR04G17670 transcript:LPERR04G17670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKCYFLSRTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQPPRTKHCHDCDECVLQFDHHCIWLATCIGKKNYCRFWWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEAMMPRPFRIPMAPSTSLAALTGSYDTPATLPLAMDTTQIMVQ >LPERR04G17670.8 pep chromosome:Lperr_V1.4:4:17105801:17112407:1 gene:LPERR04G17670 transcript:LPERR04G17670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKCYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQPPRTKHCHDCDECVLQFDHHCIWLATCIGKKNYCRFWWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEKPPTATGYKQSAMMR >LPERR04G17670.9 pep chromosome:Lperr_V1.4:4:17105801:17112990:1 gene:LPERR04G17670 transcript:LPERR04G17670.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGARDPYAGLRALSQPERHGRKPWRGVRVFVLLVHGLFLGVLFLLDPTLEGQIREGKCYFLSRKKTGHFQKWKIKLCNESQQNRATKFTIHCTFIATTDDGSISSWNIQQPPRTKHCHDCDECVLQFDHHCIWLATCIGKKNYCRFWWYIFEQTVLTAWTVALYIQFLRLDIGVSWWKFAIGIVLLVALILILVILLSLLIFHTYLALTNQTTYEIARRKRIPYLREVPSRVHPFSKGICRNLYSLCLSKQTGFSLEPVPPLEVLEARARPYTFLDLFSCRCC >LPERR04G17680.1 pep chromosome:Lperr_V1.4:4:17111769:17113684:-1 gene:LPERR04G17680 transcript:LPERR04G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVRSAPPTPPPVATPPSQIQSPAPASSSRASPLRGLGTPNIASPVRKAVASVRGYLEEVGHVTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSFLGWTWAIVCLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMHLATTVFGEKWGKILALLPVMYLSAGNCTALIIVGGGSMKLLFSIACGEACLAHPLTAVEWYVVFVCVAVLLSQLPNLNSIAGVSLVGAAAAVAYCTMIWVVSMANGRVAGVSYDPVKAASEVDGAIGILNGLGIIAFAFRGHNLVLEIQATMPSTLKHPSHVPMWKGVKAAYLIIALCLYPVAVGGFWAYGDQIPANGILSALYKFHSRDVSRMVLGLTTLLVIVNCLTTSQIYAMPVFDNMEAGYVHKKNRPCPWWLRSGFRAFFGAVNLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMKPAKGTRMWYTNWALGSLGMGLSFVLIVGNLWGLVEKGLHVQFFKPADFQ >LPERR04G17690.1 pep chromosome:Lperr_V1.4:4:17122242:17123296:1 gene:LPERR04G17690 transcript:LPERR04G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPAAPKPAAFAAKDKDKLAAAYHVRSASLPCRFHPLVAQLDDDVAALRLVIGQSPPSSSSCSASSVSAAASQVGRVLVSLSDLLHHPQAQEPLRRLGRSTPIAERLLDDFLRLADAHGSFREALLPGNLPADAAAIAAAVADATVAVASGSGAVFSGISSLSNSAAAARVEVASTPCWVTATASDAPGTRHHRIWWVADLVRWMSRAKRRSARKQNDGGDDDEDSYSSTVQLRSDSPIKPEEKARRAALERHENLERCIASVDSSGEKVFRALVNTRVSLLNILSPTF >LPERR04G17700.1 pep chromosome:Lperr_V1.4:4:17131376:17136072:1 gene:LPERR04G17700 transcript:LPERR04G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEDQEAVALCRGRAELLDAAVRHRYALADAHGALADSLHSLAAPLHRLLLLQASSSHLTLPTSRKGASSSLPPGHPSRLDDGLGSPSGSESPSPANSPPRAVPEQLPYSHYAYGYGYGYAPPPGPAFAYPAPAPPGSLQFYYARSRPPPPSVGVAQRVPASNERVYYGSFNPTNGYPQYYAHGGEPATAAPPPPPAAAPTRSPPRESSWAFLNVFANYEPYDSSYYYDSTSAAAAAAAAYTPSRSSREVREEEGIPELEEDEDDECVYKEVASGYTHSAGSGGHRSRRSSIGSLSSVAEQENAVVDKDVVASTSEIYRRPMAHRNVAMRAPAQPTQRVAGNGGNVDVAGEIKAQLFRVAEAARELAPLLEVGKPSYHEHNNASSRLMSSIPVPNMGCKSVDFVDIRREGAVVDSKSLSSVLEKLYFWERKLYSEVKAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNALILGFVKMWQYKLHSYHTQFQVISEAKNLASVVSGGNGSDLAMELELELIKWIINFSSWVSAHRNFVKALNGWLALCLNYETGETSYGNPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVINAMQALVSSVQHLWEQQNHEEGEQRLIAIRERERWMKMLEKRKLEIKKEADELNKKLAIILNRQNLHQRPMMQTYEAHCVEASSLHINLRLVLQALENFSANSLQAFQEILRQPDS >LPERR04G17710.1 pep chromosome:Lperr_V1.4:4:17137437:17140651:-1 gene:LPERR04G17710 transcript:LPERR04G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRRRHQHHGRWVVPAVAPAAAAFAAAGLLLVVVAFHCFLSPPPLGGGGGHRVIRRPNPPFLVTIPRIKRGFFDGRCRWFMQWVLPSVSFWLPLLNAELIISFFSPFPFCFSEQLNKPGELRRNVVGTVDFTVPLPIRLILDSQSGGSKLGEELWASKAASNFFGCSNATKEFPDAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDQTSFWKDASDFADVFDVESFISSLSKDVKIIRQVPDRNGKTPYPYKMRIPRKCNPKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRDKSGRFIALHLRFEPDMLAFSGCYFGGGDIERRELSAIRKRWKTLHANNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLASKEELETFSSFSSRMAALDYIVSDKSDVFVTNNNGNMARMLAGRRLDTSGDILKRELIFRRYFGHKRTIRPNAKKLYSLFLNRTSMSWDTFSSKVQSFQKGFMGEPNEIKPGRGEFHEHPMDCICTKAKGRSGPSKAHLSNRIAQAEKHSSDGELDWRDLDYGENTPLGKEYSHDTDSEMHELEDMVSD >LPERR04G17720.1 pep chromosome:Lperr_V1.4:4:17149754:17153438:-1 gene:LPERR04G17720 transcript:LPERR04G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSQGASLAHHNVGRPTLRSSLPKDEGPQGQTAGEQLATTPLPSFPLPSPLRRRDASREITRRRPPAATPPNRVDVSCGVGRKPRSVGPLATGDLAWFFRFYEAVRVGEILYTTMRINFRLFEPLLAEYTTPTWATLVAGFFVLLSLSLSIYLIFDHLSAYNNPEEQKFVLGVILMVPCYAIESFVSLINPKTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLRREGGSASGQPLLDHASEKGVVHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLQLKSSIQDFIICIEMGIASVVHLYVFPAKPYELQANQSPGNISVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSVTNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKIQDDNWVSAASPDRPVRGIDDPLLSGSTSDSGVTKGKKHRRAVSTVAAVDSWGGGDLASDGYEIRGRRWAVKN >LPERR04G17720.2 pep chromosome:Lperr_V1.4:4:17149783:17153438:-1 gene:LPERR04G17720 transcript:LPERR04G17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSQGASLAHHNVGRPTLRSSLPKDEGPQGQTAGEQLATTPLPSFPLPSPLRRRDASREITRRRPPAATPPNRVDVSCGVGRKPRSVGPLATGDLAWFFRFYEAVRVGEILYTTMRINFRLFEPLLAEYTTPTWATLVAGFFVLLSLSLSIYLIFDHLSAYNNPEEQKFVLGVILMVPCYAIESDKTIAFLRREGGSASGQPLLDHASEKGVVHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLQLKSSIQDFIICIEMGIASVVHLYVFPAKPYELQANQSPGNISVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSVTNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKIQDDNWLLRWTVGEVVIWHLMATR >LPERR04G17720.3 pep chromosome:Lperr_V1.4:4:17149754:17153438:-1 gene:LPERR04G17720 transcript:LPERR04G17720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSQGASLAHHNVGRPTLRSSLPKDEGPQGQTAGEQLATTPLPSFPLPSPLRRRDASREITRRRPPAATPPNRVDVSCGVGRKPRSVGPLATGDLAWFFRFYEAVRVGEILYTTMRINFRLFEPLLAEYTTPTWATLVAGFFVLLSLSLSIYLIFDHLSAYNNPEEQKFVLGVILMVPCYAIESFVSLINPKTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLRREGGSASGQPLLDHASEKGVVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLQLKSSIQDFIICIEMGIASVVHLYVFPAKPYELQANQSPGNISVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSVTNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKIQDDNWVSAASPDRPVRGIDDPLLSGSTSDSGVTKGKKHRRAVSTVAAVDSWGGGDLASDGYEIRGRRWAVKN >LPERR04G17720.4 pep chromosome:Lperr_V1.4:4:17149754:17153438:-1 gene:LPERR04G17720 transcript:LPERR04G17720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSQGASLAHHNVGRPTLRSSLPKDEGPQGQTAGEQLATTPLPSFPLPSPLRRRDASREITRRRPPAATPPNRVDVSCGVGRKPRSVGPLATGDLAWFFRFYEAVRVGEILYTTMRINFRLFEPLLAEYTTPTWATLVAGFFVLLSLSLSIYLIFDHLSAYNNPEEQKFVLGVILMVPCYAIESFVSLINPKTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLRREGGSASGQPLLDHASEKGVVIIKTVTASLSLFLEAFGMGIASVVHLYVFPAKPYELQANQSPGNISVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSVTNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKIQDDNWVSAASPDRPVRGIDDPLLSGSTSDSGVTKGKKHRRAVSTVAAVDSWGGGDLASDGYEIRGRRWAVKN >LPERR04G17730.1 pep chromosome:Lperr_V1.4:4:17155097:17155324:1 gene:LPERR04G17730 transcript:LPERR04G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAHRNKVWDDFERFQDEVRDSILKNGCYMVDEGYYARSEALQAIVKEEYAKIDLSRIEFGEWDYDGDLESVQ >LPERR04G17740.1 pep chromosome:Lperr_V1.4:4:17157900:17158097:-1 gene:LPERR04G17740 transcript:LPERR04G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSLLAARRSGAGVRRLVAFDAPSFSDELQDFHACYMANLEGMKHEYLHSRAALSNDY >LPERR04G17750.1 pep chromosome:Lperr_V1.4:4:17158110:17158548:-1 gene:LPERR04G17750 transcript:LPERR04G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAIPNNKWVRDILGAPSNRAIAEYLVFWEYMQEVEPQHDVKDSVMWEMNRMGTSRYQQLIACSLWQKRDQTWASLSGRQEGHPDWANEAGLINRGLTFVIQQMKVAVICLYHASSLIEFVQC >LPERR04G17760.1 pep chromosome:Lperr_V1.4:4:17166251:17167142:1 gene:LPERR04G17760 transcript:LPERR04G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKFSHLLDAHKAYDVSFPQGCLVACCGASHGWLVLVNELANLVLYNPFTLRVIPLPPITDFTCVEAVEGNTKAYCFDEDRFYDAEYMATWFYQKAVLSRGPSKYADYAVMIIHRDADWVSFVRPGEIKWQVASTLDVRGQDRYADCVYHNGVFYIVAFQGIVEKWDLEGPNGPTKEVIVSKMQYIPKLLTRHLVSTPWGDLLQVRGINRFRNGVKFQVCEVHPDGCLGPTT >LPERR04G17770.1 pep chromosome:Lperr_V1.4:4:17169916:17172326:-1 gene:LPERR04G17770 transcript:LPERR04G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATENRRPAAAAGKTATGIGGAEMGNRRPLRDINNLVGAPPYPSAIAKKPMLEKSGKEEQKPALASRWPVTRNFAASLTRKEQLDRQNAVTDVEVICIDPQKKPIPDGAVDDDVESFQSIEDIAVDEESECGDTDDDESMMDIDSVDSGNPLAATEYVEELYKFYRENEETSCVQPTYMSSQDDINEKMRAILIDWIIEVHYKFELMDETLFLTVNTIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKWILNTLQFNMSVPTPYVFMRRFLKAAQSDKQVIYPNLLNQVFHNLNNKAPNSQYNAYCPSQLDLLSFFILELSLVEYQMLKYRPSLLAAAAVYTAQCALNRYQQWTKTCELHSRYSGDQLLECSRMMVDFHRKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESVGGYNLQEQPS >LPERR04G17780.1 pep chromosome:Lperr_V1.4:4:17174303:17189328:-1 gene:LPERR04G17780 transcript:LPERR04G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPRRGIAGGGSALAAVAVALTMQLVLVPPTSAQQSNGTSRIIPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSSRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSNYGEQLYNSCKDVKFGSLNTRAMDFLGGGAKNYKEWLAFLGRQANLNEIGSPYLITFPSDVIGSSAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGTLLTRGRTDIFSQTKPLKNAEDKLHSSNNGKVPDSSTQISEAVSTPVQSAHPSIVQSYMSTFFRKHGIFVTKHPLLVLFASLLVPILLCIGLIRFKVEIRPEKLWVSSGSRAADEKKYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTISLADICLKPLGTECATQSVLQYFQLDPKKYDDAGIEHAKFCFQHYTSEERCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYINLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRKPDGLDLEERISTALVEVGPSITLASLAEILAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCVRAKSSAVASDGGNHHELPLLARYMKDIHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQQIVLPRNSYLQDYFDDLATYMKVGPPLYFVVKNFNYSSASEHTNQICSINQCNSNSLLNEIAKQSLSPETSYITKPAASWLDDFLIWMSPEAFGCCRKFVDGSYCPPDDQCFLRSDLQNGRPSTAQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYQSGLIQASSFRTYHTPLNKQSDYVNSMKAARDFSSKMSKDLQQYLGIWKTAIINICVCLGWLLNLGYISGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMTSIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEFMWPLSKGDEATRTESTFSFIRVKVTAWRIHSIEHQYISYGSMEIGHSGTFTEHPCCHPSLPPGAKY >LPERR04G17780.2 pep chromosome:Lperr_V1.4:4:17174303:17189328:-1 gene:LPERR04G17780 transcript:LPERR04G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPRRGIAGGGSALAAVAVALTMQLVLVPPTSAQQSNGTSRIIPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSSRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSNYGEQLYNSCKDVKFGSLNTRAMDFLGGGAKNYKEWLAFLGRQANLNEIGSPYLITFPSDVIGSSAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGTLLTRGRTDIFSQTKPLKNAEDKLHSSNNGKVPDSSTQISEAVSTPVQSAHPSIVQSYMSTFFRKHGIFVTKHPLLVLFASLLVPILLCIGLIRFKVEIRPEKLWVSSGSRAADEKKYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTISLADICLKPLGTECATQSVLQYFQLDPKKYDDAGIEHAKFCFQHYTSEERCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYINLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRKPDGLDLEERISTALVEVGPSITLASLAEILAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCVRAKSSAVASDGGNHHELPLLARYMKDIHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQQIVLPRNSYLQDYFDDLATYMKVGPPLYFVVKNFNYSSASEHTNQICSINQCNSNSLLNEIAKQSLSPETSYITKPAASWLDDFLIWMSPEAFGCCRKFVDGSYCPPDDQCFLRSDLQNGRPSTAQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYQSGLIQASSFRTYHTPLNKQSDYVNSMKAARDFSSKMSKDLQQYLGIWKTAIINICGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMTSIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEFMWPLSKGDEATRTESTFSFIRVKVTAWRIHSIEHQYISYGSMEIGHSGTFTEHPCCHPSLPPGAKY >LPERR04G17780.3 pep chromosome:Lperr_V1.4:4:17174303:17189328:-1 gene:LPERR04G17780 transcript:LPERR04G17780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPRRGIAGGGSALAAVAVALTMQLVLVPPTSAQQSNGTSRIIPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSSRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSNYGEQLYNSCKDVKFGSLNTRAMDFLGGGAKNYKEWLAFLGRQANLNEIGSPYLITFPSDVIGSSAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGTLLTRGRTDIFSQTKPLKNAEDKLHSSNNGKVPDSSTQISEAVSTPVQSAHPSIVQSYMSTFFRKHGIFVTKHPLLVLFASLLVPILLCIGLIRFKVEIRPEKLWVSSGSRAADEKKYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTISLADICLKPLGTECATQSVLQYFQLDPKKYDDAGIEHAKFCFQHYTSEERCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYINLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRKPDGLDLEERISTALVEVGPSITLASLAEILAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCVRAKSSAVASDGGNHHELPLLARYMKDIHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQQIVLPRNSYLQDYFDDLATYMKVGPPLYFVVKNFNYSSASEHTNQICSINQCNSNSLLNEIAKQSLSPETSYITKPAASWLDDFLIWMSPEAFGCCRKFVDGSYCPPDDQCFLRSDLQNGRPSTAQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYQSGLIQASSFRTYHTPLNKQSDYVNSMKAARDFSSKMSKDLQGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMTSIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEFMWPLSKGDEATRTESTFSFIRVKVTAWRIHSIEHQYISYGSMEIGHSGTFTEHPCCHPSLPPGAKY >LPERR04G17780.4 pep chromosome:Lperr_V1.4:4:17174303:17189328:-1 gene:LPERR04G17780 transcript:LPERR04G17780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPRRGIAGGGSALAAVAVALTMQLVLVPPTSAQQSNGTSRIIPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSSRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSNYGEQLYNSCKDVKFGSLNTRAMDFLGGGAKNYKEWLAFLGRQANLNEIGSPYLITFPSDVIGSSAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGTLLTRGRTDIFSQTKPLKNAEDKLHSSNNGKVPDSSTQISEAVSTPVQSAHPSIVQSYMSTFFRKHGIFVTKHPLLVLFASLLVPILLCIGLIRFKVEIRPEKLWVSSGSRAADEKKYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTISLADICLKPLGTECATQSVLQYFQLDPKKYDDAGIEHAKFCFQHYTSEERCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYINLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRKPDGLDLEERISTALVEVGPSITLASLAEILAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCVRAKSSAVASDGGNHHELPLLARYMKDIHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQQIVLPRNSYLQDYFDDLATYMKVGPPLYFVVKNFNYSSASEHTNQICSINQCNSNSLLNEIAKQSLSPETSYITKPAASWLDDFLIWMSPEAFGCCRKFVDGSYCPPDDQCFLRSDLQNGRPSTAQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYQSGLIQASSFRTYHTPLNKQSDYVNSMKAARDFSSKMSKDLQQYLGIWKTAIINICGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMTSIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEFMWPLSKGDEATRTESTFSFIRVKVTAWRIHSIEHQYISYGSMEIGHSGTFTEHPCCHPSLPPGAKY >LPERR04G17790.1 pep chromosome:Lperr_V1.4:4:17197908:17200592:-1 gene:LPERR04G17790 transcript:LPERR04G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEETEAAAMKAPTPAHHHGRPQESAATARRAPNAIPSMSSGHHHHHHRHHHHNGRSSSSMSSITTRSSNSSSSNLPLSGPSNADVAAAAPPYPDQEGRILEIPNLRIFTFAELRAATRNFKPDTVLGEGGFGRVYKGWVDEKTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSEKQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAAQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSKAAQHAAQLTLRCLAGDPRSRPSMAEVVQSLEDIERIKAHPKAASASASASRDDASHGHGQHQHGHRHHHSSRARSGSDGSLSGHPSPRVR >LPERR04G17800.1 pep chromosome:Lperr_V1.4:4:17205022:17205516:1 gene:LPERR04G17800 transcript:LPERR04G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHFLTLNDSYAMARKGGVTSARGVRRGEVSRWRTEYGRERRRANARNPVGRGVAVARGVWRGEAFRQRVESDKEGRRRGHRASPARRGGVAATAESGAEGRHHGGGVQRAGEASWRPQSSARRAGVRRGGEALRPELLHLPDAYAEFPRRILGRAAGAPPPP >LPERR04G17810.1 pep chromosome:Lperr_V1.4:4:17207436:17209776:-1 gene:LPERR04G17810 transcript:LPERR04G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAVSTLSSLAVFGDHGAAAAAAVRVRSVHGYRVYGRGGRGRWERWVEREFFLSPESCREVAVAAPRILPAEWRGRPAFREGQVVGAWRCILAFDSAAAGAPPPSPPPVLCPFRNPRLMCVPSLYNDLEKVFQFQNVEKKIPKLLRCDSEEKLTRWVDAEEKKPDAVVHASESDSDDELQSGEELKPALQKQQRANKKHIASITLVDIAQHFHLPINEASRTLKVGVSILKKKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDDDDTGDDVQQEKHKQTEEEKQKVILALTRRKQMLENEKETIQQTPAMDLKAN >LPERR04G17820.1 pep chromosome:Lperr_V1.4:4:17211248:17211688:1 gene:LPERR04G17820 transcript:LPERR04G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAGAEIGLQRQQEASVSDAAGKRKKERPKHATPCEGSEVLAKKKEAFAAFSLWSAIDVPGAIDLWLDSQAKAKEAAAAGIITGKKKKKVIKYKMSNALVEGMMRRHCNIAVQDISEEELATRSAAYRHVHPFDKFIEGKMEDY >LPERR04G17830.1 pep chromosome:Lperr_V1.4:4:17215401:17217602:1 gene:LPERR04G17830 transcript:LPERR04G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHHLILLFNRDEDHSRPTRPAGWWAGDEADGKEILGGRDELGGGTWLGCTRDGKLAFLTNVREPSLRVGAKSRGELPTRFLQGNQCPLEYAKEIAKEADQYNGFNLVLADVHSGHMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKALRLEQNFNRFVATHDGTELSLQQMVEELMMDTVKADRSAVPDTGVDPDWEYQLSSIFIDTKKGQARYGTRSMAALAFKFNGEVIFFERYLEGNLWKENLMQFELEMAQCEALIGISNISTKLAD >LPERR04G17840.1 pep chromosome:Lperr_V1.4:4:17218053:17223487:1 gene:LPERR04G17840 transcript:LPERR04G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADGEFKAAGKRKKAGGAASGKPKRGLTPFFAFLAEFRPQYFEKHPNAKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGQESKPANKKKESTSSKKAKTDGEGDGSDKSKSDVEDDENDGSGEDE >LPERR04G17850.1 pep chromosome:Lperr_V1.4:4:17221402:17229770:-1 gene:LPERR04G17850 transcript:LPERR04G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKLVEKASKKDVSPRLAFHYGVPADAALLAYDPILHVLAVATRNGQIKLFGRDNTQALLQSPSPTPSKFLRFAEGQGVLLNVWDIDTKKLCYVYPFERVVTAFAVLHNSFYIYVGDSSGNVSLLKLDLGQTCLLDMPYLIPFSESYGSGANICNEVEVAFVSAQPLAENNRDGVMSLWDIKTSKVVSLSGRSLQQQSHQEAKIVTSACWACAKGSKIAIGFDNGDICLWSIPDILNAQNLSPRANQNLPLQRLNLGYKLDRMPIFSLLWVNSDGKAGRLYVNGFRDHAYLFQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKQRQSALVLLLKSGQICLYDDSEIERYLLHAQSRSPQALPNHSLVKLPYGDSSISVAKFYTTSSTADYFSSLTTKYPWLLSMKDKHQTFTGSADIRKTRNLYITGHLDGTISFWDASCPLLLQIFMIKQQNEDNVTSGIPITSLQFDMSSSILICGDRSGTVRIITFKKDSGDNIFSFLHVKQGESYNVKSIKLKGAITSTSLISSSKRFAVGTEKGILECRVSGGIASLQFEIYSHNGYDKDLLIVGMEDSTIFILEEETGKPLNANPVQTNKPSQALLMQTLELSPDDPSVSENHDTASKESLLLLCTENAIRLFSLSHAIQGMKKIINKKKPNGSCCFASLIYSVSSEIGLLLVFSNGKIEIRSLPNLSLLKEASLRGFVYTRNSNSSSSIACSSDGEIILVNGDETYFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPKEKKKGIFGMIMKDTKGNKGKQSDANRDEQFTATTSEELSSIFSCANFAPLSEKRNSSINDDENIELNIDDIDIDDNTQKQKGPHFPGLSKQKISKGFQSLREKLKPKTEEKVNPGNRKPENDTSISQVDQIKMKYGYATNDDSTSIPKMIGNKLQENMKKLEGINIRAGDMANGARSFSAMAKELLRNTKNEKSTS >LPERR04G17860.1 pep chromosome:Lperr_V1.4:4:17231625:17233621:-1 gene:LPERR04G17860 transcript:LPERR04G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASHNIFYVMITISDPSMKSSWSDLPFDILLLVHQRLELSQILASAAVCSSWYSAAATTGILPCRVPWLMRHHWTRGDTMTNECRSLLNPDKSYKVSFPSGSFQNCCGASHGWLIASDEISNLMLHNPFSSAVIPLPPVTDFASIKAICGSDGSIKSYHFRGGDICIDGTYLGSWFYQKAVLSCAPSQGNDRCIAIIILHDCNWLSYAKVGERSWHVASIINRNEDFYADCIYHTGKFYTLTMKGMVEMWDIDGSYEVKNKLVITNRDQHKFTMMDKEKVLTRYLVSTPWGDLLQVRLLLAYRWEKCPGNVKVKIGRVDLKNCCMEELKLETALQEHAVFLGQNHSTCLPTTEFHELRPNCIYLTTPLLTQEEFFGTRRHGLRGVRIYDLVNGTLEDAFTNCACDGESISPSNLVWITPNHCNDNL >LPERR04G17870.1 pep chromosome:Lperr_V1.4:4:17237421:17251747:1 gene:LPERR04G17870 transcript:LPERR04G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACSRPRNSHPFRVNLLWAAMVSADEIIHAQVWKIPKANPSESVAVVAVPFPAQGHLNQLLHLSLQLASHGLTVHYAAPATHVRQARARVHGCDDDALLSVQFHDLRISTYVSPPPDPAAADTPFPSHLMPLWEAYTAEARAPLASLLGELSACHRRVVVVCDIMNFFAVEEAARLPNGEAFGLECVAVSSIVAHLGAVGERLLRENGLRLVHMETYTTEEFMDYVRRRARPSQSIWCCDGVLANASRALEGEFIDALAVTLADGAGGKVKKLFAVGPLNPLLDAGSSSNSKRAGQRRHECLDWLDNQPPGSVLYVSFGTTCSLRVEQVAELATALSASKQRFIWVLRDADRSDIFTDSGESRHAKLLSEFSEQTEGTGLVITGWAPQLEILAHGATAAEAALDADLVAHKDALRQAERKSMNKSNAFATASHDIRSALAAVKGLIQVSRPDADGNPGIVDNLNQMEVCTNKLFDILNSILDTGKVVSGKMQLEEMEFNMADVLEESVDMANVVGVNNGIEVIWDPCDFSVLKCGNVIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIVRGSIGAPSRFAYRSLEFSFFRFCFGAKEDKVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSLVRLMGGEISIKEKEPGERGTCFGFNVFLKMSGGHSTEEDIEEGPSTLSETDIRASVFREENFFKGCHCILFVHGDETRRVLQVWMESIGMKVWMIPEVESISSTLEKVQSSHDNFNFDRCFSSKEMVSQVLPTTLRNNSIMARNLGEHHPLGLLFIVDVSKGQFDDIKRQTTDFVKMKHQVPCKIVCLTDLKTSSKDFRRLEEMSCDLVLRKPVHGSRLYALLMGLRDVQSSTIQTSSLVGHENSVTRQQNIGRIGMKDSANNVALARVERLDQGLKIEDDKPLGGMHILLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFKAAIERASVSEGRNVPLPYDLIFMDCQMPQMDGYEATRHIREEESRYGIHTPIIALTAHSMEEDLQKAISVGMDLHMTKPIERKRIVEVVHGFRKDSN >LPERR04G17870.2 pep chromosome:Lperr_V1.4:4:17241065:17251747:1 gene:LPERR04G17870 transcript:LPERR04G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDGTTMVDGVILKVIETVRNMEESRVGVDQKMAAKANPSESVAVVAVPFPAQGHLNQLLHLSLQLASHGLTVHYAAPATHVRQARARVHGCDDDALLSVQFHDLRISTYVSPPPDPAAADTPFPSHLMPLWEAYTAEARAPLASLLGELSACHRRVVVVCDIMNFFAVEEAARLPNGEAFGLECVAVSSIVAHLGAVGERLLRENGLRLVHMETYTTEEFMDYVRRRARPSQSIWCCDGVLANASRALEGEFIDALAVTLADGAGGKVKKLFAVGPLNPLLDAGSSSNSKRAGQRRHECLDWLDNQPPGSVLYVSFGTTCSLRVEQVAELATALSASKQRFIWVLRDADRSDIFTDSGESRHAKLLSEFSEQTEGTGLVITGWAPQLEILAHGATAAEAALDADLVAHKDALRQAERKSMNKSNAFATASHDIRSALAAVKGLIQVSRPDADGNPGIVDNLNQMEVCTNKLFDILNSILDTGKVVSGKMQLEEMEFNMADVLEESVDMANVVGVNNGIEVIWDPCDFSVLKCGNVIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIVRGSIGAPSRFAYRSLEFSFFRFCFGAKEDKVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSLVRLMGGEISIKEKEPGERGTCFGFNVFLKMSGGHSTEEDIEEGPSTLSETDIRASVFREENFFKGCHCILFVHGDETRRVLQVWMESIGMKVWMIPEVESISSTLEKVQSSHDNFNFDRCFSSKEMVSQVLPTTLRNNSIMARNLGEHHPLGLLFIVDVSKGQFDDIKRQTTDFVKMKHQVPCKIVCLTDLKTSSKDFRRLEEMSCDLVLRKPVHGSRLYALLMGLRDVQSSTIQTSSLVGHENSVTRQQNIGRIGMKDSANNVALARVERLDQGLKIEDDKPLGGMHILLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFKAAIERASVSEGRNVPLPYDLIFMDCQMPQMDGYEATRHIREEESRYGIHTPIIALTAHSMEEDLQKAISVGMDLHMTKPIERKRIVEVVHGFRKDSN >LPERR04G17870.3 pep chromosome:Lperr_V1.4:4:17237421:17241007:1 gene:LPERR04G17870 transcript:LPERR04G17870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQACSRPRNSHPFRVNLLWAAMVSADEIIHAQKMAAKANPSESVAVVAVPFPAQGHLNQLLHLSLQLASHGLTVHYAAPATHVRQARARVHGCDDDALLSVQFHDLRISTYVSPPPDPAAADTPFPSHLMPLWEAYTAEARAPLASLLGELSACHRRVVVVCDIMNFFAVEEAARLPNGEAFGLECVAVSSIVAHLGAVGERLLRENGLRLVHMETYTTEEFMDYVRRRARPSQSIWCCDGVLANASRALEGEFIDALAVTLADGAGGKVKKLFAVGPLNPLLDAGSSSNSKRAGQRRHECLDWLDNQPPGSVLYVSFGTTCSLRVEQVAELATALSASKQRFIWVLRDADRSDIFTDSGESRHAKLLSEFSEQTEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCNYLKAGLVVRPWEKRGEVVPATAIQEVIQKMMASEEGLAVRQRAKALGDAVRSSRNDLKEFIAHITR >LPERR04G17870.4 pep chromosome:Lperr_V1.4:4:17238133:17241007:1 gene:LPERR04G17870 transcript:LPERR04G17870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQITDTLLAKMAAKANPSESVAVVAVPFPAQGHLNQLLHLSLQLASHGLTVHYAAPATHVRQARARVHGCDDDALLSVQFHDLRISTYVSPPPDPAAADTPFPSHLMPLWEAYTAEARAPLASLLGELSACHRRVVVVCDIMNFFAVEEAARLPNGEAFGLECVAVSSIVAHLGAVGERLLRENGLRLVHMETYTTEEFMDYVRRRARPSQSIWCCDGVLANASRALEGEFIDALAVTLADGAGGKVKKLFAVGPLNPLLDAGSSSNSKRAGQRRHECLDWLDNQPPGSVLYVSFGTTCSLRVEQVAELATALSASKQRFIWVLRDADRSDIFTDSGESRHAKLLSEFSEQTEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCNYLKAGLVVRPWEKRGEVVPATAIQEVIQKMMASEEGLAVRQRAKALGDAVRSSRNDLKEFIAHITR >LPERR04G17880.1 pep chromosome:Lperr_V1.4:4:17253352:17254623:-1 gene:LPERR04G17880 transcript:LPERR04G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHTKEASSSSSRLDAAAPLLPHHGHGHHHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSLLLLAVAALTYHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGAAGRHVVDLMLVLSQASFCVGYLIFISNTMAYLYPIGGDSPPSPLLTAKAIFIWLMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLAMRPPVFAFAGPTELLYGLGVAVYAFEGIGMVLPLESEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWILVVVVGLVAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEIGWTGLAGDAAVIVVGTALAVSGTWTSLAQIFGSSDA >LPERR04G17890.1 pep chromosome:Lperr_V1.4:4:17258177:17260268:-1 gene:LPERR04G17890 transcript:LPERR04G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRALQLYRVVFHCPRSSSLLHCNNKSTTSRGMWESRHQNMQTHSLFAGAADTAFSSSPAADALIPSEHIGGHLWNQNVISSEENFLSLLDDTRDVMALQMFDGVPVASSDYLKGLSMDDAGDMAASSTVAAYNNMDTGCSVAPLGKNNKTVVQQSIQSQEVGAPMAAFLQQLIPTSVLDHSGIGIEGVYPDGSALGASFCMRTSPEIGSFSGYRSTTAEELMSTDTKEQEVTRLTRTCSSNGTDTNKKRRSEGRVGGNTKKSRSETSHASSPKPQAPTVKLGEKITALQQIVSPFGKTDTASVLLETINYIKFLHEQIQLFSQPYLTNSTNKGHIHLGVEERMKAGLENDLVGRGLCLAPVSLTPQVYHDNILPECWTPTYRNYLYR >LPERR04G17900.1 pep chromosome:Lperr_V1.4:4:17262683:17264739:-1 gene:LPERR04G17900 transcript:LPERR04G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLQKPKKHKKNKKPAASASDADADAEAAAAAAAALAEGGEGGGAGDEYTIDAAKRMKYEELFPVESRKFGYDPANAAKASAAASRSRTVEEALDDRVRKKADRYCNESFPVVRSGRLVGI >LPERR04G17910.1 pep chromosome:Lperr_V1.4:4:17265981:17272273:1 gene:LPERR04G17910 transcript:LPERR04G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAYEEISDDEWSNHSFKPSRVLKRPSRSAQPDSDPPPPIESFRYNPRPSSAAAPVILSDEDDDDFDIGAGERLRRAGKSTRVLNRPERQHSPPARGRPSIGSFRYNPKPSKAAAAAASVSDDDGGFDLEDDDFEIPAPRTSRPPRTTGRRLATAAVDLSEEDDDLELADDDFDHPAPRSSRPRRATARRFVIKDDDDSDGHPGASGVEGVEAEDDGVNWSQLENEDDEDGNYGEAKADVEEGDVVGKALRKCSRISADLRQELYGSSTRNCESYAEIDGSSVRIVTQDDVDAACTSEESEFEPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYTLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMSVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNHAIDECRAACQARSARSSSKFSNNVVGLIPKRQISNYFMQLRKIANHPLLIRRIYSDKDIDRIARLLYPKGAFGFECSLEKAIQALTSYNDFAIHQLLISYGDSGTKGALTDEHVLGSAKCQALAEILPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYKRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKCSVDENIYEIARRKLVLDAAILQSGAELEDNTDVPEKTMGEILSSLLLV >LPERR04G17920.1 pep chromosome:Lperr_V1.4:4:17297825:17304180:1 gene:LPERR04G17920 transcript:LPERR04G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSADPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRLPPPTSLSSLTGHLYGAAAGGANAGNMSLSLSQVGSHLTTSLHDAHHHHHPSPELLRLGGAGAGGGSSIAARLDHLLSPSAGGASAFRPPQPAFFLNAAAAAAATAGHADFGDDGAGNGQHSFLQAKPFHGLMQLPDLQGNGAGGGGAAGGNFFNHLGFFANNGNSSGSSHEHASQGIMSNDQFSGGGGGGGSDASAAGIFGGNFVGGEHVSAAGLYGDQAAAMLPQMSATALLQKAAQMGATSSAANGAAASVFRGFVTSSPHMRPASAAQQHMDQSEAHLNDLMNSLAGGGGGGGGINAAAAMFAGVNGGPGAGMFDIDQHEVKFSQGGGGVGAGAGDMTRDFLGVGGGGIVMSTPRGGGGGGEHHQSSSDMSSLEAEMKSASSFNGRRMP >LPERR04G17930.1 pep chromosome:Lperr_V1.4:4:17310676:17319493:-1 gene:LPERR04G17930 transcript:LPERR04G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLILCVQISLFFFLVPIMVRKKRTGSGSSGESSGEATGAPGQASSQRVERAPQQHGGGRGWVPQQGGRGGGQYQGRGGHYQGRGGQGLHHPGGGPPEYQGRGGPGSHYQGGGPPEYQSRGGSGSHHPGGGPPEYQGRGGSGSHHPGGGPPEYQPRDYQGRGGPRPRGGMPQQYYGGPRGGSGGRNVPSGPSRTVPELHQAPHVQYQAPVVSSTPSGAGSSSQPVAEVSTGQVEQQFQQLTSRGQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMSELVTLHRYSNLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGSQGNQRRERIFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTKRYSPVGRSFYHRDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERERDILRTVTHNAYHEDQYAQEFGIKIDEHLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNIIRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKYGLIETTHLLLWELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAATSRGLPPGGARSARLTGNVAVRPLPALKENLSFSHLQWVTKCLSWPLSSCMRIGITVCDCQVPNFLPERVETKMPDGTSLFLNDVPSNTGLVRCSSGQRNHPTMIKDLI >LPERR04G17940.1 pep chromosome:Lperr_V1.4:4:17354916:17359047:1 gene:LPERR04G17940 transcript:LPERR04G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGVGRNGGVRQYVRSKVPRLRWTAELNSSFVQAIEFLGGQHKATPKLILQLMGVKGLTISHVKSHLQMYRCSRGVGSHGAGRRSEMQPQLQRKHSCAADEQVPKEFLCPSLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEGGLRWQRDAAAADGGAAASNLQTVGCLVQESDSFKIIKPEVHRLDPAVKLQCSKLEISEFLSDSIGSAARDQPQKCSLSLSLGPDPKCVQAIASSPSENSCIISSSSSRSFSECSGNSGCFVAPGVNLELSMSICGS >LPERR04G17950.1 pep chromosome:Lperr_V1.4:4:17362892:17363485:-1 gene:LPERR04G17950 transcript:LPERR04G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQFNYCDRRPGGDTTGPSRRTYAVQQPEGLTISFRSLYDLPTTPEFLFPDEALLGNTRTWGENLTLYTGCGYLAGRAAGAAAGLRRAAAEAERGESVKLRASRALTQCGSVGRAYGNRLGAIELLFSGIESAVGGIRAEGWENSVAAGIGTGALYRSAAGPRAAIVGAVVGRIMAGAAVAGMPALTRYAPNLSF >LPERR04G17960.1 pep chromosome:Lperr_V1.4:4:17370009:17379545:-1 gene:LPERR04G17960 transcript:LPERR04G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPATWESITEVSGGAAHTANPGGRSRRDPIYELASVPNSSLVRLALHALHGVKSSLDEIAELSVLFFSCPADRTSHRVANVWSRSSSASSVGNILKSIRTTGLAVFFLCQFVHFYLFQSRELNCRNRERHAQEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYLCSLNTLPASIKLRRLEGQPDIPSMIPDGASLSLCQEGLTTDSNLGFENFPRGTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASADDPYEEFLKTQDKNKRTQGGSSDPVDDFTLLSLKGTNHVSAPCFLKDICDPLLRTGQQLQVLMKLLESCSLSDTGGDAYASRNIIHLEEILPWFDTSIESSRNSFTFSKRRVEEVICQRDAMYKSMIQKLHHFFSNVEVKVIPFDAAQNILHVGAGPLDTAVSDMELFYRDTDALSACNMASELKDNDTSSTSQESSDKVDALESSESSSSYCSIDDIEDEIETVCDMPSSMFSSYCVSSGEVKCSSVTTKLLSHKTCSVHDGSNPAISIDEHQKDNDLTFGHFPMHSQSIRHDVVHDSLELGNRYSELWPFDSFAKNSFNISSGILSLSEDFLHRGDKSEVEQVSHGNAVCPHSDLESPRLLNRDNNEKSSNISHPLNASIPYNFSINPILKNAASCHMEHELHGKSKNSTLVGFNFESVTNPCEAYCGRTTSSLDEFEAISGMVVQSDDRASKQLDCSNKLLQGETRGQVCLTSSGEISAQTDLLENPSGGAFWEKSLEYTGKSMEIAGDSISSSDMPLDIVIDKYKYVSSFTMKLLEEGFDLCGHLQALRRYHFMEMADWADSFIVSIYNKKWSFVKYEQKRAEIQGLLDLALQRSSCDSDHYKDRLFVYMKEQPVVSLTTAACGLDVLDDILLGYKVDWPVNIVITEAALQIYAEIFSFLVQARLAVFSLTEVWRFLKELTQLISRSSNNRPDVLKELSSVMKLSSISTAICLIYHGVVFNIHFNIRCFLSTETKPIAAIIKSILQQALDFRSCFSSLNDISESTVKQLNLHSLINFSQVDAIRTRFEGNIKDLYILHTKSSKYQEIGLSRFWGYLNYNEHHSTTISKDMGFV >LPERR04G17960.2 pep chromosome:Lperr_V1.4:4:17370486:17379545:-1 gene:LPERR04G17960 transcript:LPERR04G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPATWESITEVSGGAAHTANPGGRSRRDPIYELASVPNSSLVRLALHALHGVKSSLDEIAELSVLFFSCPADRTSHRVANVWSRSSSASSVGNILKSIRTTGLAVFFLCQFVHFYLFQSRELNCRNRERHAQEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYLCSLNTLPASIKLRRLEGQPDIPSMIPDGASLSLCQEGLTTDSNLGFENFPRGTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASADDPYEEFLKTQDKNKRTQGGSSDPVDDFTLLSLKGTNHVSAPCFLKDICDPLLRTGQQLQVLMKLLESCSLSDTGGDAYASRNIIHLEEILPWFDTSIESSRNSFTFSKRRVEEVICQRDAMYKSMIQKLHHFFSNVEVKVIPFDAAQNILHVGAGPLDTAVSDMELFYRDTDALSACNMASELKDNDTSSTSQESSDKVDALESSESSSSYCSIDDIEDEIETVCDMPSSMFSSYCVSSGEVKCSSVTTKLLSHKTCSVHDGSNPAISIDEHQKDNDLTFGHFPMHSQSIRHDVVHDSLELGNRYSELWPFDSFAKNSFNISSGILSLSEDFLHRGDKSEVEQVSHGNAVCPHSDLESPRLLNRDNNEKSSNISHPLNASIPYNFSINPILKNAASCHMEHELHGKSKNSTLVGFNFESVTNPCEAYCGRTTSSLDEFEAISGMVVQSDDRASKQLDCSNKLLQGETRGQVCLTSSGEISAQTDLLENPSGGAFWEKSLEYTGKSMEIAGDSISSSDMPLDIVIDKYKYVSSFTMKLLEEGFDLCGHLQALRRYHFMEMADWADSFIVSIYNKKWSFVKYEQKRAEIQGLLDLALQRSSCDSDHYKDRLFVYMKEQPVVSLTTAACGLDVLDDILLGYKVDWPVNIVITEAALQIYAEIFSFLVQARLAVFSLTEVWRFLKELTQLISRSSNNRPDVLKELSSVMKLSSISTAICLIYHGVVFNIHFNIRCFLSTETKPIAAIIKSILQQALDFRSCFSSLNDISESTVKQLNLHSLINFSQVDAIRTRFEGNIKDLYILHTKSSKYQEIGLSRFWGYLNYNEHHSTTISKDMGCFYF >LPERR04G17970.1 pep chromosome:Lperr_V1.4:4:17383007:17384977:-1 gene:LPERR04G17970 transcript:LPERR04G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEWRVTVPESATVTVEREAGRGGCLAAATMAAWAWAVCFAAAIGGRVSGLAGRVWRIGADDPRRAVHGVKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEYTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDRLEPVVRSGSARFDYGVTIFILTYSLVAVSGYRVDALLAMAQQRVSTIAIGIFICLAVCVLICPVWAGQELHLLTARNMDKLASSLDSCLDAYFLDSDADEPKAKAAEGYKCVLNSKAAEDAQANLARWEPAHGRFGFRHPYGQYKAVGAAMRHCAYCVEALTGCIRSGGESSPEEGVRRHLAGACAAVAARCAAVLREASGSIAAMTAPSRGLDFAVADMNAAVLELQSEVTALPSKLARDGDGEAAAALQLMDAVQLFTVTSLLIEVAARIEGVVDAVDTLATLAAFRPADEDDDEKPAEADAKLQAVEPGVTTATKNMEQV >LPERR04G17980.1 pep chromosome:Lperr_V1.4:4:17397486:17401519:1 gene:LPERR04G17980 transcript:LPERR04G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTLVNLAHFATTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >LPERR04G17990.1 pep chromosome:Lperr_V1.4:4:17411526:17414471:-1 gene:LPERR04G17990 transcript:LPERR04G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMAANAGGGGGAGANTNPAAVSGAGVARVGGGAAPAMAAAGGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSAAVSVSTANSVVTSANSSMASTVVAANKNSKLAHDGGAHDLNLAFPHHHGGMHAAGAEGFPAFPSLESSSVAMASNGGRGGGGAVGALSAMELLRSTGCYMPLQMPMQMPGEYATPGFALGEFRSPPPPSSQSLLGFSLDPHGPSSAASAFGSGSALQGVPESTGRLLFPFEDLKPPVSSGAGGGGGASGGGGDSGGHQFDQGKEQGGGAGGGGQHDTPGFWNGMIGGGSGALWEARQLKRRMKDSASSEMSCLTIVPKPESSSHEKH >LPERR04G17990.2 pep chromosome:Lperr_V1.4:4:17412527:17414471:-1 gene:LPERR04G17990 transcript:LPERR04G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMAANAGGGGGAGANTNPAAVSGAGVARVGGGAAPAMAAAGGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSAAVSVSTANSVVTSANSSMASTVVAANKNSKLAHDGGAHDLNLAFPHHHGGMHAAGAEGFPAFPSLESSSVAMASNGGRGGGGAVGALSAMELLRSTGCYMPLQMPMQMPGEYATPGFALGEFRSPPPPSSQSLLGFSLDPHGPSSAASAFGSGSALQGVPESTGRLLFPFEDLKPPVSSGAGGGGGASGGGGDSGGHQFDQGKEQGGGAGGGGQHDTPGFWNGMIGGGSGTSW >LPERR04G18000.1 pep chromosome:Lperr_V1.4:4:17441457:17445543:1 gene:LPERR04G18000 transcript:LPERR04G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCQLVASCSSSSRMMEVEEEAFFDTREELLPPSPAALPWLGGLDSVRQRRERFMRSMGLERSPSRRDADSADVVGDVEKEEEEGEDEVAVISPEIGRWSSQSDCSMSSWSTEETTTSYDDGASDDNSVSGSSKESRSFSSLSFIQRLMSRNGKPSGAPKAIDRRRNGWLRRLGASACVVDQGAADEASTSSSDGEQIGAAGRYERIKVRSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDRVVRVWAVMQSDDCKIPLDDPSCVYLKARRKYGLAPVGVDNEKKLKINGLRKSAESACIVIPTMAFQISEEPMHEFRGHSGDVLDLSWSSHKGAVVGTITGNCRFYKISDNLLQLETQIALNGKKKSSLKRITGFQDSEVVLASCQQHSLQKGSR >LPERR04G18010.1 pep chromosome:Lperr_V1.4:4:17452157:17452756:1 gene:LPERR04G18010 transcript:LPERR04G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDAAAAICAQIAAVFSTSPATPTARTALVSELAAAASRGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPAASSGDLLLASAGPGVFSTVDAICGVARGAGARVVLLTARPEGDFPRRQADVVAHLPAQTMADDDEEETAAGESSPAKLPMGSLYEGAMFVLFEMVVMELARVLGQGPAQMRARHTNLE >LPERR04G18020.1 pep chromosome:Lperr_V1.4:4:17453994:17455842:1 gene:LPERR04G18020 transcript:LPERR04G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPSTDDTISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVMADRWEFANDGFRRGEKHLLGGIQRRKGTGAAAPAAGGIPTAIPISSPPTSSGGEPAVSSSPPRGSAGIIAAAAGAGAGVSGAVAELEEENARLRRENARLARELARARRVCDGVRRLVSRYDHEDEGDAADEGKPMLFGVAIDGKRSREENGEDDDGDEEEDEGADGEDEDEGEEDGEDERHAAAALRGPVAAKEAKARRMEMSDLDVLALSVRAAAAARPGGGSRDRKSSVS >LPERR04G18030.1 pep chromosome:Lperr_V1.4:4:17457525:17472816:-1 gene:LPERR04G18030 transcript:LPERR04G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPELSGSPPNKAAPEIGPQEPAEENPSPIRQPCDLFTAAINILGTDSGNKDVSMTDMYPEQVNETDILSLDLPKLRTELSDDDIRETAYEVLLASLFVSGKIVFSEEKKEKKPKFLKGLRSKTEGSNPSPQPENPYAHLLDLIRANVLEELLLFPGSCEYVMSETLRIDWVVSVPDGRVEVLTIIERYNTKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLMEEADEILETVKLTWSILGITQKLHDTLYAWVLFKKFAQTGEILLLRHTCLQIQKLRLHNDAKEIELYTNSFACSAENCGKNLSVSLVGSAILKINEWCSRQLENYHAYFDKDNNSIFEGMLKLVVISATSHTDDEEAMLIGTPLDATPEYTLIHILVVRSIQAAYKHALLSSDYQSKAEFKHPLIILANKLKLVAEKECTVFSPTLCKLYPEAGRVALVLLHLLYGQQLMFTILIGVKELYLERTDNPENLKEILAAIYNFELCVAKKLYLMNEGAVDSLLSKYLKPYMIGQFSSPLILQWLHAQHENVLEWTKRTIEIEAWEPLSAVERHATSAVEVFRIVEETIDQFFKSSVPLDTVHLRSLLIEITSSLRVYLHHMGNQQVPRSALLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVVNKLNNLTVPKLCVKLNTLQYIRDQLDNLEEGIKQSWVSVQSAVGLLDYLSCIARGRTLPKKLSSEESIDELFTIFDDVRRTAEQGLYSGICGTHSFSLCTGLALKVHVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLVQQDLAMIKDLFIAEGQVTNCLTILNLQLLKEDKYMMPIPFFEFCAIRRIKPPLHYDDVSTKDVPSKILPHLMPFDILQQMKNAKKTSNCLFEELALGSIGYTWDYPPHAESSRYSLSWKRNIKVS >LPERR04G18030.2 pep chromosome:Lperr_V1.4:4:17457525:17472816:-1 gene:LPERR04G18030 transcript:LPERR04G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPELSGSPPNKAAPEIGPQEPAEENPSPIRQPCDLFTAAINILGTDSGNKDVSMTDMYPEQVNETDILSLDLPKLRTELSDDDIRETAYEVLLASLFVSGKIVFSEEKKEKKPKFLKGLRSKTEGSNPSPQPENPYAHLLDLIRANVLEELLLFPGSCEYVMSETLRIDWVVSVPDGRVEVLTIIERYNTKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLMEEADEILETVKLTWSILGITQKLHDTLYAWVLFKKFAQTGEILLLRHTCLQIQKLRLHNDAKEIELYTNSFACSAENCGKNLSVSLVGSAILKINEWCSRQLENYHAYFDKDNNSIFEGMLKLVVISATSHTDDEEAMLIGTPLDATPEYTLIHILVVRSIQAAYKHALLSSDYQSKAEFKHPLIILANKLKLVAEKECTVFSPTLCKLYPEAGRVALVLLHLLYGQQLELYLERTDNPENLKEILAAIYNFELCVAKKLYLMNEGAVDSLLSKYLKPYMIGQFSSPLILQWLHAQHENVLEWTKRTIEIEAWEPLSAVERHATSAVEVFRIVEETIDQFFKSSVPLDTVHLRSLLIEITSSLRVYLHHMGNQQVPRSALLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVVNKLNNLTVPKLCVKLNTLQYIRDQLDNLEEGIKQSWVSVQSAVGLLDYLSCIARGRTLPKKLSSEESIDELFTIFDDVRRTAEQGLYSGICGTHSFSLCTGLALKVHVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLVQQDLAMIKDLFIAEGQVTNCLTILNLQLLKEDKYMMPIPFFEFCAIRRIKPPLHYDDVSTKDVPSKILPHLMPFDILQQMKNAKKTSNCLFEELALGSIGYTWDYPPHAESSRYSLSWKRNIKVS >LPERR04G18030.3 pep chromosome:Lperr_V1.4:4:17457525:17472816:-1 gene:LPERR04G18030 transcript:LPERR04G18030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPELSGSPPNKAAPEIGPQEPAEENPSPIRQPCDLFTAAINILGTDSGNKDVSMTDMYPEQVNETDILSLDLPKLRTELSDDDIRETAYEVLLASLFVSGKIVFSEEKKEKKPKFLKGLRSKTEGSNPSPQPENPYAHLLDLIRANVLEELLLFPGSCEYVMSETLRIDWVVSVPDGRVEVLTIIERYNTKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLMEEADEILETVKLTWSILGITQKLHDTLYAWVLFKKFAQTGEILLLRHTCLQIQKLRLHNDAKEIELYTNSFACSAENCGKNLSVSLVGSAILKINEWCSRQLENYHAYFDKDNNSIFEGMLKLVVISATSHTDDEEAMLIGTPLDATPEYTLIHILVVRSIQAAYKHALLSSDYQSKAEFKHPLIILANKLKLVAEKECTVFSPTLCKLYPEAGRVALVLLHLLYGQQLMFTILIGVKELYLERTDNPENLKEILAAIYNFELCVAKKLYLMNEGAVDSLLSKYLKPYMIGQFSSPLILQWLHAQHENVLEWTKRTIEIEAWEPLSAVERHATSAVEVFRIVEETIDQFFKSSVPLDTVHLRSLLIEITSSLRVYLHHMGNQQVPRSALLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVVNKLNNLTVPKLCVKLNTLQYIRDQLDNLEEGIKQSWVSVQSAVGLLDYLSCIARGRTLPKKLSSEESIDELFTIFDDVRRTAEQGLYSGICGTHSFSLCTGLALKVHVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLVQQDLAMIKDLFIAEGQVTNCLTILNLQLLKEDKYMMPIPFFEFCAIRRIKPPLHYDDVSTKDVPSKMKNAKKTSNCLFEELALGSIGYTWDYPPHAESSRYSLSWKRNIKVS >LPERR04G18030.4 pep chromosome:Lperr_V1.4:4:17457525:17472816:-1 gene:LPERR04G18030 transcript:LPERR04G18030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPELSGSPPNKAAPEIGPQEPAEENPSPIRQPCDLFTAAINILGTDSGNKDVSMTDMYPEQVNETDILSLDLPKLRTELSDDDIRETAYEVLLASLFVSGKIVFSEEKKEKKPKFLKGLRSKTEGSNPSPQPENPYAHLLDLIRANVLEELLLFPGSCEYVMSETLRIDWVVSVPDGRVEVLTIIERYNTKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLMEEADEILETVKLTWSILGITQKLHDTLYAWVLFKKFAQTGEILLLRHTCLQIQKLRLHNDAKEIELYTNSFACSAENCGKNLSVSLVGSAILKINEWCSRQLENYHAYFDKDNNSIFEGMLKLVVISATSHTDDEEAMLIGTPLDATPEYTLIHILVVRSIQAAYKHALLSSDYQSKAEFKHPLIILANKLKLVAEKECTVFSPTLCKLYPEAGRVALVLLHLLYGQQLELYLERTDNPENLKEILAAIYNFELCVAKKLYLMNEGAVDSLLSKYLKPYMIGQFSSPLILQWLHAQHENVLEWTKRTIEIEAWEPLSAVERHATSAVEVFRIVEETIDQFFKSSVPLDTVHLRSLLIEITSSLRVYLHHMGNQQVPRSALLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVVNKLNNLTVPKLCVKLNTLQYIRDQLDNLEEGIKQSWVSVQSAVGLLDYLSCIARGRTLPKKLSSEESIDELFTIFDDVRRTAEQGLYSGICGTHSFSLCTGLALKVHVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLVQQDLAMIKDLFIAEGQVTNCLTILNLQLLKEDKYMMPIPFFEFCAIRRIKPPLHYDDVSTKDVPSKMKNAKKTSNCLFEELALGSIGYTWDYPPHAESSRYSLSWKRNIKVS >LPERR04G18040.1 pep chromosome:Lperr_V1.4:4:17474364:17478044:-1 gene:LPERR04G18040 transcript:LPERR04G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTWVAGYALQCVVHMVCVAIEYRMRRGQRDRGPAPADEERGSDGSSSSSDDDVRDDDRRGSRTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQNADEIGPFGGIMTECGTNQPIEKVLPPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIQKNGSSSGSEEV >LPERR04G18050.1 pep chromosome:Lperr_V1.4:4:17478854:17482399:1 gene:LPERR04G18050 transcript:LPERR04G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFAPALADAYEIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVAVAAEKVPYVAEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >LPERR04G18060.1 pep chromosome:Lperr_V1.4:4:17483336:17488711:-1 gene:LPERR04G18060 transcript:LPERR04G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPYSGAAPGVFTSSPALSLALADAAGRDGGGGGKARDASEAENESRSGSDHHDVISSGGGGGDGNDDDDAEPGNTRKRKKRYHRHTPQQIQELESLFKECPHPDDKLRTELSKRLRLEPRQVKFWFQNRRTQMKAQMDRHENALLKQENDKLRTENLSMREAMRNPVCGGCGGPAMLTDVPFHEHDLRVENARLRDELGRVCALTAKFLGKPLSAMAPPLQIHHQRHRPVSGSSSLELAVGGVMPSSTITDFAGAMSGSMGTVITPIKSEAGVPSAMAGIGTSLFMELAMSAMDELVKMAQMGEPLWIPISSEAASLVKETLNFEEYINTFLPCIGVRPEGYVTEASRESGIVIIDDSAAIVETLMDEVRRWSDMFSCMIAKASTIEEISTGVVGTRNGALLLMQAELQVLSPLVPVRELTFLRFSKQLAEGVWAVVDVSADELMRDQGLTSVSTNANMNCRRLPSGCVLEDTPNGFCKVQKGLQPRIQDYFRKVTWVEHTEYDEASVHQLYRPLLRSGLALGAGRWIATLQRQCECLAILMSSIALPENDSAATIHPEGKRSMLKLARRMTENFCAGVSTSSARDWSKMDGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPEQLFNFLRNERLRAEWDILSNGGPMQEVTSISKGQLDGNSVCLLKASPTSANQNSMLILQETCTDTSGSMVVYAPVDIPAMHLVMSGGDSTCVALLPSGFAILPAGPSTGPGHKMGGTLLTVAFQILVNSQPTAKLTVESVETVNNLISCTINKIKTALHCDV >LPERR04G18060.2 pep chromosome:Lperr_V1.4:4:17483336:17488711:-1 gene:LPERR04G18060 transcript:LPERR04G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPYSGAAPGVFTSSPALSLALADAAGRDGGGGGKARDASEAENESRSGSDHHDVISSGGGGGDGNDDDDAEPGNTRKRKKRYHRHTPQQIQELESLFKECPHPDDKLRTELSKRLRLEPRQVKFWFQNRRTQMKVSDRNQSTRLSINQWCSSFSDYLISFPRGDVDSNSAKAQMDRHENALLKQENDKLRTENLSMREAMRNPVCGGCGGPAMLTDVPFHEHDLRVENARLRDELGRVCALTAKFLGKPLSAMAPPLQIHHQRHRPVSGSSSLELAVGGVMPSSTITDFAGAMSGSMGTVITPIKSEAGVPSAMAGIGTSLFMELAMSAMDELVKMAQMGEPLWIPISSEAASLVKETLNFEEYINTFLPCIGVRPEGYVTEASRESGIVIIDDSAAIVETLMDEVRRWSDMFSCMIAKASTIEEISTGVVGTRNGALLLMQAELQVLSPLVPVRELTFLRFSKQLAEGVWAVVDVSADELMRDQGLTSVSTNANMNCRRLPSGCVLEDTPNGFCKVQKGLQPRIQDYFRKVTWVEHTEYDEASVHQLYRPLLRSGLALGAGRWIATLQRQCECLAILMSSIALPENDSAATIHPEGKRSMLKLARRMTENFCAGVSTSSARDWSKMDGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPEQLFNFLRNERLRAEWDILSNGGPMQEVTSISKGQLDGNSVCLLKASPTSANQNSMLILQETCTDTSGSMVVYAPVDIPAMHLVMSGGDSTCVALLPSGFAILPAGPSTGPGHKMGGTLLTVAFQILVNSQPTAKLTVESVETVNNLISCTINKIKTALHCDV >LPERR04G18060.3 pep chromosome:Lperr_V1.4:4:17483336:17488711:-1 gene:LPERR04G18060 transcript:LPERR04G18060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPYSGAAPGVFTSSPALSLALADAAGRDGGGGGKARDASEAENESRSGSDHHDVISSGGGGGDGNDDDDAEPGNTRKRKKRYHRHTPQQIQELESLFKECPHPDDKLRTELSKRLRLEPRQVKFWFQNRRTQMKVSDRNQSTRLSINQWCSSFSDYLISFPRGDVDSNSAKAQMDRHENALLKQENDKLRTENLSMREAMRNPVCGGCGGPAMLTDVPFHEHDLRVENARLRDELGRVCALTAKFLGKPLSAMAPPLQIHHQRHRPVSGSSSLELAVGGVMPSSTITDFAGAMSGSMGTVITPIKSEAGVPSAMAGIGTSLFMELAMSAMDELVKMAQMGEPLWIPISSEAASLVKETLNFEEYINTFLPCIGVRPEGYVTEASRESGIVIIDDSAAIVETLMDEVRRWSDMFSCMIAKASTIEEISTGVVGTRNGALLLMQAELQVLSPLVPVRELTFLRFSKQLAEGVWAVVDVSADELMRDQGLTSVSTNANMNCRRLPSGCVLEDTPNGFCKVTWVEHTEYDEASVHQLYRPLLRSGLALGAGRWIATLQRQCECLAILMSSIALPENDSAATIHPEGKRSMLKLARRMTENFCAGVSTSSARDWSKMDGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPEQLFNFLRNERLRAEWDILSNGGPMQEVTSISKGQLDGNSVCLLKASPTSANQNSMLILQETCTDTSGSMVVYAPVDIPAMHLVMSGGDSTCVALLPSGFAILPAGPSTGPGHKMGGTLLTVAFQILVNSQPTAKLTVESVETVNNLISCTINKIKTALHCDV >LPERR04G18070.1 pep chromosome:Lperr_V1.4:4:17498436:17501803:1 gene:LPERR04G18070 transcript:LPERR04G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGRGPTGIKPPPGRYNPGGANAVAPAPPPFYYYDQPGAAAQERRHRTWVVPLVVVANVAMFVVVMYYNNCPAGAAGRDCVGRSFLRRFSFQPFRENPVLGPTAATLRKYGALDWYKVVHGNEAWRLETSTWLHAGLFHLLANMISLLIIGIRLEQQFGFWKVGLVYLVSGFGGSVLSVLFINRNGISVGASGALFGLLGAMLSELITNWSIYSNRCAAMVNLIIIAAINLALGILPHVDNFAHIGGFATGFLIGFVLLIQPQFGWAEPSSTKSKYNAFQIVLLILSVVLLIAGFTVGLIMVFRGEDGNAHCSWCHYLTCVPTSSWKCDR >LPERR04G18080.1 pep chromosome:Lperr_V1.4:4:17500855:17504523:-1 gene:LPERR04G18080 transcript:LPERR04G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLRPHRRGALSAAAGGGGQWQWSFLDAVWAVFLLAVVIFLALVFTPRRGEPLPAAADASSRAAGVVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLTHYVPLKSGLLRTGLDMGCGKSGSKILSSLKVASFGGFLLKENIMALSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFSAYNGTYLIEVDRLLRPGGYLIISGPPVRWKKQENEWGELQAMALALCYKLITVDGNTAIWKKPAEASSCLPSHNELSIDLCSTDDDPDQAWYIKLKKCISKVSLAEEIAVGSIHRWPDRLSKPSARVSLMENGANMFELDTQKWVQRVSYYKKSLGVKLGTAKIRNVMDMNAYFGGLAAAVVSDPVWVMNVVPAQKPLTLGVVYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINGLIRDPISGKNRCDLFDVMLEMDRILRPEGTAVIRDSPDVIDKAVQVAQSIRWIAQVYDSEPESDGTEKILVATKTFWKLPLTSL >LPERR04G18090.1 pep chromosome:Lperr_V1.4:4:17511563:17513691:-1 gene:LPERR04G18090 transcript:LPERR04G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKSNSVGSGEPRPAKEKKRWGFGKSFREKSPAYPQPSPPSSSVQRAVTPLRAYTESDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEYAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVAAHPGPPTPEKYDQTAHDGVPRHGRSGSLKGSSSKTPGSERLNRERSESCGRNWLDRWVEERYMDDEKNAKILEVDTGKPGGRHASRRRNHNHSSCSSMTTSEQRSRSYATMPESPSKDSTPSVGMAAAEASLSPLRMAAAVDIIAAELCDSPQFYSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFSCAPAAQKTAASLHTKFTNKAYPGSGRLDRLGMPVKY >LPERR04G18100.1 pep chromosome:Lperr_V1.4:4:17524317:17528058:-1 gene:LPERR04G18100 transcript:LPERR04G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLISPRIYNNSTKLLAASGAMASSMAYIALLASALAAVIALLHWAYRWRHPRSNGTLPPGSLGLPIIGETLQFFSPNPTCDLSPFVKDRIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPTVELKDGISTMIFDLTAKKLIGYDPSNPSQVNLRKNFAAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAADPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRSRKDPNSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKYSWRTVKGGNIVRTPGLGFPDGFHVQLIPKN >LPERR04G18110.1 pep chromosome:Lperr_V1.4:4:17552069:17554973:-1 gene:LPERR04G18110 transcript:LPERR04G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQILLGTYSYAALCGITLIIGWLTHLVYKWMNPPCNGRLPPGSMGFPIVGETFDFFRASPSIDMPSYYKKRLQRYGPLFKTSLVGRPVVISLDPEVNRFIFQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRSIAAKLFGLENLKESLLPELEHSMRESFASWTRKPSIEVKDGVSDMIFDLVAKKLIGLDTTNSRELRKNFQDFFHGMVSFPIYFPGTSFYRSMQGRRNIRNTLTDIMKERLSVPEKRFGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTMTVAFKFLTDNPKIVEELKEEHETILKKREGVNSGFTWEEYRSLKFSIQVMNEITRISNVAPGVFRKTLTDVQVKVHLNPKLFEDPLKFDPYRWTDDKRSSMLKNYMPFGGGIRLCLGAEFSKLFIALFLHILVTEYRWNEMEGGEVLRISEIMFPQGYHIQLLPQP >LPERR04G18120.1 pep chromosome:Lperr_V1.4:4:17565838:17569104:-1 gene:LPERR04G18120 transcript:LPERR04G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHLLSSAGGRRPSLLQLAAVAVLCSVSYLLGIWHHGGFSAPPGANGGVASSVSIATTASVSCVSPTPTVSAAASSKPSPPLDFAAHHTAEGLEVGSSGEARREYEACPSKYSEYTPCEDVERSLRFPRDRLVYRERHCPSEGERLRCLVPAPKGYHNPFPWPASRDVAWFANVPHKELTVEKAVQNWIHVDGDKFRFPGGGTMFPHGASAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQEAIEAVAKSLCWKKITVKEAGDIAVWQKPTNHIHCKTSRKVIKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPQRLTAVPPRIASGSIEGVTDEIFLEDTELWKKRVGHYRSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNITTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCEMENILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKQ >LPERR04G18130.1 pep chromosome:Lperr_V1.4:4:17577826:17582497:1 gene:LPERR04G18130 transcript:LPERR04G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRHSPDGHLLYSDAWTLQLMNAGPTRRHATTPRGRLAVHSVHQDRRHSRRRRPQHRSPDATRSVVWDFGQKRRTRSCGVCTIPSRLHLSQLEILPNLPRSDQRSLIPRAPEPPEVLMSMEAMHDTTGKKEMVVCYMNSPLPYMIEENYGGCFFEEDDDLAQVLQDQEIVYQLIQGNGCSGSSRTHSHPSSSHSHGRALDERKPLGVSGYEVQLVVDEALARELQQMEDQLASASIDDHRITEHGRKPITSSISNGGNVSASRPPQAVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMAYKNRDKLIKLPCEHHYHQTCVTKWLKINKVCPVCNKEVFGSCRLLKETNL >LPERR04G18130.2 pep chromosome:Lperr_V1.4:4:17577826:17581932:1 gene:LPERR04G18130 transcript:LPERR04G18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRHSPDGHLLYSDAWTLQLMNAGPTRRHATTPRGRLAVHSVHQDRRHSRRRRPQHRSPDATRSVVWDFGQKRRTRSCGVCTIPSRLHLSQLEILPNLPRSDQRSLIPRAPEPPEVLMSMEAMHDTTGKKEMVVCYMNSPLPYMIEENYGGCFFEEDDDLAQVLQDQEIVYQLIQGNGCSGSSRTHSHPSSSHSHGRALDERKPLGVSGYEVQLVVDEALARELQQMEDQLASASIDDHRITEHEFCSGRKPITSSISNGGNVSASRPPQAVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMAYKNRDKLIKLPCEHHYHQTCVTKWLKINKVCPVCNKEVFGSCRSY >LPERR04G18140.1 pep chromosome:Lperr_V1.4:4:17583223:17588530:1 gene:LPERR04G18140 transcript:LPERR04G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEVYGRGWGQTPSLGDMPEGCAAAVLLCLDPPEICQVARLNRAFRDAASADCVWAAKLPANYRYLAALAAAADDDSGDGDGGMEGNGGRCSSAAVIKKEIYARLCRPTPFDGGTKEFWVEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHNVVYLQQIWWLEVVGEIDFCFPSGSYSLFFRLHLGRPLKRMGRRVYASELVHGWDIKPTKFQLSTSDDQQATSQYYLDGEGYWILYHVGDFVISRSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKNHRHEDYRICK >LPERR04G18150.1 pep chromosome:Lperr_V1.4:4:17608460:17610172:1 gene:LPERR04G18150 transcript:LPERR04G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTCAACLDDPTTGCAFFAPLLSKGEQFVIMVAGDDAEGEEQPAPVLTSKPPGRLAKAVKEAWSVSLGVAFPTTPSMSTCAARVEARSILALAFPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVFLLLAAAIPIGGLWVQMRPLLLFCGQDAGIAAVAEIYIFASLPDLVLQAFLHPMRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFFKGVHKRTGGFGLSAECFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGIVLGFAFGGFASAFAFLVRDAWATMFTADPAIVALTAAVLPILGMCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHFDFRGLWFGLLAAQATCMMRMLLVIGRTDWAAEAKRSKQLTGAGVANMESSDDDDDKVGADEKSRLLVDTDMVDQPSDQSDRC >LPERR04G18160.1 pep chromosome:Lperr_V1.4:4:17615777:17619746:-1 gene:LPERR04G18160 transcript:LPERR04G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEASTKFGACYAIDTKILIGLRQTCAMEMDEESGSRKRYLPTSPFELYPSLVDEAWARG >LPERR04G18170.1 pep chromosome:Lperr_V1.4:4:17622640:17625760:1 gene:LPERR04G18170 transcript:LPERR04G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADAREPPQHPSPLDLETDFPFDFDRPIEDSHSGLEPLAVAAIPTLKYNCETFHSEDDAQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKTAVSSAGSIFQPRTLPESSVNPTPQFLPVLQDHRGHQDGPDMPESVEVGIEIRR >LPERR04G18180.1 pep chromosome:Lperr_V1.4:4:17635777:17636355:1 gene:LPERR04G18180 transcript:LPERR04G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGPPSSGKHPFYRGIRSRSGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGTDAALNFPDLAASRTSLPASSSADDIRAAATAAATSLQQGRGAASGIAPATSGSSAAAAGGSGGAQHQEGGSHGGHHHFLDEEALFETPQFLRNMAAGMMMTPPRLSPSSSDDSPDPSDAGGSLWSYRDP >LPERR04G18190.1 pep chromosome:Lperr_V1.4:4:17645638:17646299:1 gene:LPERR04G18190 transcript:LPERR04G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTLPLVGGDGDEDSSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAAMALRGRAACLNFADSPRRLRVPPLGSGHEEIRRAAVEAAEMFRPAPGQHNADPDAAAVAAQGAAGSAELFADFPCYPMDGLEFEMQGYLDMAQGMLIEPPPMAGPSAWAEEDYDCEVNLWSY >LPERR04G18200.1 pep chromosome:Lperr_V1.4:4:17650656:17655241:1 gene:LPERR04G18200 transcript:LPERR04G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAPSMEKDVGRPRPPLLMLKEWLELESIAELSRDGFGCYPRRQLAADQLRGSGSGRGWNGAVIERVSAAVRAALFPPSARQGGGAALSKSLSRKLIRRGFWKKRKGEGEEEVNIRVESCSAAAVSGREDESSPAMSLSPRRRSWDGGHAGGGGRRSHEIQVVRLECETTCHLREELEQGERLSPVSVMDFLSQDEDDCGVEDCNGESEFDDGDDLIVSPTFQQSLANIRRASQQLLQKIRQFEQLAELDASDVDESTMAKEDGVCYMTNSDSMEDDVDVEEAFVQDLLDLLEASSPGSTRCFKKLLVDFFFDGLLPWKGERLDDPDRAKLLLETAKAWLDDQDFSSRLDAKAEIEEIERLVRWRCFKDYEQELVAVDLEGEIFWSLIAELVGDLG >LPERR04G18210.1 pep chromosome:Lperr_V1.4:4:17659967:17673591:1 gene:LPERR04G18210 transcript:LPERR04G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSLDVNMPVAELEAIKFSHMTSSDMEKLSSASIIELCDATNAKLGLPNGEPQCATCGSRSVRDCDGNFGVIKLAATVHNPYLIEEAVQLLNQICPGCLTLKQNGDAKRDDGTSIQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGKVTHKSKNKAPHETLPQDFWDFIPDDNQPPQSNVTKKILSPYQVFHMLKKLDPELIKQIIPRRELLFLSCLPVTSNCHRVAEMPYGHSDGPLRSSFGQQIVQFSYDTADDMYSDHDFEGEPGDPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKSRYGLEYASLQVKDHLERVDFSDLVDTVMILYGGSDMEKTEGNPWITHFHLSQETMKIKRLGQKFIVGELVNQYNALREQLNNALPSVCVSNSTCSIRNECIKNQTRCITMVVQVESNSTSQLDLIKERVIPSILATKLKGFLEFKNVKVQCHQDNELAVKVGMSEHCKSGKFWATLQNALFPIMELIDWERSRPEKSLRSTTDAIGKNIRQQHLLVVADCLSVSGQFHGLSSQGLKQQRTWLSISSPFSEACFSRPAHCFINAAKQDCGQSVWYSGCNCLGQRTLYWDVRSIQDSIFWEGSHETKQNKNVYDFLRNPEIQAFEKNAMATYRKRTEKNTKQRSALNSEGNVDQKFLDAKVGIWENIIDMRTCLQNMLREYTLNAVVTEQDKSCLVEALKFHPRWNDKIGAGIREIKIGVNPSHLNSRCFIVLRNDDTTADFSYKKCVLGAANSVSPELGSYIEKILSNRAIRAQGLYRITGSRLECVSTQLNSLELQMVGLSLSGALPLGVSYLRFCSTYSYSKPFNLLAKG >LPERR04G18220.1 pep chromosome:Lperr_V1.4:4:17679649:17683339:1 gene:LPERR04G18220 transcript:LPERR04G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARMRKPCVYFSSGDCRYGDKCRFLHADSISINKSFSLRSTLHGHQMERDMVFSSSEDGTVRVWDYLTGQGPSTLGWRCILSEGPMLFVGIPGAVKIWNTQTGVEMNLIHALAFGNGMLFAAIQDGKILAWRYCAEKNSFDLAASLVGHQGPVVSLSVGTMRLYSGAIMSLLCWEQFLLSCSLDQTIKVWTVTETGSLAVTYTHNEDHGALALAGMQDAQLNPIFLCSTNDKAIHLYELPSFIERDKLSFKVEIRAMKNGPGRLIFTGDEIGELMVSRLETAHLNL >LPERR04G18220.2 pep chromosome:Lperr_V1.4:4:17680205:17683339:1 gene:LPERR04G18220 transcript:LPERR04G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARMRKPCVYFSSGDCRYGDKCRFLHADSISINKSFSLRSTLHGHQMVHPPTPACPQPDLSEVFVGCDWDCAAIRNGIWCSLAARTERGHQHWAGGRCILSEGPMLFVGIPGAVKIWNTQTGVEMNLIHALAFGNGMLFAAIQDGKILAWRYCAEKNSFDLAASLVGHQGPVVSLSVGTMRLYSGAIMSLLCWEQFLLSCSLDQTIKVWTVTETGSLAVTYTHNEDHGALALAGMQDAQLNPIFLCSTNDKAIHLYELPSFIERDKLSFKVEIRAMKNGPGRLIFTGDEIGELMVSRLETAHLNL >LPERR04G18220.3 pep chromosome:Lperr_V1.4:4:17679649:17683267:1 gene:LPERR04G18220 transcript:LPERR04G18220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARMRKPCVYFSSGDCRYGDKCRFLHADSISINKSFSLRSTLHGHQMERDMVFSSSEDGTVRVWDYLTGQGPSTLGWRCILSEGPMLFVGIPGAVKIWNTQTGVEMNLIHALAFGNGMLFAAIQDGKILAWRYCAEKNSFDLAASLVGHQGPVVSLSVGTMRLYSGAIMSLLCWEQFLLSCSLDQTIKVWTVTETGSLAVTYTHNEDHGALALAGMQDAQLNPIFLCSTNDKAIHLYELPSFIERDKLSFKVEIRAMKNGPGRLIFTGDEIGELMVWKCITEQNHGASN >LPERR04G18220.4 pep chromosome:Lperr_V1.4:4:17680205:17683267:1 gene:LPERR04G18220 transcript:LPERR04G18220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARMRKPCVYFSSGDCRYGDKCRFLHADSISINKSFSLRSTLHGHQMVHPPTPACPQPDLSEVFVGCDWDCAAIRNGIWCSLAARTERGHQHWAGGRCILSEGPMLFVGIPGAVKIWNTQTGVEMNLIHALAFGNGMLFAAIQDGKILAWRYCAEKNSFDLAASLVGHQGPVVSLSVGTMRLYSGAIMSLLCWEQFLLSCSLDQTIKVWTVTETGSLAVTYTHNEDHGALALAGMQDAQLNPIFLCSTNDKAIHLYELPSFIERDKLSFKVEIRAMKNGPGRLIFTGDEIGELMVWKCITEQNHGASN >LPERR04G18230.1 pep chromosome:Lperr_V1.4:4:17684703:17685065:1 gene:LPERR04G18230 transcript:LPERR04G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTTSLRRSELCAAAVSAADTVSWRCAVALVALVLLGALCADGGGGVEAVIRGPRLGGAAARPCEEVYVVGEGETLHTISDKCGDAFIVERNPHIHDPDDVFPGLVIALRPTKNT >LPERR04G18240.1 pep chromosome:Lperr_V1.4:4:17703287:17708279:1 gene:LPERR04G18240 transcript:LPERR04G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIQVLNVKMVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQFGGKDREQVLKEFSRVLDDQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDAQSGSEDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFSGNLLYALAYDMNSLTILLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQMRFKIYSLTFNQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSTDAARPAESGHQISANVEEGLAQPLLIGEIQDQNAEDNDDNEEESENSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAVVGSYITNLFEDRQILVASEIMVLIGIIMSFRFTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >LPERR04G18250.1 pep chromosome:Lperr_V1.4:4:17708792:17711343:1 gene:LPERR04G18250 transcript:LPERR04G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDMNTTRALGVGAISVATTLLFAMLTCVQAAGGENYTFMKDAAQAPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDERIGNMTRFADTLSDTSPLSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDEYVRGLGWDIEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPRNGFTFDHIIGTKVGGSIFDADGRRHTAADLLRYSRADGLDVLLRARVAKILFNVRGPGRRPVARGVVFHDSEGRMHRAYLSNGRGNEVILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQSAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGFRSNQPRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFQGGFILEKILGPQSTGHLELRNLNPDDNPSVTFNYFDHPDDLARCVAGVSVIERVIQSEAFANFTNPSFSVGTLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDTEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLEAEGLGRRNL >LPERR04G18260.1 pep chromosome:Lperr_V1.4:4:17713118:17719220:1 gene:LPERR04G18260 transcript:LPERR04G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRALTAASAVPAAAAVAAVALSTCSFSSSPNYRLRFPSLSSACASSASGRRPNAVPPMAAAAATADLAATDKGAALPELMTEFMVDMKCEGCVTAVKNKLQTLEGIENIDVDLNNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTSGAESTGKVYSPPDYISDMPLGDLGTLEAGENGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >LPERR04G18270.1 pep chromosome:Lperr_V1.4:4:17717748:17720081:-1 gene:LPERR04G18270 transcript:LPERR04G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCKARWRAPSLCLVIVLLQASLSACAPTTNTYIVQMAKSEMPSSFDFYHEWYASTVKSVSSSQLEDEEEDDASTRIVYNYETAFHGFAARLDDDEADMMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTSANCNRKIVGARIFYSGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVSDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGESEGIAAKSYSKSAAKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLPSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASKPFEHGAGHIHPVRALTPGLVYDISQADYLEFLCTQRMTPMQLKTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKAMTVRRTVTNVGPPSSTYQVKVTKFKGADVVVEPNILHFATTNQKLSYKVTLTTKAAQKAPEFGALSWSDGVHVVRSPVVLTWLPPQ >LPERR04G18280.1 pep chromosome:Lperr_V1.4:4:17726857:17729410:1 gene:LPERR04G18280 transcript:LPERR04G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSHPAMIALSLLLVALYLASRGLLGGKKRKKYPPVAGTVLHQLLNFGRFVEYQTELSRRHRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHAVMEDLLGDGIFNVDGAMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGIILGAAAEEGERVDLHDLLMRSTLDSFFKVGFGVNLGVLSGSTKEGAAFARAFDDASEQVLYRFFDLLWKVKRFLNVSSEAAMKKSVRTINDFVYAVIDRKIEQMSREQHEFAKKEDILSRFLLERERDLGCFDNKYLRDIILNFVIAGRDTTSGTLSWFFYMLCKNPRVQEKVAQEVRNATTGDDDVGVQDFPAFLTEDAINKMQYLHAALTETLRLYPGVPIDVKYCFSDDTLPDGHAVNKGDMVNYQPYPMGRMKFLWGNDAEEFRPERWLDDAGVFVPESNFKFTAFQAGPRICLGKEFAYRQMKIVSAVLVYFFRFEMWDIDSTVGYRPMLTLKMDGPLYLRAFCPVKLHAISKLKTLSSTFGGDSDGWV >LPERR04G18280.2 pep chromosome:Lperr_V1.4:4:17726481:17729410:1 gene:LPERR04G18280 transcript:LPERR04G18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSHPAMIALSLLLVALYLASRGLLGGKKRKKYPPVAGTVLHQLLNFGRFVEYQTELSRRHRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHAVMEDLLGDGIFNVDGAMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGIILGAAAEEGERVDLHDLLMRSTLDSFFKVGFGVNLGVLSGSTKEGAAFARAFDDASEQVLYRFFDLLWKVKRFLNVSSEAAMKKSVRTINDFVYAVIDRKIEQMSREQHEFAKKEDILSRFLLERERDLGCFDNKYLRDIILNFVIAGRDTTSGTLSWFFYMLCKNPRVQEKVAQEVRNATTGDDDVGVQDFPAFLTEDAINKMQYLHAALTETLRLYPGVPIDVKYCFSDDTLPDGHAVNKGDMVNYQPYPMGRMKFLWGNDAEEFRPERWLDDAGVFVPESNFKFTAFQAGPRICLGKEFAYRQMKIVSAVLVYFFRFEMWDIDSTVGYRPMLTLKMDGPLYLRAFCPVKLHAISKLKTLSSTFGGDSDGWV >LPERR04G18290.1 pep chromosome:Lperr_V1.4:4:17730579:17732015:1 gene:LPERR04G18290 transcript:LPERR04G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMYRADPANPAARRPRLVVLLLVAFFALQLLVFLAFRQAPSPTATRMPESDSEAPRRDAEDSGCAGGGLVYVYELPPVFNEDLLSMCGVLAPMYSLCPYLANDGLGFPVPAAKGAANLSTEILPAELAGSWYSSDQFALEHIVHRRLLSHRCRTADPARATAFFVPFYAGLAVGRHLWAANATDADRDRDCVALLSWLHAQPYYKRSHGWDHFIALGRITWDFRRSPDGGWGGSFLLMPGLANITRLVIERDPWDAMDVGIPYPTSFHPRTAADVRAWQRYASSRPRPNLFAFAGAPRSAIKGDFRGLLLEECQAAGDACSTLDCGEGRCIKQNELVMQLFLGARFCLQPRGDSFTRRSIFDCMVAGAVPVFFWRRSAYLQYAWYVPVGNDQEGEWSVFIDRDELRAGNVTIRGVLAAIPEAKVQEMRNRVVEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRV >LPERR04G18300.1 pep chromosome:Lperr_V1.4:4:17737663:17738961:-1 gene:LPERR04G18300 transcript:LPERR04G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHRRVLFLLAVSLAVAVVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFNSPSAASTNLTALLEKAGCKQFARLIVSSGVIKMYQAAMDKGLTLFAPNDDAFNAKDLPDLSKLTSAELVTLLQYHALPEYAPKASLKTIKGSIQTLASTGAGKYDLSVVAKGDDVSMDTGVDKSRVASTVLDDTPTVIHTVDSVLLPRELFGGAPSPAPAAGPASDVPAASPAPEGSSPAPSPKAAAGKKKKKAKSPSHSPPAPPADTPDNSPAESPAGDEVADKSVKKSGATAVATSAAAAVASAAALLAASFL >LPERR04G18310.1 pep chromosome:Lperr_V1.4:4:17742795:17748239:1 gene:LPERR04G18310 transcript:LPERR04G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPESRPPRSLFDVPSNFFDSSTLLGSHPSSGLSAVEPSESFRPAPAPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADPGSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCVLLKEHEEPFFNSKSGQMESGSTSFIQDDEMLKRVKNLTSEPRDASHLRIILLSSGGHFAGCVFDGNSVITHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALRKEIQELLASWKSYFDRCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTIHRPTLKEAKRVYTNLTQLCYEIEYSSVDEVLPHVDDVKSFELSKEAKQKKTMDTEESVSVSSLSLDSANKHEGTVIHPSNNETTPLHEAAKSGNVQQTLELLEQGLNPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKEMKKLKKAREKEKEKEKEKSQTNVRGTSVGQMAIATASIPGLKQKHQLPQPTALSKEVRNDKGNWPRKERKEQPQPKEDLRLWQLSQVAHQGRQQQNTPLRSQQQTILLVRAVFHP >LPERR04G18310.2 pep chromosome:Lperr_V1.4:4:17742795:17747159:1 gene:LPERR04G18310 transcript:LPERR04G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPESRPPRSLFDVPSNFFDSSTLLGSHPSSGLSAVEPSESFRPAPAPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADPGSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCVLLKEHEEPFFNSKSGQMESGSTSFIQDDEMLKRVKNLTSEPRDASHLRIILLSSGGHFAGCVFDGNSVITHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALRKEIQELLASWKSYFDRCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTIHRPTLKEAKRVYTNLTQLCYEIEYSSVDEVLPHVDDVKSFELSKEAKQKKTMDTEESVSVSSLSLDSANKHEGTVIHPSNNETTPLHEAAKSGNVQQTLELLEQGLNPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKEMKKLKKAREKEKEKEKEKRHFSWSNGNCNCFYSRAETKASAAPAYGTFERDEWIYLVVLLIRRNDKGNWPRKERKEQPQPKEDLRLWQLSQVAHQGRQQQNTPLRSQQQTILLVRAVFHP >LPERR04G18310.3 pep chromosome:Lperr_V1.4:4:17747882:17750009:1 gene:LPERR04G18310 transcript:LPERR04G18310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSSSSSSTGGHHHTVEIGAVPRGDDARPQSAMSGPINLRSERRPPQTQRAFSRQVSLGSGVTVMGKNGGGGRGQQRALPRSGNSLGVLHHHSGALGQAAAGVAGDGAGRRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGLSVGSRQGGAGGGDDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMGFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIKREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPNRLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLMSTLLHAFVWRSLFPNDLAIAITKDRQGGAAKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKDEEEKTE >LPERR04G18320.1 pep chromosome:Lperr_V1.4:4:17750092:17753281:-1 gene:LPERR04G18320 transcript:LPERR04G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLFPASTRFPAQGPVSFGERWLPKYSARTSVGDITSLRVITAVKTPYLPDGRFDLGAYDLLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDISPAIIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTVLNEKLLPLMKWLFCQPNPIALNTALAQLGVTRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDEFVLISRY >LPERR04G18330.1 pep chromosome:Lperr_V1.4:4:17756229:17759945:1 gene:LPERR04G18330 transcript:LPERR04G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWRALQPLLCLAWLAATLPIVAAALPIPAAAGGQLLRRLLSAFSSRGKTVSPSPASSSGSSASKAKFTVPQKYFMHFYVVGVVATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGHVRSRTMGNKYRVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLLPLLKMGWSQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIPCGDWFNWVSCPHYLAELVIYFGMLVASGGEDIPVWFLFLFVITNLSFAAVETHKWYLQKFEDYPCSRGWECCIVYRKQL >LPERR04G18340.1 pep chromosome:Lperr_V1.4:4:17765623:17769114:1 gene:LPERR04G18340 transcript:LPERR04G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARPLFLLVMLLFAAALPVFGATNAPASPPVARTAEVQAEIDTLLAFRRGLRDPYAAMSGWNASSPSAPCSWRGVACTAAGRVVELALPRLRLSGAISPALASLPYLQKLSLRSNSLSGAIPASLSRISSLRAVYLQSNSLSGPIPQSFLANLTNLQTFDVSSNLLSGPVPVSFPPTLQYLDLSSNAFSGTIPANVSASATNLQFLNLSFNRLRGTVPASLGILQDLHYLWLDGNLLEGTIPSSLSNCSSLLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGGVGNSSLRIVQVGGNEFSQVDVPAALGKNLQVVDLRDNKLAGPFPAWLAGAGGLTVLDLSGNAFTGEVPPVVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLEDNRFSGEVPAALGGLRRLREVYLGGNSFSGQIPAGLGSLSWLEALSTPGNRLTGDIPGELFVLGNLTFLDLSDNKLAGEIPPSIGNLSALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPSELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNISVNQFSGSMPATYGYLPSLQVLSASHNHISGELPVELANCSNLTVLDLKNNHLTGPIPSDFARLGELEELDLSHNQLSGKIPPEISNCSSLAILKLDDNRLGGEIPGSLSNLSKLQTLDLSSNNLTGSIPASLAQIPDMSSFNVSHNELTGEIPALLGSRFGTPSAFASNPELCGPPLENECSAYRQHRRKQKLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSTDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQASPA >LPERR04G18350.1 pep chromosome:Lperr_V1.4:4:17773025:17778176:1 gene:LPERR04G18350 transcript:LPERR04G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGMYIMAALFYPGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFEVHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDIVHVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQQTVPASATTAEVYSTCVFASLFVKCISYKVTTAQACSGGIFYSPFITFYMTTSTSDEDAKVEDELKFKPFTGSGKRLDGKAPKLQATEVPSPSRSSPSESNKRVNQETAAPASSGASNSTRQKSGKLVFGSSASNNKEQQKAPVKADEPPKDEPKFQAFSGKSYSLKR >LPERR04G18360.1 pep chromosome:Lperr_V1.4:4:17783103:17787533:1 gene:LPERR04G18360 transcript:LPERR04G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQLRFGRSYDEEEDDSEDEEEEFEYEEMLSDGTASPPPLMMVTTTTTTQAAEKGGGGGGLVGAVVGALRRSLVMCSAGKVGEEDEEDSEDEEEEEGIQIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRRTPSASVNVFGVSPTSLQCSFDHRGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEIYVREQLNSGMVPDEVDLHCLAGLIKAWFRELPTGVLDSLSPEQVMHCNTEEDCALLAALLPPVEAALLDWAINLMADVVEHENHNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREATGTPKTSEPCSGSPNGQDKPPTPENLEKPIICSNQKGRDQPIFDMATCDQLLFGPGQLLDYRENSKFKGPEKHDIDGQDKPPTPENLEKPIICSNQKGRDQPIFDMATCDQLLFGPEQLLDYRENSKFKGPEKHDIDRPKRHAEFSHFENDLNNKVSSPGKGFGNRNEEGMFDKFSFRKGVERLCRHPVFQLSRSMKKSTDVVVFDAPGEPRQAWGLI >LPERR04G18370.1 pep chromosome:Lperr_V1.4:4:17787755:17790567:1 gene:LPERR04G18370 transcript:LPERR04G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHAPFCSSLFVVLTLLVSRSSPALPHAFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFTFSGGKPTGRFTNGRNIADVIGEALGQKSFAPPYFSPNSSAEILNSGVNFASGSSGIFDDTGSFYIGRVPLGQQISYFEKTRAQILEIMGEKAATEFLNKALFTIASGSNDILEYLSPSMPFFGREKYDPSVFQDSLVSNLTFHLKRLNQLGARKIVVADVGPLGCIPYARALEFIPAGECSAAANQLTEGYNKKLKRMIYRLNQEMGPESKFVYANTYEIVMEIIQQYSQYGFENALDPCCGGSFPPFLCIGIANSTSTLCKDRSKYVFWDAFHPTEAVNVIVAGKLLDGNSAAASPINIRELFQYQ >LPERR04G18380.1 pep chromosome:Lperr_V1.4:4:17793079:17795903:-1 gene:LPERR04G18380 transcript:LPERR04G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASAVRLFDAHCHLQDPRVLAVAPSLIRAANAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFVETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELNKPVSVHCVRAFGDLLDILKRIGPFPAGVLLHSYLGSAEMVSSLANLGCYFSLSGFLTGMKSTKAKQMLKSIPLDRILLETDAPDALPKLDDISLLAVPVESSSSDNGKSKEDSNSRASTALNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >LPERR04G18380.2 pep chromosome:Lperr_V1.4:4:17793079:17795903:-1 gene:LPERR04G18380 transcript:LPERR04G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASAVRLFDAHCHLQDPRVLAVAPSLIRAANAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFVETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELNKPVSVHCVRAFGDLLDILKRIGPFPAGVLLHSYLGSAEMVSSLANLGCYFSLSGFLTGMKSTKAKQMLKSVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >LPERR04G18390.1 pep chromosome:Lperr_V1.4:4:17798245:17799384:1 gene:LPERR04G18390 transcript:LPERR04G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAAKMRLGGGGGGGFTLGCGCRDAKAVAVAASAASPCSAATTETSTATTATWRRARTRQSASASESTTGTLTVPSASSSSFQWDDADGEEVECKRESSATTPSFSGLLRQLSELEQSVMTWGWKSPRRGNHLPPPPPPPPPPPQPLPLRPVQHRAVDGGGEKRSNKEVNNGKLSSPPSSSHCPTTTTTKQHRKTKSVDQRNREDGESHFAPPPPPPQPTPQPRNVKSVEKVGGGGGKEDGKHLQPPPQAPTKHRKAKSCDNKDDGFMTAGKLDGSLAVVKQSEDPLGDFRRSMLNMIVENRIVTGDELRELLRRFLDLNAPHHHDAILRAFAGIWDEVFAAPDDDDEPRRDPLQRPLSRQRTLPRRRHPPPAWRL >LPERR04G18400.1 pep chromosome:Lperr_V1.4:4:17801890:17804036:-1 gene:LPERR04G18400 transcript:LPERR04G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPVTTTFSHLLLLHHPPGALRCLPSPPRADTVARPGRSRRRALLRCSAVSELTPAASAAYGALLLGGGAFAYVRSGSKGSIYGGLSGSALMGIAYYLMQSPDTKAIGDAVGFGSAFLFACVFGIRLYNSRKLVPSGLLLVLSLGALGVFYSAYLQDKV >LPERR04G18410.1 pep chromosome:Lperr_V1.4:4:17806326:17808586:-1 gene:LPERR04G18410 transcript:LPERR04G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKGSDVLSNKAIGDGHGENSSYFDGWKAYDTNPFDLRHNREGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGLSQFKRIANFQDYHGLPEFRRAMAQFMGQVRGGKVTFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIRLLPIECHSFNDFRLTKEALVAAYNGARRQGITVKGILITNPSNPLGTTTDRATLAMLAAFADEHRVHLVCDEIYAGSVFSMPAYVSIAEVVERDVPWCSRDLVHIVCSLSKDFGLPGFRVGIIYSYNDAVVACARRMSSFGLVSSQTQYFLARMLSDEEFIARFLRESKRRLAARHARFTTGLREVGIRCLRGNAGLFTWMDLRDMLREKTKEGELELWRVIIHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQRRVKAERWAAKGQLRLSLPHHGASAFFALSSPLALNSPQSPMVRAAS >LPERR04G18420.1 pep chromosome:Lperr_V1.4:4:17814749:17817523:1 gene:LPERR04G18420 transcript:LPERR04G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRAPTSTLSTANHHKKSGEFLRRPSPTLRSARRFHLHAQKPASRDAGNETSSTSENAVLKAAWYGSELLGIAASFLRPSQKATDGDAGAAEEAGASEPQGRAQVAEAIKDDFARSYFVTGNLTLKAYEDDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSTMNLTKWEDMEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFHAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRSD >LPERR04G18430.1 pep chromosome:Lperr_V1.4:4:17818711:17819019:-1 gene:LPERR04G18430 transcript:LPERR04G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVARGPLLLSLGLGEAGGALWWIRRAGFRASLADVGGHGDVHYDSEGIAVGMDKATSEGSFGWGSKVEWRTARRRCHVIPVQGGNGDDTVRRVLLAFWRV >LPERR04G18440.1 pep chromosome:Lperr_V1.4:4:17820080:17821152:1 gene:LPERR04G18440 transcript:LPERR04G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEPEDIAPDHSTKSTREINKSPKDNIIFGYKMANEEADQEIQVAPLKKEDSNPIISNRAASNIYHTNNVGNNSGLLITNRPSTRVRCAPGGPSSLGFLFGDEDA >LPERR04G18450.1 pep chromosome:Lperr_V1.4:4:17823993:17826213:1 gene:LPERR04G18450 transcript:LPERR04G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAAMTSFAVKNPLLAAAVRRRSWPPLPAGGRAMPFSPLTRSAPHRHRRRGLETVTCFVPQDTERRNQAAAPAAAAPPPTVPVPLPSLEEEAAAAAARRIAERKARKLFERRTYLVAAVMSSLGITSMAVAAVYYRFHWQLEGGDVPMTEMFGTFALSVGAAVGMEFWAQWAHRSLWHASLWHMHESHHRAREGPFELNDVFAIINAVPAICLLAYGFFHRGLVPGLCFGAVRVTGGLGPHGLGITIFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKELARINRSL >LPERR04G18450.2 pep chromosome:Lperr_V1.4:4:17823993:17826213:1 gene:LPERR04G18450 transcript:LPERR04G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAAMTSFAVKNPLLAAAVRRRSWPPLPAGGRAMPFSPLTRSAPHRHRRRGLETVTCFVPQDTERRNQAAAPAAAAPPPTVPVPLPSLEEEAAAAAARRIAERKARKLFERRTYLVAAVMSSLGITSMAVAAVYYRFHWQLEGGDVPMTEMFGTFALSVGAAVGMEFWAQWAHRSLWHASLWHMHESHHRAREGPFELNDVFAIINAVPAICLLAYGFFHRGLVPGLCFGAGLGITIFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKELARINRSL >LPERR04G18460.1 pep chromosome:Lperr_V1.4:4:17831138:17833572:1 gene:LPERR04G18460 transcript:LPERR04G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAYSPEISGVLVLSSRPSATERNQLKQRSSVPMGGAARFILENVTRQIKPVNGLPRLMTITTPQKHGMENNSNESVLAKDENTEPLVAFSRPPPLPPVLGPLIVLSLFNTSSGGDEDKKQTELAGLAGASWSQPASRTGRNNRTAERPLPCPATSLASSSC >LPERR04G18460.2 pep chromosome:Lperr_V1.4:4:17831139:17833572:1 gene:LPERR04G18460 transcript:LPERR04G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAARFILENVTRQIKPVNGLPRLMTITTPQKHGMENNSNESVLAKDENTEPLVAFSRPPPLPPVLGPLIVLSLFNTSSGGDEDKKQTELAGLAGASWSQPASRTGRNNRTAERPLPCPATSLASSSC >LPERR04G18460.3 pep chromosome:Lperr_V1.4:4:17831144:17833572:1 gene:LPERR04G18460 transcript:LPERR04G18460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAARFILENVTRQIKPVNGLPRLMTITTPQKHGMENNSNESVLAKDENTEPLVAFSRPPPLPPVLGPLIVLSLFNTSSGGDEDKKQTELAGLAGASWSQPASRTGRNNRTAERPLPCPATSLASSSC >LPERR04G18470.1 pep chromosome:Lperr_V1.4:4:17833186:17835664:-1 gene:LPERR04G18470 transcript:LPERR04G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRPVLVRDPLGIVTAIELAFFLMFLALLAWFYFAFISLDFSKIIVRPGRKIWQAKLFMAALRLGHVGSLCCAFLFFPVARGSPLLQLVGLTSEASIKYHVWLGHLVMLFFTAHGLGYIVLWASTDQIHEMVEWARTRVANVPGELALLSGIAMWVTSLPRVRRHMFELFFHTHQLYALFLVFYTLHVGITVFCSILPGVFLFMVDRYLRFLQSRARVRLVSARLLASKTVELNFCKSPCLTHSPLSTIFVNVPCVSRLQWHPFTVTSSSSLEPDMLSVVIKRAGTWTQKLHEMLTSLPDSQPGHLDVSVEGPYSYSQDTSGSFLDHYDSLVMISGGSGITPFISVIRELVHRSVTTTTPSLLLISVFKSSADLAMLDLIVPASGGFSGVFRLDLRLEAFVTGENMAPTGDVARKAPVKELWFKPSPPHAPIAPVLGHNSWLCLAAVVSSSFAVFLLLLGAVQRLYVYPVDGNSNRVYPRVPRTLLNMLFLCVGVAVAASAAVLWNRRRRNDEAAKQINNLTTPATSPDKVTDGYAGVEVESSPRHFLEQVTQVHFGGRPNLRRMLLQIGGSGTDVGVMASDPPGLLEDVATICSSPSASNLHFESISFSW >LPERR04G18480.1 pep chromosome:Lperr_V1.4:4:17837553:17844172:1 gene:LPERR04G18480 transcript:LPERR04G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAASLRRSSRATACQNIMEICLGPCVSSDPSLRWFSSCTKHSNTPILNRIKAVDRYSPVNGVSRTSRMPLSAHMDANWLTTSKPRINALPGFLGASSICRAYSSDTGIKPEVPQNTVSNAPSAETVDPGTPGDGSTWIDILDNARKSTLDATTEAGKKFKELTDAITPHVQEFFDANPNLEKVVVPLGGTIFGTVMAWFVMPIMLRRIHKYSSQSPISALLGNSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTASSISAYLPQAWRGAVVLSFVWFLHRWKTNFITKSAASSIDQARLSAFDKVSSLGLIALGVMALAEACGVAAQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSSPFKDGEYIKAGSIEGRVIRIGLTSTELINSEQLPVTVPNSLFSSQVIVNRTRAKWRANVTKIPIRIEDIEKVPALSEEIKVMLRSNPNVVADPDAQAPYCYLSRLENSYGELTIGCNLKTMTKDEWLTATQHILLEAAKIIKLHGVELGSTSQCC >LPERR04G18480.2 pep chromosome:Lperr_V1.4:4:17837553:17842551:1 gene:LPERR04G18480 transcript:LPERR04G18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAASLRRSSRATACQNIMEICLGPCVSSDPSLRWFSSCTKHSNTPILNRIKAVDRYSPVNGVSRTSRMPLSAHMDANWLTTSKPRINALPGFLGASSICRAYSSDTGIKPEVPQNTVSNAPSAETVDPGTPGDGSTWIDILDNARKSTLDATTEAGKKFKELTDAITPHVQEFFDANPNLEKVVVPLGGTIFGTVMAWFVMPIMLRRIHKYSSQSPISALLGNSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTASSISAYLPQAWRGAVVLSFVWFLHRWKTNFITKSAASSIDQARLSAFDKVSSLGLIALGVMALAEACGVAAQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSSPFKDGEYIKAGSIEGRVIRIGLTSTELINSEQLPVTVPNSLFSSQVIVNRTRAKWRANVTKIPIRIEDIEKVPALSEEIKVMLRSNPNVVADPDAQAPYCYLSRLENSYGELTIGCNLKTMTKDEWLTATQHILLEAAKIIKLHGVELGSTSQCC >LPERR04G18490.1 pep chromosome:Lperr_V1.4:4:17844934:17846902:-1 gene:LPERR04G18490 transcript:LPERR04G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSCPPDTTAAAASQSVSRLSTNRSADAAAVTVATVSEKKSAAAAAVSTETTLQHVVFGIAASSRLWDKRKEYIKVWWRPRGAMRGYVWLDRGVRESNMSTARTGLPSIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALSRMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPDAKSRPAAVRRLFDGPIKLDPAGIMQQSICYDGANRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARSAAAPRGGETTVTRYQRWRPANETRPACRWNIADPDAHLDQIVVLKKPDPGIWDRSPRRNCCRVLSSPKEGKKGGDKTMTIDLGVCRDGEFSQVVV >LPERR04G18500.1 pep chromosome:Lperr_V1.4:4:17857154:17857771:-1 gene:LPERR04G18500 transcript:LPERR04G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVCCYSELLLPKQLLHILLLLGYIRRFLLWAFHAVGLGDLLDLAGEDHQQAPAQDHAAATASSTQQHRRAPEFRAVPAMVIEEVLPVVRFDELEAVCGGGDCAVCLSGIGGGDEVRRLTNCRHVFHRGCLDRWMEHDDQRTCPLCRAPLIPGEMAGALWAAAGVPDASDFDFSYFGAPLTAVPTPTLLRPHELLLTGLGGYQ >LPERR04G18510.1 pep chromosome:Lperr_V1.4:4:17881316:17883932:1 gene:LPERR04G18510 transcript:LPERR04G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRSRPFPKFPKPSSSHSPHLVPHDLASSSSWCCAPSPAASSPFSPSPPPPQSTSSSARAEPMEPPPQPPASGYAPSSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAGIHSIPAPLQPQHPHPQHHPLQPARSMDRQVVLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKILGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLESSGAGEVLKRVSLELAPSALAEEVEVSGGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFVRVAGGDQSDVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITTICENS >LPERR04G18520.1 pep chromosome:Lperr_V1.4:4:17893163:17895067:-1 gene:LPERR04G18520 transcript:LPERR04G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQAYLYGVGSAVQMPMQQRSDAAAAAAVGGVLKRSLGEMERWQQHQQQQRQVAMQQALYLRHVRQRTAAASSAAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGPLGSPSSTLSSLTTASRAAVAMPLMQPQLQRQQMPYLAASSPHVQSFGTARALAPPAAAVSDMSILQELEKQLLGDDDDVEAAMSGTGSAVTGSEWEEQLNSITAAPWTRLPAATTPNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHHETAATHLAALKRAANSRGDVEQRLVAMMVAALSSRIAQASSSPSQHLQELCSAEQRAGSQLLHDISPCFRLALHAANVAIVDAVGDHRAIHLVDFDVSAPQQADLIRRLAARRLPGTSIRITAVTDPTSPFTQPLAATLPAVGEQLQKLAERAGIEYRFKMVSCRAAEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDETLRRVRALGPQVVALVEQEINSNTAPLATRFADACAHYGAILESLDATIARESAERARAEAALAGRAANAVGREGADRLERCEVFGKWRARFGMAGFRPVALGPGIADQVLARSGPVAAGLAVKAENGVLRLGWMGRVVTVASAWR >LPERR04G18530.1 pep chromosome:Lperr_V1.4:4:17903336:17905696:-1 gene:LPERR04G18530 transcript:LPERR04G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWECVIPGREGTDWEGGYFPLIMQFTEDYPTNPPSCKFPSGFFHINVYDSGAVCLSILGSGWKPSITVRQILVGIQELFDDPNPNSAAQNICFELYKKNMPEYKNRVRQQAKKYPSVL >LPERR04G18540.1 pep chromosome:Lperr_V1.4:4:17908409:17909395:-1 gene:LPERR04G18540 transcript:LPERR04G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRLSSRLVLLAAAVVALTLPPPCAALQELQLQDAVLIDDVVQQAAVAWYHGKQHRRTGVTYPLTLPGSLSGVAADVVRFRAGSLRRYGVRRLGEFSVPPGLAVRGGVASRLLAVRANLGNLSSVFDEYAASGGYRIASPVLGLTFYGLQLQARRGGAATATARLEIAVTGAAIRVNFSMAVPALQPGAVPLCMAVAINGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGAGGAGAVAEAGDVSKWKLALFGAALGAGGTVLLGLVLVAVVSIQRRKSEVAEMARRAYEEEALRVSMVGHVRAPSAGGSRTAPDALEDEYCATL >LPERR04G18550.1 pep chromosome:Lperr_V1.4:4:17911577:17913862:1 gene:LPERR04G18550 transcript:LPERR04G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFDRYPPHGRKPAKEENGVPWRRIRAPPLSTPTHDLHTSSCLADLRPGEHLEIQWRKNKDFPYDLQGHAA >LPERR04G18560.1 pep chromosome:Lperr_V1.4:4:17919851:17926250:1 gene:LPERR04G18560 transcript:LPERR04G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSGAGINKTLEKYNNCCYNAQGSNSAVAGGEPQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNRQLKHKLDAEADCSNYRAIQDSWVRDTVVSGSIVLNAQPPPDTDCEPTLQIGYHHFDPPEVAIPRSNAGGDQSNNFILGWPL >LPERR04G18560.2 pep chromosome:Lperr_V1.4:4:17920046:17926250:1 gene:LPERR04G18560 transcript:LPERR04G18560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSGAGINKTLEKYNNCCYNAQGSNSAVAGGEPQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNRQLKHKLDAEADCSNYRAIQDSWVRDTVVSGSIVLNAQPPPDTDCEPTLQIGYHHFDPPEVAIPRSNAGGDQSNNFILGWPL >LPERR04G18560.3 pep chromosome:Lperr_V1.4:4:17919897:17926250:1 gene:LPERR04G18560 transcript:LPERR04G18560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSGAGINKTLEKYNNCCYNAQGSNSAVAGGEPQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNRQLKHKLDAEADCSNYRAIQDSWVRDTVVSGSIVLNAQPPPDTDCEPTLQIGYHHFDPPEVAIPRSNAGGDQSNNFILGWPL >LPERR04G18570.1 pep chromosome:Lperr_V1.4:4:17928004:17928513:-1 gene:LPERR04G18570 transcript:LPERR04G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCFCREIPRPIVAVFKLFQAAALVFMLILCFLGLYEFPYTADDHAPLIHGRRRHPLGDGLQPETVKQKLPLVEYMRLAELSGKSAAACDDDDDGERGCTATATTTCRVCLERLEATDEVRRLGNCTHAFHTGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGRQ >LPERR04G18580.1 pep chromosome:Lperr_V1.4:4:17935609:17936100:-1 gene:LPERR04G18580 transcript:LPERR04G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPACIPRVCSNNDHRSTYDIVPLRRKFLLLLDVLRFLAAALLGRLGVVSCHDNVELPGEPWCVDTAAMERLMETELIRTSRYYRLRRRRASWPGRGDDGNGSEAICAICLAALETEGGGGCRAVVVELSGCSHAFHAACINGWVGEAGTCPLCRTPVMSPW >LPERR04G18590.1 pep chromosome:Lperr_V1.4:4:17944179:17951606:1 gene:LPERR04G18590 transcript:LPERR04G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTQLLSTVTHRDTLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIALACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSEKIRLSTSFNVKKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISLSLGLEEDYIENVLGDQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPNVAGLQVLRDGGQWIAVNPLAPNALIINLGDQIQALSNGAYKSVWHRAVVNASQERMSVASFLCPCNSAVISPARKLVAHGDEPVYRSYTYDEYYKKFWSRNLDQEHCLELFRGQ >LPERR04G18590.2 pep chromosome:Lperr_V1.4:4:17944179:17944908:1 gene:LPERR04G18590 transcript:LPERR04G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTQLLSTVTHRDTLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIALACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSEKIRLSTSFNVKKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFK >LPERR04G18600.1 pep chromosome:Lperr_V1.4:4:17953036:17954445:-1 gene:LPERR04G18600 transcript:LPERR04G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPLIDLEQLDGPDRHKVVEAIGSACETDGFFMVKNHGIPKEVVEGMLIVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCFPLESFIDQWPSNPPSFREVVGTYSTEARKLALRLLEAISESLGLEKGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQVQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPANALVDDDHSLAYRPFTYQAYYDAFWNMGLESASCLDRFRPNKQVD >LPERR04G18600.2 pep chromosome:Lperr_V1.4:4:17953036:17954445:-1 gene:LPERR04G18600 transcript:LPERR04G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPLIDLEQLDGPDRHKVVEAIGSACETDGFFMVKNHGIPKEVVEGMLIVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCFPLESFIDQWPSNPPSFRSALNENVYLSINTRTLKKSRREVVGTYSTEARKLALRLLEAISESLGLEKGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQVQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPANALVDDDHSLAYRPFTYQAYYDAFWNMGLESASCLDRFRPNKQVD >LPERR04G18610.1 pep chromosome:Lperr_V1.4:4:17964573:17967032:1 gene:LPERR04G18610 transcript:LPERR04G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIPMLHLSVLFLVLFATSAAKAADPTTSSSNCGEPERCGDLLVPFPFHLNSSCISGTNSSHFRLSCDLNATLTLLLSSASFRVLDFLSSGSLLLDYAPASPSPCDPAYAPFSGPSSPAASLDAAPFLAVTPANVLRLYACEDSSLCRAGCDDVASCGGGAKSGCCYPLSDGSVWKSGDGLGLFAEFGCRGFSSWVKNRSAPGVVRGIEVEWAVPKGSGMATCADGAIVVNATAVRGGVRCACSAGLVGDGFAQGTGCSEGTSCSNGGQASDGRECCQGRFCSKKSVALAGFFVSLFFLAAAVSFWLFLRQPSKDTLWDIDPACIPKILGGVCNARQFTYEQLDEATKRFDGEEKKAVTLVDGGGTVQAGVLDDGTVVAVQRIGYETREKLRLVLDRVELLSEVSHRNIARVVGFCPDSSTNALLLVHEHFAGGTLEDHLRQVIRSRVLSWYHRVSIAIELASALTYLQAHETAPTFLHDLKSSDIFMDDDFTAKIAGYKLARPVAYYYAASYEQDVVCNFGHLLIEILTGMRHQHPFDSVAPKVREGRLHEVIDPTLLTGKQLPAPSEEVRKVFELAVMCLLSAENGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFSSSSLLQMISMSPETLHHHLP >LPERR04G18620.1 pep chromosome:Lperr_V1.4:4:17967537:17971221:-1 gene:LPERR04G18620 transcript:LPERR04G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSSGSPASSEQLMEQIKAQLAQAFAQEFLEEGTDRIVVKTHPLVQFLIVGRLQLISLFVRGENRGTVGNKCFAKCVTKPGTSLSGSESSCISRCVDRYMEATGIVSRALFSPQR >LPERR04G18620.2 pep chromosome:Lperr_V1.4:4:17967537:17971221:-1 gene:LPERR04G18620 transcript:LPERR04G18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSSGSPASSEQLMEQIKAQLAQAFAQEFLETVGNKCFAKCVTKPGTSLSGSESSCISRCVDRYMEATGIVSRALFSPQR >LPERR04G18630.1 pep chromosome:Lperr_V1.4:4:17984297:17985566:-1 gene:LPERR04G18630 transcript:LPERR04G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTPTTSSRFSKGEEEEEEEMEQEQDEEEEEAEASPREIPFMTAAAGTASSSSPTSVSPSAAASVAASTSVSGSPPFRSSDGAGASGSGGGGGGGGEEVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEDTRDRLFIDWKRRADGFDFRNINTAAVPARQIVFFGSPGIPSPHAPLPPQPQPPPPMHPLHITVQPSPVVTTGLPMVLDSVAPHVNNPAAAASKRVRLFGVNLDNPHPDGGQSSGHDALSLRMPGWQRPATLRSLELSPHLAAGAAGADQTSAASSPSSSSSSKREAHSSLDLDL >LPERR04G18640.1 pep chromosome:Lperr_V1.4:4:18013511:18013978:-1 gene:LPERR04G18640 transcript:LPERR04G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEETAAAACSNEDLKCFDEELDEEYLIARCARMRLSQQNIDWILARSELCTDDAPNIWMYIPFNDDHAAGEPLPDIYHDNPEALFSYINDLCMSIWDRFRDFQSWVRAEFDSNGFVDVCYDYQDFEQRQHRKQKSAKAMAELLADILETGGK >LPERR04G18650.1 pep chromosome:Lperr_V1.4:4:18016606:18016803:1 gene:LPERR04G18650 transcript:LPERR04G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVVAELLEDYTVLVARVLEQLFTDAPFPRRMRFLMLRSLPFVPPPLPPPPPSHALRVTTRG >LPERR04G18660.1 pep chromosome:Lperr_V1.4:4:18018819:18019332:-1 gene:LPERR04G18660 transcript:LPERR04G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAGGKIEAQYVEMKVPLYSYGCEKKIKKALSHLRGIHSVQVDYQQQKVTVWGICNRDDVLAAVRKKRRAARFWGAEQPDGVGEDSMPADARKHYLQAFTAYRLRKSWKKLFPLIRL >LPERR04G18670.1 pep chromosome:Lperr_V1.4:4:18022132:18023519:-1 gene:LPERR04G18670 transcript:LPERR04G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRPNVCLSPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSAFERLSSLGYSKHLLNVQYRMRPEISKFPVASFYDSKISDGPNVVSPNYKRITLPGKMFGPYSFINVDGGHETTEKYGRSLKNTIEVAVVLWIVQRLFEESVFSGSKLTVGVVSPYNAQVRAIQEKIGKTYDMYDGFSVKSVDGFQGAEEDVIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSVWQKVVADAKHRECFFEASDDKHLSNAIVNAIIELDDAENLVKMESLHISNSRFQRTGPRYRA >LPERR04G18680.1 pep chromosome:Lperr_V1.4:4:18024170:18053591:-1 gene:LPERR04G18680 transcript:LPERR04G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIPRSVILEKNYNNIVLLTTMLEDLKTLLGKKCAGDEVIADIFMRDKKSDGMDGGARYSELVRILQQSKMGILGVVGTLLLGVKLPVTGSHFKIKKFCLRSASLIFCTVSGSSKLHEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDVCQLPATVKSKVHPATDSSLLGRGLFERSTLNMQYRMHPSISIFPNLSFYDKKILDGLNVTQVAHERSFLQGAMFGPYSFINIENGREEPGRSKRNMADVAAIKKILHNLCNGTYSLSHLCQYRGRGRFDDPVFCGEASEDRRRPYHFSSSFIGRLPAHTRDSVFDSSAPPSGRLSAAAAATRSDRQRQLRFATDRSSEMIRRGGAARGKDAEEEWPSLVDLVLAWELEDVMNENLFKDKIKKIPSTFGDLKSYLESYTSPLLEEMRADMSSSLEAISTMPSANISWIEQKNNNTIYDIVFDKEGMKMTTLLQLNGKCQDGRKNQLFAAYLLNIVTYIRIWRCLDYSTAVRRNQSLIQEMVHYSQVTDIRQKQTKDLSIDNMEIWSKLSAMDLNNSQNDAILSCISSMQSNNSSSSFTLIWGPPGTGKTKTSSALLWLMREIHHGTLTCAPTNLAVKQVALRFLKLIKERSLDRACLGDILLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPRTGWRHHLSSLSDLFENGYSLYLKYLEDQKEDDIMTFSSYTRKRFNATYPELRRCFKEVLFHVPKSVILETNYNSIISLLEMLEDFNMKFQPTNIEDEVKEIFMYNYDQSDSRMSNLTKFSKTVISLAKIRIRCLELLSILLSCLKLPITSSKRSIREFCMESASIIFCTVSSSSKISNKQLELLVVDEAAQLKECEGLIPLRFPALKHAILIGDECQLPATIKSKVCEDASFGRNLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKGHQKKYLPGPMFGPYSFLNIEDGNEDLDELGRSRKNMVEEDIIILSTVRSNTDGAVGFLSNRQRTNVSLTRASGSIWADLVRDAKNRQCFFNANSDNDISHVLAKHRNEINRVKDRKNAPLKVQVPYQSGMNDQMIQIPSTSTSVGRHCTDTEVNIERRGVARGKDAEEEWPRLVNLVLTWKLEDVMNENLFKDKMKKIPSTFSDLKSYLESYTSPLLEEMRAEMFSSLEAISTMPSTKISWIEQKNNTTVYDIVFDVDSQNSKACNRPESYVPSVGDIIILFDVKPKHISDITRNGRPYIVAFVTEGGDEDDDSPPSKYVIISSRKIDYEDAKCKDRKRNQLFCAYLLNIVTYIRIWRCLDYSAAVRRNQSLIHEMVHYPQVAEIRQKQTKDLSINNMEIWSKLSAMDLNNSQNDAILNCISSMQSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIHHGTLTCAPTNLAVKQVASRFLKLIKECSRDRLLGDVLLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPLTGWRHRLSSLSDLFENGYSQYLKYLEDQKEDDSLTFFSYTRKRFSATYPELRRCFNELLFHVPKSIILEMNHNNIISLLELLEDFNMKFQQKYIEDEVKGIFTYNDDQSDSTISILAKFSKTAISLGKIRISSSKISNKKLELLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSFFERLSSLGHEKHLLNMQYRMHPSISIFPNTSFYDRKLLDAPNVKKKEHQKKYLPAQSKIKTKVTVGVICPYTAQVLAIQKKLGKMKFDPVIVKVNSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWADLVREAKDRQCFFNANGDKDISRVLAKHTNEINKVKDRKNPLKARNSRVQVPSRNGLKDQSPSTSTSTGVGRRDTEVKKIPATFSSLESYLDSYTCPLIEEVHADVFSSLDGYGHANFIEVVRMEKLDDEKFILGFEVSEPSKDEKSRETYDPTEGDIIVVSSQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVWLSSAIPIEADPETKMPKASIFLVFLINMKTYNRIWKCLRMGTNDANLTKLQNENSTNMLNLVWQYKPKAVEGSSSEVSQCFPTGSVDFLGLEKFNLNASQLNAVADCVLAMENQLSSLKLIWGPPGTGKTKTISAILWAMLVKGRKALTCAPTNTAVLEIASRIVRLVRESSDDSACLLSDIILFGNKKRMKIADGHELSAIFLGCRAERLLPCFVPNTGWRHCLCSMIDFLENCVSNYMSYVEDVLEKRKDREKETTEKDKDEDATRRVQFGNGSCQKKCERPEDKEEAFRSIPFKDYLRDGFNSLSRNLCNCIEILYNDHPRSSGTEQTFQCMLEVLELNKILHGMINFSKSNAPDIWSDELLESMIEEDSDPILWSAGLACVKSSTCIKSKFRLARLLLYNVPMDVSPLDIRDLLKKPEKSNLLELLVVDEAAQLKECETLIPLQLPGITQAIFIGDEYQLPALVKSKIADNAYFGRSVFERLSLLGYGKHLLNVQYRMYPEISRFPVATFYDGKISDGPNVTSKNYEKRFLASKIFGPYSFINVDGGHETTEKHGRSLKNTVEVATVLRIVQRLFKESPYNAQVKAIQEKVGKSYNMYDGFSVKVKSVDGFEGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRANKSIWQKIVKDAQDRGCFFDANDDKDLSNAIIKAIIELDDMENITKMDSMHISRPRFQVKRIPKTFTSSLNYFDSFVYPLLEETHADVFSSLDGYARQNFISITRMKELQLDDESTFFLFEVANPVKDENSRETYAPCEGDIVVLTSRKPKQVSDFTRNTTSYILGSIVKGGEEDEDLPEHCFIARLSSMLPVETDSVTNAPKGPLFIVLLINMKTYDRIWDCLNKGKSNIVDTI >LPERR04G18680.2 pep chromosome:Lperr_V1.4:4:18026382:18053591:-1 gene:LPERR04G18680 transcript:LPERR04G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIPRSVILEKNYNNIVLLTTMLEDLKTLLGKKCAGDEVIADIFMRDKKSDGMDGGARYSELVRILQQSKMGILGVVGTLLLGVKLPVTGSHFKIKKFCLRSASLIFCTVSGSSKLHEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDVCQLPATVKSKVHPATDSSLLGRGLFERSTLNMQYRMHPSISIFPNLSFYDKKILDGLNVTQVAHERSFLQGAMFGPYSFINIENGREEPGRSKRNMADVAAIKKILHNLCNGTYSLSHLCQYRGRGRFDDPVFCGEASEDRRRPYHFSSSFIGRLPAHTRDSVFDSSAPPSGRLSAAAAATRSDRQRQLRFATDRSSEMIRRGGAARGKDAEEEWPSLVDLVLAWELEDVMNENLFKDKIKKIPSTFGDLKSYLESYTSPLLEEMRADMSSSLEAISTMPSANISWIEQKNNNTIYDIVFDKEGMKMTTLLQLNGKCQDGRKNQLFAAYLLNIVTYIRIWRCLDYSTAVRRNQSLIQEMVHYSQVTDIRQKQTKDLSIDNMEIWSKLSAMDLNNSQNDAILSCISSMQSNNSSSSFTLIWGPPGTGKTKTSSALLWLMREIHHGTLTCAPTNLAVKQVALRFLKLIKERSLDRACLGDILLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPRTGWRHHLSSLSDLFENGYSLYLKYLEDQKEDDIMTFSSYTRKRFNATYPELRRCFKEVLFHVPKSVILETNYNSIISLLEMLEDFNMKFQPTNIEDEVKEIFMYNYDQSDSRMSNLTKFSKTVISLAKIRIRCLELLSILLSCLKLPITSSKRSIREFCMESASIIFCTVSSSSKISNKQLELLVVDEAAQLKECEGLIPLRFPALKHAILIGDECQLPATIKSKVCEDASFGRNLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKGHQKKYLPGPMFGPYSFLNIEDGNEDLDELGRSRKNMVEEDIIILSTVRSNTDGAVGFLSNRQRTNVSLTRASGSIWADLVRDAKNRQCFFNANSDNDISHVLAKHRNEINRVKDRKNAPLKVQVPYQSGMNDQMIQIPSTSTSVGRHCTDTEVNIERRGVARGKDAEEEWPRLVNLVLTWKLEDVMNENLFKDKMKKIPSTFSDLKSYLESYTSPLLEEMRAEMFSSLEAISTMPSTKISWIEQKNNTTVYDIVFDVDSQNSKACNRPESYVPSVGDIIILFDVKPKHISDITRNGRPYIVAFVTEGGDEDDDSPPSKYVIISSRKIDYEDAKCKDRKRNQLFCAYLLNIVTYIRIWRCLDYSAAVRRNQSLIHEMVHYPQVAEIRQKQTKDLSINNMEIWSKLSAMDLNNSQNDAILNCISSMQSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIHHGTLTCAPTNLAVKQVASRFLKLIKECSRDRLLGDVLLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPLTGWRHRLSSLSDLFENGYSQYLKYLEDQKEDDSLTFFSYTRKRFSATYPELRRCFNELLFHVPKSIILEMNHNNIISLLELLEDFNMKFQQKYIEDEVKGIFTYNDDQSDSTISILAKFSKTAISLGKIRISSSKISNKKLELLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSFFERLSSLGHEKHLLNMQYRMHPSISIFPNTSFYDRKLLDAPNVKKKEHQKKYLPAQSKIKTKVTVGVICPYTAQVLAIQKKLGKMKFDPVIVKVNSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWADLVREAKDRQCFFNANGDKDISRVLAKHTNEINKVKDRKNPLKARNSRVQVPSRNGLKDQSPSTSTSTGVGRRDTEVKKIPATFSSLESYLDSYTCPLIEEVHADVFSSLDGYGHANFIEVVRMEKLDDEKFILGFEVSEPSKDEKSRETYDPTEGDIIVVSSQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVWLSSAIPIEADPETKMPKASIFLVFLINMKTYNRIWKCLRMGTNDANLTKLQNENSTNMLNLVWQYKPKAVEGSSSEVSQCFPTGSVDFLGLEKFNLNASQLNAVADCVLAMENQLSSLKLIWGPPGTGKTKTISAILWAMLVKGRKALTCAPTNTAVLEIASRIVRLVRESSDDSACLLSDIILFGNKKRMKIADGHELSAIFLGCRAERLLPCFVPNTGWRHCLCSMIDFLENCVSNYMSYVEDVLEKRKDREKETTEKDKDEDATRRVQFGNGSCQKKCERPEDKEEAFRSIPFKDYLRDGFNSLSRNLCNCIEILYNDHPRSSGTEQTFQCMLEVLELNKILHGMINFSKSNAPDIWSDELLESMIEEDSDPILWSAGLACVKSSTCIKSKFRLARLLLYNVPMDVSPLDIRDLLKKPEKSNLLELLVVDEAAQLKECETLIPLQLPGITQAIFIGDEYQLPALVKSKIADNAYFGRSVFERLSLLGYGKHLLNVQYRMYPEISRFPVATFYDGKISDGPNVTSKNYEKRFLASKIFGPYSFINVDGGHETTEKHGRSLKNTVEVATVLRIVQRLFKESPYNAQVKAIQEKVGKSYNMYDGFSVKVKSVDGFEGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRANKSIWQKIVKDAQDRGCFFDANDDKDLSNAIIKAIIELDDMENITKMDSMHISRPRFQKARPN >LPERR04G18680.3 pep chromosome:Lperr_V1.4:4:18024170:18053591:-1 gene:LPERR04G18680 transcript:LPERR04G18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIPRSVILEKNYNNIVLLTTMLEDLKTLLGKKCAGDEVIADIFMRDKKSDGMDGGARYSELVRILQQSKMGILGVVGTLLLGVKLPVTGSHFKIKKFCLRSASLIFCTVSGSSKLHEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDVCQLPATVKSKVHPATDSSLLGRGLFERSTLNMQYRMHPSISIFPNLSFYDKKILDGLNVTQVAHERSFLQGAMFGPYSFINIENGREEPGRSKRNMADVAAIKKILHNLCNGTYSLSHLCQYRGRGRFDDPVFCGEASEDRRRPYHFSSSFIGRLPAHTRDSVFDSSAPPSGRLSAAAAATRSDRQRQLRFATDRSSEMIRRGGAARGKDAEEEWPSLVDLVLAWELEDVMNENLFKDKIKKIPSTFGDLKSYLESYTSPLLEEMRADMSSSLEAISTMPSANISWIEQKNNNTIYDIVFDVDSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGMPYIVAFVAEGGDEDDDSPPAKYVIISSRKIDSEDGKCQDGRKNQLFAAYLLNIVTYIRIWRCLDYSTAVRRNQSLIQEMVHYSQVTDIRQKQTKDLSIDNMEIWSKLSAMDLNNSQNDAILSCISSMQSNNSSSSFTLIWGPPGTGKTKTSSALLWLMREIHHGTLTCAPTNLAVKQVALRFLKLIKERSLDRACLGDILLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPRTGWRHHLSSLSDLFENGYSLYLKYLEDQKEDDIMTFSSYTRKRFNATYPELRRCFKEVLFHVPKSVILETNYNSIISLLEMLEDFNMKFQPTNIEDEVKEIFMYNYDQSDSRMSNLTKFSKTVISLAKIRIRCLELLSILLSCLKLPITSSKRSIREFCMESASIIFCTVSSSSKISNKQLELLVVDEAAQLKECEGLIPLRFPALKHAILIGDECQLPATIKSKVCEDASFGRNLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKGHQKKYLPGPMFGPYSFLNIEDGNEDLDELGRSRKNMVEEDIIILSTVRSNTDGAVGFLSNRQRTNVSLTRASGSIWADLVRDAKNRQCFFNANSDNDISHVLAKHRNEINRVKDRKNAPLKVQVPYQSGMNDQMIQIPSTSTSVGRHCTDTEVNIERRGVARGKDAEEEWPRLVNLVLTWKLEDVMNENLFKDKMKKIPSTFSDLKSYLESYTSPLLEEMRAEMFSSLEAISTMPSTKISWIEQKNNTTVYDIVFDVDSQNSKACNRPESYVPSVGDIIILFDVKPKHISDITRNGRPYIVAFVTEGGDEDDDSPPSKYVIISSRKIDYEDAKCKDRKRNQLFCAYLLNIVTYIRIWRCLDYSAAVRRNQSLIHEMVHYPQVAEIRQKQTKDLSINNMEIWSKLSAMDLNNSQNDAILNCISSMQSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIHHGTLTCAPTNLAVKQVASRFLKLIKECSRDRLLGDVLLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPLTGWRHRLSSLSDLFENGYSQYLKYLEDQKEDDSLTFFSYTRKRFSATYPELRRCFNELLFHVPKSIILEMNHNNIISLLELLEDFNMKFQQKYIEDEVKGIFTYNDDQSDSTISILAKFSKTAISLGKIRISSSKISNKKLELLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSFFERLSSLGHEKHLLNMQYRMHPSISIFPNTSFYDRKLLDAPNVKKKEHQKKYLPAQSKIKTKVTVGVICPYTAQVLAIQKKLGKMKFDPVIVKVNSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWADLVREAKDRQCFFNANGDKDISRVLAKHTNEINKVKDRKNPLKARNSRVQVPSRNGLKDQSPSTSTSTGVGRRDTEVKKIPATFSSLESYLDSYTCPLIEEVHADVFSSLDGYGHANFIEVVRMEKLDDEKFILGFEVSEPSKDEKSRETYDPTEGDIIVVSSQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVWLSSAIPIEADPETKMPKASIFLVFLINMKTYNRIWKCLRMGTNDANLTKLQNENSTNMLNLVWQYKPKAVEGSSSEVSQCFPTGSVDFLGLEKFNLNASQLNAVADCVLAMENQLSSLKLIWGPPGTGKTKTISAILWAMLVKGRKALTCAPTNTAVLEIASRIVRLVRESSDDSACLLSDIILFGNKKRMKIADGHELSAIFLGCRAERLLPCFVPNTGWRHCLCSMIDFLENCVSNYMSYVEDVLEKRKDREKETTEKDKDEDATRRVQFGNGSCQKKCERPEDKEEAFRSIPFKDYLRDGFNSLSRNLCNCIEILYNDHPRSSGTEQTFQCMLEVLELNKILHGMINFSKSNAPDIWSDELLESMIEEDSDPILWSAGLACVKSSTCIKSKFRLARLLLYNVPMDVSPLDIRDLLKKPEKSNLLELLVVDEAAQLKECETLIPLQLPGITQAIFIGDEYQLPALVKSKIADNAYFGRSVFERLSLLGYGKHLLNVQYRMYPEISRFPVATFYDGKISDGPNVTSKNYEKRFLASKIFGPYSFINVDGGHETTEKHGRSLKNTVEVATVLRIVQRLFKESPYNAQVKAIQEKVGKSYNMYDGFSVKVKSVDGFEGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRANKSIWQKIVKDAQDRGCFFDANDDKDLSNAIIKAIIELDDMENITKMDSMHISRPRFQVKRIPKTFTSSLNYFDSFVYPLLEETHADVFSSLDGYARQNFISITRMKELQLDDESTFFLFEVANPVKDENSRETYAPCEGDIVVLTSRKPKQVSDFTRNTTSYILGSIVKGGEEDEDLPEHCFIARLSSMLPVETDSVTNAPKGPLFIVLLINMKTYDRIWDCLNKGKSNIVDTI >LPERR04G18680.4 pep chromosome:Lperr_V1.4:4:18023619:18046895:-1 gene:LPERR04G18680 transcript:LPERR04G18680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWRQEEQSASIREVTPTTDADIIKKIPSTFGDLKSYLESYTSPLLEEMRADMSSSLEAISTMPSANISWIEQKNNNTIYDIVFDVDSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGMPYIVAFVAEGGDEDDDSPPAKYVIISSRKIDSEDGKCQDGRKNQLFAAYLLNIVTYIRIWRCLDYSTAVRRNQSLIQEMVHYSQVTDIRQKQTKDLSIDNMEIWSKLSAMDLNNSQNDAILSCISSMQSNNSSSSFTLIWGPPGTGKTKTSSALLWLMREIHHGTLTCAPTNLAVKQVALRFLKLIKERSLDRACLGDILLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPRTGWRHHLSSLSDLFENGYSLYLKYLEDQKEDDIMTFSSYTRKRFNATYPELRRCFKEVLFHVPKSVILETNYNSIISLLEMLEDFNMKFQPTNIEDEVKEIFMYNYDQSDSRMSNLTKFSKTVISLAKIRIRCLELLSILLSCLKLPITSSKRSIREFCMESASIIFCTVSSSSKISNKQLELLVVDEAAQLKECEGLIPLRFPALKHAILIGDECQLPATIKSKVCEDASFGRNLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKGHQKKYLPGPMFGPYSFLNIEDGNEDLDELGRSRKNMVEEDIIILSTVRSNTDGAVGFLSNRQRTNVSLTRASGSIWADLVRDAKNRQCFFNANSDNDISHVLAKHRNEINRVKDRKNAPLKVQVPYQSGMNDQMIQIPSTSTSVGRHCTDTEVNIERRGVARGKDAEEEWPRLVNLVLTWKLEDVMNENLFKDKMKKIPSTFSDLKSYLESYTSPLLEEMRAEMFSSLEAISTMPSTKISWIEQKNNTTVYDIVFDVDSQNSKACNRPESYVPSVGDIIILFDVKPKHISDITRNGRPYIVAFVTEGGDEDDDSPPSKYVIISSRKIDYEDAKCKDRKRNQLFCAYLLNIVTYIRIWRCLDYSAAVRRNQSLIHEMVHYPQVAEIRQKQTKDLSINNMEIWSKLSAMDLNNSQNDAILNCISSMQSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIHHGTLTCAPTNLAVKQVASRFLKLIKECSRDRLLGDVLLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPLTGWRHRLSSLSDLFENGYSQYLKYLEDQKEDDSLTFFSYTRKRFSATYPELRRCFNELLFHVPKSIILEMNHNNIISLLELLEDFNMKFQQKYIEDEVKGIFTYNDDQSDSTISILAKFSKTAISLGKIRISSSKISNKKLELLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSFFERLSSLGHEKHLLNMQYRMHPSISIFPNTSFYDRKLLDAPNVKKKEHQKKYLPAQSKIKTKVTVGVICPYTAQVLAIQKKLGKMKFDPVIVKVNSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWADLVREAKDRQCFFNANGDKDISRVLAKHTNEINKVKDRKNPLKARNSRVQVPSRNGLKDQSPSTSTSTGVGRRDTEVKKIPATFSSLESYLDSYTCPLIEEVHADVFSSLDGYGHANFIEVVRMEKLDDEKFILGFEVSEPSKDEKSRETYDPTEGDIIVVSSQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVWLSSAIPIEADPETKMPKASIFLVFLINMKTYNRIWKCLRMGTNDANLTKLQNENSTNMLNLVWQYKPKAVEGSSSEVSQCFPTGSVDFLGLEKFNLNASQLNAVADCVLAMENQLSSLKLIWGPPGTGKTKTISAILWAMLVKGRKALTCAPTNTAVLEIASRIVRLVRESSDDSACLLSDIILFGNKKRMKIADGHELSAIFLGCRAERLLPCFVPNTGWRHCLCSMIDFLENCVSNYMSYVEDVLEKRKDREKETTEKDKDEDATRRVQFGNGSCQKKCERPEDKEEAFRSIPFKDYLRDGFNSLSRNLCNCIEILYNDHPRSSGTEQTFQCMLEVLELNKILHGMINFSKSNAPDIWSDELLESMIEEDSDPILWSAGLACVKSSTCIKSKFRLARLLLYNVPMDVSPLDIRDLLKKPEKSNLLELLVVDEAAQLKECETLIPLQLPGITQAIFIGDEYQLPALVKSKIADNAYFGRSVFERLSLLGYGKHLLNVQYRMYPEISRFPVATFYDGKISDGPNVTSKNYEKRFLASKIFGPYSFINVDGGHETTEKHGRSLKNTVEVATVLRIVQRLFKESPYNAQVKAIQEKVGKSYNMYDGFSVKVKSVDGFEGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRANKSIWQKIVKDAQDRGCFFDANDDKDLSNAIIKAIIELDDMENITKMDSMHISRPRFQDVFNKELFKQKVKRIPKTFTSSLNYFDSFVYPLLEETHADVFSSLDGYARQNFISITRMKELQLDDESTFFLFEVANPVKDENSRETYAPCEGDIVVLTSRKPKQVSDFTRNTTSYILGSIVKGGEEDEDLPEHCFIARLSSMLPVETDSVTNAPKGPFFKYMNEVVEPLNILHTLINVDDNDEILWFDDLSEGKGLGLSDPLLWPDLLASIHMDACKISKVRNARLLCVQELRYLKTNLELPNWNRSDF >LPERR04G18680.5 pep chromosome:Lperr_V1.4:4:18024170:18046895:-1 gene:LPERR04G18680 transcript:LPERR04G18680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWRQEEQSASIREVTPTTDADIIKKIPSTFGDLKSYLESYTSPLLEEMRADMSSSLEAISTMPSANISWIEQKNNNTIYDIVFDVDSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGMPYIVAFVAEGGDEDDDSPPAKYVIISSRKIDSEDGKCQDGRKNQLFAAYLLNIVTYIRIWRCLDYSTAVRRNQSLIQEMVHYSQVTDIRQKQTKDLSIDNMEIWSKLSAMDLNNSQNDAILSCISSMQSNNSSSSFTLIWGPPGTGKTKTSSALLWLMREIHHGTLTCAPTNLAVKQVALRFLKLIKERSLDRACLGDILLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPRTGWRHHLSSLSDLFENGYSLYLKYLEDQKEDDIMTFSSYTRKRFNATYPELRRCFKEVLFHVPKSVILETNYNSIISLLEMLEDFNMKFQPTNIEDEVKEIFMYNYDQSDSRMSNLTKFSKTVISLAKIRIRCLELLSILLSCLKLPITSSKRSIREFCMESASIIFCTVSSSSKISNKQLELLVVDEAAQLKECEGLIPLRFPALKHAILIGDECQLPATIKSKVCEDASFGRNLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKGHQKKYLPGPMFGPYSFLNIEDGNEDLDELGRSRKNMVEEDIIILSTVRSNTDGAVGFLSNRQRTNVSLTRASGSIWADLVRDAKNRQCFFNANSDNDISHVLAKHRNEINRVKDRKNAPLKVQVPYQSGMNDQMIQIPSTSTSVGRHCTDTEVNIERRGVARGKDAEEEWPRLVNLVLTWKLEDVMNENLFKDKMKKIPSTFSDLKSYLESYTSPLLEEMRAEMFSSLEAISTMPSTKISWIEQKNNTTVYDIVFDVDSQNSKACNRPESYVPSVGDIIILFDVKPKHISDITRNGRPYIVAFVTEGGDEDDDSPPSKYVIISSRKIDYEDAKCKDRKRNQLFCAYLLNIVTYIRIWRCLDYSAAVRRNQSLIHEMVHYPQVAEIRQKQTKDLSINNMEIWSKLSAMDLNNSQNDAILNCISSMQSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIHHGTLTCAPTNLAVKQVASRFLKLIKECSRDRLLGDVLLCGNKQRMCVDGDLKEIYLHDRVRTLLGCFVPLTGWRHRLSSLSDLFENGYSQYLKYLEDQKEDDSLTFFSYTRKRFSATYPELRRCFNELLFHVPKSIILEMNHNNIISLLELLEDFNMKFQQKYIEDEVKGIFTYNDDQSDSTISILAKFSKTAISLGKIRISSSKISNKKLELLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSFFERLSSLGHEKHLLNMQYRMHPSISIFPNTSFYDRKLLDAPNVKKKEHQKKYLPAQSKIKTKVTVGVICPYTAQVLAIQKKLGKMKFDPVIVKVNSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWADLVREAKDRQCFFNANGDKDISRVLAKHTNEINKVKDRKNPLKARNSRVQVPSRNGLKDQSPSTSTSTGVGRRDTEVKKIPATFSSLESYLDSYTCPLIEEVHADVFSSLDGYGHANFIEVVRMEKLDDEKFILGFEVSEPSKDEKSRETYDPTEGDIIVVSSQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVWLSSAIPIEADPETKMPKASIFLVFLINMKTYNRIWKCLRMGTNDANLTKLQNENSTNMLNLVWQYKPKAVEGSSSEVSQCFPTGSVDFLGLEKFNLNASQLNAVADCVLAMENQLSSLKLIWGPPGTGKTKTISAILWAMLVKGRKALTCAPTNTAVLEIASRIVRLVRESSDDSACLLSDIILFGNKKRMKIADGHELSAIFLGCRAERLLPCFVPNTGWRHCLCSMIDFLENCVSNYMSYVEDVLEKRKDREKETTEKDKDEDATRRVQFGNGSCQKKCERPEDKEEAFRSIPFKDYLRDGFNSLSRNLCNCIEILYNDHPRSSGTEQTFQCMLEVLELNKILHGMINFSKSNAPDIWSDELLESMIEEDSDPILWSAGLACVKSSTCIKSKFRLARLLLYNVPMDVSPLDIRDLLKKPEKSNLLELLVVDEAAQLKECETLIPLQLPGITQAIFIGDEYQLPALVKSKIADNAYFGRSVFERLSLLGYGKHLLNVQYRMYPEISRFPVATFYDGKISDGPNVTSKNYEKRFLASKIFGPYSFINVDGGHETTEKHGRSLKNTVEVATVLRIVQRLFKESPYNAQVKAIQEKVGKSYNMYDGFSVKVKSVDGFEGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRANKSIWQKIVKDAQDRGCFFDANDDKDLSNAIIKAIIELDDMENITKMDSMHISRPRFQDVFNKELFKQKVKRIPKTFTSSLNYFDSFVYPLLEETHADVFSSLDGYARQNFISITRMKELQLDDESTFFLFEVANPVKDENSRETYAPCEGDIVVLTSRKPKQVSDFTRNTTSYILGSIVKGGEEDEDLPEHCFIARLSSMLPVETDSVTNAPKGPLFIVLLINMKTYDRIWDCLNKGKSNIVDTI >LPERR04G18690.1 pep chromosome:Lperr_V1.4:4:18050374:18050709:1 gene:LPERR04G18690 transcript:LPERR04G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLATLGVTLVLLELVTAASGARYNASFDPIEKLQRFDVFKAKVRSIYDSHLRAASQTVLGLNDFIDLSDEGAVGQASQMVSLLRSCYA >LPERR04G18700.1 pep chromosome:Lperr_V1.4:4:18058108:18061975:1 gene:LPERR04G18700 transcript:LPERR04G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKFVATLSIPAAVQISSLAGIRSGIAAAARDLELLRAFLAFADSRRGTDALANAWVDQVRDVAFELEDVADEYAFLSGRSHIRDCANLAAWFGLSRRLRKARERLRDLSAAKEQYGIRPPAEASPSSSAAGGVGAAAVVIGRKQSEASHFVEEDEIVGFGAHRRLLMKWLTLDRNTPHMLITVCGMGGVGKTTLVTNVYKKVAESCYFDYTAWVAVSKSFTTDDLLRRIAKEFHRNVHAGVPWDVDKMDYRSLVETLRKHLASKRYLLLLDDVWDAQAWYDISCAFVNVGTSNRIIITTRNENVASLASSNRTIRLDPLSDQEAWSLFCNTTFREDADQECPYHLEQLAHEILDKCSGLPLAIVSVGKLLKLRKRTEFAWKNVLESLEWDGSNEQGIRQVSNILNLSIDDLPHHLQRCFLYCSIYPEDFLIKRKILIRKWVAQGLIEEKGNDTMEEVADDHLNQLVERNLLQVVLKNDFGRAKRCNIHDLIRDLIVHRSRKERFTVFSQNTVTLKPNNKIRHLILDRCRIHHTSATNMTSLRSFHAFVAHFDASLLSGFRLLTVLNLWFIQIAKLPCAVTNLLNLRYLGIRSTLIEGLPDELGQLHNLQTLDAKWSRVQRVPRTVTKLKSLRHLILFNRQSADIRYPGSGTAIGLPDVLENLTCLQTLKYIEANETTIRSLGSLKQMRSLELFGVDESNIVCLPSSISQMSCLMRLGIASQDDKVTFNLESFSPPPLKLQKLTLRGRLIGGKLPSWFGSLNHLMKLQLRSSELNEDAVSLLSSLPRLLHLSLMDAYNGKKLTFTEGCFPVLRKLILRNMPNLSRIEFRRGSLVDLQVLMLRECTKLTDVPQGIENLKCLTSIELFVMPRELVEKIQGGEDYWENHNGLQKTVSVNNIWWHKGLLQERKFYTDLSALLHMMGYFKLLGYDPLRDTLAVDMMDCQSLFEGFGWLALQQELLDLLDYVWDAHALYKFCKAFSDKGTWSWIIIALHGGLELGRCKLEVPISTGAIAFQDFGQMLHKLNLSDDLPPQLRRYFWIAVYTLEDFSIKRKVLLRTWLQNLTRGNGTGTVESPGQGRP >LPERR04G18700.2 pep chromosome:Lperr_V1.4:4:18058108:18065287:1 gene:LPERR04G18700 transcript:LPERR04G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKFVATLSIPAAVQISSLAGIRSGIAAAARDLELLRAFLAFADSRRGTDALANAWVDQVRDVAFELEDVADEYAFLSGRSHIRDCANLAAWFGLSRRLRKARERLRDLSAAKEQYGIRPPAEASPSSSAAGGVGAAAVVIGRKQSEASHFVEEDEIVGFGAHRRLLMKWLTLDRNTPHMLITVCGMGGVGKTTLVTNVYKKVAESCYFDYTAWVAVSKSFTTDDLLRRIAKEFHRNVHAGVPWDVDKMDYRSLVETLRKHLASKRYLLLLDDVWDAQAWYDISCAFVNVGTSNRIIITTRNENVASLASSNRTIRLDPLSDQEAWSLFCNTTFREDADQECPYHLEQLAHEILDKCSGLPLAIVSVGKLLKLRKRTEFAWKNVLESLEWDGSNEQGIRQVSNILNLSIDDLPHHLQRCFLYCSIYPEDFLIKRKILIRKWVAQGLIEEKGNDTMEEVADDHLNQLVERNLLQVVLKNDFGRAKRCNIHDLIRDLIVHRSRKERFTVFSQNTVTLKPNNKIRHLILDRCRIHHTSATNMTSLRSFHAFVAHFDASLLSGFRLLTVLNLWFIQIAKLPCAVTNLLNLRYLGIRSTLIEGLPDELGQLHNLQTLDAKWSRVQRVPRTVTKLKSLRHLILFNRQSADIRYPGSGTAIGLPDVLENLTCLQTLKYIEANETTIRSLGSLKQMRSLELFGVDESNIVCLPSSISQMSCLMRLGIASQDDKVTFNLESFSPPPLKLQKLTLRGRLIGGKLPSWFGSLNHLMKLQLRSSELNEDAVSLLSSLPRLLHLSLMDAYNGKKLTFTEGCFPVLRKLILRNMPNLSRIEFRRGSLVDLQVLMLRECTKLTDVPQGIENLKCLTSIELFVMPRELVEKIQGGEDYWENHNGLQKTVSVNNIWWHKGLLQERKFYTDLSALLHMMGYFKLLGYDPLRDTLAVDMMDCQSLFEGFGWLALQQELLDLLDYVWDAHALYKFCKAFSDKGTWSWIIIALHGGLELGRCKLEVPISTGAIAFQDFGQMLHKLNLSDDLPPQLRRYFWIAVYTLEDFSIKRKVLLRTWLQNLTRGNGTGTVEGNCTSTSGISWNFFANVCGCISPVQTGRRA >LPERR04G18700.3 pep chromosome:Lperr_V1.4:4:18061122:18061975:1 gene:LPERR04G18700 transcript:LPERR04G18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCQSLFEGFGWLALQQELLDLLDYVWDAHALYKFCKAFSDKGTWSWIIIALHGGLELVSLQGRKMQTGSAHINWSNSLSRFWTDVVGLACRWQHKLNLSDDLPPQLRRYFWIAVYTLEDFSIKRKVLLRTWLQNLTRGNGTGTVESPGQGRP >LPERR04G18710.1 pep chromosome:Lperr_V1.4:4:18065061:18066107:-1 gene:LPERR04G18710 transcript:LPERR04G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWAQLPDDLLDNVAQRTPGIKDYVRLRAVCKSWRSSLPPRSRTPWLMLPYDPSSEGSVRGFLDVADGTVHEIDLPETRGTRCCGSSRHGWLILERWPDVSLLNPATRERVQLPPLTRRRRVEAYLAPSRFLRRGATERWEDRASRGLRLPVFQVTVRAAAMSSDASVNGCTVVVVLHGDDEVAVLFCGPTDASWTHLACSTAEGGFAAVDVAWHHRRGAFHLVSHYGRVAVFDLVSPLREVPTRRDRLHALPPAWDGRCLVQRLGPAGGGGGDEPLLLATWSGGGEMAVFRLGSDGWWTEEADDVGEEVVLLAAAADSGYLGFGTATCAVSKGPVFVSPVIFQYG >LPERR04G18720.1 pep chromosome:Lperr_V1.4:4:18068100:18068726:-1 gene:LPERR04G18720 transcript:LPERR04G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMMFSRSKERMRIRCDEQDEDIGCPSESELSLSSSSEGVELADDASSSSSSSAGHFEMSSLMTELPIKRGLSKFFDGKSQSFASLAAVGGLEDLAKPMRKRLKTSRSCGAVGLQDAHRRRLCCRNANAASSFKRAQQLSVLGASRRTTRPPPVTAAVSPRPEVVLPGQSLLFA >LPERR04G18730.1 pep chromosome:Lperr_V1.4:4:18071362:18073027:1 gene:LPERR04G18730 transcript:LPERR04G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGWKLPANATGSPPKARPPLSVSLNDIGESASDGSGRRQRDTDAAASDAVDAPPSLDPAVLGRIWPFPVGGDQARGGRARAAGHRSGRVRVWWELASAYFPIDSDAVRLSSTPAPPYFFLVNSCALNTIRRTFYFRSNLDNSAFQHTS >LPERR04G18740.1 pep chromosome:Lperr_V1.4:4:18076394:18079741:1 gene:LPERR04G18740 transcript:LPERR04G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYHLLLALFLLPALAAGHQHPSTYGSSALSEWRNAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNFGLAADAGGVCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLRDGGVRFSVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPISFELTSSDGKTLTNYNVVPKEWDFGKTYTGKQFLL >LPERR04G18750.1 pep chromosome:Lperr_V1.4:4:18080266:18080577:-1 gene:LPERR04G18750 transcript:LPERR04G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR04G18760.1 pep chromosome:Lperr_V1.4:4:18085091:18096118:1 gene:LPERR04G18760 transcript:LPERR04G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKCGKRGKPKFCPFRLSQDEKTLIWYSKDREKHLSLNSVSAVVLGQKTICRDRDQAECWFIGLTALTSSPYSPLSLAGPKSSRQINSCTNTPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKITQKKFFGGNLDCSEALFYPRNPTFFDIDSYSDKLNPKVSNPVNHGLKEVMFINRSAQKIMQTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKMLDVQTIACGENHAAIITKQGEVYSWGSDNSGRLGQKVNVSASHPKIVESLASVHVKEVAFGSKHTCAVTVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKLFGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVISVACGPWHTAAIVEVMSSVKGNGPSGNLFTWGDADRGKLGHADRKMKLLPTCVDSLIDHNFIQVSCGTAVTVVLTVAGIVFTVGSSRHGQLGNPQADGESICTVEGPLKTEYVREISSGSSHVAVLTMSGKVFTWGKGTEGQLGLGDYINRSSPTLVEALEGRHVENIVCGFNFTAAICLHKAMSMKDQSLCSGCQMAFGFTRKKHNCYNCGSMFCNSCTSNKITMGALAPDKNRRYRVCDDCFCQLQKVVDSSRDKSGLKISKGEMFKLEIKAYTPKLSRLFKEANFVVEKASVQGTNQRNEHPPTPLQTKTERWGQVECPALFISAQDSFQTQPISNNEECAISFSKRMHNSVVLKSGSSLRFTDGQREEIKMIQTELKEQVKQLHSQVTALTEQCQQKNIMVQLYKQKLDDTWLIVRDEAAKCKAAKDIIKVLNDQYNALSEKLSIGQLSENPKVTPDSIPRQPMKTKLQGNDCGNLVNHNRSIQTEKECVPLSNAVVPGDGPFSQQNRVRKFTGDMEARSTVALIDSVTEQIEHGVYVTFCLSPCGKKDIKRIRFSRKHFGEKEAQHWWEQNKARVYAKYKVEQMAAPSVTVSRSVRPRRACGMRSRVNLVMDRVANRPRGFAFLSYASEEESKNAIEGMHGKFLDGRVIFVEVAKRKSEL >LPERR04G18760.2 pep chromosome:Lperr_V1.4:4:18085091:18093881:1 gene:LPERR04G18760 transcript:LPERR04G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKCGKRGKPKFCPFRLSQDEKTLIWYSKDREKHLSLNSVSAVVLGQKTICRDRDQAECWFIGLTALTSSPYSPLSLAGPKSSRQINSCTNTPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKITQKKFFGGNLDCSEALFYPRNPTFFDIDSYSDKLNPKVSNPVNHGLKEVMFINRSAQKIMQTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKMLDVQTIACGENHAAIITKQGEVYSWGSDNSGRLGQKVNVSASHPKIVESLASVHVKEVAFGSKHTCAVTVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKLFGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVISVACGPWHTAAIVEVMSSVKGNGPSGNLFTWGDADRGKLGHADRKMKLLPTCVDSLIDHNFIQVSCGTAVTVVLTVAGIVFTVGSSRHGQLGNPQADGESICTVEGPLKTEYVREISSGSSHVAVLTMSGKVFTWGKGTEGQLGLGDYINRSSPTLVEALEGRHVENIVCGFNFTAAICLHKAMSMKDQSLCSGCQMAFGFTRKKHNCYNCGSMFCNSCTSNKITMGALAPDKNRRYRVCDDCFCQLQKVVDSSRDKSGLKISKGEMFKLEIKAYTPKLSRLFKEANFVVEKASVQGTNQRNEHPPTPLQTKTERWGQVECPALFISAQDSFQTQPISNNEECAISFSKRMHNSVVLKSGSSLRFTDGQREEIKMIQTELKEQVKQLHSQVTALTEQCQQKNIMVQLYKQKLDDTWLIVRDEAAKCKAAKDIIKVLNDQYNALSEKLSIGQLSENPKVTPDSIPRQPMKTKLQGNDCGNLVNHNRSIQTEKECVPLSNAVVPGDGPFSQQNRVRKFTGDMEARSTVALIDSVTEQIEHGVYVTFCLSPCGKKDIKRIRFSRKHFGEKEAQHWWEQNKARVYAKYKVEQMAAPSVTVSRSVRPRRACGMRSRVNLVMDRVANRPRGFAFLSYASEEESKNAIEGMHGKFLDGRVIFVEVAKRKSEL >LPERR04G18770.1 pep chromosome:Lperr_V1.4:4:18093008:18095365:-1 gene:LPERR04G18770 transcript:LPERR04G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGIQFLEMARFQETKAMNDQGPLADHVGHQNFMENLTNPLDSSGMDMMDEGRIPKARKPYTITKQREKWTEEEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNNLGAATSIQIPPPRPKRKPVHPYPRKLGSTAGKSIPALKQLEKPQLQVQPLYDHDNGSPTSVLTAPQIGCDTLASESGGSPASTIDIEERCPTPSIATAELAAELPPAKFEEDKGNGNCKEFTCDRSGAQVLRLFGKRVMVNDLHQISTPDAENMQTVADMEVDASAETPTSGTGKFSSHGAGEASTWNPWLTNTHQFLYYLPHGQFFFIHSALPCFSYHNEGAPFPQLSNSQVVAPNQQHQHQTSEDHKHMQREGSWAESNTVSSSVPETTTHNSETTESYRHESRNDDVMVPIPGSNCKRGFVPYKRCVAESKKLLKSQVSQEEADGEMTRLCL >LPERR04G18780.1 pep chromosome:Lperr_V1.4:4:18098165:18102043:1 gene:LPERR04G18780 transcript:LPERR04G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGGGNTVHHVDTVAQRRQHDPEPWRHQRAAGRHPQPRVMAANYNIRTCSCLQFTYPNFVTPNKADFNFSAGSGIANGSLQITASTGDISHRSGRVLYAREKLKLWNSRRSALTSFRTEFVFNILPQNGTGEGMAFILTSNPPLPSNSSGQWLGICNSRTDGDPMNRIVAVEFDTRMSDNETDGNHVGLDVNSIRSLDPYPLSNVSLDLSSGADVQVTVTYNGTEQVLVAVLIQIDTTGAHYGSKAWTVDLSQFLLDDIYVGFAGSTGYFTELNQIKSWNFATINDDATTERRHGRKVLFSLVTLLTFSTCSVLVFYVWRRSTRQKRLAYRNLEKMIDAHGPVRFKLKELRQATANFSSSRKLGRGGFGTVYHGYLSRMNMDVAVKRVSTNNNSSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYGAASPSSSELTWERRYRVICGVASALEYLHHGSSRRILHRDVKASNVMLDGEYNARLGDFGLARVVRLGDDATHHSTQAVAGTRGYMAYECFFTGRASLDTDVYAFGVFVMEVLTGRSPSCSVTYHHNNNNQPMYIVDWMWQHYGEGNVAVETVDAVLRGACDEAQVERAARLALACCHPNPRERPSMRTAVQVLVGGAPAPEPPFEKPAFVWPPDGGKRQEIELPHVGVLFTGGQHSFSSMTSASITGR >LPERR04G18790.1 pep chromosome:Lperr_V1.4:4:18106629:18106955:-1 gene:LPERR04G18790 transcript:LPERR04G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCRLQITPSTGNITNQSGRVCYTKETLKLWDRKRKTVASFRTEFVLNILPIPNQQNKTGEGMAFILTNYLSLPGDSSGQWVGIANEQTDGSPVNRVQHEEELRRGS >LPERR04G18800.1 pep chromosome:Lperr_V1.4:4:18108150:18110469:-1 gene:LPERR04G18800 transcript:LPERR04G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRRDEEDGSGSGGDADDLLLWSRDLGRHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLAAHLVRLAQERGTISEDVVRNAFSATEEGFLSLVRRTHLIKPSIASIGSCCLVGVIWRGTLYLANLGDSRAVVGCLSGSNKIVAEQLTRDHNASMEEVRRELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFALDSSMTRFHLSEPLRRPVLTSEPSIYTRVLHSQDSFFIFASDGLWEHLTNQQAVEIVHNNPRSGIARRLVKAALKEAARKREMRYNDIKKLDKGVRRFFHDDITVVVVFIDHELLQEGDASSPEVSVRGFVDSGGPSSFSGLNGIS >LPERR04G18810.1 pep chromosome:Lperr_V1.4:4:18114065:18118314:1 gene:LPERR04G18810 transcript:LPERR04G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLAADGFRNRRRKPPDDNPPAAAPRTASMPLQHRRPTRPARSQSDVLTRSRLRETNASDREEKASTATRKSSASLTSARSYTIINNNSNSSKAASASSTEIPALDESALTALISLAAGSVKRFAHDEGFRASLRAGCASCIGESNSNTRAVLDLRVIAHTIERAVTEGFLDPRDLKRASAKLHALASPDANAKETDAEGGVPYDRLAACAHLYMSVVSKLQSKDHSAAVHVLEAFCLAPHEARTCLLPSLWDRLFRPGLSHLKAWRDRESAASSDTRVKEVERIFVEAVDDGTCAFACYYRDWLLGRTEDMSLPVVPAPPPSSTVLAASVGAARLSASTSYDIGSDVACSSGSFTYSPAATFALDETSTRFDREIEEEEEAEDEENVEKAADAESVFHECDDTEAKSYTRTLQTGENELMPDKLTKEASQGMTEGERNRVNRQPDESTSYLPISDTSAIDLNALELCEGPLQSDTDGNQVSIFATIPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDKGNRMCPITGQELESLSIPDINRVLKRLIDNWKSEHGETLISETTDLEEKLIATAMENVLSAACETSEKFEKARHLMAIGGIDFVLVRFHGGGEYEKARAAELLLLCIRAEGSCRNYVAIRLSSPSVVQLIHSEVISARSSAVRLLIELLCLRRREMVELFLRGLRPELTMETMNLLLEHIRSLPVEERALGALLLLHFDRALVEPHRDSVYREEAAKIITHSLKLCLSEENVVANTRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTHADSDATVQRKFLYQDKGSDENETWLRDVTMVLLGSGRRPFLEALSMCMSSPNHDLAAACLTTAAWLSRSLTSIDAADTQLAAFSALVPRLKRCLAGDGDRLQPRHRVLASVTLHNFSQIPDCRVLLMLLADGLRDHLGKLAELTWTAGQLIAELHE >LPERR04G18810.2 pep chromosome:Lperr_V1.4:4:18114065:18118314:1 gene:LPERR04G18810 transcript:LPERR04G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLAADGFRNRRRKPPDDNPPAAAPRTASMPLQHRRPTRPARSQSDVLTRSRLRETNASDREEKASTATRKSSASLTSARSYTIINNNSNSSKAASASSTEIPALDESALTALISLAAGSVKRFAHDEGFRASLRAGCASCIGESNSNTRAVLDLRVIAHTIERAVTEGFLDPRDLKRASAKLHALASPDANAKETDAEGGVPYDRLAACAHLYMSVVSKLQSKDHSAAVHVLEAFCLAPHEARTCLLPSLWDRLFRPGLSHLKAWRDRESAASSDTRVKEVERIFVEAVDDGTCAFACYYRDWLLGRTEDMSLPVVPAPPPSSTVLAASVGAARLSASTSYDIGSDVACSSGSFTYSPAATFALDETSTRFDREIEEEEEAEDEENVEKAADAESVFHECDDTEAKSYTRTLQTGENELMPDKLTKEASQGMTEGERNRVNRQPDESTSYLPISDTSAIDLNALELCEGPLQSDTDGNQVSIFATIPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDKGNRMCPITGQELESLSIPDINRVLKRLIDNWKSEHGETLISETTDLEEKLIATAMENVLSAACETSEKFEKARHLMAIGGIDFVLVRFHGGGEYEKARAAELLLLCIRAEGSCRNYVAIRLSSPSVVQLIHSEVISARSSAVRLLIELLCLRRREMVELFLRGLRPELTMETMNLLLEHIRSLPVEERALGALLLLHFDRALVEPHRDSVYREEAAKIITHSLKLCLSEENVVANTRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTHADSDATVQDKGSDENETWLRDVTMVLLGSGRRPFLEALSMCMSSPNHDLAAACLTTAAWLSRSLTSIDAADTQLAAFSALVPRLKRCLAGDGDRLQPRHRVLASVTLHNFSQIPDCRVLLMLLADGLRDHLGKLAELTWTAGQLIAELHE >LPERR04G18820.1 pep chromosome:Lperr_V1.4:4:18122363:18128874:1 gene:LPERR04G18820 transcript:LPERR04G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYQSFKHAASHRHDTDDKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLCVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDNDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLITRMLNPRPKDRLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAV >LPERR04G18820.2 pep chromosome:Lperr_V1.4:4:18122316:18128874:1 gene:LPERR04G18820 transcript:LPERR04G18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYQSFKHAASHRHDTDDVTAAAADYSPKKPSRPAPPAAAAAATTDAHPVSASAPAAAMRRGGQAPVDLGSVLGHPTPNLRDLYAIGKKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLCVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDNDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLITRMLNPRPKDRLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAV >LPERR04G18830.1 pep chromosome:Lperr_V1.4:4:18126647:18129244:-1 gene:LPERR04G18830 transcript:LPERR04G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITQALADALRSCGSRRSLPGARALHGRLVTAGLASAVFLQNTLLHAYLSCGSLPDARRLLQADIREPNVITHNIMMNGYAKLGSLSDAEELFDRMPRRDVASWNTLMSGYFQSGRFLDGLETFVSLHRSGDSLPNAFTFGCVMKCCGALGWHELAPQLLGLFWKFGFWDDPDVETALVDMFVRCGYVDIASRFFSRIERPTIFCRNSMLSGNAKLYGVDHAIEYFESMPERDVVSWNMMIAALSQRGRVREAIDLVVEMHRKGVRLDSTTYTSSLTACARLSSLGWGKQLHAKVIRCLPHIDPYVASALIELYAKCGCFKEAKRVFSSLHDRNSVSWTVLIGGFLQYGCFSESVELFNQMRADLMAIDQFALATLISGCFNRMDLRLGRQLHSLCLKSGQNRAIAVSNSLISLYAKCGDLQNAEFVFSSMSERDIVSWTSMLTAYSQVGNITKAREFFDGMATRNVITWNAMLGAYIQHGAEEAGLKMYRAMLSQKDVTPDWVTYVTLFRGCAEIGANKLGDQIIGHTVKAGLILDVSVANAAITMYSKCGRISEAQNLFDLIMGKDLVSWNAMITGYSQHGMGKQAVKIFDDMLSKGVKPDYISYVAVLSGCSHSGLVQEGKLYFDMMARVHNISPGLEHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLNACKIHGNNELAESAAKHLFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKLMRDKGIKKNPGYSWMDVENKVHVFKADDVSHPQVIAIRNKLDELMEKISHLGYVRTESPRSEIHHSEKLAVAFGIMTLPTWMPIHIMKNLRICDDCHTVIKLISSVTDREFVIRDAVRFHHFKSGSCSCGDYW >LPERR04G18840.1 pep chromosome:Lperr_V1.4:4:18129335:18135271:1 gene:LPERR04G18840 transcript:LPERR04G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAGSLEERPGVLVVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGKGFSLDSLPHPDQLAALVMVFDMSDESSFLTLHNWVANVDVERFDILLCIGNKADLVPGHGAHVEYRRRMQKIGESSADPHPEYFDFGINESEGCGLLSEEEPHIEIRDSTSQWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEEATTHDTTHQVVDADAKSVASNSLPNGRMTETAEEKLVSQNHKSEVNNVEAHAGTAEVSKLFEDEHYGLDDLERLMSEIGNMRSNVRLMPDFQRREMAAQLAMKMAAMFGDNDEEGSEDI >LPERR04G18840.2 pep chromosome:Lperr_V1.4:4:18129335:18134042:1 gene:LPERR04G18840 transcript:LPERR04G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAGSLEERPGVLVVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGKGFSLDSLPHPDQLAALVMVFDMSDESSFLTLHNWVANVDVERFDILLCIGNKADLVPGHGAHVEYRRRMQKIGESSADPHPEYFDFGINESEGCGLLSEEEPHIEIRDSTSQWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEEATTHDTTHQVVDADAKSVASNSLPNGRMTETAEEKLVSQNHKSEVNNVEAHAGTAEVSKLFEDEHYGLDDLERLMSEIGNMRSNVRLMPDFQRREMAAQLAMKMAAMFGDNDEEGSEDI >LPERR04G18850.1 pep chromosome:Lperr_V1.4:4:18133154:18135309:-1 gene:LPERR04G18850 transcript:LPERR04G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATIAVSLSFRLVPPRPCSVRARWLRQIRASSDGNGVANGDRKVGVLERKVGDLRAVVASVPPAVAALRRNIGLNFIAGFCLGITLLAAVARQVISRIHERDNKGSVADLIRRGQLKSGQRGMAKPRVYDDPFNNPLVKIDEGTSTAQVFGKEYRLAPVRLTTEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASHDVNILSSSQRNMQRSERQIRLSNESSGQNSLSGIANNQNGQPTLEFEKHSPDNSSQSKSLEEQ >LPERR04G18860.1 pep chromosome:Lperr_V1.4:4:18141133:18141709:-1 gene:LPERR04G18860 transcript:LPERR04G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAARKLLEQPATAAPPVLGGGSSANDRDIVIILASLLCALICVLGIGLVARCAGVKKAVLRAIPTVEYVSPAGGKAVAAAEEEKEEGNHQQQQSECAICLSDFEHGDAMRVLPQCGHAFHAACIDKWLRGHSSCPSCRRILVLQLPAGERCQRCGARPEPAAAAVWKPTHYSEVPPFLP >LPERR04G18870.1 pep chromosome:Lperr_V1.4:4:18144253:18150666:-1 gene:LPERR04G18870 transcript:LPERR04G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDYQFIAIGVYSFLALSVMILYVRCTAIDPADPGIMIAVNGALTYKSEANLDNQEETVKSESRTYEDIQKHKSCLGAGCFCCAIFVKEDCRKDDEAYQQEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLCLMAVSLAWLAVECGVGIAVFVHCFTDKTAIEDQIGEKLGYGLSRALFAAIVALGTALSLLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFVEQDEIIPHLEPGRVPSTVDPDSMNPTERAKHPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYETDRYSSDNASCRSSVLSADIGNHINTRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTTTPISEHHPAKHFNPIYQTSANRSPFSATASGVNELSISDINTRRFGAPNADRSSRSSVYWDQEAGRFVSAQANQGSSSRLPRSDLLYTGQSIFFGGPLMTNSATRSFRDPGGSSQRVGASRSHQLPVFVPSDPQKDLAHPCDPCRRNKLE >LPERR04G18870.2 pep chromosome:Lperr_V1.4:4:18144653:18150666:-1 gene:LPERR04G18870 transcript:LPERR04G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDYQFIAIGVYSFLALSVMILYVRCTAIDPADPGIMIAVNGALTYKSEANLDNQEETVKSESRTYEDIQKHKSCLGAGCFCCAIFVKEDCRKDDEAYQQEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLCLMAVSLAWLAVECGVGIAVFVHCFTDKTAIEDQIGEKLGYGLSRALFAAIVALGTALSLLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFVEQDEIIPHLEPGRVPSTVDPDSMNPTERAKHPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYETDRYSSDNASCRSSVLSADIGNHINTRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTTTPISEHHPAKHFNPIYQTSANRSPFSATASGVNELSISDINTRRFGAPNADRSSRSSVYWDQEAGRFVSAQANQGSSSRLPRSDLLYTGQSIFFGGPLMTNSATRSFRDPGGSSQRVGASRSHQLPVEIAINLGLDDS >LPERR04G18870.3 pep chromosome:Lperr_V1.4:4:18144808:18150666:-1 gene:LPERR04G18870 transcript:LPERR04G18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDYQFIAIGVYSFLALSVMILYVRCTAIDPADPGIMIAVNGALTYKSEANLDNQEETVKSESRTYEDIQKHKSCLGAGCFCCAIFVKEDCRKDDEAYQQEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLCLMAVSLAWLAVECGVGIAVFVHCFTDKTAIEDQIGEKLGYGLSRALFAAIVALGTALSLLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFVEQDEIIPHLEPGRVPSTVDPDSMNPTERAKHPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYETDRYSSDNASCRSSVLSADIGNHINTRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTTTPISEHHPAKHFNPIYQTSANRSPFSATASGVNELSISDINTRRFGAPNADRSSRSSVYWDQEAGRFVSAQANQGSSSRLPRSDLLYTGQSIFFGGPLMTNSATRSFRDPGGSSQRVGASRSHQLPVFVPSDPQKDRFSRLP >LPERR04G18880.1 pep chromosome:Lperr_V1.4:4:18153262:18157323:-1 gene:LPERR04G18880 transcript:LPERR04G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILHLFGVLCCLCSCAQSQNISGRPDAVNIGAQFARNSTIGRVAAVAVVAAVNDINNDPNILLGTKLDLKMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMASVADLVEYYGWKQVTTIFVDNDYGRNGISSLGDELSKRRSKILYKAAFRPGATTEDLADVLIRVAMRESRVIILHANPDSGLVVFQQALKLGMMSSGYVWIATDWLTSYLDPLVHLDIGLLSTMQGVIALRHHTENTRRKSLLSSKWSELVEDSGHSRFLLSTYGLYAYDTVWILAHALDAFFNSGGNISFSPDPKLQEISGGGLNLEALSVFDGGWQLLERIHQVNFMGAAGPVKFDSGGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSVISPETLYMKPANRTRENQKLYDVIWPGEDIKRPRGWVFPNNGEDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDDPIGFQVGSFAEGYLVHELGISHSRLKALGTPDEYKSALELGPSKKGGVAAIVDERPYVELFLYQNPNSKFAIVGSEFTKSGWGFAFPRDSPLSVDLSTAILQLSENGDLQRIHDKWLTSSMSPTNELPSDPDRLDVYSFSALFLICGLACIFALAIHACSLFYQYSRHAAVDDGAALPSSGASDGSRSLSRRSRLHSFLSFADRREIDSRRVSKEKEAALGASVGSMGGSNQD >LPERR04G18880.2 pep chromosome:Lperr_V1.4:4:18153467:18157323:-1 gene:LPERR04G18880 transcript:LPERR04G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILHLFGVLCCLCSCAQSQNISGRPDAVNIGAQFARNSTIGRVAAVAVVAAVNDINNDPNILLGTKLDLKMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMASVADLVEYYGWKQVTTIFVDNDYGRNGISSLGDELSKRRSKILYKAAFRPGATTEDLADVLIRVAMRESRVIILHANPDSGLVVFQQALKLGMMSSGYVWIATDWLTSYLDPLVHLDIGLLSTMQGVIALRHHTENTRRKSLLSSKWSELVEDSGHSRFLLSTYGLYAYDTVWILAHALDAFFNSGGNISFSPDPKLQEISGGGLNLEALSVFDGGWQLLERIHQVNFMGAAGPVKFDSGGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSVISPETLYMKPANRTRENQKLYDVIWPGEDIKRPRGWVFPNNGNELRIGVPDRVSYRQFVSADSETGMVRGLCIDVFVAAINLLAYPVPYKLIPFGNRKENPSYMELINKILTDFSAHRNYCFFSAYTGEDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDDPIGFQVGSFAEGYLVHELGISHSRLKALGTPDEYKSALELGPSKKGGVAAIVDERPYVELFLYQNPNSKFAIVGSEFTKSGWGFAFPRDSPLSVDLSTAILQLSENGDLQRIHDKWLTSSMSPTNELPSDPDRLDVYSFSALFLICGLACIFALAIHACSLFYQYSRHAAVDDGAALPSSGASDGSRSLSRRSRLHSFLSFADRREIDSRRVSKEKEAALGASVGSMGGVSFTSSGSGSTTNSC >LPERR04G18880.3 pep chromosome:Lperr_V1.4:4:18153465:18157284:-1 gene:LPERR04G18880 transcript:LPERR04G18880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMASVADLVEYYGWKQVTTIFVDNDYGRNGISSLGDELSKRRSKILYKAAFRPGATTEDLADVLIRVAMRESRVIILHANPDSGLVVFQQALKLGMMSSGYVWIATDWLTSYLDPLVHLDIGLLSTMQGVIALRHHTENTRRKSLLSSKWSELVEDSGHSRFLLSTYGLYAYDTVWILAHALDAFFNSGGNISFSPDPKLQEISGGGLNLEALSVFDGGWQLLERIHQVNFMGAAGPVKFDSGGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSVISPETLYMKPANRTRENQKLYDVIWPGEDIKRPRGWVFPNNGNELRIGVPDRVSYRQFVSADSETGMVRGLCIDVFVAAINLLAYPVPYKLIPFGNRKENPSYMELINKILTDDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDDPIGFQVGSFAEGYLVHELGISHSRLKALGTPDEYKSALELGPSKKGGVAAIVDERPYVELFLYQNPNSKFAIVGSEFTKSGWGFAFPRDSPLSVDLSTAILQLSENGDLQRIHDKWLTSSMSPTNELPSDPDRLDVYSFSALFLICGLACIFALAIHACSLFYQYSRHAAVDDGAALPSSGASDGSRSLSRRSRLHSFLSFADRREIDSRRVSKEKEAALGASVGSMGGVSFTSSGSGSTTNSC >LPERR04G18880.4 pep chromosome:Lperr_V1.4:4:18153467:18157323:-1 gene:LPERR04G18880 transcript:LPERR04G18880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILHLFGVLCCLCSCAQSQNISGRPDAVNIGAQFARNSTIGRVAAVAVVAAVNDINNDPNILLGTKLDLKMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMASVADLVEYYGWKQVTTIFVDNDYGRNGISSLGDELSKRRSKILYKAAFRPGATTEDLADVLIRVAMRESRVIILHANPDSGLVVFQQALKLGMMSSGYVWIATDWLTSYLDPLVHLDIGLLSTMQGVIALRHHTENTRRKSLLSSKWSELVEDSGHSRFLLSTYGLYAYDTVWILAHALDAFFNSGGNISFSPDPKLQEISGGGLNLEALSVFDGGWQLLERIHQVNFMGAAGPVKFDSGGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSVISPETLYMKPANRTRENQKLYDVIWPGEDIKRPRGWVFPNNGNELRIGVPDRVSYRQFVSADSETGMVRGLCIDVFVAAINLLAYPVPYKLIPFGNRKENPSYMELINKILTDDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDDPIGFQVGSFAEGYLVHELGISHSRLKALGTPDEYKSALELGPSKKGGVAAIVDERPYVELFLYQNPNSKFAIVGSEFTKSGWGFAFPRDSPLSVDLSTAILQLSENGDLQRIHDKWLTSSMSPTNELPSDPDRLDVYSFSALFLICGLACIFALAIHACSLFYQYSRHAAVDDGAALPSSGASDGSRSLSRRSRLHSFLSFADRREIDSRRVSKEKEAALGASVGSMGGVSFTSSGSGSTTNSC >LPERR04G18890.1 pep chromosome:Lperr_V1.4:4:18159557:18164920:-1 gene:LPERR04G18890 transcript:LPERR04G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSASAAGSKKRKSKSGALTLGEVKTLGEELLSSRAHLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSASADVAGVDADPELVFRSWLRKRFDELVAALVELALSPRSDEAIRDLALDALMDFVKSGKDGRFQSAIYHKFLHNLIHATDSIDPLLELLGPKYFKYADVCYFTYTSLDKIASSVGNKSTGSEKSGLHSGDDGSEDRSTIYVRNIYNTLAHIPTLDLQESKFDMWSTVGLSSKGKKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHQNVIPSIDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRGVFLQLLDACLKSSYLPAYLAAAFTKRLSRLTLSVPPAGAIIIIALIHNLLRRHPSINFLVHWENGANDSEPSNESSQRKKVGADPFNNEECDPTKSGAMRSSLWEIDTLRHHYSPAVSRFVASLKNDLTVRAKTTEMRITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPICLFQESDFPGWSFRDQLKNKSETSVEGNGISTVYTSDSSPAKKLRLET >LPERR04G18890.2 pep chromosome:Lperr_V1.4:4:18159557:18164920:-1 gene:LPERR04G18890 transcript:LPERR04G18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSASAAGSKKRKSKSGALTLGEVKTLGEELLSSRAHLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSASADVAGVDADPELVFRSWLRKRFDELVAALVELALSPRSDEAIRDLALDALMDFVKSGKDGRFQSAIYHKFLHNLIHATDSIDPLLELLGPKYFKYADVCYFTYTSLDKIASSVGNKSTGSEKSGLHSGDDGSEDRSTIYVRNIYNTLAHIPTLDLQESKFDMWSTVGLSSKGKKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEGLRDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRGVFLQLLDACLKSSYLPAYLAAAFTKRLSRLTLSVPPAGAIIIIALIHNLLRRHPSINFLVHWENGANDSEPSNESSQRKKVGADPFNNEECDPTKSGAMRSSLWEIDTLRHHYSPAVSRFVASLKNDLTVRAKTTEMRITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPICLFQESDFPGWSFRDQLKNKSETSVEGNGISTVYTSDSSPAKKLRLET >LPERR04G18890.3 pep chromosome:Lperr_V1.4:4:18159557:18164920:-1 gene:LPERR04G18890 transcript:LPERR04G18890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSASAAGSKKRKSKSGALTLGEVKTLGEELLSSRAHLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSASADVAGVDADPELVFRSWLRKRFDELVAALVELALSPRSDEAIRDLALDALMDFVKSGKDGRFQSAIYHKFLHNLIHATDSIDPLLELLGPKYFKYADVCYFTYTSLDKIASSVGNKSTGSEKSGLHSGDDGSEDRSTIYVRNIYNTLAHIPTLDLQESKFDMWSTVGLSSKGKKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHQNLLDACLKSSYLPAYLAAAFTKRLSRLTLSVPPAGAIIIIALIHNLLRRHPSINFLVHWENGANDSEPSNESSQRKKVGADPFNNEECDPTKSGAMRSSLWEIDTLRHHYSPAVSRFVASLKNDLTVRAKTTEMRITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPICLFQESDFPGWSFRDQLKNKSETSVEGNGISTVYTSDSSPAKKLRLET >LPERR04G18890.4 pep chromosome:Lperr_V1.4:4:18159557:18164920:-1 gene:LPERR04G18890 transcript:LPERR04G18890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSASAAGSKKRKSKSGALTLGEVKTLGEELLSSRAHLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSASADVAGVDADPELVFRSWLRKRFDELVAALVELALSPRSDEAIRDLALDALMDFVKSGKDGRFQSAIYHKFLHNLIHATDSIDPLLELLGPKYFKYADVCYFTYTSLDKIASSVGNKSTGSEKSGLHSGDDGSEDRSTIYDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHQNVIPSIDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRGVFLQLLDACLKSSYLPAYLAAAFTKRLSRLTLSVPPAGAIIIIALIHNLLRRHPSINFLVHWENGANDSEPSNESSQRKKVGADPFNNEECDPTKSGAMRSSLWEIDTLRHHYSPAVSRFVASLKNDLTVRAKTTEMRITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPICLFQESDFPGWSFRDQLKNKSETSVEGNGISTVYTSDSSPAKKLRLET >LPERR04G18900.1 pep chromosome:Lperr_V1.4:4:18165799:18168225:-1 gene:LPERR04G18900 transcript:LPERR04G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRRPFPAPPRSLQPAAAALFLAVLVALPFAVLYRAVVSQSRQDSWERDPRPSLAASEEDGIEGDDPDADIMWFRNPFPHFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVADIGLKIKFLGTSYFGGFCEPSRDLNRVCTVHANCCIGLQSKPFLVTPINILMENN >LPERR04G18910.1 pep chromosome:Lperr_V1.4:4:18169665:18171075:-1 gene:LPERR04G18910 transcript:LPERR04G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAATAVASLPPPLRRGRLSAFHGGGGASHLLRPRQRRRDFSCRADLQQDAPFAAAIGACVLASLVLPKSGGRGGEEEEEVEFGATDTRMGVMGIISLLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRTNLSLSPEESWLPIASIFACILHVQLEASIRSGDIETFTFIQRAWRLIFPSALKEKDAQHANKGKSISTDRGSRRIPSAHEAREKLRNSDIFKRRLDEPNDEKQNKSDWN >LPERR04G18920.1 pep chromosome:Lperr_V1.4:4:18180360:18182009:-1 gene:LPERR04G18920 transcript:LPERR04G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGSGGEEITLAIIGGGGASAAAWTRLVRSGVEDELVGGAGGTRGGGGSEGAVGGRMLPYGHFLEACFLCRKPLASNRDIYMYRGDIPFCSDECRREQIEMDDEMERKEKKNVSKKVAPLTPNPREVDSTPRPPKARAGSILAG >LPERR04G18930.1 pep chromosome:Lperr_V1.4:4:18192350:18192793:-1 gene:LPERR04G18930 transcript:LPERR04G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAMKPPSSMFYVHEADVAHNHHFLEECSLCCKSLSGDIFMYRSRSLYNANAQLTLHANAREFVDVCVGGCRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVTVRKEHRHHQHHHHHHRQQQPRDPWADAGFTARGPALRV >LPERR04G18940.1 pep chromosome:Lperr_V1.4:4:18195833:18196314:-1 gene:LPERR04G18940 transcript:LPERR04G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHPTSIHGDIEAGFSGHSAAPVKPAASPRRPGRLFCDPCDDSDDLLGHHHYLDICFRCRRPLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRSKQTGSAEQERQKQQKQSHPRIPVWAW >LPERR04G18950.1 pep chromosome:Lperr_V1.4:4:18204611:18205951:-1 gene:LPERR04G18950 transcript:LPERR04G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGRPFDLVLALQIGAPFGSCRAPRSISLFWNLGTVALFVPNHVVLPSDSRAKGWPSRTLSIMI >LPERR04G18960.1 pep chromosome:Lperr_V1.4:4:18206134:18206427:-1 gene:LPERR04G18960 transcript:LPERR04G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLACAFFFDAEPVGEQGRHALDACALCTKTLTRDSDIFMYRGDTPFCSEECRYEQMQLDAVYARSSAGRRQQQYSGRADSRRGHRETRKVSVAS >LPERR04G18970.1 pep chromosome:Lperr_V1.4:4:18209160:18209453:-1 gene:LPERR04G18970 transcript:LPERR04G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAFFFDAEPVGEPGRHALDACALCSKPLRRDSDIFMYRGDTPFCSEECRYEQMHHDAACARRKQQQQQKAQQRSRHETAAAAVSRKAGVSVASC >LPERR04G18980.1 pep chromosome:Lperr_V1.4:4:18210069:18212628:-1 gene:LPERR04G18980 transcript:LPERR04G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKRKISDPDGDNAGHTPEARFPLERLSDSAFVWDLEAGGSTLGQHDGYLLQHVVAAAVPPPNIGEGMAMAPVGVLHGGTDQKMGTPDLQHRHDGYLQDVTAAAAAAHIGEGTAMAPDQGMGTPALQSMEGQLPFVNSPNRSLLQRAETGMGAALSPPAAPWNAGTSLMTSPRHDATAPMAAGEESGGRGDRVIADPTNCLVQSDAIITVETKQIMELAVARLVAEQGRQAAEQREQQVRVAARQREQQVLAVAQQQAQARLGAEQEVLRIQGIAAAEKQSRLAAEREKQQVVAITEKKVRSLQLKVAFQEHQLQAEKSQRQAIEREIEAAMPEAQPGNVVGVEDTASSAAAPPGRSPSPARQTTTPTRMWAEKGSTSKQMLRKAAQPNQKEQPTT >LPERR04G18990.1 pep chromosome:Lperr_V1.4:4:18218535:18220025:1 gene:LPERR04G18990 transcript:LPERR04G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLFPLLLLLTVASLAGAESGGDVSGQIRLSCGASASATDEDGRAWDGDATSTFAPSVAGVAAAASYQDPSLPSTVPYMTARVFNSSYTYSFPVRLGRVFLRLYFYPSAYADDALFGVTAGGVTLLRDFNATQTALALGYAYVVREFSLNVSSETTTLNVTFSPSLSPRRGSHHAFVNGIEIVPTPDMFTTPVPTFANGGRPDLAPIRADTAYQTMYRLNVGGEGITPSHDAGGFYRNWDNDAPYIAGAAFGVTFEKDNNVSIQYNPPSVPRNAAPEGVYATARSMGPNAQINLNYNLTWIFPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQSQMDVIVWSGGIGRAVYTDYLVVTTGSGEMDMWVALHPDLSSRPEYYDAILNGLEVFKLQKYGIHSLAGPNPTIPPKRVVSMVDGSRSESRKKSSVVCATVGGVAAGCLFAVLVVCVLTWTIRRRLQKAGPLKPADGLLVPTKSSDLFGPVHN >LPERR04G19000.1 pep chromosome:Lperr_V1.4:4:18222807:18223919:1 gene:LPERR04G19000 transcript:LPERR04G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPSPSPPSAGAAADPITGSSSDPAASSFLPSLLIIAALLAFVLLASVSIHLLLRLLSRSPSPPSSPPLPRTTHREERAVEADANSSVRRHEVGSKKEVVAGDEKQRLIESLPLFTMASSLAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHTACVDAWLRTTPSCPLCRATVTLHHPSISAILAAEHPPPPSPPSQPRGSSRVRPTSFRVEIGSVVSNRATSTAAGATYSLGSFDYHIDEEVEAVVSRAAAAPAMAARSAAAIIKEDKPAVEHSPPPPGEAVAEAAGGGTRGWLREYVERLASSASSSLSFSGRWSSRWSQSHHSQRQEEPWLWDAEAVRMSPPGTEEEETPFMVLYRWIAGV >LPERR04G19010.1 pep chromosome:Lperr_V1.4:4:18229116:18234779:1 gene:LPERR04G19010 transcript:LPERR04G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALSSPLIFSLLLLTASTSNASILEDVCKSLATNHTAIDYNYCSRFFQANKQSKSTTVDTTHGLTDVAVKKTEAIGVNNVKVIAALRSSEKDEKMQGCLRILSYLYAYTLAILDNEAKDVAISEGELQALPPMPDVAQYRLDACEDRFRGKKETLPLIDNNKKTMKLVQSLSITMLLLLITSTTTLSNASLLDSTCTSFQASHPEIGNAYSYCIKFFQSDRGSAAADKYGLAAIAVKISTATAKATGKRIEELERSETDKRRKGCLSACEEVYDSAVDSLRDAADGIKSRDAGGLKDAVSKLSAARDTPDTCEQGFRELGLASPLGAEDEEFDKQSAIALAVTSAIAPPPRRMMISVS >LPERR04G19020.1 pep chromosome:Lperr_V1.4:4:18239821:18247898:1 gene:LPERR04G19020 transcript:LPERR04G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNNAGGNSGAGGGGANNAEVQIQIPGPSKAEAPATQEKPGKPLRWWVMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVQTAGFPILFFALFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDTMYSSGLKYLPASTYSLICASQLAFNVIFSYVLNSQRVTPLIFNSVVLLTLSASLLGVSKESQGVTGVSGGKYLFGIVLTLGASCTYSLILSLMQLTFETIIKKHTFSAVLNMQIYTALVATFASTIGLFASGEWKTLKGEMDAFKSGQFSYLMTLVWAAVSWQIASIGVLGLIFEVSALFSNVISTVSLPVIPFFAVMAFNDGMSGVKIVAMLIALWGFASYLFQHHLDGKKAKKAAADGERDQEDGRHVVAESDNGTPSRTDATTAAAVSTKRLRWWAVVLANIVFLLGGQSVATLLGRIYYDQGGNSLWLATLVQSCGAPLVVPLLLYFRRRRPNSTPPSSPRPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLICATQLAFNAVFSYFLNKERLTALVFNSVVLLTFSAALVGVGHGSDATNSSVPEGKFAAGFALTLAASALFALILSLMQLTFDAVLRRDDAAAVLELQLWSNAAAACVSVAGLFVSGEWGSLAAEMGGYRKGKVAYGMTLAWTAASWQLCTMGMVGLVATVSSLFTNVISTVGMPLSPVVAVIFLGDRMDGVKVLAMLIGIWGFLSYIYQHYLDDAKICNMAHAQEVQLQIRGIPEQADSGHGENGSEPKAAAAAAAARGSARGGVRWWLTVSADMLMVLCGQTVATLLGRFYYNSGGNSKWMATLTQSAASPLLAILLLFTPPPTADEPKPAPSRIIAPIYIGLGIIVGFDNLMYSYALQYLPVSTFSLVAATQLGFNAVTSRLINSQRFTALIANSVVVLTFSASLLGIGSSSDETSSSVPRGKYAAGFVLTLAASAVFALILSLFELTFDRLVRARTLRCILRAQVCTGVVASVVSVAGLMASGDWRTIPGEMEGFRNGRARYVATLVGTAVSWQVMAVGSLRLIVRVSSLFANVTGTLSLPLVPVFAVAMFGDRMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAAGAGKCRVCFARDGSETDPPA >LPERR04G19030.1 pep chromosome:Lperr_V1.4:4:18251829:18252692:-1 gene:LPERR04G19030 transcript:LPERR04G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRRHPYEGGVGVCAPCLRDRLLALAAAQNEASSLPPPPPPQPLPEPAPAFPRSVSPYVCRRKSDAASSGPRRRTPSLLFFRTPQVGPVYGGGGGAGGGFEEGDIEFEIRRRSSSSSKFSVFAALFGGHRRQHGSEEKGGGGKERRHHRSWLAAIVPSWGHRKKDPADSSPPRRSCHVISNRGLSPVMTGEEGISPAESPWWRPSPSPMRKTPCRRRLGSAAAGAGVSGFAVCISPLVRPSPARHHPPDAAAFSGELGPSPLHRLSSGSSLHHCRSWKLADGGRFR >LPERR04G19040.1 pep chromosome:Lperr_V1.4:4:18255360:18266368:1 gene:LPERR04G19040 transcript:LPERR04G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRTGAAAGSSKKPPASQPSQPAKFGILHFFERQSQACSQNSKRQKDDGPSQPPPPPLPPPLVEEEPSEVSPEVTKTLAPKRARFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRMVSSRQMNGVALHPCSNNEKHSSVESTKKWHSSLLGMSRCTASARNLDTCGTSPGGCDGVEDTQSPFRTPPSLSYRCSELNGCVASDGGPEQLGVGQHKKALLDLLDQVEDAIMEEVPADGENKGEHLINSDTSNITCSPVANSYLNVPSQKPIDAPPLDSFLVLELSEKHKGDNLPCDRYPVKVLRLLNEHRGKEFHSLIGPGDTVSVIGEFTDQGICIVDHDKNLVIVHPELLISGTQVASSFHCPRRSVLDDRLKSNEYSTSALIASESNTHKMLIEAVPKILNWYNCLLKVPKCSNVDFGQTEGRKTVQVVEVMDIEEMAWAPRYGLKGRIDASVKSRVSCNDSSYDRIMPLEFKTGKSTSGQAAMEHTAQVILYTLLMSERYLNKDIDLGLLYYLHTENTLGIKVKRSDLIGLIIRRNELATEILKASISQSFPPMIQAHGGNAATSGLGDLFDNLVNHLTVAHHTFLKHWDKLIDLEARVSQVKKKDILQPRHSNPGRRNSDPSYFVLDVKNEPSIDSSGKSKIYMYTFLRQRLQPETSDHREQFETVDFSLKCGDSVVLSTQSGRIAVANGYIKDLSRSHITVSLPRRLRLPGSNSLFEQGDLQCEIWRIDKDESISSFATMRLNLVQLFAQNPQKSHLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLLRGESILLTSYTNSAIDNLLMKLKTEGVDFLRIGRDEAVHSDVRAHCLSTTDGQSVDAIKARMEQVQVVGVTCLGIYHPLLTHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFRRLSEAHPQAISALRCQYRMSSGIMELSNLLIYGNRLSCGSLEIANAKLKLSGREPMHLKLKEILNPDRAVIFANTDEVPALEAKEHRTVNNPTEAFIVSWITKELLRRGVAQDGIGIITPYNAQANLIQQHVDASVEVHTIDKYQGRDKECIIVSFVRSSGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLLVETVADRGGQLDLTNKDVQSIRELTSSRLNVQ >LPERR04G19050.1 pep chromosome:Lperr_V1.4:4:18274414:18282242:1 gene:LPERR04G19050 transcript:LPERR04G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASMSLHVLARISERAQQMFLQWGLLHDYSNSTKLQRLQEWPEMYSPCFWRGAFALIHMIFIMSILVQFLYKRIRWCRQRFKTATAERKHSYQEQKNTDKRLGIAYQASKVCCLLNLASHTLKMLILLLQGGISDCKYPAFVLDESVQVLSWIILSLAAFSFQKTKSTKLPLIIRAWWSFSFLQSITSVVFDLRSIFMDHEYIGLEKWINMFVLAVCTILFVISARGKTGITLVDNSITEPLLGSSVGQQTETKRPCPYGNASLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVEHRHGLNSKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKFLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQTKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLETLRNEEYNWLWRSVRLSAVTTFIFWGSPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEVPRSDTQYDVEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPRLAGTVRVSGTKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGGFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENNKPEDTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWAAPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLNCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFIPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGTISIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNIDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >LPERR04G19060.1 pep chromosome:Lperr_V1.4:4:18275139:18279015:-1 gene:LPERR04G19060 transcript:LPERR04G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKTHKALPIDNAMYTANMSPNPTVGLVADVGGAAHAIQYPQPHPKLPSSKNPLSLSNCFHNFGTEKSNSQKS >LPERR04G19070.1 pep chromosome:Lperr_V1.4:4:18284562:18293561:1 gene:LPERR04G19070 transcript:LPERR04G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKDTAEKSGIQHICTAEEEIFADPIKDENVNNMRKSSYGEATILQQFSFSWMNGILAKGASKPLNEDDIPDVDKKESAQYISRIFSNIMTNVKGSLPLTVWSICKATFLLVWKKAALNAAFAVLSVVASFVGAYLIKDFVSYLGGDSGFERGYYLVLVFVGAKAIETLAYRQWFFGSLQVYLRLRTSLISQVYQKVLYLSSQSRQKHTSGEIINYVGVDIERIVNVAWYMNMVFMMPLQISLATYILWKNLGLGSLAGIATTTIIMLCNIPFTRIQKRLHAGIMKAKDDRMEMTSEVIRSMKILKLQAWDIQYLKRLKNLRKQEHMWLWEFLRLKALLAFMFWGAPIVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKISADRIVSYLQEEEIRSDAIESVARNENEFSVEIDQGAFSWKADAKIPTLQDIHVKIQKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGSKAYVPQSSWTVSGTIRENILFGSPFESDTYERTIEACALAKDIGVFSDGDMTDIGERGMTMSGGQKQRIQIARAVYKDADIYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLADADLIMINNNDSCIWIYFKSFYSPSQVMQNGRIAQAGKFHELQQDMAFGVIFGAHFHAVEQIFEVGSNYWIASACHPRTGSKSKLGFIQFMASIDQSVLDLETASTLSESTFSVMQFLGTMLIISCVSWPILIIFIPLLLVCIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFSQEDRFYRENLTLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQSFVNPSIGGLVVIYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPIFTEGSKPPIAWPECGMISISNLEVRYAEHLPSVLKNITCVLPAEKTVGIVGRTGSGKSTLVQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKGCTVLAIAHRMNTVIDSDFILVLGEGRIVEYDTPAKLLQREDSTFSKLTKEYSQQSQHFKSSKAKPQDG >LPERR04G19080.1 pep chromosome:Lperr_V1.4:4:18285521:18293189:-1 gene:LPERR04G19080 transcript:LPERR04G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNAAPAVPPAAGVRRGVENALSLARRRICAAFYTSTSEIRICAWPHNAAATTMLDQAYGLVRAREKLFDGMPQPAVWAII >LPERR04G19090.1 pep chromosome:Lperr_V1.4:4:18294042:18298125:-1 gene:LPERR04G19090 transcript:LPERR04G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDATQEQPPPHPAHATRPMEAATDHEEERPQDSPGSPHGGPCSSPHLIMEEFVMGDHARLSSFLRLAMGLAPSSPLFAKLSALFASDAACLEALARVRGGAAGGERLRVVAYRLGGMQYSWAPRFRLAVLLLLRDRFPGLVDAVEVVDPTVAPVERRAMEELGCIVTASPSLCLVVDEPTLIFMPYADRVFFENLLILNWSPDRLGKIVLLGHSFSAMVKMLEPSISKQEKCGVTDQREKVRRVLAVQSYVQEMELCAEISGNCGTRVWSNVNVLMNYNAQLEGWHLNPSDAYIEDKHLQEAESIVKEIHETISDVRGSALYTKFLDHVKNDQSICARISSILGAHECIQLVIYGLGSFEFDVKSQYQVAFALLLKVDNIFPIGDIEIFDPALSPADVKACFDLGLRVILVNEQCQRSVDKPTIFFVPGLKFVGHLIESNFTPEQLNKMILISYGFKNSGESISAELENGSCGYTSMNGSLALERDRFLWASINYISEVIVMENFNEEFWGVSELRFEFLDVAADVDMNSNLPRLALKEKFFLNFELELEYNSFFVFDYVSYFRMHLEERMSRPFKEDQGDCMDDKPQFWGPEFWHRIPAMHRKTWSPPPKGWIKLNFHGTGCSKDRSAGMGVVLHNDEGALSYFTGSLEVMLEHHEPVKKLIIEGDDLTVIHWCNKISKPPARALDSFMHSYWYMDLMPCKGAVVPADSSKGSDNENDSSSLDASPVKPINDCNSESDKLENADPLQVAPPTEPPNDSNRENGKDENDNSLQDASPAELSEGCNDGNGSLQDASHAKLSKVCNNGNGSLQDASPDELSEVCNNGNGQDKDECSSPSSEFVIPPGWAQREYIAWHVDRSANQTAINLARIGTRLPDHGIMLHLSTHCDCEHGREMKNGRPDITW >LPERR04G19100.1 pep chromosome:Lperr_V1.4:4:18302436:18305234:-1 gene:LPERR04G19100 transcript:LPERR04G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAAPGGLASLPQAPSSSLPGFHEAPPTLRISRPSWIVRTESNIRRERPKRPDPPCTICKGTGKINCRNCFGRGRTNHANLVMLPKGEWPQWCRICGGSGLDYCHRCHGTACRKKILQDLGLLLIGKTSLPRDSVVFESFPLENSTLDDSQPDRCRTRKRGSEAQSRFL >LPERR04G19100.2 pep chromosome:Lperr_V1.4:4:18302436:18303795:-1 gene:LPERR04G19100 transcript:LPERR04G19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSNIRRERPKRPDPPCTICKGTGKINCRNCFGRGRTNHANLVMLPKGEWPQWCRICGGSGLDYCHRCHGTACRKKILQDLGLLLIGKTSLPRDSVVFESFPLENSTLDDSQPDRCRTRKRGSEAQSRFL >LPERR04G19100.3 pep chromosome:Lperr_V1.4:4:18303975:18305234:-1 gene:LPERR04G19100 transcript:LPERR04G19100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAAPGGLASLPQAPSSSLPGFHEAPPTLRISRPSWIVRTEFHRKGTVEGKRGMG >LPERR04G19110.1 pep chromosome:Lperr_V1.4:4:18308088:18308578:-1 gene:LPERR04G19110 transcript:LPERR04G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVRRPSICLVLALIFIIFTVHQASGENDCYDQKDWVLDRCKHSIKKNKPYRILGERCRNAIKKSDLLCICSILTSQDETEISVEKLAQAASECGKALPSGTKCGSSVIDQWRHEKFKTASDRRSHEAMNMKE >LPERR04G19120.1 pep chromosome:Lperr_V1.4:4:18316029:18316271:1 gene:LPERR04G19120 transcript:LPERR04G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASPREFTQEAARQSLIAISSSVPAVGEALNIKSPSASMANGHNDGAEKYRSKLISISNLSPDAQPPSSPKDTAAA >LPERR04G19130.1 pep chromosome:Lperr_V1.4:4:18316871:18320309:1 gene:LPERR04G19130 transcript:LPERR04G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVGEGGGEPSAAARTKRLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFQVKVYGDFLPRHIFYRFHAVCAYLRCIFVAISVLLWWPSFDIILVDQVSVVIPLLKLKVASKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTAATFARTFCGLHARGIEPAVLYPAVSVEQFQEPRTYKLNFLSINRFERKKNLDLAISAFALLRSVASMQSGDAQHEVTLTVAGGYDKRLRENVEYLEELKRLAATEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINEETGFLCDPSAPEFSKAMLKLVNDHDLAVKMGKQARDHVVQKFSTKTFGDLLNSYVLNVYHQRIE >LPERR04G19140.1 pep chromosome:Lperr_V1.4:4:18323362:18324660:1 gene:LPERR04G19140 transcript:LPERR04G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVIESWFDRASSSSSPSPSAPAPATRVLARERMPSVLAGIEETPFKVTALRNIRSCIAGDEAAREEFVACGGIQVLGRVMSQALAESGVGGGGDFSAFRTCEEAAAVLATLPLADDASVELVLRPECMRPVSVLVQRGSAEARLHAMSMISKISRSSAARDWTTEVVDVIDVDDMVKALLELLSDGGASAKLTSRALDVLLDVTTTAAARSRRAKAVELGAVHVLVELLLDADASASGGDRRVAERSLLLLKRMCKCPEGRLAFAEHGLAVAAVSRAALRVSGLATRLAVNVLWLVSCATAAPPAERVLDDMVTCGAVAKLLALMQVEASSPSTKDKAAKMLRAHGAFWRQYPCFPTDLRDYLKFLN >LPERR04G19150.1 pep chromosome:Lperr_V1.4:4:18325654:18326034:1 gene:LPERR04G19150 transcript:LPERR04G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKMQDMVSSAKEKVKEGTGKAHGKTAEATARTHGEKEMAKQEAKASKAQAKADEHQERAEHRANATTGRHGTRVPLTGPHGHGHAPAAGAATATGPAVDPAYPAAGTGTGTGTHAATGKYI >LPERR04G19160.1 pep chromosome:Lperr_V1.4:4:18327133:18330345:-1 gene:LPERR04G19160 transcript:LPERR04G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQQPPPQQPGVSSPPLAGSGGGGVMMPQHAYGGAAPAMPPGSANVIHGVQMSFNPMASPTASSPMKPVDMAGAMYRTDPGVPGMPPGAGGVTASGGGGSGSGGELVKKKRGRPRKYGPDGNIGMPLKPAAAAGAEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPASSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKTREEQLKREPTSAPTPTHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVGWSLSGNQGRYDPDLKMMTD >LPERR04G19170.1 pep chromosome:Lperr_V1.4:4:18334303:18335332:1 gene:LPERR04G19170 transcript:LPERR04G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETKAELATAVYKVHVHCKQCADTIVTQFTQYPGAVSLTGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVTELKSKKEELKIITVKVPLHCAECAVRVREVLLEHKSIYAAKADLGKNTCVIEGVIEEKKLLEYIYQRTRKYGIIEKVEKKEIIVEEKVEVKKKKEGETKKEEVKVVVKEKVKEVVAPYFIPCTHPHFIDYSHPELHGYKDTVFLHCSHYNQFLSNENPEACSMM >LPERR04G19180.1 pep chromosome:Lperr_V1.4:4:18337936:18338883:-1 gene:LPERR04G19180 transcript:LPERR04G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHHQLHHLHPDLQLQQHSYGAKQHEPSDDDPNNGNNGDNGQYGDHDGGSSSSGPGGDGGPGGGGSGGGGPGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPQAQPGGLQMQPPGSGDAGGMGGGFPPDPSAAGLPFFNLPLNNMPGGGGGGGSQLPPGADGHGWGGARPPF >LPERR04G19190.1 pep chromosome:Lperr_V1.4:4:18357825:18359738:1 gene:LPERR04G19190 transcript:LPERR04G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGSYDDVDYGDLFSIPNPPAAPHLLNFPLQFSPSNGFHSSADDSHPSPAGIFGSTPSPTSTTTELENSEDLLESADDAVLAYINQFLLEDEEDESCPGSATSVEDRALLAVEKPFVDILTAAKPQETCQESSWIDSCCDFTGNGGLLDIFRSTETTCQPVSCEFENNKRECTVHKGRKNPHDDCLLFEEESRSKQLAVSEEETIRDMFDKVLLCNGDHCELRSPLPPEARNYGVYVKGSGNKRGRKKGKSGASVEEDAVDLTTLLIHCAQATAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLVGTGSNIYRSLAAKRTSVSDILNAFKLYITACPFKRISNFFSVEAILNASKGVTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGIDLPQPGFRPAQLIEATGHRLHEYARMFKVPFEYHAIAAKWDTIRVEDIKIDKDELLIVNCLFRMRNMMDEMVTDDSPRMQVLKTIRRMNPHLFIHGVVNGTYNAPFFLTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQARNIRAGFKQLPLNEETVKKARYKVSKSYHRDFLVDEDKKWLLQGWKGRIIFALSTWEPN >LPERR04G19200.1 pep chromosome:Lperr_V1.4:4:18363379:18363933:1 gene:LPERR04G19200 transcript:LPERR04G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSNTEDVKHLTLHNLLRQQHGRLKPAAAVVWRWPTAGADEQLYDDVDGLGGTWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGHGALHLAATAPSAGDAAAGATAATEYAVVYPILNSGAAGAVLIPSGEHVLLSAPVAISAAHARHGHRCNAGDNDGDEENGEKVDLELRLGWP >LPERR04G19210.1 pep chromosome:Lperr_V1.4:4:18378214:18381877:1 gene:LPERR04G19210 transcript:LPERR04G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALCASAGDVLIYDTFNASAAAAVVGAPPASFLFGGNAGGAAGTEAQVAAAEEVEQKPAAQAGRRKRRRRARSCKSREDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYSHRGDQASIVGGAIDFVKELEQLLQSLEAQKHTLLMQQQQPPPPPPPHQHHHTTTNCAAAAASDTTNATSADQVTATAAAEPPPFARFFTYPQYVWRHHAAARVDGGGAAAEETSRGGAAAGVADIEVSLVETHASVRVMAPRRPGQLLRMVTGLQALRLTVLHLNVTALASLALYSISVKVEEGCGMATVDDIAAAVHHVMCIIDAEAASQLLLAGEAGGNLVADLPWPPS >LPERR04G19220.1 pep chromosome:Lperr_V1.4:4:18383772:18384917:-1 gene:LPERR04G19220 transcript:LPERR04G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPTWVPYEPTRDCSQGLCSIYCPQWCYFIFPPPPPFDVAGTSADDSTGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTFSSLRGRFFGSSSGRAAHGGNGGGGRRHGHDHGQSRSMESWNVSPPSGLDETLINKITVCKYQRGDGFVHTTDCSVCLGEFRDGENLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVGVALPEPEPESCAPNEVVVVMDGLENLCEEQQQDAVSGESTTGDDHGAKDVAEGIEEANGAAEIREEGSPPKRGSSSSDLHRDNRMCIADVLQESMEDEMTAARESGLLAGGAGTSRRCHGENSKERGRSSRRALQLQDAMESLPGKRLPSGGRSCFSSKSARGKDSDHPM >LPERR04G19230.1 pep chromosome:Lperr_V1.4:4:18389165:18391265:-1 gene:LPERR04G19230 transcript:LPERR04G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARTPHHHPAAAAPRIPGLSLLRSPRRLPYPHVGLLLRIRLPAAAVAASSPPEAQAAADEEQVEEENRRKLYVANIPWSYPAPEIAKLFAQCGTVKDVEVIKGKDGRKKGFAFVTMATAEEAVAAVEKLNSLDIFGRTIRVEFSKSFRKPAPPPPPGTIVERHKLYVSNLPWKARSSNMKEFFSKFNPLSAKVVFESTSGKSAGYGFVSFGTKEDAEAALSELDGKELMGRPVRVRWRQNVDNTVDNVKADGEVERVNVDSEGEGVIDNGSEDDGEDKQK >LPERR04G19240.1 pep chromosome:Lperr_V1.4:4:18394851:18396113:-1 gene:LPERR04G19240 transcript:LPERR04G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRVNIRGGAMGGGQCEGAENQRWPPWLKPLLETSFFGQCKVHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCARSLLDTFRFCSLGCKIVGTSGDYRGRKRHAAGKKKKLQKGSVPSDSEDSSTTTSGGSDKSSVVQSFTPSTPPATTANSYRTGKRRKGVPHRSPFGSLMVEL >LPERR04G19240.2 pep chromosome:Lperr_V1.4:4:18394851:18395469:-1 gene:LPERR04G19240 transcript:LPERR04G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHLKLIPDDVIDAFGLWACLQIRRSSYHDVIRVSEIQKVLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCARSLLDTFRFCSLGCKIVGTSGDYRGRKRHAAGKKKKLQKGSVPSDSEDSSTTTSGGSDKSSVVQSFTPSTPPATTANSYRTGKRRKGVPHRSPFGSLMVEL >LPERR04G19240.3 pep chromosome:Lperr_V1.4:4:18395713:18396113:-1 gene:LPERR04G19240 transcript:LPERR04G19240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRVNIRGGAMGGGQCEGAENQRWPPWLKPLLETSFFGQCKVHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQVTISSSTLALD >LPERR04G19250.1 pep chromosome:Lperr_V1.4:4:18405342:18405875:1 gene:LPERR04G19250 transcript:LPERR04G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPPAHGGGVCPYCLRDRLLRLCPDCAHTRPCPCAASSPSSSSSSAASGSAVGRVYSLIERERRMGRSRSVAAGGGIGGGGGGVVERSSAKSQVFGWVSFRRGASDRVVEVDDGMALARSSSVSATAVETRSAAAASKARGWGRFIPGSIRALRHRKSRAGDCREGVR >LPERR04G19260.1 pep chromosome:Lperr_V1.4:4:18408818:18409216:-1 gene:LPERR04G19260 transcript:LPERR04G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALLALHADSELALGDGNTNAAAEMVEASHDVTKLRRALFAGGVGQAAAALYLALFRPPAGLFLRNNLLFYSYYVVLVVIVLFGVAEAWVGIWVSHQPRCRQAAGMTVLCLSVLPMLFLVGIGGSAILK >LPERR04G19270.1 pep chromosome:Lperr_V1.4:4:18410585:18411653:-1 gene:LPERR04G19270 transcript:LPERR04G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALLALHGDPELALGDGNAKAAAEMVEAAHDVTKLRRALFAGGVGQAAAALYLLLFRPPTGLFLLNNLLFYTYYVVLVVVVLFGVAEAWVGLWVSHQPRRRRATEIRLNSGKRLDGEQML >LPERR04G19280.1 pep chromosome:Lperr_V1.4:4:18420438:18420848:-1 gene:LPERR04G19280 transcript:LPERR04G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALFGLHGDVELGLGDGDGNANAAAEMVEAAHDVTKLRRALFAGGVGQAAAALYLALFRPPAGLFLLNNLLFYSYYVLLVVVVLFGVAEAWVALWVSNQPRRRRGTGMTVLCISVLPMLFLAGIGGSAVLNTLK >LPERR04G19290.1 pep chromosome:Lperr_V1.4:4:18422456:18424777:-1 gene:LPERR04G19290 transcript:LPERR04G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGCRRARDDTGRHEEAEEAGGSHRRRLAFRCCSAAADTGVYCDDGILRNIFSRLPTRAAVACTALSKRHRRMVTSAGFRRLHCLHGAAPLPRQHVAYLTTAPITRRAHDASKFHGFHVAGAGMGIGGHAPMRALTSGKYERMRYINTCNGVMLFSQKKEPSGSYLLWNPDIADDEKELTIHGSLPNWEYSVAGIGYGRRSNTYKVNGHGKIFYHCEELVVYTLGAAAAGEQPRTVLSGLDNKIKHSRSTWTARVIYLLYADDSIVFAFDVDDETVTPIDLPGHHSRNNKHARSRLMEMSGRACVATDDGPNTFSVWLLAADRRTWQRRCVIGESSIYHGTIIAAWDHGDVLVLLASHGGDSALYLYDVTAERMMKTDLPTDVTPETSAYTILLGLHAHARPESIIGDEEEEEEERRRRRRDQITTADVLADAVKPVGERDVRKGRKATLDVTFFMELLVRIMRKLPEGMNDLIGVPLLNASLDVRYRFPGHN >LPERR04G19300.1 pep chromosome:Lperr_V1.4:4:18427774:18433610:1 gene:LPERR04G19300 transcript:LPERR04G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGGHAEAKSNGKAEAKGTPPTPKSSKLARKPAVPKAPPRPSADKSPGSADRKNPKSASRITTPPPEKQGKVVKLAQEPAAVKPSLEETVAVKPSTQEQEQQALLAAVQEELVKAKEELVEKEKERGKVLDELECARRAADEANAKLQEALAAQSKASEDSTAEKSGDAETEQTSVGSAQSVEDELRTKLASMQSQQEADMAVLRSTVEQLEEARYELADAIDAKNAALNQVDDATKASEENAEKIKNLNAEVAHLKGLLDSAEVGSSSKGAVERIRKLEEENSGLKLELEKANVADLRAAELEGVVEQLKVEVADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKITLLQDKESEIDALQDRVSSLEDEVVRQKEDINTAEKEAVELRSEIEDLRLKLQVAEENLNNDKLTSSEMETLAEEKNKLAKELEASKAEVEKVKKAMEGQASALHEMSAQLREAQEKYLDKQEEIERARAQVEELNASLQNTKESYEVMLDEANYEKVCLKKSVERMEAEVKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEVVKGKESENAELQEKMKHLEAQLTEANKTSEEAKAETLQWKEKLLDKENELQNIKQENDDLQAKELVSSEKIKELSSLRANAKDGTTNGSNKDEGNVKGDSEDDEPVMVVAKMWENSKVTEDGSTKEKGHDGESEVDLESNTGDSIADTNGLTASNGNASPTKHQPQQKKKPLLKKFGGLLKKKTQP >LPERR04G19310.1 pep chromosome:Lperr_V1.4:4:18431940:18438679:-1 gene:LPERR04G19310 transcript:LPERR04G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGALFGQLRGLALVVFAASAGASSCFFLSKLIGKPLVFTLWPDKLMFFQKQVSKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPAAYVTVRAGIALGELTSLSDLEDQEVSYSINWAIAGRGVVVRDKVFYNLETSELQKGGIVYTGNVTSGNPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVDSSMVCDAKVRVISDNPSAVMLLSNILWRTPDRAISHDTCPLTIYVASSISTNVRNSLGSGTQYANGFAAADIERSSLILCVDVIKSSGLQDVLVSTDSGVVVSSKGSSVLFPTKAREPNLLAKPTTAIIVSLDSTDALPKVSKLSPGQAAYHFLAGYHDGKEFMRLIEAILLNNLPDCNHEDTKDMSGLHMVSLRRNRSGGPLTVAYPMLLFLSSPSINKRSGGRLSGSSLLQQSRSTETKHCQEYWH >LPERR04G19320.1 pep chromosome:Lperr_V1.4:4:18443178:18448536:-1 gene:LPERR04G19320 transcript:LPERR04G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQGLKNNYRIYRSLQQFYTGGPFAVGSAPPVGGDGDAEGFLACACGGEVRVVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIKAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTVMFHKDPKRLLLFSGSEDGSVRVWNLESKKCVAVLKEHFSAVTSLALSEDGHTLLSAGRDKIVNVWDVRKYNSKKTIPAYEMIEDVSFIGPGNSLYSCLGEPAKGKKDGYVLTVGERGIVRIWCLESALCIYEQQSSDVTINIENEESRRGFTSAVVLSNGEGLLCVTADQQFLFYSPMRTDGGAIHLNLDKRLVGYNDEILDLKFVGDDEQYLAVATNLEQVRVYDVASMSCSYVLSGHTEIVVCIDTCVSASGKTLVVTGSKDNTVRLWDMERRNCIGIGKGHLGAIGCVAFSKKSKNFFVSGSSDRTIKIWSWDDTIDDVDGEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVSDGSCLKTFEGHTSSVLRASFLSRGTQFVSCGSDGLVKLWTIRTNECIATYDNHDGKIWALAVGKKTEMLSTGGTDAVLNLWHDCTMEDKQEDFLLRGQELENAVSDSDYARAIQLAFELRRPHRLLELFSQLCRKSDDLEDPIEKALLLLPREGLRVLLEYIREWNTKPKLCHVAQFVLRSLSPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPEIDEGTTRDDTNDSSVENGEIAQVEPDVLAAENLQKSGKKRKSSKSSKKDGKKTKMAAKGDSNA >LPERR04G19330.1 pep chromosome:Lperr_V1.4:4:18456509:18458092:-1 gene:LPERR04G19330 transcript:LPERR04G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDTGVKKGPWTEEEDRTLVEHIKKQGGHVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFSDEEEHLIIRLHASLGNKWSTIATQLEGRTDNEIKNYWNTHIKKKLMRMGIDPVTHQRLPPELLAVDGAGGLASPLLSPTTAALQPLLSAVSSLGSLDTALREVQLLQHLLNAISSNNAASLMANLAATNSTGNYQYQMNALVHAANYQLPGYLRDVPSFAGQDMDQQQSCDNSAPVIRSSSAEPVDQCCNNAALVTETYPQEVTASVDWQMQEFPNLEPLDQLPNLCSLESDLDPFWKGVLDSSFRL >LPERR04G19340.1 pep chromosome:Lperr_V1.4:4:18461499:18464714:1 gene:LPERR04G19340 transcript:LPERR04G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSICHELETELPAAEVWDIYGGLRVGQLVPQLVPEFFPKVELVEGDGGVGTVQHVIFTPGTPGGESMSMRDKIIKIDNENYIREGEVIEGGFLDHGFQKYVVRIEIIQKTDTSSIIRSTIEFEAEEASAASSVSTSGLAAVAEAVTKYMKEQRIAEQQAPEPTSDE >LPERR04G19350.1 pep chromosome:Lperr_V1.4:4:18462942:18463126:-1 gene:LPERR04G19350 transcript:LPERR04G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSNATVSLNELNLGKKFRNQLRYQLPNTEASIDIPHLGSGELSLQLMANAPFHRLLC >LPERR04G19360.1 pep chromosome:Lperr_V1.4:4:18467339:18472915:1 gene:LPERR04G19360 transcript:LPERR04G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSFYRVKGEAGRGPRPIAKPAPQNPSPVSEIPPDGGGESPAVNAAAEPPDVNEGGEAPDVNAAAEALESLGVSAGAAAAEGAPVEQPLPSQPPLEASSSGRAEVGGSLEEEAVRKLLELVGFGGEEVELTEEEAAANDQRQEDEIFALEAIFGDNVVIFDKKGGQRSFQVHVHIEIPEGIDVSATLNYGSGSLKYGAGHDADASDDLVYKFRVEHLPPILLTCLLPSSYPSHHPPSFTISAEWMNKTMISSLCQMLDTVWEEQKGMEITYQWAQWLQSSSLSHLGFDNEIVLSNDSACDHDPGDKRALSHNAAPDIIIPRMMRCNDDKCHESFLHAIHDCMICFSEFPGIDFIKLPCHHIFCSKCMQTYCKMHVKEGTVVKLLCPDTKCGIVVPPNILKRLLGEEDFERWEGLLLRRTLDSMSDVVYCPRCETACLEDADNEAVCSSCLFSFCTLCRDRRHVGDKCVTPEEKLLILEKRKEAGKLVGDQHKFLDELRSIKEILKDSKPCPRCKMAISKIEGCNKMSCRNCGQYFCYQCNSAINGYDHFRGSCALFPQEEIDRWNMQMNPRAERRVVAQVQAQIFRQNAAHPCPTCRQPCPKVVNNNHIFCWACQKHFCALCRKTVHKTSQHFGPKGCKQHTADP >LPERR04G19370.1 pep chromosome:Lperr_V1.4:4:18476652:18487939:1 gene:LPERR04G19370 transcript:LPERR04G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMWSQQFGLEVVYEWVQWLQSSALSHLGFDDGIVIQQPDSMMGPVDVRAVSEIAPVESVLQWLISYNDEQCHEYFLIGLHDCMICFTENAGTDFIKLPCGHFYCQRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMLDIAYCPRCGTGCLEDEENSTQCSKCFFSFCTCCRDRRHIGEKCITPEEKLLSLQDHKKVHQLSKGNLARRINLANEISSIKEVLRSSVLCPKCSTAISRVDGCNHMLCRNCRQPFCYDCGKSLNPGHSRIHKENLARETMKVNASNFIKEVKKEPAEQRSKQHPCPNCRQLNPKIGNNNHMFFWACQVHYCALCRRMLVRKSSEHYGPRGCKQHSVDHEITQTQDKQLGNFPLAKHRYGLAPLFFHPDNMLALEAIYGDNIGIFSAKDGLRCFQVHVHCEIPDGISVSAEVSQGDNHDQNSRFFNTFSVQHLAPISLTCLMPPSYPSHHAPYFTLSSQWLDTAKLSSLCLMLDAIWTQQIGLEVVYEWVQWLQSSALSHLDFEDGIVVRQPDSMMDPVDVRAVAEIVFVESVFQWLISYNEEQCHESFLSGLHNCMICFTEYAGIDFITLPCRHYFCRRCMGTYSRIHVAEGTVLKLLCPYDKCGGVIPPNLLKRLLGDAEFERWERLILQKTLDSMSDVAYCPRCGTACLEDEENNAQCSKCFFSFCTRCRKCRHKGEKCRTPEEQLEIKLQRNLIPCRIDQENWATNNPSALIKEAKKELKGNSQGSIRAQIVGNNNHMFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSIDPEIPIFKTNKNDDSGSEDF >LPERR04G19370.2 pep chromosome:Lperr_V1.4:4:18476652:18487939:1 gene:LPERR04G19370 transcript:LPERR04G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLDDEESHGEAAAARRLLHEMLLWARRDGEEPELPEEQLRSNDQLQQDEMLALEAIYGDNIGIFSAKDGLRFMCTAKYLMASVYPQNYLGHRALGSNFFDMPYASVIPKPSCSVLYSQFTIFSRSSALSHLGFDDGIVIQQPDSMMGPVDVRAVSEIAPVESVLQWLISYNDEQCHEYFLIGLHDCMICFTENAGTDFIKLPCGHFYCQRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMLDIAYCPRCGTGCLEDEENSTQCSKCFFSFCTCCRDRRHIGEKCITPEEKLLSLQDHKKVHQLSKGNLARRINLANEISSIKEVLRSSVLCPKCSTAISRVDGCNHMLCRNCRQPFCYDCGKSLNPGHSRIHKENLARETMKVNASNFIKEVKKEPAEQRSKQHPCPNCRQLNPKIGNNNHMFFWACQVHYCALCRRMLVRKSSEHYGPRGCKQHSVDHEITQTQDKQLGNFPLAKHRYGLAPLFFHPDNMLALEAIYGDNIGIFSAKDGLRCFQVHVHCEIPDGISVSAEVSQGDNHDQNSRFFNTFSVQHLAPISLTCLMPPSYPSHHAPYFTLSSQWLDTAKLSSLCLMLDAIWTQQIGLEVVYEWVQWLQSSALSHLDFEDGIVVRQPDSMMDPVDVRAVAEIVFVESVFQWLISYNEEQCHESFLSGLHNCMICFTEYAGIDFITLPCRHYFCRRCMGTYSRIHVAEGTVLKLLCPYDKCGGVIPPNLLKRLLGDAEFERWERLILQKTLDSMSDVAYCPRCGTACLEDEENNAQCSKCFFSFCTRCRKCRHKGEKCRTPEEQLEIKLQRNLIPCRIDQENWATNNPSALIKEAKKELKGNSQGSIRAQIVGNNNHMFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSIDPEIPIFKTNKNDDSGSEDF >LPERR04G19370.3 pep chromosome:Lperr_V1.4:4:18478440:18487939:1 gene:LPERR04G19370 transcript:LPERR04G19370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMWSQQFGLEVVYEWVQWLQSSALSHLGFDDGIVIQQPDSMMGPVDVRAVSEIAPVESVLQWLISYNDEQCHEYFLIGLHDCMICFTENAGTDFIKLPCGHFYCQRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMLDIAYCPRCGTGCLEDEENSTQCSKCFFSFCTCCRDRRHIGEKCITPEEKLLSLQDHKKVHQLSKGNLARRINLANEISSIKEVLRSSVLCPKCSTAISRVDGCNHMLCRNCRQPFCYDCGKSLNPGHSRIHKENLARETMKVNASNFIKEVKKEPAEQRSKQHPCPNCRQLNPKIGNNNHMFFWACQVHYCALCRRMLVRKSSEHYGPRGCKQHSVDHEITQTQDKQLGNFPLAKHRYGLAPLFFHPDNMLALEAIYGDNIGIFSAKDGLRCFQVHVHCEIPDGISVSAEVSQGDNHDQNSRFFNTFSVQHLAPISLTCLMPPSYPSHHAPYFTLSSQWLDTAKLSSLCLMLDAIWTQQIGLEVVYEWVQWLQSSALSHLDFEDGIVVRQPDSMMDPVDVRAVAEIVFVESVFQWLISYNEEQCHESFLSGLHNCMICFTEYAGIDFITLPCRHYFCRRCMGTYSRIHVAEGTVLKLLCPYDKCGGVIPPNLLKRLLGDAEFERWERLILQKTLDSMSDVAYCPRCGTACLEDEENNAQCSKCFFSFCTRCRKCRHKGEKCRTPEEQLEIKLQRNLIPCRIDQENWATNNPSALIKEAKKELKGNSQGSIRAQIVGNNNHMFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSIDPEIPIFKTNKNDDSGSEDF >LPERR04G19370.4 pep chromosome:Lperr_V1.4:4:18476652:18478602:1 gene:LPERR04G19370 transcript:LPERR04G19370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLDDEESHGEAAAARRLLHEMLLWARRDGEEPELPEEQLRSNDQLQQDEMLALEAIYGDNIGIFSAKDGLRFMCTAKYLMASVYPQNYLGHRALGSNFFDMPYASVIPKPSCSVLYSQFTIFSRVGRRRPT >LPERR04G19380.1 pep chromosome:Lperr_V1.4:4:18487904:18492212:-1 gene:LPERR04G19380 transcript:LPERR04G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAASSSSSSSPALEPHRNLSPGSSSSSGASSSSASSAAGLRPVSLCEGGSEGDVFDLDAPWAAAAEAESRLEKAAMAAAAARLSLRCNGEKGEEEEDDEIRDNRQRQEDELMALEAIYGDDLAVFGKKRGLHYFEIYIHYNLNDGAKVCAKLSSANENPKDRRCSDGIEEHGGEPDEFSYTCNFEYLPPLVLTCLLPLSYPSKDPPYFTITVKWMDGPNVLQLCEMLDNIWAELPGQEVVYQWVEWLRNSSRSYLWFDGKITLGPDIPMLKGDNRAISRSLSLDSAIPSMLSYSSKKHYQAFLEDLHMCMICLNQSKGSNFIRLPCQHLFCVNCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTQDEFERWDRLTLEKALDSMSDVVYCPRCVTGCLEDEDNNALCPKCSFFFCSFCKEPCHPRKQCLTPEEKLKRRQASGGMSDREVAQEILNIKELYKDVRLCPKCRMAISKSEGCNKMVCGNCGQYFCFRCGKAIRGYDHFSGNCQLYAPRDISAWERQMEEQFENHVRNSLRPLGGTIRCPKCREKNFKDDEKYISCWACRTIYCTMCKREVQGRTETLEALKESMSINPSTPYKNKAKRSHSTFRRCKPATMEKPTRQMVPSLAILVILSVAGIAVTVAAAPPKGQQVHLFDATVLIPDNSVDDPDEYNYRLLATVVGSVEAARSVTFETYPGTFSAFLTNNQARRLSKVRGVVGVKQRDDPVPTGGQ >LPERR04G19390.1 pep chromosome:Lperr_V1.4:4:18495151:18496421:-1 gene:LPERR04G19390 transcript:LPERR04G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNRGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHALLGNKYVPTTGFNFQWIMDSARWSKIASCLPGRTDNEIKNVWNTHLKKRVSTPEKKKKKEGGNKSRKKTTCSDVLVPSPSPSSSTTTTTTTNFSSGDSAGEQSMSINTSKEEEEDKIEIPMIDPCSFDFDMLVDPVVPETFFPAMTSSPASPCSSTSRGGVDTNTLLDLPEIVDLGPELWSIIDGDGDGEAPPPPASSNAAAACEANATVAATEETKDWWLEDLEKELGLWGPTDEYHCHLGPQGQQPGRVDPPPLPAVVEDPVSCYFQAGPTAATWQGHEPSAVMTSYQMDYL >LPERR04G19400.1 pep chromosome:Lperr_V1.4:4:18510399:18511578:1 gene:LPERR04G19400 transcript:LPERR04G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSISAMPRLLPEEDDGDDLEAKPEKAPSAKERSVHLIPLLTVLCFLLLFLFSHDPSASEMSGFGGKVGNRKHRLF >LPERR04G19410.1 pep chromosome:Lperr_V1.4:4:18512199:18522377:-1 gene:LPERR04G19410 transcript:LPERR04G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAELNFLIFRYLQESGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDVAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERRTGSERERERHDKEKELERDKDRAERDREQDKEKEKQHAERIDKVKPEEDSLAGGGPTPMDVCTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQEKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCIMDFRM >LPERR04G19420.1 pep chromosome:Lperr_V1.4:4:18524895:18527651:-1 gene:LPERR04G19420 transcript:LPERR04G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPAYVLASLEPKDGKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSAVNERVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKVTCEQEHKTVESESAQPNISEDTATPKDLDGEFAKIQVPESPEDIPVSTTATVPATDADSSQASPPAATSPKKPEPAQGLIL >LPERR04G19430.1 pep chromosome:Lperr_V1.4:4:18531836:18533135:-1 gene:LPERR04G19430 transcript:LPERR04G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVSLRRYGLCAHRCEDENEPPQPHVVDHRSTTDRALPTGFCGLRSRTRWSASSTPTFDPRGTRISVDSRQSNRQLRPLPHRRRYEYGSDRIFRRR >LPERR04G19440.1 pep chromosome:Lperr_V1.4:4:18535398:18537018:-1 gene:LPERR04G19440 transcript:LPERR04G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKSEGPTIAVKLFIDKERSRVLFAESDKDFVDVLFGFLTLPLGTFVRLFGKQSQVGCLDELYKSVEDLSAEYFQTKACKAMLLNPFNDAAKHCRQLKVRVDDSNQTAVYVCKDANCCANGDSGVTFVSGSICKCGKVMEYIGEWPQDDGISSAAGGSDSGVFVKGCFKFIVTDDLQIAPASTSFMMSIFDKFGVRDPGNLEQKILQLNAAKITSLLKISLTSKQALTVYYFDAPTPNDAYIYVLPEGLYSEQEVDVDHKLNNMKIKVLQKKNNTSLLYAEVGEDFVDLLFGLLSIPLGSIIKAYGKWSSNGCVENIYRRIDGNAKGFVDIERQILLVSPNVASFFGCSTTNVLLQVEEADPKQKSITGCFKCFKIAGFSCYDRCSEQKSTWNGRNYIYSFKNCSVSSKYVSLCEVNPKLPYAGSHKGEGYVKQGVQNFMVTDDLHVRPLSSTSTLQAVTESKIQAKELVEKEITLTKIQALSITIPLALLAKNSSYQTLTMGIAD >LPERR04G19450.1 pep chromosome:Lperr_V1.4:4:18538929:18543853:-1 gene:LPERR04G19450 transcript:LPERR04G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNNGGPTVAVKLYIDKEKKKVLFAESDKDFVDVLFSFLTLPLGTIVRILGKQSQIGCLDGLYKSVESLSEDHFQTDACKAMLLRARNAAAIYCNRLKFIIFDDLQVAPASTSIVFPLLGEFDLHKQGNTEEKVIELNSYKKTNLLKRALVSKQCLTGLCFDGSIETDYVNLDELTNCLLLKTENKDDSMFNAIKITVTSNPCSVDNLYRSMNGSGTGCLKHGCQSLLLSPMAAPFFGCRTSVLHVQESPKSSWYCEYCYTCFRLSGTTGATSCTHYCRGPTVTESSAKGSTATNAYLKGGLRKFIVGNDLHIIPFTLSSTLQITHASKIPKEMLVKKELTLNKTQVLKLLRAALVTRSVLGSVFLPPKK >LPERR04G19460.1 pep chromosome:Lperr_V1.4:4:18547544:18551268:1 gene:LPERR04G19460 transcript:LPERR04G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHPGGRLVAAGGEDDNSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLISCTMLSYINQFFSYRSEPIVISQITIQVAALPIGHFLARVLPERKFRVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIVKAFYRRNISFPTGLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPTSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTLSAVSWVCWAFPKSVTMQQVGSGMRGLGIGAFTMDWATVVSFLGSPLVYPFFAIVNVWFGFVLFVYIMLPIAYWGLNLYRASTYPLFSNELYDRAGQEYDIRAIVNDRFELDPVAYAKQGKIHLSLFFAVTYGLGFATIASTVSHVAIFYGKEMYSRYKQAYREKPDVHTRLMRRYDDIPNWWFYGMLAVAMAAALVLCTVFKDEIQLPWWALLCAMAMAFFFTLPISVITATTNSTPGLNIITEYIMGLVMPGKPIANVCFKVYGYISMSQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTLVAGTVNMSVAWWLLTTVPHICDKKHLPDGSPWTCPGSHVFFDASVIWGLVGPRRIFGKLGYYGALNWFFLVGLAGPVVVWAAARLLPRHAGWIRLINLPVLLGATANMPPASTLNYTAWCSIGAVFNYLVFRRRKGWWQRYNYVLSAAMDAGVAIMGVLIFFCLSSRDITIDWWGTRDINIDHCELSICPTAKGVVVEGCPVF >LPERR04G19470.1 pep chromosome:Lperr_V1.4:4:18552605:18554016:1 gene:LPERR04G19470 transcript:LPERR04G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHHLLVTILLSIAALSLTLQLIPSDAAVVHEQIAAAPSSNEDSINGGGKLQIAKEEIQLATSSSSHDNDQTAIAAGEEKLTVPLYGRPQSSTYLVQLRIGTPTDQISTRYVLFDTGSDLSWTQCQPCTNCSYSPYPPHDPSKSRTFRRLSCFDPMCELCTVSKGCLFRRLYGDGSTVSGDLVSDVFHFSVTGDDNYQFERDVAFGCANIEDCKAVRGYSSGILALGFGKTSFVTQLGVDRFSYCIPASEITEDGDVYDDERRSASFLRFGSHAKISGKRAPFTQDDSGYTVRLKRLVYQHGSRLNQQQPVPIFVGEKAASAMPMLVDSGTTLLWLPGSIFYPLQKKIEEDISLTRRYYFTHPDLYCYVGNMSDVEEVSVTLSFDGGVDLELFGSSLFFVDEDPREDWVCLAAAAGKRAILGVYPQRNINVGYDLSSMELSFDRDDCM >LPERR04G19480.1 pep chromosome:Lperr_V1.4:4:18554564:18564621:-1 gene:LPERR04G19480 transcript:LPERR04G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFDNHQSMVYCEMCGVFRESFMKSAKDGSVKVNGRPSDFGTPSMPKSDSTKMPPVKTSTKDFSGNPGIKNTSISHEKVNSTHSTSVGKPSGAGKKVKHIALPEDVPVERTAQLISDHFQLKEDQSSRASSSAQNEDVVQKLSSDIHKLGLEKNEVDIAKPDLPEEYKPEKWMFADEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSCVIWIPLSAVENQNLMKNSSDVRLASWYQGLCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFLAFGKLETGAIRNGSKVLVSPCGEVVTVKTIEQDSNPCDIARAGDNVAVSLQGIDGSKLIPGGVICNPGFPVPISNLLELRVLVLDITIPILIGHQVEFHIHHVKEACRVTKIVALLDKAGKPSKTAPRFLKSKQNAVIQVALEAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVMRTPDQLKRYTPSAVFLPDESRRARDAHGRRRRRISLDPEHPYSLEELNVVTEDSVELNDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTRSKPGFHINSCRARSLKVKAKMDSGDGRTRLAPLIFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKAPQDLLYKRIAEVKEKERQNTLEEIIYCWIMYKFMDNDISMTPALSPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSHLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >LPERR04G19480.2 pep chromosome:Lperr_V1.4:4:18557264:18564621:-1 gene:LPERR04G19480 transcript:LPERR04G19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFDNHQSMVYCEMCGVFRESFMKSAKDGSVKVNGRPSDFGTPSMPKSDSTKMPPVKTSTKDFSGNPGIKNTSISHEKVNSTHSTSVGKPSGAGKKLKEDQSSRASSSAQNEDVVQKLSSDIHKLGLEKNEVDIAKPDLPEEYKPEKWMFADEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSCVIWIPLSAVENQNLMKNSSDVRLASWYQGLCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFLAFGKLETGAIRNGSKVLVSPCGEVVTVKTIEQDSNPCDIARAGDNVAVSLQGIDGSKLIPGGVICNPGFPVPISNLLELRVLVLDITIPILIGHQVEFHIHHVKEACRVTKIVALLDKAGKPSKTAPRFLKSKQNAVIQVALEAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVMRTPDQLKRYTPSAVFLPDESRRARDAHGRRRRRISLDPEHPYSLEELNVVTEDSVELNDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTEAQAADGIPPTSFAPLA >LPERR04G19480.3 pep chromosome:Lperr_V1.4:4:18557264:18564621:-1 gene:LPERR04G19480 transcript:LPERR04G19480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFDNHQSMVYCEMCGVFRESFMKSAKDGSVKVNGRPSDFGTPSMPKSDSTKMPPVKTSTKDFSGNPGIKNTSISHEKVNSTHSTSVGKPSGAGKKVKHIALPEDVPVERTAQLISDHFQLKEDQSSRASSSAQNEDVVQKLSSDIHKLGLEKNEVDIAKPDLPEEYKPEKWMFADEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSCVIWIPLSAVENQNLMKNSSDVRLASWYQGLCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFLAFGKLETGAIRNGSKVLVSPCGEVVTVKTIEQDSNPCDIARAGDNVAVSLQGIDGSKLIPGGVICNPGFPVPISNLLELRVLVLDITIPILIGHQVEFHIHHVKEACRVTKIVALLDKAGKPSKTAPRFLKSKQNAVIQVALEAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVMRTPDQLKRYTPSAVFLPDESRRARDAHGRRRRRISLDPEHPYSLEELNVVTEDSVELNDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTEAQAADGIPPTSFAPLA >LPERR04G19480.4 pep chromosome:Lperr_V1.4:4:18554566:18557250:-1 gene:LPERR04G19480 transcript:LPERR04G19480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWADAALLLVSPSPAAGAGVGAASSSSCCLARARASVESRLHCKSFLYASRSKPGFHINSCRARSLKVKAKMDSGDGRTRLAPLIFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKAPQDLLYKRIAEVKEKERQNTLEEIIYCWIMYKFMDNDISMTPALSPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSHLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >LPERR04G19490.1 pep chromosome:Lperr_V1.4:4:18566764:18568839:-1 gene:LPERR04G19490 transcript:LPERR04G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVAHTAVATSPSTIAVLRVIRRKATFRVSCSAAVVIGLAADSGCGKSTFTRRLTSVLGGISNGNSPSDTNTLVVGDDDEATVICLDDYHSLDRAGREASGVTALDPRANDFDLMYSQVRALKEGRAVEKPVYNHATGRLDPPELVTPPKILLIEGLHPLYDERVRGLLDFSIYLDISSDVKFAWKVQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADVVIEVTPTRLIPDDDQGKLLRVKLIMKEGVKHFAPPYLFDEGSTISWIPCGRKLSCSYPGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNISSKYYGEVTQQMLKHVDFPGSNNGTGLFQTIIGFKIRDLYEQIFTERAASPALATIA >LPERR04G19500.1 pep chromosome:Lperr_V1.4:4:18570533:18573661:-1 gene:LPERR04G19500 transcript:LPERR04G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGNADDNPFSQNGGGGGGGGGRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWESNLKRREADIKRREEALKSAGVPMEEKNWPPFFPVIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNR >LPERR04G19510.1 pep chromosome:Lperr_V1.4:4:18587028:18590613:1 gene:LPERR04G19510 transcript:LPERR04G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMDGDQAAGGDLTDIVRAGGGAMPGSVVVDLPSTAAEWHHHQLPADQPMLFQQPPQPPPSMSSDGCVGGGADIFGGDPFAGLVDPFSTDYSSGAADFLDAMPDAMSKVGFDTVVGVAGGGGGGQMLDMSRKPLLPRGMAPLPAVGGGGLAPRVMAPSPLSPRAIRPYPAISAGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRSSGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNNSGGGSGSKSSQNEKTQQPNVKEEQKDQATTTTATSTITTTNSASPVVVKEEETLAGSSSEALELERVMDTAAGVVDHSELMDHVFSESYKPVIPESGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGGDHAQEKAMAKELDPFDMLDWSTTTNSSAGSSFEQGKRG >LPERR04G19520.1 pep chromosome:Lperr_V1.4:4:18596615:18599822:1 gene:LPERR04G19520 transcript:LPERR04G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEHESRRRPEPRGDVVRTPLLAGDDEASCSNRSLLSLEAQEQAVHKADSYSNKTLIIILSLQFLEITAYYGIYLNLIVYLQDVLHGDSASNVSTVSAWTGVTYFMPVVGAAVADSFWGKYTTVLAGFSTALVGMAILTASATLPSLRPPPCATPPTSTYCVPATLGQELVFFAGIYLIAVGIGGAKAVLIALAPEQIDDGDGEKARERKASYFSWYYAVANVAMLTAGTVLVWVEDRVSWGFGFGLCASLVAVAVVGLAATASMYRVVPPLGSPLKGVAQVLIAFSRKAKLMTPDDATELYEEDDVKNKNSSMRPVHERLEHTDQFRCLDKAAIVTDEDVEDGDTWRLCTVTQVEEVKILLRLIPIWLTSAVYFVANTQAQTTFVQQGTKTDATIEIVPGAVSVSVPAASLSSFQMASVAAFVTLYDRAVVPAARRWLGREGALTPLQLMGLGHATAAVAMGIAWLLPQYVVMAVSDAALAVGQLEFFYDQSPETMRGASTAFYFLALSLGSLIDSQLVALVEKVTAVGGSKGWFPPDLDDGHLDYFFLLVVAITVANFAVFVALAKNYTPRKRVR >LPERR04G19530.1 pep chromosome:Lperr_V1.4:4:18607172:18614938:-1 gene:LPERR04G19530 transcript:LPERR04G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHASTQSTSKETIDAAGMLNDNTRMSIAAPCCTNVVFSCAYAADSTMLVAQIGSSRINILSSSTCVTVHTFHPASAATSSDSSARSDSTTTAALSRNPNSSVCVILGWSILPCTS >LPERR04G19540.1 pep chromosome:Lperr_V1.4:4:18610566:18620714:1 gene:LPERR04G19540 transcript:LPERR04G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMAEALAALATVEGIEEFVKQPAAPPSPAAKSAAEEDKREKKGSPLPRGRRVAFSSPEVINLEDSEEDAPVENKETPPRRRGRRGTVRPNGGEEEEKQDVNQDANAPAVGEGRRGASRRARAAAPVAPAPTTRRMAAPASKMDETGDVAAVAPPAPTTRRSARAATEAAPTPTTRRRAQTAVPAPAEEEKVPRGRRTTRRAAARKPEIQKQQEEEECFEDVVPAVESDESAPVSDAVSDDTDEAEETRETVAAEQISAPEESAQEEEEIEVEAPVEIVEAVDRECSPHAVEEEKSVQEEDEIEVEEPVEIVEAVAHESFSDTVKEKPVLEEEGIEVEAPAEIVEAIAQEFSPDAVVEDKPVQEEEGIELEAPAETGEAVAQECSPEAAAEEKQVDVEQAVSPDDSPFFGLMSGTGVEKSEETLACNSEIAIDKLLTKESCDLITAEKQAMAVNEVLETTVTCSEAVAQECSPDAAVEEKEIDLDQAVSPDDSPIFGLVSGTAVEKSEDTPACNSEIAIDKLVTEESCDMITEEKEAMAVDEVIDATVTCSEATLEDVEEEGVREEEDAVVAPNETGCVEEIAMDKLVTEESCNIITEENKAMAVDEVLDATVTCSEATLEDAEEEGVREEEDAVVAANETGCVEEIAMDELGTEESCNIITEEKDDEVLDATVTCTEATLEDAKEEVVGEEEDEVVAANETGCVEEIAMDELVTEESCNIITEEKEAMAVDEVLDATVTCTQATLEDAEEEVVGEEKDEVVATNETGFAVEEIGTDTILTEDADNAVQLDNPTDVSFADEESGVVATEGLLLRSATVKTTVTCSEASEDNAIQLDFSANINCCGDEEEDAVEVVNHAGVVVEENGTENVDDLNDTLTKDADSAIQLGVSADISCADDKEIVVLTKDALQSTVTAKATEGSEAATEGAESTVDVLHGSEEEDALEVLNEAVFAVEEKNEETDDGPENTLTNVADNDTRLDFAEEVSCAVEGEEGVAAGKDDFNFEICQAGEHHEMVAVESVSTQKEEIHEENVFTAGVLALKFNCLGNLGVHKTSVIQEEAQTLPLSTEMLNNVADPLPTTVTAAEAILSETMDVSSFCMHGSNSKKRNTEQVAVEDGNEVKAVQKQKKNPHSLRTLKAKLKNLLIANQEPKEAKRVALARLDENVC >LPERR04G19540.2 pep chromosome:Lperr_V1.4:4:18608311:18616460:1 gene:LPERR04G19540 transcript:LPERR04G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGYNGLIGIHQRAKPFAAALQTSSHLKSEALAHRELTECNPLLAHSLTGIPTFQLPRFAGAAAMDAGEIFVALPSPREIFVALPSPRLYKSLTFRRIASTKERVPPDEEMQIRSPKSQDDDLKEPFIGEKKTKSKAPALVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLIFYLLGMMLITFSAFLPTTALCAAGAASCQQPILGAQTVAFLGLYLVAFGSGGVRAALLPFGADQFDDENATDRERKMSFFSWFYLCVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIAVAFAGFVLATPMFKRRMPTGTPLKSLSQVVVAAVRKARLRVPADTSLLYEVHDKINQSKIAHTDEFAFLDKAAVVMDSDLAEESDDVAADAGWKVCTVTQVEELKILIRLLPIWATSIVLSAAYAQLNTTFVQQGAAMDMRVLSFSIPAASMVSFEVLCVLAWVLIYDSVIVPMLQRVCPANGEPSQLQRMGAGRLLMAFAMGIAALLEMARLEATGRGQSMGIAWQMPQYFALAGGEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVNSFTAVDGRPGWISDNLNEGHLDYFFWVMAALCMLNFVVYSVFARTYKVKTVVA >LPERR04G19550.1 pep chromosome:Lperr_V1.4:4:18621247:18622962:-1 gene:LPERR04G19550 transcript:LPERR04G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSKGRVIAGSFVARVLAGKAASPRRAVSTSAYDKNVEDQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAVLDPKLAAGAVAAAAKAGGADGGGSVLDQKVWFRPLEDVEKPPVA >LPERR04G19560.1 pep chromosome:Lperr_V1.4:4:18626820:18628574:1 gene:LPERR04G19560 transcript:LPERR04G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVELPSA >LPERR04G19570.1 pep chromosome:Lperr_V1.4:4:18631132:18634229:-1 gene:LPERR04G19570 transcript:LPERR04G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDSFSAAHPFRWDLGPPAPAPVPPPPPPPPPPPPANAPRELEELVAGYGVRLSTVARISELGFTSSTLLAMTERELDDMMAALTGLFRWDILLGERFGLRAALRAERGRLMSLSGRFVVLSDEHDMAGSGGLGEDENMRRMMMSAKKQAKIKGSAAARNKGKKARRKRVDDLRLDMREDDNDDGDEDGCGGGGSESTESSAGGGGGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSTHAAAAAALPPPLF >LPERR04G19580.1 pep chromosome:Lperr_V1.4:4:18638962:18644012:1 gene:LPERR04G19580 transcript:LPERR04G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLTKLCTVYEDVDECHDGSNNCSTGASCQNTHGGFTCSCPKNKTGDGYRNGTGCSDSPSGPPTPNQPQGQNGCAHMGKNPDGMSGDGRKNGSGCKRPFSLDITLGVGLALTVTLTTTLLSYYWTMKKRKMAKEKAELFRKNGGLLLQQRFSMISSQGEESSAKIFSAEELKNATDSYSVSRILGRGGSGTVYKGVLPNNTMVAIKKSILLDASQVEQFVNEITILSQIDHPNVVKLLGCCLETEVPLLVYEFIPNGTLFQHIHNRSSLTWEDCLRIAEETAEALDYLHSSSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPFDKTHVTTLIQGTIGYLDPEYFQSSRLTEKSDVYSFGVVLAELLTRQKPVSASRSEESCNLAMYLVILFHEGRLLQEIDPHILAEAGEEQICAVAQLSVRCLNLRGEERPAMGEVALVLHGLRESFAEEQAIRRSDESVQIINEQENVQYEARPISSLQSSEETTQFSIPAEILPTSHLAR >LPERR04G19590.1 pep chromosome:Lperr_V1.4:4:18649207:18655104:1 gene:LPERR04G19590 transcript:LPERR04G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGASISAAAAAVAAGARPLGPLALALAVAAIFSAMPHGVLSAYEGLALPPIPNSPPPINLKCDGIPYPFGIGDKSASGFEVTCGPNRESMLPIGEHRFRIDQLSLPEGYVVILAGPIYQSCYGRNGPPASRDTGIGPINLTGTPFFFSKRNRLVATGCNYNLFANFTSSLSKQNGPPTFCATQCSGRFDAIFNGSCLGTACCKTDMPMDGAQEFTFTFNKTSANVTGEEAGTCGAAFFLDLNEEIFSNVGDSWQMPLKKALAPFDERRMVLDWVIATVACEQAQGNKSTPQYSCNSASSCINGRSGTGHICRCNTGFDQQNGNPYVANGCQDIDECRDWDVKTKKCAFMQLCNNTIGGYTCSCPHNMTGDGYMAGTSCTYILSPGSPIQNKPQGVGLALTLTLATTLLCYYWTMKKRKVAKKRADLFRKNGGLLLQQRFSTITSQGEQSSAKIFSAEQLRNATDSYSESRILGRGGSGTVYKGILPNNSVIAIKKSILFDESQVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSSLTWEDRLRLAEETAEALAYLHSTSSIPIIHRDIKSSNILLDENFVAKISDFGASRSVPFDQTHVTTLIQGTLGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEEACNLAMHIVILFNERRLLQEIEPNILAEAGEEQIYTFAQLSVRCLNSKGEERPVMREVASVLRRLRESFAKEKTIRRNDESIQITDEQESVHSEARPISSLQSSKETTMQYSLPAEILSSSHLAR >LPERR04G19610.1 pep chromosome:Lperr_V1.4:4:18659696:18662217:-1 gene:LPERR04G19610 transcript:LPERR04G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLLLLCLLSLSSTSSSQSSPTNCPNSNVYIPYPFKINSTANATPGFLISCNQASPVISLGDGVNFSVLNISLPEGYVRVTGKTVYSSRCHGNNSQKILDLRGTNYMFSNTQNKFTVVGCDAMAMIRNNSDGADGSNNSTVSRYSSGCVSFCASEGSIVNGECSGVGCCQSTVPKGLDMLDLEFTSIRNQLMPSSTTGNSSNSTWCSKAFVAEQNSYKFSRDDLHGDLGKLPMVLEWYIQGRCDKNNSHTFLPNYMCKDNSVCYEVEGVIGYRCNCSEGFDGNPYIGCKGGSVGLLVVLLVLGFWTYWIVKKRRLAKQKQRHFLQNGGLLLQQQIFTYQAPARIFTASELEDATNNFSEDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQIDHKNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNLVPISWEHRLRIAAETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTSLAEQNRLQEIVDSIVVKEAGMRHVNVVSQLILKCLKLKGEERPGMVEVAIELEALRRLMKQHLSLKSEKALRELMEQQSGADCKDLQLLQEESSQEEIRNIEPLNLYHGNNPLDKCMESSPLLSMDLPW >LPERR04G19620.1 pep chromosome:Lperr_V1.4:4:18665418:18667847:-1 gene:LPERR04G19620 transcript:LPERR04G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLYLLLPSIFLTCVSASYVHSVDSSTSKCSDIPISYPFGIIGGNPAPAQGFEIRCASSGPMVRINNVMFGVLNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTAVGCDMVAMLLNGSSGYSGGCASFCSTESNIIDGMCSGVACCQASVPKGLKKLELEFTNITGQLSRPNKVNNTPTCAEAFIVEQNSYVFSNVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRSMSYACKENSYCYNSSNGIGYRCNCTIGFQGNPYLQGPDGCQDIDECTIKRPCTHKCINTKGSFQCMCPAGMRGDGLKEGSGCNGIGTMLIGIGKFLKPCLPVLPFTGLALLLLLLILMFWTHWLVKKRKHNGGMLLKQKMFSQGAPLRIFTSSELDKATGNFSGDNIIGRGGFGIVYKGILSDQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVRLLGCCLETELPLLVYEFITNGALFHRLHNTSLPISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPQNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPIDGKMDDVRNLAYHFSMLFYQNQLLEIVDPQVAEEAGTKHVKTVAQLALRCLRSKGEERPRMIEVAIELEALRRLMKQHSLLQSEEDPMLCELSCHIDMNIEASSRLSLDNTKEEESMGTIMLPNRDLSC >LPERR04G19620.2 pep chromosome:Lperr_V1.4:4:18665418:18667847:-1 gene:LPERR04G19620 transcript:LPERR04G19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLYLLLPSIFLTCVSASYVHSVDSSTSKCSDIPISYPFGIIGGNPAPAQGFEIRCASSGPMVRINNVMFGVLNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTAVGCDMVAMLLNGSSGYSGGCASFCSTESNIIDGMCSGVACCQASVPKGLKKLELEFTNITGQLSRPNKVNNTPTCAEAFIVEQNSYVFSNVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRSMSYACKENSYCYNSSNGIGYRCNCTIGFQGNPYLQGPDGCQDIDECTIKRPCTHKCINTKGSFQCMCPAGMRGDGLKEGITGLALLLLLLILMFWTHWLVKKRKHVKIKLRYFMQNGGMLLKQKMFSQGAPLRIFTSSELDKATGNFSGDNIIGRGGFGIVYKGILSDQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVRLLGCCLETELPLLVYEFITNGALFHRLHNTSLPISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPQNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPIDGKMDDVRNLAYHFSMLFYQNQLLEIVDPQVAEEAGTKHVKTVAQLALRCLRSKGEERPRMIEVAIELEALRRLMKQHSLLQSEEDPMLCELSCHIDMNIEASSRLSLDNTKEEESMGTIMLPNRDLSC >LPERR04G19630.1 pep chromosome:Lperr_V1.4:4:18669988:18676445:-1 gene:LPERR04G19630 transcript:LPERR04G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGGGGGGEGCGEEEAGGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVINVGLPESIENMSDWYNLEKSKRVKAFRCGEFDACIEKALTSQRTPVKRREKYARREDAILHALELERKQNQGLRSDDISSVPFPDTRREFDNSSTEYYSRSNTQKPQFPLGNSASQQCKDLSSTRYKSKKSKKRKGDISNLPGKTKGPEQNFPHAASKTDISESLALEAAENTLSNPNNGFSHLGHIQAGTNVESCVKNTSLKQKISEESVFEESLVKKHDRCRPLAQVVHSSGKLPHSFQCDDDCGPILIEEGNEPPTAIYHAAKQSWSTYMSNDSGESNYHGDIPPTQMTSTGAHLETEGYLKQSDSFSADQTISEFVEKQGSDSCERECSETETEDDAELLQRYAKRQSPASDAFDPNSVQASKKSKHVDGDDNMVTFSTGIPQQNVLQDEDGSSELGVSKWHMKGKRNQRNTLKRPMGKTDSSLKGSPYMVNGSNPNMESTGASSHPYFDQSFYQTQEELDYDYDDTGLTNRFRSPAEVRYYGKEYPSSLTPTRDLEQNYTPFNNTETYCKTSPPNKNRDHMSSLGRKAYLEGASLYRENYASQHGYIGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLKHSTSASPAWRTGRRTAMPRVPRSNSTRVTLDGGEEEGLWDMNPPFSRSSTSFNHQFRLSKRSNTSFRSPLLHRSQKKPSNSKKGSSSSQKVRALSSISIGKRNHRGGQAKPHSILGDLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSLSISHRVRVTGPAIRDPL >LPERR04G19640.1 pep chromosome:Lperr_V1.4:4:18679803:18682397:-1 gene:LPERR04G19640 transcript:LPERR04G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWREAAPHCFAKMITGVGYFQDSHDPLMAGSLIHDTNQAPTSSENTSIDLQKFKVHSYSTESLSNTTNLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNSVYTPAPDLLNLMQCTMATAFPAATSVYGDTTLSGTNYLDLNGELTGVAAVPDTGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDDRDVIIGVGSVGGDLFQDIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKMLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECQVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVDVQHQALDIFN >LPERR04G19650.1 pep chromosome:Lperr_V1.4:4:18685975:18690981:1 gene:LPERR04G19650 transcript:LPERR04G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAGSGRAAARTRGSHGGGSNGFASGSGGGDGTVVPREWLRKLWTEELRKQRDAARRWGRRAAANAEGGGADSYGDLAKAATGFAGAGAGHGEELSRSYQHDDRHLAPVEARLKPLLSRANLLVARDIEWANIMLAFEQPVGFIREKSNVIFRQLLRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLIDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRQLGLTTDIDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >LPERR04G19650.2 pep chromosome:Lperr_V1.4:4:18685975:18690878:1 gene:LPERR04G19650 transcript:LPERR04G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAGSGRAAARTRGSHGGGSNGFASGSGGGDGTVVPREWLRKLWTEELRKQRDAARRWGRRAAANAEGGGADSYGDLAKAATGFAGAGAGHGEELSRSYQHDDRHLAPVEARLKPLLSRANLLVARDIEWANIMLAFEQPVGFIREKSNVIFRQLLRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLIDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRQLGLTTDVSRCYAAVTRSL >LPERR04G19660.1 pep chromosome:Lperr_V1.4:4:18694458:18707634:1 gene:LPERR04G19660 transcript:LPERR04G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDLGDIVLSWSLEQVMDEDLFRGKIEEIPRSFMSLDQYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMETAGKSGLYFMDVDFWDNGSGFSSEAYTARNGDIFILSSMKPEAVEDLNRYGVTYCLAMVTEVSMDDEYQKGFRVKVAKDVALEEDFNKFRHAIFLNNIMTNLRIWKAICFDMGLNNNFAVIKSLLSPRNMDEHCLALCTEQLLSVNLNQSQVDAIESVISAVQCRHSNLMKLIWGPPGTGKTKTISAMLWALACLKCRTLTCAPTNVAIVGVCTRYLHILRDFNKNVSENCLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYKIASMTSFFEDCGSQYDMLLEDEGRHDPTCFLDFIKMQFNVTATALKKCIMNLLIHLPRQCFSHDSISNISMLLDILEKVEALLHNEGLTDDGAKRGFGFQDFSCVKPALMIENELNRSKFTCLQLLKDLQRSLDLPIGVDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEVGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRRILDGPNVMSTVYNKNYTSLPFGSYAFINITDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRTGQTLSVGVISPYSSQVNAIEGRLGKMYDMYDGFHVRVKSVNGFQGEEDDIIILSTVRSNVKGTVGFLVDEQRTNVALTRARHCLWILGNTNTLYSSGTVWRDLIADAQRRKCIINATNDAAICKLVLKVKKELDELDDLLNADSAVFSNTRWKVIFSDEFKKSFAKLKSPQLRREVLQKLIKLGVGWRTTVKNFDVIDAFQLAKVYKVRDLYLVWSTDIEKNKMYVQIIRIWDLLSHQNVARTIQRLENLFSMYTDEYLNNCRRVKTEGKLEVPVIWDAGHDIIRYKKVQEVDAQEDCDDMDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLVQIWQQSLIASKGLILDERNSTPPKDSSDVEYFVRQVFITVSPKLCSAIRNQICKLTRYGSGDVSDKATILQMPDMVDDLEDFTDIPDNFCGLPHEHYPLTITFQKFLMMLDGTCKTSFFDTFCCELKSCTESGYSKSHALQAFIDMKEVTYEKFASTYWPHFNSDLTKNLDASTVFTEIISHIKGGYQVNTPFGSKLQRLDYLNLSEKRFSSLNSQMRERIYDIFLDYESMKCTAREFDLSDFVNSLHGNLLSEGYNGDMMDFIYIDEVQDLTMTQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLSEIEPCGQGKKLRITDMFKLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDHGNLQGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVVYDYIKGKSIIPSSEETSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENTDDNCRPMFDYWKKLCLVEVRLLDSSLIEAMQAGSSTKEDWRLRGNKLFTEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNLEMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAAEAYFRVKCYAKCLSMCSKGKLFKQGLHFLQQLEEEHLLENSNVVEVAAIRNTYLEDCALHYFECGDIRHMMPFVKSFSSMDHIRAFLNSKNLVDELLIVEMDVGNFLQAADIAKHKGWPSKWFVQKEPLLAKAKEMSQKVSESFYCLVSLEADAISDEHKSLSRITLNMLEGSKWKNLLVEFIASRSILDVHLQSEVSGYSFESEPGYEDERYCTDVLAHNRMSPETLIYIWNYWKSIIGKVVAHLDHPEDVESNGSAAVCEDLCAKYFGWRQDGDNDRYVLLSTDSSWLSNTGRNFLQQDGNRCWLDALHCHSCAKDFFINELYSVGLRVLKKLESIVQILSASSCALGRTILIVYEITKFLKESEFCMPKDVKMLNNYYIFCERHFFVLVFTDWTDQTPECLLHILDSPSTYGLLGDTIGSRDKKLTHDQVVRIAMLLLHAAKLNDLLISQLLQCLDRDSEWVGFFQFLKMFLDSGVGRCDLVQKFKLALESTIYTSWMAKFYISPICYVDLMECLGFLSTSYLVLNEYMFSTKSLLVKMLKCHTTKSYFDTCLGPSDDLDLGHAAYSARCFIYLTAKDLLGIKHMIAKWVQESSTPTSSYVPILLRLVIILYLVTLTYDRGDCYEVTKFLEIHQVFDDLPYEFSEKIVNALKMRSHTVRNFVRAFADALAAIGNRLVIMGDVKAMALKGHLISRDLNAHIISGVDLSDVEKLVAILSPEKSSILKQEPQLPEIKSDGNICNICSGHFQLTSSGDGRESTSDTSLVDANNTFLAKLEAFQVNWSKRKDAKLISQFVRSTLSWLEAQRLPPASILDFKHLCNQLEVSYRENRSCLDWEEIEITLATVIGLVRSVATVGAAQVSQSHPGRAGEWSGCSDYEHETGGSNAVESNKETAAEASTSSKGKPQKQKKKKPKKSKRGGRK >LPERR04G19670.1 pep chromosome:Lperr_V1.4:4:18707971:18714441:1 gene:LPERR04G19670 transcript:LPERR04G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLSRVSRTAASCSSSSALLLSPLDSFPGRSRSFRSPLPRPSSPAPSTVFPRRLSDAAFDAQALYTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDDAELEVLINKIKLINGMAQMRRTMFGDVDMDFVLGIGGYDLDRIEAEVQLHERKETGHCHAGDEHGRVCIDILNNHAMVPNHIRTWHQHHHGHFHDSTVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRINKLVFIGRNLDEAALRKAFKGSRSISSEKSSRYAAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENTTASPAKEAYRKLLAEKILNNRTRILSFRSKPPEPESILTDLRADAASLQAKPAKQRRYIPQSAERTLDAPDIVDDYYLNLLDWGSSNVLSIALGNSVYLWDASNSSTSELVTVNVDNGPVTSVSWAPDGRHIAIGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGLDGKIVNNDVRIRNHVVQTYQGHSQESPDGLTVASAAGDETLRFWNVFGTPEAPKTATKASHTGMFNGSNHIHIR >LPERR04G19680.1 pep chromosome:Lperr_V1.4:4:18715281:18720364:1 gene:LPERR04G19680 transcript:LPERR04G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGTALSELSHATKKFSECQMVMGVLWTRLSERGPKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGIINDKEKIKSVREKAASNRDKYVGLSSTGMTYKSSSSSFGSSYSSGERYGSFSGTREDDSFGDSYRDKEPVKSSPSYTGSQKSGSRIRKDVNRRYEDAYSHSSLKSSSNTNSKEDDFDDFDPRGSSSNGAANPNTSGVDLFAPNLLDDFIDVPAPATATPETNNSADPQVDLFADADFQSATPSTETAAHSDVQDNVDLFVEQPAFTAAFPPQTGFIPPPSSGTSSETNTSTSKNTIPEPFDPFGAIPINRFDGSDPFGAFNSNVGSSSIPAPPPTKSSVGSISTPSQNPQAASDFGGFVSSTVEAAAKDPFDFSSSNLGKTPLADPKTDASDFGAFVSHSDEAAKDPFDLSSSTNSGRANLTPPAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDLSDDKAPPSWTMGAAGSSLGMSGIPSSTQSGGIESLANYNKYQFGFK >LPERR04G19690.1 pep chromosome:Lperr_V1.4:4:18722410:18725355:1 gene:LPERR04G19690 transcript:LPERR04G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREPKPRLRWTPELHERFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQNKKTAGLELADSGFTAEGTSFSTGAPRRDPAEGNNTGERQLADALRYQVEVQRKLHEQLEVQKKLQMRIEAQARYLEEILEKAQKNISLDANGSANLASNTPQITDINLALSGFMDNATQLHEENNELVKAISDDKLKVNLGFQLYHVGSQESKDVKCTPKTEDLLLLGLNIRGGYELPSRGMKGCELDLKINQQTR >LPERR04G19710.1 pep chromosome:Lperr_V1.4:4:18728509:18732110:-1 gene:LPERR04G19710 transcript:LPERR04G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSALLRHVGGVRLFTATATSSPAAAARPFLASGDAVPGVWGMRLMSTSSVASTEEAAKAEAKKAGAEKKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDVFFQDEPRPEGGFWAKWMAESAEMRAKVAKLGSAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAVVCFTVFGCLILSKWGK >LPERR04G19720.1 pep chromosome:Lperr_V1.4:4:18734860:18736307:-1 gene:LPERR04G19720 transcript:LPERR04G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSVLLRQLGSRLFAAEPVYSGLAAARIFPARMASTSAAGADAKKDAAAAAEKPSVSADQQQNNKAIVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHVPKSLPDKLAYGMVKSLCVPRDLFFQRRHASHALLLETKA >LPERR04G19730.1 pep chromosome:Lperr_V1.4:4:18738410:18740561:-1 gene:LPERR04G19730 transcript:LPERR04G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTCRILSTGVDLRSSKVCELGMLNYRAKHVFYPSSNRKFRCHDDYYWASVFQVEYTEYFSGQTSYAVAEAPKEALPQNCRPDFGVAWSTTLKFKVNESYSCRYTLGSNKADIYSDRLFNCTSDEPSTIEMLKRIFVLSTAREYLHIVLSHMFSKSYISKDFNSIGLLGYAMAGVMAGFLSALFITILLRSLRGLFLALAGSAVSKHSIRVFACRFKRACLLVAYVSFVGWITLQYSKMIGLKELLLDYEVMERFL >LPERR04G19730.2 pep chromosome:Lperr_V1.4:4:18738410:18740561:-1 gene:LPERR04G19730 transcript:LPERR04G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTCRILSTGVDLRSSKVCELGMLNYRAKHVFYPSSNRKFRCHDDYYWASVFQVEYTEYFSGQTSYAVAEAPKEALPQNCRPDFGVAWSTTLKFKVNESYSCRYTLGSNKADIYSDRLFNCTSDEPSTIEMLKRIFVLFSKSYISKDFNSIGLLGYAMAGVMAGFLSALFITILLRSLRGLFLALAGSAVSKHSIRVFACRFKRACLLVAYVSFVGWITLQYSKMIGLKELLLDYEVMERFL >LPERR04G19740.1 pep chromosome:Lperr_V1.4:4:18747303:18748820:-1 gene:LPERR04G19740 transcript:LPERR04G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLQLKDMVLKFSGGSNKHHHYKAAAGGSPSFRSRSYRRPYPGFIDDSAFMTTTRPGVEAMYTRASAAAAHAAPPVRAAASTTTWDMARSKSNRGWHQDDGENYPGSGAAWIHSRIEEEAGDGGQVVAVVEDAVPREWMAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGVSTPTSSVDDSLLRESSFCSRGGSTQEIPVVTPAASSSLAKEPIARSMSCKAMAAGTSLSASACYPSAAVPDPSDHVWAHHFNMLNSAAGAGSSSAAGGGGPSFYDPSRGTTSSRDEASVSISNASDMEAAEWIEQDEPGVCLTIRELGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIQAQYL >LPERR04G19750.1 pep chromosome:Lperr_V1.4:4:18760924:18765223:-1 gene:LPERR04G19750 transcript:LPERR04G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTAVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERTLLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIILSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLEAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHVQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID >LPERR04G19760.1 pep chromosome:Lperr_V1.4:4:18767263:18770542:-1 gene:LPERR04G19760 transcript:LPERR04G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRSAPSSIFPFCRSSPLSAVGEEAQQHMMGARWMAARPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQQLVAPHSQPPSAAPAAAAFQNHSLYPAIVNGGGGGGGGGGGGGSFGLGSNAQLHMDNAASYSTAAGAGNKDFRYSAYGVRPLADEHSPLMTGAMDSSIDNSWRLLPSQTSTFSVSSYPLLGNLSDLDQNTICSMPKVEREPLSFFGSDYVTVDSEKQENQTLRPFFDEWPKARDSWPELTDDNSLATFSATQLSISIPMATSDFSTTSSRSPNGIYSR >LPERR04G19770.1 pep chromosome:Lperr_V1.4:4:18782643:18784317:-1 gene:LPERR04G19770 transcript:LPERR04G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTQKREQEALTFEEVYIAVLCVYNDINKFLPGPHYDPPSKEKLKAMMNEYDINLDGLLDREEFAEFIRKLTAESLCAISLKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTEGIE >LPERR04G19780.1 pep chromosome:Lperr_V1.4:4:18785013:18788036:-1 gene:LPERR04G19780 transcript:LPERR04G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFGRLWDRAQGKLYVVRICENVFDELADKNKLLLDINSIHVATLMVYNSINKQLAGPHKDPPCMNDVAKIVERYKKEEGKAEGITLDEFKSLIMEWVHKDLRLVLANKAAVAIMAAPLLAVTAKNAGRKVPRVGDAVEKVPTPLLFTVFSIGLMFLQDIRAGKRLKEIFP >LPERR04G19790.1 pep chromosome:Lperr_V1.4:4:18788177:18790366:1 gene:LPERR04G19790 transcript:LPERR04G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLKKKFDPSTASNSTSVSPSEEMGTPEKTEMEQVSSDLQSLKTLYGLLHRGPVDELLDETSKAFLTRILDYATQQILLRQAKMLSPALERRLSIQPDRRTAVAVAGDAVPCLKPIASFSPSLHASEKSSVMRVQGGTVRSRDNRLLLARLASNRSSRTATPNRRQSPQQQRLDHSSISATPQRGAVPRRVDRRGGEPRLLRRAASRVGAEGSSTRRLGRQVSVLSMSVAPRRGGSRRAGSGDAAAAATSLSLSSSSSTDDAAVTIRSRIRPNKELITERSLRRGGGEEAAEGVSTRRRRGKGIADDDDDADSVSYRPRRALNRINSGSTYSTTSSSPPPRVASRPRGIIPNSRPEYNFDASDRRRRERRERRVARLRMIKDKFATMFHHRHDHHHHLHPYGYYPSGSKGGGHHRMSPWRLLGPGGVFHRVKKTMANAKAIRRGGGGRGLFGGALPRAWGKRRALWGGAGMMRRNGSRRLKGKKLRWWPRMRRRRRFGFGKLV >LPERR04G19800.1 pep chromosome:Lperr_V1.4:4:18792733:18793590:-1 gene:LPERR04G19800 transcript:LPERR04G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETQEKIAQLKQQAASISPEVIQMLLRYVTTVKN >LPERR04G19810.1 pep chromosome:Lperr_V1.4:4:18794078:18794499:1 gene:LPERR04G19810 transcript:LPERR04G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEMEIGTACRGRGRCLPDGDRYCSAQAASELARTRADRLARGLQSLITIWPITRGN >LPERR04G19820.1 pep chromosome:Lperr_V1.4:4:18794738:18797102:1 gene:LPERR04G19820 transcript:LPERR04G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAAARAVAAAGRPAQGRLVASSSARPPRRRAAGSVRCMARRPDSSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIETLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >LPERR04G19830.1 pep chromosome:Lperr_V1.4:4:18797228:18800077:1 gene:LPERR04G19830 transcript:LPERR04G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANFLATVQQPPARLLAAPRGTASTVSSPARRHAHIQVCCHATAKEEALQFRRRDLIGGCLTTAVGLEIVEASTGFTGVATAADLIERRQRYDFQSSIKSTLAAAITAKKELLPSLLTLALNDAMTYDKATKTGGPNGSIRLRPENSGLSAALDLVVEAKKEIDSYSKGGPIAFADLIQYAAQSALKLTFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDAQEPDPEGRVPDWSKASVQEMKDKFIAVGLGPRQLAVMSAFLGPDQAAIEEQLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKPKVDLGKLKL >LPERR04G19830.2 pep chromosome:Lperr_V1.4:4:18797228:18801252:1 gene:LPERR04G19830 transcript:LPERR04G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANFLATVQQPPARLLAAPRGTASTVSSPARRHAHIQVCCHATAKEEALQFRRRDLIGGCLTTAVGLEIVEASTGFTGVATAADLIERRQRYDFQSSIKSTLAAAITAKKELLPSLLTLALNDAMTYDKATKTGGPNGSIRLRPENSGLSAALDLVVEAKKEIDSYSKGGPIAFADLIQYAAQSALKLTFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDAQEPDPEGRVPDWSKASVQEMKDKFIAVGLGPRQLAVMSAFLGPDQAAIEEQLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKPKVDLGKLKL >LPERR04G19840.1 pep chromosome:Lperr_V1.4:4:18800222:18802703:-1 gene:LPERR04G19840 transcript:LPERR04G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPPPPLHPLLSHPRLLPVAVLLPNNHPNRRRCRLTPKAKQLGIGVAVARRCDRPTETPPRRGGWRWWAPSAAAAVLHMAVYFVVLLFPNPARARVGALPPPPAVGAVEAAAAEEEEDDEEWKVALEQWKSKTYSLSVPLRVIALRGSFPPAWIKDFVEAQGKRLKFSPDFRTSLDALYSEMSQCLDKSQLQPKSAMAADVVSVGDSWLGYAIRKGLVEPVKNAEEQDWFRSLSDRWKIHLCRNQNGDVDPNGSIWGVPYRWGTLVIAYKKNKFKRHNLKPIQDWEDLWMPELAGKISMVDSPREVIGAVLKYLGSSYNTNDMESEVNGGRDRVLESLTQLQKQVQLFDNMNYLKSFGVGDVWVAVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPGASPLFLENPMPEVPQERNKRKPKLDSNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLISRMQRPHRGLFGNVLQKISSVLNFKSRV >LPERR04G19850.1 pep chromosome:Lperr_V1.4:4:18803631:18806131:-1 gene:LPERR04G19850 transcript:LPERR04G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYGGGEGGRDERVPQWGAQETRELIAARGEMEREAAAPAAAGRRSARTLWEAVSSRLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVQKKLKRPSGNLSSEDSDDKEDDGEESGDEKPIRSKKRKSSDKRQQSQRMADKFGTGISSMHELLQDFLAQQQHMDIQWHKMMERRSQERLVFEQEWQQSMQKLEQERLMLERTMMEREEQRRMREEARAEKRDALLTTLLNKLLHEDI >LPERR04G19860.1 pep chromosome:Lperr_V1.4:4:18807083:18810901:-1 gene:LPERR04G19860 transcript:LPERR04G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRAHALLEKPTRKRKNKGKEREVDSNRNGSERPRVHRIGSSPREAPGVVSSSSSILTSRCRLVLPSPSASCLSPPRSRSRSRSRRRSAPPMSSSSPTARLPLRAAPPPLCRRRGGREWRSAGPRTPSPALVVSRPLACRAPASYSYHSALLLHRRRHHALLPPVAATATSKPVLKDPKKYQEWDSMTAKFAGAANVPFLLLQLPQIILNSRNLLAGNKTALFAIPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVIMARMGKLSKGGTKIVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVFIRDLMWFTGSAWASFLQGWGNLACMYCFNTISRESFLATTFGLLLWLGFTLWRDTIAHGNSSPMTSLKELLFGK >LPERR04G19870.1 pep chromosome:Lperr_V1.4:4:18811657:18814873:1 gene:LPERR04G19870 transcript:LPERR04G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLPAVVTGQAERLILNLSLTFSQSRSAMAARAAMVVLVLGIVVSSAKAADVDMVFLKSAVSKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCRNADECSVRKGNFRGSSKFMRPLSFSGILGGIQKYNPDFYNWNRVKIRYCDGSSFTGDVETVETSTNLHYRGARVWNAIIEDLLARGMNKARNALLSGCSAGGLAAILHCDRFRDLLPATAKVKCFSDAGYFVDGKDITGNNFVRTFYKDVVNLHGSAKNLPASCTSKLSPEMCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKNWAQCKLDIKSCSSSQLTTLQNFRTDFLAALPKPGQSPPSLSMFIDSCYAHCQSGSQDTWLAEDSPTVEKMQIGRAVGDWYHDREVSRRIDCPYPCNPTCKNRDDD >LPERR04G19870.2 pep chromosome:Lperr_V1.4:4:18811657:18814953:1 gene:LPERR04G19870 transcript:LPERR04G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLPAVVTGQAERLILNLSLTFSQSRSAMAARAAMVVLVLGIVVSSAKAADVDMVFLKSAVSKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCRNADECSVRKGNFRGSSKFMRPLSFSGILGGIQKYNPDFYNWNRVKIRYCDGSSFTGDVETVETSTNLHYRGARVWNAIIEDLLARGMNKARNALLSGCSAGGLAAILHCDRFRDLLPATAKVKCFSDAGYFVDGKDITGNNFVRTFYKDVVNLHGSAKNLPASCTSKLSPEMCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKNWAQCKLDIKSCSSSQLTTLQNFRTDFLAALPKPGQSPPSLSMFIDSCYAHCQSGSQDTWLAEDSPTVEKMQIGRAVGDWYHDREVSRRIDCPYPCNPTCKNRDDD >LPERR04G19870.3 pep chromosome:Lperr_V1.4:4:18811791:18814873:1 gene:LPERR04G19870 transcript:LPERR04G19870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAMVVLVLGIVVSSAKAADVDMVFLKSAVSKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCRNADECSVRKGNFRGSSKFMRPLSFSGILGGIQKYNPDFYNWNRVKIRYCDGSSFTGDVETVETSTNLHYRGARVWNAIIEDLLARGMNKARNALLSGCSAGGLAAILHCDRFRDLLPATAKVKCFSDAGYFVDGKDITGNNFVRTFYKDVVNLHGSAKNLPASCTSKLSPEMCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKNWAQCKLDIKSCSSSQLTTLQNFRTDFLAALPKPGQSPPSLSMFIDSCYAHCQSGSQDTWLAEDSPTVEKMQIGRAVGDWYHDREVSRRIDCPYPCNPTCKNRDDD >LPERR04G19880.1 pep chromosome:Lperr_V1.4:4:18815624:18818071:1 gene:LPERR04G19880 transcript:LPERR04G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRCAVSLPTAAANGAAGEGGGGGGGRRKAQPPALAAQVKRLCKHGRVEHARRLLLESLPRPPPPLLCNALLIAYAARSMPEEALRLYALLNHAARPPPRSDHYTYSCALTACARSRRLRLGRSVHAHLLRRGRSLPADTAVLRNSLLNLYASCVRHHHYHRDDGGAARRVDVVRRLFDEMPKRNVVSWNTLFGWYVKTGRPQDALEMFVCMLEDGFRPTPVSFVNTFPATVAADPSWAYSLYGLHLKHGVEYVSDLFVVSSAIDMFSQLGDLQSARRVFDRAAKKNTEVWNTMITGYVQNGQFSDAIDLFRKIMRSRKVSLDVVTFLLALIAASQSQDVSLGQQLHGYLIKKMHSTLPVMLGNALVVMYSRCGNVQSAFQLFDQLPEKDIVTWNTMVTAFVQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSCKNAKRDEVTWNAMIAGYTQSGQPEKAVLVFRAMLEAGLEPTSVTLASVLPACDPIGGGVCTGKQIHCFAVRRCLDTNVFVGTALVDMYSKCGEISTAENVFGSMEGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGIKPDAVTFLSVISACNYSGLVDEGLALYRSMDTFGLSATPQHHCCVVDLLAKAGRVEEAYEFTERLGEEGNFVAIWGTLLASCKTQGKQELAKLVTEKLLDIEKQYGHPGYNVLLSQVLAGESNWSSADSLRKEMRARGLKKEAGSSWIKIQNAALQHSFIEKEQNYLENVHIFSILDGNTDSMDGII >LPERR04G19890.1 pep chromosome:Lperr_V1.4:4:18818903:18823451:-1 gene:LPERR04G19890 transcript:LPERR04G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGYTRPPPLADGASATSTALYVANCGPAVGLTHDDIRAAFGVFGEVAGVNDADGSGARVIVRFREPDAAEAAMAALHGRPCAGLGGRVLHIRYSVPAKPKGPVGGSIPVATSASELGIPGIYLVEEFVTAAEEQELLAAVDNRPWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPPFVSKVLDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMTSGTDKDSIQEPQCIRKAVFLPPRSMLLMSGQGRYAWHHYIPHHKSK >LPERR04G19900.1 pep chromosome:Lperr_V1.4:4:18824497:18831206:-1 gene:LPERR04G19900 transcript:LPERR04G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAPPWKPSVSTVFRRFAGPAASGRSPEASLPSPSSSGNGVTARISNLHGVKRKPFVARLTADIIQTFVRCNPEFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFIVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRALNQKFDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMIVMGDAGIIHCDLKPENILLTPSVTTDAAVKVIDFGSACMEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDYLLREAKNSAKFFKHIGSIYHGNGVHDGIGNSYRMLTEEEIESRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKTERVMLVDFLKGLLKFDPNKRWSPLQASCHPFITGEPFTGPYEPIPETPKIPVARAVAVEHNPGGGHWVAAGLSPQVGSLNRSLPPNNPYPPRIPYSYGSSYGSFGSHGSYVGNAGLPSSYGSFGDANAVNMYYSPLGPGFKQIESSPDVRFRPRFSHDRGIRLSPGSMGPMSLGVSPSQYTPPNYQMQIPANSPGMHGSGSPASGGIHGSPLGKTPSGYSKRRGLPMPPHEYPSQHGQGHHGDGISFSHSDASVPGHPGYSQNSMPSSGYSNWRPPISSFSLEASSSHGPSQAFHSHFALRMQMHDNLSDTSAPSTHDNADWDPYFSYIKINGYAVQSCSDDSLLQEDNSLSADLSSCVHLGDSSKQTSGSARASNVQSHVFVSSNPLPAGERSVISHIVSWVTSREYSLHWSY >LPERR04G19900.2 pep chromosome:Lperr_V1.4:4:18824497:18831206:-1 gene:LPERR04G19900 transcript:LPERR04G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAPPWKPSVSTVFRRFAGPAASGRSPEASLPSPSSSGNGVTARISNLHGVKRKPFVARLTADIIQTFVRCNPEFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFIVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRALNQKFDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMIVMGDAGIIHCDLKPENILLTPSVTTDAAVKVIDFGSACMEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDYLLREAKNSAKFFKHIGSIYHGNGVHDGIGNSYRMLTEEEIESRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKTERVMLVDFLKGLLKFDPNKRWSPLQASCHPFITGEPFTGPYEPIPETPKIPVARAVAVEHNPGGGHWVAAGLSPQVGSLNRSLPPNNPYPPRIPYSYGSSYGSFGSHGSYVGNAGLPSSYGSFGDANAVNMYYSPLGPGFKQIESSPDVRFRPRFSHDRGIRLSPGSMGPMSLGVSPSQYTPPNYQMQIPANSPGMHGSGSPASGGIHGSPLGKTPSGYSKRRGLPMPPHEYPSQHGQGHHGDGISFSHSDASVPGHPGYSQNSMPSSGYSNWRPPISSFSLEASSSHGPSQAFHSHFALRMQMHDNLSDTSAPSTHDNADWDPYFSDDSLLQEDNSLSADLSSCVHLGDSSKQTSGSARASNVQSHVFVSSNPLPAGERSVISHIVSWVTSREYSLHWSY >LPERR04G19900.3 pep chromosome:Lperr_V1.4:4:18824115:18824494:-1 gene:LPERR04G19900 transcript:LPERR04G19900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIALQIIPNKIPEFGMGNHIFSSDTIRQPPLIVILGVVTTTRNLLGPVIAWAMECPGEERVVIHLPQVGCLHHFQEKIMGASSSADPEQCDSLLAT >LPERR04G19910.1 pep chromosome:Lperr_V1.4:4:18833510:18837046:1 gene:LPERR04G19910 transcript:LPERR04G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPPAAAEASPPPHPTARALGPIAAAFAQIPPVAMAARAQAWQFAAALVFFHGSEYVLAAAFHGRHNVTATSLLISKQYVLAMSFAMLEHLTEAILFPGLKEYQFVSHVGLLMVIVGEVIRKLAVVTAGRSFTHVIRIHYEDQHHLITHGVYSRNPGYVVQSSIHSCIHIGVVEILFKTDTTRYEEFFLRQFFGREYEDYAQKH >LPERR04G19910.2 pep chromosome:Lperr_V1.4:4:18833593:18836182:1 gene:LPERR04G19910 transcript:LPERR04G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPPAAAEASPPPHPTARALGPIAAAFAQIPPVAMAARAQAWQFAAALVFFHGSEYVLAAAFHGRHNVTATSLLISKQYVLAMSFAMLEHLTEAILFPGLKEYQFVSHVGLLMVIVGEVIRKLAVVTAGRSFTHVIRIHYEDQHHLITHGVYSRNPGYVVQSSIHSCIHIGVVEILFKTDTTRYEEFFLRQFFGREYEDYAQKVHSGLPFIE >LPERR04G19920.1 pep chromosome:Lperr_V1.4:4:18838057:18843581:1 gene:LPERR04G19920 transcript:LPERR04G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPPKSPGLQAPSVERAKGPTGLEKVVLREERGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGSLKKHGFARNRFWVIDDNPPPFPTSTATKAFVDLILKPSEEDLKIWPNSFEFRLRVALGPGGDLVLTSRIRNTNTDGRTFSFTFAYHTYFSVSDISEVRVEGLETLDYIDYMRGKERFTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTYVVRKDGLPDAILWNPWDKKAKNVQNFGDVECKHMLCVEPAAVEKPISLKPGEEWKGKMELSAVPSSYCSGQLDPSKDVFLCSSVQFLWWISCELGSNATVIVAAGTLKALIYYLVLWQFG >LPERR04G19930.1 pep chromosome:Lperr_V1.4:4:18845698:18847241:-1 gene:LPERR04G19930 transcript:LPERR04G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARTKASNCCTRHGCSSKHLAGKERQTQRAATAIKEASETPRRSQLFRKPNTRTQGSTSDSISRNAANSNTENDNRPRETPGRDLITRLKERVNASRKRSLNRESSPSSPNGLSATSSSSSRMVSRPSHRAASRIRKADEGANAGAVNVHRDNSGDTRRNSDRDVDDFLLVEQAARESTEGFISGFLARYRSTHQGRFSSLEDGIEDANGYWRFDMEGNEELENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTELMKIVTENAAYARQVNFHQEIVRVTEEYSAGEEVGKMNWLRQKNWCPICKSVALKTN >LPERR04G19930.2 pep chromosome:Lperr_V1.4:4:18845698:18847241:-1 gene:LPERR04G19930 transcript:LPERR04G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARTKASNCCTRHGCSSKHLAGKERQTQRAATAIKEASETPRRSQLFRKPNTRTQGSTSDSISRNAANSNTENDNRPRETPGRDLITRLKERVNASRKRSLNRESSPSSPNGLSATSSSSSRMVSRPSHRAASRIRKADEGANAGAVNVHRDNSGDTRRNSDRDVDDFLLVEQAARESTEGFISGFLARYRSTHQGRFSSLEDGIEDANGYWRFDMEGNEELYSVSQLENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTELMKIVTENAAYARQVNFHQEIVRVTEEYSAGEEVGKMNWLRQKNWCPICKSVALKTN >LPERR04G19930.3 pep chromosome:Lperr_V1.4:4:18845698:18847241:-1 gene:LPERR04G19930 transcript:LPERR04G19930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARTKASNCCTRHGCSSKHLAGKERQTQRAATAIKEASETPRRSQLFRKPNTRTQGSTSDSISRNAANSNTENDNRPRETPGRDLITRLKERVNASRKRSLNRESSPSSPNGLSATSSSSSRMVSRPSHRAASRIRKADEGANAGAVNVHRDNSGDTRRNSDRDVDDFLLVEQAARESTEGFISGFLARYRSTHQGRFSSLEDGIEDANGYWRFDMEGNEELENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTEEYSAGEEVGKMNWLRQKNWCPICKSVALKTN >LPERR04G19940.1 pep chromosome:Lperr_V1.4:4:18847312:18849091:-1 gene:LPERR04G19940 transcript:LPERR04G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRTTKKGKKNKAGCPSGPTCQCLFRSTRASIRTASYRAGSWVVGAPRVVNGGRRRRKKGDYTSVTARQNGYSLVRRSSKYIDYLLLEFMIIVCRTYRYTPSV >LPERR04G19950.1 pep chromosome:Lperr_V1.4:4:18853146:18857278:-1 gene:LPERR04G19950 transcript:LPERR04G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRQKVVFPARRAWAAVSTRVRARKTGSGGSILKLHEDVQTCGYNDVQLSGVPLPICPAKERKISAAKSHELCHNHQINLYILHDGRAEFSQTLEKKME >LPERR04G19960.1 pep chromosome:Lperr_V1.4:4:18861270:18868135:-1 gene:LPERR04G19960 transcript:LPERR04G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMKDVDPTFRGVGQKDGLEVWRIENFKPVTVPTSSHGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGSETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVTEVPFARSSLNHEDIFILDTKNKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKTSSVDNEDEKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQERKGASEAAEKLLIDGNLKISNVAKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPIEEEPQPYIDCTGNLQVWRVNGDGKTLLSHSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSIEEERTSAISLASKMVQAAKFQAVQARLYEGKEPIQFFVIFQSLQVFKGGLSSAYKNFISVNGIDDDTYVEAGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHDGSTVFTWTGNLTTSLDNDLVERQLDVIKPDLPSRSQKEGKETDQFWELLGGKSKYSNQKIGKEHESDPHLFSCILSKEDIFVLDCHSDLFVWVGQEVDAKLRSQAMDIGEKFLLHDFLMENLSQDTPIFIVTEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGAAPSLDKPKRRTPAFSGRNTGQDKSQRTRSMSHSPERHRVRGRSPAFTAIASAFENPSTRNLSTPPPAVKKLFPKSGGSDLSKTTPKKLAISALTSAFEDPMKSTIPKSVKASPEAEKAIQEEGSMIGASENDPDDDEGGTIYPYERLITTSDDPAPDIDVTKREVYLSSGEFAEKFGMTRASFNNLPKWKQNRLKTDLQLF >LPERR04G19970.1 pep chromosome:Lperr_V1.4:4:18869458:18870564:-1 gene:LPERR04G19970 transcript:LPERR04G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVHHLLACLTAFALIAAAASPVTGDPLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFRSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYKLWFDPTKDFHTYSVIWNPDEILILVDNIPIRQFKNHLDDGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTAEGCVPSTYTWVCGQGPAASGNWFDRGLDDVKQQQQLREAQDKYMIYNYCTDAKRFPDGYPKECGLQ >LPERR04G19980.1 pep chromosome:Lperr_V1.4:4:18872912:18875306:1 gene:LPERR04G19980 transcript:LPERR04G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIRYIWFRSGSDPFFIASYRSPLFISLLTFPLSIPDHRSPDFLSSIRGTRRGEQDRTNEEAGRRVFGRAADPGRRRRTAGGRCYGRSGSAGDDNRDHSIRQRRTKMDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRYVTTVPSDENTAT >LPERR04G19980.2 pep chromosome:Lperr_V1.4:4:18872912:18875524:1 gene:LPERR04G19980 transcript:LPERR04G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIRYIWFRSGSDPFFIASYRSPLFISLLTFPLSIPDHRSPDFLSSIRGTRRGEQDRTNEEAGRRVFGRAADPGRRRRTAGGRCYGRSGSAGDDNRDHSIRQRTKMDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRYVTTVKN >LPERR04G19980.3 pep chromosome:Lperr_V1.4:4:18874010:18875524:1 gene:LPERR04G19980 transcript:LPERR04G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRYVTTVKN >LPERR04G19990.1 pep chromosome:Lperr_V1.4:4:18876595:18877619:-1 gene:LPERR04G19990 transcript:LPERR04G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAALVVAIVLGLCVGGALATGRIDEGLEVMWGDGRGSVSPDGNVMTLSLDRTSGSGWRSRNTYLFARVDLQIKLVANNSAGTVTTCYLMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREVKNHADKGIPYPSWQRMRLYGSLWNADDWATQGGRVKTDWSQAPFVAQYRNFTASSSAPVGYDQEMDANAQQAMRWARDNYMVYDYCADGKRFTQGFPPECYMP >LPERR04G20000.1 pep chromosome:Lperr_V1.4:4:18881302:18884106:1 gene:LPERR04G20000 transcript:LPERR04G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAASSTKLCSLLLLAITLFLAAMVNQSEAGIFDEIELIWGASRTFFFMDGDSEALALSLDQNQGSCFRSREKYLYVQIDVEIKLIDGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNTTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKHILILVDGKAIRDFKNNEDQGVGFPTWQAMRTFGSLWSAEDWATQGGRVKTDWTQAPFVSYYRNYNVTWCRPSPGVAWCGDEHKDSTHFDLDQKTLSDLQWVRDNSIIYDYCHDNVRFNATTIPKECTLQ >LPERR04G20010.1 pep chromosome:Lperr_V1.4:4:18883738:18887045:-1 gene:LPERR04G20010 transcript:LPERR04G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALGQQRGRPALVIVVVLVVAWCCVDGCWGARGRGFHEEFDVIWGEDHVRVTDEDGAGRQVVALTLDQSSGSGFQSNDQFLFGEFSIEMKLVTGESPGTVATFYLTSEGEAHDEIDFEFLGNVSGEPYVMHTNVFAQGKGDREQQFYLWFDPTADFHNYTILWNPLNIIFSVDGKPVREFKNHEAAGVPYPSNQAMRVHASLWNGDFWATRGGQVKINWTASPFVASYRAYTYSACAVPAAGGGGGGPCSSSGRQVNSTAAGAAMPSTCDSGGAWMGKQLGTDGERDVAWARANYMIYDYCGDQWRFPQGRPAECILDQRT >LPERR04G20020.1 pep chromosome:Lperr_V1.4:4:18897124:18899105:1 gene:LPERR04G20020 transcript:LPERR04G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRADDFQEAAAAGLRSLELLVSSLSSSSSSHPSPALPPPQPFGEIAGQTFSKFRKVISILDRTGHARFRRGPVESSSSATASAASPPPPVAAPPPPPAPVVAAVAPLAIGPTSSSQPQSLTLDFTKPNLMAMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGGHSEATANGSRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPAGPLPAPPVSAAAAAMPLAVAAAVSAGNGPV >LPERR04G20030.1 pep chromosome:Lperr_V1.4:4:18902952:18903997:1 gene:LPERR04G20030 transcript:LPERR04G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPLRLPCSAAATLPNASVLFPRAATPRFPIRPISPRANMSAAGASTPDAAASSTGGEAGKEAAEDVVVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACSTCIEILRVTLEVKGETQLKNLAEKLEAAGVRHKLWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >LPERR04G20040.1 pep chromosome:Lperr_V1.4:4:18904660:18908802:-1 gene:LPERR04G20040 transcript:LPERR04G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGEKRRRGHLNPAGFAGGLHDHEEKKNEEHKLDMSGMSMDTLPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLQSLPNTIEECRALEELHANFNELTRLPDTLGFELHNLRKLCVNSNKLANLPFSTSHMTSLRVLDARLNCLRSLPDGLENLINLEALNVSQNFQFLRELPYAVGLLAALRELDVSYNSISVLPDSMACLTKLVRFSAVGNLLVSPPMDVVEQSLDAMRAYLTARMNAGDGKTRKKNGWIPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRIRCCCYSCKYIKALHTSEDDAEDK >LPERR04G20040.2 pep chromosome:Lperr_V1.4:4:18904660:18908419:-1 gene:LPERR04G20040 transcript:LPERR04G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRVFSRYNEGFDQFLPVISEVEKLGNMREMGEKRRRGHLNPAGFAGGLHDHEEKKNEEHKLDMSGMSMDTLPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLQSLPNTIEECRALEELHANFNELTRLPDTLGFELHNLRKLCVNSNKLANLPFSTSHMTSLRVLDARLNCLRSLPDGLENLINLEALNVSQNFQFLRELPYAVGLLAALRELDVSYNSISVLPDSMACLTKLVRFSAVGNLLVSPPMDVVEQSLDAMRAYLTARMNAGDGKTRKKNGWIPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRIRCCCYSCKYIKALHTSEDDAEDK >LPERR04G20050.1 pep chromosome:Lperr_V1.4:4:18917559:18929765:-1 gene:LPERR04G20050 transcript:LPERR04G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGAGSARRRSSGGGSWGSIGSAADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQREEVRRKIRAQAHVIAAAFRFKEAGRVHIQPREVEAPEVDGALGFGIKEEQLTALTRDHNYSALQQYGGISGVAGMLKTDTDKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAISLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRILVSIYDLVVGDIVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVAVAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGIKMDPPDNVQVLSATVSSLIIEGIAQNTSGSIFEPENGKDPEVTGSPTEKAILSWGLKLGMRFNDTRAKSSILHGGSEVHVHWKGAAEIILDSCKSWIAADGSKHSMTSEKMSEFKKFIEDMAALSLRCVAFAYRTYGMDDVPNEDQRADWILPEDDLILLGIVGIKDPCRPGVQDSVRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNIMWRNLIIMALFQVTVLLTLNFRGISLLQLKNDNKAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLAGHWHLWESLSLSQRDHSEISLHVVAQGVNKLLMPREMKLITTMYEATARHGGKFVSPCIPET >LPERR04G20050.2 pep chromosome:Lperr_V1.4:4:18918186:18929765:-1 gene:LPERR04G20050 transcript:LPERR04G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGAGSARRRSSGGGSWGSIGSAADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQREEVRRKIRAQAHVIAAAFRFKEAGRVHIQPREVEAPEVDGALGFGIKEEQLTALTRDHNYSALQQYGGISGVAGMLKTDTDKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAISLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRILVSIYDLVVGDIVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVAVAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGIKMDPPDNVQVLSATVSSLIIEGIAQNTSGSIFEPENGKDPEVTGSPTEKAILSWGLKLGMRFNDTRAKSSILHGGSEVHVHWKGAAEIILDSCKSWIAADGSKHSMTSEKMSEFKKFIEDMAALSLRCVAFAYRTYGMDDVPNEDQRADWILPEDDLILLGIVGIKDPCRPGVQDSVRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNIMWRNLIIMALFQVTVLLTLNFRGISLLQLKNDNKAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLAGHWHLWESLSLSQRDHSEISLHVVAQGVNKLLMPREMKLITTMYEATARHGGKFVSVGF >LPERR04G20060.1 pep chromosome:Lperr_V1.4:4:18939436:18943091:1 gene:LPERR04G20060 transcript:LPERR04G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREELWAAASKEKAVHEKKKSVESRKLIFARAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >LPERR04G20060.2 pep chromosome:Lperr_V1.4:4:18940570:18943091:1 gene:LPERR04G20060 transcript:LPERR04G20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREELWAAASKEKAVHEKKKSVESRKLIFARAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >LPERR04G20070.1 pep chromosome:Lperr_V1.4:4:18943294:18944622:-1 gene:LPERR04G20070 transcript:LPERR04G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPSSDSRHHGSSRSSKRLSFSSSSSSSERTEEHPSSSSSSRHVSRRTDKRPSSSGRRHHDKGRMEERRHSSPARRHGSGRTAVNRHENPPPPPPAPRRRKRHLYLVMDDWEEGYGIYKVDVDTFDPDAEFDSDSEAECEARPRRQASPLVRVETPINFSRHVTAHGSKILAMLPSPGDAMPGIPTFDAVTRAMTVCPWPENVGTRFQPFCMSVGDRLFRLCNPWFHVLGPQPSPPRSGQRAEPWSWTEISNHNKRSMPPFASDRVSAYTVWVSVENPVVDNERDEGYVGDRNSTFAFDTERLEWTLVGEWLMPFEGQAHYVGELDAWVGLSRVHREHLCCCPNDGDGPWGEGPFTSRVGPEVMFRAESVCRPAAKLLYMGKSRFCMVESNVHKDVEDVERSRREYWENFPRWAPRDGKGVEVRRTTAIRMTTFRV >LPERR04G20080.1 pep chromosome:Lperr_V1.4:4:18946324:18948027:-1 gene:LPERR04G20080 transcript:LPERR04G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSEHRCWHETFVPSTTMFTFGHGVGSGGDDSRTMPVRVPWQSHQNKRACKWVCSEPLISGGQRGHGAHMRHLQADLYLVMDDWEEGYGIYRVDVDTFDPDAEFDSDSEAECEARPRDLGGVPSGRKFSAAVPHISACTTPPTIMPRVRFHTISNRTCPSP >LPERR04G20090.1 pep chromosome:Lperr_V1.4:4:18952890:18953105:-1 gene:LPERR04G20090 transcript:LPERR04G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCLKTKRNYSCSRCLRRLVTLYT >LPERR04G20100.1 pep chromosome:Lperr_V1.4:4:18956356:18956745:-1 gene:LPERR04G20100 transcript:LPERR04G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPPPRVPIASNVAAATPYAPPPSCIARRTGPLPPCRPQRLQLPRRFASHKRRRLATCALTPLAKSSRRRRPRIRSQECRIRPQRCRIWLSAPRSFEASSLPWLRPNYHKHEMDGDEPVPIIDFAKV >LPERR04G20110.1 pep chromosome:Lperr_V1.4:4:18957648:18964701:-1 gene:LPERR04G20110 transcript:LPERR04G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSGVWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREHESEISRLADEINRLHDQLRKAGVHLDENPISDKISREKLVEIDPINNQRREKVKEAMVHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVAESLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKIFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPTDGLLPIYINPHSGTTSYSTITFGAMGDRQMWETSMEGLVSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEDTQKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNGNSKAHSVETSPKMMFHS >LPERR04G20110.2 pep chromosome:Lperr_V1.4:4:18958088:18964701:-1 gene:LPERR04G20110 transcript:LPERR04G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSGVWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREHESEISRLADEINRLHDQLRKAGVHLDENPISDKISREKLVEIDPINNQRREKVKEAMVHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVAESLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKIFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPTDGLLPIYINPHSGTTSYSTITFGAMGDRQMWETSMEGLVSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEDTQKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNGNSKAHSVETSPKVRPFGRKQGKQE >LPERR04G20120.1 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIGFWNLPENCRTSYFLLGLNYFLLTAKAAQEGLETDRQRSPYIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDYFGHIPTSKMMTIAAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20120.2 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIGFWNLPENCRTSYFLLGLNYFLLTAKAAQEGLETDRQRSPYIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20120.3 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAQEGLETDRQRSPYIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20120.4 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAQEGLETDRQLCYIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20120.5 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIGFWNLPENCRTSYFLLGLNYFLLTAKAAQEDIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20120.6 pep chromosome:Lperr_V1.4:4:18967196:18975302:-1 gene:LPERR04G20120 transcript:LPERR04G20120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSKDRTAAKKRGRLRVLLDSAYGDSGRDDYFSALRLVLPGLDRERGSYGLKEAALASALVDALGIAKDSPDAVRLTNWRRGGSFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDHLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLNGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAAGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIDIAFDILYAGDTSIIHQSLIERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHNIDDVEKFFKDTVDNREEGIVLKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKYEYPKKPPRFYEVTNHSKERPDVWIESPDKSIIMSITSDIRMIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVNSSNGTTHRAADDDNGLKNVNLKPSKTNKKVEKKNVSIIPSHLMKTDISGLKGETLIFANSMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKQLLHLQPKYILFLADFARHKFPEEIDSYADYFYCDIDISDLKQIFSNMDKADVDSTMVQRYKKKYCADKRFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQTYNFDMLYKSLPPADRRYLHDKRLHVVSNKWLEDSVEKQTKLPESTYSLKPDTLEEIEIERSEEVVQPSINKHEKNEEIDRSQVKHGTRKRGRPSSSASRTAKPAPKPVRRTRARRGNQHAKIDDVELEEIDHGEAGQDGQIPDRDNTSKMEVDSLDRDQVPPRPARRTRARREKENPKVHYGESEESDPGETGQGDQKSDADYICKIEEDSSNRDQGPHQVAPRAARRSRVQRGKQHAKIDYGESEESGPGETGQDDKMLDTDSIVKMEQNTHDKDQEPPPGAQLITLDEQETKGLKWSTMETPSGPKHESNDTVLRTNTAEATSSSTTCDKMEQMVDPLRAMLLDMLPSLGLRKTGDGNKVPEAKYEKNPPWVGSSTSNYEAPVPQAASSASNSGVPAPHAGSSTQSTGFPAADPTAGAPKKKKVSYKDVADELLKDW >LPERR04G20130.1 pep chromosome:Lperr_V1.4:4:18978056:18979969:-1 gene:LPERR04G20130 transcript:LPERR04G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEKPKEKAPTICSAAMPYTGGDLKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVANAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQHSGPLPPMLPATGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKMRATSFSHNQAVTKITTEESFSITGSLSKLILVAVAVLFVLGLIAGVLILGAVHNAILLIVVLVLFGFVAALFIWNACWARRGAIGFVDHYLDADLRTAKDGQYIKVTGVVTCGNLPLESSYQRVPRCVYTSTTLHEYRGWDSKAANNHHRPFTWGLRSMEQHAVDFYISDFQSGLRALVKAGFGARVTPFVDESVVIDIDPDNKDRSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDNVLMIVPPPEPISTGCQWAKCVLPRDLYGLVLRCEDTSNIDVIAV >LPERR04G20140.1 pep chromosome:Lperr_V1.4:4:18990836:18991312:-1 gene:LPERR04G20140 transcript:LPERR04G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTLALARASALLPSSRRAAPIRGLSTKVEFIEIDLSEDAPSSSSTSAVEGDGDGGGSATGEMGMRRLEHAIHAVLVQRAAPEWLPFVPGGSYLVPEMRSGVADLVGALVQGRSAEAMTEEERMCLTTVRGWPSAAYFVDGEFGETCLTTLTQ >LPERR04G20150.1 pep chromosome:Lperr_V1.4:4:18991784:18999091:1 gene:LPERR04G20150 transcript:LPERR04G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSRAPHLSTAPPAAGEAAGEQIGFPQNGAPNAAPLMFPVMYPMFMNGMHPQDDQAQGPGIYAIQQNQFMGSTLIPLTYTVPTESVGAAAGAEQGQDARQQHGPQRQVVVRRFHFAFQLDLALIIKYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNIADPANNGQAAENQEPGAAAGNENQQEVEGEGNRRNWLGGIFKEVQLIVVGFVASLLPGFQHND >LPERR04G20150.2 pep chromosome:Lperr_V1.4:4:18991784:18999091:1 gene:LPERR04G20150 transcript:LPERR04G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSRAPHLSTAPPAAGEAAGEQIGFPQNGAPNAAPLMFPVMYPMFMNGMHPQDDQAQGPGIYAIQQNQFMGSTLIPLTYTVPTYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNIADPANNGQAAENQEPGAAAGNENQQEVEGEGNRRNWLGGIFKEVQLIVVGFVASLLPGFQHND >LPERR04G20160.1 pep chromosome:Lperr_V1.4:4:18995661:18997169:-1 gene:LPERR04G20160 transcript:LPERR04G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPWSCRCGLSRSPAKSRGAPAPSPPDKRSKYSGQSVRAMPMRVLTVGKKRSRGTQLIVEEYKEKLGYYCDIEDTLIRSNPKLTSDVKVQVEAEDMAMMQQLKPEDFVVVLDENGKDVTSEQVADLVGDAGNTGSSRLTFCIGGPYGLGVQVRERADATIKLSSMVLNHQVALIVLMEQLYR >LPERR04G20170.1 pep chromosome:Lperr_V1.4:4:18997734:18999109:-1 gene:LPERR04G20170 transcript:LPERR04G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVVEAPDVIRNKVSFSVFGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRE >LPERR04G20180.1 pep chromosome:Lperr_V1.4:4:19000433:19009524:-1 gene:LPERR04G20180 transcript:LPERR04G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKALIAAIFLLLLSSCFDQVVRCDVASASPSPSEQGQELQMLRSKVASLEDEISGRKEETLQLETVVKEKSAQIAALVSELDVLQVTSVADDDSVLKANAHNEMLQKQILRLGSDLEDQVKKGESLEARATEAEKSLLELTQKLDHAEKINIEQKQKIEELNHSLQQAKDKLSEVEREAKLNAEELMKVNVMWLPHWAVEFASDKWQLHGKPVLDYLMLKVSEKLAHAQRLVEPHMQTAHNKLVPAAKAHLNSLKNSTDVYASAITTRSTKAYRVFRDTIQPSVAKAQEFAGHYWQESKKLSTPYITHIVAASEPHLSRVGAVVEPYMRPVMSAWRNLVMSTSVCHRQVQRGIKHFINKNGLMKSDLADMMAWFTASALLALPMFYTYKMLSAAMWKKAVAAQGSGGSSKRKSPNRRRTQRAAAAAARHGVAGRPRPAVETGLELGAARPALLRLGSNRTEDQLWQFGIKDMKAVPMPRVRTCRKKHVCILLVALVSVATLWAYLYPPQHYTSPMKNWLPAEPVRELTDQERASQVVFKQILSAPPVKSRRSKIAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIGRDIHSEKVVWGKISMVDAERRLLANALEDIDNQHFVLLSDSCVPLHNFDYVYDYLIGTNISFIDSFYDPGPHGNFRYSKNMLPEVRESDFRKGSQWFSVKRQHALMIIADNLYYTKFKLYCRPGMEDGRNCYADEHYLPTLFHMMDPNGIGNWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQKPCLWNGVQRPCYLFARKFYPESINNLMNLFSNYTLF >LPERR04G20190.1 pep chromosome:Lperr_V1.4:4:19031001:19037808:1 gene:LPERR04G20190 transcript:LPERR04G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLRHMTVSSAEPSLQCPTELLIPSPSLTPHTHTLTHTHTHGNSAAASPWPAPGVCGVPGGALFAASARRGRSVSLTEGDDARPAIFSISIVIPEQPVVSSRVYRSQVMDFHHPQSLFNYPRKVNNEEC >LPERR04G20190.2 pep chromosome:Lperr_V1.4:4:19031001:19035361:1 gene:LPERR04G20190 transcript:LPERR04G20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLRHMTVSSAEPSLQCPTELLIPSPSLTPHTHTLTHTHTHGNSAAASPWPAPGVCGVPGGALFAASARRGRSVSLTEGDDARPAIFSISIVIPEQPVVSSRVYRSQVMDFHHPQSLFNYPRKVNNEEC >LPERR04G20200.1 pep chromosome:Lperr_V1.4:4:19032056:19034148:-1 gene:LPERR04G20200 transcript:LPERR04G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSLSICVAHSVKQSYSFLVYQSNPLVVQLIYFVIISFVGFLALKNLKPQGKPGTNDLDLMFTSVSALTVSSMATIEMEDLSDRQLWVLILLMLLGGEVFISMLGLYFNNADVNRNENRQRSLRSISMDIESNSPANNGDHNARGVLKSKEINMYTFCIFEEVSSFVNCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLSVWILGKISTKEEYAYILQHPEKTGYKHLHVQRKSVYMVLSVTGLALLQVMFICSFEWNSKTVEGMHWLQKLVGSLFQSVNTRQAGESVVDISTLSPPTLLLFAVIIYLPSDASFLTVNAENKPLTDNKRNSISRAMWRNFTVTKLACLAMFTFLACITERKSISADPLNFNIAFGNVGYSLGYICQKLFNPDVTCKAASYGFVGRWTREGKLIVILVMFLGRLKEFILKGGEA >LPERR04G20200.2 pep chromosome:Lperr_V1.4:4:19032056:19034148:-1 gene:LPERR04G20200 transcript:LPERR04G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSLSICVAHSVKQSYSFLVYQSNPLVVQLIYFVIISFVGFLALKNLKPQGKPGTNDLDLMFTSVSALTVSSMATIEMEDLSDRQLWVLILLMLLGGEVFISMLGLYFNNADVNRNENRQRSLRSISMDIESNSPANNGDHSNMECGQLEETISQNQVQQTKSITHNPCTVLARVVTDARGVLKSKEINMYTFCIFEEVSSFVNCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLSVWILGKISTKEEYAYILQHPEKTGYKHLHVQRKSVYMVLSVTGLALLQVMFICSFEWNSKTVEGMHWLQKLVGSLFQSVNTRQAGESVVDISTLSPPTLLLFAVIIYLPSDASFLTVNAENKPLTDNKRNSISRAMWRNFTVTKLACLAMFTFLACITERKSISADPLNFNIAFGNVGYSLGYICQKLFNPDVTCKAASYGFVGRWTREGKLIVILVMFLGRLKEFILKGGEA >LPERR04G20200.3 pep chromosome:Lperr_V1.4:4:19032056:19032568:-1 gene:LPERR04G20200 transcript:LPERR04G20200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNFTVTKLACLAMFTFLACITERKSISADPLNFNIAFGNVGYSLGYICQKLFNPDVTCKAASYGFVGRWTREGKLIVILVMFLGRLKEFILKGGEA >LPERR04G20200.4 pep chromosome:Lperr_V1.4:4:19032991:19034148:-1 gene:LPERR04G20200 transcript:LPERR04G20200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSLSICVAHSVKQSYSFLVYQSNPLVVQLIYFVIISFVGFLALKNLKPQGKPGTNDLDLMFTSVSALTVSSMATIEMEDLSDRQLWVLILLMLLGGEVFISMLGLYFNNADVNRNENRQRSLRSISMDIESNSPANNGDHNARGVLKSKEINMYTFCIFEEVSSFVNCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLSVWILGKISTKEEYAYILQHPEKTGYKHLHVQRKSVYMVLSVTGLALLQVMFICSFEWNSKTVEGMHWLQKLVGSLFQSVNTRQAGESVVDISTLSPPTLLLFAVIMLVPPSTPVSE >LPERR04G20210.1 pep chromosome:Lperr_V1.4:4:19034185:19047007:-1 gene:LPERR04G20210 transcript:LPERR04G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVHHKLVELLHRLRRSTAAGLHHAMSLVCSLSRAYAHHQVNDRVSRSRRALAAGRRAVTAPLAIHVVYFLALSCLGYGLLGAIETRGDAPRGIDRFFTAVSAATVSSMSAVEMEVFSNAQLLVITALMLAGGEVFVSLFGLASNVIPYSSLLYTLRRQAVHKSRRVEIHACDVETPTATTTTENADTTPRHVDAARFLFYVVLAILVASHALGAASVAAYVLRLSPSARDTLRAKSLNPWTFAAFVTVSTFSSCGFTPNNENMAAFARDAPLQLLLLPQALLGNTLFPPLLAACVWAATRRRKDLAEKLGGYGYEYYYHLMPARRCWMLCGTVAALVAAQAAMVCGMEWGGGGALRGMSAWEKLVNAVFLAVNSRHTGESTVDLSTLAPAIIVLFVLMMYLPPYTTWFPFEDDSNAKEHTAESQGIRLLKSTVLSQLSNLTIFVIAICITERRKLKEDPLNFNVLSIIVEVVRQWHMLDNNTHQNRHFFFVESDRFIYVCSAYGNVGFSMGYSCSRQINPDHLCIDRWTGFVGRWSDSGKIILIFVMFFGRLKKFSMKGGKSWKLISSQHLPLSMKLPQLNSEVVRNIAEKVKHIHVFISMRALQLVYFVSISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSDQQLWVLILLMLLGGEVFISMLALHFNNAGINTNDILPKRFPSTNRNIESFDAVNNRNQNNSEDCQLSPNWVQESKAMKQKCRNILAHIVLVTGYFIAAVVFSSLIDSDTRHLLKSKDIKIWTFSIFIAVSSFANCGFTPVNDNMVLAGNTMLSPLLRLSIWALGKVSRRQEYFDILQHPEDTGYKYLQPQKNSVRMILTTGGLILLQSMNTRHAGEAVIDISTLSPSILVLFALVMYHPCDNSDLPINADYQHLTKRRRKSNDQAIWKNFIISKSGFLGIFTILACITERKSISADPLNFNIFSIAFEIIRAYANLGYSLGYSCEKLLKLDATCKASSYGFVGKWTDEDTLQHIKSYIAMKLLLLNFEVPQI >LPERR04G20220.1 pep chromosome:Lperr_V1.4:4:19056386:19061727:-1 gene:LPERR04G20220 transcript:LPERR04G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGGGGGASWPSEGELEAVRGKVAEISGRDAGSVRVVACPYRICPLGAHVDHQGGTVTAMTINYGVLLGFVASDDGEVYLQSGQFEGVIRFRVDELQKPIENSESINWESYARGAVYALQNFGYDLKKGIVGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLTVSPADNIQLDKSIENKYLGLENGILDPSAILLSQYGYLTFMDCKTTSHSYVYFSELSKSKQCHGQLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALSCASGCEVASSILCNVDPAVYEDQKCVLEENLARRAEHYFSEMKRVVKGRDAWARGDLQDFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESGHADAAAAFVRAEYEKAQPELVGSIPPDRRVLVCEPGDGARVI >LPERR04G20220.2 pep chromosome:Lperr_V1.4:4:19056386:19061727:-1 gene:LPERR04G20220 transcript:LPERR04G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGGGGGASWPSEGELEAVRGKVAEISGRDAGSVRVVACPYRICPLGAHVDHQGGTVTAMTINYGVLLGFVASDDGEVYLQSGQFEGVIRFRVDELQKPIENSESINWESYARGAVYALQNFGYDLKKGIVGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLTVSPADNIQLDKSIENKYLGLENGILDPSAILLSQYGYLTFMDCKTTSHSYVYFSELSKSKQCHGQLPFKILLAFSGLQHNLPKKRGYNTRAVKLHRVFFAMCVLEENLARRAEHYFSEMKRVVKGRDAWARGDLQDFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESGHADAAAAFVRAEYEKAQPELVGSIPPDRRVLVCEPGDGARVI >LPERR04G20220.3 pep chromosome:Lperr_V1.4:4:19056386:19061727:-1 gene:LPERR04G20220 transcript:LPERR04G20220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGGGGGASWPSEGELEAVRGKVAEISGRDAGSVRVVACPYRICPLGAHVDHQGGTVTAMTINYGVLLGFVASDDGEVYLQSGQFEGVIRFRVDELQKPIENSESINWESYARGAVYALQNFGYDLKKGIVGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLTVSPADNIQLDKSIENKYLGLENGILDPSAILLSQYGYLTFMDCKTTSHSYVYFSELSKSKQCHGQLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAALDPAVYEDQKCVLEENLARRAEHYFSEMKRVVKGRDAWARGDLQDFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESGHADAAAAFVRAEYEKAQPELVGSIPPDRRVLVCEPGDGARVI >LPERR04G20230.1 pep chromosome:Lperr_V1.4:4:19068841:19069401:1 gene:LPERR04G20230 transcript:LPERR04G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLPGGAKKSNKITEIVRLQQMLKKWRKLSVTPKDATSTGNAAAAAAAGAGSGESKAKKFLKRTLSFTDSPSSSPAATPPPRGHLAVSVGPTMQRFVIPTEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKSVEKNKKDPNPNPNAAFCYCSYEYGAADEVATLGTPNNPLCR >LPERR04G20240.1 pep chromosome:Lperr_V1.4:4:19081321:19087686:1 gene:LPERR04G20240 transcript:LPERR04G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPSSPPPLRHSPAQGTPLSRRRHSPSPSLALTPSSASTSAAAAAASSSSRPKARPSPRRAYAAAQWVSLPSHPAFSRGGDAGEGGGGGGGAAWDAAASRLYVWDPSARGVHRVSVRVRDAEAGKDGEDVAVEAALPSEMLMLEPDLGYKVTHISLNTDGSSLLLTGSHNISVMYVHERVSEDGDKIICRTVPVASQILPSNNDGIKVLQTLWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYNKKHIQEIYEDVNTFGLKSSNQNLVTNSHLAIAWLEATFPDLLHQPADTNALMSRAHPYASLDDSLTLQGPLCRVCEENSEPESKSGSCEGKAVDFVYSSVGKDSVLVTAWGSGQLQIDALADEIQPQWSIGIPTRVNVDSHGQIKSVAMICDSNSEDSWAMQSYRPSSTGSNVKSNTEAVWMGHSPPLLRLAIVDLALPKTSSDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSETNSPFLSGFVTISDVYGHVQLVSISCLGECFVVEMKGWKEPTPLQLDIESKSIKDVESFTTGMISKELITGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEIEDKQKRLQAVKQSLLSIEAKDNDINSRINRAFKVYDLLEKRIEGFKILPAANKKPLSRAEQEFKAQLDRFANVELDALRSSITALSARMKRIAHPSIGSAAGTGMLSWHTPKGGRSNVSDSQMSLLKSSLERLSILNEENNQKLKLIEHELKNQEQ >LPERR04G20240.2 pep chromosome:Lperr_V1.4:4:19081321:19087686:1 gene:LPERR04G20240 transcript:LPERR04G20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPSSPPPLRHSPAQGTPLSRRRHSPSPSLALTPSSASTSAAAAAASSSSRPKARPSPRRAYAAAQWVSLPSHPAFSRGGDAGEGGGGGGGAAWDAAASRLYVWDPSARGVHRVSVRVRDAEAGKDGEDVAVEAALPSEMLMLEPDLGYKVTHISLNTDGSSLLLTGSHNISVMYVHERVSEDGDKIICRTVPVASQILPSNNDGIKVLQTLWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYNKKHIQEIYEDVNTFGLKSSNQNLVTNSHLAIAWLEATFPDLLHQPADTNALMSRAHPYASLDDSLTLQGPLCRVCEENSEPESKSGSCEGKAVDFVYSSVGKDSVLVTAWGSGQLQIDALADEIQPQWSIGIPTRVNVDSHGQIKSVAMICDSNSEDSWAMQSYRPSSTGSNVKSNTEAVWMGHSPPLLRLAIVDLALPKTSSDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSETNSPFLSGFVTISDVYGHVQLVSISCLGECFVVEMKGWKEPTPLQLDIESKSIKDVESFTTGMISKELITGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEIEDKQKRLQAVKQSLLSIEAKDNDINSRINRAFKVYDLLEKRIEGFKILPAANKKPLSRAEQEFKAQLDRFANVELDALRSSITALSARMKRIAHPSIGSAAGTGMLSWHTPKGGRSNVSDSQMSLLKSSLERLSILNEENNQKLKLIEHELKNQEQ >LPERR04G20240.3 pep chromosome:Lperr_V1.4:4:19081321:19087686:1 gene:LPERR04G20240 transcript:LPERR04G20240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPSSPPPLRHSPAQGTPLSRRRHSPSPSLALTPSSASTSAAAAAASSSSRPKARPSPRRAYAAAQWVSLPSHPAFSRGGDAGEGGGGGGGAAWDAAASRLYVWDPSARGVHRVSVRVRDAEAGKDGEDVAVEAALPSEMLMLEPDLGYKVTHISLNTDGSSLLLTGSHNISVMYVHERVSEDGDKIICRTVPVASQILPSNNDGIKVLQTLWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYNKKHIQEIYEDVNTFGLKSSNQNLVTNSHLAIAWLEATFPDLLHQPADTNALMSRAHPYASLDDSLTLQGPLCRVCEENSEPESKSGSCEGKAVDFVYSSVGKDSVLVTAWGSGQLQIDALADEIQPQWSIGIPTRVNVDSHGQIKSVAMICDSNSEDSWAMQSYRPSSTGSNVKSNTEAVWMGHSPPLLRLAIVDLALPKTSSDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSETNSPFLSGFVTISDVYGHVQLVSISCLGECFVVEMKGWKEPTPLQLDIESKSIKDVESFTTGMISKELITGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEIEDKQKRLQAVKQSLLSIEAKDNDINSRINRAFKVYDLLEKRIEGFKILPAANKKPLSRAEQEFKAQLDRFANVELDALRSSITALSARMKRIAHPSIGSAAGTGMLSWHTPKGGRSNVSDSQMSS >LPERR04G20250.1 pep chromosome:Lperr_V1.4:4:19088439:19093961:-1 gene:LPERR04G20250 transcript:LPERR04G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDVSEDSDYAHALLAKALDEYASIKTKASKGIEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNINGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVASILDKLLSGSNDDALLLYQIAFDLVENENQAFLLNVRNRLTSQTPEPNPDSGLAEPDDQAGNAGTGSTEPSGDVQMRDDTATPNVSAHSVDPNEVARADRLAKVKGILSAETPIQLTLQFLYSYNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSTSSKAAKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAANTGTAAAPGQQASSAMAVDDEPQPPQPFEYTS >LPERR04G20260.1 pep chromosome:Lperr_V1.4:4:19095127:19097185:-1 gene:LPERR04G20260 transcript:LPERR04G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAEAGKKYVSIPQLAGVETLLTSGGKEVPLSSIEGKKTCLFFSAHWCRPCRKFTPKLLQIYSKLRNTGKNIEIIFVSLDRDEISFLDHFKGIPWLTLPFDTGLRQKLCMQFDIEHIPALIPLSTNISDGFVVEEDAVKLVEEYGADAYPFGAKRRGELEGRDDSRRQGGNLQELLGCKERDYVISADGIKTPISDLNGKTIGLYFGARWCPPCRIFTKQLKEVYNELKTLRPGNFQVIFISMDRSEEEFQASLTDMPWLAIPYSDRTVQELNRIFTIKGIPTLLILGPDGKAFETDGRTIVSKYGAMAFPFTESRAYEVEEVLKKERDSLPHRVIDHRHEHELELDLAMAYVCDECHQKGQYWVFSCKKCNFDLHPTCVEKSTNINN >LPERR04G20270.1 pep chromosome:Lperr_V1.4:4:19098865:19099601:1 gene:LPERR04G20270 transcript:LPERR04G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPSANTLRLVVEERLQKRVYAGKVFVVGSQRGKDDVVGAIDGEELPDDEKFKEWKGKAEAIVELREAQQEAIMKEKGFVEAFRDSTYDDDDMLFEDQVFESILAGSDDRYPPKGVVEVPLPLNPPGKNPVQSWTRDDGVLASQPC >LPERR04G20280.1 pep chromosome:Lperr_V1.4:4:19102702:19108463:1 gene:LPERR04G20280 transcript:LPERR04G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQQAQDSADHMDPLESHLVVEKKPSTSVSIKEQIHSSEDNNLSMTASRGASSLKSTKNTQEKASFLGKGGEQHFVYQSNVYAPQPHTVFSGGYFNHLGQWEEYPHVASADGLDAASPVMYSSYSPVPTMGDSQPYFPLPYPLSSPYYQPPASPSMGYSNSATVVSHIDPMQDYYLPDGLLYSPTPGFHQPFSSFDGTQMQQGAPGFFGQGNLPLASGMLAFFQHQGSMYRSGSYKARQHIGNYGGSTPSWSAASRRFSPFNKGFKHDKGSLEFMNEQNRGPRATKPKTEVNNSSTEDKNSKTALIIDSSFYNQPDFVTEYEDAKFFVIKSYTEDHVHKSIKYGVWASTSSGNRKLNAAYREAKEKEANCPIFLFFSVNGSGQFCGVAEMVGPVDFDKSVDYWQHDKWSGQFPVKWHIVKDVPNNLLRHIILENNDNKPVTNSRDTQEVRLDQGMQMLTIFKNHEAETTILEDFDFYEQREKAVLDIRQRQKQQYADSEVQKLMEAKEPEDIMTQISATFARAVQLGETKGSREDKPKVEDASAAAAVAEDQPVAIVKTEESLADSEPMPLKEGG >LPERR04G20290.1 pep chromosome:Lperr_V1.4:4:19107494:19110697:-1 gene:LPERR04G20290 transcript:LPERR04G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGIGGGHGDIGGANFCDMAYYRKLGESSNMSIDSINSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGPVTANYSVGHSIFRPGRVSHALSEDALARALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAP >LPERR04G20300.1 pep chromosome:Lperr_V1.4:4:19112298:19116605:1 gene:LPERR04G20300 transcript:LPERR04G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRTTSAGGPPKPPAPGFDDAGGGGLGGMRKPNKEILEHDRKRQVELRLLMLRDALEEQGYTEGEIEERVEEARKEAEVEAAAAAVAEEEGRGGGRQPLPGKGFTDTQSHHVAARKEKQLETLRAALGLDVDKPKKNTDVESDVESGELVPGKEYEGLDIDGPKDGKTSKDDKRNAKKGKDKVSDAKSRGKTSRKNKVGHDSESESESDSDDDEKMKTKYDEKKGNKHLKKSRHDTDDDSESDHKSAKRGKSSRHDSESDSDSDHGKKTTKHGRSNHDERKKKPATISRRHDSEDEKPRKGKHMDNSDSDSESDGSYSESESDYDQKKKKSTNSSRRESKDEKPQRNVSKDKYSDESESDSDSDHGEKSKHSKISRHDSEPKSRKDVDRKDQYRNKMKSDGYEDKKSVKIPYNDVKDDKSHSKVLQKKQYSDHSEKQAQRGKEKEENSRKDVDKRKRHDTDSDSDGHAREGKRHLDARASLAAQKKRIMTSSSESSDYSSSSDSESDVSSDSREDRKSARQLKHELEEKKRNQDEKRKELEKQKQEKERKEKQREEERKVLEKQRVKDEDRQEREHERWKGENVVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRQSRDFDSRDPKRSRHDDSYQHSRRDYEHRYSRDEYRDRGRR >LPERR04G20310.1 pep chromosome:Lperr_V1.4:4:19116950:19119976:-1 gene:LPERR04G20310 transcript:LPERR04G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDACLPDSPVVKMEDEQSATYSVDDALTSSGFGRFQILILSYAGVGLIAEAMEMMLLSFVGPSVQIEWKLTSHQESMITSVVFVGMLIGAYTWGTTMEGGRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLGSWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSSVPSFVLLLFYVVTPESPRFLCMKGRITEAMDVLEKMARLNNVQLPSGRLVSDKNVELDELSTSESTTLLADAEENDSIVEDKGSSFGGMSIAKLLSPKLLRATLLLWMTFFGNAFSYYGIVLLTSELSNGNRICAKEEVESIHSNDASLYKNVFISSFAEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTTVSLFGARLCISASFTIIYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPYETKGCRLNDTEVVMN >LPERR04G20320.1 pep chromosome:Lperr_V1.4:4:19126017:19141592:1 gene:LPERR04G20320 transcript:LPERR04G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNRSHTSISSHSSHTSTHTRRTTMVHETTKEHGGHDAAVTVTVTSTSTVAPALPLQEHRLPLSNLDLILPPMDVGVFFCYGDALPAATLKAALAKVLVAYYPLAGEVVANAAGEPELLCSGRGVDFAEASAGDAALRELRLGLVDESAEKLVPKKKNGVMCVQVTKFRCGGAVVGCTFDHRICDAYSFNMFLVSWAAAARGSSSAAPAPSFDRSFLAPSSPSPPRPDALSCKLFVPVSRVPPSPPPAAAVNRIFRVAAADVAALQASAGPGRTKLEAFTAHLWQLHARAQLGRGRRGSCCSMGVVVDGRTRIRRDGAMEAYFGNVLTIPYGAMCPEDLVSATSSLADVAGDVHGWVTEATTRDHFRGLVDFVESLRPEPTVARAYLGGGDDDGVEEEAMACVVSSGMRFPVGGVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAREVVEAMEGEEDTVFRALESDYVFGRVQTARGDGDWVVYVHAAADVVKAMEEEGTVFRAIESDYVFGRGHEFEVTITATSTVAPPLPLQEHRLPLSNLDLLLPPIDVGVFFCYAAAGVGVGEAAATQLKVSLENLLVEYYPLAGVVVANAAGEPELLCSGRGVDFAEAIAGDVELRELRLGMPDESAGKLVPTKKAGVMSVQVTTFKCGGAVVGCTFFHGVCDAHSFNTFLLAWAAAASSAATPPPSFDRSLLAPSDPPPPRHDALAVRLYAPVTRITPPPPPTTDAAAVANRIFRVAANDVAALQASAGPGRTKLEAFTAHLWQLHARVASTNSPSNCCCCMGVVVDGRARLGAAMDAYFGNVLTIPYGAMSPDALSAMSLADVADDVHMWVSEAATGDHFRGLVDWVEEIRPERMVAKAYLGAGDGDANAMACVVSSGMRFPAGEVDFGWGRPAFASYHFPWPGGAGLQFYTRVSNMVKEEQAGDRHDGEVTIAAVTTTVAPALPTQEHRLPLSNLDLLLPPLDVSVFFCYTDPAPSAAALKEALAKALVAYYPLAGEVVANGDGEPELMCSGRGVDFTEVSAAEGEEMRALRIGLVDERVERLVPAKKAGVLAVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLLAWAAAARHANTDSETDTTPPPPIPSFRRSLLAPRDPPPPRSPSTDALIDRLFAPLSSVPPPPAPAAVNRIYRVAAADVAALQASAGPGRTKLEAFTAHLWLLHARVAEAHPRLPCCMGVVVDGRRRLQRTDEMSAYFGNVLTIPYGVMDPDEILLMPLSEVACDVHGWVAEAATGEHFRALVDWVETRRPKPAAARAYVGGGEGATSCIVSSGMRFPAGDVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAREVVEAMEGEDTVFRAIESDYVFG >LPERR04G20330.1 pep chromosome:Lperr_V1.4:4:19142206:19145499:-1 gene:LPERR04G20330 transcript:LPERR04G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTASTSASCSPSAAGTSSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKSHELGLFAIFDGHLGDSVPSYLKSNLFSNILKEPLFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVSERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >LPERR04G20340.1 pep chromosome:Lperr_V1.4:4:19150429:19166800:-1 gene:LPERR04G20340 transcript:LPERR04G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPSPSAAPVQVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPPKPTPPPPPTSSSSSPSPAPPKSSSASAPAPARRGAAPAPAPTPQAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGAELAVDLAKLHNFLASSNNNSAAPPPPTDPASRPALRAPPSVPVPPPQAPFLPAPTPGMTQAPQLVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGNEFDGLLVFDECHKAKNLVPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYGKAAEFWAEFRLELLSAGDLVTEGISNQIWRLYWASHQRFFRHMCMSAKVPGVVRLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAQDVSFKGRVRKVAKVVEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPACLTPPWTGILTDDWSCYTCKKLEGDENEQDAHVADFSQRYDAAVERKMKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLETLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCFDNETSIQEFIAEAKAALVSVGIIRDAVVCNGKVAVKLSGRIVDSDMHDVARFLNRLLGLAPKIQNSILDVVLHNARIEGQLDSGIVDIKAKNTVHIDSLSGASTVLFTFTIDRGVTWESAKAMLDERQRDGASSSNDGFYESKREWMGRRHFTLAFEGSIEGMYKIIRPAVGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRQSHKRVRVVRLETTNDNKRIVGLLIPNSAVESVLTGLF >LPERR04G20360.1 pep chromosome:Lperr_V1.4:4:19179597:19184861:1 gene:LPERR04G20360 transcript:LPERR04G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDRLAVKPIPLTKRKYLANFLGRAQGKIGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIMNRDLVEFHQWRTPVPLP >LPERR04G20360.2 pep chromosome:Lperr_V1.4:4:19179597:19183631:1 gene:LPERR04G20360 transcript:LPERR04G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDRLAVKPIPLTKRKYLANFLGRAQGKIGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNSPYNSEGDPARDKPRAPSNEEQKGLYGPVISAYPKGKPRPLRKGV >LPERR04G20360.3 pep chromosome:Lperr_V1.4:4:19179597:19184565:1 gene:LPERR04G20360 transcript:LPERR04G20360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDRLAVKPIPLTKRKYLANFLGRAQGKIGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIMNRDLVEFHQWRTPIQDKDTIEEESGQDAAATTTGNVEEDIKIVLEFLDKKEDWMVDWWWRSNQIDQALQIKSARGIINLYDPAVLPPPSTGGLHTSASICLMHSCEYMVVANHFSYLWSWITRDSKLIFFSCVNIKCGIIILQFYVIYLILSCQLLFNLLY >LPERR04G20360.4 pep chromosome:Lperr_V1.4:4:19179597:19183631:1 gene:LPERR04G20360 transcript:LPERR04G20360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDRLAVKPIPLTKRKYLANFLGRAQGKIGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIMNRDLVEFHQWRTPVPLP >LPERR04G20360.5 pep chromosome:Lperr_V1.4:4:19179597:19183631:1 gene:LPERR04G20360 transcript:LPERR04G20360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPELESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNSPYNSEGDPARDKPRAPSNEEQKGLYGPVISAYPKGKPRPLRKGV >LPERR04G20360.6 pep chromosome:Lperr_V1.4:4:19179597:19184565:1 gene:LPERR04G20360 transcript:LPERR04G20360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPELESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIMNRDLVEFHQWRTPIQDKDTIEEESGQDAAATTTGNVEEDIKIVLEFLDKKEDWMVDWWWRSNQIDQALQIKSARGIINLYDPAVLPPPSTGGLHTSASICLMHSCEYMVVANHFSYLWSWITRDSKLIFFSCVNIKCGIIILQFYVIYLILSCQLLFNLLY >LPERR04G20360.7 pep chromosome:Lperr_V1.4:4:19179597:19183631:1 gene:LPERR04G20360 transcript:LPERR04G20360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGTSSPARRATMHQPPCTRAHQAAALLLVAATFFLTRLLDRPLSPPPCAAAAPYNTWPWPHRHRDLRIYVYAEDEVDGLRALLRGRNGDVAAATCLKGQWGTQVKIHQLLLSSRFRTLHKDEADLFFVPTYVKCVRMAGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFMNRSIILTPELESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTKPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIMNRDLVEFHQWRTPVPLP >LPERR04G20360.8 pep chromosome:Lperr_V1.4:4:19183643:19184861:1 gene:LPERR04G20360 transcript:LPERR04G20360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSLPSSLVDQIHFIIKIQDKDTIEEESGQDAAATTTGNVEEDIKIVLEFLDKKEDWMVDWWWRSNQIDQALQIKSARGIINLYDPAVLPPPSTGGLHTSASICLMHSCEYMVVANHFSYLWSWITRDSKLIFFSCVNIKCGIIILQFYVIYLILSCQLLFNLLY >LPERR04G20370.1 pep chromosome:Lperr_V1.4:4:19188990:19189879:-1 gene:LPERR04G20370 transcript:LPERR04G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTIRLQRRHLLADPPAPPLHPRRSTPPIRPPASPSRALRPSPIPPEAPIQIPHLLFPLRPTPVACATIDFRSAAAAPLHPIPHLIRVRELLHHLRSPPSSSPAGQSTSYKSCCSCPRTRSSASY >LPERR04G20370.2 pep chromosome:Lperr_V1.4:4:19186826:19189879:-1 gene:LPERR04G20370 transcript:LPERR04G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTIRLQRRHLLADPPAPPLHPRRSTPPIRPPASPSRALRPSPIPPEAPIQIPHLLFPLRPTPVACATIDFRSAAAAPLHPIPHLIRVRELLHHLRSPPSSSPAGQSTSYKSCCSCPRTRSSASY >LPERR04G20380.1 pep chromosome:Lperr_V1.4:4:19192997:19198885:1 gene:LPERR04G20380 transcript:LPERR04G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSECDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYKSQSVGMKRTLVFHLGKPPRGGRTDWVMYEYRLEDKELSASGIKLDACVLCKIFQKSGPGPKIGEQYGAPFNEEDWNEANAEFSSFTLSVPSCALESSNGRSNRAGQQLPVSDNAESSLDFRSEDNDKLTVDGVCPGTSHTTSPGTPFDSIHTHQLAEIISCFSTDLLNSVGRDGSLPDSTAEYDNDNEVLSDDGEAIFDELHSQSDESISNHCDSCGEDSVQPVLEVLETEQYLELNDLSFSLTDDPNPCMAPVPVDLNQRWLCRCHFRHVVIHLFAVYGSAFYSSSMKAVSRF >LPERR04G20380.2 pep chromosome:Lperr_V1.4:4:19192997:19198885:1 gene:LPERR04G20380 transcript:LPERR04G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSECDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYKSQSVGMKRTLVFHLGKPPRGGRTDWVMYEYRLEDKELSASGIKLDACVLCKIFQKSGPGPKIGEQYGAPFNEEDWNEANAEFSSFTLSVPSCALESSNGRSNRAGQQLPVSDNAESSLDFRSEDNDKLTVDGVCPGTSHTTSPGTPFDSIHTHQLAEIISCFSTDLLNSVGRDGSLPDSTAEYDNDNEVLSDDGEAIFDELHSQSDESISNHCDSCGEDSVQPVLEVLETEQYLELNDLSFSLTDDPNPCMAPVPVDLNQRWLCRCHFRHVVIHLFAVYGSAFYSSSMKAVSRF >LPERR04G20380.3 pep chromosome:Lperr_V1.4:4:19192997:19198885:1 gene:LPERR04G20380 transcript:LPERR04G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSECDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYKSQSVGMKRTLVFHLGKPPRGGRTDWVMYEYRLEDKELSASGIKLDACVLCKIFQKSGPGPKIGEQYGAPFNEEDWNEANAEFSSFTLSVPSCALESSNGRSNRAGQQLPVSDNAESSLDFRSEDNDKLTVDGVCPGTSHTTSPGTPFDSIHTHQLAEIISCFSTDLLNSVGRDGSLPDSTAEYDNDNEVLSDDGEAIFDELHSQSDESISNHCDSCGEDSVQPVLEVLETEQYLELNDLSFSLTDDPNPCMAPVPVDLNQRWLCRCHFRHVVIHLFAVYGSAFYSSSMKAVSRF >LPERR04G20380.4 pep chromosome:Lperr_V1.4:4:19195407:19198885:1 gene:LPERR04G20380 transcript:LPERR04G20380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSECDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYKSQSVGMKRTLVFHLGKPPRGGRTDWVMYEYRLEDKELSASGIKLDACVLCKIFQKSGPGPKIGEQYGAPFNEEDWNEANAEFSSFTLSVPSCALESSNGRSNRAGQQLPVSDNAESSLDFRSEDNDKLTVDGVCPGTSHTTSPGTPFDSIHTHQLAEIISCFSTDLLNSVGRDGSLPDSTAEYDNDNEVLSDDGEAIFDELHSQSDESISNHCDSCGEDSVQPVLEVLETEQYLELNDLSFSLTDDPNPCMAPVPVDLNQRWLCRCHFRHVVIHLFAVYGSAFYSSSMKAVSRF >LPERR04G20390.1 pep chromosome:Lperr_V1.4:4:19200080:19200526:1 gene:LPERR04G20390 transcript:LPERR04G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSRCRLCHPRLHCAHHHARNLLLFSHLFPSIQSPTRRVAHLSDKAATLSSPLRITCRKTERRLLEEEEEKEDERGESGATIAPRTSSRFQPLPISIPNPTRLRT >LPERR04G20400.1 pep chromosome:Lperr_V1.4:4:19207513:19213741:1 gene:LPERR04G20400 transcript:LPERR04G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSPISPQLGTPPRSSDLSSATQFCNCLVPSCPSPVDGGVSRASEMGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGKGLLQGFDWLVQDIASRIYLLE >LPERR04G20400.2 pep chromosome:Lperr_V1.4:4:19207513:19211834:1 gene:LPERR04G20400 transcript:LPERR04G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSPISPQLGTPPRSSDLSSATQFCNCLVPSCPSPVDGGVSRASEMGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKLLLGPTSTAARNSAALSHLDSATSVRYASSRVRYASTRGHVSKYFGLASPGNTGIG >LPERR04G20400.3 pep chromosome:Lperr_V1.4:4:19211994:19213741:1 gene:LPERR04G20400 transcript:LPERR04G20400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDPTEPLPHSEPSRHASSPTHRSSARPCCRAARPHRHCTKPSMPGADACTPASVLNLEAMDGSRHWQIVGCSAHTGKGLLQGFDWLVQDIASRIYLLE >LPERR04G20430.1 pep chromosome:Lperr_V1.4:4:19233559:19234224:1 gene:LPERR04G20430 transcript:LPERR04G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNASEVASAAADGGVVGGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDSAALHFRGPKAKTNFPVAFPHAAPPPPAMAMPMPMPPKSVVSPTSSTVESSSRDTPVAEAQLPVAAPSLDLSLGMSAMVAAQPFLFLDPRVAVTVAVAAPVARRPAVVSVVKKEVQARLEEQSDTGSSSSVVDASPAVGVGLDLNLPPPAEEMAA >LPERR04G20440.1 pep chromosome:Lperr_V1.4:4:19238429:19241880:-1 gene:LPERR04G20440 transcript:LPERR04G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVPSMELHRSPPFLSGGRGKFFLHKPFLVQAKRLEGLEKANKRSRGTQSSEEVKKRAPLTRGTVSPPLPVPGHITQPPYVGKKDTPEIASEIQMHDKVSIVHMKAACELAARVLEHAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDDASKRLVRVTEECMLRAISACKHGTSFKKIGRRISEHAERHGFSVVECFVGHGVGRIFHSEPIIYHQRNNGPGQMVEGQTFTIEPILSMGSIECDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >LPERR04G20440.2 pep chromosome:Lperr_V1.4:4:19238429:19241880:-1 gene:LPERR04G20440 transcript:LPERR04G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVPSMELHRSPPFLSGGRGKFFLHKPFLVQAKRLEGLEKANKRSRGTQSSEEVKKRAPLTRGTVSPPLPVPGHITQPPYVGKKDTPEIASEIQMHDKVSIVHMKAACELAARVLEHAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQVLSEAFIVHCFTLLFRLITPLLHCLCHHHCSFAALQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDDASKRLVRVTEECMLRAISACKHGTSFKKIGRRISEHAERHGFSVVECFVGHGVGRIFHSEPIIYHQRNNGPGQMVEGQTFTIEPILSMGSIECDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >LPERR04G20440.3 pep chromosome:Lperr_V1.4:4:19238429:19241880:-1 gene:LPERR04G20440 transcript:LPERR04G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVPSMELHRSPPFLSGGRGKFFLHKPFLVQAKRLEGLEKANKRSRGTQSSEEVKKRAPLTRGTVSPPLPVPGHITQPPYVGKKDTPEIASEIQMHDKVSIVHMKAACELAARVLEHAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDDASKRLVRVTEECMLRAISACKHGNNGPGQMVEGQTFTIEPILSMGSIECDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >LPERR04G20450.1 pep chromosome:Lperr_V1.4:4:19243983:19245293:1 gene:LPERR04G20450 transcript:LPERR04G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAAHTIEPPTRPLLDLPPRRVSGRVTRLASSPPPPHLLTKTRLPPLSSTQTPTHDTTLAISLHLAYGVVFLLCLFLDRSIHVQGDGRHAPRRRARRRHRRQHHRESSPSPPDEAVLLHPSPPAPGHLEPPLPRSGRPEIIPGVQGRREGRRGQVPRRRRGGQGRHRRSQGPRHGEGRRHGGQGQARHPGHRVARQARDARRGARGRGKDGGREGSRQGDGEGDGGEDGGGDEARGREGGGGEQTRGEQGGGDGAGGGREGEAGGAGRVGRNQGGGAGRQGQGRRGDEGGRARRRRHEGAGQDRAGGEEEAGQGEGRRLALG >LPERR04G20460.1 pep chromosome:Lperr_V1.4:4:19245251:19250210:-1 gene:LPERR04G20460 transcript:LPERR04G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAGGMRKAPSMEWRWVSTEEDEDEDVVGAAAAAVGAVGRGGSFESEEEDDDGDGEEEEEEGEKQKLIRTVPSIDWFDVEGYEVSVAQPADDNEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFNKYPILGEDDVLGALSLVLYTLILMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTEELERSIKVKEKLESSFLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGIPNASQDIVVMISVALLVILYSVQRYATSKMGFAIGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLCYFPVRYVQSMFVLLVLPCLILAYLGQAAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIFIPVVNWFLLVSCLGFILLFRNIYDVGNAYAIAELGVMIMATVYVAIIMLLIWETNIVKVLSFVITFLTLELVFFSSALSSVGDGGWALLIFASVLLMVMFIWNYGCKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHGIFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPARTIIAANGSLYSLDVPLLAEFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKDSWFFKKLIINYFFAFLRNNCRRAIVSMSIPHSNLMQADTDQMIHNPVTLY >LPERR04G20460.2 pep chromosome:Lperr_V1.4:4:19245251:19248989:-1 gene:LPERR04G20460 transcript:LPERR04G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRLKLPTEELERSIKVKEKLESSFLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGIPNASQDIVVMISVALLVILYSVQRYATSKMGFAIGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLCYFPVRYVQSMFVLLVLPCLILAYLGQAAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIFIPVVNWFLLVSCLGFILLFRNIYDVGNAYAIAELGVMIMATVYVAIIMLLIWETNIVKVLSFVITFLTLELVFFSSALSSVGDGGWALLIFASVLLMVMFIWNYGCKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHGIFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPARTIIAANGSLYSLDVPLLAEFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKDSWFFKKLIINYFFAFLRNNCRRAIVSMSIPHSNLMQADTDQMIHNPVTLY >LPERR04G20460.3 pep chromosome:Lperr_V1.4:4:19248997:19250210:-1 gene:LPERR04G20460 transcript:LPERR04G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAGGMRKAPSMEWRWVSTEEDEDEDVVGAAAAAVGAVGRGGSFESEEEDDDGDGEEEEEEGEKQKLIRTVPSIDWFDVEGYEVSVAQPADDNEKCKSESHSESSTL >LPERR04G20470.1 pep chromosome:Lperr_V1.4:4:19252115:19256594:1 gene:LPERR04G20470 transcript:LPERR04G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTPSQSLSLTLTPAATLRGGAGSARVRFSGRGAAPPPPLRTRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGDAGKNGAAAAEGQKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECAESVVPAYIPIVERRKDTPFTEEHKAWQQLRRGRYAPEEGTEEWKLLDACINPKEWL >LPERR04G20480.1 pep chromosome:Lperr_V1.4:4:19263592:19269287:1 gene:LPERR04G20480 transcript:LPERR04G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLLNPAPPSPVPSAAFHHHHLAAGDDTRLPLLADYAPPPPPASASADWSGAGSGCFTATDAATTASTATAPSTTILTAAGGGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGGGGDAAVADVAADAAAVSYRLWVNGCLSWGDKIGHGFYNILGVDPHVWAMCNAAAEDGRRLPTLAALRAVDAGESSVLEVVLVDKLADAALADLERRALDLRRATVAAAAADLARRLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHKCVVVPIGSLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCTAPHRSSCLVKIENERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFRTSCTMSSANYATPAASWSSNNRAIAEDLRSSILSNPQNSVAKYCVSEEKSSVQVNSKEANLPKCGQITQNGNCNNVAVLEVLKQLKAMEIASETGDKENMSGATALKQLGIEPSFGADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLSPAQVVGAVAFQNRRLPIPQDTVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >LPERR04G20490.1 pep chromosome:Lperr_V1.4:4:19270019:19270311:-1 gene:LPERR04G20490 transcript:LPERR04G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLSLEAVDHPFIKYVLTLGCDNRLFNVCQTVPHETYDLFMDGLTEAVRDEIADCSAEAYE >LPERR04G20500.1 pep chromosome:Lperr_V1.4:4:19278878:19283167:1 gene:LPERR04G20500 transcript:LPERR04G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGAETPAAAVYGHRLSTVVPSSVTGETNYDLADADLAYKLHYLRGAYYFPAGDAVRGLTIKSLKDPMFPWLDAYFPLAGRVRRAEDADGVDGAAARSRRPYIKCNDCGVRIVEARCDRALDEFLRDDDTDRIRQLCYDKVLGPELFFSPLLYIQVTSFKCGGMALGFSWAHLIGDVASATNCFNTWAQILSGKKPHPTTLEPPNKPLAAVVAAAAAPPRSVKPVGPIEDHWLVPSGRAMASYSFHVTEPALKRLQSSAAAGTFELVAAVLWQTVARIRPSKDVTTVTVVRTDTAARSGKSLANEQLLGYASSSSSAPVAKAGVAELAALLAKGNVVDETDAVAAFTGDVLVYGGASLTLVDMEAVRPYELELKGQRPVHVEFGMDGVGEEGAVLVQPDAGGRGRVVTAVLPKDEVDALRAALVGSALLLQDAAA >LPERR04G20510.1 pep chromosome:Lperr_V1.4:4:19283950:19286170:1 gene:LPERR04G20510 transcript:LPERR04G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAQPFYDKKEAAKIAAQAAALEAPKLPVRAPPPSSQDSGSSRAAAASGSASAMDVEMADEGNNRKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >LPERR04G20520.1 pep chromosome:Lperr_V1.4:4:19287025:19291485:1 gene:LPERR04G20520 transcript:LPERR04G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAAVVFLAVAAASVATARFVVEKNSVRVLSPRSMRGHRHEAAIANYGVPDYGGTLTGAVVYPSDAGLADGCTPFSTGDASSPPKPLRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADTVDEPLLTMDSPEYETPSMASFLPNISIPSALIRKPFADSLRSFTTSPNSSKSQPEELIIRLDWRESMPHPDERVEYELWTNSNDECGARCDEQAAFAANFRGHAQLLEKSGAALFTPHYITWFCPDAFLATPQCEAQCINRGRYCAPDPDGDLAAGAGYSGRDVVVENLRQLCVHRVANARNASWVWWDYVVDYHRRCSMKGEHYTRRCADGVVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKESTEPSVCLTPDMETDECLNNNGGCWRDERTNITACKDTYRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTKDGKTFSACSDSDLSGCKCPPGFKGDGLHCEGDHSPAIFLVRIASDCVVNLLVDSVLAIADVDECSEKLACSCPHCSCKNTWGSFDCSCSGNMMYIRAEDTCIAKNTSAFGWLVATLVLSFVVGAGVAGYLFYKYRFRRYMDSEVMAIMSQYMPLDGQNGERVPLREEAQEEQP >LPERR04G20520.2 pep chromosome:Lperr_V1.4:4:19287025:19291948:1 gene:LPERR04G20520 transcript:LPERR04G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAAVVFLAVAAASVATARFVVEKNSVRVLSPRSMRGHRHEAAIANYGVPDYGGTLTGAVVYPSDAGLADGCTPFSTGDASSPPKPLRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADTVDEPLLTMDSPEYETPSMASFLPNISIPSALIRKPFADSLRSFTTSPNSSKSQPEELIIRLDWRESMPHPDERVEYELWTNSNDECGARCDEQAAFAANFRGHAQLLEKSGAALFTPHYITWFCPDAFLATPQCEAQCINRGRYCAPDPDGDLAAGAGYSGRDVVVENLRQLCVHRVANARNASWVWWDYVVDYHRRCSMKGEHYTRRCADGVVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKESTEPSVCLTPDMETDECLNNNGGCWRDERTNITACKDTYRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTKDGKTFSACSDSDLSGCKCPPGFKGDGLHCEGDHSPAIFLVRIASDCVVNLLVDSVLAIADVDECSEKLACSCPHCSCKNTWGSFDCSCSGNMMYIRAEDTCIAKNTSAFGWLVATLVLSFVVGAGVAGYLFYKYRFRRYMDSEVMAIMSQYMPLDGQNGERVPLREEAQEEQP >LPERR04G20530.1 pep chromosome:Lperr_V1.4:4:19287184:19297024:-1 gene:LPERR04G20530 transcript:LPERR04G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSKAKMWANDTMGTVDAAAKDSMVVGEKSKKSQKNATISENDSSSGNSSDEEMTNDQSESDDSSSEDTSEELKKESKQGEDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEESSDELHDADGRDQIAHQNDNLSLKNNVEKETTLEGSTMQEEGNPDNEEVEDLDSQLKDDVNQEKEDEAALITDEKKLALETGRLFFCNLPYATTEDDLVELCRQYGDVDQAHIVVDKTTKLSTGRGYVLFNLPDSAVRALELDNSSFQGRLLRVNAAKPLKNKKFESNYVEEKMSLKQQKLDQRKASEVSGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVDALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTKYKDTPLYLEWAPENILSPTSTPVEDDEKNVVSERIITKAIVEQTVEGVSAEEIDPDRVESRSLFVKNLNFKTSDESLKKHFSTKLKSGGLKSAMVKKHIKNGKNVSMGFGFVEFDSIETATSACKDLQGTVLDGHALILQLCHGRKDGQASKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKLGAHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGESLEELRARTAAQFVDDQNGFQRLSRKRKQTSLVDEVPAYLWLLLLGLLPQWHPLAVLAVKWHSHHTHDAFLAFATRCTQSCLRFSTTTSRPEYPAPAARSPSGSGAQYRPRLMHCASHCGVARNASGQNQCGVNSAAPDFSRSCAWPRKFAANAACSSHRAPHSSLLFVHSSYSTRSSGWGIDSRQSRRMMSSSGWDLEELGEVVKERRESAKGLRMRAEGMEMLGRKEAMEGVSYSGESMVRSGSSTVSATRTAAAPASCACHPPRSTRRTTGRRDRERSGFGGDDASPVENGVQPSARPASDGYTTAPVSVPP >LPERR04G20530.2 pep chromosome:Lperr_V1.4:4:19290653:19297024:-1 gene:LPERR04G20530 transcript:LPERR04G20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSKAKMWANDTMGTVDAAAKDSMVVGEKSKKSQKNATISENDSSSGNSSDEEMTNDQSESDDSSSEDTSEELKKESKQGEDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEESSDELHDADGRDQIAHQNDNLSLKNNVEKETTLEGSTMQEEGNPDNEEVEDLDSQLKDDVNQEKEDEAALITDEKKLALETGRLFFCNLPYATTEDDLVELCRQYGDVDQAHIVVDKTTKLSTGRGYVLFNLPDSAVRALELDNSSFQGRLLRVNAAKPLKNKKFESNYVEEKMSLKQQKLDQRKASEVSGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVDALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTKYKDTPLYLEWAPENILSPTSTPVEDDEKNVVSERIITKAIVEQTVEGVSAEEIDPDRVESRSLFVKNLNFKTSDESLKKHFSTKLKSGGLKSAMVKKHIKNGKNVSMGFGFVEFDSIETATSACKDLQGTVLDGHALILQLCHGRKDGQASKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKLGAHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGESLEELRARTAAQFVDDQNGFQRLSRKRKQTSLVDEGSVKFSRIVE >LPERR04G20530.3 pep chromosome:Lperr_V1.4:4:19287186:19288424:-1 gene:LPERR04G20530 transcript:LPERR04G20530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASACLSHHTHDAFLAFATRCTQSCLRFSTTTSRPEYPAPAARSPSGSGAQYRPRLMHCASHCGVARNASGQNQCGVNSAAPDFSRSCAWPRKFAANAACSSHRAPHSSLLFVHSSYSTRSSGWGIDSRQSRRMMSSSGWDLEELGEVVKERRESAKGLRMRAEGMEMLGRKEAMEGVSYSGESMVRSGSSTVSATRTAAAPASCACHPPRSTRRTTGRRDRERSGFGGDDASPVENGVQPSARPASDGYTTAPVSVPP >LPERR04G20540.1 pep chromosome:Lperr_V1.4:4:19299954:19304227:1 gene:LPERR04G20540 transcript:LPERR04G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAGTTMARAMSASSLPPNVCFFSAGKSSHRKDIIQFVRAPEHAVTRAYVEKSLELGEKASISPSNMCIQKAFIANFRWWTRLKIWEFLGILMRDEEIMLDTATCAMSFRILRMNGYDVSSDDLCHIAEVSDFHNSLQGCLSDTSTLLELYKASEVSVAENDLILDRIGSWSGCLLKEQLSSSVLQRNPSLFEEGSILICLMQCMPLNFKVEHALDCPFYTTLDRPCQTARDLVALSVRHFSTTQSIYQDELQRIDSWVKENRLHELKFARQKLAYFYLSAAGTIFDPEMSDARIWWAINGVLTTVVDDFFDVGGSTEELENLISLVEMWDEHDKKGCYSEQVEIVFFAIYNSVNQLGAKVSAVQGHDVTKHLTKTWLDLLRSMMTEVEWRISNYVPTSEEYISNAAVTFALGPIVLPALYLVGPKIPESIVRDPEYNELFRLMSTCGRLLNDVQTYEREYDEGKVNSVSLLVIQSGGSVSIEEARGEIRKPIEKCRRELLRKMCKVCHFFYSSSDGFSSPTSKVAAVNAVVYEPLRLTGEVGKRGIDIA >LPERR04G20550.1 pep chromosome:Lperr_V1.4:4:19309134:19314987:1 gene:LPERR04G20550 transcript:LPERR04G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSRIDLPRNQPRETPKEIAAKIGSTHARVYVEKRLGVGGNASILQDMERKDLLQARIKDQLQRVELSASSYDTAWVAMVPMRGSRQHPCFPQCLEWILQNQQDNGSWVTNGFGSAATRDVLSSTLACVLALKKWNVGEEHIRRGLNFIGTNFSIAMDEQIAAPIGFNIIFPHMLSLAMGMNLEFPVRQADIDRLVHLREIELERQAGDRSLGRKAYMAYVTEGLGNLLQWDEIMMFQRKNGSLFNCPSTTAATLVNHYDDKALQYLNSLVSKFGSAVPTVYPLNIYCQLSLVDALDKMGISQYFVSEIKSILDTIYSLWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSHVAEASSFRESLQGYLTDKKSILELYKASKVSISENEFLDSIGSWSGSLLKESMCSNAVQKTPTFEEMEYTLKFPFYTTLERLDHKRNIEHFDTKDSQMLKTEYLLPHANQDILALAVEDFSTSQSIYQDELNYLDSWVKEKKLDQLPFARQKLTYCYLSASAAIFPPELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVERWDGHHQDEFYSEQVKIVFSAIYTTVNQLGAMASALQDRDVTKHLVEIWLCLLRSMMTEAEWQRIKYVPTMEEYMANAAVSFALGPIVLPTLYLVGPKLQEDAVKDHEYNELFRLMSTCGRLLNDCQGFERENMEGKLNSVSLLVHHSGGSMSVEEAKKAAQKSIDTCRRNLLRLVLREQGAVPGPCKQLFWKMCKIVHMFYFRTDGFTSPKEMVNAVNAVVKEPLKLKVSDNPHLSILSAN >LPERR04G20560.1 pep chromosome:Lperr_V1.4:4:19317265:19330369:1 gene:LPERR04G20560 transcript:LPERR04G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQEEGEGDDCEVDDVVFRVRNTKHELLQLFETGERREAPVIQRWSPPMEGFVVRNHNGTALLAGAGRRTSVHDALCAEAEAWIAAAMVQGITKITIETDSATLVSALRSQEYDQSPGGAIFVELKLLLQLEFAEVATVHKPRSCNSVAHELAQVGVSMERGQSSVWTDPFPNFRLQANREVDLSLSPYDTAWMAMVPLEAACIGDSPPSSSPRFPQCVEWILQNQHDDGSWRSVNKDVLSSTLACVLALVRWDTGREHVRRGLQFVGSNISVAMDEQTFSPVGFNVTFAAMLRDDVMICSGGRKADIAYAIEGLRSLQDWNEVMKLQRKNGPLFNSPSTTAAELVHKYATKALQYLDMLLDKFGAVPVAYPVNIQYQLYMVDVLEKLGIASHFFGEISSILDMTYSCWLQRNDEIMLDMATCGMAFRLLRMNGYDVSSDELSHLAEPSSFHGSLQGFINDTRSLLELHNASKVSISEKDTILDNIGSWTSCLLKEKLLSSPVPRTPLFEEASCQVEYALSFPFYTTLDRLDHKRNIEHFDNTCCQMLEVHFPCHSNEEIMALGVRDFSCSQFIYQEELHQLGSWVKENRLDQLQFARQKLEYFYFSAGATMFHPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDRTEYYSEQVEIVEWRQSQYVPTAEEYMENAVVTFALGPIVLPALYLVGPKLPDSVVRSQECSELFRLMSTCGRLLNDVQSYEREGTQGKLNSVSLLALQSGGSVTMEEAVKEIQKPVEKCRRELLNLVVSRECVIPRPCKDLFWNMCKVCYFFYSGSDGFSSPTAKAGAVNAVIHEPLLLNMNHLSPRHLASAPHLHGPPRHVRSGSQTLKLLGSEKAGAPPAVGRCGRRHCQSVEGGASLHAVGHRRRRPRAVSISLAITRVQTPAPIDPGPKVAEPPHTHARWQELLRYMMEEVEWRKRQYVPTIEEYMENAVVSFALGPILLPAIYFVGPKIPDSVVRSQECNELFRLVSTMGRLLNDFRSYERECREGKLNSVFLLVLHSGGSVSMEEAVEEIQKPIEKCRRDLLRLVLSKDSTVPRPCKELFWNMSKCCYFFYSRGDGFSSPTAKAGAVKAVIHEPLQL >LPERR04G20560.2 pep chromosome:Lperr_V1.4:4:19317265:19330369:1 gene:LPERR04G20560 transcript:LPERR04G20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQEEGEGDDCEVDDVVFRVRNTKHELLQLFETGERREAPVIQRWSPPMEGFVVRNHNGTALLAGAGRRTSVHDALCAEAEAWIAAAMVQGITKITIETDSATLVSALRSQEYDQSPGGAIFVELKLLLQLEFAEVATVHKPRSCNSVAHELAQVGVSMERGQSSVWTDPFPNFRLQANREVDLSLSPYDTAWMAMVPLEAACIGDSPPSSSPRFPQCVEWILQNQHDDGSWRSVNKDVLSSTLACVLALVRWDTGREHVRRGLQFVGSNISVAMDEQTFSPVGFNVTFAAMLRDDVMICSGGRKADIAYAIEGLRSLQDWNEVMKLQRKNGPLFNSPSTTAAELVHKYATKALQYLDMLLDKFGAVPVAYPVNIQYQLYMVDVLEKLGIASHFFGEISSILDMTYSCWLQRNDEIMLDMATCGMAFRLLRMNGYDVSSDELSHLAEPSSFHGSLQGFINDTRSLLELHNASKVSISEKDTILDNIGSWTSCLLKEKLLSSPVPRTPLFEEASCQVEYALSFPFYTTLDRLDHKRNIEHFDNTCCQMLEVHFPCHSNEEIMALGVRDFSCSQFIYQEELHQLGSWVKENRLDQLQFARQKLEYFYFSAGATMFHPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDRTEYYSEQVEIVEWRQSQYVPTAEEYMENAVVTFALGPIVLPALYLVGPKLPDSVVRSQECSELFRLMSTCGRLLNDVQSYEREGTQGKLNSVSLLALQSGGSVTMEEAVKEIQKPVEKCRRELLNLVVSRECVIPRPCKDLFWNMCKVCYFFYSGSDGFSSPTAKAGAVNAVIHEPLLLNMNHLSPRHLASAPHLHGPPRHVRSGSQTLAAVADATASPSKAVPASTPSDTVVAARALSPSPSPSPWQELLRYMMEEVEWRKRQYVPTIEEYMENAVVSFALGPILLPAIYFVGPKIPDSVVRSQECNELFRLVSTMGRLLNDFRSYERECREGKLNSVFLLVLHSGGSVSMEEAVEEIQKPIEKCRRDLLRLVLSKDSTVPRPCKELFWNMSKCCYFFYSRGDGFSSPTAKAGAVKAVIHEPLQL >LPERR04G20570.1 pep chromosome:Lperr_V1.4:4:19330366:19333266:-1 gene:LPERR04G20570 transcript:LPERR04G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNRDDRIMVLMLLAFLLLAGTPQCEGRGLRQSKKKNPLLNALYKLNFIRTVEPMQLPPSPPPANSSLAGDAASLATGDVSGSGSPYCVNPPNAPSGGNGGGGSTSTPPFTSTPFTPFPPIDQPPPLPPLGSSSPPPFFEPSPPSDGGGGTSPFLSPPAGGFTPITPGSPPSPIFVVPSPPSFTPGGGGGAGGGGYGGGGGGNGGEGGGGGGGGGGGNGGGEGGGGNGGGGGGGGGIGGGGNGGFLPPIVYPPPLAPPAAPGETAVWCVAKPTVPDPIMQEAMDYACGSGAECGSIQPSGACYEPDTVLAHASYAFNSYWQMTKAAGGTCDFGGTATIVTRDPSEVGLFAP >LPERR04G20580.1 pep chromosome:Lperr_V1.4:4:19341859:19342323:1 gene:LPERR04G20580 transcript:LPERR04G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANRRIAVLLLATLAISAQLAPALACSYCPTPKPTPPPPPPAKPKPPPCPPPPYTPSTPSTPSTGKCPINTLKLLACVDALNGLVHAVVGAKAGDACCPLLSGVADLDAALCLCTTIKAKALGVSLVLPVAISVLVNECGKHVPSDFQCPS >LPERR04G20590.1 pep chromosome:Lperr_V1.4:4:19344235:19348396:-1 gene:LPERR04G20590 transcript:LPERR04G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDSIVFRSYVALGSYQLVISEIDSSAATPLQAVKLLAMYLSGDKEGAIASLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAASAEDTFERALQAVA >LPERR04G20600.1 pep chromosome:Lperr_V1.4:4:19348867:19355405:1 gene:LPERR04G20600 transcript:LPERR04G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTTAAAAAEVAEKPPPQQQQQQQEREVMGLAAHDASGHLTPIRITRRNTGDDDVAIKVLYCGICHSDLHTIKNEWRNAVYPVVPGHEIAGVVTEVGKNVTKFAAGDSVGVGCMVNTCGACESCADGCENYCPRIVFTYNSVDHRSPTTTTTTRGGYSGAVVVDRRFVVRLPAALPLDRGAPLLCAGVTVYAPMRQHGLCVPGKHVGVVGLGGLGHVAVKFGKAFGMKVTVISTSPGKREEAVERLGADGFLVSTNPSEMKSAMGTMHGIINTASASTSMHPYFALLKPKGKMILLGLPEKPLQIPPFALVGGGKTLAGSCMGSISDTQEMIDFAAEHGVAADIELIGVDEVNTAMERLAKGDVRYRFVIDIGNTLKSD >LPERR04G20610.1 pep chromosome:Lperr_V1.4:4:19353481:19355838:-1 gene:LPERR04G20610 transcript:LPERR04G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGLRRFPTAAAALPRSLATRRRLSKMPPPPPGTEWTDTVDYLDETGEIISSAPGARPAPWYVPSDELSSLLAARLPPSTHSSELLSIFDDVLALPDPAAFPKTLNAVVSALATHGLLEPGFFCFKRLRDGGYRVLGTNTYNALLSLLLSRGLAFKAFEVLDEMATSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIRRASAGVYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASSAVSTAVVEGLVRAGKLDAGMELWEEMRKGGLRPSFGLYTMVVEANARSGRLDVATKLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYYSMSNAGTRPGLSTFTALLMMLANKRMLDLAAKILLEMKASGFPIEVTASDLLMIYIKDGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDEFSERAIMDILSVSKHKAHNFMCGLFTGPEQRKKPVLSFVREFFQGIDYENEESAARYFVNVLLNYLILMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHKLNVMFPSSAPEVRSISLPRSLGMSR >LPERR04G20620.1 pep chromosome:Lperr_V1.4:4:19355697:19358295:1 gene:LPERR04G20620 transcript:LPERR04G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAVASMMMWIAPVAIVYGFYYQIIPGVSQLSSSTQTLASGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFLANAKASINQPASTQVNDDSQGKGKVE >LPERR04G20620.2 pep chromosome:Lperr_V1.4:4:19357539:19358295:1 gene:LPERR04G20620 transcript:LPERR04G20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAVASMMMWIAPVAIVYGFYYQIIPGVSQLSSSTQTLASGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFLANAKASINQPASTQVNDDSQGKGKVE >LPERR04G20630.1 pep chromosome:Lperr_V1.4:4:19359125:19361030:-1 gene:LPERR04G20630 transcript:LPERR04G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPLLLLFVQQAAASTCDCDNTTDGIDRQGAMKLKLIAIASILTAGATGVLVPVIGRSMAVLRPDGNAFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLNKSGRGKNPFPFAGLVSMFAAVATMVVDSLAAGYYHRSQFSKARPVDNINIHKHDADEERTEHAQQINVHTHATHTHSHGGSSEEGSIAESIRHRVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGIGLGGCIVQANFKVKATVIMAIFFSLTAPVGITLGIAISSSYNEHSSTAFIVEGVFNSASAGILIYMSLVDLLATDFNNLKLQTNIKLQLMAYLALFLGAGLMSMLAIWA >LPERR04G20640.1 pep chromosome:Lperr_V1.4:4:19380047:19383850:1 gene:LPERR04G20640 transcript:LPERR04G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRRRREVDCSLLLLAALLLALARGASGWAHGHGGIAAGVVAGAGGERRYRDLATRRMESVRSSFGARRNLATSNTNSRVYHVTDYGADPTGRDDATAAITKAIADAFRRPSNATMTGGIPDLGGAEIHLDGGVYLLKGPLSLPASGGGNFKIHSGSLRASDDFPTDRYLIELSAKAAGGGSSPAMSYYYEYVTLRDLMLDCNHRGGGVSVVDSLRVAVDNLYITHFSTDGVAVTGGHETFIRDTFIGQSMTAGGDPGERSFTGTGIRLDGNDNSVSDVVIFSAATGIMVTGGANSISGVHCYNKATGFGGAGIYLKIPGLTQTWITNSYMDFTSIVAEDPVLLHVSNSFFLGDANVILKSVNGVARGVQIMGNLFNGRGKGVDIVELDGEFKTVEQVYVAQNAAEGMNLRSTAARLAAEGNGSVWTVDFSPMLLFPDRIEHVQYSLVAGGGAFPGHALRNVSGNRVVVATDADVTATVHVLVDQNSS >LPERR04G20650.1 pep chromosome:Lperr_V1.4:4:19384378:19388055:-1 gene:LPERR04G20650 transcript:LPERR04G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPNPLKPQLAVGGKLHHGAGAGAAQAMAPSHLNLLLLLGASQQEAAAGAVSAASSPVPTSKATDAKSRSKNGGRSGGGGGDPERSDFYLNLGTAVRTLRDDLPDVFVREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDISRIWQVTENSIVVRWELWGAPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPVAKVGSIADIVVATCPPSPNLTFWDLVGTGDGCSWTKLYQAVVETVEREGDNPAGIALEDFSMRRDQETGGTLTSDKRKLVN >LPERR04G20660.1 pep chromosome:Lperr_V1.4:4:19389565:19392955:-1 gene:LPERR04G20660 transcript:LPERR04G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSSLTIPPSFAGASPPSPSPIGGGGGGVGQPAKDRKIASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYPALSPPTMSPGASNRVCNALALLQCVASHPDTRHLFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLATMVVSQSEQPSTRLLKHIIRCYLRLSDNSRACAALQSCLPDTLKDGTFNNCLRDDPTTRRWLQQLLVNVTGGGMGGAPQPGLDHMMGM >LPERR04G20670.1 pep chromosome:Lperr_V1.4:4:19393659:19395939:1 gene:LPERR04G20670 transcript:LPERR04G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >LPERR04G20680.1 pep chromosome:Lperr_V1.4:4:19396723:19400260:-1 gene:LPERR04G20680 transcript:LPERR04G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGFLGFGFAPEFRSLDLSRIDRIIRRSLGSHGFKEPTVEPVPESSVSKVEERSPEEKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCIQKLAAQSSESPNNTVPIHWYIMTSPFTDDITHKFFESRKYFGLESDQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSKRLLEDMSARGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYSGENLEAICRGRTFHAPSEISF >LPERR04G20680.2 pep chromosome:Lperr_V1.4:4:19396723:19399805:-1 gene:LPERR04G20680 transcript:LPERR04G20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFTDDITHKFFESRKYFGLESDQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSKRLLEDMSARGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYSGENLEAICRGRTFHAPSEISF >LPERR04G20690.1 pep chromosome:Lperr_V1.4:4:19405118:19407861:-1 gene:LPERR04G20690 transcript:LPERR04G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEDCHRITRSGVVRAKPAVTVDGKIETAGEGLPHGWLKEYRPRKNQSGSRIKGDTFYIDPTNMYEFRSLKDVHRYLESGDVTNCVMIQNKRKIEDLHTTRNQSHNTRRSSDQRQLDAGKGSTQCDVPIAGGNNTQNDAMVNANSSDNAEDMSSSEPKGVSKGRLTRLRLQKERVPNQSVEHEYSTGEANLEHKPREKKQKTKPVKQIPTPLRASPRLAALKINQEASNVPKNEPFSMQSDTGNYLQPKLAKSPKAKANSTVPSEKNDGAHTSIASENAKNKYPSATEQIQGSSVHPQQVGTADAMPGSALSSLLRSIWSDPCLKFAFKTLTSDIPALDFIPSQDLNKGTTPNCSSSAYDGSRNHAQVDHVRMPMPRPSDKFYSSGWFPPQ >LPERR04G20710.1 pep chromosome:Lperr_V1.4:4:19415418:19419356:-1 gene:LPERR04G20710 transcript:LPERR04G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNRGSMWELDQNLDQPMDEEASRLKNMYREKKFSSLLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVEDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSAAAKIKRWLEAHAYKRNCLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVAVIILIGLFSMQHYGTDKVGWLFAPVVLLWFILIGSVGALNIHKYNSSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVLAIQIAFTLIVFPCLLLAYTGQAAYIISHKDHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDEFSVPEQKAEGSINNAFLTDKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSHASHTISDELEFLNRCKDAGVVHILGNTVVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >LPERR04G20720.1 pep chromosome:Lperr_V1.4:4:19426393:19428913:1 gene:LPERR04G20720 transcript:LPERR04G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGESPFRADLLRGKAALVTGGGSGIGFEVAAQLARHGAHVAIMGRRREVLDKAVAALRSHGLTAVGFEGDVRKQEDAARVVAATVEHFGRLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREMMPLFKLGEKRDIAMAALYLASDAGKYVNGTTVVVDGGLWLSHPRHIPKEAVKELSKVVEKKVRTSGVGVPSSKL >LPERR04G20720.2 pep chromosome:Lperr_V1.4:4:19426299:19428913:1 gene:LPERR04G20720 transcript:LPERR04G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGESPFRADLLRGKAALVTGGGSGIGFEVAAQLARHGAHVAIMGRRREVLDKAVAALRSHGLTAVGFEGDVRKQEDAARVVAATVEHFGRLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREMMPLFKLGEKRDIAMAALYLASDAGKYVNGTTVVVDGGLWLSHPRHIPKEAVKELSKVVEKKVRTSGVGVPSSKL >LPERR04G20730.1 pep chromosome:Lperr_V1.4:4:19430026:19431984:1 gene:LPERR04G20730 transcript:LPERR04G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENPTNRQVTFSKRRMGLLKKANELAILCDAQIGVIVFSGTGRMYEYSSPPWRIANIFDRYLKAPSTRFEEMDIQQKIIHEMTRMKDENNRLRIIMSQYMGDDLGSLTLQDVSNLEQHIEFSLYKVLLRKQQLLDQQLLEMRNREMPIPGDQSNYLCHMNLDQTQAAPMVDPKPFPLWNVGSQVYNQDAESSMTALQLSPQLQEHKLQPLQPNLQESNLQGYVLRLW >LPERR04G20740.1 pep chromosome:Lperr_V1.4:4:19438091:19442523:1 gene:LPERR04G20740 transcript:LPERR04G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREGARPNSGSGAEGSKTYPRRPAERSARGRRRSVLGRSLDYPCVLHLRFRRLLSFLDNLGYLQACEMINERTALIFDMSYLVDLVEAGECLEALFYVFRFAPYGNSGVEARTLLAWYWFLDTLKLLHDFAHGINRHTKAATEWFAPIAAQRWKLARFFPLYVALASHFVNDIPELAMDMLDRKQAKIAERLAYLTPEIRGTLNTPRGTAEPADIFPILGRRHVKPAQRAQPSDLARFYLEKKKRSNTRPPSEFSRTRLLSLIELALHAGERTVLNQLRSTEYFSKQDIQSVPVMQTMLTNSSNKDDSTNMHPPRNQGQQYESSPNEGVGSIRVPQPIKGTTMNFDPFNFHARSQAGSTLTTFAHGARLCGRKGLSRSNELSKWKMELFGVGVIGWTH >LPERR04G20740.2 pep chromosome:Lperr_V1.4:4:19438091:19441818:1 gene:LPERR04G20740 transcript:LPERR04G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTREGARPNSGSGAEGSKTYPRRPAERSARGRRRSVLGRSLDYPCVLHLRFRRLLSFLDNLGYLQACEMINERTALIFDMSYLVDLVEAGECLEALFYVFRFAPYGNSGVEARTLLAWYWFLDTLKLLHDFAHGINRHTKAATEWFAPIAAQRWKLARFFPLYVALASHFVNDIPELAMDMLDRKQAKIAERLAYLTPEIRGTLNTPRGTAEPADIFPILGRRHVKPAQRAQPSDLARFYLEKKKRSNTRPPSEFSRTRLLSLIELALHAGERTVLNQLRSTEYFSKQDIQSVPVMQTMLTNSSNKDDSTNMHPPRNQGQQYESSPNEGVGSIRVPQPIKGTTMNFDPFNFHGNRASSGGSGRASAARSGVAGARFGTPVPGSAAPDSGAQKRRRQRGLPEAVTRGLANGDGVGSLRRQLRRLAEAAARAEMQRHRCSLRQHR >LPERR04G20750.1 pep chromosome:Lperr_V1.4:4:19443714:19446829:1 gene:LPERR04G20750 transcript:LPERR04G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVKEIPLVAAGGSKLRRRLARLRYRRLRKNQYLEAYHKIFNVRDLVDLVEQGKCSTVEAYALKEFLHRLGVFDRFTHGVCRTPLLHARIVQDDDGHTLSSLYPCYFTLASHFLSDQPQAAMDMLDWQLVRSKAAKIAKAMSYKVPEIISRLPRARANIFPLASPYSSSVARRRHVKERRAERDVILQCYLEKMKSILLKICWCLTNSILILLKIGSNKLCSINHIIDNVFTTLEDSGMVGRASVLWFGTVEIKRSITQLQQLVVDLECKFGADCGAGSTLVPSATTFSPAMAQMHRGLDASIRSLYDGTELSS >LPERR04G20760.1 pep chromosome:Lperr_V1.4:4:19449934:19467575:1 gene:LPERR04G20760 transcript:LPERR04G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLLRSNGSTGQLLLEVFKGKGIGSYVCDSKGNKYLDALAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKTALTTGASIFLVAAFIAEPVIGAGGIIPPPKTYFEKVHAVLKKYDILFIADEVSTGFGRLVTMFGSDMYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVIHSQSNKIGLFAHGFTYSGHPVSCAVALEALKIYRERNIPDHVKQISPRFQEGIKAFAGSPIIGETRGVGLLLATEFANNKSPNDPFLPEWGVGGIFGAECKKRGMLVKVVGDEIAMSPPLIMTHREVDGLVSIYGEALKATEERVAELICKKTRGWKMIARGLLRSNASSSSSQAINLLKYVTTSGSLQGHAQNLWDAPTRHFSSVPSPQSNSTDENGFKGHGMLAPFTAGWQSTDVHPLGCYVYDMDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKEMLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGCDMYNIKPDLVSVAKALSSAYVPIGATLVSPEISEVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKKVSPRFQEGVKAFAGSPIVGEIRGVGMILGTEFADNKSSNEPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKNNN >LPERR04G20760.2 pep chromosome:Lperr_V1.4:4:19449934:19467575:1 gene:LPERR04G20760 transcript:LPERR04G20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLLRSNGSTGQLLLEVFKGKGIGSYVCDSKGNKYLDALAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKTALTTGASIFLVAAFIAEPVIGAGGIIPPPKTYFEKVHAVLKKYDILFIADEVSTGFGRLVTMFGSDMYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVIHSQSNKIGLFAHGFTYSGHPVSCAVALEALKIYRERNIPDHVKQISPRFQEGIKAFAGRVGGIFGAECKKRGMLVKVVGDEIAMSPPLIMTHREVDGLVSIYGEALKATEERVAELICKKTRGWKMIARGLLRSNASSSSSQAINLLKYVTTSGSLQGHAQNLWDAPTRHFSSVPSPQSNSTDENGFKGHGMLAPFTAGWQSTDVHPLGCYVYDMDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKEMLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGCDMYNIKPDLVSVAKALSSAYVPIGATLVSPEISEVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKKVSPRFQEGVKAFAGSPIVGEIRGVGMILGTEFADNKSSNEPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKNNN >LPERR04G20760.3 pep chromosome:Lperr_V1.4:4:19449934:19467575:1 gene:LPERR04G20760 transcript:LPERR04G20760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLLRSNGSTGQLLLEVFKGKGIGSYVCDSKGNKYLDALAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVKLVWYYNNALGRPNKKKNIAQSQAIPAMHLKFDLPASFVLHTDCPHYWRFHLPGETEEEFATRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGCDMYNIKPDLVSVAKALSSAYVPIGATLVSPEISEVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKKVSPRFQEGVKAFAGSPIVGEIRGVGMILGTEFADNKSSNEPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKNNN >LPERR04G20770.1 pep chromosome:Lperr_V1.4:4:19472442:19481377:1 gene:LPERR04G20770 transcript:LPERR04G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGETTALKMPDKAVDKAQVHEGDKENLMNGNSNLQVKEPHNDEDDGTGSDGFELIDVKENFDSAKVLEEEKASPIEQEQTLTGNLGHTESAMLNQETKRLEELTKRIEELELEKDKLVKGMTEAEDKQNLHYSSLQEAQRSLAEKDKELADATQSLKELGSELESSKKRIQKVESELATSADKLHKLEELKDERSLHAAQEAKRASELDKTLEMAQLNMKEMENHISSLQEEIKGHQDKAIDHQQIEESLRSSISELKMVQEALELSKSQVADLEQKLASQDANISNLTEELSLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKETLTREATLEKQQEQIQNLQSELDESKGGYETLQGTIVDLNLKLGEKDSLLRQAEDEHAKARLLLSEALAHKDELEVNLKSINDQNDESKAAAENSNQKILELEAQIQSLHVAGERLEEALKSQLNEAAARADVAEKKSSDLEQLLSESENKLVASSGELKLLEERVQQETASSAEKEKQLEEAASSAEAYKEKINELQSSLDSMTSKNQLLEQEVKDLSDKFSEHQEQAHSVHQRSLELESLLHTSKSDAEVAHTRTQDLENELNTTNAKFKEVEADLEHYKSKVSQLSDELEAYQTKATSLEAVMESASGKEKELMESLGQITEEKKKLELLVVEYEEKTQEHLKEKQSLEERLQSQESKVVTLEESLVKLGEEKESHENTIADLNLQLSNKNDMHMQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLNDLHVESKTAAESTLQRIAELETQVQELNAAEQTLKSHLTEFESKLASAEKKSMDLEQELKDAIEECNSSRLKVEELSGELEAYREKSTSIEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKHLEAENQVQALQEELESARHKLEEVESDLEALGVRESSVLDKLKSAEEQLEHNGKALEHATSKKIDLEALYQSLLEDTEKKLQQAGENLTQKETECQELAEQLKAAKEQAASYQAKATAAAEEVESMKVELEAFEMEISTHETTIEELKTKVSNAESKAEQVLAESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEEVVTKLSAHERTIEHLTEVHSRGLELHATAESKNAELEAQMHEAIETIEKKNSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKLAVHEKTIEHLREEHSRGLELQSSSESKTAEIENELHEVLETVAQKEAEVTDLKEKLVSLETKNEKLVGINEALQGEIDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNGEIEAKLHEALEMVAQKETEVKDLNKKLDALEIELGYYEEQATEAAATEETHRIKFDEAVLKIKSLEEQLAVIQNKVELFHTEKEDLVLANGRLNEELELHQNKLNELQVALAAAVAEKEESSVENHSLRKALDGMIQRKEELESQVSSTVEEHEELKSKYNITLEENQLLIEKYESAKKELGEAIAKLEEQMNVHKSEKELHISKLERQITMSELKYMEEIQTMQVETTEKGEALTAKMKEHENLLQEKDILEQQLQEVRKELNDAYRTIANQEEQVSVREIKWDAFKKYSEDQLEAEQQHVAELEVQVSALKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVSELKAQRQYAAELEKQIGTLTQQLQLVETQYKQKAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTSSNQTQQEIQIREPSGIMAFKFILGVALLSVLIGVFLGKRY >LPERR04G20780.1 pep chromosome:Lperr_V1.4:4:19492368:19497344:1 gene:LPERR04G20780 transcript:LPERR04G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDRAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGALTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASQETFGTLGAVVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVVAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTGDHGPENWTSGVDLGRLLDRLELDLIITNESLQEFSCYKDAVQQQRFALVAAVNSAVGESSGAPVAIPEEKVEEIFEPLGIKIQQLPRHDVEASGTEGDESSNAVVNVEEHQFISNFVGMSPVRSDQDAPRNIANLNNPSEEELAMSLHLGDLEREPKRLRSDSGSSLDLEK >LPERR04G20780.2 pep chromosome:Lperr_V1.4:4:19493646:19497344:1 gene:LPERR04G20780 transcript:LPERR04G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDRAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGALTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASQETFGTLGAVVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVVAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTGDHGPENWTSGVDLGRLLDRLELDLIITNESLQEFSCYKDAVQQQRFALVAAVNSAVGESSGAPVAIPEEKVEEIFEPLGIKIQQLPRHDVEASGTEGDESSNAVVNVEEHQFISNFVGMSPVRSDQDAPRNIANLNNPSEEELAMSLHLGDLEREPKRLRSDSGSSLDLEK >LPERR04G20790.1 pep chromosome:Lperr_V1.4:4:19498105:19504328:1 gene:LPERR04G20790 transcript:LPERR04G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAKLWSKFDASTGKTVSVDDKTNIVVPEDRYGLYAIDTLDPDMLESVYTASGGKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWQSNFFISKWSMQQLLIECPSIYELLANSSYQWEDTPYLQIWREKLNDNGKKSAMLESYEPDEAIKMIRDALSKHEASHLYFTLQYNSHNIMFFSSETYSIISDGMHIPLPLDMDILRWARETQEILCKAKLPESVKFYNIYGIDYDTAHTVRYGSEHHPISNLSDLLYTQGTYICVDGDGSVPVESAKADGLNAAARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYQEKHGNITSVREDWEIITPCNDETKRPSELPPMFNTLSASREDKDGSLEEAQATIVVHPESKGRQHVEVRAVGVTHDG >LPERR04G20790.2 pep chromosome:Lperr_V1.4:4:19498105:19504328:1 gene:LPERR04G20790 transcript:LPERR04G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAKLWSKFDASTGKTVSVDDKTNIVVPEDRYGLYAIDTLDPDMLESVYTASGGKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWQSNFFISKWSMQQLIISDGMHIPLPLDMDILRWARETQEILCKAKLPESVKFYNIYGIDYDTAHTVRYGSEHHPISNLSDLLYTQGTYICVDGDGSVPVESAKADGLNAAARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYQEKHGNITSVREDWEIITPCNDETKRPSELPPMFNTLSASREDKDGSLEEAQATIVVHPESKGRQHVEVRAVGVTHDG >LPERR04G20800.1 pep chromosome:Lperr_V1.4:4:19503479:19504037:-1 gene:LPERR04G20800 transcript:LPERR04G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPGTAAYPPPGTAYPPPGQQAYPPPAYGAPPPVAADYPPKDYGYQQQPPPQDTQSRGDGFLKGCCAALCCCCLLDMCF >LPERR04G20800.2 pep chromosome:Lperr_V1.4:4:19503631:19504037:-1 gene:LPERR04G20800 transcript:LPERR04G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPGTAAYPPPGTAYPPPGQQAYPPPAYGAPPPVAADYPPKDYGYQQQPPPQDTQSRGDGFLKGW >LPERR04G20810.1 pep chromosome:Lperr_V1.4:4:19505735:19509579:1 gene:LPERR04G20810 transcript:LPERR04G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAFVFFLPSTISFHVSSRLLSSPFTAATAYPPRAELAVSASPRRRRRRWRPDLPGCGFCFSSIGINRIRTNKFVKAGSQPRQIACRTSRICCEHSPDTSSKRHERYQRQPQNVDLPELHPKKKKKPFPVPIKKMLQASRQDKRLAQMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSINDVLIPIESYHLYDPFGWRVKHETRFDYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGFVDEPKPQHSEDCISLLAELDTFSNQQGQSSTPSNVTELAEKTLKAYLDVRQGVKKLMSKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVLDDVIPPNYVWHVRDTTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEFRPMMRDDITQKKLEWLLDYSIPLQLSIVQIPIKGLHTIMVKRMYRGCATTRLKVRKDGPMELL >LPERR04G20810.2 pep chromosome:Lperr_V1.4:4:19505735:19509579:1 gene:LPERR04G20810 transcript:LPERR04G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAFVFFLPSTISFHVSSRLLSSPFTAATAYPPRAELAVSASPRRRRRRWRPDLPGCGFCFSSIGINRIRTNKFVKAGSQPRQIACRTSRICCEHSPDTSSKRHERYQRQPQNVDLPELHPKKKKKPFPVPIKKMLQASRQDKRLAQMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSINDVLIPIESYHLYDPFGWRVKHETRFDYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGFVDEPKPQHSEDCISLLAELDTFSNQQGQSSTPSNVTELAEKTLKAYLDVRQGVKKLMSKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVLDDVIPPNYVWHVRDTTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEFRPMMRDDIVIPDSEEARMAA >LPERR04G20820.1 pep chromosome:Lperr_V1.4:4:19509669:19511906:1 gene:LPERR04G20820 transcript:LPERR04G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEELKRVDLKVNVSCCEGCRRKVMKAMSLKGVLRTEIDPSLDMVTIVGDVDSRVLIKKLSKVGKIAEVVAPPPPPPATAKSEEGKKIDGGEKSAAPAGEKNTHKDGKGDKNAAAPAPCKQECSKCTAAAAGKKKESAADEADRAGGKTASTVKDSAVKSGGGGDGDKAATEEVPAVEYEYHQHYSRAEPAMVVPVHVPYGPYYAATPYYAAAGAGGGGYYAMPPPPPQMAAMLHRQLRPQPSRFDEDFFNEDNTVGCHVM >LPERR04G20830.1 pep chromosome:Lperr_V1.4:4:19515411:19520112:1 gene:LPERR04G20830 transcript:LPERR04G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGEGRGGGYGGGRGGRGYESGAGRGYGGGGGGGGRGYESGGGRGYGGGRGYDSGGGRGYGGGYDSGGGPGYGGGGGRGYESGGGRGQGGGGRGYEPSGGRGGNVWAQPGRGRGGGPSPAPAPAPAARRIQDEGAGRSSGSVERINSSEVVRVQQPAPPVAVSPSGTRVPMRRPDGGGSVSKGNVRLLVNHFIVNYREASTIFHYDIDIKLDKDSPKASSKELSNADFLSVKDELFKDESFRRLSSAVAYDGKRNLFTCAKIPDGLFRVKVRSRTYIVSVEFKKQLPLSQLSELPVPREVLQGLDVIVREASRWRKIIIGQGFYSLSRSVAIGRDVIALKGTQQTLKCIQKGLILCVDYSVMPFRKAGPVLELVEKFVRYIDYRTTLNKQQYENLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKRLIDYYSQQYGKVIEYAMLPCLDLSKSKDKPNYVPIELCDLLEGQRYPKTSLDRNSDKELKGMALIPASRRKQEILEMVNADDGPCRGEIAQQFGISLDVKMMEVTGRILPPPNLKLGGSNGQPCKFSIDQPNCQWNLMSRRLAEGQVLQCWGIVDFSEQSGRDALNGNMFIDKIVRKCCDLGIQMNRNPCFVHLSNMDVLYDPHGLYEVLNNAKQAAAKAKQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSTLANKPNGQDQYMSNLALKINGKIGGSNIQLYGESLPRVSGEPYMFIGADVNHPSPGNVESPSIAAVVASVDPGASKYVPRIRAQPHRCEVIQHLGEMCQELIGVFEKRNKVKPQRIVYFRDGVSDGQFDMVLNEELADMERAIKTEGYSPTITVIVAKKRHHTRLFPKDMNQQQTKNGNVLPGTVVDTGVVDPVAYDFYLCSHNGLIGTSRPTHYYNLMDEHGFGSDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSAGASNFSNFPTLHADLVDNMFFI >LPERR04G20830.2 pep chromosome:Lperr_V1.4:4:19515446:19520112:1 gene:LPERR04G20830 transcript:LPERR04G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGRGGGSQGGGEGRGGGYGGGRGGRGYESGAGRGYGGGGGGGGRGYESGGGRGYGGGRGYDSGGGRGYGGGYDSGGGPGYGGGGGRGYESGGGRGQGGGGRGYEPSGGRGGNVWAQPGRGRGGGPSPAPAPAPAARRIQDEGAGRSSGSVERINSSEVVRVQQPAPPVAVSPSGTRVPMRRPDGGGSVSKGNVRLLVNHFIVNYREASTIFHYDIDIKLDKDSPKASSKELSNADFLSVKDELFKDESFRRLSSAVAYDGKRNLFTCAKIPDGLFRVKVRSRTYIVSVEFKKQLPLSQLSELPVPREVLQGLDVIVREASRWRKIIIGQGFYSLSRSVAIGRDVIALKGTQQTLKCIQKGLILCVDYSVMPFRKAGPVLELVEKFVRYIDYRTTLNKQQYENLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKRLIDYYSQQYGKVIEYAMLPCLDLSKSKDKPNYVPIELCDLLEGQRYPKTSLDRNSDKELKGMALIPASRRKQEILEMVNADDGPCRGEIAQQFGISLDVKMMEVTGRILPPPNLKLGGSNGQPCKFSIDQPNCQWNLMSRRLAEGQVLQCWGIVDFSEQSGRDALNGNMFIDKIVRKCCDLGIQMNRNPCFVHLSNMDVLYDPHGLYEVLNNAKQAAAKAKQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSTLANKPNGQDQYMSNLALKINGKIGGSNIQLYGESLPRVSGEPYMFIGADVNHPSPGNVESPSIAAVVASVDPGASKYVPRIRAQPHRCEVIQHLGEMCQELIGVFEKRNKVKPQRIVYFRDGVSDGQFDMVLNEELADMERAIKTEGYSPTITVIVAKKRHHTRLFPKDMNQQQTKNGNVLPGTVVDTGVVDPVAYDFYLCSHNGLIGTSRPTHYYNLMDEHGFGSDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSAGASNFSNFPTLHADLVDNMFFI >LPERR04G20840.1 pep chromosome:Lperr_V1.4:4:19531075:19536612:1 gene:LPERR04G20840 transcript:LPERR04G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRSGGRGGGSQGGRYGGGGGRGYESGDGRGYGGGGGERINSSEVVRVQQPAPPVAVSPSSTRVPMRRPDGGGSVSKGNVKLLVNHFIVNYREASTIFHYDIDIKLDKDSPKASSKELSNADFLSVKDELFKDESFRRLSSAVAYDGKRNLFTCAKIPDDLFRVKVRSRTYIVSVEFKKQLPLSQLSELPVPREVLQGLDVIVREASRQGKIIIGQGFYSQSRSVAIGRDVIALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLRYIDYRTTLNKHQSENLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKRLIDYYSQQYGKVIEYPSLDRNSDKELKGMALIRASRRKQEILEMVNADDGPCRGEIAQQFGISLDVKMMEVTGRILPPPNLKLGGSNGQPCKFSIDQPNCQWNLMSRRLAEGQVLQCWGIVDFSEQSGRDALNGNMFIDKIVRKCCDLGIQMNRNPCFVHLSNMDVLYDPHGLYEVLNNAKQAAAKAKQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSTLANKPNGQDQYMSNLALKINGKIGGSNIQLYGESLPRVSGEPYMFIGADVNHPSPGNVESPSIAAVVASVDPGASKYVPRIRAQPHRCEVIQHLGEMCQELIGVFEKRNKVKPQRIVYFRDGVSDGQFDMVLNEELADMERAIKTEGYSPTITVIVAKKRHHTRLFPKDMNQQQTKNGNVLPGTVVDTVVVDPAANDFYLCSHNGLIGTSRPTHYHNLMDENGFGSDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLFYEGMMMSQPPPPSSASSASSSAGASNFSNFPTLHADLVDSISRRRWTAAAGEVAAIAAAGEVAVAATADGVVAAITAGR >LPERR04G20840.2 pep chromosome:Lperr_V1.4:4:19536384:19541919:1 gene:LPERR04G20840 transcript:LPERR04G20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEGITGEETGIEEAEEDMAVFKEETKALAGAGAVGVGMAVVGITRTIGRHWGEIRRRHRRGRWWGHWGDRRRHDGRWWGHWGDRRRHNHQKQRQRQRLQRRGSRTRGPRARRVPSRIPYSQPPATTVASSNEGKPTAPTASSNKDKREEPPTGKREQITGAPDDGGSIPEAEVKLLVNHFKVNYKDLPAIFHYDIITKICEDPKKASEEKPGEKVSDRKPGEKVSDKKVSKAELLTVKEQLFKNDESLQEFSSAVCYDGVRNLYTCAKLPDDSLVPESEFRVKVGSRTYSVTVELKNQLPLSQLWGPPSVHRAVKQGLDVIVREASSCGKLILGQGFYSRDTDTIKGNLVPYLVAFKGTQQSLKLTQDGLILCVDYSAMPCRKSGPVLDLVKMLLGRYYDRNTSLSNDQLEKLKNELKGLRVKVRLRTSGEKFTVKGLTDKPASAITFQDSKSGQTHELVNYYKQKYNKEVLHQMLPCLDLSKSQSKLNYVPIEFCDIPEGQRYPMASLEKKPDNIKATDRKQKILNLVIADDGPCRGEKAQKFKISLDTNMTEVTGRILTAPDLELGSPETESGNCKFSIYQPNCQWSFMYEKTQVPDGRALEWWGFVNFTGQKASRLYQHWLVFADLVKKCCALGIRMEQEPCFWHFSKMEELSDPKGLREVLNKAKEHKLQLLFGPMTKKDPGYKTLKFISETELGIQTQCFLTSSLPDRDVKQNKYDQNMTNLALKINGKIGGSNIQLNPDLTLPMISSGGASFMFIGADVNHPPPGNLNKDIPSIAAVVASVDRGVSKYVARIRAQAHRREAIDHLGDICWELVAAYQKQNDTKKPPDKIIYLRDGVSDGQFGMVLGDELADMEKVFREKGNGYSPSITAIVAKKRHNTRLFPKDIDQRQTKNGNVLPGTVVDTVVVDPDAYDFYLCSHEGIVGTSRPTHYYNLTDDHGLGSDDLHKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYCEAQAMMPAQQPAAAEASSSSAGADDFKKLPQMHADLVDNMFFI >LPERR04G20850.1 pep chromosome:Lperr_V1.4:4:19541793:19545654:-1 gene:LPERR04G20850 transcript:LPERR04G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTSGDPAGAGAADGEPASSQPRQPTPRISHIVRTYLDLSSNPKKRRPALPKSHAAAAAGDGKDGGESSASAAQSTRLLRELGVRVSRYTHEERRDIILRYMQKRSGRQGGAGKRAATTKVPSRKALAERRRRGAKGQFLGKEGVKKSTGKKKSEVYRHKNALVANKRQKTRIDSRHPDEAATGPRDGELDMTPDNMQSEPRNSPNQFLPDQLMQGHYILGHSYGLGTSENHHNNLNQFGQASSVPTLQQQAFPGNNHLTQGYPSDMHALQFVGANPQMEHQNDDQDQSSIPVWDFL >LPERR04G20860.1 pep chromosome:Lperr_V1.4:4:19546089:19549123:-1 gene:LPERR04G20860 transcript:LPERR04G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADVARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSETGDDRDLDTDNNLTARVPSWKAEVSSSRTSGGTSSISTMTESAQGHQFSITPYTAPKLTPGQSTFSSWHGSSSYQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSRERFLSCKFLEVSSMKKEIRQ >LPERR04G20860.2 pep chromosome:Lperr_V1.4:4:19546291:19549123:-1 gene:LPERR04G20860 transcript:LPERR04G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADVARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSETGDDRDLDTDNNLTARVPSWKAEVSSSRTSGGTSSISTMTESAQGHQFSITPYTAPKLTPGSTPIISSSGGSPRASSAKFLSGPTSPTRSLSEGQSTFSSWHGSSSYQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREGIWFCWEMITVLFIGINIKMDFVHQHRTTRDLYIKHRIARDLYIDRYNLSWPSSITSCKCCIPTQGPGD >LPERR04G20860.3 pep chromosome:Lperr_V1.4:4:19546291:19549123:-1 gene:LPERR04G20860 transcript:LPERR04G20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADVARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSETGDDRDLDTDNNLTARVPSWKAEVSSSRTSGGTSSISTMTESAQGHQFSITPYTAPKLTPGSTPIISSSGGSPRASSAKFLSGPTSPTRSLSEGQSTFSSWHGSSSYQYSAPTSPPQHRSFAGRPRIDGKEFFRQARSILTFMATNPRTRLSYEQFGAFLANIKEFNAQKQSREGIWFCWEMITVLFIGINIKMDFVHQHRTTRDLYIKHRIARDLYIDRYNLSWPSSITSCKCCIPTQGPGD >LPERR04G20870.1 pep chromosome:Lperr_V1.4:4:19550963:19553868:-1 gene:LPERR04G20870 transcript:LPERR04G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAAAAAAVEQAHELYRGGRHREALELYTAALAAARGPGQRIALHSNRAACYLKLHDFHKAAEECTSVLELDTEHAGALMLRAQTLVTLKEYQSALFDVNRLIEINPSSEVYRNLHDRLKTQLALAPILESEEESLCLEEDKEELPPKGNGNIDISITKSDQSATNEVVKKKPAKEPIVEKKPATELILEKKPSITELVVEKKPATELITEKKHATEPPKVGVLPSLPSKPPSWETIAKPKGHSRLDYSKWDRVEDDSSEEEDDEEDELPQYKFKVRTVGVRSLSRFETLADD >LPERR04G20880.1 pep chromosome:Lperr_V1.4:4:19554665:19558048:-1 gene:LPERR04G20880 transcript:LPERR04G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKGIHWAQGLQEENYFTGPLPAFIGELTSLNYITVGINALSGPIPKELGNPTNHWFAMGIEFSSAEYPLFSRFSKLPPSQWTVVVVEQLSGSDNAMYQDDNTNLGAASYYVEGTHTWGVSTTGRFMDPPNGSCIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEGERKKQGEKFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFVVALETVVGESNYQNTLKEDRTYIFERVCEMYKSGRPLDFVDPNFSEFNSEEVIRVIRVSLLCTQGSPHQRPPMSKARQGAEDGSPLTPSPLFTSIINEGSDAGLSIAFV >LPERR04G20880.2 pep chromosome:Lperr_V1.4:4:19555397:19558048:-1 gene:LPERR04G20880 transcript:LPERR04G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKGIHWAQGLQEENYFTGPLPAFIGELTSLNYITVGINALSGPIPKELGNPTNHWFAMGIEFSSAEYPLFSRFSKLPPSQWTVVVVEQLSGSDNAMYQDDNTNLGAASYYVEGTHTWGVSTTGRFMDPPNGSCIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEGERKKQGEKFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFVVALETVVGESNYQNTLKEDRTYIFERVCEMYKSGRPLDFVDPNFSEFNSEEVIRVIRVSLLCTQGSPHQRPPMSKVVSMLTGDTDITEEATNPSYITEWQTKNSRLGAAAALAATKSPHRRRRNRPPVMFRRDRVQKMAAR >LPERR04G20880.3 pep chromosome:Lperr_V1.4:4:19555397:19558048:-1 gene:LPERR04G20880 transcript:LPERR04G20880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKGIHWAQGLQEENYFTGPLPAFIGELTSLNYITVGINALSGPIPKELGNPTNHLPPSQWTVVVVEQLSGSDNAMYQDDNTNLGAASYYVEGTHTWGVSTTGRFMDPPNGSCIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEGERKKQGEKFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFVVALETVVGESNYQNTLKEDRTYIFERVCEMYKSGRPLDFVDPNFSEFNSEEVIRVIRVSLLCTQGSPHQRPPMSKVVSMLTGDTDITEEATNPSYITEWQTKNSRLGAAAALAATKSPHRRRRNRPPVMFRRDRVQKMAAR >LPERR04G20890.1 pep chromosome:Lperr_V1.4:4:19557888:19560866:1 gene:LPERR04G20890 transcript:LPERR04G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASKSLSPAAMEFLDGAPPAVVYDYDSGSGSGRRKRKRERYLMSSLEYPCVSRLRHRRLLAFLRRNDYKSTFDALVEETRVYLRVWQLQELVRQGRWPEAVLYVWRFVPSSHLLSDAGKVFLEFIHIHEVIHSILIGDPHGANVAERYERHIKEYPDAKPGIVKIGRILLAIIRSPRLRSVTFNEIDRSSSSSKCLLSFLIDHRSPGLVHRASIDWSLVRIKAAEMIKDLVDKAPDFNDLRKLPSLSDKPHNILPVGSCFHRRRHAKTQGRIPASDIARLYLQKKRGLPSSNLRPEAGESVRAGMQGCRFKNSGNEAMMRPLTITATGQQGFNARYNPTRPVTTAQQEINPTTQHTAGEFVQVRL >LPERR04G20900.1 pep chromosome:Lperr_V1.4:4:19562461:19567487:-1 gene:LPERR04G20900 transcript:LPERR04G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESWGDDDDMHVARYFGFDLELGEGNLINPTTMASQATTGAGASIDNNLNPTTMALQATNGAGDSIEKELYIPKDFVKVCNCIAESRHPKKQMWQFALRTDSGNSTKGSGKKRNPDIRCLACQKVFRNCTITAIKNHSTAKSNFCTKMTENIIARVKEVLELKEEGKETIVNPGSKKLAKPVGCKQALLKLHKVKTAGEQAYSLMVDALLHSVREEMDFRRLQNLSDATGHLKHMLSQLNSVLNEIAPGTSVLPNESAPGTSVLPNESAPDTSVLPNESAPDTSVLPNESAPYNRHLESSEGFKVSKLQSMVDGAPNNKHLEFFEFDLQEFEELEALLEPKLQAPAALVQPHPSSSIDFGTHSLNALPPSQQQAHGNDPDEQIHNPAAPIRQLQGQSPARND >LPERR04G20900.2 pep chromosome:Lperr_V1.4:4:19562461:19567487:-1 gene:LPERR04G20900 transcript:LPERR04G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESWGDDDDMHVARYFGFDLELGEGWEQQSSLLLILCAHLYSNLINPTTMASQATTGAGASIDNNLNPTTMALQATNGAGDSIEKELYIPKDFVKVCNCIAESRHPKKQMWQFALRTDSGNSTKGSGKKRNPDIRCLACQKVFRNCTITAIKNHSTAKSNFCTKMTENIIARVKEVLELKEEGKETIVNPGSKKLAKPVGCKQALLKLHKVKTAGEQAYSLMVDALLHSVREEMDFRRLQNLSDATGHLKHMLSQLNSVLNEIAPGTSVLPNESAPGTSVLPNESAPDTSVLPNESAPDTSVLPNESAPYNRHLESSEGFKVSKLQSMVDGAPNNKHLEFFEFDLQEFEELEALLEPKLQAPAALVQPHPSSSIDFGTHSLNALPPSQQQAHGNDPDEQIHNPAAPIRQLQGQSPARND >LPERR04G20900.3 pep chromosome:Lperr_V1.4:4:19562461:19567414:-1 gene:LPERR04G20900 transcript:LPERR04G20900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDPPPPPAAAATGATSPPVHASATRVDDDDAAAAGDAPPAAAGDAPAAAAAAAADERPPFPRNLINPTTMASQATTGAGASIDNNLNPTTMALQATNGAGDSIEKELYIPKDFVKVCNCIAESRHPKKQMWQFALRTDSGNSTKGSGKKRNPDIRCLACQKVFRNCTITAIKNHSTAKSNFCTKMTENIIARVKEVLELKEEGKETIVNPGSKKLAKPVGCKQALLKLHKVKTAGEQAYSLMVDALLHSVREEMDFRRLQNLSDATGHLKHMLSQLNSVLNEIAPGTSVLPNESAPGTSVLPNESAPDTSVLPNESAPDTSVLPNESAPYNRHLESSEGFKVSKLQSMVDGAPNNKHLEFFEFDLQEFEELEALLEPKLQAPAALVQPHPSSSIDFGTHSLNALPPSQQQAHGNDPDEQIHNPAAPIRQLQGQSPARND >LPERR04G20910.1 pep chromosome:Lperr_V1.4:4:19578995:19579660:-1 gene:LPERR04G20910 transcript:LPERR04G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAKPASPSAPKQPKAKPSAAAAPSHPPYFEMIKEAISALKERTGSSAQAIGKYIGEKHGKSLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKPKPKPAAAKPAKPAAKPKKAAAAAKPKKAAPATAGTKRKAPEKKAVAAKPKKSTAAKAKAKPKTVKSPVAKKARKAAAA >LPERR04G20920.1 pep chromosome:Lperr_V1.4:4:19581734:19582408:-1 gene:LPERR04G20920 transcript:LPERR04G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPASRTAAKPASSAAPKQPKAKPAAAASGPSHPPYFEMIKEAISGLKERTGSSAHAIGKYMEEKHGKSLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKHKAKPAVAKPAKDAAAAKPKKAAAKPKKAPASAAGTKRKALKKKVVAKPKKSPAAKAKAKPKTVKSPVAKKARKAAAA >LPERR04G20930.1 pep chromosome:Lperr_V1.4:4:19585805:19593857:-1 gene:LPERR04G20930 transcript:LPERR04G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKLRLLHGSLLLLLLASAAVQAQRTATRTDPAEAAAVNAVFAKLGQSASSSSSQWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRITKLNLGQNILSGTLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLNKLQELYIDSAGLSGPLPSSLSTLTRMQKLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSPSSLAFISNMTSLSILILRNCRISDNLASVDFSKFASLSLLDLSFNNITGQVPEALLGLNSLNSLDFSYNQLSGNFPPWVSDRNLQLNLVANNFVFGSSNNSVLPSGLECLQRNTPCLLASTFAVNCGSNRFISGSDNLRYQTDDASLAAASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERMQKNFDIRKEALDKSYSVVRKPYKVRVTKNFLEIHLFWAGKGTCCIPNQGYYGPTISALSVTPDFAPTVGIVREQNKSTSKTGVIVGVVVGVTVLGLAALVAIFLWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTSYFISMFIIIYILKAKVLNHSFAATETLNIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETVAGRPNYDDTLEEDKIYIFEWAWELYENNQPLGIVDPKLTGFNREEVLRAIHVALLCTQGSPHQRPPMSRIVSMLTGDSEVPNVLTKPSYITEWQIKGGNTSLANSAVSAQSGSPLVPPSQQASSLFLNSVIQEGR >LPERR04G20930.2 pep chromosome:Lperr_V1.4:4:19585805:19593857:-1 gene:LPERR04G20930 transcript:LPERR04G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKLRLLHGSLLLLLLASAAVQAQRTATRTDPAEAAAVNAVFAKLGQSASSSSSQWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRITKLNLGQNILSGTLPSFIGELTNMQNMTFRINSLSGPIPKELGNLTNLVSLGLGSNRFNGSLPSELGNLNKLQELYIDSAGLSGPLPSSLSTLTRMQKLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLASVDFSKFASLSLLDLSFNNITGQVPEALLGLNSLNSLDFSYNQLSGNFPPWVSDRNLQLNLVANNFVFGSSNNSVLPSGLECLQRNTPCLLASTFAVNCGSNRFISGSDNLRYQTDDASLAAASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERMQKNFDIRKEALDKSYSVVRKPYKVRVTKNFLEIHLFWAGKGTCCIPNQGYYGPTISALSVTPDFAPTVGIVREQNKSTSKTGVIVGVVVGVTVLGLAALVAIFLWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTSYFISMFIIIYILKAKVLNHSFAATETLNIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETVAGRPNYDDTLEEDKIYIFEWAWELYENNQPLGIVDPKLTGFNREEVLRAIHVALLCTQGSPHQRPPMSRIVSMLTGDSEVPNVLTKPSYITEWQIKGGNTSLANSAVSAQSGSPLVPPSQQASSLFLNSVIQEGR >LPERR04G20930.3 pep chromosome:Lperr_V1.4:4:19585805:19593857:-1 gene:LPERR04G20930 transcript:LPERR04G20930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKLRLLHGSLLLLLLASAAVQAQRTATRTDPAEAAAVNAVFAKLGQSASSSSSQWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRITKLNLGQNILSGTLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLNKLQELYIDSAGLSGPLPSSLSTLTRMQKLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSPSSLAFISNMTSLSILILRNCRISDNLASVDFSKFASLSLLDLSFNNITGQVPEALLGLNSLNSLDFSYNQLSGNFPPWVSDRNLQLNLVANNFVFGSSNNSVLPSGLECLQRNTPCLLASTFAVNCGSNRFISGSDNLRYQTDDASLAAASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERMQKNFDIRKEALDKSYSVVRKPYKVRVTKNFLEIHLFWAGKGTCCIPNQGYYGPTISALSVTPDFAPTVGIVREQNKSTSKTGVIVGVVVGVTVLGLAALVAIFLWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFATETLNIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETVAGRPNYDDTLEEDKIYIFEWAWELYENNQPLGIVDPKLTGFNREEVLRAIHVALLCTQGSPHQRPPMSRIVSMLTGDSEVPNVLTKPSYITEWQIKGGNTSLANSAVSAQSGSPLVPPSQQASSLFLNSVIQEGR >LPERR04G20930.4 pep chromosome:Lperr_V1.4:4:19585805:19593860:-1 gene:LPERR04G20930 transcript:LPERR04G20930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSKLRLLHGSLLLLLLASAAVQAQRTATRTDPAEAAAVNAVFAKLGQSASSSSSQWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRITKLNLGQNILSGTLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLNKLQELYIDSAGLSGPLPSSLSTLTRMQKLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLASVDFSKFASLSLLDLSFNNITGQVPEALLGLNSLNSLDFSYNQLSGNFPPWVSDRNLQLNLVANNFVFGSSNNSVLPSGLECLQRNTPCLLASTFAVNCGSNRFISGSDNLRYQTDDASLAAASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERMQKNFDIRKEALDKSYSVVRKPYKVRVTKNFLEIHLFWAGKGTCCIPNQGYYGPTISALSVTPDFAPTVGIVREQNKSTSKTGVIVGVVVGVTVLGLAALVAIFLWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTSYFISMFIIIYILKAKVLNHSFAATETLNIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETVAGRPNYDDTLEEDKIYIFEWAWELYENNQPLGIVDPKLTGFNREEVLRAIHVALLCTQGSPHQRPPMSRIVSMLTGDSEVPNVLTKPSYITEWQIKGGNTSLANSAVSAQSGSPLVPPSQQASSLFLNSVIQEGR >LPERR04G20940.1 pep chromosome:Lperr_V1.4:4:19597092:19597845:-1 gene:LPERR04G20940 transcript:LPERR04G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPRRGRRRCRRPSWGDELDGEDGVGVRVAKTLLEGLLWMLTTFGSSTALAGAWTSCFRAFQRS >LPERR04G20950.1 pep chromosome:Lperr_V1.4:4:19598651:19617901:-1 gene:LPERR04G20950 transcript:LPERR04G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRVSKLIHCSLLLLLLAAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQASSSWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRIIKLKIYALNAAGPIPEELRNLTSLTNLNLGQNLLTGPIPSFIGELTAMQYMGLGSNNFNGSLPSELGNLAKLEDLYIDSAGLSGPLPSSFAKLTRMKILWASDNDFTGQIPDFIGSWSLTDLRFQGNSFLGPIPATLSNLVQLTSLILRNCRISDNLDSIDFSKFASLSLLDFSYNQLSGNFPPWASEKNLQLNLVANNFVIDRSNNSVLPSGLSCLQRNTPCFLGSPQSSSFAVNCGSSRLISGSDNYKYQTDDANLRAASYSVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTQDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTESWKSLGRRAFDIYIQGERKEKNFDIRKTAGDKSYTVVKKQYIVPVIKNFVEIHLFWAGKGTCCIPTQGYYGPTISSLSITPVLGLVALVGVFMWRQKRRKISLEQQELYSIVGRPNVFSFSELRLYGCCLEGNNPLLVYEYMESGSLDKSLFGIRYFSLMQLAFSYSGTDKLKIDWPARFEICLSIARGLAYLHEESSIRVVHRDIKASNVLLDTDLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDKLEEDKIYIFEWAWDLYENHHPLDIVDPMLTEFSREEVLRAIRVALLCTQGSPHQRPPMLRVVSMLTGDAEVPNVLTKPSYITEWQIKGGNTSFANSAISGQSSSAPRPTSAIQEGRPKPVVLIDITYESNRALDIVDPNLEEFNSEECPNYGYLEKAHEDQWKLDRHLRPSMWRVVTMLTGDVQGGEIEEEDEVQVGDDQAAVVQAQRAATMTDPTEAEAVNAVFAKLGQSAASSPSKWNISGDPCTGAATDGTVIDDNPNFNPAIKCDCSFQNNTICRITKLKINASSAAGPIPEELRNLTRLTSLGLGSNNFNGSLPSELGNLAKLEYLYIDSAGLSGPLPSTFAKLTRMKILWASDNDFTGQIPDFIGSWNLTELILRNCRISDNLESIDFSKFASLSLLDFSYNQFSGSFPPWASEKNLQLNLVANNFVIDTSNNSVLPSGLACLQRNTPCFLGSPQSSSFAVNCGSSRSISGSHNYGYQTDDASLQAASYYVTGALTWGVSNVGKFMETPNGRYIIQSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFEIEDTTESWKSLGRRAFDIYVQGERKEKNFDIRKTANDKFYTVVEKKYNVPVIKNFVEIHLFWAGKGTCCIPTKGYYGPTISSLSIIPGLVALVGIFMWRQKRRKISLELQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGVVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKSLFGISYFSLMQLAFSYSGTDKLKIDWPARFEICLGIARGLAYLHEESRIRVVHRDIKASNVLLDTDLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDKLEEDKIYIFEWAWELYENNHPMDIIDPRLTEFSREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLTGDAEVPNVLTKPSYITGWQIKGGNTSFANSAVSGQSSSAPGPTSPQASPMFLNSAIQ >LPERR04G20960.1 pep chromosome:Lperr_V1.4:4:19620078:19626405:1 gene:LPERR04G20960 transcript:LPERR04G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEENTPEMAALLKAKIAEHLATTRERMAPCVKRGEMDRSRGHLPDPPPFEIVDHPDLFERAWGWDTILPYEGTVDFSRYKRYLVDYYNYNQQKDENAGSGDDHGLAALAHKCAEIEGHLMFLLEHHAPQVFAERAAIANNARLISDKITKRIPQMTNMLESEFPAVTVALKCITKEAELMCGLLIRPTILPDYIILCNYIRRWAFIFMTYKEPGYVPAAAAMMGATREANLICELLRKGKDENGRKIMSFSIQWNILRTMLAMHKEYVAVGEPTGGYTAGKLISTESDVKTCSGKDLLKRDNMEARNETSQNK >LPERR04G20970.1 pep chromosome:Lperr_V1.4:4:19633303:19633638:-1 gene:LPERR04G20970 transcript:LPERR04G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLMGCASTGACRVAPGARAAAMGKVPAGHVPVEVGAEGEETERFVVPAELLGRAPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLRSLTGGEGEGGLTLAYFSVVV >LPERR04G20980.1 pep chromosome:Lperr_V1.4:4:19638065:19641616:1 gene:LPERR04G20980 transcript:LPERR04G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRNGGGGGVVPRGCVALLLVGAEGDEEERVVVEVRVLGNPRVGELLEKAAREFGYDQEGVLRVPCSAGEFRQAVAADAAAAGGARSRIVRRPTTTAH >LPERR04G20990.1 pep chromosome:Lperr_V1.4:4:19643504:19648493:-1 gene:LPERR04G20990 transcript:LPERR04G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENRRQRRKPLVLASTQALLDSLPGDRRGSALPPPEPVRLRAGVLRFPSFYSSSAAAGGGGEFGEVASFVALPASALRRLAVVTGTPVLVKNSDNNVGRIAKAVILDQPCLDDSLMERTEPVPLVYPSDNAMGILPCRSFPANCSPSLDEEVAYVSPLLAFNLGVHVSCLKLLVQNGDKPFRFFSKAEESDLTASGGIDLSLHLEILPSPRVPKHALHLRVSVVRIPECGVLASLKANSAIGGSDYQDMIDEALNEYFKFDRFLARGDIFCIRNNWNCGATSCLACNREDNRLHPRDMIYFKVTGMEPSDEPVLRANCNQTALVLGGGVSAPILPYSLFAAPGASVPLHSEIVEQLASIIAPALCPSNILSKINFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSESGAPAALEAALKEAQKYSPCIVLLRHFDAIGNASSNEGTQSAQPGTAANIESVINQYIGQYRAEGTQYSIRRCFCHEINMKTINEEQRKNLISETFHCVSTDDDESIDKKLVKDLAVQTAGFMPRDILALVADAGISFACKVATEKNSSEGGKVDGILPASSPTNQNEEKHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSASPCVIFFDELDSLAPARGSSSDSAGVMDRVVAQLLVEIDGVSDKSQDLFIIGATNRPDLLESGLLRPGRFDKLLYVGVNPDPSYRERILKAQTRKYKLHENVSLLSVAQQCPPNFTGADMYALCADAWYHAAKCLAKTLETDPSRNNEASADDVIVEMNDFMTVPGTQFYFDFYTSQFSLS >LPERR04G20990.2 pep chromosome:Lperr_V1.4:4:19643504:19648493:-1 gene:LPERR04G20990 transcript:LPERR04G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENRRQRRKPLVLASTQALLDSLPGDRRGSALPPPEPVRLRAGVLRFPSFYSSSAAAGGGGEFGEVASFVALPASALRRLAVVTGTPVLVKNSDNNVGRIAKAVILDQPCLDDSLMERTEPVPLVYPSDNAMGILPCRSFPANCSPSLDEEVAYVSPLLAFNLGVHVSCLKLLVQNGDKPFRFFSKAEESDLTASGGIDLSLHLEILPSPRVPKHALHLRVSVVRIPECGVLASLKANSAIGGSDYQDMIDEALNEYFKFDRFLARGDIFCIRNNWNCGATSCLACNREDNRLHPRDMIYFKVTGMEPSDEPVLRANCNQTALVLGGGVSAPILPYSLFAAPGASVPLHSEIVEQLASIIAPALCPSNILSKINFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSESGAPAALEAALKEAQKYSPCIVLLRHFDAIGNASSNEGTQSAQPGTAANIESVINQYIGQCWVSMESPPARDVNGNSYHVEPNSVRSLQVILVATADRAEGTQYSIRRCFCHEINMKTINEEQRKNLISETFHCVSTDDDESIDKKLVKDLAVQTAGFMPRDILALVADAGISFACKVATEKNSSEGGKVDGILPASSPTNQNEEKHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSASPCVIFFDELDSLAPARGSSSDSAGVMDRVVAQLLVEIDGVSDKSQDLFIIGATNRPDLLESGLLRPGRFDKLLYVGVNPDPSYRERILKAQTRKYKLHENVSLLSVAQQCPPNFTGADMYALCADAWYHAAKCLAKTLETDPSRNNEASADDVIVEMNDFMTVPGTQFYFDFYTSQFSLS >LPERR04G21000.1 pep chromosome:Lperr_V1.4:4:19655173:19656363:-1 gene:LPERR04G21000 transcript:LPERR04G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAAAGKRGGAAAAAAGEIVTMDLLGGCGGDAGGGGGGGGGEDEVVDLEVKVPAGWERRLDLMSGKTFLTPRLQGVQVGHQDLNFPPPATSTAVTSTSAAVCTLDMVRSALERATAGRASSAAAAARGATPPTSSSSSATTSSSSSSVGKRHRSPPCAFTAATTATANPPSMRAAACPSCLTYVLIAEADPRCPRCAGNVPPLAGKAAAAVVVVSGDGDGTGKKPKIDLNAAADDETE >LPERR04G21010.1 pep chromosome:Lperr_V1.4:4:19665207:19668346:1 gene:LPERR04G21010 transcript:LPERR04G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARLVSPSLPRVPPAPACRFRNSSRWSKARVALPGLSLHRRSPAMSAAAAVGGNGSASAEEESAARSRVGEVKRVTKETNVHVKINLDGTGVADCNTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGTNSHHIIEASFKAFARALRQATEYDLRRRGTVPRKPMERALGSLWESPGRDSIENGTLTTEIIKEI >LPERR04G21020.1 pep chromosome:Lperr_V1.4:4:19668882:19670510:-1 gene:LPERR04G21020 transcript:LPERR04G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGASAASVLAVVLAALVVCSSVLPRALATDPTQLQDFCVADRLSAVFVNGFVCKNPKQVTANDFFLRGFNIPGNTAANAQGFAVTSATVNELAGLNTLGISLVRIDYAPNGGQNPPHTHPRATEILTVIQGQLLVGFVTSNQAGGGNLLFTKQLAVGDVFVFPQGLIHFQVNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDVVLAKAFMVDKEQVDWIQAKFAAPPAAGGGGVSNGTAGGGFGGGGGAGGGFPGGGVP >LPERR04G21030.1 pep chromosome:Lperr_V1.4:4:19673734:19675954:1 gene:LPERR04G21030 transcript:LPERR04G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLPPFLKLRSTVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACGRRDYKPNEALYSLMIDRLASCRRFSDVEELLARARAERFRFTDEFFYRLIKMYGNVANHPEKAMEMLFAMPGYSCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVNGLCQFGKFDDAISLLYEMPKQGCRPNVMTYSTLMNFLCQHGQVDKAFELFERMQKEDIAADAIVYNILISGLCREQRVPEAFSMFKAMVPAGCCPNSGTYQVLLDGLLSSGKFVEAKGLVSMMSAERMRPSYSSYKLLMDGLCSANCLDDAHLVLKQMVEHGFVPRVVKISDYESMSSLNEDF >LPERR04G21040.1 pep chromosome:Lperr_V1.4:4:19678270:19683145:1 gene:LPERR04G21040 transcript:LPERR04G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFGSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSYNVNLIPIAILLYISVMVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITEITPQNPINPYGKAKKMAEDIILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIQGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAKPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVEFLSRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSMAWKWQKAHPNGYGSA >LPERR04G21040.2 pep chromosome:Lperr_V1.4:4:19679141:19683145:1 gene:LPERR04G21040 transcript:LPERR04G21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFGSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSYNVNLIPIAILLYISVMVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITEITPQNPINPYGKAKKMAEDIILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIQGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAKPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVEFLSRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSMAWKWQKAHPNGYGSA >LPERR04G21050.1 pep chromosome:Lperr_V1.4:4:19682494:19682712:-1 gene:LPERR04G21050 transcript:LPERR04G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLVMLGMTAAAAPAPAPAWVFVRRAVDVAAAVLWNARGGPGLWALPMPVVIALLLLCPFLEAWFDFI >LPERR04G21060.1 pep chromosome:Lperr_V1.4:4:19689541:19692719:-1 gene:LPERR04G21060 transcript:LPERR04G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSDPDKLITKLSFTRWNADWKSATTLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSASELYRECGRAQPASDALAKGASFLEEKAPEDAIKMYDEACSVLEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLSSDQNRCAMKLLSAYEEGDAEEIKRAAQSSAINHLDHVVIRLARKLPTGDLQAIKKDLAADDGEDSLDENDLT >LPERR04G21060.2 pep chromosome:Lperr_V1.4:4:19689541:19692719:-1 gene:LPERR04G21060 transcript:LPERR04G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSDPDKLITKLSFTRWNADWKSATTLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSYPSENISIAVFNIPWDAAKHMESAGALAKELARWDEVSDFHRRASELYRECGRAQPASDALAKGASFLEEKAPEDAIKMYDEACSVLEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLSSDQNRCAMKLLSAYEEGDAEEIKRAAQSSAINHLDHVVIRLARKLPTGDLQAIKKDLAADDGEDSLDENDLT >LPERR04G21070.1 pep chromosome:Lperr_V1.4:4:19695195:19697602:1 gene:LPERR04G21070 transcript:LPERR04G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYTHYITADAAQIPPLRPSEPRPFQITHATPQRESNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFME >LPERR04G21080.1 pep chromosome:Lperr_V1.4:4:19698949:19702889:-1 gene:LPERR04G21080 transcript:LPERR04G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHCNKKTSYIAVFLVVLLLSPAAAAAVVSDASTSVQVEALLEFKKAVADDPLGTLSGWTTAAGKSAVVGGGALPRHCNWTGVACDGGGHVTSIQLPESKLRGNLSPFIGNVSTLQILDLTSNAFAGEIPSQLGRLGELEQLILYDNSLAGEIPPEIGGLASLQLLDLSNNSLAGEIPRRLCNCSAMWALGLDYNNLTGKIPSCIGDLVKLRILQISMNNLAGEFPPSFAKLTALETVVLSSNSLSGSIPPAIGRFTNLQFLQLFENRFSGEIPPELGRCKSLIRLDLYSNGFTGAIPGELGDLKNLQALRLFDNALSSEIPRSLRRCTALEVLALSTNNLTGEIPPELGELRSLQSLSLHANRLTGNIPASLTNLVNLTYLAFSDNALSGPLPASIGSLTNLNRLVIHNNFLSGEIPASITNCTMLVNVSMSYNNFSGQLTPGIGRLRQLVFFSLSDNSLSGEIPDDLFDCGELRKLDLAYNNLTGELSRRVGNLGGELELLQLQGNSLSGRIPEEIGNLTKLIELDIGGNRFAGRVPASVSNMTSLQVLVLRQNRLAGVFPEEAFELRRLTILDASSNRFAGEIPAAVANLRSLSILDLSNNDLNGTVPVELGQLNQLLKLDLSHNRLAGEISGEVVARLSNVQMYLNLSNNEFTGAIPPEIGGLVMVQAIDLSNNRLSGGVPATLAGCKNLYSLDLAGNNLTGELPSSLFPHLDLLTSLNISGNDLAGEIPADIADLKHIETLDMSRNAFAGVIPPAMANLTTLRDLDLSNNRLAGAVPDSGVFGNMTTASFRGNPGLCGGKLLAPCHAATAAGEKRRVFSRTGLLILIVLLVLTTLLLFTVATILLLIYRRNKMRRGLTDIAGGGSSAFVVPELRRFSYSELEAATNSFDQVNVLGSSSLSTVYKGVLADGNAVAVKRLNLDQFPSKSIDKCFLAELAALSRLRHKSLVRVVGFAWEPGKVKAVVLEYMVNGDLDAAIHRRRGGGGETAPAPAARWSVRERVRVCISVAHGLAYLHAGYDFPVVHCDVKPSNVLLDGDWEAHVGDFGTARMLGVHLEETAAAAQSAASASAFRGTVGYMAPEFAYMRTVSPKVDVFSFGVLMMELFTGRRPTATIEEDGVPLTLQQLVDNAVSRGHDGVHVVLDAGMKVATEADLSTAADVLSLALSCAAFEPADRPDMAGVLSSLLKISKLCGED >LPERR04G21090.1 pep chromosome:Lperr_V1.4:4:19704606:19705871:-1 gene:LPERR04G21090 transcript:LPERR04G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRKGAILPVLAFSLLVSSLLTPSPAAAAAVFSDASAAAAVQLDALLAFKKGVTADPLGVLSGWNFTAGGAVGRPRHCDWTGVACDGADHVTSIQLPESKLRGNLSPFIGNISTLQILDLTSNAFAGEIPPQLGRLGELEQLILYDNIFSGEIPPELGELTSLQLLDLANNSLAGGIPRRLCNCSAMWALGLEVNNLTGKIPSCIGEYLSPKLKIFQAYLNNLAGELPPSFANLTGLKTLDLSCNQLTGPIPPAIGTFSNLQILQLFENRFTGSIPPELGRCKNLIRLNIYSNRFTGEIPGELGNLTNLHALRLFDNELSSEIPRSLRRCTSLVVLALSLNRLTGEIPPELGEIRSLRSLSLYFNRLTGSIPPELGELRSLETLLLDSNRLTGKIPESLANLVRLKRLRLSDNSLSGWLP >LPERR04G21100.1 pep chromosome:Lperr_V1.4:4:19716063:19717130:-1 gene:LPERR04G21100 transcript:LPERR04G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKSPSPPTLEPFAFAFHHGAPLPPLLDDDEFEFSVPAAASAVLSAADELFSGGKLVPLLRTPPPQTATRPSPPAEILEEEEEGTGSEPASPRAPRCGAGRRWRDLLLLVSKKRVAGDGDGDGGRKDLRRREATHAAAQPLLSRASSSSSSSTTSSCESARIRNAPRRPPPPPQRTRSAPVASLLHLMSKRHAVAGGEATARPFLARASSSSSASSSDSGRNTSRAAWNTALPRRSPAAAAESPRVSASGRVVFRGLERCSSSPAATAAGGIGPPRRPRPRGMERSFSANVRVDPVINVFGFGHRFMPSSPSKEKKSHKEMDFAGVAGAGGVGGRRNRPAKLAMVLRDPQD >LPERR04G21110.1 pep chromosome:Lperr_V1.4:4:19729675:19733018:1 gene:LPERR04G21110 transcript:LPERR04G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVKQVTPKAVFSVVEKEHPRSLTPMLWAKKLAMIFPKPVTERFFARSSTFLDIKRRAHAWEQWLRHLQRDRRTEPAVDLTVSKNSVVLQLSSASAAFRFGADFESPTATTGPGGENFCDQWYLPMRALRNAEPEPMVLSVTLLCV >LPERR04G21110.2 pep chromosome:Lperr_V1.4:4:19729675:19733018:1 gene:LPERR04G21110 transcript:LPERR04G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVKQVTPKAVFSVVEKEHPRSLTPMLWAKKSSTFLDIKRRAHAWEQWLRHLQRDRRTEPAVDLTVSKNSVVLQLSSASAAFRFGADFESPTATTGPGGENFCDQWYLPMRALRNAEPEPMVLSVTLLCV >LPERR04G21110.3 pep chromosome:Lperr_V1.4:4:19729675:19733018:1 gene:LPERR04G21110 transcript:LPERR04G21110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVKQVTPKAVFSVVEKEHPRSLTPMLWAKKSSTFLDIKRRAHAWEQWLRHLQRDRRTEPAVDLTVSKNSVVLQLSSASAAFRFGADFESPTATTGPGGENFCDQWYLPMRALRNAEPEPMVLSVTLLCV >LPERR04G21110.4 pep chromosome:Lperr_V1.4:4:19729013:19733324:1 gene:LPERR04G21110 transcript:LPERR04G21110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSEHFSEIGPYKFYQESSRHRSKSAIHPLRFRSGVRTRSPRRRRRAAALAGSPSAARASSSPRCGFPPLVCFTYQGLSLVEAGNFNDDAAKNPEERREPEGIDVYMDEHNTISACGLAKGYMDMLAVIKEETNIEDLVKHEKGEDVKPDKNHKITEAFVAK >LPERR04G21110.5 pep chromosome:Lperr_V1.4:4:19725747:19729348:1 gene:LPERR04G21110 transcript:LPERR04G21110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPRDEELVMDYLYPKLTGAGAGAGEQYGGVAMVDVDLNKCEPWELPDAARVGTRVEWYFFSLHDRKYATGQRTNRATASGYWKATGKDRAVFRRRHGVSGEAAAAAAVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGPAVADHPSSSPLLLKEDWVLCRVFYKSRTATPRPVSGEAAGVSLSSELSLPPPPPVAPAVVDAYLAVDRAPASDIVAGGYYRPPPELTDFRDLLGNMVNGGGGAKAEGFHLGWSEEEAAGFVQQQQQSAMASQAWNPF >LPERR04G21120.1 pep chromosome:Lperr_V1.4:4:19738605:19742546:1 gene:LPERR04G21120 transcript:LPERR04G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQDCDCKSLVAIPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDVDGQLVDVCDNLEFDLDFLCADLDSKELELKLQKPVVKTQSGDSSANGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLDRGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYSLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKENNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >LPERR04G21120.2 pep chromosome:Lperr_V1.4:4:19738605:19742546:1 gene:LPERR04G21120 transcript:LPERR04G21120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQDCDCKSLVAIPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDVDGQLVDVCDNLEFDLDFLCADLDSKELELKLQKPVVKTQSGDSSANGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLDRGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYSLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKENNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >LPERR04G21130.1 pep chromosome:Lperr_V1.4:4:19742961:19746030:-1 gene:LPERR04G21130 transcript:LPERR04G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPNMSTVVRMLTGEKNFSAHKITRPAMITDFADLKVSGQQKEHETTRSSNMRSFSTTDETEPFSSSETPTQTSI >LPERR04G21130.2 pep chromosome:Lperr_V1.4:4:19742961:19745554:-1 gene:LPERR04G21130 transcript:LPERR04G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMLVQISWKKAPAVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLVGCCAEGSHRILVYNYLENNSLAQTLLGSRCSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLEEIIDADVGNDLNVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKNFSAHKITRPAMITDFADLKVSGQQKEHETTRSSNMRSFSTTDETEPFSSSETPTQTSI >LPERR04G21140.1 pep chromosome:Lperr_V1.4:4:19751132:19754196:1 gene:LPERR04G21140 transcript:LPERR04G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAAESAAAASGGETAAAEPQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGNSEKGNMDTLVKAIAGVSVTSTADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPLGLSIQEIKPDGHCLYRAVENQLSLYSKGTTPQYSYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKSYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLESGGKDDPSIRLSYHRHAYGLGEHYNSVVPA >LPERR04G21150.1 pep chromosome:Lperr_V1.4:4:19755423:19757960:-1 gene:LPERR04G21150 transcript:LPERR04G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMRLLVLAVVSIVLANLLFLRVHGRELLLSCGSNLTVDADGRRWIGDMAPGQNFTLSSPGIAASLAASSNGNAIFGPVYKTVRFFTTPSWYKFNVLPGNYCLRLHFFPSTFGNFSGNDSLFDVTASEIKLVSKFNVSEEIVWRSTVSNSAISAVVKEYFLVVDARGLQVEFDPSPGSFAFVNAIEVMPTPDNMFNDTVNKVGSGDGQLPLGLSNRGVETMYRLNVGGPALNSSRDQYLHRPWYTDEAFMFSANAAQIVSNSSSVSYISNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVFDKPSQRVFKIYINNKTAAENYDVYVRAGGINKGYHEDYFDNLPQQVDLPWLQLGPDSMTSASGSDALLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNKKILWEEVGIGSASFVTITGVVLFAWCYVRRKRKAGEKETPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSIAEIRAATKNFDEALLIGTGGFGKVYKGEVDEGSTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRNHLYGSGLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDGDFSSESLRKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHDAYKRNMDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >LPERR04G21160.1 pep chromosome:Lperr_V1.4:4:19763410:19765095:-1 gene:LPERR04G21160 transcript:LPERR04G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDCNKARGDSSSANSSCIVSEGTLIQARAFTLNEKAQHRNNLGTLNNQKCSYGSLSDPKKNVETSDDETTSKCDPRCFTDLPAALVCEVLERLDPKELGIVSCVSTLLHSLATDHQGWKRFYCERWGLPNPPVTLNGPLVPGGTADWKSWKTLFVERELRSKSFMGRFSVDVLRDHSEDVRTVFLLASANLIFTGGEDSVIRMWDMKEGLLVDKSRQLCCTIRAIAADTGLLVTAGTNAFIHCWRAVEGHSYPFRISGNGTDQNSGFRLRGHEGPVACLALDSLRIFSGSWDMTVRVWDRSEMKCVQKLMHADWVWSVAPHGNTVASTAGRDAYVWDIRSGELQNVIPNAHLGRAYSLVRTHLTNMLFTGGEDGAIHLFNVSEVSDDEDIKPAATWMPHTGPVHSLAFEYPWLVSASSDGRIALIDLRKLLTPQHSSKHSFKVKKFDPSSIEPAQRMLHGFGCDLFSVAIGADRIVCGGEDGVVKVWNFSEALEIEKRAQALRSMRKENRMRRKKAQVEMNANGRRSEHFLVAMKRNQLKGDKNVTWHNKRAVDNKVKS >LPERR04G21170.1 pep chromosome:Lperr_V1.4:4:19768096:19769906:1 gene:LPERR04G21170 transcript:LPERR04G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSTCVQHLHTGETAEFSRWAHNKVKCRSKQADKARRVTEAMAWSRRRDLGRPLLLAFCVAALLAVGSDSHGLEDFGEGKTEATPAMASFFGAKPEAAQLPEALDAAATAKPEAASAIPVATTTASASSSSSSSHGRRSVSVAAGVACGVAAVAVVGVAVAVAYAVRARRGGARRETEVRLGSSP >LPERR04G21180.1 pep chromosome:Lperr_V1.4:4:19776633:19781621:1 gene:LPERR04G21180 transcript:LPERR04G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMHRSSSDGGSSSGWSEAAAAAAASGDERAGWEVRPSGMVVQAREDQLAAGAGAGIVGGAGIPPRPPPEIRVRVKYGGLRHEVAVSSVATFGELKKLLAARTGLQPADQKLTYKGKERNNSDYLDVCGVKNKSKLELAEDPNSVERRYIERQKNAKIETANRAIGAIALEVDKLADQVRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDSPATTQWELFD >LPERR04G21190.1 pep chromosome:Lperr_V1.4:4:19782356:19793676:-1 gene:LPERR04G21190 transcript:LPERR04G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCQPVDGGAWSSVVENAFGAYTPCGTDTLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRMPIYNYVLGLLVVYCIGELLYRIATGTSIMNLDGQTSFAPFEVTSSIVEIAAWCCMLVMLALETRIYIYEFRWYIKFVVIYVLVGEAAMFNLVLSVREYYSSSLIFYLYCSEIICKLFFGILMVVYLPSLDPYPGYTPVRHEVLVDNTDYEPLPEGEQVCPERHAILFSKIFFSWMTPLMQQGFKRPITDKDIWKLDNWDETETLYNRFQKCWNDELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGALMLVLLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRSAQLLAALNSFLLNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESKAEKPTLSNVNLDVPIGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRQKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSESGELFKKLMENAGKMEEHMEEKQDESTTQDGTKHSENGGSVTADGGPQKSQDSSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGPGYYNLIYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRVINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIENSRPPPGWPSSGVIKFQDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPMGLEAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGQVLEFDSPENLLSNEQSAFSKMVQSTGPSNAEYLKTLVFGDGEERLRKEESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDETLAQYEVPSDRWWSSLYKVIEGLAMMSRLGRNRLQQPGYNFENNSSIDWDQM >LPERR04G21200.1 pep chromosome:Lperr_V1.4:4:19803343:19806724:1 gene:LPERR04G21200 transcript:LPERR04G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLDLFFSYTSPTALVFSVHPLTLRISLPLPPHSLPLTHQTTREAHKERKKGRMEYERIHKVQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEAVSGSSDGSKCSSTINKIEALENDSPLDINKVEGMTRSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGEKTSAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGATQNQSAQQMNSTSGRGYVVPKISNRNTFPRPMQNMKRLNPTSSASRSILERLSFGSHQPKSVRHAGVCKANSAGATSEYENKATDNGSSIEMRPCKDPKAIPTVHSVSVRDVGTEMTPIPSQEPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNNTDDGPYFNRKGGLNEISDNEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARATAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSEAMAKMAEKLEMTRRLAEEKRASANAKMNQQAAKAVHKAQLIRQTGRVPGSCILCCSGCFCQH >LPERR04G21210.1 pep chromosome:Lperr_V1.4:4:19809425:19818595:1 gene:LPERR04G21210 transcript:LPERR04G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWALILSEVDTILDKENFKLEDLLDEDEIIQECKAQNTRLINFLRDKVQVEQLLHYIVDEAPEDAEKKRIFRFPFVACEIFTCEVDVIMKTLVEDEDLMSLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPLINYVQGHPEIVGQFVDLIGITSIMEVLIRLVGADETMYSGYVDSVQWLDDIKVLEMIVDKFSLSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFKDSRPKSVLVHSLSVCISLLDPKRLVSASYHAFRSQLSHATLVTASPETVDGMLDSLGDLLKLLDVSSAENILATTYGVLQPPLGNRRLKIVEFISVLLSIGSEVAEIRLIHLGAIKRLICYVLDECELVTRILEAEKNSALDLTKHTVPSEGRSSPRIGNVGHMTRISNKLVQLAKTNSIIQSHLQRNSGWGEWHTNTLTKRNAVENVYQWACGRPTTLQDRGRDSDDEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQIQHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVKPEASPSPNSGISTPYVDDENDETVLTEVIDGTKGSESFLAVYNRDLKEESSHTGLTNVPIDKLEDDIRSPTPDVKESPPEFVESREEEAETADVPGNDTEVPNGKVSRSSVDQMDATEDVMSVTTEIRVEKEIEVWSGRTIGEILPGSTDISSTRHPEPVDEEKYEADENQAK >LPERR04G21210.2 pep chromosome:Lperr_V1.4:4:19808868:19818595:1 gene:LPERR04G21210 transcript:LPERR04G21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVEDEDLMSLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPLINYVQGHPEIVGQFVDLIGITSIMEVLIRLVGADETMYSGYVDSVQWLDDIKVLEMIVDKFSLSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFKDSRPKSVLVHSLSVCISLLDPKRLVSASYHAFRSQLSHATLVTASPETVDGMLDSLGDLLKLLDVSSAENILATTYGVLQPPLGNRRLKIVEFISVLLSIGSEVAEIRLIHLGAIKRVIDLFFEYPFNNFLHHHVENIIGSCLDSKHDQLICYVLDECELVTRILEAEKNSALDLTKHTVPSEGRSSPRIGNVGHMTRISNKLVQLAKTNSIIQSHLQRNSGWGEWHTNTLTKRNAVENVYQWACGRPTTLQDRGRDSDDEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQIQHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVKPEASPSPNSGISTPYVDDENDETVLTEVIDGTKGSESFLAVYNRDLKEESSHTGLTNVPIDKLEDDIRSPTPDVKESPPEFVESREEEAETADVPGNDTEVPNGKVSRSSVDQMDATEDVMSVTTEIRVEKEIEVWSGRTIGEILPGSTDISSTRHPEPVDEEKYEADENQAK >LPERR04G21210.3 pep chromosome:Lperr_V1.4:4:19808868:19818595:1 gene:LPERR04G21210 transcript:LPERR04G21210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVEDEDLMSLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPLINYVQGHPEIVGQFVDLIGITSIMEVLIRLVGADETMYSGYVDSVQWLDDIKVLEMIVDKFSLSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFKDSRPKSVLVHSLSVCISLLDPKRLVSASYHAFRSQLSHATLVTASPETVDGMLDSLGDLLKLLDVSSAENILATTYGVLQPPLGNRRLKIVEFISVLLSIGSEVAEIRLIHLGAIKRLICYVLDECELVTRILEAEKNSALDLTKHTVPSEGRSSPRIGNVGHMTRISNKLVQLAKTNSIIQSHLQRNSGWGEWHTNTLTKRNAVENVYQWACGRPTTLQDRGRDSDDEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQIQHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVKPEASPSPNSGISTPYVDDENDETVLTEVIDGTKGSESFLAVYNRDLKEESSHTGLTNVPIDKLEDDIRSPTPDVKESPPEFVESREEEAETADVPGNDTEVPNGKVSRSSVDQMDATEDVMSVTTEIRVEKEIEVWSGRTIGEILPGSTDISSTRHPEPVDEEKYEADENQAK >LPERR04G21210.4 pep chromosome:Lperr_V1.4:4:19808868:19817426:1 gene:LPERR04G21210 transcript:LPERR04G21210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVEDEDLMSLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPLINYVQGHPEIVGQFVDLIGITSIMEVLIRLVGADETMYSGYVDSVQWLDDIKVLEMIVDKFSLSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFKDSRPKSVLVHSLSVCISLLDPKRLVSASYHAFRSQLSHATLVTASPETVDGMLDSLGDLLKLLDVSSAENILATTYGVLQPPLGNRRLKIVEFISVLLSIGSEVAEIRLIHLGAIKRLICYVLDECELVTRILEAEKNSALDLTKHTVPSEGRSSPRIGNVGHMTRISNKLVQLAKTNSIIQSHLQRNSGWGEWHTNTLTKRNAVENVYQWACGRPTTLQDRGRDSDDEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQIQHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVKPEASPSPNSGISTPYVDDENDETVLTEVIDGTKGSESFLAVYNRDLKEESSHTGLTNVPIDKLEDDIRSPTPDVKESPPEFVESREEEAETADVQRTLVQPGILNQLMKKSTKLMKIRPNRNAFVGEGGCHNDYGWAGCMGN >LPERR04G21210.5 pep chromosome:Lperr_V1.4:4:19808868:19818651:1 gene:LPERR04G21210 transcript:LPERR04G21210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVEDEDLMSLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPLINYVQGHPEIVGQFVDLIGITSIMEVLIRLVGADETMYSGYVDSVQWLDDIKVLEMIVDKFSLSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFKDSRPKSVLVHSLSVCISLLDPKRLVSASYHAFRSQLSHATLVTASPETVDGMLDSLGDLLKLLDVSSAENILATTYGVLQPPLGNRRLKLICYVLDECELVTRILEAEKNSALDLTKHTVPSEGRSSPRIGNVGHMTRISNKLVQLAKTNSIIQSHLQRNSGWGEWHTNTLTKRNAVENVYQWACGRPTTLQDRGRDSDDEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQIQHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVKPEASPSPNSGISTPYVDDENDETVLTEVIDGTKGSESFLAVYNRDLKEESSHTGLTNVPIDKLEDDIRSPTPDVKESPPEFVESREEEAETADVPGNDTEVPNGKVSRSSVDQMDATEDVMSVTTEIRVEKEIEVWSGRTIGEILPGSTDISSTRHPEPVDEEKYEADENQAK >LPERR04G21210.6 pep chromosome:Lperr_V1.4:4:19817492:19818619:1 gene:LPERR04G21210 transcript:LPERR04G21210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLSYPVCWQHSNAESSQLSFLNAWWGLCSRHRGLAGGLELRPVAAVVAVAEGRRDADLGVAWAAGGLLVVACLLENDGEALRRRRNPTSSTPGRPPGEDAMGIEGGRGL >LPERR04G21210.7 pep chromosome:Lperr_V1.4:4:19817492:19818443:1 gene:LPERR04G21210 transcript:LPERR04G21210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLSYPVCWQHSNAESSQLSFLNAWWGLCSRHRGLAGGLELRPVAAVVAVAEGRRDADLGVAWAAGGLLVVACLLENDGEALRRRRVLHVPLPELWQRPALVLHI >LPERR04G21220.1 pep chromosome:Lperr_V1.4:4:19818060:19818537:-1 gene:LPERR04G21220 transcript:LPERR04G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDKGRPLPKFGEWDVKNPATAEGFTVIFQKARDDKKTTGGPGHAKVGIPPAFRNGDDGGYRPEFKPAGEPYQQYTPPKRVKKRWSFCGC >LPERR04G21230.1 pep chromosome:Lperr_V1.4:4:19819613:19825967:1 gene:LPERR04G21230 transcript:LPERR04G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSGVEVATTAISVPEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPVKEDAKKVKKQPPPKKVESSSSEEDSSESEEEVKVQPKKNIQQKKVAQPAKQESSDDSSDDSSSDDKPAAPVKKPSVATTQKKTQESDSSDSDSDDESDEDNTIKTVKPVQTAAVKKKEESSDSSDSDSESESESDSDEPAKPTIPAKRPLTTDTKKGQSKDDSEDSSDESSEESDDEPPQKKIKESTTSGTTKPSPKAAKKESSSDEESDDDDSSDESSDEDDKQKQTQAKKQAPVAQESSSSDESSEEDTDMESDEPAKTPKKKETAVPVGSNKSVTKAGHEEPKTPASNQNQATGSKTLFVGNLSYSVDQEQVKQFFQEAGEIVDIRFSTFEDGSFRGFGHVEFATAEAAKKALELAGHDLMGRPVKLDMARERGAYTPGSGGDNSSFKKPAQSSGNTIFIRGFDTSLDIHQIRGSLEEHFGSCGEITRVSVPKDYETGASKGMAYMDFADNSSLSKAFELNGSDLGGFSLYVDEARPRPDSTDGGNFSSGRDFNNSGRGGRRGGRSDGGRGRGDRGRGRGFGRGGDRGRGGRGTPFRQSAGTPSAGKKTTFGDDD >LPERR04G21230.2 pep chromosome:Lperr_V1.4:4:19820528:19825967:1 gene:LPERR04G21230 transcript:LPERR04G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSGVEVATTAISVPEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPVKEDAKKVKKQPPPKKVESSSSEEDSSESEEEVKVQPKKNIQQKKVAQPAKQESSDDSSDDSSSDDKPAAPVKKPSVATTQKKTQESDSSDSDSDDESDEDNTIKTVKPVQTAAVKKKEESSDSSDSDSESESESDSDEPAKPTIPAKRPLTTDTKKGQSKDDSEDSSDESSEESDDEPPQKKIKESTTSGTTKPSPKAAKKESSSDEESDDDDSSDESSDEDDKQKQTQAKKQAPVAQESSSSDESSEEDTDMESDEPAKTPKKKETAVPVGSNKSVTKAGHEEPKTPASNQNQATGSKTLFVGNLSYSVDQEQVKQFFQEAGEIVDIRFSTFEDGSFRGFGHVEFATAEAAKKALELAGHDLMGRPVKLDMARERGAYTPGSGGDNSSFKKPAQSSGNTIFIRGFDTSLDIHQIRGSLEEHFGSCGEITRVSVPKDYETGASKGMAYMDFADNSSLSKAFELNGSDLGGFSLYVDEARPRPDSTDGGNFSSGRDFNNSGRGGRRGGRSDGGRGRGDRGRGRGFGRGGDRGRGGRGTPFRQSAGTPSAGKKTTFGDDD >LPERR04G21240.1 pep chromosome:Lperr_V1.4:4:19826331:19827765:1 gene:LPERR04G21240 transcript:LPERR04G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRMPRATPLSIFRSDVSFVEDSPYHPCQRTDQFATQSDLSSTSRERVAGFVLLAKWPGSESSLVSSLIPQTRFTSAAGRACACGLHALRCADARGARRTQVTVRPPCVRRNGWPDTSATSLPILAPDD >LPERR04G21250.1 pep chromosome:Lperr_V1.4:4:19829468:19856792:1 gene:LPERR04G21250 transcript:LPERR04G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTVSAFQIKGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGTLLNRNVSYEHWRTVQDEWKSLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSLFPEDHQFKGETLVQAWISQNFVQCEDTGMRLEEAGMQYLDSLVDFGFFQKVDSHYLLHDLMHDLAQHVSYECDTIIGLQCKTIQPGIRHLSIITTSHDKDADENLPCEKFEEILQNIKPLQKLRTLMFFGQSSVKLLNFIHTLCKEVKCLRLLRVYVSSADISSLFNLLRPYHLRYLEFICAPTRYALAYVYERAAFPQSLTSFYHLQVLNVGVCGKLSVPADMNNLINLRHLFAHDNVFYAIADIGNMTCLQELKFKVQNVGSFEIRQLESMNELVKLAISHLENVITKVDASRARLLDKKYLKELSLSWDADSMSLELERTKEVLEGLQPQQNLKTLRITGYSGSTSPTWLSSNLSVTSLQTLHLEKCRELRILGSLEMLPVLRKLKLIKMWNLVELSIPSLEQLTLIEMPKLEKCFGSYGIELTSHLRVLIIKNCPQLNEFTPLQSYSSFEAEQKSWFPSLNKLTVECCRHISKWNILALGEMQALKKLKLIGLDAIRELSVPSLEKLVLIRMPSLTCCSGLTASLLQITTPQGDQKDWLSGLCKLTIHDCPCLIVSCPLPPSAKMSHLSIKGNPALPTMDKKYGCTIQSSELIVLDDKILAFQNLKGIRLLFIKDCPNLMSLSSEGLNQLTDLDELHIRNCPNLIIPSSLVLPSLTSLYVQACGASGRWLTKMLPHVRSLKHLTLSGPSARDEHLLKIPSNVLHSLSKLVISNCPYVEFCGKEGALRGCTSLKYLSIQRCPKLAPLLVNGKVDVGLLPLSLRILFIDMGLVLPASWDLKKIGIQIPVLLPSLETLYISNLTDKVQSRMLSCLPTIAKLFIRESPELTSLQLGYSKALRELGIIDCESLASIEGFGSLTNLRSLTVYGSPSLPRCLELLSQQQEASEIWSRLEKLRIDDGSVLTMSLCKHLTSMRWLCCWPERSKRGATMMGLTEEQERALQLLTALNRLNFWYLPNLQSLPSNLRNLTSLKTLNITNCPRITRLPEMGLPPSLTELSVHCCSEELCMQCRMAATEKLNVLIDGIRLIGLYDQNGYYGLRVSNHAAVSVPTPLDSNTPIPFSCFHVYPPSPPCASNTLDAMPCRSNAAGARRCARGRRGSPHVTARSSTYSRKCLANTRAIPLSVFCGVQRRGAYMFDEMPGRHLSSVFLRLCGLQQHLADLGWKVATVSETHPGLPASAAAFTAREKMGFVGTVVDAAIGWLVESILGSFTGHMEVWTRETGLTEDVEKLKFEVRNVEMVLAAAEHAASEGRKINNQPLTQSLDDLREQLYDAEDVMDELDYYRLQQQIEKGEGCSADTGNNPEVSHASSSMPFSPYQLLYRARSQITSWASSDKKKETAGGRCN >LPERR04G21250.2 pep chromosome:Lperr_V1.4:4:19829468:19856693:1 gene:LPERR04G21250 transcript:LPERR04G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTVSAFQIKGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGTLLNRNVSYEHWRTVQDEWKSLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSLFPEDHQFKGETLVQAWISQNFVQCEDTGMRLEEAGMQYLDSLVDFGFFQKVDSHYLLHDLMHDLAQHVSYECDTIIGLQCKTIQPGIRHLSIITTSHDKDADENLPCEKFEEILQNIKPLQKLRTLMFFGQSSVKLLNFIHTLCKEVKCLRLLRVYVSSADISSLFNLLRPYHLRYLEFICAPTRYALAYVYERAAFPQSLTSFYHLQVLNVGVCGKLSVPADMNNLINLRHLFAHDNVFYAIADIGNMTCLQELKFKVQNVGSFEIRQLESMNELVKLAISHLENVITKVDASRARLLDKKYLKELSLSWDADSMSLELERTKEVLEGLQPQQNLKTLRITGYSGSTSPTWLSSNLSVTSLQTLHLEKCRELRILGSLEMLPVLRKLKLIKMWNLVELSIPSLEQLTLIEMPKLEKCFGSYGIELTSHLRVLIIKNCPQLNEFTPLQSYSSFEAEQKSWFPSLNKLTVECCRHISKWNILALGEMQALKKLKLIGLDAIRELSVPSLEKLVLIRMPSLTCCSGLTASLLQITTPQGDQKDWLSGLCKLTIHDCPCLIVSCPLPPSAKMSHLSIKGNPALPTMDKKYGCTIQSSELIVLDDKILAFQNLKGIRLLFIKDCPNLMSLSSEGLNQLTDLDELHIRNCPNLIIPSSLVLPSLTSLYVQACGASGRWLTKMLPHVRSLKHLTLSGPSARDEHLLKIPSNVLHSLSKLVISNCPYVEFCGKEGALRGCTSLKYLSIQRCPKLAPLLVNGKVDVGLLPLSLRILFIDMGLVLPASWDLKKIGIQIPVLLPSLETLYISNLTDKVQSRMLSCLPTIAKLFIRESPELTSLQLGYSKALRELGIIDCESLASIEGFGSLTNLRSLTVYGSPSLPRCLELLSQQQEASEIWSRLEKLRIDDGSVLTMSLCKHLTSMRWLCCWPERSKRGATMMGLTEEQERALQLLTALNRLNFWYLPNLQSLPSNLRNLTSLKTLNITNCPRITRLPEMGLPPSLTELSVHCCSEELCMQCRMAATEKLNVLIDGIRLIGLYDQNGYYGLRVSNHAAVSVPTPLDSNTPIPFSCFHVYPPSPPCASNTLDAMPCRSNAAGARRCARGRRGSPHVTARSSTYSRKCLANTRAIPLSVFCGVQRRGAYMFDEMPGRHLSSVFLRLCGLQQHLADLGWKVATVSETHPGLPASAAAFTAREKMGFVGTVVDAAIGWLVESILGSFTGHMEVWTRETGLTEDVEKLKFEVRNVEMVLAAAEHAASEGRKINNQPLTQSLDDLREQLYDAEDVMDELDYYRLQQQIEKGEGCSADTGNNPEVSHASSSMPFSPYQLLYRARSQITSWASSDKKKETAGGRCN >LPERR04G21250.3 pep chromosome:Lperr_V1.4:4:19829468:19855865:1 gene:LPERR04G21250 transcript:LPERR04G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTVSAFQIKGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGTLLNRNVSYEHWRTVQDEWKSLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSLFPEDHQFKGETLVQAWISQNFVQCEDTGMRLEEAGMQYLDSLVDFGFFQKVDSHYLLHDLMHDLAQHVSYECDTIIGLQCKTIQPGIRHLSIITTSHDKDADENLPCEKFEEILQNIKPLQKLRTLMFFGQSSVKLLNFIHTLCKEVKCLRLLRVYVSSADISSLFNLLRPYHLRYLEFICAPTRYALAYVYERAAFPQSLTSFYHLQVLNVGVCGKLSVPADMNNLINLRHLFAHDNVFYAIADIGNMTCLQELKFKVQNVGSFEIRQLESMNELVKLAISHLENVITKVDASRARLLDKKYLKELSLSWDADSMSLELERTKEVLEGLQPQQNLKTLRITGYSGSTSPTWLSSNLSVTSLQTLHLEKCRELRILGSLEMLPVLRKLKLIKMWNLVELSIPSLEQLTLIEMPKLEKCFGSYGIELTSHLRVLIIKNCPQLNEFTPLQSYSSFEAEQKSWFPSLNKLTVECCRHISKWNILALGEMQALKKLKLIGLDAIRELSVPSLEKLVLIRMPSLTCCSGLTASLLQITTPQGDQKDWLSGLCKLTIHDCPCLIVSCPLPPSAKMSHLSIKGNPALPTMDKKYGCTIQSSELIVLDDKILAFQNLKGIRLLFIKDCPNLMSLSSEGLNQLTDLDELHIRNCPNLIIPSSLVLPSLTSLYVQACGASGRWLTKMLPHVRSLKHLTLSGPSARDEHLLKIPSNVLHSLSKLVISNCPYVEFCGKEGALRGCTSLKYLSIQRCPKLAPLLVNGKVDVGLLPLSLRILFIDMGLVLPASWDLKKIGIQIPVLLPSLETLYISNLTDKVQSRMLSCLPTIAKLFIRESPELTSLQLGYSKALRELGIIDCESLASIEGFGSLTNLRSLTVYGSPSLPRCLELLSQQQEASEIWSRLEKLRIDDGSVLTMSLCKHLTSMRWLCCWPERSKRGATMMGLTEEQERALQLLTALNRLNFWYLPNLQSLPSNLRNLTSLKTLNITNCPRITRLPEMGLPPSLTELSVHCCSEELCMQCRMAATEKLNVLIDGIRLIGLYDQNGYYGLRVSNHAAVSVPTPLDSNTPIPFSCFHVYPPSPPCASNTLDAMPCRSNAAGARRCARGRRGSPHVTARSSTYSRKCLANTRAIPLSVFCGVQRRGAYMFDEMPGRHLSSVFLRLCGLQQHLADLGWKVATVSETHPGLPASAAAFTAREKMGFVGTVVDAAIGWLVESILGSFTGHMEVWTRETGLTEDVEKLKFEVRNVEMVLAAAEHAASEGRKINNQPLTQSLDDLREQLYDAEDVMDELDYYRLQQQIEKGEGCSADTGNNPEVSHASSSMPFSPYQLLYRARSQITSWASSDKKKETAGGRCN >LPERR04G21250.4 pep chromosome:Lperr_V1.4:4:19829468:19851162:1 gene:LPERR04G21250 transcript:LPERR04G21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTVSAFQIKGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGTLLNRNVSYEHWRTVQDEWKSLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSLFPEDHQFKGETLVQAWISQNFVQCEDTGMRLEEAGMQYLDSLVDFGFFQKVDSHYLLHDLMHDLAQHVSYECDTIIGLQCKTIQPGIRHLSIITTSHDKDADENLPCEKFEEILQNIKPLQKLRTLMFFGQSSVKLLNFIHTLCKEVKCLRLLRVYVSSADISSLFNLLRPYHLRYLEFICAPTRYALAYVYERAAFPQSLTSFYHLQVLNVGVCGKLSVPADMNNLINLRHLFAHDNVFYAIADIGNMTCLQELKFKVQNVGSFEIRQLESMNELVKLAISHLENVITKVDASRARLLDKKYLKELSLSWDADSMSLELERTKEVLEGLQPQQNLKTLRITGYSGSTSPTWLSSNLSVTSLQTLHLEKCRELRILGSLEMLPVLRKLKLIKMWNLVELSIPSLEQLTLIEMPKLEKCFGSYGIELTSHLRVLIIKNCPQLNEFTPLQSYSSFEAEQKSWFPSLNKLTVECCRHISKWNILALGEMQALKKLKLIGLDAIRELSVPSLEKLVLIRMPSLTCCSGLTASLLQITTPQGDQKDWLSGLCKLTIHDCPCLIVSCPLPPSAKMSHLSIKGNPALPTMDKKYGCTIQSSELIVLDDKILAFQNLKGIRLLFIKDCPNLMSLSSEGLNQLTDLDELHIRNCPNLIIPSSLVLPSLTSLYVQACGASGRWLTKMLPHVRSLKHLTLSGPSARDEHLLKIPSNVLHSLSKLVISNCPYVEFCGKEGALRGCTSLKYLSIQRCPKLAPLLVNGKVDVGLLPLSLRILFIDMGLVLPASWDLKKIGIQIPVLLPSLETLYISNLTDKVQSRMLSCLPTIAKLFIRESPELTSLQLGYSKALRELGIIDCESLASIEGFGSLTNLRSLTVYGSPSLPRCLELLSQQQEASEIWSRLEKLRIDDGSVLTMSLCKHLTSMRWLCCWPERSKRGATMMGLTEEQERALQLLTALNRLNFWYLPNLQSLPSNLRNLTSLKTLNITNCPRITRLPEMGLPPSLTELSVHCCSEELCMQCRMAATEKLNVLIDGIRLIGLYDQNGYYGLRVSNHAAVSVPTPLDSNTPIPFSCFHVYPPSPPCASNTLDAMPCRSNAAGARRCARGRRGSPHVTARSSTYSRKCLANTRAIPLSVFCGVQRRGAYMFDEMPGRHLSSVFLRLCGLQQHLADLGWKVATVSETHPGLPASAAAFTAREKMGFVGTVVDAAIGWLVESILGSFTGHMEVWTRETGLTEDVEKLKFEVRNVEMVLAAAEHAASEGRKINNQPLTQSLDDLREQLYDAEDVMDELDYYRLQQQIEKGEGCSADTGNNPEEEDVTDNTMLPPEMKRDISERINGIANNLQKTGNYVREFLKLEISVLRLRSNQGQGVIRSTRLTTSIPIEPKVYGRDAERDKIIQLITNEGSRDLRVLPVVGIGGIGKTTLVRFVYQDERIIDHFDLRMWICVSTNFNEVRITCEMLDHVCKDRQEYKDVANFNVLQEILLKNIRDKRFLLILDDMWEDKDMSGWTTLLAPLKCNQITGCTVLATTRKNSVAEIF >LPERR04G21250.5 pep chromosome:Lperr_V1.4:4:19829468:19856693:1 gene:LPERR04G21250 transcript:LPERR04G21250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTIAKALKGCPLAARSVGALLNRNLSYEHWRTVQDKWKSLQRCFSYCSLFPEDHQFSGDTMVQVWISQNFVQYEDTGRGLEETGLQYLASLVDFGFFQKVDSHYVMHDLMHELAEQVSSNECATINGLQSNVIRASIRHLSINTRVYNKGENFSSMNIEKILEKIRTFEKLRTLMFFGWSSRKLLKSLHTFLKEAKCLRLLRVYASSDDINFVQNLLHPYHLRYLEFVYIYEGVGIPQALTSFYHLQVLNVGTYKDYLVPTGMNSLVNLRHLIADDNVHHEIASVGNMSSLQELKFKVKNVGNFDTKQLQSMNELVTLEISHLENVMTKEEASAARLIYKEYLKELSFSWEVSSMSLKPERTKEVLEGLKPHQNLTTLHITGYNGLAPPTWLSCNLSVTSLQKLHLESCREWRTLRSLEMLPVLKELKLIRICNLVEVSIPSLEVLVLSGMPQLEKCVGSYGKGLTSHLRVLMLNNCPRLNEFTIFQSYSDFEAEEKSWFPSLFKLIIRRCHCIGNWEKIPLREMRALKVLELMDLHAVRELSVPFLEKLVLIKLPNLISWSSGCSPLQEDQNDGPSTGHHALNPKNIRRRTVTIHDCPSLTVSPLPPDLISDLSIKGVPHLPTVKIIQSSELRIESYNLSVLDNKILAFHNLRGIQSLNIKNCANLISIPNEGFTLLRDLYISHCPNLIFLPSEGLSLLTKLIISHCPNLLKPQATSEDSQANSTFTNNLILPSLRYITIRSCGIAGRWLTQMLRYVQSLEILSLSECPHVRYLSTSQPIETEGSNMTASTTTAVASSSQEELILRVPSSVLHSLWSLYISQCPDLELCGGNWSFGGFTSLKYLNILGCPKLVSSFMGETKEDGGLMSDAGLLPPSLECIAVTCLPASVQPSFLKGLSCLSRLTLSSSYLRCVRLHSYTALVDLIISCQQLAILEGLESLKSLLSLNIEMNPELSAAWDLKLLPLSLHRLHVTNLKDSDQSHMLSFLPRITDFGISDSPELTSLQLGCCTALKHLLIIACKSLASIEGFQSVVNLRQMEVSKSPSLSPCLELLSQQGGSKIWSRLERFYIDDVSVLTTPLCKHLTYLRWLCLQVVNEDNGLRESILSLTEGQERALQLLTSLKGLEFAGYQNLQLLPANLGTLTSLEVLMLFECPSISRLPEMGLPPSLKQLHISGCSDELSMQCRMASTEELIVWIDDEAH >LPERR04G21250.6 pep chromosome:Lperr_V1.4:4:19829468:19851162:1 gene:LPERR04G21250 transcript:LPERR04G21250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTVSAFQIKGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGTLLNRNVSYEHWRTVQDEWKSLQVKDDDIIPILKLSYDYLPFCLQRCFSYCSLFPEDHQFKGETLVQAWISQNFVQCEDTGMRLEEAGMQYLDSLVDFGFFQKVDSHYLLHDLMHDLAQHVSYECDTIIGLQCKTIQPGIRHLSIITTSHDKDADENLPCEKFEEILQNIKPLQKLRTLMFFGQSSVKLLNFIHTLCKEVKCLRLLRVYVSSADISSLFNLLRPYHLRYLEFICAPTRYALAYVYERAAFPQSLTSFYHLQVLNVGVCGKLSVPADMNNLINLRHLFAHDNVFYAIADIGNMTCLQELKFKVQNVGSFEIRQLESMNELVKLAISHLENVITKVDASRARLLDKKYLKELSLSWDADSMSLELERTKEVLEGLQPQQNLKTLRITGYSGSTSPTWLSSNLSVTSLQTLHLEKCRELRILGSLEMLPVLRKLKLIKMWNLVELSIPSLEQLTLIEMPKLEKCFGSYGIELTSHLRVLIIKNCPQLNEFTPLQSYSSFEAEQKSWFPSLNKLTVECCRHISKWNILALGEMQALKKLKLIGLDAIRELSVPSLEKLVLIRMPSLTCCSGLTASLLQITTPQGDQKDWLSGLCKLTIHDCPCLIVSCPLPPSAKMSHLSIKGNPALPTMDKKYGCTIQSSELIVLDDKILAFQNLKGIRLLFIKDCPNLMSLSSEGLNQLTDLDELHIRNCPNLIIPSSLVLPSLTSLYVQACGASGRWLTKMLPHVRSLKHLTLSGPSARDEHLLKIPSNVLHSLSKLVISNCPYVEFCGKEGALRGCTSLKYLSIQRCPKLAPLLVNGKVDVGLLPLSLRILFIDMGLVLPASWDLKKIGIQIPVLLPSLETLYISNLTDKVQSRMLSCLPTIAKLFIRESPELTSLQLGYSKALRELGIIDCESLASIEGFGSLTNLRSLTVYGSPSLPRCLELLSQQQEASEIWSRLEKLRIDDGSVLTMSLCKHLTSMRWLCCWPERSKRGATMMGLTEEQERALQLLTALNRLNFWYLPNLQSLPSNLRNLTSLKTLNITNCPRITRLPEMGLPPSLTELSVHCCSEELCMQCRMAATEKLNVLIDGIRLIGLYDQNGYYGLRVSNHAAVSVPTPLDSNTPIPFSCFHVYPPSPPCASNTLDAMPCRSNAAGARRCARGRRGSPHVTARSSTYSRKCLANTRAIPLSVFCGVQRRGAYMFDEMPGRHLSSVFLRLCGLQQHLADLGWKVATVSETHPGLPASAAAFTAREKMGFVGTVVDAAIGWLVESILGSFTGHMEVWTRETGLTEDVEKLKFEVRNVEMVLAAAEHAASEGRKINNQPLTQSLDDLREQLYDAEDVMDELDYYRLQQQIEKGEGCSADTGNNPEEEDVTDNTMLPPEMKRDISERINGIANNLQKTGNYVREFLKLEISVLRLRSNQGQGVIRSTRLTTSIPIEPKVYGRDAERDKIIQLITNEGSRDLRVLPVVGIGGIGKTTLVRFVYQDERIIDHFDLRMWICVSTNFNEVRITCEMLDHVCKDRQEYKDVANFNVLQEILLKNIRDKRFLLILDDMWEDKDMSGWTTLLAPLKCNQITGCTVLATTRKNSVAEIF >LPERR04G21250.7 pep chromosome:Lperr_V1.4:4:19829468:19856693:1 gene:LPERR04G21250 transcript:LPERR04G21250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTIAKALKGCPLAARSVGALLNRNLSYEHWRTVQDKWKSLQRCFSYCSLFPEDHQFSGDTMVQVWISQNFVQYEDTGRGLEETGLQYLASLVDFGFFQKVDSHYVMHDLMHELAEQVSSNECATINGLQSNVIRASIRHLSINTRVYNKGENFSSMNIEKILEKIRTFEKLRTLMFFGWSSRKLLKSLHTFLKEAKCLRLLRVYASSDDINFVQNLLHPYHLRYLEFVYIYEGVGIPQALTSFYHLQVLNVGTYKDYLVPTGMNSLVNLRHLIADDNVHHEIASVGNMSSLQELKFKVKNVGNFDTKQLQSMNELVTLEISHLENVMTKEEASAARLIYKEYLKELSFSWEVSSMSLKPERTKEVLEGLKPHQNLTTLHITGYNGLAPPTWLSCNLSVTSLQKLHLESCREWRTLRSLEMLPVLKELKLIRICNLVEVSIPSLEVLVLSGMPQLEKCVGSYGKGLTSHLRVLMLNNCPRLNEFTIFQSYSDFEAEEKSWFPSLFKLIIRRCHCIGNWEKIPLREMRALKVLELMDLHAVRELSVPFLEKLVLIKLPNLISWSSGCSPLQEDQNDGPSTGHHALNPKNIRRRTVTIHDCPSLTVSPLPPDLISDLSIKGVPHLPTVKIIQSSELRIESYNLSVLDNKILAFHNLRGIQSLNIKNCANLISIPNEGFTLLRDLYISHCPNLIFLPSEGLSLLTKLIISHCPNLLKPQATSEDSQANSTFTNNLILPSLRYITIRSCGIAGRWLTQMLRYVQSLEILSLSECPHVRYLSTSQPIETEGSNMTASTTTAVASSSQEELILRVPSSVLHSLWSLYISQCPDLELCGGNWSFGGFTSLKYLNILGCPKLVSSFMGETKEDGGLMSDAGLLPPSLECIAVTCLPASVQPSFLKGLSCLSRLTLSSSYLRCVRLHSYTALVDLIISCQQLAILEGLESLKSLLSLNIEMNPELSAAWDLKLLPLSLHRLHVTNLKDSDQSHMLSFLPRITDFGISDSPELTSLQLGCCTALKHLLIIACKSLASIEGFQSVVNLRQMEVSKSPSLSPCLELLSQQGGSKIWSRLERFYIDDVSVLTTPLCKHLTYLRWLCLQVVNEDNGLRESILSLTEGQERALQLLTSLKGLEFAGYQNLQLLPANLGTLTSLEVLMLFECPSISRLPEMGLPPSLKQLHISGCSDELSMQCRMASTEELIVWIDDEAH >LPERR04G21250.8 pep chromosome:Lperr_V1.4:4:19829468:19856693:1 gene:LPERR04G21250 transcript:LPERR04G21250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTIAKALKGCPLAARSVGALLNRNLSYEHWRTVQDKWKSLQRCFSYCSLFPEDHQFSGDTMVQVWISQNFVQYEDTGRGLEETGLQYLASLVDFGFFQKVDSHYVMHDLMHELAEQVSSNECATINGLQSNVIRASIRHLSINTRVYNKGENFSSMNIEKILEKIRTFEKLRTLMFFGWSSRKLLKSLHTFLKEAKCLRLLRVYASSDDINFVQNLLHPYHLRYLEFVYIYEGVGIPQALTSFYHLQVLNVGTYKDYLVPTGMNSLVNLRHLIADDNVHHEIASVGNMSSLQELKFKVKNVGNFDTKQLQSMNELVTLEISHLENVMTKEEASAARLIYKEYLKELSFSWEVSSMSLKPERTKEVLEGLKPHQNLTTLHITGYNGLAPPTWLSCNLSVTSLQKLHLESCREWRTLRSLEMLPVLKELKLIRICNLVEVSIPSLEVLVLSGMPQLEKCVGSYGKGLTSHLRVLMLNNCPRLNEFTIFQSYSDFEAEEKSWFPSLFKLIIRRCHCIGNWEKIPLREMRALKVLELMDLHAVRELSVPFLEKLVLIKLPNLISWSSGCSPLQEDQNDGPSTGHHALNPKNIRRRTVTIHDCPSLTVSPLPPDLISDLSIKGVPHLPTVKIIQSSELRIESYNLSVLDNKILAFHNLRGIQSLNIKNCANLISIPNEGFTLLRDLYISHCPNLIFLPSEGLSLLTKLIISHCPNLLKPQATSEDSQANSTFTNNLILPSLRYITIRSCGIAGRWLTQMLRYVQSLEILSLSECPHVRYLSTSQPIETEGSNMTASTTTAVASSSQEELILRVPSSVLHSLWSLYISQCPDLELCGGNWSFGGFTSLKYLNILGCPKLVSSFMGETKEDGGLMSDAGLLPPSLECIAVTCLPASVQPSFLKGLSCLSRLTLSSSYLRCVRLHSYTALVDLIISCQQLAILEGLESLKSLLSLNIEMNPELSAAWDLKLLPLSLHRLHVTNLKDSDQSHMLSFLPRITDFGISDSPELTSLQLGCCTALKHLLIIACKSLASIEGFQSVVNLRQMEVSKSPSLSPCLELLSQQGGSKIWSRLERFYIDDVSVLTTPLCKHLTYLRWLCLQVVNEDNGLRESILSLTEGQERALQLLTSLKGLEFAGYQNLQLLPANLGTLTSLEVLMLFECPSISRLPEMGLPPSLKQLHISGCSDELSMQCRMASTEELIVWIDDEAH >LPERR04G21250.9 pep chromosome:Lperr_V1.4:4:19829468:19855865:1 gene:LPERR04G21250 transcript:LPERR04G21250.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLVDFGFFQKVESYYVLHDLMHDLAQQVSYECDTITGLQCKSIRPGIRHLSIITTCHDKDADENFPSEKFEQILQNIRPLRKLRSLMFFGQSSVNLLKSIHTLCNEAKCLRLLRVYVSSADISSIHNLLRPYHLRYLEFICAPTRHSLSYVYESAAFPRSLTSFYHLQVLNVGFSGKLTVPADMNKLINLRHLIAHDEVYYVIAGIGNMTSLQELKFKVQNVGNFEIRQLQSMNELVMLEVSHLENVISKVEVSRARLIDKKYLKELFLSWDGDNMNLEPNITKEVLEGLQPQQNLKTLRITGYNGPTSPAWLSSSLSVTSLETLHLENCRELRSLGSLEMLPVLRKLKLMKMWNLVELSIPSLEQLILTEMPKLQKCVGTYGTELTSHLRILMIKNCPQLNEFTPFQSYSSFEAEQKLWFPSLNKLTIECCPHISKWKVLALGEMQALKKLKLINLLAIRELSVPSLEKLVLIGMPSLEFCSGLTVSPLQISTSQGDHKEWLSGLRKLTIHDCPCLLVSHPLPPSAMMSQLSIKGIPTFPTMDKKYGCTIHSNKLIVLDDKILAFHNHKGIRLLFIQDCPNLSSLSTEGLNQLTYLDELHIRNCPNLIIPSSIVLPSLTSISVQACGASGSWLTAMLPHVWSLKHLILSDSAKDEQLLKIPSNVLHSLSKLVISNWPDLEFGGEEGDLRGCISLKYLSIQRCSKLVPLLVSGNLDVGSLPLSLRTLLIDLGPQPPAAWDLKQGDYHIPLLAPSLETLYISNLTDKVQNQLLSCLPTIKKLVIRESPELTSIQLGYSKALRELAIIDCQSLASIKGFESLTNLWFLTVFNSPSLHSSLELLSQKQGASAIWSRLEKLRIDDSSVLTKSLCKQLTSLRWLCFWPEQTNRGATMIGLTEEQESALQLLKTLERINFWYLPNLLSLPSNLHSLTCLKTLDIAGCPCIPRLPDMGLPPSLRELSVHWCSDELRMQCRMLATEKLKTGAMEDFARVSAAFGTMLLLGFSLVQGLLLFSPPLVPCEMMGLIGNVVDAAIGCLVQSILGKFFTEHLEVWTREVGLDEDVKKLEFEMRNAEMVLAASQGRKIDNKPLARSLDDVKELLYDAEDIMDELDYYQLQHHIKQGNGRIAATGNNPKANYESSSTPSSPNKLIVSARSQITSWVSSERKRKRVEEEPADCTLMSPEIKHDISKRINGISNNLHKISYFIQGFLQLDISHLASTLNQRKNMSSNTRLTSSLPTEPKVYGRDADRDMIIDLLINEGSSDLGVLPIVGIGGIGKTTLARFVYRDQRIINHFDLQIWICVSTSFDEVRLTLEILEHVCKDRQEYKDISNFNVLQEILLKNITGKRFLLILDDMWEDKDRSGWDKLLAPMKCNQVRGCMVLATTRRNSVAEMIGTIAKALKGCPLAARSVGALLNRNLSYEHWRTVQDKWKSLQRCFSYCSLFPEDHQFSGDTMVQVWISQNFVQYEDTGRGLEETGLQYLASLVDFGFFQKVDSHYVMHDLMHELAEQVSSNECATINGLQSNVIRASIRHLSINTRVYNKGENFSSMNIEKILEKIRTFEKLRTLMFFGWSSRKLLKSLHTFLKEAKCLRLLRVYASSDDINFVQNLLHPYHLRYLEFVYIYEGVGIPQALTSFYHLQVLNVGTYKDYLVPTGMNSLVNLRHLIADDNVHHEIASVGNMSSLQELKFKVKNVGNFDTKQLQSMNELVTLEISHLENVMTKEEASAARLIYKEYLKELSFSWEVSSMSLKPERTKEVLEGLKPHQNLTTLHITGYNGLAPPTWLSCNLSVTSLQKLHLESCREWRTLRSLEMLPVLKELKLIRICNLVEVSIPSLEVLVLSGMPQLEKCVGSYGKGLTSHLRVLMLNNCPRLNEFTIFQSYSDFEAEEKSWFPSLFKLIIRRCHCIGNWEKIPLREMRALKVLELMDLHAVRELSVPFLEKLVLIKLPNLISWSSGCSPLQEDQNDGPSTGHHALNPKNIRRRTVTIHDCPSLTVSPLPPDLISDLSIKGVPHLPTVKIIQSSELRIESYNLSVLDNKILAFHNLRGIQSLNIKNCANLISIPNEGFTLLRDLYISHCPNLIFLPSEGLSLLTKLIISHCPNLLKPQATSEDSQANSTFTNNLILPSLRYITIRSCGIAGRWLTQMLRYVQSLEILSLSECPHVRYLSTSQPIETEGSNMTASTTTAVASSSQEELILRVPSSVLHSLWSLYISQCPDLELCGGNWSFGGFTSLKYLNILGCPKLVSSFMGETKEDGGLMSDAGLLPPSLECIAVTCLPASVQPSFLKGLSCLSRLTLSSSYLRCVRLHSYTALVDLIISCQQLAILEGLESLKSLLSLNIEMNPELSAAWDLKLLPLSLHRLHVTNLKDSDQSHMLSFLPRITDFGISDSPELTSLQLGCCTALKHLLIIACKSLASIEGFQSVVNLRQMEVSKSPSLSPCLELLSQQGGSKIWSRLERFYIDDVSVLTTPLCKHLTYLRWLCLQVVNEDNGLRESILSLTEGQERALQLLTSLKGLEFAGYQNLQLLPANLGTLTSLEVLMLFECPSISRLPEMGLPPSLKQLHISGCSDELSMQCRMASTEELIVWIDDEAH >LPERR04G21260.1 pep chromosome:Lperr_V1.4:4:19870634:19874942:1 gene:LPERR04G21260 transcript:LPERR04G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNYKHLWFLRLEDSFFSEYEDQELYALPRTLSKCYHLQVLDVGSCETPHSIFNGLNDLFSLRHLIAAEEVLSSIASIGKMTSLQKLRNLCVKKSSGFELAQLHSMNELIKLGVSGLENVITQQEACMARLKDKQHLEKLHLSWNNAQCGYTNYENEYVSESNFLHSRSTDSETEEENQPMSDSNGSPSLEHIPDIASELFQTEHLSWLPKLSKLTIHECPHLRVHGTLPPSNVVSKLSIASISTLSTDEQLLGGTLIMKSYDSIVALYAKELSFGNPRFLTRLQIKDCRNMSILFEGFRHLICLNSLEISDCQNVLYSHLPPEHTCNDTVGGNCNALPSLQSLHIVDCGITGKWLSLMLRYVQALQELSFERYYEISVLSLAEEGNSQPNLMSTLESYSSGYTDNTSSAQDETLYIPLYLISSLKKLSIQDCSRLTFYGNKEDFARFTSLQEIIISRCTHLLPSLLQNDGRWLLLLSLIKLRLEYEVLDFSVKTLQLSFAGNPTNLKILELNHRQSLKILNLYSFTALEELTISYCKSLDTLEGFQSLCRLRYFKVLRCPGLRHLPPFLESLSRQGYELCPRLEKLEIDDPSILTTSFCKHLISLQHLVLSDWTSRETDKLTGGLQLLKFLQELQFEYCHNLKHLPTGLHKLPALRRLGIHYCQHIMKLPEKGLPPMLEELSIIDCREDLTDQCRTLTSKLKVKIDGKYVY >LPERR04G21270.1 pep chromosome:Lperr_V1.4:4:19901227:19901588:1 gene:LPERR04G21270 transcript:LPERR04G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIAAIIKILSLISEACRNAEKLPAALITGGVVEAVAAIFLAFFKPPGGLFEHHGKAAFYLYYAILVGVAVFGFVQRRGLESGFPATSTAGVPSARRSCFVFFR >LPERR04G21280.1 pep chromosome:Lperr_V1.4:4:19903165:19910129:1 gene:LPERR04G21280 transcript:LPERR04G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPTWLASLLTCLQTLHLENCGKWQRLPLGSLGLLRKLVLIEMENATEVSIPSLEELVFIDLLRLKTCYCSLVRDLNFSLRFLNIKGCPMLEVFPLFEDCLQFEIERTSCMPRLSKVTIHDCPCLHMHNPIPLSTTVSELSIIKVSTLPMMEGSSNEMLRIGHRKSFGFCKDSEQLIALDDKVLSFHNLRFLTNLEISGCKNLTTISFEGLRQLTCLKNLTIHWCPKLLSSIDSSELTSEDMAGANRSNLPPLESLDIADCGITRKWLSLMLQHVQFLRELSLKDCEQITGLSIGEEENSRSNLMLVVDAQSGRPSRDKILHLPLNLIPSLKKVAWASDFTLHGMKEGFAKLTSLEKLYIEGDCYLDSDLAYNDGNDEHAKGRWFLPLSLEEIYVHQYSLETLKPCFPSHLTSLKKLKVIRSTSLKSLELQSCTTLEELDIDDCPSLSALEGLQSIHGLRRIQVFEAASLTYLELQSCTALEELIAHYVRGLAIPPQPQAFGTTRLPSLACIFGDFVRAGL >LPERR04G21280.2 pep chromosome:Lperr_V1.4:4:19907622:19909443:1 gene:LPERR04G21280 transcript:LPERR04G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPTWLASLLTCLQTLHLENCGKWQRLPLGSLGLLRKLVLIEMENATEVSIPSLEELVFIDLLRLKTCYCSLVRDLNFSLRFLNIKGCPMLEVFPLFEDCLQFEIERTSCMPRLSKVTIHDCPCLHMHNPIPLSTTVSELSIIKVSTLPMMEGSSNEMLRIGHRKSFGFCKDSEQLIALDDKVLSFHNLRFLTNLEISGCKNLTTISFEGLRQLTCLKNLTIHWCPKLLSSIDSSELTSEDMAGANRSNLPPLESLDIADCGITRKWLSLMLQHVQFLRELSLKDCEQITGLSIGEEENSRSNLMLVVDAQSGRPSRDKILHLPLNLIPSLKKVAWASDFTLHGMKEGFAKLTSLEKLYIEGDCYLDSDLAYNDGNDEHAKGRWFLPLSLEEIYVHQYSLETLKPCFPSHLTSLKKLKVIRSTSLKSLELQSCTTLEELDIDDCPSLSALEGLQSIHGLRRIQVFEAASLTYLELQSCTALEELIAHYVRGLAIPPQPQAFGTTRLPSLACIFGDFGYELCPRQERLEIDDPSILTMSFCKHLTSLQRLSIARLPEKGLPPSLEELDITMCSNELVQQCRTLASKLKVKIGGDYVN >LPERR04G21290.1 pep chromosome:Lperr_V1.4:4:19916370:19916750:1 gene:LPERR04G21290 transcript:LPERR04G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAFLSKILWAIADACLDDNKLPGAMISCGVLQAACALSLIFFVTPSGIFGHHGKALHWLYYGSLITVVVVGFVEASLGFWVGGDVLLRRVAGRTTLFGLPLQLDLRGGDLGLCSCEIIALSSV >LPERR04G21300.1 pep chromosome:Lperr_V1.4:4:19918894:19919336:1 gene:LPERR04G21300 transcript:LPERR04G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLVKILQAIAEAWLDENKLPGALISCGVLQAAAALSLVFFVSPGAIFGHHGKALHWLYYGSLITVIFVGFVEASLGFWLAGDVVNRRAVGMTMLLVCLFSLIIVVAIWGSVLAAVVYS >LPERR04G21310.1 pep chromosome:Lperr_V1.4:4:19920530:19926178:1 gene:LPERR04G21310 transcript:LPERR04G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLESRIGWLAETILKNLATGDLDAWIRQIGLADDTEKLRSEIEKVEKAIAAVKGRAIGNRPLGRLRDLLYDADDAVDELDYFRLEHQVQGDDWRGPPDADREAPTANTGIPITLQEGTDGAEEVDESNGGDTVMSERNTADEFRSFVWQHFVRIKDANGKLVQARCKYCHKTLKCPTENGTNSLRRHHYSKACNEKRGETEQHPAISSADEGPPNGNSAATVNSVGIESMTIDGASAHHEAANTHHWNKAELSSRIKLMTRQLQEIMNEIVRLRRFANSNQGRHSTPMATNATIPYLPETKVYGRDAEMKSILKLIKNNRSYGITVLPIVGIGGIGKTTMAQRIYNHPDIKSQFESQVWVHVSDRFDVVRITREILEYVSKKSYKTKSNFNMLQRDLAKHMECKKFMIVLDDVWDVTTNDCWDKLLGPLRPNHDNPSHLGVTDNTIIVTTRVRTVAESCGTVESINLESLEGGDIWQLLKAHAFGNNNPESYPSLLVLGMEIARELKGNPLAAKTVGRLLRRNLTTDNWNGIIKNKEWQSLQHNDGIMQALKLSYDNLPRNLKQCVSYCSLFPKGYSFSEAQLIQIWIAQGFVEQSNERLESKGSKYLAELVNSGFFESIWSSSEDFVMHDLMHDLARMVSQTECATIDGSECRELVPSIRHLSVVTNSAYHRDQNGNIPRNEEFEKRLKKVVSKSKLRTLILIGQYDHHFFKSFQHDFKEVQHLRLLQITATYADFKSFLSSLVNSTHLRYLRLENKEYHGALPQALSNYYHLQVLDIGSCGTPKIPDGINNLVSLQHLVAKKWVCSSIANIGKMTSLQALENFKVRNSIGFKITQLQCMSELVKLGVSRLENVRTQEEACGACLKHKIHLEKLHLSWTDVQNGYDSKYDSDISYDDEDDPDMSSENEDDSEKSSENEDDGERSSKNENGSGSSRSTENENDNDTTYEPSMDIEMEGEKQTISYSSDDPDLASEVLDGLEPHHSLKHLRISGYNGAALPTWLSSSLTCLRTLHLESCGEWQRLPLQNLRLLTKLVLIEIENATELSIPSLEELVLIKLPRLNTCCCTSVRGLNSSLRVLKIKECPVLEVFPLFENCQRFNIEQHSWLSNLSKLTIHDCPHLHVHNPLPHSTTVSQLKIINVATLPRMYMDGLLREMIIGVDLHFNDTFNEHSVQLIKLDDKVLSFHNLRFLTNLEISGCQNLPIISFEGLRKLICLKNLGICDCPELLFSDVPSELNSEDTTGANRSILPSLKRLYIVRCGRIGKWLSLMLQHVQALREFKLYVCEQITGLSTGEEENSRSNDEQENGRWFLPLSLGELVISNVSSLKTLHPYFPENLTCLKKVRMCKNRSLTSLQLHSCTTLQELIIEECQSLNSLEGLKSLSNLRLLNAHRCLGDHRDNGGCLLPRSLEEIYVCEYYLETLQPCFPSHLTSLKKLKVIRSTSLKSLELQSCTTLEELDINDCPSLSALEGLQSLHGLRCLQVSFAASLTSLDLQSCVALEELKIRFCGSLTTLEGLSSLCSLKRLEIHHCMSITRLPEKGLPASLRELDIDWCRKELLEQCRTLASKLTVKIGRAYAN >LPERR04G21320.1 pep chromosome:Lperr_V1.4:4:19928099:19928623:-1 gene:LPERR04G21320 transcript:LPERR04G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTGTSPPPAKIQVAVRPCQIRPSRDCESTSAAFSPTPQSKSSASGFTTTTPYGSRRGEGEPRRREVTSHDAFAAHLFFSLRYNPAGHEVHTSTDDFFLSSLSPRCQGTECAGAESDAMGSQQEEDDSRVEDWIVHRSENNFKRALGSTRVDGLQTVNGENAGIIDASCGQL >LPERR04G21330.1 pep chromosome:Lperr_V1.4:4:19929927:19933602:1 gene:LPERR04G21330 transcript:LPERR04G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFVIKIMRAIADACLDDNKLPGALISCGVLQAAAALSLIFFIAPGGIFGHHGKGLHWLYYGSLVTVVVVGFVEASLGFWVAGDVVNRRALGRTVLLVCLFSLIFVIALWGYAAAAIFLAFFKPPGGLFEHHGKTPVCIYYSILGGVAVFGFAEASAGFWISGRLVERRAVGRTFLCQPANGLGSKGRNGLDF >LPERR04G21340.1 pep chromosome:Lperr_V1.4:4:19933939:19935144:-1 gene:LPERR04G21340 transcript:LPERR04G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSKTLRDTRTCTAALVCDGVAGVIVAPRVDRLRRARVPVARVHARVGGCDAAAAAALGRSKAAVFVFESGAAKAAVDAAWPHVLPLGDVGRRLIRAAPGSLEMARFKFRKGCSGAAKAAVDAAWPHVLPLGDVGRRLIRAAPGSPEMARFKFRKGCVTFYVYAVRAAAGQGFARCDELRAVVEAVARLKDFLDHTAMLALQGQRSIDLRE >LPERR04G21350.1 pep chromosome:Lperr_V1.4:4:19943240:19948742:1 gene:LPERR04G21350 transcript:LPERR04G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEADLLEGRIGWLAETILENLHAHKLDEWICLVGLANDTKKLRSEIERVEAAITTVKGRAIGNRSLTRSLGRLRDLLYDADDVVDELDYFRLEQQVQGDAWHGAPESLDEDAAEQIERSTRGHIGEERRKRSKVWNHFNIEEEENGKATLSRCIDCHTVVKCGSKNGTSVLHNHRKSKNCTNNRRQSNQPLNLPSDTEGATNGNSIGRKRMRTEGPSTDHAAVNMHSWNKAELSSTIQQMTYQLQEVMSQVIRLRGSDNIVSLDLHHSTSSYSHLSTSSILQRKIYGRVQEKNNIIKQVTEAKSDGFTILPIVGIGGIGKTALAQFVYNDPVVVNSFQQRIWLWVSNNNFDDVQLTRDMLDFVCQENHERLCSFAKLQEMLMGYMKSKRLLLILDDVWQDMTYGRLNKLLAPLKSNDAKGNVILVTTRILSVAKRIGTIEPFELGILEKEDSWLLLKSCACGDENHELCASLSTIGHKIEDKLKGNPLAVETAGELLREHHTVDHWNNILKTEVWKSLQLSGGIMRSLERSYDHLPIHLQQCFCYCSLFPKGYSFSKAQLMQICIAQGFVEKSSEKLEQKGLEYLDELVNSGFFLWSSLEKFAIHDLMHDLARIISRTEYAIIDGSDGRDLAPTIRHLSIVTDSAYREDRLGNISRNEEFEKSLMKVTSRNKLRTLVLIGRYDPHFFKSFRDAFKEAKNLRLLQITATYVDLESFLSSLVNSTHLRYLRLENQEYHGVLPQALCKCYHLQVLDIGSWGTPKIPDDMNNLVSLCHLVARNRVCSSIANIGKMTSLQGLNNFVVRNLSGFEVTQLKSMNKLVQLGVCRLKNVRTQEEACEASLKDKHHLEKLSLSWKDAWNGYDYENEEDSDYENEEDSDYENEGLSDYENEMDSDRSSEPSVDVEHHIDMDTQIQGEVPTGSSDTSGALSLQHHTGMISASSMDNKTEERLPMGDANDAASSEHHTDICSQLSFSKVIDGLEPHNSLKHLRISGYNGAEPPTWLSSSLTCLQKLHLQSCGEWQRVPLESLCLLKKLVLIKMRNATELSIPSLEKLVIINLPKLNRCSCTSARDLNSSLRVLKIMKCPVLEIIPLFENYQQYKIEQPSLLSHLSVLTIRGCPNLQVHIPLPPSTNFSNLSITEVSTLPRMYMDGLLREMSIGVDLHFNDTFDEHSGYIELDDKVLSYHNLRFLIILKIIGCRNLTTISFEGLRQLTCLKKLTISNCPKLLSSINSAELTSEDMAGANRSNLPSLESLDIADCGITGKWLSLMLQHVQALRELSLHHCEQIIGLSIGEEENNQSNLMSATGAQSLGCPSRDKILHLPLNLIPSLKKIAWFSYFTLHGIKEGFAGLTSLEELEIKGEEKYYLDSPLAYNNGNDEQEDGRLFLPLSLGELEIKFVRSIKTLQICFPGNLTCLKKLHVWENSTLTSLQLHSCTALQELTIKDCQSLNSLEGLKSLSNLRFLKAHRCLGDHRDDGRCLLPQSLEEIYVDEYSLETLQPCFQSHLTCLKKLEIKRSASLKYLELQSCMALEELDIQCCLSLSTLEGLQSIHGLRRIQVLWAASLASLELQSCIALEELTIRWCESLATLEGLASLCSLKHLELKGCPRLSPCLESLSGQGYEPSPRLERLEIDDPSILTTSFCKHLTSLQRLELSICGRKVTRLTDEQERALQLLTSLQELQFEHGYNLIDFPTGLHSLPSLKRLGISFCKSIARLPEMDLPTSLEELLSVGVAKS >LPERR04G21360.1 pep chromosome:Lperr_V1.4:4:19954405:19958223:1 gene:LPERR04G21360 transcript:LPERR04G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRALGCGTFALPHDAAALPHLRSSRHCCAAAPRSAEAAPLLTTPQRRRSRLTPPRHLAPRRRPSRQRANTHPLRTTPLQSSAASPISEDACLAGVNLALSCRARLRLNKRPPQAGVVWVPMYP >LPERR04G21370.1 pep chromosome:Lperr_V1.4:4:19962871:19963746:-1 gene:LPERR04G21370 transcript:LPERR04G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRLTTQIPVATKACELDVKRVLTNQDQRSIMPMGRQSTNLTSHGQLDKFLKRFTKEIV >LPERR04G21380.1 pep chromosome:Lperr_V1.4:4:19976036:19976395:1 gene:LPERR04G21380 transcript:LPERR04G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMAAIINILALISEACRNAEKLPAALVTGGAVEAAAAIFLAFFKPPGGLFEHHGKAAVYIYYSILGGVVVFGLAEAWTGLWISGKLEERRAVGKTILWVSILPLVFVAALGGFVFMR >LPERR04G21390.1 pep chromosome:Lperr_V1.4:4:19977754:19982170:1 gene:LPERR04G21390 transcript:LPERR04G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEANLLEGGIQWLAETILENLDADKLDEWIRQVGLVGDTGKLRPEIERVIGMLAAVKGRAIGNRPLARSLGRVRELLYDTDDVIDDIDYYRLQQQVQGDAWDGHHEAEQTERTAGRGNIGEASGSDVMSTQRSEVWRHFTVVERENGKTVKARCIDCNTVVKCGSSNGTSVLHNHRDSGKCKRKRGASDQPPNLGSASVGAANGNSAGRKTMRTEEASADQVAELSSGIQQMIHQLQEAMSEVLRLCGSNYFSSLSLHQNTTSDTPLRTSSLLQRKFYGRVEERNSIIKLMTEAKSDGATILPIVGIGGIGKTAIAQFIYNDPVVERLFQQRVWVWVSNNFDEVQLTREMLDFVCQENHERLCSFAKLQELLIGSMKTKRFLLVLDDVWEDMNNGRLNKLLAPLKSNDAKGNVILVTTRLWSVAKMIGTVKPIELGVLEKEDSWLLLKSSAFGDENHEPCASLSTIGHKIADKLKGNPLAVETAGELLSGKHTIYHWNDILKTEDWKSLQLSGGIMQSLKRSYDLLPYNLKQCLSYCSLFPKRHYFSKAQLLQIWIAQGFVEKSNETLEQKGWKYIIELMDSGFFQQVESEWFTSDDYFFMRDLMHDLARMVSRTEYATIDGSECRELVPTIRHLSIVNHSAYRKDQFGNISHNEDFEESLQKVMSRSKLRTLLLIGKYDPHFFKSLQDAYDPHFFKSFRDVFKEAQHLRLLQITATYPDLESFLSSLVNSTHLRYLRIESRDYREALPHPLCKCYHLQVLDVGSCGTPDVPVDINNLVCLQHLIAPKGVCSTIANIDKMSSLQELDNFVVQNLSGFEVTQLKSMEKLVRLGVSRLANVTTREEARGASLKDKQHLEKLHLSWKDAWNGFDYENEEGSGYENESDSDGSSESSVDVEHHIDMDTEIEGEVPMNSSDTNDALSLQHHIGMISAFSMDIETEERLPMGDANDAASSEHYSNICSQLSFSKVLDGLEPHHTLKHLRISGYNGARPPTWLSSSLTCLQTLCLESCGGWQKLTLQSLHSLRKLVLIKIGNAIELSIPSLEELVLIKLPRLKTCSCTSTRDLNSCLRVLKIKKCPELLPFFLQLNDDCQSLNSLKGLKSLSNLRLLKAYRCIGDHIEDGRCFLPQSLEEIYVYEYSLETLQPCFRSHLTCLKKIEVKGSASLKSLELQSCTALEELDIQDCPSLFALEGLQSLRRLRDIQVFQAASLTSLDLQSCIELEELSIRWCLSLSRLEGLPSVCSLKRLYLEGCPRLPPYLESLSVQGYELCPQLNRFTIDDPSILTTSFCKHLTSLQRLEFSKCGREVTRLTNEQEMAFQLLTSLQELLFWYCNNLIDLPTELHSLPFLKWLKICNCKIERLPEKGLPPSLEEMVIFSDSEELVQQCRTLASTSKLKININGRCV >LPERR04G21400.1 pep chromosome:Lperr_V1.4:4:19983502:19985070:-1 gene:LPERR04G21400 transcript:LPERR04G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALIAGRGAAHAVSHRHHQWGDARAAPVQAHNSDPHRGSNGGSATPASGYVALRPGAMAHREARAMRDRLAGELGQVRDLIARIDTWQQQQQQLKRRHGSPPRRRRELLPPAPPAPEKLVAAMRKRCEQTLAKLRKDKRSIWFNAPVEVDRLGLHDYHAVIKRPMDLGTVRSNLASGRYTSHEDFAADVRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKASVSWFEQELKQLEPPVPVLVPPELPPATAPAQVKPRGGNVRMRKPKAREPNKREMTLEEKNLLRIGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNDENADVIDASGANDSDVLVNGSVATMVDNGDVVMAIESENPDKSTALAEQLDEYVDIGDEMPTATYQSVEIEKDAEVASSGSSSSSDSGSSKDSVSESGNVRSLV >LPERR04G21410.1 pep chromosome:Lperr_V1.4:4:19985901:19986287:-1 gene:LPERR04G21410 transcript:LPERR04G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQLIARFAVEVAPPQLSSSIIRRRRSVFPTMLDTIAEEEPPEAPPAAAAVVMELSASYALLRGRRGVVAPPPPPLPPRNVMVGSSSSLVLVRAEAMEMKRRAVVVAAGSSAKAAAAAAACSKIGA >LPERR04G21420.1 pep chromosome:Lperr_V1.4:4:19989637:19993916:-1 gene:LPERR04G21420 transcript:LPERR04G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGGGFAGIAAADALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGRQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAIVLERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHHLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGVMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >LPERR04G21430.1 pep chromosome:Lperr_V1.4:4:20007530:20010082:-1 gene:LPERR04G21430 transcript:LPERR04G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLYTDPYPQQRTVQFGPSLASRKGPTTVTQRRYPPLPHHFYTTVILTPSPRSHPFGRRINRCAPFIATNHKPSPLPESATAAGGGSAGVVSTLKQLGLSTAKLLDPRSCEKRLFTTEVQELRKKVAETSSVLIFDVETTGFYHKDHRIIEFALCDLSGGKNSTFETLVNPERTVPEHIERLINIGTDLVCKPDIPRFSEVIPALLAFVRSRQAPGKPILWVAHNARFDVWFLAQEFDRCSAQIPADWLFLDTLSLARKLLKEDGKNRSIKLEALRKHYDIRSDDAAHRAMRDVMILSQVFQKMTFDLKLTYEELLNDAKKASEFRKAS >LPERR04G21430.2 pep chromosome:Lperr_V1.4:4:20007530:20009259:-1 gene:LPERR04G21430 transcript:LPERR04G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRSSQLRDNILSSFPVRFLKKQLGLSTAKLLDPRSCEKRLFTTEVQELRKKVAETSSVLIFDVETTGFYHKDHRIIEFALCDLSGGKNSTFETLVNPERTVPEHIERLINIGTDLVCKPDIPRFSEVIPALLAFVRSRQAPGKPILWVAHNARFDVWFLAQEFDRCSAQIPADWLFLDTLSLARKLLKEDGKNRSIKLEALRKHYDIRSDDAAHRAMRDVMILSQVFQKMTFDLKLTYEELLNDAKKASEFRKAS >LPERR04G21430.3 pep chromosome:Lperr_V1.4:4:20009312:20010082:-1 gene:LPERR04G21430 transcript:LPERR04G21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLYTDPYPQQRTVQFGPSLASRKGPTTVTQRRYPPLPHHFYTTVILTPSPRSHPFGRRINRCAPFIATNHKPSPLPESATAAGGGSAGVVSTLVWLCEMWLT >LPERR04G21440.1 pep chromosome:Lperr_V1.4:4:20013247:20024797:1 gene:LPERR04G21440 transcript:LPERR04G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLVLVIVHLMMLGNKEMEGAGCMALVTNLCDYEELAKQKLPKMIYDFYAAGAEDQWTLKENRDAFSRILFRPRVLVDVSCIDMSTSILGYKISMPIMIAPTALHKMAHPEGELATARAAATAETIMIYKDRNLVQQLVQRAEMAGHKAIVLTVDAPWLGRREADAKNRFTLPQNVVLKTFEGLDQGKTDKTNGSGLAAYVANQVDRSFSWKDIKWLQTITSLPVLVKGIITAADTRIAIECGAAGIIMSNHGGRQLDYLPATISCLEEVVREANGRVPVFIDSGFRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRNALRMLRDELEVTMALNGCTSVKEITRSHVVTEGDRIRSCSRSTIPTLAAKATKTRARPEGENFALPAIARRLKMVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMAAKGEAVELRCLVRATDGKKNISTSLSAKEYLKFQASYATVLKAHMHALKKRERKDKKKAAEAEKIPEKAPKKQKKASSSKKSAGSKS >LPERR04G21440.2 pep chromosome:Lperr_V1.4:4:20013247:20024797:1 gene:LPERR04G21440 transcript:LPERR04G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLVLVIVHLMMLGNKEMEGAGCMALVTNLCDYEELAKQKLPKMIYDFYAAGAEDQWTLKENRDAFSRILFRPRVLVDVSCIDMSTSILGYKISMPIMIAPTALHKMAHPEGELATARAAATAETIMIYKDRNLVQQLVQRAEMAGHKAIVLTVDAPWLGRREADAKNRFTLPQNVVLKTFEGLDQGKTDKDIKWLQTITSLPVLVKGIITAADTRIAIECGAAGIIMSNHGGRQLDYLPATISCLEEVVREANGRVPVFIDSGFRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRNALRMLRDELEVTMALNGCTSVKEITRSHVVTEGDRIRSCSRSTIPTLAAKATKTRARPEGENFALPAIARRLKMVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMAAKGEAVELRCLVRATDGKKNISTSLSAKEYLKFQASYATVLKAHMHALKKRERKDKKKAAEAEKIPEKAPKKQKKASSSKKSAGSKS >LPERR04G21440.3 pep chromosome:Lperr_V1.4:4:20013247:20024797:1 gene:LPERR04G21440 transcript:LPERR04G21440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLVLVIVHLMMLGNKEMEGAGCMALVTNLCDYEELAKQKLPKMIYDFYAAGAEDQWTLKENRDAFSRILFRPRVLVDVSCIDMSTSILGYKISMPIMIAPTALHKMAHPEGELATARAAATAETIMIYKDRNLVQQLVQRAEMAGHKAIVLTVDAPWLGRREADAKNRFTLPQNVVLKTFEGLDQGKTDKTNGSGLAAYVANQVDRSFSWKVVREANGRVPVFIDSGFRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRNALRMLRDELEVTMALNGCTSVKEITRSHVVTEGDRIRSCSRSTIPTLAAKATKTRARPEGENFALPAIARRLKMVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMAAKGEAVELRCLVRATDGKKNISTSLSAKEYLKFQASYATVLKAHMHALKKRERKDKKKAAEAEKIPEKAPKKQKKASSSKKSAGSKS >LPERR04G21440.4 pep chromosome:Lperr_V1.4:4:20013247:20017609:1 gene:LPERR04G21440 transcript:LPERR04G21440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRTNMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVAGQVDRSLSWKDVKWLQTITSLPILVKGVMTAEDTRLAVENGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLDEITRNHVFTESDRIRRSRL >LPERR04G21450.1 pep chromosome:Lperr_V1.4:4:20025243:20028076:1 gene:LPERR04G21450 transcript:LPERR04G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFAGWSMPIQYKDTIMDSTLNCRSNGSLFDVSHMCGLSLTGSQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDKHVYLVVNAGCRDKDLAHIGAHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLISQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >LPERR04G21460.1 pep chromosome:Lperr_V1.4:4:20037619:20040032:-1 gene:LPERR04G21460 transcript:LPERR04G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMFKLEHPLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >LPERR04G21470.1 pep chromosome:Lperr_V1.4:4:20042232:20044706:1 gene:LPERR04G21470 transcript:LPERR04G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNIARGATATPRTAPPPCTVTLPTQLHPNPRLLHHRNTTNKTKPRHVSCRGAIDRRDVLLGIGCAAAAAGMAATNTNTSLAAPIQAPDLGECHEAVDVPPTAPEIPNCCPTYVPGRTVTVDFAPPPPYSPLRVRPAAHLVDRAYLAKYERAVSLMKALPDDDPRSFAQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWLFFPWHRMYLYFHERILGKLIGDDTFALPFWNWDAPAGMSFPAIYANRSSPLYDPRRNQAHLPPFPLDLDYSGTDTTLPKDQLIDQNLKIIHVMNMVYFIFQMISGARKAELFIGQPYRAGDEPDPGAGSIELVPHNPVHQWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWQIRRALLFPGATDIPDPDWLDAEFLFYDEDARLVRVRVRDTLDAAALRFAYQDVGPLPWLDARPAKGSAGTPAPATGGAFPAALSTTVRVAVARPRTSRSRKEKEEEEEVLVVEGIEVPDHSAYVKFDVFVNAPEDGGAATAAAGTCAGSFAMSPHGVHRGGGREGSTARKTVARFGICDLLDDIGADGDETVIVSIVPRCGCDSVTVAGVSIGYVK >LPERR04G21480.1 pep chromosome:Lperr_V1.4:4:20045750:20053049:-1 gene:LPERR04G21480 transcript:LPERR04G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRAAHSPLCSRSRPVLVVRPATAAAGSGFVQPIVRCSRFTRSRHLRYMVASSDYSKKNPRRASTPKPKGAASRTYAPRPTVESSTKKIEQSSTSEGDFGRSNGKLSSEATGQTSNIEESSEVNFYGNVSSSASLEGADSAFEGESEEEGGQNLSPELSPESMDDDALDRKLDEYRGKISALINSKPDPTSISSTYVQDRSIVRVPEQSKPSDDVIGQHPTEERTVTSGQGITEESPEGMISKSVEGEQLSREAKETLLAEDDLGITMDEQDEPDIASIQDGVDPQVLMRQLEELAEKNYSAGNNCFVFPEVGKADSIIDLYLNRSMSALANDSNVLIKGAFNGWRWKSFTEKLRKSDLRGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNYNDFALQIESDMDEQSFEDFLVEEKQRELERLAAEEAERVRQAEEERRKAEERAEMEADKAQAKAEVEMNRNKLQSVLSSASRYADNLWYIEPSTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSISERLVKSYEKDGDWWYADVTLPERALMLDWVFADGPPGNARNYDNNGRKDFHAIVPNNISKDLFWVEEEHIIFRRLQKERKEREEADRRKAEVTGKIKAEMKEKTMREFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVSAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHLRQSFIWGNTEIKVWFGKVEDVPVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAHNDLSNGRVVFTIHNLEFGAHHIGKAMANCDKATTVSYTYSREVSGHGAIAPHYYKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDIDDDKDRAQEQGLEPNGFSFEGADSNGVDYALDRQSDHHMVRRPRLVPLPLQEGDGARLDMEPTCSGLHGIVPFRSQELKHHWRQQQIDFCK >LPERR04G21490.1 pep chromosome:Lperr_V1.4:4:20077232:20078718:1 gene:LPERR04G21490 transcript:LPERR04G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRSLGRSVTSLFRSRRLLSNLASDHKKLAKNNGAMMMKKQHHALSTDSWGDEPPSFWDRMIPLLLAPSTSALELDKPPNKPKWRGSPNPRESIQAWKKMPTSQPRFRPPKQIL >LPERR04G21500.1 pep chromosome:Lperr_V1.4:4:20079008:20082345:-1 gene:LPERR04G21500 transcript:LPERR04G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAVLDLGVNPGLKGNANRRMLWPLRQAKNKSLSPPRQLIGEEEGFLFALKEGAEADPLSSGLFIIIQIISFIIIV >LPERR04G21510.1 pep chromosome:Lperr_V1.4:4:20082361:20082768:-1 gene:LPERR04G21510 transcript:LPERR04G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRNPRSSAAAAADHHRFLRPGALARLRDAKLRRRKPNSASRLAPPSSPSSPSPAMAPAPSSPAPAAAAGDGAVVPYFVPFTRLLAPRCPQRKKLAAAKGVMLFAPPPPSPDLPVEVAAMEFLTSPDMVVAAH >LPERR04G21520.1 pep chromosome:Lperr_V1.4:4:20082380:20082973:1 gene:LPERR04G21520 transcript:LPERR04G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVRNSMAATSTGRSGLGGGGAKSITPFAAASFFLWGHRGARSLVKGTKYGTTAPSPAAAAGAGDDGAGAIAGEGEDGDEGGARREAELGFRRRSLASRRRARAPGRRKRWWSAAAAAEERGLRVAAMWARCVGERPMWEMRVVETSENCEMEGGYLKGLGLGGDARALGAKKWFGPKMALRLSRALGDGDTFTP >LPERR04G21530.1 pep chromosome:Lperr_V1.4:4:20083827:20087142:-1 gene:LPERR04G21530 transcript:LPERR04G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLEHIPRHLQVQLIKQNNLGHHQTLKNEISSPISVLERKSGELHKVQLHSTTAVLPVTTMEKATKWEPESLEGMEAHDAYLIASQLNLLNEQEDAAYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINMKLVNELKETKMSAKQLLQEYDDERKARELTEEVCNELAREVEEDKAEIEALKHDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKLTLDAKYTQLSKLQQDVEAFIATSSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPPQSEDVFSIFEELRPSEEPVIKEIEPCYNNSSAMCESEIQEASPLTDIFLEKPSKVYSSKGPQNESDTDDGSSWETISREDMQGSSGSPDGSEPSVNKICDGSISWTSRNDFEYKEAEKLKDDSAEVYLTNMNQPKKKESALSKLWKSSRPKNSDICKKDAAETINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMNMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >LPERR04G21540.1 pep chromosome:Lperr_V1.4:4:20092367:20093849:1 gene:LPERR04G21540 transcript:LPERR04G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAQHLLPLMLIVTGDILHQAPVAAHVKHNNYFFQDDPRQLILVDNYNFGSSRQGSLSIAVNVKSRTEPNPDPSLAGFFILLDGTPLNHVIHKMRMQGRRTPKSSYVGMGCILNSPYVMPLFTFAHLDGDGRYGNTFPVTRPGEHGVYFANCARGTRVTVDVHVDAVTRGSEHSESSYLSVTAVTRSPWRSPTRLSREEWWWPVGWRWIKYASVLVQLACCVAVLLMPMDRVIRALRKEVDVDDMSTAARRLARLVVFRQLNAAVAVYIYSTRMAVIILEFLVGTNSGRCWATVVAEEAATVVFYTFMFCKFGTVGDNPVEDAQELIAGGI >LPERR04G21550.1 pep chromosome:Lperr_V1.4:4:20094866:20099329:1 gene:LPERR04G21550 transcript:LPERR04G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAPSFSLGSEFDEPDPHGGSDRYEAPEAGAYTAPDAPSFSLGIDYECDGDGGGGGNREDEQRRYEAPDAPSFSLGIDYDGDEPHLPERARREEQRRHYEAPDAPSFSLGIDSDDDGGDEHHLTDGIHREEEQHWRYEAPDAPSFSLGIDYGDDEPRLPNGGHLEEQAKHYEAPDAPSFSLGLDDEDDDLVIGGSHRKQARPQVTPLARTSLGIVEDDDDDDFVLAGAQQQQRRHEALVPDPVPPPSETSRFKRLRRGPAPPSQAPKPAPVATDESPVVTSKATLGDVGSWEDEIENFTDEERSRNDMPPSGGSCITSSNSKFSLMTRGVIMCPSTSKSKVFTHKPNYPASKSLEESCSKKLLPRIKLSPMRKIHLLDSDTDSDDNKGKPNLQQKDKSQANAAACNSETGMTDSWATPALDEFCNEYFKSVKDPKPSQRKEGSGFCGPKVMHSNYSVSENGGHFPHQSTPNGATLENDSTNSQPPAAHYYFHHDPLVRESVHQRLKHFVPLGVDSNRGDDQSTPNGAILENGLTNSHPPAVHYYFHHDPLVRELVHQRLKHFVPLGVDSNRGDDQDGTGNLRYRSQLDRSAADNDRWVTPNRRTSVSTEVGKRRVNTSGMSGSGHWFTGEDGKKVYVCKNGEELTGRAAYRQYTKESGKGFRQSNKKMSAVTKGSSSRGKKATPKVKQEKGTRKRKR >LPERR04G21560.1 pep chromosome:Lperr_V1.4:4:20100697:20103813:1 gene:LPERR04G21560 transcript:LPERR04G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVAVAAASTSTGRRWLAWARRQAAAYLAAAGGGDDGWAACAVSVVRIVVCFVSMMVTTAAWAVVMLLLLPWPCERIRQGNLYGHVTGRMLMWILGNPIKLEGLENLKTRGIFLCNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTKLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIRTDDWQEERIDEYVEMVHSLYVDNLPDSQKPLESQTTRKKMS >LPERR04G21570.1 pep chromosome:Lperr_V1.4:4:20103616:20115604:-1 gene:LPERR04G21570 transcript:LPERR04G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSAASAGGEDELANLQEDLYLDDDDEQFDIDSDNDDDEVKEEAEPKREQNLVKVGMDAWNQSFGATCKVRLISILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHKEKPGMAYIISLFATVLGHPSGRLRRHGLVKKSLDGVARTILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKLAVLPQLSGMRKKKGGRDGGKRKKGTDFGSTRRAFVGFAMSFLEVGNPRMLRWVLQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAAEIAHEVLVMVCTDPKNGLMPSSNLRGNEKRLLGLMKKLKATEVFHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSPSWFYAISLAADMMSSVKCDGIFQNLLSTSHDLVSVDDEQVQVMLKCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCNAIEVINGIISNARTKSGYDNSTKVTVKIDGFPGLSCSTSADASTVHEVLHGDRMCFDRWINLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKIHGELEPPLKKWRCGTTDEDADIIIGGIDVELSRDDPEEQKMKLANDHATILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRIMPSSFDGSFDFFRVIPPNPLDLSKDAQQSLLSLLVEYSGQSDGHWCPEKVPESMYKYLQPLIDIMLHAQVESVRDKAYTLVKAAMASSGAFDQNSAEIDVWLAFLPGYEDKGCKREGLGVEASNRLSHIVILFLCDAISVVGNNLYKYQEHMLKLISKSNHFKGYSPSFSPLIVCVLQKCLRLLDSESVNVKLHEKSTISLYVCNTINLIMQSQVDVLLLPDLVVTILNERLSKFSSEEINSKVCFAEWRPLIYLLHILRSISNQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSSQQPTNLPVDVATAFLYSVICAPPDDVISTLPGLLHVVKTHFPSNLPFMSSVLYLQHDYLAKVASYFPDMFFSSLRQIKGNLDVDNVNNVEDKWGKHSISAESAAISTFLNATPFCALLPSVLSLTFLAPDEATKAHALLQHELVHLIQAKVSESPLSELTIFLRVILFWSHHLLSSYIVKCSDVIEQLCGVCFSVIDSIFERIRVLISDTANSKSSVSFHSVECLHGIVDSVVQHPIIGLSLSCSLSNFQDLEDGSVERVKGYIAGFSKEKLHLADSFVLNLLSNLYDLVLLAGSVGANYSDNDDQSLESLFGPPKLLLDRIILLFREKFGLCMDKRNFGLFLPNFYMVHTLAKFMSPVRLLELANWMFSTFENFCSSSSPAYAPAAFFCLYTADFALEMLYDYLQQIDQGSEPCRLWGLEIHNSDTATIQRVYNFIIHFAAKLNTEFADLCLLKMLIRIHHTERSAGRNTDYIALHMMLSTMVANTPINILHHCMFPTSKIKAKAIQLLLGANAIHMRLFGKMFMEILKNDTSVLQVMGSISNTSWAREDSFILLLPAALSYMEHHCGGNRQCVDFVESVPVFYCEILLGDNGFPCWKSFVTKSIFEEDFSDFRHASVEDIMGYFGDTLLGKSITMLRYYFCSKEMPRKQRLEIIASICPQSSELLDSDSGFVTPVSCNGIMKLSNELFAKISLIRMLFSPPRGSLANDIAPEKESKRVNNAKLNFISILVRTLDKILKNFPRSDGIIISSPVEQNVISFLEYVILNNIIELSSEVQSNLNQLKTIPFLNQMIRSCLLYRFSDPVVIKAIRCILVVLSEGKFPAHEILELILGHSHFVSTITCSGVSECPSACNPTGSLLQPAPSILKSVNSAFAKENKFQDCIPEKRKVEIIRLLRVLYDIKSRQHNSSLLDESKELGFLLLSVYGATLGETDLEIFHLMNEIESSECKAVADVDHLWGKAAVKFREELKLEISTSDNHKMENAEVSDRRRSLFRENIPIDSRLCVITALQFCYRRSSRASIFSLKQLQEDNFGDIFKTTSRSMDAVQIYDPVFILNFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEPWQRIPSVIAIFAAEASLTVLDSSHTQFATISKFLMHSTSVNLQLLYAGSNLGDDAKIYKRGGVLELALSFGSSAFSDSETKLLILQVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNAPLLTLVLNVIASAMRLSMKRKIYQPHFSLSLHGINKLCQTIGGNSRSIELKLAIELSIDVVLMNGPLPVVSEMDLTMFILRHYYQPWRDPAIHRTETERLEQEQACQSLLIIFSNGFSAELSGFPMMSLSDVEKSGLYQWERDSMLK >LPERR04G21580.1 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQNKGLAKNAVVTQNVTKMAEHNFEGDINSEEKRFRDRSLQSVAIYAPSFVYKSTLCSSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMMNLTLTGRTLLVVVVVPTKCREADT >LPERR04G21580.10 pep chromosome:Lperr_V1.4:4:20116621:20117395:-1 gene:LPERR04G21580 transcript:LPERR04G21580.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLSPSIESSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMMNLTLTGRTLLVVVVVPTKCREADT >LPERR04G21580.2 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQNKGLAKNAVVTQNVTKMAEHNFEGDINSEEKRFRDRSLQSVAIYAPSFVYKSTLCSSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMTLLVVVVVPTKCREADT >LPERR04G21580.3 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQNKGLAKNAVVTQNVTKMAEHNFEGDINSEEKRSALNVAGSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMTLLVVVVVPTKCREADT >LPERR04G21580.4 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQVPLQSIWTTHSRHFGTEACSSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMMNLTLTGRTLLVVVVVPTKCREADT >LPERR04G21580.5 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQVPLQSIWTTHSRHFGTEACSSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMTLLVVVVVPTKCREADT >LPERR04G21580.6 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQISGQKLAVCGHLCPCSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRMNLTLTGRTLLVVVVVPTKCREADT >LPERR04G21580.7 pep chromosome:Lperr_V1.4:4:20117452:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQNKGLAKNAVVTQNVTKMAEHNFEGDINSEEKRFRDRSLQSVAIYAPVPDDIIA >LPERR04G21580.8 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQVPLQSIWTTHSRRSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMMNLTLTGRTLLVVVVVPTKCREADT >LPERR04G21580.9 pep chromosome:Lperr_V1.4:4:20116621:20119969:-1 gene:LPERR04G21580 transcript:LPERR04G21580.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWFLRGVGGDEALNLNGEPLDPAAIQSTLCGEVLHQVPLQSIWTTHSRRSMSWILMLHAEPAAGPRSSALNTGDRAASTHAWARNVSPLTLNSTSAISPICRCRLDGGTVMLFTFSERESLVTVRSQLIVMYSTLENRIRLKDDCSSVFLTNLMMLRSVPALTKMTLLVVVVVPTKCREADT >LPERR04G21590.1 pep chromosome:Lperr_V1.4:4:20129339:20130520:1 gene:LPERR04G21590 transcript:LPERR04G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGPHNTHLIVPSVLRLAASMGDQLRDQAAAAAAAFPAVAACRLPKTSSASVTDSVTAVHDFKVTGYSLIEGMGIGRYVSSSTFSVGGADWAVRFYPDGSTVTCVGNASAFLYYCGREKEVRARFTLNLLGKDGKLSQVTNSYMRHTFSPASDNWGFIKFAERSKLHSSPFLNNDCLTIRCLLTVVKETQTKKVEVNSVVVPPSNLHIDFENMLNDGEGSDVTFSVGEQLFRAHRCVLAFRSPVFKAELFGPMKEDASQCIKIDDMEPEVFEALLHFIYTDRLPDSCTDGKTGAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRQACIGFVASPNMLGQVIESDGFKHLVESCPLIMKEILSKVSHIWIDRSS >LPERR04G21600.1 pep chromosome:Lperr_V1.4:4:20132386:20136843:1 gene:LPERR04G21600 transcript:LPERR04G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPSQPQPGMGPPPPPQGAAAGQPPQWGAIPPPMPHPYGAPPPPQQQQQPPPPQAMWGQPPPQAHYGQVPPPQPYYAAAPPQPAAMSAPAAGADEVRTLWIGDLQPWMDETYIFNCFVATGEVQSVKLIRDKQSGQLQGYGFVEFASRAAADRVLQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTSGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQQDSNQWGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQPPQQQ >LPERR04G21610.1 pep chromosome:Lperr_V1.4:4:20137393:20142207:1 gene:LPERR04G21610 transcript:LPERR04G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPPPQSPSPKAAEVAAAAAERPRPQHPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVFHTATLDGGYGVVLKKARKIADGKGGANIPLGAFVDTLVVLPDDIVQVIAKDFSLGTKDACRTPVCETVAASASVKPQTSHVNVSSLKEFKKCSMPDQGSDICIGKSTPGPRFSCNAIMSSAIVGPKDGNARSAVLTTSTMASDAKVSPPATSAAKTAVLSKTAAKDSKLNPCARVFSPSFASSRPVLAAAPSINPIYISNSVAGVPTGLPVFETNPVPGGSSLSSKVVHYNNLASANYAISPQYIQSTMGHNVTRLDPARIGTPYHPMQVGPAYISPGPQPVAGGKFNHFVYVHPFSQDVMHGAPVIPQGWSLPASLNSHQANFQKFQGTAPLYVAPPVMATGNLPLVVPNPAPLVQPFQAARPIMVPAASSMVPGKYM >LPERR04G21620.1 pep chromosome:Lperr_V1.4:4:20143993:20145883:-1 gene:LPERR04G21620 transcript:LPERR04G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEENKVVTPNELPMEHTSSSGAKRKRGRPRKYEYGMHELPHSAQPTQSIPPLHSTQDSSNIRQDGTQINNASGGSVGPTIQALPTKQVPPNRSSRQATNYSSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNLVLKGWIPDENNLRPITSKDDLAPDLPMLRPSQVRKRPSTIYSQAAGQSIPVPLEDVTFAKPLQMRRPIEKSVTKHMVLSAPRPYMGSGVVAAAPISVAPISVAPIKSESKPFSEQGNELRNPQPLSVAVPIQSGQPVLASCKQDTEQPENTKEAEQLDTKRDISKGVDGSKSEASGGTEPLIEASTATHSPQEIPDGIHDVNKKVKVDNNESSG >LPERR04G21630.1 pep chromosome:Lperr_V1.4:4:20146196:20147344:-1 gene:LPERR04G21630 transcript:LPERR04G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKPRVILDHGHGFAFTIETAKRFPSTPRLASRPSLSRVSLPISPPPAIGGEPRRAHLRHLASLPRARAPAAANGRHRRGADCLPSSPPYPTPPYPYPRLLGAGRATDGDRLGIESRFEYLRVILRNITYD >LPERR04G21630.2 pep chromosome:Lperr_V1.4:4:20145946:20147344:-1 gene:LPERR04G21630 transcript:LPERR04G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKPRVILDHGHGFAFTIETAKRFPSTPRLASRPSLSRVSLPISPPPAIGGEPRRAHLRHLASLPRARAPAAANGRHRRGADCLPSSPPYPTPPYPYPRLLGAGRATDGDRLGIESRFEGEKYSSSN >LPERR04G21640.1 pep chromosome:Lperr_V1.4:4:20149887:20150357:-1 gene:LPERR04G21640 transcript:LPERR04G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDNRAVTPNEPLPTEQPSSSGVKRKRVSPQRQESSHSAQPIQSIPPAPLQDNSVKDAIVGKYFVGKMSRQLNGFSQITVKVKDNLVLKGWIPNEDSLCPIAPKDDLAPDLPMLRLSGIRKKPSTISTQAAGPVPVPLEGVTHANPLQMRKPVE >LPERR04G21650.1 pep chromosome:Lperr_V1.4:4:20156621:20158890:-1 gene:LPERR04G21650 transcript:LPERR04G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALLLLGSSPSYSLAATHPASACSSGSRRKCSPVGRNNFRCSLDDKVLLNTHGVSTKLLSCFAASLVFISPPCQAIPAETFVQPNLCPIADIAAIDKAAVPLKFDSPSDDGGTQLMMRGMTANNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEADMANAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYSANFGYDPSKIKDTPQDCEVMSTDQLDLMMSMPGMTEALTNQFPDLKLNAPVAFNPFTSVFDTLKKLVELYFK >LPERR04G21660.1 pep chromosome:Lperr_V1.4:4:20159489:20165718:1 gene:LPERR04G21660 transcript:LPERR04G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSAARWVVCKALAPVTDGFLEAWAASAGLAPNTDALKTELLYAQGMLDNAHHGAAGDGIRSPALRELLHKLRHLAYDADDVLDELDYFRIQDALDGTRHAAAGGESGGCLREAELNARHTVTTILSLELLRSSSHAAAPVTAKSRPVTTCRSIEPKLYGRDTTKKSIINDITQGKCCGEGLTVIPIVGPGGIGKTTLVQYIFRSQEVQNHFQEKVWICVSQNFNVNKLTEEIEKSTHRVEGEESGRAEELIEQRLESKRILLVLDDIWNCEYEDWRRLLVPFTRGQRKGNIIIVTTRFPAVAEMVKTTDSSIDLEVIDGMDDSDGKTDENFKSELRILKTRLTVTNLRTLMIFGELDGSFVVIFRDLFKDANALRILHLPRMSFSVESMIHRFSALVHLRYLRLGTNYGSETHLPSTLYRFYHLKILDLQAWDGCLDLPRHLSNLAKLCHFHTQHDELHSGISNVGNLQFLQELKIFRVKKENRGFELKQLGHLIELSGLGIYNLEKIHTEEEATEAKLAYKTFLHKFTLDWKTNIDLDKEAQILERLRPHNNLQELCIRGHGGPSCPIWLGSELSVKTLASLSLVGVAWNTLPSLGKMWKLRELTLMRIPTLNEFGPNQFGPMTEHIFHKLKRLALIDLKRLEKWVIGNYHELFSRLQALVIRDYPELSELPFVNQVYPPSLQELEIENCPKVLALPPIPWTYTLCSVQISKVGLRLGHLNYSKSPNGVTLDIEGNDDLHSLDEVLAINNLADMQKMEMLKCSPLELRQLQMLTSLKALRLSDSKGILGNLSDAEWQLPLERIFIQNCHESGKELTKLLSRLPKLSYLHMWACQGITRLGIGHKQIVAIDLEDTQSAHKQQQNVDQEDEDRLLLFPANLSNSLQELRTDDIAGVLVKPICSFISSSLTKLGIGSMEEMERFSKE >LPERR04G21670.1 pep chromosome:Lperr_V1.4:4:20165841:20174817:1 gene:LPERR04G21670 transcript:LPERR04G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSVAAQGRRPEFTAVTDPMDSAVSAARWVVGKALGPVTDGLVEAWAASSELGPNISDLKMELLYAQGMLDNAQAGREVRSPALKLLLQELWQLAFNAEDVLDELDYFRIQDEIYNTYEAADEHAKGCLHNLFLNATHTCKFAVKIGTSFCSRDANTGRATTEDEVELGEREAMQRVHCCAWPGASSRSQRARDTNSPAPANGKRLSTIYRSVGKHLSCCCSFATVQSDTEVGKMVPPRVESVLESPKMEFYRVDMSKRMKDIVVKLKPLCAKVSTILNLELLDSNRSIAQSIAANIGVVLNKEERRALPPVETAKSPPITTSEILDPNFVGRETEKDRIITEIIQDESCRKDLTVIPIVGLGGIGKTTLARHIYKEVEKLFDVMIWVCVPLKFSVDSLIKEIAKSFPDVNKESNPHKKIEQGLKHKKFFIVLDDMWDCDNDWNMLLEAFPKEQTKGNIILVTSRIPIVAEMVKTTDDAIELDGLNEDAFWQLFIACVFGNKKSNYQIDDQLHEIGKEIIKKLHGNPLAAQTVGKILSGHLDPDHWRRVLESKEWTLQTGDDDIMPALKLTYDFLPFHLQQCFSYCALFPEDHMFDAKELIYFWIGLDILQSDGQNESIKDIAERSFNDLVTRGFFKEGQKYGQTCYLMHDLLRDLALKVASHECLSVDSFNVASVQIRQSTRHLSIIIDGKDNTDGIAGENFRAGLRELQKRLTIGNLQSLIFFGDLDGSFVKICGEFLSKASGLRVLRLPIISWAMESILHSLPTLKHLRYLRLENHDIYEISLPKILSRFYHLRVLDLQDWYGSTDLPDDVSNLRKLVHFLAAYDKLHSNISNVGELQFLQELKNFQVNKDNNGFEQKQLGKLFELRELVVHKLEEINTKEEAVEAKLKEKKYLKGLTLVWGGEKSNIKPEVQSMVLESLQPHMNLQRLCIKGHGGSSYPIWLGDKQCVKAVESLCLVDVAWNSLPPLGQMKLVELTLEDIDSINEFVLWESFGSLKKVTLSGLRNFTNWVTWDSEHVFTKLQTLIIKDCEELLGLPLFDSICYPSEKNGNKPWLPNLREFEVVGCKNIQSLPPIPWTRSLCSVKIENVGPEKPGKLKYSKSSSVVNLSITGKDCPFRIGEVLVFDNLKDLQKLEMEECSPLELEHMKMLTSLKTLKISNSNRGLVSLAGSHGDDNWQSLVERLWISKCDVSGQKFTQLLHHLPRLSELEISECKKTTWLGVAAQDATRPAQGLLLFPAHLSSSLRSLRINNCQQFVVGRGGGGLQALSSLQKLHINNCPSILQDYRKSCPFPSSLQYLSLSKIWVFETLELLSNLTSLTVLYMQHCGGQLSLMNLWPLLTQGQLRELTVHRIKDFFVGWDGEGQQQQHLPSSCTL >LPERR04G21680.1 pep chromosome:Lperr_V1.4:4:20174905:20194208:1 gene:LPERR04G21680 transcript:LPERR04G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEVERFTSEQEEALQLLIHLQELHFEFCMKLQCLPAGLDKLTSLQILEICCCPEISSVPKDVLPKSLQQLDISGCDNNDLKQQWKKELTVHGTPNSFVGWNGEQKQQQQLLHGSCMLQDINTLNNVPSTLQDSMHNDEGTTAVATMAESVAIHGAQWVVRKALSSLSDGLVEAWAASSALAPNIEAIKMELLYAQAMLNNARGREIHNPALTELLQKLRGLAYDAEDVLDELDYFRIQDELEGTFETIDRGCFHDLVRDAHHTTKAASKLLECSSCFSASRACSTVRAVECPKLTRLCVAVEQRQIIAVDPEDTRANEPDQQQQVAEDVVEVEKVLIPQLALYQKDEEGMLIFPSHLSNSLLELRLHNCRELILDVVARRPLSISSHKEETTRGWGLQPLRSLQELEIRYCPKLFSAYQAPGCPFPSSLQCLEIEGHMESVQTLDFLSDLSSHSTIHSPICSLLSSSLTYLFLGWNDEVECFTKEQDEALQLLTSLQDLQFWSCKKLQRLPARLHTLTSLKRLEIDGCPSISSLPKGGLPTSLQKLDVRYCDNEKLKQRINTRRSNPMAQNVTWA >LPERR04G21680.2 pep chromosome:Lperr_V1.4:4:20174905:20194208:1 gene:LPERR04G21680 transcript:LPERR04G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEVERFTSEQEEALQLLIHLQELHFEFCMKLQCLPAGLDKLTSLQILEICCCPEISSVPKDVLPKSLQQLDISGCDNNDLKQQWKKHNDEGTTAVATMAESVAIHGAQWVVRKALSSLSDGLVEAWAASSALAPNIEAIKMELLYAQAMLNNARGREIHNPALTELLQKLRGLAYDAEDVLDELDYFRIQDELEGTFETIDRGCFHDLVRDAHHTTKAASKLLECSSCFSASRACSTVRAVECPKLTRLCVAVEQRQIIAVDPEDTRANEPDQQQQVAEDVVEVEKVLIPQLALYQKDEEGMLIFPSHLSNSLLELRLHNCRELILDVVARRPLSISSHKEETTRGWGLQPLRSLQELEIRYCPKLFSAYQAPGCPFPSSLQCLEIEGHMESVQTLDFLSDLSSHSTIHSPICSLLSSSLTYLFLGWNDEVECFTKEQDEALQLLTSLQDLQFWSCKKLQRLPARLHTLTSLKRLEIDGCPSISSLPKGGLPTSLQKLDVRYCDNEKLKQRINTRRSNPMAQNVTWA >LPERR04G21680.3 pep chromosome:Lperr_V1.4:4:20174905:20194208:1 gene:LPERR04G21680 transcript:LPERR04G21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEVERFTSEQEEALQLLIHLQELHFEFCMKLQCLPAGLDKLTSLQILEICCCPEISSVPKDVLPKSLQQLDISGCDNNDLKQQWKKELTVHGTPNSFVGWNGEQKQQQQLLHGSCMLQDINTLNNVPSTLQDSMHNDEGTTAVATMAESVAIHGAQWVVRKALSSLSDGLVEAWAASSALAPNIEAIKMELLYAQAMLNNARGREIHNPALTELLQKLRGLAYDAEDVLDELDYFRIQDELEGTFETIDRGCFHDLVRDAHHTTKAASKLLECSSCFSASRACSTVRAVVQWAIARPILSLIYCNPTRRRRTREATATAMASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPTVAKRTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLVDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDASGNAGSDDSGPLDLSDPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPCFLTIYFATALRDVNDSLLCALLPVVMSRYAAMFPDKVYSFEVRKKLSDFILAAFQRSPDIIALLKKPITDRLGEAHDNPAKTELALHLCWAIGEHGAGGKNHKDVARELFENLELLLYENLATSRLGLTQDTGCDPMGSSTRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQENGPGIVNWSGGGTKMVAHIPFYLLAEQKGAAIHDFSFEDLLPTE >LPERR04G21680.4 pep chromosome:Lperr_V1.4:4:20183944:20194208:1 gene:LPERR04G21680 transcript:LPERR04G21680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPTVAKRTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLVDLPTLEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDASGNAGSDDSGPLDLSDPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPCFLTIYFATALRDVNDSLLCALLPVVMSRYAAMFPDKVYSFEVRKKLSDFILAAFQRSPDIIALLKKPITDRLGEAHDNPAKTELALHLCWAIGEHGAGGKNHKDVARELFENLELLLYENLATSRLGLTQDTGCDPMGSSTRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQENGPGIVNWSGGGTKMVAHIPFYLLAEQKGAAIHDFSFEDLLPTE >LPERR04G21690.1 pep chromosome:Lperr_V1.4:4:20193413:20199566:-1 gene:LPERR04G21690 transcript:LPERR04G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAFQQQHLLDQIPATTAESGDNMIRSRASDPLGDEFESKSGSENVDGISVDDQDPNQRPRKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSENEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPMLPFPVLSNPMAAAAATTFGAGAVVPAAADMFVGGGGGGGGELLRGVHSSSDVDKPMIVELAVAAMEELVRMAQLDEPLWSSPAASPAAMETLDEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHASLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWIGTLDRQCERLASVMASNIPTSDIGVITSAEGRKSMLKLAERMVMSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPSANSHAVAEGAVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGDSNPQ >LPERR04G21700.1 pep chromosome:Lperr_V1.4:4:20208024:20215436:-1 gene:LPERR04G21700 transcript:LPERR04G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEILWNGHDVTSPGIFQQYKLQLNWMSLKDAVKDKLTVLENVQWFELLEGKHGKSAPAIEFMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVELLEYIIAEHRRKGGIVFVATHLPIEMEDAMSLRLPQRFPRRKTLVDLVLSDPMLEIAGPLFKTRED >LPERR04G21710.1 pep chromosome:Lperr_V1.4:4:20216327:20220502:1 gene:LPERR04G21710 transcript:LPERR04G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRSAPGDGGRVKAASCRSVRRRRHRDKASSCQFLPAALFDRIAILSLFRNDPLCQQCDISEGAQDTMQGFDFDKLPEDIVCHIHSLIPLRDAACLASMSHRFLRSWRCFPNLTFDLEALNLNVCEGTSYEKEKKLVDRIDNILQNHSGVGVKTLKLNVSTCFKLITADHINNWLHAVIKPGILDIAVEFPVDHRWFDLSCSLLSCAGSSVQSISLFSCAFHPTLRTGCFKSLKTVYLKFVHITSEELECLLSSTVLLEKLEIRSCDQLTFLNIPSHLQQLTILHVVFCTRLQMVEIHAPKLITFYFRGPPMKILTSNSSCLKYMTLHGTLFSGMIHYARTKLHSFASNLQSLTLVSSKEAFNTPMLPEKFLHLRNLNICFDDIGFQSYDYFSLASFLEACPALESFYMWAGEYDAGWQDPALQDSNADSLHIRRIPEFSHANLKKVFINRFPPSKCLIELTYLIIESASSLQRLKIDTGYGFDTRGMCKRMNKADVIQAVKALDVIKRYIEGKVPSSVKFNVLEPCKRCHIAKL >LPERR04G21710.2 pep chromosome:Lperr_V1.4:4:20216327:20220502:1 gene:LPERR04G21710 transcript:LPERR04G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRSAPGDGGRVKAASCRSNDPLCQQCDISEGAQDTMQGFDFDKLPEDIVCHIHSLIPLRDAACLASMSHRFLRSWRCFPNLTFDLEALNLNVCEGTSYEKEKKLVDRIDNILQNHSGVGVKTLKLNVSTCFKLITADHINNWLHAVIKPGILDIAVEFPVDHRWFDLSCSLLSCAGSSVQSISLFSCAFHPTLRTGCFKSLKTVYLKFVHITSEELECLLSSTVLLEKLEIRSCDQLTFLNIPSHLQQLTILHVVFCTRLQMVEIHAPKLITFYFRGPPMKILTSNSSCLKYMTLHGTLFSGMIHYARTKLHSFASNLQSLTLVSSKEAGEYDAGWQDPALQDSNADSLHIRRIPEFSHANLKKVFINRFPPSKCLIELTYLIIESASSLQRLKIDTGYGFDTRGMCKRMNKADVIQAVKALDVIKRYIEGKVPSSVKFNVLEPCKRCHIAKL >LPERR04G21720.1 pep chromosome:Lperr_V1.4:4:20221275:20222646:1 gene:LPERR04G21720 transcript:LPERR04G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIRSRATAFPDDEGPEGWEDDTSDEESASSDSGSSSDGEEDAYDEEEEGEEEMIPIHVVDGEEYVELYNDIKNIGIRELSFAHAPYVLEKVLEVCKAREDDRDRWCVGNLAQLREVAAFRTGFVGIISSLERLQVLWKNHRVVAVDWDMIGAVKVAVARLPALSDRHPQDLRAEVVKLMERLEATLPVQPDGADSHGANSVEILFPSLVSDVEVLLKDMHEIGLMSIKYSRAELSAYVEVGEGVENEPEEQKPPYIRISSYNEALLAMDSESQLLRTPLCVVACKLSDATKMFNELEKASLKGRWVGNLRILQDTSQFRTVFSRLSESISNVYLTLLEHEIDWFEIQMIKETKDQITTMCDSQTVELRDATLGFLGSIESVLSDFPPLPSDTLTDETEHPHQLIKDLSTKVKQLVDDAKAIEHLKVEYPDAGPPTPLT >LPERR04G21730.1 pep chromosome:Lperr_V1.4:4:20230669:20231771:1 gene:LPERR04G21730 transcript:LPERR04G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSHYSSCISPAAEKSSMSAGESSWAMHIANFLASPYNAVENQEMSQELVSSSSFSSGFSSSFASYDDDASFITSEMMCEDDDDDEMQDTACSSAAGSKVTTSMNNVDMKSMVNMEAKNINISQLAKYFVDASSRQPAADVVQEVVSADANNDKALYECNELRKKGLCLVPLSMLINYLQ >LPERR04G21740.1 pep chromosome:Lperr_V1.4:4:20236620:20238483:-1 gene:LPERR04G21740 transcript:LPERR04G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRNFLQNQLRRRININNYPLRDEIAFETSAYCLSLLVRYAFCVLIVLKSVFVRIIPMAYKMKGIFKGIKVISQIFIVKEHVMQIGSPTDVKHVAHIGWDGMTGNASPSWMNDIRTSSELLSLGNFATSAGTSWASQDFDQLRESSNFATPSLNTSLQQDAAQPPDIPRPPAPAKRRRKRRPGSESTMAPASDDAGGADAKARSNCKSET >LPERR04G21740.2 pep chromosome:Lperr_V1.4:4:20236620:20238483:-1 gene:LPERR04G21740 transcript:LPERR04G21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRNFLQNQLRRRININNYPLRDEIAFETSAYCLSLLVRYAFCVLIVLKSVFVRIIPMAYKMKGIFKGIKEHVMQIGSPTDVKHVAHIGWDGMTGNASPSWMNDIRTSSELLSLGNFATSAGTSWASQDFDQLRESSNFATPSLNTSLQQDAAQPPDIPRPPAPAKRRRKRRPGSESTMAPASDDAGGADAKARSNCKSET >LPERR04G21740.3 pep chromosome:Lperr_V1.4:4:20236620:20238223:-1 gene:LPERR04G21740 transcript:LPERR04G21740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGIKVISQIFIVKEHVMQIGSPTDVKHVAHIGWDGMTGNASPSWMNDIRTSSELLSLGNFATSAGTSWASQDFDQLRESSNFATPSLNTSLQQDAAQPPDIPRPPAPAKRRRKRRPGSESTMAPASDDAGGADAKARSNCKSET >LPERR04G21750.1 pep chromosome:Lperr_V1.4:4:20239209:20239901:-1 gene:LPERR04G21750 transcript:LPERR04G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNANKTNPPAISTSKIPKSAAVSPPSSPSPSKLATTTTKLPPPQASSLWAASSSDDDSDTEATAITAPPPPPASKKTTTKKYTKNRLPSKNKYLLSMNLGIDDPLMSSDPSAAATELSLPGAYDPFADAQGEDGGVGGGGGGDYVHLRVQQRNGRKTLTSVQGLGGEYNYGKVLRDLKRELCCNGTVVEDQELGKIIQLQGDHRGRVAAFLAKSGMVHEDNIKVHGF >LPERR04G21760.1 pep chromosome:Lperr_V1.4:4:20241413:20242753:-1 gene:LPERR04G21760 transcript:LPERR04G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRRRVGSYICSELCGSVMSNQRLYSSRIDWKELRPMILKRIKNRANDYPIKRMIPVAEEVVKAREIVTKGVSALMQVVPVHSCKFCPEVHIGAVGHEMKSCHGFKRMIKDRRHKWGPGCLKDILVPVESFHLDNTFQDEIRHDQRFDFPRVPAVLELCHQAGADIPDEMWHTSGTFSTTVRGNDEKPATYLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCEQCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKAGVDDLVPPKIIWHQRPHDPPVLVDSGRDYYGHAPAVLELCMQVGARAPPTYHCMMKAQGLAPPVQ >LPERR04G21770.1 pep chromosome:Lperr_V1.4:4:20247349:20250742:1 gene:LPERR04G21770 transcript:LPERR04G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRHMCSLMPLKDAARAACVSRGFLRCWRHYPNLIFNSQILGLIRNELGSDAEEVPLYDSAFKVDDRESYVVNKIDHIIQNHSGIGVKVLKLRLFLCRNISSAVLDKWLETVIKPGIEELSLEMSSCKKGTEYNFPSSVLSNKAGGGTIQSLFLDSCTFHPTVTLGCNSLTSLHLCAVHIFGEELGQFLSNSFALERLVITNCSDIIQLKVPCLMRQPKHLKVTKCQNLQVIEMDAPKLSTFIYGDVGVHISLGDPLPVKHILLMGYNQPNTICYARTKLPSIMSNVESLIVSSNNEMISTPMVPIKFLHLKFLEIYLSELLAFLPSYDFFSLVSFLDASPALETFILHVAQRRLRRDSIPDGEHTDLRRAPHCLHDKLQNVTITGFNSTKSMIELTSHILQNAPSLKCITLDTADLYDKDHLAMGECTPMMRGGILEARKAVEAIRRYIVEKVPSCVELKLLEPCSECHIIE >LPERR04G21780.1 pep chromosome:Lperr_V1.4:4:20251726:20258492:1 gene:LPERR04G21780 transcript:LPERR04G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQMALPKPTGGGAFYSSLLQSCISSGAFRQGKSVHGRIVAASASLPPDLHLGTKLVIFYARFGDTAAARKVFDGMPHRSVVSWTAMVSGYARNGRPSEALELFAMMRASGGARPNQFTYGSVASACAGAGCVRSGEQVHACAAKGRFSGDMFVQSALMDMHLRCGSVEDARRLFAEMGRKDVVSWNALIRGFVERGHDGEALGLFSSMLKDAMLPDHFTLGSALKACGAVGAPVNVELVHTCVIKLGYWGEKVVIGSLINSYAKCRSMSNAKEIYDSISEPDLISSTALINGYIMDRNHSADAMRLFCKIHCKGLLIDGFLLSSLLCLCANVASARFGTQIHAYMCKKQPMGDVALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGGDVVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTSKGMEYFTSMMSKYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKINFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFNIDPRSSVNYAVLANMYAESSLWEDAQRMRQIINEKSDGKEVGFSVI >LPERR04G21780.2 pep chromosome:Lperr_V1.4:4:20255256:20258492:1 gene:LPERR04G21780 transcript:LPERR04G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIISIALNSLSLLEEGEGEFIVRDEMAKIISRVPSLAGPLLRMHFHDCFVNGCDGSVLLNSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADIIALVARDVVLLTKGPYWDVPTGRRDGARSVKDDALNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSSRLYNFSGTMMPDPTLDKYYVPRLKSKCKPDDKTTLVEMDPGSFRTFDTSYYRHIAKGRSLFTSDETLMLDPFTRDYVLRQAGVAGYPAEFFADFAASMVKMGNMQVLTGWQGEIRRHCAFVN >LPERR04G21790.1 pep chromosome:Lperr_V1.4:4:20259888:20260541:1 gene:LPERR04G21790 transcript:LPERR04G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQTGGGLFSGGRIRRPVPNERPHLTRCTKLVCSWFLSLLLVAGVLLFVVYLVVRPHRPRFHLTSFSASGLGGGGGPVVLSGQIAIRNPNHDLAFFFDRILMSIQYRDAEVVEGKDLTGGPLYQPPKTTAPVGFEGVAVPAGAATDLMARDAAGGGGGGGGHVAFTVRVRSRIRVRVAFWGSHWHPVHATCDVAVGPDGQMLPEFKDKRCGIDFF >LPERR04G21800.1 pep chromosome:Lperr_V1.4:4:20261214:20264808:-1 gene:LPERR04G21800 transcript:LPERR04G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALLHNSMEHAAPGEHEEDTSMKVEVAFDGTHSPFRYRHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPGKDEAALRRQKDSYFPCVLVNESAAVTPHDPVSDIITETLSDINSVTPSGSRFTSKVWKEFTPIYVEGKLQAADCIHCNKRLSANKFGGRSHLSRHLITCAGRRARAEIHQKGLFYPSSLPSLKSRMQDELSPALTNGKVQIAEYSSKLLGTSSSGDFTPKPIQVVPAQHPLPTPDCTSLKRHRTSFETTLAGQETSDPELAGMIALHGYPLSIVEHEEMMRFVKKLNPVKLLSLTSVGEVRDDTNTSLLKEMLIEKNYLPIGGKLYNVACVDNVLDSIVFKVQSDILRLVGDMVMNFLVSLTQQQLLEVISQLGLKCPQEDAKWWHKIYFRLEVLLHFKKSFSSEERVSSEDTKIAESYDRPDEDMK >LPERR04G21810.1 pep chromosome:Lperr_V1.4:4:20265981:20272521:-1 gene:LPERR04G21810 transcript:LPERR04G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDNSEDLLNPRQVHSSWCDLIRLSEHTHFLISVFSVILSWLAVLHRHHQLKAVDMDMLIRSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFADARFNSSSSEDDKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQHAEAAGSSLVSIIVDHIFLCIKDAGKRFRWGDFKKFVLYKDWWALSELTPSPSPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRCAILPDSSINSMSFYLKEFDLSIPLDAEEIAKCSGTKNACPQSSFMGARLHVENLYFCQSPSEKCLLLNLDSDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTINGQRVVRGSSTSLWKCVDLDDIRFEAAMVTADGSPLLTVPPPEGVVRIGVAFQQLTSNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSGTKASADKLERKLPSDTAVSLTMNNLQLNFLESLPSSDLHLPLVQFGGEELYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESVIFHENGTALIGEPNISLHENGHPKMRAVFWVDHQTKKQSKEAQFIDINITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHQFGILGPDGGPGEGLLRGLKDLSSGPLAKLFTPSHLTDKEGGRSNSKDDDYNSKFDLGVPDDLDVSIELRNWLFALEGTEEVGDWSSPHSSDHISREEKCWHTTFKNLHVSGRSSDRPGPAEKVTQKRALPIERFTAGIDGLQAIKPRLKDQLIGNGTSNNLQTGSVFDNTSTIGDQGVDIEATMVIGEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAAILRLLKLDKSLGHGTIEQLRNLGSGGLDNIFSPRKLSRQNSFGSIGTPRTPTLHSMADPGSKEALEATVASLQIEILESKAKCTALVSQSSSVEDQKCAEIIRQLNDKLESMQSLVTKLRTLI >LPERR04G21820.1 pep chromosome:Lperr_V1.4:4:20275773:20277998:1 gene:LPERR04G21820 transcript:LPERR04G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAANGLIPMDDDDDDDSAAIARGDTSAKQKASPPPQPPPELGMMVRAVQRLVARNDAAAAAGGDGGGGGILGMAAFEAAKGAAPRIGLEQYMERVHRYAGLEAECYVLAYAYVDMAAHRRPTAAVSSSNVHRLLLACLLLASKVLDDFHHNNAFFARVGGVSNAEMNKLELELLAVLDFEVTLNHRVYQLYRDHLHKEARRYGAAGGDKEPAVAPPAPLAPKLLDLFVAGDDDDGAPPNGTVNGWTAAAAAAANGVRLHSSSTSRYSFDCQ >LPERR04G21830.1 pep chromosome:Lperr_V1.4:4:20278433:20282232:1 gene:LPERR04G21830 transcript:LPERR04G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNERLLHRNDRQNQREEEGSRFPLFLRPRRTPKFTLRPLPLQSRRREQRAPSPPVLGFARRPPSPALLLVWSVVSGVAMSSASSPAAAAAVAAGAGTAAARGGKDDELADLVRRLVDALTRYSDRLPFDLDRQKLRSLTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPVNLTLEQVVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDECGEKVLSALSEAGLFMSGGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >LPERR04G21830.2 pep chromosome:Lperr_V1.4:4:20278433:20282232:1 gene:LPERR04G21830 transcript:LPERR04G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNERLLHRNDRQNQREEEGSRFPLFLRPRRTPKFTLRPLPLQSRRREQRAPSPPVLGFARRPPSPALLLVWSVVSGVAMSSASSPAAAAAVAAGAGTAAARGGKDDELADLVRRLVDALTRYSDRLPFDLDRQKLRSLTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPVNLTLEQVVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDECGEKVLSALSEAGLFMSGGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVVLQQKMA >LPERR04G21840.1 pep chromosome:Lperr_V1.4:4:20282093:20286475:-1 gene:LPERR04G21840 transcript:LPERR04G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSESPCDLDREFAPQIAQLLATPPLQSAQEYYDRLIQSKKHDGIRLNFSSKHGKGVCANKDFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRQLYWQSIGSSIDCNNKRHYHESDVGSSASSSGASKENSNTLPEEVLVSLITGEMSLPFTDHFVLPQTVACRGCEEERYCSQSCADSDWETYHSLLWSNDIFLVAAKAITFTLLRYKKLKAQLEFQKDTDESNFSLLMEAWKPLSMGFKRRWWDSVALPEDIDSCDEDSFRQQIRDLAFTSLQLLKDAIFDPDGLVVASPIEDYFIHIDDLPDDKKEEAEKVTRPFLDALGEDYSAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALQPIKKDDEITMSYIDEDVPYEERQAQLADYGFICTCPRCQEEKPN >LPERR04G21850.1 pep chromosome:Lperr_V1.4:4:20287270:20291938:1 gene:LPERR04G21850 transcript:LPERR04G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALKALVAFMSIAVAAELAAGETYTIKWALGVNYSDWSSTNTVLVGDSIVFAYGWPHTVDELPSAAEYEACSFAGGVVSSDRSGNTTVTFDAAGTRYFACAAGAHCRLGQKVAITAFAAAADSTAAPSPSLSPNCVFCKCATMSSSLKALVAFIAVAAVAELAAGKTYTIKWAAGGNYGDWASKNTVLVGDSVVFTYGSPHTVDELSAADYKSCSFASPVSSDNSGSTTVTFDAAGTRYFACASGSHCSQGQKVAITVSNSTTATTPASPSSKGGSAPRSPPSWLSASPSAPVPCSPCSD >LPERR04G21860.1 pep chromosome:Lperr_V1.4:4:20291838:20296774:-1 gene:LPERR04G21860 transcript:LPERR04G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDLCSDSEDYFSVCSDREGYLDYDDPNDGVNQLTVSRTTAFNKNSAEQFIRLDDDDWLNDTHTSSSYRPAENRSNTESSREVDTDFNIKNSNWQHSSLSFPTLSSSNRSHPLPLTCATNIVSSLTVKPSANQRSLVNGIGFPSPAIASGNKPYVSNGQGVLTDDDDDCIYEVLPQPFPSSHSILGHNRIEEDSTVKYTGFQTSAAKSTTDGVYAYGGLNSHRIFPPPIPYINSVNNSGVNGLGIQTHLSLEKRLFGCDERAVYEEALKHISQEKTEEDLPEGVMSVSLLKHQRIALAWMVSKENGSRCLGGILADDQGLGKTISTIALIQKERAQQSKFMSDDLGSMKSVTLDLDEDDDEVIFMDKKKLKGQSMNKLQDSTLFPLLEAASDAADLKPWASQTGSAVDNKAVKVEPKKKTRVRRPSSSTSRPATRSAAGTLVVCPASVLKQWASELAAKVTEGSKLSVLVYHGSSRTKDPTELTKYDVVVTTYTVVANEVPKQNSDEDIEEKNSETYGICPAFSIGNKRKKNGEEKKKKKSNRSDADLGGPLARVQWFRVVLDEAQTIKNYRTQVARACCGLRSMRRWCLSGTPIQNTIEDLYSYFRFLKYEPYCVYTSFRSMIKQQISYDATRGYKKLQAILKIILLRRTKETLIDGEPIIKLPPKTIELSKIDFTKEERTFYMIIEEGSREKFKEYAAAGTIRENYAHILVLLLRLRQACDHPILLKGKQSELIDTASIDVAKQLPKATVINLLGRLEGGYAICSRCNDPPEDAVVATCGHVFCNQCVHKSFTSDENVCPSPSCGKKLSAESVFSPGVLRFCIADKLESDATASSSIAADGNTSVCESSYISSKIRAATDILYSIVNTHALTESETIESSASEVVPPSKAIVFSQWTGVLDLLELSLNSSHIQFRRLDGAMSLNLRETAVKEFNTDPEVRVMLMSLKAGNLGLNMVAACHVITIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKETVEDRILALQEKKRKMVQSAFGEDKPGGNATRLTIDDLQYLFGI >LPERR04G21870.1 pep chromosome:Lperr_V1.4:4:20300574:20301164:-1 gene:LPERR04G21870 transcript:LPERR04G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLAAAIIVAGLVLGFGLAHRTWGQRKVQPDCRWPDCQLQQPSYGGGAAADTPPGVPLTEPAVAAFPGVASASSCVLVTCAAKLYKVSAK >LPERR04G21880.1 pep chromosome:Lperr_V1.4:4:20302789:20303948:-1 gene:LPERR04G21880 transcript:LPERR04G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQKGVGNSKVVKVEKEASWDLFIDQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPDVLFLYVDVDDVQSVSSKLGVKAMPTFFLIKNKEVVNKIVGANPDEVKKMVDASAESTTTLPGIVVE >LPERR04G21890.1 pep chromosome:Lperr_V1.4:4:20306444:20310683:-1 gene:LPERR04G21890 transcript:LPERR04G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITPRGPYPKKENLGNGTRGMGLKLGPRRNVLSAINNGGGANSDTASVDGGEGGAGPAAAVIEFSGREDVERLLAEKMKGKSKTDFKGRAEQMSEYIKKLRACIRWYIDLEDGYLAEQEKLRSTMDAENAHHAELEAQLSSDLEELKAAHLELTRRCDSLEESLNKEKADRKIAVESYEKEQQERESAETSRDLLTVELERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNCNLQADASKSGDTISKLQKEKSAMMEAMASLKDRNNSMQNQLDSSRTSQQEAIRVKEELRKEVECLRIELQQVREDRDYSVAQVNTLTVELANYKELSGKSTKECESLSAKVSAFEETCSMQQEQIQTLQKELGVATDKLKLADVTAIEAMTGYEEQKVKIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDSDSSVAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGQPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPSRSNNFEMTNGKQYTIKHDPQGNTTVSDLTIADVFSSADVTSLLAKASQSRSVGRTHMNEYSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFESRLSYG >LPERR04G21900.1 pep chromosome:Lperr_V1.4:4:20312965:20321388:1 gene:LPERR04G21900 transcript:LPERR04G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPEAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSSSSGGGGGGGAGDVAKELGDLALFLSHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDERTANAICNACFHVSSRIMIAAVLFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPIDPKARPKAFGEATVSIDVPGAELLDEDFSSEEEGSDNESDTFDSNDEKELQSAPGAKQLDGLSEANKLDTNEGVEEEDQLSEDEDDAEEMDEDQDISDNDSEENDDELENGSDMDEENDVSDDEELSENLSDSDEGSDQDDDSDHDDKSKDSSCKANKRKLSDYLGQLNAADASLRALKKLAGAKKAEVSRDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGTDTKSVTFKMPSSDQLSMKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQQQHKKKMPLAASRAKAARSRQEKKKQQKRSGKQFRGRKAWK >LPERR04G21910.1 pep chromosome:Lperr_V1.4:4:20322771:20326258:1 gene:LPERR04G21910 transcript:LPERR04G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNSYIASALIKMLLQKGYAVNTTVRNPDDMVKNSHFKDLQELGPLKVFRTDLEEQGSFDEAVAGCDYAFLVAAPVNLKSHNPQKELVEAGVQGTLNVMRPCVKAGTVRRVILTSSAVAVFMRPLEGDGHVLGESSWSDFDYLTSAANEKSPGISSVFPQLLQAYSLSKLLSEKEASKVAEENGISLVTVCPVITVGPAPTAEAKPCVITVLSLLSGDKEMIGTLRLMAKAVGGLILVHVDDLCAAEIFVAENSSSSGRYICCGLNTSLLQLGRLLVAKYPQYNVDIAALGDVPDEKPRIRLSSEKLIGEGFEFRYKTIDEMYDDAFIEYGRAIGILPYK >LPERR04G21920.1 pep chromosome:Lperr_V1.4:4:20330607:20333067:1 gene:LPERR04G21920 transcript:LPERR04G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAERKTVCVTGGNGYVASALIKMLLEKGYAINTTVRDPSNPEKVAGFKAMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLELEIVQGGVQGTLNVMRSCARAGTVKRVIFTSSTAAVSSRRPLEGDGHVLDESCWSDIEYLRSMEKLSPTQAYSISKVLSEREATKFAEENGLSLVTLCPVVTVGKSPAVRVDTSVPACLSLITGDEQMLNILKGIERASGWSMPIVHIDDLCAAEIFVAEKESASGRYICGSLNTTVTEIAGFLANKYPQYNVRCDCLDESHPEKPTISLSSAKLVGEGFEFKYKTLDEMYDDLVAYGRELGLVPN >LPERR04G21930.1 pep chromosome:Lperr_V1.4:4:20333833:20334273:1 gene:LPERR04G21930 transcript:LPERR04G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSRGAASWCRGHLQERAMGGRQRAGLPATLRLDLAPLWADLAVGRLCAAACWTTPVRGEGRGSSDAEKAAVAAVWVCTEVAARLPAEAAVIVWECRGGSCGCKRRCGRLRRCLGDGRKATVKRPSVLWRWDSKAEAETTEAA >LPERR04G21940.1 pep chromosome:Lperr_V1.4:4:20335984:20338261:1 gene:LPERR04G21940 transcript:LPERR04G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFVVHKEDTTIEAIYSAFHADKHHRLKTCELRESKFLSPIVQTMSAAAARKKTACVTGGNGYIASALIKTLLEKGYGVNTTVRNPDDMEKNSHLKDLQALGPLKIFRAELGDEGSFDEAIAGCDYAFLVAAPVTISSDNPEVNSHPNNLHLSWSQINITVMLMAERYDRSCSRRNPERDEIMREGRDGESSDHNIFRRCDHQEATARRRPFAGRGILSYSVSKVLLEKAACKFAEENNISLITVFPVFTLGAAPAPVARTSVPVTLSLLSGDEEQLEILKALQSITDSMSIVHVDDLCAAEIFLAENESSSGRYICSSFDTTILALARFMAERYPQYNVKIDRFHGIPEKPRVCCSSEKLIREGFMFRHTNMEEIFDDLVEYGKALGILPY >LPERR04G21940.2 pep chromosome:Lperr_V1.4:4:20335984:20338779:1 gene:LPERR04G21940 transcript:LPERR04G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFVVHKEDTTIEAIYSAFHADKHHRLKTCELRESKFLSPIVQTMSAAAARKKTACVTGGNGYIASALIKTLLEKGYGVNTTVRNPDDMEKNSHLKDLQALGPLKIFRAELGDEGSFDEAIAGCDYAFLVAAPVTISSDNPEVNSHPNNLHLSWSQINITVMLMAERYDRSCSRRNPERDEIMREGRDGESSDHNIFRRCDHQEATARRRPFAGRGILSYSVSKVLLEKAACKFAEENNISLITVFPVFTLGAAPAPVARTSVPVTLSLLSGDEEQLEILKALQSITDSMSIVHVDDLCAAEIFLAENESSSGRYICSSFDTTILALARFMAERYPQYNVKIDRFHGIPEKPRVCCSSEKLIREGFMFRHTNMEEIFDDLVEYGKALGILPY >LPERR04G21950.1 pep chromosome:Lperr_V1.4:4:20339340:20341767:1 gene:LPERR04G21950 transcript:LPERR04G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENERKTACVTGGNGFIASALIKMLLEKGYAVNTTVRNPDDMKKNSHFKDLEALGPLKVFRADLDKEGSFDEAVNGCDYAFLVSAPKEMIEAGVQGTLNVIRSCAKAATVKRVILTSSASAIFGRPLQGDGHVLDEESWNELQLKNLKALAASGAVPIVHVDDLCRAEIFLVEKESASGRYICSSLSITVLNLARFVAGRYPEYNVQIDCFEGFPEKAKVCCSSEKLIREGFEYKCTNLDEIFDDLVEYGKALGILPH >LPERR04G21960.1 pep chromosome:Lperr_V1.4:4:20342720:20345368:-1 gene:LPERR04G21960 transcript:LPERR04G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQRGWRREETARQRTDVDGDGDLFPSDVHALRCLLAEFASPLGFFVEELRERRREGEEERALALLTAALDLCGFLDPWSAAALDLCGFLDSWSSSGSEGSRMYLAFFSHVFIAYF >LPERR04G21970.1 pep chromosome:Lperr_V1.4:4:20348299:20354417:-1 gene:LPERR04G21970 transcript:LPERR04G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDVVWWNTAMAYGGDGAGGADERSCIDEAFHQFTVGDRKHTDSEEVLQIWNAILVINLEANAKIFRSLVGDATWPFSSAPDKDELNRLFGWVGILQSLEKLQRVEFLIYHCFAFNHCIIFMFHIDCFITNRDDCQNLQELPFTWSHPGEVTFWNILALLGIRRLGLQIFSSCAGLHLSTESKPNGHVSDSFTITTANRSPDYDKEMLKRTMLVHEATFRKQVYELHQLYKTQKDLMAQFQREECNVYPRSADTLQARSYSSQATSGDVKRAWQVMSPTSGYDITQSSINFGKGSEYAEESSSIKMQDFFGLGASTSQSQCYSSDRVNLNHLGLEENMKEKRTGEASDSNFFGANEEIKRNNSFNHKTDHQNVSMAWFKQEQSGGNFSAGHYLPRYNAFNKPITAPTSSISAVKSPWQSGSTSCTANGYYGSVYTPFAQNGFFNGVSMNSMNTPMATHYHNQQFPGEPQCRRHSPLHDVNLNDAPRDATAIQEQGSENSPVDPSWIRKDPVDQMKSQAQPSWANGQSQVLLGSTDYSGGCTRILGFPINAAAETNIEPLTKHEADMEIHKKDGINVRNLIDLNAAPFMDEPDLDVHQSEGETVPQQLDDPSEDSLARTAAESLVALCKDVLQEGSSLADTLHWFADLAIAPKEDGMVCSSESDRDDDFEALTLQLQETKGYELYLTPKTPVEHSSNEDHGSLAASLLQTKPRRGRARKRPQKKDFQKDILPGLASLSKHEVSDDLHTLGMSTPSKRGGRNGSQSRGRRRARSVAITVEEDEVSAVSAPVPPPLPPVDLDADALGITGWGRTTRRCRRPRCSPANNASLRLA >LPERR04G21980.1 pep chromosome:Lperr_V1.4:4:20357721:20362361:1 gene:LPERR04G21980 transcript:LPERR04G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEALLAGGGGGEKRRRRVSIDEALAWHAGEFGRWQLRHFVLVSSAWLLEALHTMVIIFADREPAMVCAAPDGRCGDRCGGGGGGGGGWEWVGGPASSTVAEFGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCLLNGVFGILTALSPNYWAYAALRLLTGFSAGSVGLCSFVLATEPVGPSRRGAAGMSTFYFFSAGIAALAGVAAALSHSSSWRALYVVTSLPSLAFVATVLPFVSESPRWFLVRRRADDALRVVRDIAAGNGRCVPDDFTLKLDDEGEEDHKDVVDSSATATGSIVDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYVSVVVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGVFCSAGSLIPGAGPMRVARLACGVVGIFGMAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALFGVSGLIGGFLVFCLPETKNKPMYDTMAGLEEGEKSLLK >LPERR04G21990.1 pep chromosome:Lperr_V1.4:4:20366392:20368285:1 gene:LPERR04G21990 transcript:LPERR04G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAVVVMAIAATLVAAGVVAPAAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASRNKFLYGKATADLKLVPGDSAGVVTAFYLSSGGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTTDFHTYSVLWNPSHVVFLVDDTPIRVYANQSSTKPHHHNHTNLANATSPAFPSPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFVAAFRDVRVEGCAWAANATDDDAGEVARCTGSSWGKEGRYWWKEKDMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >LPERR04G22000.1 pep chromosome:Lperr_V1.4:4:20378821:20382053:1 gene:LPERR04G22000 transcript:LPERR04G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQMMHASPAAMYNGRGGGVWWNNAVTCSTTSELAGGGYNTAAWSSALAAAGYDVMAATDNNNNNNNNGGKAAKSNTTASSESPGNTSSITFQEPTSIADVAAVPQPAGLAGFTDWTHPFMTNNGAAGLHEFLQDHGGHHDPMMNNAPSNNLALQQDHHHQLLSSFGSDLLLSPTSPYGGFQSSLLLRSLMEPTPTPAKQQPGLGGIQQYQYQQQMGQASPAAAAAAKFAQAVGARDSLQFNNDAPFWNPSSASFGMAAAAAVNDQSTVARVAKRSSPAQPRAATLALKTALEGVGDSSSVVSKKANGEPAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIRFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGEISLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >LPERR04G22010.1 pep chromosome:Lperr_V1.4:4:20385307:20385693:-1 gene:LPERR04G22010 transcript:LPERR04G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILALFALLSLSASSAIAITTMPTMAMGTMDPCRQYMMQYMSMGSPTTMFMQLPMAALQQQCCMQLQGMIPQCQCGTTCQMMQNMQQVICGGLGQQQMMMNMATQLSYMCNMATAKFQLSPCGC >LPERR04G22020.1 pep chromosome:Lperr_V1.4:4:20387100:20390806:-1 gene:LPERR04G22020 transcript:LPERR04G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTVFMLVFLISLCKSDDQLTPAKPLYPGDTLISDGGVFALGFFSPTKSNATLYVGIWYHNISQRTVVWVANRDNPITAPSSAMLFISNSSELVLSESGGHTLWKARNNISTGGSGATVVLLNSGNLMLRFPNGTVLWQSFDHLTDTILPGMKLLLKYNGQVAQRIFSWKGPDDPSTGNFSLSGDPESDLQIFLWNGTSPYWRSGAWNGALVSAMYQSNTSTIMYQTIINKGNSIYMMYSVSDDSPSMRLTLDYTGALRMLIWNSNLFAWSVLINGPTYACGSYASCGPFGYCDASEAFPSCKCLDGFKPDGLNISRGCVRKEKMKCSYGDSFLTMSGMKTPDKFLYIRNRSFDECMEECRRNCSCTAYAYANLSSAIMMGDTSRCLIWIRELVDMTKISGGGENLYLRLPNSNAVKKESDVLKIVLPVIASLLIFTCICVVWICKSRAFMLGKRQRKEIQNKFMVQYLSASNELRDENVDFPFVVFEDVVTATDNFSHYNMLGKGGFGKVYKGILEGGKEVAVKRLSKDSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDASRKTVLDWPKRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQENTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIIGFPNLIAYSWSLWKDGNARDLVDSSIVEGFPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIYFLQKNRPIEDARENMENSVNGVSITALEGR >LPERR04G22020.2 pep chromosome:Lperr_V1.4:4:20387100:20390806:-1 gene:LPERR04G22020 transcript:LPERR04G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTVFMLVFLISLCKSDDQLTPAKPLYPGDTLISDGGVFALGFFSPTKSNATLYVGIWYHNISQRTVVWVANRDNPITAPSSAMLFISNSSELVLSESGGHTLWKARNNISTGGSGATVVLLNSGNLMLRFPNGTVLWQSFDHLTDTILPGMKLLLKYNGQVAQRIFSWKGPDDPSTGNFSLSGDPESDLQIFLWNGTSPYWRSGAWNGALVSAMYQSNTSTIMYQTIINKGNSIYMMYSVSDDSPSMRLTLDYTGALRMLIWNSNLFAWSVLINGPTYACGSYASCGPFGYCDASEAFPSCKCLDGFKPDGLNISRGCVRKEKMKCSYGDSFLTMSGMKTPDKFLYIRNRSFDECMEECRRNCSCTAYAYANLSSAIMMGDTSRCLIWIRELVDMTKISGGGENLYLRLPNSNAVKKESDVLKIVLPVIASLLIFTCICVVWICKSRGKRQRKEIQNKFMVQYLSASNELRDENVDFPFVVFEDVVTATDNFSHYNMLGKGGFGKVYKGILEGGKEVAVKRLSKDSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDASRKTVLDWPKRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQENTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIIGFPNLIAYSWSLWKDGNARDLVDSSIVEGFPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIYFLQKNRPIEDARENMENSVNGVSITALEGR >LPERR04G22020.3 pep chromosome:Lperr_V1.4:4:20387100:20390806:-1 gene:LPERR04G22020 transcript:LPERR04G22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTVFMLVFLISLCKSDDQLTPAKPLYPGDTLISDGGVFALGFFSPTKSNATLYVGIWYHNISQRTVVWVANRDNPITAPSSAMLFISNSSELVLSESGGHTLWKARNNISTGGSGATVVLLNSGNLMLRFPNGTVLWQSFDHLTDTILPGMKLLLKYNGQVAQRIFSWKGPDDPSTGNFSLSGDPESDLQIFLWNGTSPYWRSGAWNGALVSAMYQSNTSTIMYQTIINKGNSIYMMYSVSDDSPSMRLTLDYTGALRMLIWNSNLFAWSVLINGPTYACGSYASCGPFGYCDASEAFPSCKCLDGFKPDGLNISRGCVRKEKMKCSYGDSFLTMSGMKTPDKFLYIRNRSFDECMEECRRNCSCTAYAYANLSSAIMMGDTSRCLIWIRELVDMTKISGGGENLYLRLPNSNAVKKESDVLKIVLPVIASLLIFTCICVVWICKSRAFMLGKRQRKEIQNKFMVQYLSASNELRDENVDFPFVVFEDVVTATDNFSHYNMLGKGGFGKVYKGILEGGKEVAVKRLSKDSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQENTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIIGFPNLIAYSWSLWKDGNARDLVDSSIVEGFPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIYFLQKNRPIEDARENMENSVNGVSITALEGR >LPERR04G22030.1 pep chromosome:Lperr_V1.4:4:20396863:20399849:-1 gene:LPERR04G22030 transcript:LPERR04G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLHVAIFMLLICICQSDDRLTPAKPLIFPGDKLVSDDGTFALGFFSLTNSTPILLYLGIWYNNIPERTYVWVANRDNPITTRSASLAVTTNTSSLALSDSKGHILWEATTTRSNATAGAGAIAMLLNTGNFVLRLPNGRDVWQSMDHPTDTILPGFKLWTNYKNHTAARIVAWKGPHDPSTGEFSLSGDPDQWGLQIVIWNGTNPSWRSGVWTGGTTASLTKYIWSEIIDDGEVISATYSTTSGLLTHWKLDYTGNVSFRTWSNESSTWTRAFQRPGYGCLHYGACGSFGYCDITGSVQQCKCLDGFEPINGFSLNFSRGCKRKETLKCGGGEDNFLTLPGMKVPDKFMYIRNRSSEECADECIRNCSCTAYAYANLRTILTTGDPSRCLMWTGDLLDSEKAGDMGENLYLRLAGSPTYPDIGHNKEILRKVDLGHFNTFHESWDQNLELPNISFEDLTFATNSFNDTNILGKGGFGKVYKVGTLEDGMEVAVKRLNKDSEQGIEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFFFDCEMKSVIDWPTRFNIVKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNREQQASTKRVVGTYGYMAPEYAMEGIFSVKSDTYSFGVLLLEIAWNLWKDGMARDFVDKMIRESCLDSPNARPHMSLVVSMLDNEDMPRPRPKQPMYFVQRHYDEDERQGSESSTNNASLTALEGR >LPERR04G22040.1 pep chromosome:Lperr_V1.4:4:20403571:20406419:-1 gene:LPERR04G22040 transcript:LPERR04G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLGIWYNNIPDRTYVWVANRDSPVTTRSARLAVTNTSDLVLSDSKGRTIWSTAKNNVTVGDAGDGDRATAVLLNTGNFVLRLPNGTHVWQSMDHPTDTILPGFKLWTNYKNHTAARIVAWKSPHDPSTGEFSLSATGLTKYISEIVDNGEEIYIIFKEVDGLLTHWKLDYTGNVSFRTWSNESSTWSHSFERPGHGCLHYGACGPFGYCDITGSVQECKCLDGFEPSDGFSQDFSRGCRRKEALKCNSDEGHILTLPGMKVPDKFLYIRNKSFEECAVECSLNCSCNAYAYANLTTILSTVDLSRCLVWNGELLDSGKAGDSGILHNNAVLKKNKLGHFSTYHESWDQNQEFSNISFEDLTSATNSFDDTNMLGKGGFGKVYKVGILEDGMEVAVKRLNKDSEQGIEHFRNEVVLIAKLQHKNLVRLLDCCIHRDEKLLIYEYLPNKSLDKFLFDHAMKSVIDWPLRFNIIKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNREQQASTKRVVGTYGYMAPEYAMEGIFSVKSDTYSFGVLLLEIDSPNARPKMSLVVSMLDNKDMPRPMPKQPIYFRQRNYDEEERQCSVISVNNASLTVLEGR >LPERR04G22050.1 pep chromosome:Lperr_V1.4:4:20410400:20413605:1 gene:LPERR04G22050 transcript:LPERR04G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGSTRSRALFGCSVAVGSWGRCRRRGGGRCVSAYEGRRPADKKKADVFAALDNRQQRIVPRDNALQAHLGREIEHTGRVGQSETCKNLSGNAIPGMVTK >LPERR04G22060.1 pep chromosome:Lperr_V1.4:4:20411440:20414606:-1 gene:LPERR04G22060 transcript:LPERR04G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPVFIYLLLISFCKGDDQLTKAKRLITPDDVLVSKRGIFALGFFSPATSNHSLFLGIWFHNITERTYVWVANRDNPITTTSSAMVTISNNSNLVLTDSRGHNLWTTNITVTGDDGAYAMLLDSGNLVLQLPNNTIIWQSFDHPTDTLLMNMKFLVSYKAQVAMRFIAWKGPDDPSSTGAFSMSGDNSSNLQIFLWNGTKPYYRFIRPSNKWSSVLLNASSLIYETSVSTEDEFYFIYTTSDSDSSPYKRLTLDYMGTLKFLVWNERSSSWTILFQHPADCDFYASCGPFGYCDTTLAIHKCQCLDGFEPNDSNSSRGCRRKQQLRCGDGDDHFVTMPGMAFPDKFLHVWNRSFDECAAECSRNCSCTAYAYANLTGAKYARCLLWTGDLADTGRYDIGVNLYLRLADSTEYVRNSIDMKAFLSGIRQSKEIQKKQRLYHLKDSSEFENENLELPFICLEDIITATDNFSDHNMLGKGGFGKVYKGLLEGGKEIAVKRLSKSSGQGAEEFKNEIVLIAKLQHRNLVRLISYCIHEDEKLIIYEYLPNKSLDTFLFGIARGLLYLHQDSRLTIIHRDLKASNILLDTNMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYALKGSFSVKSDTYSFGVLLLEIAWSLWKDGNATKLVDSSILESYLIHEVLRCIQIALSCVQDSPTARPLMSSIVFMLENETASLPTPSEPVSFTTRIFKTEGARENMERSLNNVTITTIEGR >LPERR04G22070.1 pep chromosome:Lperr_V1.4:4:20416769:20425497:1 gene:LPERR04G22070 transcript:LPERR04G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLRYRSFSAAQMLASITARLLHFLAQSKHQIGSTDADQELATLPFPKWPRRRRWNLHRRRVGAAATPSPPAAGMAARRLPSRSRAGGVPRSEGAIRDRGRARGSDTEEDALQVFDELLQRGKGVSIYSLSRPLTAVARDRPAAAVSCFNRMARAGADKQPRVEEVMAGGKLQDILSGGLEQCQGIPHGLNKGKKRWAYQES >LPERR04G22070.2 pep chromosome:Lperr_V1.4:4:20417557:20425497:1 gene:LPERR04G22070 transcript:LPERR04G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLPSRSRAGGVPRSEGAIRDRGRARGSDTEEDALQVFDELLQRGKGVSIYSLSRPLTAVARDRPAAAVSCFNRMARAGADKVTPTLPTYSILLGCCCRAGRLDLAFAALGSVIKKGFRVDAITFTALLKGLCTEKRTSDAMDIVLRRMTELGCVPDVFSYNIRLKGLCYENKSHEALEMLHMMADDGGDCPPNVVSYSTVIDGFFKEGNLDKAYSTYHEMVDKRILPNVVTYSSIIAALCKAQAMDKAMEVLSTMVANGIMPNCFTYNIIVNGYCSLGQLAEAIGFFKKMCSDGVEPNVVTYNSLMDYLCKNGRCKEARIIFDSMVKKGLKPDNTTYSTLLRGYATEGALLEMHKLMDLMVQNGIQPDHHAFNILICAYAKRGKIDEAMLAFCKMRQQGFSPNVVTYGIVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIDGLCTCEKWEKAEELIFEMLDRGIRPNTIFFNSIIDSLCKVGRVIESRQFFDMMARIGVKPDVITYNALVDGYYLTGKMDEAMKLLTSMVSAGVKPDCVTYNTLINGYCKISRMEDAIALFREMESNDVSPDIITYNIILQGLFQTKRTAAAKELYVRIIESGTQVELTTYNIILHGLCTNNLTDDALQIFQKLCLTDWKLETRTFNIMIDALLKVGRKAEAKDLFAAFSANGFVPNIVTYGLIIGNLIEEGLLEEFDNLFLTMEKNGCAANSRMLNHVVRKLLQRGDITRVGTYLSMIDEKEFSVEGSTASLVEEVMAGGKLQDILSGGLEQCQGIPHGLNKGKKRWAYQES >LPERR04G22080.1 pep chromosome:Lperr_V1.4:4:20421930:20430831:-1 gene:LPERR04G22080 transcript:LPERR04G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMACLPVFIFLLLISFCKCDDKLTQAKPLISPGDVLVSKGGIFALGFFYPSTSNKSLFLGIWYHNISERTYVWVANRDNPIISPSSAMLTISNSSNLVLSNSNGETLWATTTNITRGNGAQATLLDSGNLVLQLLNDTTIWQSFDHPTDSVLPNMRAFVSYKSQLIGRLVAWKSPDDPSTGDFSCSGSPNSDLQLFFWKGNKPYRRIVILDSFLVSGKANGINNSSFIYQTIVNTEDEFYIMFTTSDGSPFVRFKLDYMGTMKLLTWNSKSSSWTVLSETRADTGDCEPYASCGPFGYCDLTLANPRCQCLDGFEPASSNSSIGCRRKQQLTCGDGDHFVTLPGMKIPDKFLHIQNKSFNECVTECSANCSCTAYAYSNLTIDNAAGTIANQSRCLVWMSELVDTGRINTGDKLYLRLANSPVGIQRKKVTVKTSALRNLGAIPDLWDQNLEFACISFEDVTSATNNFHDTNMLGKGGFGIVYKVGILGDGKEFAVKRLSKGSGQGVEEFTNEVFHSTILTVFFSDHAIEATLDWPTRFKIIKGVARGLLYLHQDSRMTIIHRDLKASNILLDADMNPKISDFGMARIFGGNQQQESTKRVVGTYGYMSPEYAMEGIFSVKSDTYSYGILLLEIAWNLWKDERQRDFVDKLVLESCCLSEDSQDARPLMSLVVSILDNEDIPRPMPKEPIYFVQRHYEAEEQRKGLEKSVNNVIHKILFKYWLEHRKIHVSERQKVMDMAFLPFLICLLLIHFCKCEDQLTEAKQLRSPGDMLISKGRVFALGFFSPTASNKSLFLGIWHHNIPERTYVWVANRNNPITNPSSTMLAISNSSNLVLSDFEGHTLWTTARTNGAIITGGQKAYAVLLDSGNLVLRLPNNTIIWQSFDHPTDTTLPNMKFLVSNQAQVGMQLIAWKAPDDPSTGEFSCSGDPRSNFQVFIWHGTRPYFRFIVLHSASVVGAAYGSNITSFVYQTIMNTKDEFYIVYTTSNISSYVRITLDYMGTLKFMSWKGTSSSWTVFSQYPKATDYCDTYASCGPFGYCNLTPTVPRCQCLDGFEPVSSNSSRGCRRKQQLRCGDRNHFVHMAGMKVPDRFQVVHNQSFDECAAECSRNCSCTAYAYSNRTIAGNPGSTTDQSRCLLWTGVLVDTATANIGEHLYIRLANSPGHTSEAKKKIRYIVMAVVPVIACLLLVTCTYLVWKWQSKGKQSNDEKQKRSVLVKFRTSHELFKKNLESQFINFEDVASATNNFSDSNILGKGGFGKVYKGKLEGGKEIAVKRLGTGSRQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDYFLFDDSKRSMLDWQTRFNIIKGVARGLVYLHQDSRMRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLEIISGSKIGSPHLITDFPNLIACAWSLWKDRKPEDFVDSFIRECYSLDEFLLCMHAGLLCVQEDPNVRPLMSSVVFMFENEATTVPTPRQPAYFVQRNYMGEGAREDVNKSVNGLSLTTLQGR >LPERR04G22090.1 pep chromosome:Lperr_V1.4:4:20432362:20433957:1 gene:LPERR04G22090 transcript:LPERR04G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIEDVAQPIHGHPRRGAARPSSSDRSAAANGTARGGGGIAGAGAARRESKRRRLGGGRPDRVSEGGGGSGATSSGSRRHHGSTEARHARFPPARVDFAPKPPNFFDAAWLDPTPCGTGDQQCRGMAGGRRRESERRWRRRGRHGLTRLSTHCRLIDVSKCDGCQVLASLALEPSALGPAMHVQAYTSRLPCAMAYGANGRGDGGQCNA >LPERR04G22100.1 pep chromosome:Lperr_V1.4:4:20435585:20442333:1 gene:LPERR04G22100 transcript:LPERR04G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGEGHAGKITSYGTGNRQQFPPSRHLFLRDSPFSRDLCCRRCIRHAKQWPQLHRWQYARLCWWLLHSGFFSPVSTNRRYIGIWFSESSTDAIVWVANRETPLNDTTGVLVIDETGVILLLDGSGHVAWSSNTTVPSSSAVAQLQESGNLVVRDQSSGAILRSNTQVAGMRLGRNPQTGAEWSLTSWRSPSDPATGDYRFVLENKGLPETVLWHGDDTKKYQTGPWNGLWFSGIPEMASYASMLTTHVVVEPDEIARFFNTTPGAPFSRVVLDEVGVVKRLVWDRSSKVVHGPSIVLLAPLDTSDEVSLTSFRGCSVEQSPAMDQAATANIFHQRVISVVLLSLATSAAGTAPDTLSKGRNLTDGNTLVSAGGSFTLGFFSPGLPSKRYLGIWFSESTDAIVWVANRNTPLNNTTGVLIINATGILLLVDGSGQVAWSSNTTNPSSSAEAQLIESGNLVVRDQSSGAILWQSFDHPSNTIIAGMRLGKNPQTGAEWFLTSWRAPDDPATGDFRRVLDTKGLPDSVTWRGTVKKYRTGPWNGLWFSGVPEMASYSSLFTNQVVVKPDEIARVFNSTPGAPFSRLMLDEVGVVQRLVWDASSREWNVFTRAPRDLCDDYAKCGAFGLCNVNTASTLFCSCMVGFSPASPSQWSMREASGGCRRNVPLDCGNGSTTTTDGFVAVRGVKLPDTDNATVDMGATLDDCRAWCLGNCSCVAYAAADIRGGGGGSGCVMWIGDIVDVRYVDKGQDLFLRLAKSELDNSKKRAAIKILLPVTAACLLLLTSIFLVWLCKYRGKRQNKVVQKKRMLGYMRASNELGDENLELPFVGFGDIAAATNNFSDENMLGQGGFGKVYKGMLDNNKEVAIKRLSKGSGQGVEEFRNEVALIAKLQHRNLVKLLGCCIHGDEKLLIYEYMPNKNPASKYMLDWSTRFKIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYSGYMSPEYAMDGAFSVKSDTYSYGVILLEIISGLKITLPQLTNFPNLLAYAWSLWKDDKAMNLVDSSIVDSCSPTEVLLCIHIGLLCVQDNPNNRPLMSSVVFMLENETTTPSAPIQPVYFAHRASEAKPTGENTDSSTNDMSLTVLEGR >LPERR04G22110.1 pep chromosome:Lperr_V1.4:4:20455612:20458324:-1 gene:LPERR04G22110 transcript:LPERR04G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPVFIYLLLISFCKCDDQLTQAKQLIRPGDMLVSKNGFFALGFFSLATSNQSLFLGIWYNNIQERTYVWVANRNNPITNPSSAMLAISNSSDLVLSDSKGTLWTTMTNITGGDGAYAALLDSGNLVLRLPNNRTVWQSFDHPTDTILSNMKILLRYKEQVGMRLFAWKGPDDPSTGDFSCSGDPNSDLQVFVWHGTKPYYRSIVLDNVWVSGRAYGSNTSFMYQTFVNTQDEFYVIYTTSDSSPYMRIVLDYMGTFRLLSWNASSLSWAVYSQRPVTIGNCDLYDSCGPFSYCDFTLGIPRCQCIDGFEPYSSNSSRGCRRKQQLKCGGENHFVTIPGMKLPDKFFHVRDKSFEECASECSRNCSCTAYAYTNLTITGSPGTIVGQSRCLLWVGELVDMARNNLGDNLYLRLAKSLGMEIMEG >LPERR04G22120.1 pep chromosome:Lperr_V1.4:4:20458327:20479545:-1 gene:LPERR04G22120 transcript:LPERR04G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHFLICLLLISFCKCEDQLTKARPLHPGDLLVSKSGVFALGFFSLATSNQSLFLGIWYHSIPERTYVWVANRDNPITAGTSPMLTISTSSNFVLFQRPHFLDYDEQYHHGAYAVLLDTGNLVIQLPNSTTIWQSFDHPTDTLLPNMKFLVDYKAQVNMRFVAWMSPNDPSTGNFSLSGDPNSNLQFFLWHGNRPYCRFIMLDNESISGGVYWNSTGAFMYRTIVNTEDEFYIMYTASDGSPYVWHILDYKGAVRRLRWNDSSSSWTDIGQLVSNCELYTACGPFSYCDFTLDTPSCQCLDGFEPDSSNSSRGCRRKQQLRCGYGDHFVTMYKMKVPDKFLQVRNRSFDECAAQCRRNCSCIAYAYANFSIDGTTADHSRCLLWTGVLDDMTRYSGGSNLYLRLSDSRGKWKNNENQKRAVLRIFSNSHELFEKNPEFPYVNFKDVATATNNFSDSNMLGKGGFGKGKLVGGKEVAVKRLGAGSTQGVEHFTNEVALTAKLQHKNLVRLLGCCIHGDEKLLIYEYLSNKSLDYFLFGVARGLVYLHQDSRMKIIHRDLKASNVLLDEVMSPKISDFGMARIFGGNQHQANTKHVVGTYGYMSPEYAMEGIFSVKTDTYSFGVLVLELISGSKISSPHLIMDFPNLIACAWSLWNDGKAEDFVDSIILECGSLNEFLLCIHVGLLCVQDDPDARPLMSSVVAMLENEVTTIPTPKQPAYFVKRNYMADEAREEADKSVNNMSLTTIQGPVMEAAIGIIFHLCIFLFSVVVLSLATAAAGVASDTLSNGRNLTDGNTLVSAGGTFTLGFFSPGSPSRRYLGIWFSESADAVVWVANNRDTPVNNTTGVLAIDGTGVLLLFLDGSGQAAWSSNTTGTPSSAVAQLLETGNLVVRDQSSAAVLWQSFDHPSNTLLAGMRLGRNPQTGAVWPLTSWRSPSDPATGDYRSVLENKGLPETVLRRGNGNGKKYRSGPWNGLWFSGIPEMGSYSSMFTTRVVVKPDEIAYVFDAVTPSAAAPLSRLVMNEFGVVQRLVWDPSSRVWNIFVRSPRDVCDDYAKCGAFGLCNVNTASTLFCSCMVGFSPVFPFKWSMRETSAGCRRNAPLDCGNGSTTDGFVPVRGVKLPDTDYAAVDMGATLDECRAWCLANCSCVAYAAADIRGGGGGSGCVMWIGDIVDVRYVDNGQDLFLRLARSELGRQHESPELHTIIICLIINNKKRSVKKILLPVTAACSILLASIFVVWLCKCRGKLQNKVAHKKRLLGFSSASNELGDDNLELPFVNFGDIAAATNNFSDDNMLGQGGFGKVYKGMLDDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHGDEKLLMFKIIKGVARGLLYLQQDSRLTIVHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGIFSAKSDIYSYGVILLEIVSGLKISLPRMPDFSNLLAYAWSLWKDNKAMNLVDSSIVETCSPTEVLLCIHIGLLCVQDNPNKRPLMSSVVSMLENETTALSAPIQPVYFAHRASEAKQTTGENTGSSTNGMSLTDCYSLNELLLRIHVGLLCHVFRKTKMLDHSCHQLWPCSRMGPQQFLILSNLHTLCKGILYMAEGVIYVNKSVYSMSPTTVQGHSRGRTIWTTNNDIVDKAYAVLLDSGNLVLQQPNNTTIWQSFDHPTDTLLPNMKFRMNYKAQVVERLVAWKGLDDPSTGDFSCSGDPSSNFQAFIWHGTTPYYRAIVLDSVKVSGAAYGSNTTYFMYQNIINTGESFYVTFTTSEDSPYAHVTLDYMGNLRFLSWNDGSSSWTMFNHHPVYCELYNSCGPFSYCDLTLAVPGCQCLDGFEPDTAISSRGCRRIQELICGDGNHFVTMPGMKVPDKFLHIQNKSFDECMAECSHNCSCTAYAYISMTITGTSGTTADKSRCLLWIGELVDTARASTGDNLYLRLANSPGHTSGANTKKRYLIKVVVPIIACLLILTCIYLVWKWQSKGKRRNNKNQYTAMLGKYFRASHELYKQNQEFPCINFEDIATATNNFSDSNMLGQGGFGKVYKGMLEGGKEVAVKRLSKGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDYFLLDTTRKNILNWQTRFKIIKGVARGLLYLHQDSRLTIVHRDLKSSNILLDAEMSPKISDFGMARIFGGSQQEANTNRVVGTYGYMSPEYAMDGTFSVKSDTYSFGVVLLEIVSGFKISLPPLTDFPNLLAYAWNLWKDDKAMSLVDSSIVESCSPTEVLLCIHIGLLCVQDNPNNRPLMSSVVFMLENKTTELSTPIQPMYFAHRASRAKQTGENTSSSINDISLTSSCLARSGKNKAR >LPERR04G22130.1 pep chromosome:Lperr_V1.4:4:20475384:20479475:1 gene:LPERR04G22130 transcript:LPERR04G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGSGGVNRWWRRELREQTASRKRGRLVEEQCGGPAAGAPERWRRRAPGSCRRYPPSSLAGKTKLELVLMVSIGDVPAVGMYLQ >LPERR04G22150.1 pep chromosome:Lperr_V1.4:4:20511921:20515477:-1 gene:LPERR04G22150 transcript:LPERR04G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPSTLSSRRVPPDPDDVVTGGRMRWRERGNRVRKGGKLLDGRMIAVKRLNQSTLTKKDEKGFVREVELMPRVRHGIAHGVAYLHEGSNECVVHRDLKPPNVLLDENFRPRISDFGTAKMFVADPTDRSDLTIVGSRGYASPEYLQGELTTNATFTAILLESLSGQRMVAWAVFFHIIIIIKLADKANRFCMQAWELWEQDSARSLDRMVDPHPPASDSEIGGELARCVQIGLLCVQNWQKRPIMSVVVAMLTSNSSGSISRRGQSFAASASAPGPRRCVGRARISWTPPRPISRSTVAGPANSGSESN >LPERR04G22160.1 pep chromosome:Lperr_V1.4:4:20516336:20518245:-1 gene:LPERR04G22160 transcript:LPERR04G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVSKNTLLILVLPCLLLLSLRSSVADDTPADTLDKARNITDGNRLVSSGGSFTLGFFSPAGVPSKRYLGIWFSVSEDVVCWVANRDHPLTDTSGVLVITDTGSLLLLDGSSRVVWSSNTTTTAASGSSVAQLLDTGNLVVTDRGTGNIVLWHSFDHPTDTLLPGMKIGKNLWTGAEWYLSSWSSATDPSTGKFRYGTDTKSGVPEDVLWEDNMTIYRTGPWNGQWFSGIPEMGTYSNMLTYQMTVSQSEITYGYVAKSGAPLSRIVVTDVGVVQRWVWEPNTRSWKNFFQGPRDLCDDYGKCGAFGMCDAGAASTSFCSCVKGFSPASPSAWQMMKDTSGGCRRDTALDCGNGGINTDGFVVVRGVKLPDVHNATVDMRASLEQCRARCLANCSCVAYAPADIGGGGAGSGCIIWADGLNDLRKVDGGQDIYVRSVNSELEEVPLCYGTTISPVDLHIVKVATGNFAQDNLIGYGGFGVVYKN >LPERR04G22170.1 pep chromosome:Lperr_V1.4:4:20528159:20529507:1 gene:LPERR04G22170 transcript:LPERR04G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKDMHSYHSREVVLVAAVRKVIDGRLNILVNNIGQMLFAAAADTSPTNYARIMATNLESFHLSQLLAPK >LPERR04G22180.1 pep chromosome:Lperr_V1.4:4:20530166:20538282:1 gene:LPERR04G22180 transcript:LPERR04G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRPPPDLLPFLLGFFVLSGQIFAAVSLDKLEKGQNLTDGQTLVSSGLGSYTLGFFSPGKSTKRYLGIWFTVSNDTVYWVANRDRPIDGNSGVLLLNDGGSLVLLDGARRPVWSANFLASSAAVVQLLDTGNLVVRNGSSIAYLWQSFDQPTDTLLPDMRMGKILWNGDELYIQAWRSDDDPSPGDYRRVLTTEGLPELVLWRGGAKIYRTGPWNGKLFNGVPEAANYSNMYPLHVTISETERTYGYKAMPYAPLTRVVVNHTGFVERLVWDKSSRAWHQFFIGPGEPCDSYARCGPFGLCDPAAAAKSYCGCLTGFSVASPLEAALKNFTGGCRRNVALDCVAGAMTTDKFAVIRGVKLPDTLNASVDKVITMDECEQRCSANCSCVAYAAADINSTGCVIWTDDIVDVRYDPPGQDLYVRLAKAEFAETKPESKRSLTVPIFTPVAAVVIAFILIGFCAWAVWWRKKIRIVHIPEDPEMGVRSVNLATIKSITAKFSENRFIGEGGFSKVYKKSGNHQGESSDGRMFAVKRLKPSALTIEGKKYFAREVAVMAGLRHNNLLRLLAYCNEGDERILIYTYMENGSLNSHIFGNSTHRANLDWRRRLDMILGIAKGVVYLHEGTDRSVIHRDLKPSNILLDDEWKPKIGDFGTAKLFFTDQSGGSGTSGPTMVGSEGYVSPEYLSQGEMTLKCDVYSYGVVLLETLSGIRNGRKRELLPRVWESWNQNKLIDLLHQAMPTPASDEIEVLPDLELERCIQIGLLCVQDMADDRPSMSEVVTMMTSKTAHIEQPKKPTFDCS >LPERR04G22180.2 pep chromosome:Lperr_V1.4:4:20530166:20538282:1 gene:LPERR04G22180 transcript:LPERR04G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRPPPDLLPFLLGFFVLSGQIFAAVSLDKLEKGQNLTDGQTLVSSGLGSYTLGFFSPGKSTKRYLGIWFTVSNDTVYWVANRDRPIDGNSGVLLLNDGGSLVLLDGARRPVWSANFLASSAAVVQLLDTGNLVVRNGSSIAYLWQSFDQPTDTLLPDMRMGKILWNGDELYIQAWRSDDDPSPGDYRRVLTTEGLPELVLWRGGAKIYRTGPWNGKLFNGVPEAANYSNMYPLHVTISETERTYGYKAMPYAPLTRVVVNHTGFVERLVWDKSSRAWHQFFIGPGEPCDSYARCGPFGLCDPAAAAKSYCGCLTGFSVASPLEAALKNFTGGCRRNVALDCVAGAMTTDKFAVIRGVKLPDTLNASVDKVITMDECEQRCSANCSCVAYAAADINSTGCVIWTDDIVDVRYDPPGQDLYVRLAKAEFAETKPESKRSLTVPIFTPVAAVVIAFILIGFCAWAVWWRKKIRIVHIPEDPEMGVRSVNLATIKSITAKFSENRFIGEGGFSKVYKGESSDGRMFAVKRLKPSALTIEGKKYFAREVAVMAGLRHNNLLRLLAYCNEGDERILIYTYMENGSLNSHIFGNSTHRANLDWRRRLDMILGIAKGVVYLHEGTDRSVIHRDLKPSNILLDDEWKPKIGDFGTAKLFFTDQSGGSGTSGPTMVGSEGYVSPEYLSQGEMTLKCDVYSYGVVLLETLSGIRNGRKRELLPRVWESWNQNKLIDLLHQAMPTPASDEIEVLPDLELERCIQIGLLCVQDMADDRPSMSEVVTMMTSKTAHIEQPKKPTFDCS >LPERR04G22180.3 pep chromosome:Lperr_V1.4:4:20530166:20538282:1 gene:LPERR04G22180 transcript:LPERR04G22180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRPPPDLLPFLLGFFVLSGQIFAAVSLDKLEKGQNLTDGQTLVSSGLGSYTLGFFSPGKSTKRYLGIWFTVSNDTVYWVANRDRPIDGNSGVLLLNDGGSLVLLDGARRPVWSANFLASSAAVVQLLDTGNLVVRNGSSIAYLWQSFDQPTDTLLPDMRMGKILWNGDELYIQAWRSDDDPSPGDYRRVLTTEGLPELVLWRGGAKIYRTGPWNGKLFNGVPEAANYSNMYPLHVTISETERTYGYKAMPYAPLTRVVVNHTGFVERLVWDKSSRAWHQFFIGPGEPCDSYARCGPFGLCDPAAAAKSYCGCLTGFSVASPLEAALKNFTGGCRRNVALDCVAGAMTTDKFAVIRGVKLPDTLNASVDKVITMDECEQRCSANCSCVAYAAADINSTGCVIWTDDIVDVRYDPPGQDLYVRLAKAEFAETKPESKRSLTVPIFTPVAAVVIAFILIGFCAWAVWWRKKIRIVHIPEDPEMGVRSVNLATIKSITAKFSENRFIGEGGFSKVYKGESSDGRMFAVKRLKPSALTIEGKKYFAREVAVMAGLRHNNLLRLLAYCNEGDERILIYTYMENGSLNSHIFGTHCPILIQLKYIADT >LPERR04G22180.4 pep chromosome:Lperr_V1.4:4:20530166:20538282:1 gene:LPERR04G22180 transcript:LPERR04G22180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRPPPDLLPFLLGFFVLSGQIFAAVSLDKLEKGQNLTDGQTLVSSGLGSYTLGFFSPGKSTKRYLGIWFTVSNDTVYWVANRDRPIDGNSGVLLLNDGGSLVLLDGARRPVWSANFLASSAAVVQLLDTGNLVVRNGSSIAYLWQSFDQPTDTLLPDMRMGKILWNGDELYIQAWRSDDDPSPGDYRRVLTTEGLPELVLWRGGAKIYRTGPWNGKLFNGVPEAANYSNMYPLHVTISETERTYGYKAMPYAPLTRVVVNHTGFVERLVWDKSSRAWHQFFIGPGEPCDSYARCGPFGLCDPAAAAKSYCGCLTGFSVASPLEAALKNFTGGCRRNVALDCVAGAMTTDKFAVIRGVKLPDTLNASVDKVITMDECEQRCSANCSCVAYAAADINSTGCVIWTDDIVDVRYDPPGQDLYVRLAKAEFVHIPEDPEMGVRSVNLATIKSITAKFSENRFIGEGGFSKVYKKSGNHQGESSDGRMFAVKRLKPSALTIEGKKYFAREVAVMAGLRHNNLLRLLAYCNEGDERILIYTYMENGSLNSHIFGNSTHRANLDWRRRLDMILGIAKGVVYLHEGTDRSVIHRDLKPSNILLDDEWKPKIGDFGTAKLFFTDQSGGSGTSGPTMVGSEGYVSPEYLSQGEMTLKCDVYSYGVVLLETLSGIRNGRKRELLPRVWESWNQNKLIDLLHQAMPTPASDEIEVLPDLELERCIQIGLLCVQDMADDRPSMSEVVTMMTSKTAHIEQPKKPTFDCS >LPERR04G22190.1 pep chromosome:Lperr_V1.4:4:20541118:20541345:-1 gene:LPERR04G22190 transcript:LPERR04G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVGARAAQTVREGAGRSVKDKAQSATAKSSSMAPAAGCAAAAAEEERRRRAEQSLRTVMFLSVWGPNT >LPERR04G22200.1 pep chromosome:Lperr_V1.4:4:20542030:20546483:-1 gene:LPERR04G22200 transcript:LPERR04G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQMALMAPCCPVVVFINSRSGGQLGSSLIKSYRELLNKEQVFDLSEEAPDKVLRRLYCNFEKLKSNGDNIASQIQSSLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDQEAVKSFLEQVKKAREMNIDSWHIIMRMRAPQEGACEPIAPLELPHSLHAFHRVSRSDSLNMDGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSAYAKLGLKQGWFAASLTHPSSRNIAQLAKLRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGFNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLILLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSIDDPSSPMCRSNHEDDEHNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >LPERR04G22200.2 pep chromosome:Lperr_V1.4:4:20542030:20545334:-1 gene:LPERR04G22200 transcript:LPERR04G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTNGANGSCGPLTDYYIPDYILKPDSEQVIVDQAPCCPVVVFINSRSGGQLGSSLIKSYRELLNKEQVFDLSEEAPDKVLRRLYCNFEKLKSNGDNIASQIQSSLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDQEAVKSFLEQVKKAREMNIDSWHIIMRMRAPQEGACEPIAPLELPHSLHAFHRVSRSDSLNMDGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSAYAKLGLKQGWFAASLTHPSSRNIAQLAKLRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGFNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLILLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSIDDPSSPMCRSNHEDDEHNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >LPERR04G22210.1 pep chromosome:Lperr_V1.4:4:20549112:20555863:1 gene:LPERR04G22210 transcript:LPERR04G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSQPNLHLLLLGFFFLLLSFLTSAAAGVADKLEKGQNITDGQTLVSSGAGSYTLGFFSPGKSTKRYLGIWFTVSSDTVYWVANRDRPIDGNSGVLLFNDDGTQLVLLDGTRRTMWSAIFSAAPASAAVAHLRDSGNLVVRNGSSDVAAAAAYLWQSFDHPSDTLLPGMKMGKNMWDGKEWSITAWRSPDDPSPGDYRRTLTTAGLPELVLWQVAAGGGGKIVYRTDPWNGRFFNGVPEVARYSNEFPLHVTATATEVTYGYEAVPGAQLTRVVVNQTGSVDRLAWDDAGGGGSWQSYFHGPRDPCDAYAACGPFGLCDAEAAASWFCRCLHGFSVASPSEWASRNASGGCRRDAALDCVAGRTATTTDRFRVVRGVKLPDTRNASVDMGITAEECKRRCLANCSCVAYAAADIDGGGCVIWTDGIVDVRLVDRGQDLYLRLAKAEFAKNKRSRVVRIAAPVAASVAILSIIFGVICNVWCRKKRRILDIIPENPAMGVRSFSLTTVKSITENFSENRVIGEGGFSMVYKGVQSDGKMVAVKRLKQSALTNKGKKDFAREVAVMAGLRHNNLLHLLAYCNEGDERILIYAYMNNKSLYNHIFGPSTDRASLHWRRRLEIIQGIAKGVVYLHEGTDNSVIHRDLKPGNILLDDEWKPKIADFGTAKLFVADESGQTLVVSQGYASPEYQLRGEISLKCDVYSFGVVLLETLSGVRNGSMQTLLPQVWRLWEQGNLIDLLDRTIARPASDETELVYDLERCIHIGLLCVQDKAEDRPTMSEVVAMLTSRTSQMEQPRRPTLDSRAMHPLRQTDIMGTTTIDLT >LPERR04G22210.2 pep chromosome:Lperr_V1.4:4:20548286:20555863:1 gene:LPERR04G22210 transcript:LPERR04G22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSQPNLHLLLLGFFFLLLSFLTSAAAGVADKLEKGQNITDGQTLVSSGAGSYTLGFFSPGKSTKRYLGIWFTVSSDTVYWVANRDRPIDGNSGVLLFNDDGTQLVLLDGTRRTMWSAIFSAAPASAAVAHLRDSGNLVVRNGSSDVAAAAAYLWQSFDHPSDTLLPGMKMGKNMWDGKEWSITAWRSPDDPSPGDYRRTLTTAGLPELVLWQVAAGGGGKIVYRTDPWNGRFFNGVPEVARYSNEFPLHVTATATEVTYGYEAVPGAQLTRVVVNQTGSVDRLAWDDAGGGGSWQSYFHGPRDPCDAYAACGPFGLCDAEAAASWFCRCLHGFSVASPSEWASRNASGGCRRDAALDCVAGRTATTTDRFRVVRGVKLPDTRNASVDMGITAEECKRRCLANCSCVAYAAADIDGGGCVIWTDGIVDVRLVDRGQDLYLRLAKAEFAKNKRSRVVRIAAPVAASVAILSIIFGVICNVWCRKKRRILDIIPENPAMGVRSFSLTTVKSITENFSENRVIGEGGFSMVYKGVQSDGKMVAVKRLKQSALTNKGKKDFAREVAVMAGLRHNNLLHLLAYCNEGDERILIYAYMNNKSLYNHIFGPSTDRASLHWRRRLEIIQGIAKGVVYLHEGTDNSVIHRDLKPGNILLDDEWKPKIADFGTAKLFVADESGQTLVVSQGYASPEYQLRGEISLKCDVYSFGVVLLETLSGVRNGSMQTLLPQVWRLWEQGNLIDLLDRTIARPASDETELVYDLERCIHIGLLCVQDKAEDRPTMSEVVAMLTSRTSQMEQPRRPTLDSRAMHPLRQTDIMGTTTIDLT >LPERR04G22210.3 pep chromosome:Lperr_V1.4:4:20549112:20555863:1 gene:LPERR04G22210 transcript:LPERR04G22210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSQPNLHLLLLGFFFLLLSFLTSAAAGVADKLEKGQNITDGQTLVSSGAGSYTLGFFSPGKSTKRYLGIWFTVSSDTVYWVANRDRPIDGNSGVLLFNDDGTQLVLLDGTRRTMWSAIFSAAPASAAVAHLRDSGNLVVRNGSSDVAAAAAYLWQSFDHPSDTLLPGMKMGKNMWDGKEWSITAWRSPDDPSPGDYRRTLTTAGLPELVLWQVAAGGGGKIVYRTDPWNGRFFNGVPEVARYSNEFPLHVTATATEVTYGYEAVPGAQLTRVVVNQTGSVDRLAWDDAGGGGSWQSYFHGPRDPCDAYAACGPFGLCDAEAAASWFCRCLHGFSVASPSEWASRNASGGCRRDAALDCVAGRTATTTDRFRVVRGVKLPDTRNASVDMGITAEECKRRCLANCSCVAYAAADIDGGGCVIWTDGIVDVRLVDRGQDLYLRLAKAEFDIIPENPAMGVRSFSLTTVKSITENFSENRVIGEGGFSMVYKGVQSDGKMVAVKRLKQSALTNKGKKDFAREVAVMAGLRHNNLLHLLAYCNEGDERILIYAYMNNKSLYNHIFGPSTDRASLHWRRRLEIIQGIAKGVVYLHEGTDNSVIHRDLKPGNILLDDEWKPKIADFGTAKLFVADESGQTLVVSQGYASPEYQLRGEISLKCDVYSFGVVLLETLSGVRNGSMQTLLPQVWRLWEQGNLIDLLDRTIARPASDETELVYDLERCIHIGLLCVQDKAEDRPTMSEVVAMLTSRTSQMEQPRRPTLDSRAMHPLRQTDIMGTTTIDLT >LPERR04G22210.4 pep chromosome:Lperr_V1.4:4:20548286:20551533:1 gene:LPERR04G22210 transcript:LPERR04G22210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERGVRCTTAAAEAARRPKPPAVWPAMVGERTAGRRCGTRRMHGPRLITKEAQPPADEVLFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGPVYKGVLPDGQEIAIKRLSNSSTQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRIVFGWKLRYKIIQGTGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSDKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVTELIDPLMGTICSYDEVCRCIQVGLLCVQELPADRPNMSLVLRMLSGDVTLPAPKQAAFFVGRVPMDDNDTGSGNQMTYTGLQGRPKECKPEQQGYV >LPERR04G22220.1 pep chromosome:Lperr_V1.4:4:20557140:20557493:-1 gene:LPERR04G22220 transcript:LPERR04G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKFLPIVPPEPRHHPATLSRVPSAPEDMGPHNYVYQLPSLECTNLERKESSSVPTERANERSLNWMTQMTHYSIGGSKVHGKATTKIQEEDDPCSL >LPERR04G22230.1 pep chromosome:Lperr_V1.4:4:20560941:20561213:1 gene:LPERR04G22230 transcript:LPERR04G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVGARAAQAVREGAGRSVKDKAQSATTSSAARSSRAPVAGSVEKGRANAAAAARAAAAEEEKRRRAEQSLRTVMFLSVWGPNT >LPERR04G22240.1 pep chromosome:Lperr_V1.4:4:20561834:20562402:-1 gene:LPERR04G22240 transcript:LPERR04G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYASRVLLRAAAQAMRAARQPAPAAVSKPVSAGAATAKPSTAPPGAAHRQQARRLSGGVAEPAEKAAVAERMRRRRREKSENVMHLECTYGSSLDSGFS >LPERR04G22250.1 pep chromosome:Lperr_V1.4:4:20564086:20564382:-1 gene:LPERR04G22250 transcript:LPERR04G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRSLQQRGRQQAAVAGSGGGGARALQPAAPTAKSAAARRKAKQEEEELRTVMYLSNWGPNN >LPERR04G22260.1 pep chromosome:Lperr_V1.4:4:20567807:20568067:-1 gene:LPERR04G22260 transcript:LPERR04G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAGAASRGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >LPERR04G22270.1 pep chromosome:Lperr_V1.4:4:20572453:20572710:-1 gene:LPERR04G22270 transcript:LPERR04G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHRAAKANAGGVSQGKKLPASAAAVAERRAEKAEEGLRTVMYLSCWGQN >LPERR04G22280.1 pep chromosome:Lperr_V1.4:4:20574182:20574442:-1 gene:LPERR04G22280 transcript:LPERR04G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIQRAAKANAGGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGQN >LPERR04G22290.1 pep chromosome:Lperr_V1.4:4:20576267:20576527:-1 gene:LPERR04G22290 transcript:LPERR04G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAGAASRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSQN >LPERR04G22300.1 pep chromosome:Lperr_V1.4:4:20578930:20579190:-1 gene:LPERR04G22300 transcript:LPERR04G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAGAASRGKKLPASAAAVAEKRRAEKAEEGLRTVMYLSCWGPN >LPERR04G22310.1 pep chromosome:Lperr_V1.4:4:20579904:20583977:-1 gene:LPERR04G22310 transcript:LPERR04G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAYDMRKPLREPQPKKHHHHHHHHHGPGGCCSHDAPPAASNSPEKKTGS >LPERR04G22320.1 pep chromosome:Lperr_V1.4:4:20579904:20584180:1 gene:LPERR04G22320 transcript:LPERR04G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTAVGACKAPHLQPAALLSPPPLLRRAFPCLPAVRAGCELRRAGAASRLVVSRRCQEEEQQHEAAAEQQSNGGGGGGGDGEQEEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFLKDKLKREQNLGSAVLQWDDPGF >LPERR04G22330.1 pep chromosome:Lperr_V1.4:4:20584298:20591129:1 gene:LPERR04G22330 transcript:LPERR04G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESNTLRVLVATDCHLGYMEKDEIRRFDSFQAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVGTTFVALYGLGNIRDERLNRMFQTPHAVQWIRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKVVLKDEVDIDPNDQASVLEHLDKIFPFLTEGVNPRFRNSQLFQVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGSVDDSEKLRPEELNQQTIEALVAENNLFYSSSRRNNCWYLFIDGIPFSCVYDELMMINGKQRSCHFPGTTLCAKWVLKCLFSIMLKFSFVFRNTMAGKKMEILPVDDLDIALHDFVSKDDKLAFYACLQRNLDETRNKLNSEADKSKIEEEDIIVKVGDCMQDTGGRSVTAQSNLNSFSDDEDTREMLLGSRTTKAVRKTSGFTRPSKDATDIAKTGTSRRGRGRGATSMKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGRGRGRGAPAKRGRKADIASIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVKRR >LPERR04G22340.1 pep chromosome:Lperr_V1.4:4:20590217:20593368:-1 gene:LPERR04G22340 transcript:LPERR04G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEESAAAQSPPPKRPRRESRSEPKPLARVGLNPADCDLDFDVGDGGLRGHALSEGGFAYCWSGARATVGVRGGGKYCFGCKVVGKKVVEMEDAAADQQHLCRVGVSMGDDAVGCLGETGRSFGFGGTGKFSHQGKFVDYGVKFGVGDTVVCAIDLDSKPLASIGFCKNGEWHGIAKHFDANDKGLGLVGFPPVSTMQWESAIFPHVLLKNVVVEMQFSREDGLQLVDGYEPWSSACVDGNAVSGPVFAEQKECEIMMLVGLPASGKSTWAEKWVKEHKEKRFILLGTNLALEQMKVPGLLRKRNYGERFEHLMERATVIFNTLLTRAAKVPRNYIIDQTNVYKNARIRKLRPFANYRKTAVVVFPLPSELKARAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKNPSYVSFSNQNWDCFSSHCEDNATFYDTRLSPTIGQSVWKWCADTSGLDTPTGSMGCSRFPGSSRINHHQTNFSYPNAPFQHQTQSSHPSTPYQHQTQSSYPSNPNQHQIHPSYPSNQNQYQGHSTYACAPFPAPGNNIYGSDGGPTPYNPNPSNMNTIMQQRIQAPVGGGNQSAPVSNDAYGRSGYEAANSVGGPTNAHPSTPAVYSSGNYVPYMQHSHDVHNSGLQYSAPVPRPPYGAPPPNHMNPQTNIWYI >LPERR04G22350.1 pep chromosome:Lperr_V1.4:4:20595131:20595379:-1 gene:LPERR04G22350 transcript:LPERR04G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGARWRSSAGFLALEGSGECGVSVLRVGGEGKTKKEEMTPLPIVVHVEQVAILALLASSEGEGGGTLPYGPGTANGTHMP >LPERR04G22360.1 pep chromosome:Lperr_V1.4:4:20599810:20603736:1 gene:LPERR04G22360 transcript:LPERR04G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFLDQRRPSSFKRRWQQGPLWARLAVSLFLALVCLFLLLALRGSPDPDPVVFPSTDSSRSAAISSPLLRQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVMEEEAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKSEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDYKGATVEIQKVEDFTWLNASYVPVLKQLQDAATQNFYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELHKVVFLDDDIVVQKDLSELFTIDLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWKKKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >LPERR04G22370.1 pep chromosome:Lperr_V1.4:4:20602848:20604880:-1 gene:LPERR04G22370 transcript:LPERR04G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSKYRSRGYTMGISNNKKPPPYLLLLLLALGAAGLSVGILHKMRERRVFAILLQERDQQLLSLQALLQKEQELSKEMRRKMDELEAKTSILSIERTELKNKLMDSETTTTYLTNTQKELEAALAEKEGHITQLKENAAASSPEQMAAIKELLKQKEAELEEIKTKLHDYKNQDTNVNESLFVGTNNENATSDTAVPEDSAKAGESMTAPAEDNSYNNGASESNQDKSIGVSTNSENVTADTVVLDKYANSTDSTAASTEELHPYNTTASESKYQEDSFSKEHFIKLTTNREDDGLQENTTGDANDNSDDALEGGRSEKSELPQWSSKLADSQDNSTDGTRLLEDPQGEVSYESRGSKLLEKEAEASKEVEPLKETNPETELETSKDSSSEANQNSTQAVEPVVDPADLKPSISIYNDETKETSKRRRRRKFRSRRKRRTNPTATNVDGEVIKVK >LPERR04G22380.1 pep chromosome:Lperr_V1.4:4:20605788:20608583:-1 gene:LPERR04G22380 transcript:LPERR04G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGLVASSVLAWPRPRAAPASRGRGRFAPASAFHPDVSRAVDSLQGRFREVDLALALNSARVSAAFRAAHVAPHHFGGSTGYGHDDGGGREALDSVFAEIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLMVALIGMLLPMLPETGCAFIQRSCGYSWRKSLSISDIKRAIDLIKMQNPKCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRSLFQGLFLAPQMVGEAVKGGLLIAEVMSNKGYRVQPLPRVPRHDIVQAVELGNRERLVAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSAEIA >LPERR04G22380.2 pep chromosome:Lperr_V1.4:4:20605788:20608583:-1 gene:LPERR04G22380 transcript:LPERR04G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGLVASSVLAWPRPRAAPASRGRGRFAPASAFHPDVSRAVDSLQGRFREVDLALALNSARVSAAFRAAHVAPHHFGGSTGYGHDDGGGREALDSVFAEIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLAVDGGLDWDALANAVRPETGCAFIQRSCGYSWRKSLSISDIKRAIDLIKMQNPKCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRSLFQGLFLAPQMVGEAVKGGLLIAEVMSNKGYRVQPLPRVPRHDIVQAVELGNRERLVAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSAEIA >LPERR04G22390.1 pep chromosome:Lperr_V1.4:4:20608392:20615973:1 gene:LPERR04G22390 transcript:LPERR04G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKCSLYQPLAAAAVALFFVLASAPVAHCWSKEGHMLTCRIAQDLLEPAAAHAVRNLLPEEADGDLSAMCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYKHGSADRKYNMTEALLFLSHFMGDVHQVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVHEGETLSDDYLGSRLPIVTRRIAQGGVRLAMFLNRVFVLYSTSGIATVLVLTRSKFSSCRTCMTELESDVVVEVGDFSFHLHKFPLMSRSGTLQKLISEAVAGAGADDGEPCSVELHDVPGGAAAFELAARFCYDVRAELNAGNVVPVLCAAEHLAMTDDHAAAAAGGEGNLAEQAESFFRDVLSTWDDAVRALRSINGGDPLLLPLAEDLAVVSRCIDALASKACADTWLFGWPPIVEHYTTAARRGIEETVLWNGIAGTGGGKPRSPGADWWYKQASSLNLPMYKRLIAAMKSKGMSPESIAGSITHYAKRHLSGLTRRAIRRGAEGASRGGRRDAPNREGRRDDERMLEQFVAANTFAASSPEIMDEGQLVDAPSGGELMPVSTVAKLVDGYLAEVSTDANLKLSKFQGIAELVPDYARAIDDGIYRAIDIYLKAHPWLTVSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNASPAAAHHAPPPPEDEEDVDVAAGNSSNARSSSASASATSVDEIRQRVVELEEECSTIKEEIHRLGKPKGALSRLFRKLGFGRKTPPPPPAAQQQQLTSSSGDEKRRSVSGDEKHRSVSGDQRRKSVSGDEKRKSMSLEC >LPERR04G22400.1 pep chromosome:Lperr_V1.4:4:20615743:20622180:-1 gene:LPERR04G22400 transcript:LPERR04G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRVANFCISTLSLLYLNHSSTLTLRKLSFAQPHNAAVFLRLSTRRPPPPAGSPPLPPRSPGGDLTGRSEPRLSTPLRLRTPPSGEKSKGRRSPAMLRRCMRDLYSLRYLKRIPRPISSEVPSPACLQPRSKSTQASQQGSTQNTIPGPQGKPSQSGSNVPKVLLGTLMVGAAAMVAHQAGYIDLQFKDGIFPSNIKVQNIGKAYEDMKASSQQKVDEKQVTSDPNIDIFQNGKNKVHPPKDLPTEGMGQKEILMTGEQPISSEEKGRETLAQGTPPVPDEHKTDAKPLSQDVPAVEINTIIDDKAASEVPPEETDKTTNTVSPVPPSPATVGPPHQMDTDTDAPKDPSSVGATEHKSLAETYLLQEEPDNSKDRSAKESKHNEVTNTGTSDDGKIVLDIIEAIHAAERKQADIDAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKREILKSAAAIKELQENAEQKLHDELHRKDEETSQQVEKVRELAKAELAAALAKEKASQIEQIAEANLNGTLALEDALSTGSPIKAEVDQLRKSLEGIDKDSLLELALSSVPEDVLEYGSDTPMELNQKFNSLKEKIRHFSLIPEGGGGILTHAVAHVASSIKALEGGLQGSEAAEIASEWVKRARKRAIAEQTLTLLHSYASSITFS >LPERR04G22410.1 pep chromosome:Lperr_V1.4:4:20623718:20626512:-1 gene:LPERR04G22410 transcript:LPERR04G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEILAEKLAKLNNTQQCIESILTLLSLGQIFVRFGVSLALSHWCIYHRKNAEQIVQTWEKQFHSSGNEQKTPYLYLANDILQNSKRNGTEFVEEFWKVLPGALKNVTENGDERGKKVVSRLVEIWQERRVFGSRAGGVKDVMLGTAPLPALDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGVAERIVSALHTVLSEQTDEDADLEKCKTSMRHVGKMEKDVNSACSKGEDRRRESLCTELKEEEDNMTKCIEKLKVVEANRAAVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRRLKNEPVIPSSKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIENSSQVPSVANAAAFVPIPPMVTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMIGMAYNMNTMTPPPPPPPQMMNLARVSPSAPQQPMGIMPQTQPPPPAPTMLQQQMPMNVGPPMQFAIQQSGAPPFRPLQPPPGMQFFHPQSQ >LPERR04G22420.1 pep chromosome:Lperr_V1.4:4:20629200:20637653:-1 gene:LPERR04G22420 transcript:LPERR04G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPATAADNAAANHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDVAKLLEPLSREQLVALLRTAAETSPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGATNNAGAASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNVQKAIDGRTKSTPGMNASSNPSPSAASAAAAAAAQMAAPTTAAITPYDASAYGATAVPDLGFAQQAAMLGMGAQQQAFAQPNAMLAMMAAAMQNPAMLATLNPAFAAAALSAGGQQVHAAGIPGFGAQGFGTQGFATGATAFPTAAGVQAPPGFQGPPGFQGSAGFQVGQAASQTGTAAAAAASAAGYQAGAAGQGQVPGTQIGGAVQMASLTTTEAALPGGEDLLAGGDDLANLLAVDTEMFR >LPERR04G22420.2 pep chromosome:Lperr_V1.4:4:20631309:20637653:-1 gene:LPERR04G22420 transcript:LPERR04G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPATAADNAAANHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDVAKLLEPLSREQLVALLRTAAETSPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGATNNAGAASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNVQKAIDGRTKSTPGMNASSNPSPSAASAAAAAAAQMAAPTTAAITPYDASAYGATAVPDLGFAQQAAMLGMGAQQQAFAQPNAMLAMMAAAMQNPAMLATLNPAFAAAALSAGGQQVHAAGIPGFGAQGFGTQGFATGATAFPTAAGVQAPPGFQGPPGFQGSAGFQVGQAASQTGTAAAAAASAAGYQAGAAGQGQVPGTQIGGAVQMASLTTTEAALPGGEDLLAGGDDLANLLAVDTEVSKICPVQNTQLRTGD >LPERR04G22430.1 pep chromosome:Lperr_V1.4:4:20642567:20650974:1 gene:LPERR04G22430 transcript:LPERR04G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTLASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMESEMKYEARLEEVPQVVLDQEARNVDQEASKSPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQSVYANGGLREPNLGFTGAIDPGAVNFEIEYSHWVDEQNRNTGELRNALLQAQTTEDELKLLVEIGLENYSRLFNIKKDAANSDVFYVMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLLEHQIVSVNGLQHSSMQAEDALSQGMDKLKQTIADSLTAADPFGSPDAYMVHMANAVEQLKSLVKFVIQADHLRHTTLLEMRRILTTRQAARGLVALGDYLQRFRALSSLWTARPRDSGIS >LPERR04G22430.2 pep chromosome:Lperr_V1.4:4:20642566:20650974:1 gene:LPERR04G22430 transcript:LPERR04G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAHFLAEKKTASATATWGSAVAFNNAAISEHGSIEPCRKYSIGGDQFKNDIMELYPGYLEDHFNIHKLSISSASPPEYMTLASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMESEMKYEARLEEVPQVVLDQEARNVDQEASKSPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQSVYANGGLREPNLGFTGAIDPGAVNFEIEYSHWVDEQNRNTGELRNALLQAQTTEDELKLLVEIGLENYSRLFNIKKDAANSDVFYVMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLLEHQIVSVNGLQHSSMQAEDALSQGMDKLKQTIADSLTAADPFGSPDAYMVHMANAVEQLKSLVKFVIQADHLRHTTLLEMRRILTTRQAARGLVALGDYLQRFRALSSLWTARPRDSGIS >LPERR04G22430.3 pep chromosome:Lperr_V1.4:4:20648065:20650974:1 gene:LPERR04G22430 transcript:LPERR04G22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMESEMKYEARLEEVPQVVLDQEARNVDQEASKSPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQSVYANGGLREPNLGFTGAIDPGAVNFEIEYSHWVDEQNRNTGELRNALLQAQTTEDELKLLVEIGLENYSRLFNIKKDAANSDVFYVMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLLEHQIVSVNGLQHSSMQAEDALSQGMDKLKQTIADSLTAADPFGSPDAYMVHMANAVEQLKSLVKFVIQADHLRHTTLLEMRRILTTRQAARGLVALGDYLQRFRALSSLWTARPRDSGIS >LPERR04G22440.1 pep chromosome:Lperr_V1.4:4:20653337:20653953:1 gene:LPERR04G22440 transcript:LPERR04G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDTLSDARGHYRIILIFAAFLLLAATGDGIRPAPSDDVKQGHDNVGVVQEEVYATGSSLPDCSHACGACSPCSRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >LPERR04G22450.1 pep chromosome:Lperr_V1.4:4:20655240:20663943:1 gene:LPERR04G22450 transcript:LPERR04G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPVAPAPAQLRRCPCSAPLWAAAPPSPFRCRRSRGGRSSLSSPFIGGGRQEYSHSTSGLGVKDNNPFKIGVYTNFNVQSNAQEWVEESKRLSSIKTRNSVGNTIYNGSTHLRAGILHHEPLEDYKNSNSSLYSIRERMTPNSLANRHANMELTKHHKLNRATSAVPALTSVVNDDIKPLNRPSGSELKTQWPGGSKIDASVLKISKVETSLQFDDKVRDDNDGDEHECTAKKTVQDSPAKAPMSNESKDARKALSTVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVGHGEVICFSIYSGKSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKIAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGAVPKELQKIGKRSMKTIFGWKKIKKDGFEGKIISMDPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRSKPGETLPKSRAIKVPNDGSVVEEGKKAPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTIDDAEDDECSSDSEISEDDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCSEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCESMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPRPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMANATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWKLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >LPERR04G22460.1 pep chromosome:Lperr_V1.4:4:20663158:20664399:-1 gene:LPERR04G22460 transcript:LPERR04G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLAVAGRVLLHRAPSLPPPRPTPPDFLSSKSRIRLLLGHRFSTSSPTPPHFMAQYLVSKCSFSQEAAAAAAPKFSHLDSTSRPDSVLAFLRSQGLTRAQVRAVVACKPMLLLSDVEATLQPKFRAVSALGLSRADVAVLFALYPPALTFGIHTNLLPRIVFWLDFLGSERLLMKWLNKTWLLGYSVDVLLRNLSTLRSLGVPERRITNTLRLQPTVIMQSTAKFQSLLGRVEACGIPPSSGMYMWALFALHNVSESAFQAKKAAVMSATGCTEEEFASMFRRGPCFMFMSAELLRKKVEFLMAKAGCNVKNFVNSPVMLTLSLSKRMIPRCRVIDILRSRGMDIGKTTTMRGVIRSTEAKFVERYILRYKEQVPELLEMYPIRHEVLKQYPPRPRHGNVKGQLTEKKR >LPERR04G22470.1 pep chromosome:Lperr_V1.4:4:20665057:20675653:-1 gene:LPERR04G22470 transcript:LPERR04G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLMAAVNTVEVSNVAVPATAAPRPEPIKLTALEALWVTIPVLQHVLIYEVAGSPPFDGVVESLRFSLAATLQRFAPLAGKLVYLDDTGDVAIACSASDGVRFVTAESDADARRLAGDELHDLQTFKKLVPELDMTELPTSVLAAQATRLQGGFAVGVTVHHGVVDGKSFWMFVEAWAAACRGETPPPDATPCFDRSVVNRHLGDEVARTALRRYAPKLPQVPELDLLAEEVRERFTRRTFTVEAHQLERLKQRIVSVGVDDGAPPRRPPSTFVAVVAMAWTCFTRCKIAASDDGESFALFIADLRDRLDPPVDTGYFGTCLSACVARVPARDLHGDGALAAAAAAIQDEIRKLNETPLGNWDFMSFVASLSEQRDRWMNVSGSPGFRPYDVGDFGWGKPRRTEPIRMNRDGQVALVRARDGRGVQVSVSLLQAAHMDAFKSQLVHHVAVPAKATPPTEPMRLTAMEALWLRIPLLQHVLFYEDAGGDESPPPAFDGVVLERLAPELDGMGELPMSLLAVQVTRMVGGFAVGVTAHHGVADGRSFWMFVEAWAAACRGGETTTTTPAATPCFDRSVVKLPGGEALARSVLRKYTPNLPVGTNQILRLKHVIDTTLMIDDKLTTQVSPPGPPPSSGEEHKRHYSSRTFTVDAQQLERLKKRIVSDGESHGELLHRPPSTFVALVASVWTLIVQSKTSASDTTVQFLFFFADFRGRIYPPVDPSYFGTCLTGCFVSLPARDLHGGGALAAATAAIQEEIRRMVDDPLALWDFFALESRAAFDDVAIVSGSPGFRPYDVGDFGWGRPARMSHDGQMAFVRAADGRGVQVSLSLLQPKQMDVFRSRFVELLG >LPERR04G22480.1 pep chromosome:Lperr_V1.4:4:20674552:20675196:1 gene:LPERR04G22480 transcript:LPERR04G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDAYSDGGEADADADVAEPTFPIHTSATRAKRTSPSARRDDDEVSRHVLRVIRTAAAAGSEPVVPGYSPRMSIDMACAHSWAPYTAVIPALRSLSFLSLGDERSRRVAKEAIAELFGHATPFDAGRRFPAGEVYVCLDRAPLASYVQRIQRYVTVADLLCGSKTEACDGFLRGVENAIDVLTSNNGYSVVLYDREVFESVFLLTWTEAA >LPERR04G22490.1 pep chromosome:Lperr_V1.4:4:20676112:20677641:-1 gene:LPERR04G22490 transcript:LPERR04G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSIVDVSYVAVPATAARQPPEPIKLTAMEAQWLPIPVLQHLLFYEATVSLPFDSVIQSLRSSLAATLQSFTPAAGKLVYIEDTGDVAISCSASDGVKFVTAESDADVRRLAGDEAHDLQTFESLVPEIDMSKLPTSVLAVQVTRLEGGFAVGVTVHHGVADGKSFWMFVEAWAAACRGETQGATPCFDRSVVMWPGGEETASSVLRKYVPNLPQVSELHVEERKRFSRRTFTVDAQQIERLKQRINRDGEAHGAPLDHPPSTFVAVVAMTWTFFARCKTSPEDDGDVFLFFFADIRHRLDPPVVAGYFGACITGCHVRLPVRDVHEDVALPAAASAIQEGIRKIEQDPLAGWNFLNMADKFAWDRVMNVSGSPGFRPYDVADFGWGKPRRTEPIRMNHDGQVALVRAADGRGVQVSVSLLQAAHMDAFKSQLVELLG >LPERR04G22500.1 pep chromosome:Lperr_V1.4:4:20680873:20681437:-1 gene:LPERR04G22500 transcript:LPERR04G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEENRFQESTSDRCKEDKEQNNNSEESSVDQRKEEDEEEKEEETEGPEEATATVAALPSFFLHPCSLLQYIARICACCLGLSDSFCDPKPSAALPEPVAAADPSQEGEEEDMKSSEATIQVRAARIRPRPPSNPREGSGGKGGHHN >LPERR04G22510.1 pep chromosome:Lperr_V1.4:4:20684179:20685015:1 gene:LPERR04G22510 transcript:LPERR04G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASRRTRPAADDGDDDDDVSKKPILMMASPSPSRAVAGRTLEAPPTRRRPGRRSRPARMFHTMCRSLPVLTPRCGRLMPPAAAGARLSPSDSQLSQLVASSTSSSRRRRMTGTLFGYRDGRVALSLQENPRCHPTLVVELALPTHALLRDLGATAGARIVLESEKKHDHHHGHDEGWVLEEAMWTMYCNGKRVGYAARRGPTEDDIAVLETLWAVSMGGGVLPGMTSSSDNVDDGEMAYMRGCFEHVIGSKDSESLYMVGPHGGDCPELAVFFVRL >LPERR04G22520.1 pep chromosome:Lperr_V1.4:4:20690743:20691543:1 gene:LPERR04G22520 transcript:LPERR04G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGDRLSKQRTPSTSSEQFFRASEHSRFVSSRSKQSAHSVQRAASKASELPWPTSLPS >LPERR04G22530.1 pep chromosome:Lperr_V1.4:4:20693431:20694517:1 gene:LPERR04G22530 transcript:LPERR04G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLIERVTGYGRGEDKDVAAGVQEPTKVASEDVLSTEEVVTVQRNVIRSRGGDPIVSGGPKPGINAVGI >LPERR04G22540.1 pep chromosome:Lperr_V1.4:4:20695524:20697201:1 gene:LPERR04G22540 transcript:LPERR04G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAIVCEMTPQRATPATVAQQQATRRDGGGNNKIVLQPRLCTLRSYGAGSGGVVARRRLVVAGDEESAAAAGGADGAGASPFFASLADYIDSTRKSQDFETISGRLAMLAFAAAVGVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAATFAWVSSARNRIGQMFTLSCNAFVDSLIDNIVEALFSEGELQDWSDDV >LPERR04G22550.1 pep chromosome:Lperr_V1.4:4:20697775:20700037:-1 gene:LPERR04G22550 transcript:LPERR04G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAATSHRQWRVAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLKEVGWAKRWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLTKDQQQNLTRWAVLFSASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >LPERR04G22550.2 pep chromosome:Lperr_V1.4:4:20697775:20700037:-1 gene:LPERR04G22550 transcript:LPERR04G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAATSHRQWRVAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLKEVGWAKRWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDVRPVPQLANAAFLFTVVGSTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLTKDQQQNLTRWAVLFSASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >LPERR04G22550.3 pep chromosome:Lperr_V1.4:4:20697775:20700037:-1 gene:LPERR04G22550 transcript:LPERR04G22550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAATSHRQWRVAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLKEVGWAKRWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQVLFSASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >LPERR04G22550.4 pep chromosome:Lperr_V1.4:4:20697775:20700037:-1 gene:LPERR04G22550 transcript:LPERR04G22550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAATSHRQWRVAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLKEVGWAKRWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDVRPVPQLANAAFLFTVVGSTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQVLFSASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >LPERR04G22550.5 pep chromosome:Lperr_V1.4:4:20697775:20700037:-1 gene:LPERR04G22550 transcript:LPERR04G22550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAATSHRQWRVAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLKEVGWAKRWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVLFSASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >LPERR04G22560.1 pep chromosome:Lperr_V1.4:4:20700244:20707635:1 gene:LPERR04G22560 transcript:LPERR04G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQSSPFPSVAVRFEPAVRAAPPRRRRRRRPPFASASASAASYIVCNSDEDAFTRCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVLRRALQIGTSFGRWFALRYIDRVNERSDDMFEIRASQLRRVLLELGPAFVKIAQAVSSRPDVIPPAFLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMVFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQVRPESYTFPMKSNEEVVILSKSNRKDNYNLIRNLNYLISQAVLDEWASSLFREMDYRAEARNGLKFRELYGKFRDVQVPEMYLEQTRRRVLIMEWVEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAIGFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKDGTFQIDRLESLLTESLRARTEQSLTRNQQEETDSSRFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGVATLSSATSAATSGLPFAVSSLSPSLDSEDATNLRNLHRLLLLISKVPQKEDSSPIPGYNSTGEKGGDNIDEISLVLYEMRSLPEFLPILSVIPELPPESQQQFLLLPADLTNRVLSRVVARTIRRIFI >LPERR04G22570.1 pep chromosome:Lperr_V1.4:4:20708267:20711158:-1 gene:LPERR04G22570 transcript:LPERR04G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPSVWAGLGNPHRSSTARVPVSFAAEKPVRSLVLGSDCRSRCAKLKVSCSRKAAGIEKAYYSADEALVLKQKAEDVIPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWNYMKKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDSYANADARVSLEHIAVKQGHNDVTILTPSAIAIEALLKMESFLTEKAMVRN >LPERR04G22570.2 pep chromosome:Lperr_V1.4:4:20708267:20711158:-1 gene:LPERR04G22570 transcript:LPERR04G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPSVWAGLGNPHRSSTARVPVSFAAEKPVRSLVLGSDCRSRCAKLKVSCSRKAAGIEKAYYSADEALVLKQKAEDVIPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWNYMKKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKVAHLVHDIAVKQGHNDVTILTPSAIAIEALLKMESFLTEKAMVRN >LPERR04G22570.3 pep chromosome:Lperr_V1.4:4:20708267:20711158:-1 gene:LPERR04G22570 transcript:LPERR04G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPSVWAGLGNPHRSSTARVPVSFAAEKPVRSLVLGSDCRSRCAKLKVSCSRKAAGIEKAYYSADEALVLKQKAEDVIPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNEKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDSYANADARVSLEHIAVKQGHNDVTILTPSAIAIEALLKMESFLTEKAMVRN >LPERR04G22580.1 pep chromosome:Lperr_V1.4:4:20715226:20721917:-1 gene:LPERR04G22580 transcript:LPERR04G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGLLVAVVVVACSIDVARAQTPVFACDAGNATVAGYGFCDRSKSGAARAADLVGRLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTKFSPLVPGATSFPQPILTAASFNSSLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVTGLQYAGAGDALKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTFQPPFKSCVLDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLSAATQAAASADVTVLVVGADQSVERESLDRTSLLLPGQQPQLVSAVANASRGPVILVIMSGGPFDISFAKSSNKISAILWVGYPGEAGGAALADILFGYHNPSGRLPVTWYPASFADKVTMTDMRMRPDASTGYPGRTYRFYTGDTVYAFGDGLSYTNFAHHLVSAPNQVAVQLAEGHACRTESCLSVEAAGEHCGEKLSFDVSLRVRNAGAMAGRHTVFLFSSPPSVHNAPAKHLLGFEKVSLEPGQHGVVKFTVDVCKDMSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >LPERR04G22590.1 pep chromosome:Lperr_V1.4:4:20726882:20730112:1 gene:LPERR04G22590 transcript:LPERR04G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPAEDMGIKPHLLQCGLCGTKMCLVAQVHAPVAKLNIENRTIYVLACSKPKCGSNPQSWKVLRVQKCHSSAQTDGKVEETDQIKENVCSSEPSSSSSLNKSHEVSDDDFDLDALANELEQAATLASNSKKQNKPKRSNAPIKCPTGKQRVDDPSLPVLPCFYIYYDKEQFRGKGIVGSKSSESVLVKEITDVANDEEEKWEGENYEYDRAIGADRVFLKFKKRLDAYPQQCFRYSYGGKPLFAATNLQDSGTCQLCGSQRQYELQLMSPLSYFLHEAGDGSSDYAPDGWTWLTLIIYTCSKNCCPSSCVRKPSNCSWGVAEEEIMIQED >LPERR04G22600.1 pep chromosome:Lperr_V1.4:4:20731732:20735675:1 gene:LPERR04G22600 transcript:LPERR04G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYHYLAFGTSKLNAHGQSRYIRLVLGLGPTRNLHCADNESSCGSRAKDSVNLFSQHFSMADHDLKLSISRGSTRKFQDTAMTEKYSQQNRKGIVFPVIDEGSTSAKRKPDGYMLPLLFAPISDGTCLNGTPPETNIQHIETVDDHITSFNQHKVQRSYQRHPKKCRFNGCSKGARGATGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGTPACTKAARGRSGFCIKHGGGKRCMVEGCTKSAEGQFGLCISHGGGRRCQHPNCSKGAQGSTMFCKSHGGGKRCIFEGCTKGAEGNMLLCKGHGGGKRCLFEGGGLCPKSVHGGTSFCVAHGGGKRCSIPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTNFCKAHGGGKRCAWSTGCERFARGRSGLCATHGTLMASKQVPESEHNGGMIRNSLFSRMASTSSTTAGNSMDHDISSSRLGASSDCGEQLEDMLDFKLLIPHQVLVPGAMKPSSSRCNGQEDGGSQKQQCFGFVVPEGRVHGGGLMSMLGAGGNLDDPNT >LPERR04G22610.1 pep chromosome:Lperr_V1.4:4:20737332:20747443:1 gene:LPERR04G22610 transcript:LPERR04G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRASAGPPRPRSSQLPSIDPSRFSEPYSVPDSPFPGHRSHRTLLRLRPPATRVSLSGAAFSISCTVFPLKCMEEPPKDNGQSSFGSDPELESMVLDDGREGVPHTMADANEDSSMDVEHHSMDVIGSSSLGDDGKGKRDSYAQIPIDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFISHGLQELFDSLGEMTVEPSYDPSNRGPGGWRHAIIKFGRVKLEEPVFWAHGYDIDEESLKLKPRHARLQNMTYSSKMKVEVHIQVYSMEKSDKAKTGNDKFGFKKDIINETHYLNIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLSRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSTKSEDVSGGKIISFSFLYANMPIWLMFFALGISSDKDVFDVIDMQDCDASVINIIAATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPGISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHLRHAERLMVKALQRDLNSDRELQELDRYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVGTLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAATAIVSSRVAQPLVERFISCGMNKLHEIPTEEVQKMDKIFLNGDWVGSCTDPASFVLRLRCMRRSGLIEPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGGSYSFQSLMQQEIIEFIGVEEEEDIQSAWGIRHLFGSEGEEAPLVKVNKAENVFNVRRKIGEDVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFVRPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGSSLQSGDIVIGKVSETGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTAASFDVITDQLHKAGFSRWGAESVINGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQMCERVANVIMRPLPGGKKIRGPYCGFCSSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >LPERR04G22620.1 pep chromosome:Lperr_V1.4:4:20753088:20756788:1 gene:LPERR04G22620 transcript:LPERR04G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKAAVGSIVAAVGVVAVVCAIAAVTSSKKGGDNASLTANVRLSTVCSVTRYPGRCEQSLAAVVNDTADPEAVLRAALQAALDEVAGAFNQSAHVGKDDDGKITKSAIEMCKKLLDDAVDDLKGMASLNRDQVTEHINDLRTWLSGVMTYIYTCADGFDKPELKEAMDKVLQNSTELSSNALAIITRLGELMPPGQSSKANVSSSSPAGAHRRLLGLQGGEIAAVEGASLRRLLAVHDKLQEIADVKEASRHLLSETLDAISEMSHDASRRLLGVRFSEGAIDDADDGDDLPGRRLLSISLDDAESDVAAGGEHWQMGMSFDDDGDSADATGGEHRELNAVSDETAADAGEQHRQLEDDVTPDEFGGEQHRELEMTTNAAAAGEHRQLSMIFNAISNMTRKADSVKRRLLSMPDGAATEHDDGGGGGRALLSIQLGSIADLSAEMNRHLLAAEELPDDELAGKRQLLSTTLRVLSEATTDAKDQLDSIDNATLAPNADVAVIPDRILGEEFEEESKATPHHRLLTSNVVGTIDDIEHERHSRPKSSEFPDWAPAHMRRLLQLPGTQKPNAVVAKDGSGDFKTITEAVNAAPKNSPTRHVIYVKAGEYNEYVTIPNSVPNIFMYGDGPTRTRVIGNKSNKDGVATIATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVSGTIDFIFGNSAALLQNCLLTVRKPMDNQANMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPVRLTIASYLGRPWKEFARTVVMESTIGDLIKPEGWAEWMGDQGLKTLYYAEYANTGPGAGTSKRVAWPGYRVIGQAEATQFTAGVFIDGLTWLRNAATPNVMGFIK >LPERR04G22630.1 pep chromosome:Lperr_V1.4:4:20759873:20761055:1 gene:LPERR04G22630 transcript:LPERR04G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSTEARHDMVVINSGRRAAARSLSLPSVDRQRLRWKAASVLASLGISHGRHSGAFKHAAATTSVDEEMMMKSEHDRAAEAAAAATAAAKLVVVKPHTRTLTPPNEPEVINAWELMAGLEDDDPPITPRASPPMWMQSDMDVPIALEFDPEILSGFREALADADTSPSEPTTCSVTEQPPEKRDNTPISPATTTTTGDMPELSGIVRARINAFQEKIERRSSSKRGGARVAQMCPPPGGEGKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRLDERDVSMHAVFRAELLAACGGAAAAEDVHTMHEAGELARALEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKVFVDGDGEFRRCTGCNENGLIRCPVCCC >LPERR04G22640.1 pep chromosome:Lperr_V1.4:4:20761230:20765122:-1 gene:LPERR04G22640 transcript:LPERR04G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGYTVEVTNLSSRASESDLHDFFSFSGAIEHIDLIRSGEYGSTAYVTFKEPYALETAVLLSGATIVDQPVCIARWGQPYEPYNFWDTPNWYTEEEIEYRNYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALAKARAFDESHQVSATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAAKVVNGIMTSSYFSAGAMMLSDVLHRAAKAAEDLAAHDEALQV >LPERR04G22640.2 pep chromosome:Lperr_V1.4:4:20761230:20765273:-1 gene:LPERR04G22640 transcript:LPERR04G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGYTVEVTNLSSRASESDLHDFFSFSGAIEHIDLIRSGEYGSTAYVTFKEPYALETAVLLSGATIVDQPVCIARWGQPYEPYNFWDTPNWYTEEEIEYRNYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALAKARAFDESHQVSATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAAKVVNGIMTSSYFSAGAMMLSDVLHRAAKAAEDLAAHDEALQV >LPERR04G22650.1 pep chromosome:Lperr_V1.4:4:20772406:20772810:-1 gene:LPERR04G22650 transcript:LPERR04G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSLPSSSSRSSISEDQITELLSKLQALLPDQSQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >LPERR04G22660.1 pep chromosome:Lperr_V1.4:4:20782241:20788037:-1 gene:LPERR04G22660 transcript:LPERR04G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAGDGKAAAANGCDTAAAGEGKKRADQAVAFHELFTFADRWDLVLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVSFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIRENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMTGRTTVIVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQSNIYFSFCIIGSVQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSHELRVPEQQILRRSQTSGLLFGLSQLFLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTAIRGDIELRHVDFSYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPNGYKTEVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHG >LPERR04G22670.1 pep chromosome:Lperr_V1.4:4:20793845:20797601:1 gene:LPERR04G22670 transcript:LPERR04G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAAAAATIGIMDGAYFVGRGEILSWINATLQLSLGKVEEAASGAVQCQLMDMVHPGVVPMHKVKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERGLKGSNKSSKSLQANRLSSANSADGGPCVGKVCNAIAEEHYIEQIQQLSEKIAGLKISVDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAIKKILYAADARDSPLPGANEIITRSPGMFPDEA >LPERR04G22680.1 pep chromosome:Lperr_V1.4:4:20798002:20798964:-1 gene:LPERR04G22680 transcript:LPERR04G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFVGGGPDLHPPLGGGEPDLRHPRGGGDFGAVPPVTAVGYVCGEPSLSSPRGAGNLCIVPQIAARSAESDGTDSTVHITGADDAITNLPDTKACAASNSVSANSNTISPTLFEQLVSIATGGEGL >LPERR04G22690.1 pep chromosome:Lperr_V1.4:4:20802461:20804610:-1 gene:LPERR04G22690 transcript:LPERR04G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSATTAPSLRCCPCTTSAGASIRRSTGTRPDRVSAGLLRCSKRGNGGLNLSCAAASAAPAPKAPLPWWAKELKEEDALFFPLVDFNPAGKGREEIDAILSALLSVPLEPVLHALREIGTAGNLFRCRSFHIGTISGALLVIAGFSQLCSTAPTLFVDIVLGYMFYKLSILSAELQRNGRANNICARIQLVLIFILSFKDNNAFQGIYRILAESIWFLNVQLYFAIVFSEVTGTKHMRLFWLGVYRLLQTKGGLTKVIKSLIKDIKIEMTA >LPERR04G22700.1 pep chromosome:Lperr_V1.4:4:20809875:20810649:-1 gene:LPERR04G22700 transcript:LPERR04G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRCCPCPTSAGALIRRSIGTRPDRVSAGLPRCSKRGNGGLNLSWAAAYAAPASKPLPSPAWWAKELKEEDAKFFPLVDLEPAGRGLEEIDAIRNALLFGPLEPVLHALREIGAAGNLFRCRSFHIATISGQI >LPERR04G22710.1 pep chromosome:Lperr_V1.4:4:20810134:20812356:1 gene:LPERR04G22710 transcript:LPERR04G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRHYRIQSYCFNGVPMDAATAAGNCKAVTDAIRDIYAQEDMGSLVFEELYRCAYNLVLHGQGELLYTEMEKAMAAEVEGIRGSLSAVADDSGEFLQELLSKWHLHTKAVAAIRDIVKYMDRMFVVANGKASVHDLGVKIWRDSVVCSGDVMPRLVEAVRRERPAAEEPAGDVMAGVDKMLAELGDEVHRGVMDASSADE >LPERR04G22720.1 pep chromosome:Lperr_V1.4:4:20812919:20817284:1 gene:LPERR04G22720 transcript:LPERR04G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVKGLRRSLAAVADDSGDGGGELYLRELLSKWRRHTESVTAVRDMVMYMERTFVATSRKVLPIRELGVKLWRDAVVCSGDVMPRLVKPVRHARAAAEPGDVVMAGVDKMLVELGNDVYWQVMDASSRQIKVEPYYHRKMDADLTASSCKKVTDAIRETYAQGTENLSMEELYRGAYNLVLNKHGELLYSEVETAMAVEVDGLGRHAYDLVIRKHGELLYSKVETAVTAEAEGLCRSLVAVAVAADGNDDGEFLRELLSMWRRHTEAVASVRDMVMYMERTFVVNYHKVPIGDLGVKIWRDSVVCSGDVLPRLVGAVRRWQGAAEPSDVMAGVAKMLAELGDDVYHQISFSLIDEQNEPQ >LPERR04G22730.1 pep chromosome:Lperr_V1.4:4:20820646:20823121:1 gene:LPERR04G22730 transcript:LPERR04G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPFHDEPFHEERLKINRSWCKISENFPRSILYINGRTVALVLFLVATDAVRLASDVMVCAALRRGSEDHISLYTIKQTLSTYVSIMVTIVDDLSNSPNKINRKTFLSLLGSLGGIAAIVRPTLENAMRQTISFVMLVFVDREKALDQVKGRSRVLDDVTTTDSS >LPERR04G22740.1 pep chromosome:Lperr_V1.4:4:20828700:20832782:-1 gene:LPERR04G22740 transcript:LPERR04G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSSAAVFLIPASMPMPADGAVVIPASGAFTSPRDARRVVLAAAGGVIVLQPHGGGGGDLTRRRGVVCFQAGGTPSSGDDEPKIKYGVFKAAMDTFEDSLRPLALVNNVKVLKEVKYSAEGCSQLIEISSSFIPITQEQLKELVCAGEAFALLAGECREGYVRATSLVAATNGAKYMCKFSSVYIEFLPMQTATNTTVPVSSEDIDKENYFTELSMEFYSIYQHLRFHLYRHLGISYWQ >LPERR04G22750.1 pep chromosome:Lperr_V1.4:4:20831703:20831885:1 gene:LPERR04G22750 transcript:LPERR04G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARQSGQPAAPIYNGRRRHHASPSPPAGRASAAYGLPPRHTMPPPRSRHMHTAYLVVF >LPERR04G22760.1 pep chromosome:Lperr_V1.4:4:20834469:20842692:1 gene:LPERR04G22760 transcript:LPERR04G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKRTLKIDAFKHKVEADPKFFDKSWKKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYEKLTENLKGHLKEMCRSIEDAQGSLFLEELQRRWADHNKALQMIRDILMYMDRTFITSSKKTPVFDLGLELWRDFVVHAPKIYGRLVDTLLELIHRERMGEVINRGLMRSTTKMLLDLGSSVYQDDFEKHFLEVSASFYSGESQQFIECCDCGEYLKKSERRLAEELERVSQYMDAKTADKITSVVDKEMLSKHMQRLIHMENSGLVNMFVDDKHEDLSRMYNLFKRVPDGHSTIRSVMASHVKESGKALVSDPEKIKDPIEFVQRLLNEKDKYDEIISVSFSNDKTFQNALNSSFENFINLNNRSPEFISLFVDDKLRKGVKGANEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTVQSFYANLSGDTDGPTVSVQILTTGSWPTQPCTPCKLPPEIVDISEKFRAFYLGTHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSADGLTYGDIEQATAIPHADLKRCLQSLACVKGKNVLRKEPMSKDISDNDTFYYNDKFTSKLVKVKIGTVVAQKETEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIITEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >LPERR04G22770.1 pep chromosome:Lperr_V1.4:4:20844706:20848615:1 gene:LPERR04G22770 transcript:LPERR04G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLGVMKARLIGATRGHALLKKKSDALTVQFRAILKKIVEAKTSMGDAMRNSSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFTHFVDPAAGSAAGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMIAAKQFAEEQLAEEVALKRGISVGAATNMLVAVVETISCDAGAPESHLFIEWRRFCFRQ >LPERR04G22780.1 pep chromosome:Lperr_V1.4:4:20848536:20851883:-1 gene:LPERR04G22780 transcript:LPERR04G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAQRLLLSQRRLPPSHRRRFTPVSSLPSAPAKTLAPSSSILSIRESLLSGERTAAEITAEYLSRLRRTEPSVRSFIHVADADAEREAEELDRRIASEGQDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASSVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVRDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIRTAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDFNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFQEALERYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVDGGSAGLPVGLQMIGSPFSEGNLLRAGHIFEQTLQNISFVPPLLAES >LPERR04G22790.1 pep chromosome:Lperr_V1.4:4:20853873:20860499:1 gene:LPERR04G22790 transcript:LPERR04G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLTGCGSATPSLSISNDDLSKIVDTSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQILSEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVIGADALSQFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNCVSSNIESILSKTNGVPSFPPKKATFSRIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAILKWG >LPERR04G22790.2 pep chromosome:Lperr_V1.4:4:20853873:20860499:1 gene:LPERR04G22790 transcript:LPERR04G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLTGCGSATPSLSISNDDLSKIVDTSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQILSEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVIGADALSQFVDWTDRGTCILFGDAAGAVLVQSILSKTNGVPSFPPKKATFSRIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAILKWG >LPERR04G22790.3 pep chromosome:Lperr_V1.4:4:20853873:20859624:1 gene:LPERR04G22790 transcript:LPERR04G22790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLTGCGSATPSLSISNDDLSKIVDTSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQILSEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVIGADALSQFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNCVSSNIESILSKTNGVPSFPPKKATFSRIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAILKWG >LPERR04G22790.4 pep chromosome:Lperr_V1.4:4:20858361:20860447:1 gene:LPERR04G22790 transcript:LPERR04G22790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREYYIVDDDGALDGVGGNPGAVLENLEAADAVLEDEGDAVAVLVLADAVVLVRHRREVAQQRHEVEAAVAVVLLEEARLEAHALVQDLDQHSQLGQLDVALL >LPERR04G22790.5 pep chromosome:Lperr_V1.4:4:20858978:20860447:1 gene:LPERR04G22790 transcript:LPERR04G22790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDKMIKVDDDGALDGVGGNPGAVLENLEAADAVLEDEGDAVAVLVLADAVVLVRHRREVAQQRHEVEAAVAVVLLEEARLEAHALVQDLDQHSQLGQLDVALL >LPERR04G22800.1 pep chromosome:Lperr_V1.4:4:20859269:20862527:-1 gene:LPERR04G22800 transcript:LPERR04G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDKNGAGALPVVDLAPFLTTGDEDGTTAVREACREYGFFRVVNHGVPPQLMARALELSAAFFALPDEEKAKVRPVEGSEAPLPAGYARQPAHSADKNEYLLAFDPQLGFNRYPSEPSGFEGGFLELSDVHLLLLLLIREELEECYVKLTKLGVLIQILNECMGLQPGFLKEYNSDRSFDFMALLRYFPAMADENNGISEHQDGNCITFVLQDSVGGLEVFKDGAWVPADPVEGTIVVNLGDVIQVLTNNKMKSAMHRVVRMPEAHRHSLAFFFNVHGDRWVEPLPEFTEKIGEAPRYRKFLYREYQQLRMRNKTHPPSRPEDVVHITHYAICITKRMAIDPSNVDPHFLRRLLSSTEVFPEG >LPERR04G22810.1 pep chromosome:Lperr_V1.4:4:20864187:20870875:1 gene:LPERR04G22810 transcript:LPERR04G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAQRVQYIDGQREMFKRLDESSPRSSVPSEVGGRSSLWFSMPSFDWMTRSFLSGVRKGSGRLKSLRQSLKSGAPKTAFAEDLKSSRKAIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDDESRCIGIDKKLAVTSTIIRTILDLVYLIRVVLQFRTAYVAPSSRVFGTGELVIDPMQIAIRYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVPSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDACWRSNCHDSDGCHKSYLYCSDNYIGNYNSWLNKSEEILSPCNGTSSFKFGIFEQALVSGILHPGNFISKICYCFWWGLQSLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMGERLLDAICERLRPTLYTENEHILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYFKRKMAEQHRKEEEAANRQSSSSSSSTSSHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >LPERR04G22820.1 pep chromosome:Lperr_V1.4:4:20871153:20874881:1 gene:LPERR04G22820 transcript:LPERR04G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFRRRHRLPFSTLHAPAAAAAAAEATATPPSPLDAAAVLETLSLYANDWRRALEFFHWSASPAGANVPPTPATVARAVDILGKHFEFPLATSLLVSHHDPGRRRVDPSFLRPALRSLLNRLAAANLVEDAVRAFDSTAESIGLRDEASFHALVDALCDHRRIDEAHHLCLGKDPPPPFPPVTKTYNLLLRGWAKTRAWARLRDLWFDMDRRGVAKDLHSYSIYMDGLAKSGKPWKAFKVFKEMKQRGMTIDVVAYNTAIHSIGLAEGVDSAIRLYRQMVDAGCKPNASTFNTIVKLLCKEGRFKEGYAFVQQMHKAGIEPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCRPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNALIDALLEKGMVDLARKYDEEMLAKGLSPKPRKELGTKLPEVESDSDNALSGSRRKQVLEGVTSTDLQR >LPERR04G22830.1 pep chromosome:Lperr_V1.4:4:20878972:20881078:1 gene:LPERR04G22830 transcript:LPERR04G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQHCTSDGHCNSGKAKRPLVQAEAGAAAKQMQQQKHQSLERPDDVNQISSSSTTTAAAATYPDILDIAGMDYSPATRKPPIHN >LPERR04G22840.1 pep chromosome:Lperr_V1.4:4:20883949:20886693:1 gene:LPERR04G22840 transcript:LPERR04G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSPVVVGGVVVYVVLLLSFLANVRVSDASFDGKRVLLSDEQGKRGLLETWRRLIVETPLPPAGSAPPPPANNSLALAAARTHRSDPLSNLTMYSGGWNISDQHYWASVAYTAVPLFFLAVIWFIVFGIVLLIISCCCCFCRNKSNSYSPVCYFSSMTLLVVFTVATIAGCVVLHCGQELFHSSTIKTVDYVVGQGNLTVDNLRNFSGCLAAAKNIGVDQIFLPVDVQQKIDVIEEKLNSSANIFSARALENSNKIKTVVDGMQYHLMVLGTIMLSLAVLGFLFSILGLQFLVSLLVIAGWVVLAVTIMMSAMFLLLHNVVADTCVAMDDWVTHPQDHTALDDILPCVDVSTANESMYRSQEVTAQLVALVNNVIVNISNRDFPPTLRPLYFNQSGPLMPTLCDPFNPDMTARTCAPGEVTFLTAPGEWKKFECETTGPPGEEVCATQGRVTAAAYGQMTAATSISHGLYQYLPFLMQLQDCSFVRETFTAISDNNCPGLERYSRHVYLGLFLISGAAMMSVVFWMVHTRQRRRRSRSKMM >LPERR04G22850.1 pep chromosome:Lperr_V1.4:4:20887626:20888225:1 gene:LPERR04G22850 transcript:LPERR04G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYEWLTAAALDPAVAYEYALVFSRNELEADDVAYFDHEFLHSMGVSVAKHRLEILKLARRSRRLRLRTRLVAVANRVARYVRALAVVVHGSASASASAAGEALVLMPRMSPSPTQQLMQMRLPHDEVVVVVDKTPRRSMSKPKPSSKEVAAGAAVTPKATRSPVLAIGGRGAATVHAEKGVEDLVRWDRLFQDLKPN >LPERR04G22870.1 pep chromosome:Lperr_V1.4:4:20896362:20902308:1 gene:LPERR04G22870 transcript:LPERR04G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEATPPPPPPAAAAAERIRVVGAWAGTLEVELGAWTVPMLRAEVARRAGGGVEPDRVNLIFGGRVLKDDPPASLQQAGLKANSKVLSTLLAPDRGKAIAAQAAAAAAEEEHSNRLVRLWDAAQALSQRHSDGSFPEEDFNLDLEDQSGQKVMFGSVDDIKAVKMALMLHQKAKTFIKREMHKEALDVLVMAEEAFSLCDPKLIERVDNVPMLELDIVWCYFTLRDVSRLEVAGARLEKARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNIEKARESLTSAQAKYMQLQVPDEAISILMSMGYGERAAKRALKMTGYDIQSSVDLLCEEREKKIRRTQEDLEMNKEIREQRKYGKTPMNKAVNMQKLKGLVTIGFEKKLAAEALRINENDADKALDLLTDPEQNCALQNVILSKAKRSNRGSAGSSSSRAAAATTASGVNSSQAPVDTSTNNPDGSAMEGSRGSAAINGEAANSGEAASNDETVNAVEETVNAANNGEAASNEEAEEEAMSDESAEGDEEANPPPRRDVAMEHELANELTGNALDDYDIDVSKEGQAISEYLSLLDSAAKA >LPERR04G22870.2 pep chromosome:Lperr_V1.4:4:20896362:20902308:1 gene:LPERR04G22870 transcript:LPERR04G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEATPPPPPPAAAAAERIRVVGAWAGTLEVELGAWTVPMLRAEVARRAGGGVEPDRVNLIFGGRVLKDDPPASLQQAGLKANSKVLSTLLAPDRGKAIAAQAAAAAAEEEHSNRLVRLWDAAQALSQRHSDGSFPEEDFNLDLEDQSGQKVMFGSVDDIKAVKMALMLHQKAKTFIKREMHKEALDVLVMAEEAFSLCDPKLIERVDNVPMLELDIVWCYFTLRDVSRLEVAGARLEKARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNIEKARESLTSAQAKYMQLQVPDEAISILMSMGYGERAAKRALKMTGYDIQSSVDLLCEEREKKIRRTQEDLEMNKEIREQRKYGKTPMNKAVNMQKLKGLVTIGFEKKLAAEALRINENDADKALDLLTDPEQNCALQNVILSKAKRSNRGSAGSSSSRAAAATTASGVNSSQAPVDTSTNNPDGSAMEGSRGSAAINGEAANSGEAASNDETVNAVEETVNAANNGEAASNEEAEEEAMSDESAEGDEEANPPPRRDVAMEHELANELTGNALDDYDIDVSKEGQAISEYLSLLDSAAKA >LPERR04G22880.1 pep chromosome:Lperr_V1.4:4:20901454:20902230:-1 gene:LPERR04G22880 transcript:LPERR04G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVTAMLLLAMMALSSTPLALACNSCSHGGGGSAPSGGGSSAPSGGGGGIVGGITPVVGGIVGGVAPVVGGVVGGVAPVVGGVVGKVTPVVGGVVGGVAPVVGGVVGGVAPVVGGVVGGVAPVVGGVVGGVAPVVGGIIGGGSGGGYSPPKRHGGRKACPPPPYTPPPTPSYSDKCPIDALKLGVCVDLLGNDIHIGDAHVQCCPLVKGLAGLSAAACLCTAIKAKVLDLSIYVPIALKLLVNCGCEVPPGYDCA >LPERR04G22890.1 pep chromosome:Lperr_V1.4:4:20904345:20904752:-1 gene:LPERR04G22890 transcript:LPERR04G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRDAAATAATALAMAIMLATASTTLSSATAMPATPRRALWGKDMPVNPFCPWDAVKFGACAGVLGVVSAHAGASLGSKCCALVDGLAAAEAAACLCTTIKETVLGIPTQWTVGVSVLASTCKTELPDGFKCV >LPERR04G22900.1 pep chromosome:Lperr_V1.4:4:20909184:20913960:-1 gene:LPERR04G22900 transcript:LPERR04G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPCTTTAAAAASSPLSLPRSACRTRSAIANANAAGWRVRGGAARRSLVVAASSSSGSKDETAKGEKQQEEEESEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARRGTVVFIHGAPTQSYSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSFDELLGTLNINEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLQSGAPGFALLEAARKANFRDILSRISAGFSSNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRFFL >LPERR04G22910.1 pep chromosome:Lperr_V1.4:4:20914098:20919891:-1 gene:LPERR04G22910 transcript:LPERR04G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSDSQDMIFQVYPPRKRKILDSVQYSESNMVVSHGSGLVTTANDSHRGEESFIKSPAGLSSILNNSQGFAPQNIPPGTTELSGITCTASPSKHNQTTTPSKPVHPHITFQLIHTEVESSHSCAKHADSTDQSQTAVAQKLLLSCKKGDQSILATVHPSLSLSYPDKIQQKEGIPSYQVVKHDVQDSTKEDDISSTLSPHSSDDEIESVVGLLSPSVYESLWDGTIQLSSTVKASVIAFFKSGEKNHDITWPKIIEIKGKVKLDAFEKFVQDLRRCQTRSLMVISLYWKFGTSKAGLQGMKEVAQNFEVNQKVGFADICDGSSLYVCPRSDNVITILAKCGFFKGMSAVDTNQDSLIGCIVCRRNPLSKIMDHNMSETIGKGSPCVPQVGTMSPTSLPFSDGQQAIPCFNNPRMYSSGLTSKIHNDIDQTQEIQSTSVKTHAVATGLPSQCGHGERTSERVHPTEKVPPFKSASLEVQEIQQHVQPITDSHSGSFGAGHGHSTSHYQPKKTGAQGLSLKSLDAQQRTICYDLVAQKNHMVEAFSGSITQQEYASNAAESSKLQENNSFLLQPNSYGAHRTNDTDFLKGETNDTDDLPEFDFANVHKVEVKYDVHPLNRETAITSSVLIRPKLPEKKSSKPFQQSSQPPFQLEGVKNHEKVEVKGNVTDMMSREITDKNKDAIDAEDTPERHFQGLDDEKLRQSGSNKQLSPSLKTPTGASMPSQAFPPITQRSNSPLEVEDISSGHHPYMPPGCLNIPRDQGSFPRHHPPDQQLAARHSPEDQEFAPHHPPPALVGQGFASWHPPPVPADQGFVPRHPPPALADQGFVKTYILGVLRFMQIEAHFLIIRFHMLDILILHSLQQFCHPPPPLAPGVQGKNTITIGMHIPEDQMVHPVLAVSTYQAIAPALEMDQPQEE >LPERR04G22920.1 pep chromosome:Lperr_V1.4:4:20927124:20931889:1 gene:LPERR04G22920 transcript:LPERR04G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQTGGDGGGEADPECGDIEAPLLSSGSSFFQDADGEGGDEEQRRRRRRFLLAGRSQSNATSQVALVGVGVCPIESLDYELIENDLFKQDWRARGRGHILRYVALKWALCFLVGALSAAAGFVANLGVENVAGAKFVVTSNLMLAGRHGAAFAVFLLSNFGLTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKVVGCIAAVSSSLHVGKAGPLVHTGACIASLLGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMYDVTSDYITYHLVDLPPVITLGVLGGILGSLHNFFLDKVLRLYNFINEKGQKNKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSTALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANVYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQTFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPILYGLVLRAHLLVLLRKKDFIHNCTASALDASKHFLPDDFAKRGSGKHDRIEGIELSAEEMEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPLLFKSRWKKVRFGKSAFTNLL >LPERR04G22930.1 pep chromosome:Lperr_V1.4:4:20934975:20937546:-1 gene:LPERR04G22930 transcript:LPERR04G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMTSPTMAPPRRCSSPAAGPMVPLIPRAAANVGFLLRRRPRRSSTVKLFCSAIPPSSPPNRRRRLPWWAEMLTPEEISAGDRVKVKPIGGGKEEMEAIWKALITEPLQPIVLAVSEIRASGHFFRHHSFHYGIIAGPLLVIAGFCQLGKLVPTLFVDMILGIIFYKLSVLAAELKRNGKENNICTRIQTVLLLILSFKDNSAFLDNYRIVTELVCPLFNYITFFGIYVYFPAAYYEIVGMEDPRLHMLGIWRILQTKGGVLKERINFSEVKNIGRLNGRSEAPSSDASGPLGCGALIRQPHHPCRCTVCESAVSER >LPERR04G22940.1 pep chromosome:Lperr_V1.4:4:20937967:20943775:1 gene:LPERR04G22940 transcript:LPERR04G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLVLGIAAGVALIVGFARAENSRAARRRQLAATIASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELIKIWPFVNTAASELIKTSVEPVLEQYRPMVLASLKFSKLILGTVAPQFTGVSIIESDESGIVMELEMNWDANPSIILDVKTRLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPAGTLEVKLVQARDLTNKDLIGKSDPFAIVYIRPLPDKMKRSKTINNDLNPIWNEHFEFTVEDSDTQTVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHIELLYCPFNMKDETPNPFRQQFSMTSLERTMTSMENGSGGADLNRLSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFRRDYMGRCILTLTKVLMEEEYKDSFILEGAKTGKLNLHLKWSPQPIFRDSREEDSPRFR >LPERR04G22950.1 pep chromosome:Lperr_V1.4:4:20946550:20959778:1 gene:LPERR04G22950 transcript:LPERR04G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHRGRGVRDGGGGGGASPAASGHRRLHHRVRQAPRRRRRQAQALQARASGDAAVSLPARARRPRRRXAAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSSGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWAGNGGGNGRDGKYYRRRWAKEFSILARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLVDLPVNLTSHQVVPDGSLAIEERVGDLLITVKKDQADASLKLEDKVDGVAFYQTSGMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDVCDHLDGILNVDVDDFPDGGSNALNINSLRIPLPKVVNSDIASSTQCPTPQSHGDNHARKLVHKILEDSLMKLESIPANNPRTIRWELGSSWLQHLQKKDSPASEDKKNAGHVKKEPSVKGLGKHFEQLKKIKKKECHAEGAISEKEDSDNKCSVLNGVEESENTKETDISRLMLEDDFCRLKGLEAGLHQKSLEELTVMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQDMSAAIAETLNILFGCARPESDSETDAHSEHNLRLKWVESFLLKRYHWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKCRRAKNNTRAHQGELVEEKESFEHDLGPVHEANKEEFHQVKLKAHSPVVSEENYAVHDELKQVEALSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRAGSAYRAGVQTKGDKEDAINSSSQLSFGSFLKTDKMNGDPSITEDKFFNSMSKPERGTKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKETKEKDNGQNTELIVSSEEEDKKLTDEDEEKEKPDNGSSKEVLSSEPEESSHEEKAPDSNSDESATESKKKSGSKLSASAPPFNPGSVLSMSHPYSTVAIYDASVVLQPIPSQSMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTIVRGSNSPTTMNPHAAEFVPGKTLQQSDVANRELSPDVSVTDSADQQLSPQTSDDVPAAEQAVLGESTSPGKGKENRGKDAMRNSCKTELARQILLSFIVKSVHDSLGSTATQSDRKPSGPDEAGNAQSSNITKSPSGRKDPDKQQKAAVVPKSEKDTEGFTVVSKRRRSKQHFVNPIHGLYSQQSICTSVS >LPERR04G22950.2 pep chromosome:Lperr_V1.4:4:20946550:20949018:1 gene:LPERR04G22950 transcript:LPERR04G22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGGKGDKKKKEEKVVPSAIDVTVVTPCESQVTLKTRGQRLEDGVEIVSLKPCSLTIVEGRFMVKESAR >LPERR04G22960.1 pep chromosome:Lperr_V1.4:4:20962715:20963278:-1 gene:LPERR04G22960 transcript:LPERR04G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHATRVLSSSAPAVVVDTNTTFVQADPATFRALVQKLTGAPGSSSSKGGGVAQRQPAAAARRPKLQERRRAAAPARLELARPSPMYYSHHHHRLMHSPVSPMDYAYVMAAASSSSSSSAATMTPSPPASASSCGVVITKEDEEREEKAIASKGFYLHSSPRSGGAGDGERPKLLPLFPVHSPRS >LPERR04G22970.1 pep chromosome:Lperr_V1.4:4:20966967:20967686:1 gene:LPERR04G22970 transcript:LPERR04G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTTETTTNVHHLHVPVANHTLTVIERDATHDPTTGHALTGSWLWDSSLVLASHLATLHHLVNNATVLELGAGATGLPGVASVACHGAARCVLTDLGPLLPCLRLNALANGLVPDLQAYVRELRWGEQLETDLRIDVVLMSDVFYDPGDMPAMAATLRGVWREDDGGGGTVGWAASESRDGVEECVEVLREEGFEVDEVDRVTRPLLRDGEQVADFAVFRLRRRQSAAATAEVDFTL >LPERR04G22980.1 pep chromosome:Lperr_V1.4:4:20967096:20971437:-1 gene:LPERR04G22980 transcript:LPERR04G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDIICNLLRRYSSSSRASKVMNKSSFGGSAPLKNGEFQKMLEMESQKTLVINYTDVDIPVIEEAKPLICGINECDDVFKEQELSTKQIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEVSNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFSMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYIFGSLQIGDEPIGEGVEPEAREEGTQVREHAAGGAVACDGCDAREARGAGAELEDGGVVDEVVERGEVGGEDEGGVPEP >LPERR04G22980.2 pep chromosome:Lperr_V1.4:4:20968391:20971437:-1 gene:LPERR04G22980 transcript:LPERR04G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDIICNLLRRYSSSSRASKVMNKSSFGGSAPLKNGEFQKMLEMESQKTLVINYTDVDIPVIEEAKPLICGINECDDVFKEQELSTKQIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEVSNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFSMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYIFGSLQVFSGFVIANLADRFSRFLGL >LPERR04G22990.1 pep chromosome:Lperr_V1.4:4:20976248:20979209:-1 gene:LPERR04G22990 transcript:LPERR04G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSPSPSPSPRKRRRVEYRAWAPDPAEFALMTAAADPTTSASSAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLSDRHNRYLCPRQTPMRRRSAEASGDKAACLACDLDQIYSAAFSGDRAPYSPANFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLELDAGYNSSLGVANPKLHVRNGERSSGGSNSKVSTLMRCLERFTRAERLDADQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMSPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTIRTSQAYMLFYVQKTLYYKACERATAV >LPERR04G23000.1 pep chromosome:Lperr_V1.4:4:20982918:20986214:1 gene:LPERR04G23000 transcript:LPERR04G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTPRSDLDLSVNFTDDTGDKWNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQMQMLSYLVKFWAKIHDVNSPRERTMSSMSIISLVAFHLQTRNPPILPPFSALLKDGSDFASVERNALSFEGYYQWIAYRSMGYALATLKHHGSLRPGRKELEIKMLKISWTGHNFARSVGKKEMQKISRCLRDCALNFLDFMKGKLEISKLKILLFGRLKPYELVSKPRLKCGKRERKAQTSPNRRYGLQKEKHAVHQVVQQHPTQAKVSTQCVNKPKPWLVIIPSGFGYSLSVQLPMAPQLSRGLLGPPPPFNLVHLNNGAQLQQQGPLLPLPSKQAVGSNSGVSYAGAQQLQRSEN >LPERR04G23010.1 pep chromosome:Lperr_V1.4:4:20990721:20991134:-1 gene:LPERR04G23010 transcript:LPERR04G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEPSPSSPHRRYHLAAAAVLGAAACRLSSAASVLALRASPLLYSIIPSPPVHASGVVATSAYPATSEGRGGRRPKPLSSHPGTAAAGRSIAPEPGSGGSARPHPRGDIEGGQRDERRWGGGRRRKSSSPTSTAGG >LPERR04G23020.1 pep chromosome:Lperr_V1.4:4:21004351:21005805:1 gene:LPERR04G23020 transcript:LPERR04G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDDLSALRQSSIAMQNTITDIKDGLDKVTELVWALRAAFEAKETASPSPASSPQPAPAPRSGSLDSTVGLPPTTQQPLAAAPISQPSPVSKAVVVLSCLTTVQPAFAVSPSSHPPPRNAVARRCHVLFRPTHQIFKRRASRTTPGTRRQPDPCRQDPLPGGSYPRAWPWQRRRLFCTHRKRRWRLPRAASRSRRLPRAPSRSRPGDASWRPRAVLRFMAALFSRAYGVRRLGLGTSCAAWLPDARLRQEARRRFSHATGAELHSLEPGFPHGDAGPHGPQYGGLRGEEELQHRSFRAAGARLGFLLAALAGARLPCNMRAGWVHGISASLVRLVCSNAYAVDATICFTATGAAHAAPWAVLGVKMCWPSRCRTIPHQSQQGWLLGPAAQLAVNPLRCYWVSRLLRGCRALLSLKGLGWGPPRHHDSRATSKSRVLLDLFSFQNNLTSRDVKGLLLEDEFRHQFKRRLLRTRPDCSSRASCI >LPERR04G23030.1 pep chromosome:Lperr_V1.4:4:21012459:21014098:1 gene:LPERR04G23030 transcript:LPERR04G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGMRARATLDFNRIATSITNEINDCERKNNETCIFSIQRVTEHIIDVNRKAYEPTILSIGPYHHGIETLCDMEKEKWSCLDFILKMNCERSLKDYLTVIAQHEKIARNCYSEEIKLDKKRFIRMLLLDGCFILVFLNGIETATGASNETASTCSRIRLSYEENQQSFIAGKSQLGRKYLRYSSSSEDNQQQRHMLPIQQMDCFQAEHLPNRWRQAVQYHEAGVTLKKREYDRKNRHSLLDVKFSNGEIEIPFLPIDENTESLLRNLIAREQTDLRFGNDITAYICFMSQLISTPEDVSLLSQKGIIVHVMDSDDEVSALFTWLIKQVTINADGDYYLKSLCHQLEVHYQSRLNRWIAWLWLNHFSNPWLGMAVWAAAIMVICTVVQTIFTILAYLKPPA >LPERR04G23040.1 pep chromosome:Lperr_V1.4:4:21016438:21018813:-1 gene:LPERR04G23040 transcript:LPERR04G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSHSVICSLETRVTTFSLRGKASAADDDTMVVPAPTSGTKSTYRKRGCPSQASPTAKKLFKEGDNDEDEVIVRYTPPSTIVFIGL >LPERR04G23050.1 pep chromosome:Lperr_V1.4:4:21021939:21026027:-1 gene:LPERR04G23050 transcript:LPERR04G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAEAEGVYVASIDQGTTSTRFIIYDRHAKPVASHQLEFKQHYPEAGCVEHDPMEIMESVKTCMAKALDKATADGHNVDAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESELSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGTGGKDRDGNQVFGQHVTDCSNASRTMLMNLKALDWDKPTLETLGIPSEILPKIISNSERIGVVATGFPFAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIISTAAEIEKLAETVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGAAINNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEQIFAGLHKENTTVFRPQLDETRRKKRADSWYKAVSRSFDLADLSL >LPERR04G23060.1 pep chromosome:Lperr_V1.4:4:21035058:21036095:1 gene:LPERR04G23060 transcript:LPERR04G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRFVNLVLGECGRVQENFTVRRIDMGRFFLPSTLATPLDAAESHGAAEYGNLPCPVLSLCPPVPMCGANQTMEFMLLGGRHNKIVATDLTGRNLLYDPDEHVVRSMPTFPMPKLSAVSLTVGDDDLYVLENIQGPLTGGHDHCFHALTYKPSLVDDWCCRTLPPPPYEVLELPPVFQVDTYTVVDDVEIWISKPHIGTYSFNTESGQWRTVATDWTMPFTDLAQYVPKHDLFYGLSSDPNVDNVLSASDLSRCEPEQRILFPREYTPLPNAPTQVSSWLVHLGSAKFCIARFFETDQDHQQLFAVFTAVEVERCDSGAGVLRLVKHKSEMYKLLNGTMYWVL >LPERR04G23070.1 pep chromosome:Lperr_V1.4:4:21038605:21045992:1 gene:LPERR04G23070 transcript:LPERR04G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDDLTSFDATSSESETVSSVVKSGRYAPGYVYFSLFEMADQEGGPAGVCWWVGGLAIAKLFATEGPKLHTRNNGARAGFLHQLRDGGQVDGADRAHRPEVVIVPSRRGSIAPPLGCPRLAASIHRTSGRGIPSSGLGDLVEGDGHGNFFWIRPAAAGLPPSRGCESGSRFVYYSDDIFIESSSNSRFVLPHAEDGWRLQPMKIRFGVGDNITARSNKRFRDGELLLGLRVALKRPMSMSSPRRHHTDRAAFVRPTSFREYNMSRSWSSSQGPNTLHNMDDAWNVPPQPQPQLQPLPRKIDIPPASNASMSSRNTINEMVLSMKFDPIQYAKANKLVETFKVLGGTGEFSKLREPTKHLYDSLLQLVESYHDNDLCFSQISESTVLITSDWKFVLIEGTFALVNWTIEGANMNYRDIASLFRKLIHVSVGWRIVLEDFEMLLHAMECNGSMNRPVINQHASLLPICNTSAAYMSMYELLRKILPAKGRAGVMCRTSRSGNLRSTKMRLLPYRRTWVLRMVCNDFMLEFLKRTYKHGQLSASGLVTNRIDRNFQDTDLEFLDIIRHLFCHRMDMFPLCFNYIPIHVDLMCYALFTKLLAKLQQIVFSMSEWSMNDGGAEWELKCLRYEISGAEGNLIKLNSEAMRTKGSSEVANLTAAEQYMEAFANLAKMNGSILLPSDAGNPSVLIIAQSMQIYNNICLTKSLKSGRFSLADAPEETEPDEEDVDSTGLPSLSSGMPTPHNSEDQNDKTSLQRHHRDIP >LPERR04G23070.2 pep chromosome:Lperr_V1.4:4:21038484:21045992:1 gene:LPERR04G23070 transcript:LPERR04G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDDLTSFDATSSESETVSSVVKSGRYAPGYVYFSLFEMADQEGGPAGVCWWVGGLAIAKLFATEGPKLHTRNNGARAGFLHQLRDGGQVDGADRAHRPEVVIVPSRRGSIAPPLGCPRLAASIHRTSGRGIPSSGLGDLVEGDGHGNFFWIRPAAAGLPPSRGCESGSRFVYYSDDIFIESSSNSRFVLPHAEDGWRLQPMKIRFGVGDNITARSNKRFRDGELLLGLRVALKRPMSMSSPRRHHTDRAAFVRPTSFREYNMSRSWSSSQGPNTLHNMDDAWNVPPQPQPQLQPLPRKIDIPPASNASMSSRNTINEMVLSMKFDPIQYAKANKLVETFKVLGGTGEFSKLREPTKHLYDSLLQLVESYHDNDLCFSQISESTVLITSDWKFVLIEGTFALVNWTIEGANMNYRDIASLFRKLIHVSVGWRIVLEDFEMLLHAMECNGSMNRPVINQHASLLPICNTSAAYMSMYELLRKILPAKGRAGVMCRTSRSGNLRSTKMRLLPYRRTWVLRMVCNDFMLEFLKRTYKHGQLSASGLVTNRIDRNFQDTDLEFLDIIRHLFCHRMDMFPLCFNYIPIHVDLMCYALFTKLLAKLQQIVFSMSEWSMNDGGAEWELKCLRYEISGAEGNLIKLNSEAMRTKGSSEVANLTAAEQYMEAFANLAKMNGSILLPSDAGNPSVLIIAQSMQIYNNICLTKSLKSGRFSLADAPEETEPDEEDVDSTGLPSLSSGMPTPHNSEDQNDKTSLQRHHRDIP >LPERR04G23070.3 pep chromosome:Lperr_V1.4:4:21040639:21045992:1 gene:LPERR04G23070 transcript:LPERR04G23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDDLTSFDATSSESETVSSVVKSGRYAPGYVYFSLFEMADQEGGPAGVCWWVGGLAIAKLFATEGPKLHTRNNGARAGFLHQLRDGGQVDGADRAHRPEVVIVPSRRGSIAPPLGCPRLAASIHRTSGRGIPSSGLGDLVEGDGHGNFFWIRPAAAGLPPSRGCESGSRFVYYSDDIFIESSSNSRFVLPHAEDGWRLQPMKIRFGVGDNITARSNKRFRDGELLLGLRVALKRPMSMSSPRRHHTDRAAFVRPTSFREYNMSRSWSSSQGPNTLHNMDDAWNVPPQPQPQLQPLPRKIDIPPASNASMSSRNTINEMVLSMKFDPIQYAKANKLVETFKVLGGTGEFSKLREPTKHLYDSLLQLVESYHDNDLCFSQISESTVLITSDWKFVLIEGTFALVNWTIEGANMNYRDIASLFRKLIHVSVGWRIVLEDFEMLLHAMECNGSMNRPVINQHASLLPICNTSAAYMSMYELLRKILPAKGRAGVMCRTSRSGNLRSTKMRLLPYRRTWVLRMVCNDFMLEFLKRTYKHGQLSASGLVTNRIDRNFQDTDLEFLDIIRHLFCHRMDMFPLCFNYIPIHVDLMCYALFTKLLAKLQQIVFSMSEWSMNDGGAEWELKCLRYEISGAEGNLIKLNSEAMRTKGSSEVANLTAAEQYMEAFANLAKMNGSILLPSDAGNPSVLIIAQSMQIYNNICLTKSLKSGRFSLADAPEETEPDEEDVDSTGLPSLSSGMPTPHNSEDQNDKTSLQRHHRDIP >LPERR04G23070.4 pep chromosome:Lperr_V1.4:4:21045510:21046906:1 gene:LPERR04G23070 transcript:LPERR04G23070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLYLQNNNFSGEIPACVFTDFPKLWILRASNNQLGVWCLVLDLSGNHITGNIPQMICSLASIEILDISNNNLTGPIPRCNSASLASLNLYGNSLSGDISDDLFNTSNLMYFDMRHNKLTGNLSWLGHLDNIKTISLGWNGFEGQITPDLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAQNPSLFLLFYIIIEAYISVYDPIDFTFTTKGGQYTYGLNFFNLMSGIDLSGNMLSGEIPWELGNLSHIKSVNLSNNFFTGQIPASFANMSEIESLDLSNNLLSGSIPWQLTRLSSLAVFSVAYNNLSGCIPNSGQFGSFSMDSYQGNNNLHNMSEGNKCSPGNKGAGNLPSEGRDSMTDDPVLYAVSAASFVLASWATVAFLFFHPLGRSVILASGNMVLWSGH >LPERR04G23080.1 pep chromosome:Lperr_V1.4:4:21049677:21053594:-1 gene:LPERR04G23080 transcript:LPERR04G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLIQSIDFPDDAATGVAIAAGEVASTSHPLSPRSGDPLPSTTIAVASVTSPLELPGATSAAPARNPKINHTRGILHLYRSSSASSTASYASAVAATPSSSSSSGPAAPQLASDGLLPPWRGTHLLVLAVPTCVSPDDFVRFCGPYIEHANDIHVIRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTELAVTPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKDTQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKNSKFKSKCKYSGDNCTNCSINDDEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEREQRISDAVDKVVNDKIQEIQLKMENAKFEKKKIADMNEKLTKNQDIWRRTLKEIEERERTQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDIKGGLLVPLPMVPDSGTKGKRSSRTSKRRN >LPERR04G23090.1 pep chromosome:Lperr_V1.4:4:21054589:21055338:1 gene:LPERR04G23090 transcript:LPERR04G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGMSRLAVGVSLMVALSLALFLTIAVLLLADLFCSHLRERRIRRAEEEASRWRRAKRGLAADDEDAASSVATATTAREAALSSTPPFYYAHGVMQAADTKDILLAIPKLESAVWRWSPARRSSPSSSSSSIDRFVCISNPVYERGAAAAAGGGGGGDTPPFETPDASPSPAGITEEMEEGGEFSPPLSVMRKLPPLGVVACPPPAMGFVGGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >LPERR04G23100.1 pep chromosome:Lperr_V1.4:4:21057063:21063222:1 gene:LPERR04G23100 transcript:LPERR04G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAPVVEILDDDDDDVAAAPDFLDAFSPSPPDPKRRRQPASTIVLDDTPTPPKRRPPVAADRSASVAGDTPRSSVPCSLSNHAVADETPDTVLPRGRIGAADSATPGSVVPCSIGLDTDIVVPETPGMGFTTPRLAGIPAVPGLQSPAAVRKFRGVSCPISLDSDDELDDTTYREPLTKSPSNVAKTEHVSQPGISFCPDKVDTTTRTDQKDYSGSYVGCQATPSSWKNNGTTSHDQPFRANSHSEDSTLKEAHPFTNKHCPREENVLSTEERKKKQQEVKRLKKEEKARQVEERKQKQQETKMLKEAMKAEQAKLKKLEKERRKWESGKLATKCIVAEIDSSVIESGSVGGHLVLRYNEKEISYQVTSNSIRGSILWKMRIPDSLSQDQASTPKVPYILFVFQAEEFCDLVTSGTLWDHVQKVRSQYPEFTICYLTNKLMSYIKRRISTTKTRQIRIVGNVHQWKRKPMTWLSVNANGSSIPKGIDKERIKKSTWLKTLVAIPKVSPGQAIAIEKKYPSMRSLLNVHEKEILLKDLKLENHLGDLNRNLGPVCSKQVYTILMSQNGALEVEVNKNGA >LPERR04G23100.2 pep chromosome:Lperr_V1.4:4:21057063:21063222:1 gene:LPERR04G23100 transcript:LPERR04G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAPVVEILDDDDDDVAAAPDFLDAFSPSPPDPKRRRQPASTIVLDDTPTPPKRRPPVAADRSASVAGDTPRSSVPCSLSNHAVADETPDTVLPRGRIGAADSATPGSVVPCSIGLDTDIVVPETPGMGFTTPRLAGIPAVPGLQSPAAVRKFRGVSCPISLDSDDELDDTTYREPLTKSPSNVAKTEHVSQPGISFCPDKVDTTTRTDQKDYSGSYVGCQATPSSWKNNGTTSHDQPFRANSHSEDSTLKEAHPFTNKHCPREENVLSTEERKKKQQEVKRLKKEEKARQVEERKQKQQETKMLKEAMKAEQAKLKKLEKERRKWESGKLATKCIVAEIDSSVIESGSVGGLLDDGKSIDSPLCTAQISTFLQTGHLVLRYNEKEISYQVTSNSIRGSILWKMRIPDSLSQDQASTPKVPYILFVFQAEEFCDLVTSGTLWDHVQKVRSQYPEFTICYLTNKLMSYIKRRISTTKTRQIRIVGNVHQWKRKPMTWLSVNANGSSIPKGIDKERIKKSTWLKTLVAIPKVSPGQAIAIEKKYPSMRSLLNVHEKEILLKDLKLENHLGDLNRNLGPVCSKQVYTILMSQNGALEVEVNKNGA >LPERR04G23110.1 pep chromosome:Lperr_V1.4:4:21071287:21078207:1 gene:LPERR04G23110 transcript:LPERR04G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNSILGSVESHNLQGYQVNRSDGTIPYGNGAHQDGTFLGFWESGEASASGSSLHFGGSNEIKTEQRNIGGGLRIGERRLVAEHNLSLDNVDIGLNINGNNLSVQSSNGNGALQGSEHHGGRSRTAPNAQSSDLRLNPYRTFILGGEQPESFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVHGQCSAGASTSFPHRNDSIFHNIASSSHNPSPSTNLSSPSCLFVPSTVDEQLPRFGATAGLSSSSYDPSGGSNNSGGSQRSFRPRTALAQQIGPYGVWPSSSAIRHSNSWNHQPPPFQSTFDEPPEVIPVVSSLNFQYQHPINVVPGIPQMAHRFTGQGASSSRTGSLENRIIGSDEFSGRNVLGTNIPDAVPPATLDMRHLMPEPSNWNIDGRGSTVPVNVPSSSRTNTSSLVNPPAGSPFVAHQNLHRRNPRNLSEEISRLSGALRSNQHPRLRPGFLLERQGDGVWGVPLSTRSREGRRLLEIRNAIEMIHRGENVRFEELLALEERIGNVSTGLGEVEVSKLLKQRKFSSWRLEASLEDEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKT >LPERR04G23110.2 pep chromosome:Lperr_V1.4:4:21073092:21078207:1 gene:LPERR04G23110 transcript:LPERR04G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNSILGSVESHNLQGYQVNRSDGTIPYGNGAHQDGTFLGFWESGEASASGSSLHFGGSNEIKTEQRNIGGGLRIGERRLVAEHNLSLDNVDIGLNINGNNLSVQSSNGNGALQGSEHHGGRSRTAPNAQSSDLRLNPYRTFILGGEQPESFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVHGQCSAGASTSFPHRNDSIFHNIASSSHNPSPSTNLSSPSCLFVPSTVDEQLPRFGATAGLSSSSYDPSGGSNNSGGSQRSFRPRTALAQQIGPYGVWPSSSAIRHSNSWNHQPPPFQSTFDEPPEVIPVVSSLNFQYQHPINVVPGIPQMAHRFTGQGASSSRTGSLENRIIGSDEFSGRNVLGTNIPDAVPPATLDMRHLMPEPSNWNIDGRGSTVPVNVPSSSRTNTSSLVNPPAGSPFVAHQNLHRRNPRNLSEEISRLSGALRSNQHPRLRPGFLLERQGDGVWGVPLSTRSREGRRLLEIRNAIEMIHRGENVRFEELLALEERIGNVSTGLGEVEVSKLLKQRKFSSWRLEASLEDEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKT >LPERR04G23120.1 pep chromosome:Lperr_V1.4:4:21079900:21080586:-1 gene:LPERR04G23120 transcript:LPERR04G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYQRSSSEESAASAAAAAMAPLAAAAAAVAAKEEQAAAAVAVLPLQQQQPMRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPEEISSLASLSSDGGGGGESRGEPDGGGTLSAASIRKKAIEVGSRVDALQTGMMVSPAAAARQKHHHHHHHPQPHGGEEHHHRHEQQKQQRTAWSGRAKNPDLNQAPSPESSDAE >LPERR04G23130.1 pep chromosome:Lperr_V1.4:4:21102880:21107257:1 gene:LPERR04G23130 transcript:LPERR04G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAPPPQPLSPSADDSGASSSSAAAVDADDDSAAGAGAGGVVVVTRQFFPPAVPGGDHPVSPGNARSGDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKFRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPAGAAASANGDASGEHNLDLSLGSSAGSKRGNLDGGDDEIAGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSKHPQMPPVLQVSQLPFSPRHHQFMSNGDPGTAAGGLSLTIGAGVGGHWPHQQQHVGWGNAGGTSWPMPPHPPPTLTPTPTNAAATATAAAASSRFPPYIATQASSWLQKNGFHSLTRPT >LPERR04G23140.1 pep chromosome:Lperr_V1.4:4:21113139:21119347:1 gene:LPERR04G23140 transcript:LPERR04G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKEILRKDNFNKEQGQYIGEGTGGWEKAEAEAIAIKTQLDDTLDQKAVIEQRICHLDEALNVAMVERELLIKDTAKMISHEQVKVEKLEEDVLEKINIIASLDAENRKLSEMLSMKEKMISKLAEAKAVIESNYKNLAVKLESSDKLNSSLRYEVCMLQKQLDIRSEERKVNLKSADAAHKQHLENVKKITKLETECQRLRSMVRKRLPGPAAIAKMRSEVETAGNNAVVTRTRRLNSTAPFNSGTLVQNSYGASHESSLLARLHAMEDENKAMKESLSIKDGELQNSRAMLARTTSNLSQVEAQLDELSRGRVATELVKSSPPVVENPLSSISENGCNEDNVSCSSSWASALISELEHFKRGKLTTPSCKSTRISDLSFMDDFEEIEKLALVCDTKPTESYDSKRESRESSGKELVTVDGPTETTSQLRQHKIEKELLKLIECIEGVIQNSSKDYSSSVVFSGGSDDNNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFLLEVNITLDWIISHCFSLRDVSDMRETIIKSLELNSSSGLEVVPVIKDTGIQTTDGMCEPRTPNNLQMSIISVSSLMDIKYKADDDTGIFRDKISVSKCQESEGKASSLRAELNALKETGKMVAHGVDVESTINEHGKASHSDRNNGNKHGVPSLNSKLQLEYYPRKEGPKCVSESEEQHVQMQLEISTASEKLIECQETILNLGKQLKALASPKDATLFDKVVHTSVQSERKPRSQSLNEMLAMDDGGSDYLSSPKTKEIICSELKSRHERSCSLDNGGDNSLSCSSHPMPVAPPVKKPYGMNGTCRNEAAALKVVALVPSKQKGNTNLIKRILTGRRKEAMTKPNVAVSA >LPERR04G23150.1 pep chromosome:Lperr_V1.4:4:21124545:21125404:-1 gene:LPERR04G23150 transcript:LPERR04G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLHVAYLDKTSSSSSPATSTSPSMITGSTFPSFSFKCLRPLAPKISFPDQPRKQAEFVPRARNSSKLLNYTVQMPATGTTRWNPSPEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDSSSLIPPAANDTKEGQKKEEEEVDEGLASCKRRCKAWGDDDGTDDGTLELFPLHPQGKA >LPERR04G23160.1 pep chromosome:Lperr_V1.4:4:21130535:21130876:-1 gene:LPERR04G23160 transcript:LPERR04G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVVLLAVPAATTVAAAGGGLLQVFIQYSFLVWPFNLVLPLARHLPRVCAALRGAAAFVAGELRTIMSGHRRVTLGGGYGSSPPSSLSPAARRSREELVANTMIALVGISY >LPERR04G23170.1 pep chromosome:Lperr_V1.4:4:21140050:21140304:-1 gene:LPERR04G23170 transcript:LPERR04G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLVAIVAVRGFLHVFHLSAPLLWPPLSNILLPRHLPAACAALYGGLVFHAAFLRRGGGGGGGGGGREAEELLRQALLSISY >LPERR04G23180.1 pep chromosome:Lperr_V1.4:4:21142098:21145423:-1 gene:LPERR04G23180 transcript:LPERR04G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLLLPLLLAAGDAAPSHSQPTLPSPSSSTTVGGASHSHPTFPLAPSRAVPPPARLAAKPAPPTPASILAAFLAKADPTAHIRYPLAASPCSHPGVVSCGAGGQIIRLVLESSGLNGTFPPETLSRLVDLRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPSSVAYLKRLRSIDLSGNRLAGELPAGIEVAFPRLAFLRIDANHFNGSLPAWNQSSIKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVVRRECRGSHLLFFHGPGGSNGTAAAPPVQSAAATGGDGPQREDISLPDSSTPHSRKVRRTAVAAAATAGAFVALLLLCVMIAMKRGKNRRRPSSAAYGSPKKSAAAMSDSSRDHHHDAAGVGYVEHAPEREETSAMMMPEEKARRLERSGCLTFCAGEGAGYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGEAALEAEAFEQNMDVVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSPNVLLGSEFEACLTDNCLSFLLESSEVKDDDAYRAPENMKSNRRLTPKSDIYAFGILLLELVSGKPPLEHTVLVATNLQTYVQSARDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTTGDNDSDLTSNS >LPERR04G23190.1 pep chromosome:Lperr_V1.4:4:21149030:21149980:1 gene:LPERR04G23190 transcript:LPERR04G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGSRDKLQAAAAASTHRRILLLVFATCFALATFLTFAYNTSHFSSPSSSSSAAAVSGGGATAASSASALPLPVFDALVHFATISNATHRMSDTDIRAISAVLRSRGPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTHVRDFRDLLDAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIVLIDGPSGWNQNSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFEVEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQESTAAAAAEKKSGK >LPERR04G23200.1 pep chromosome:Lperr_V1.4:4:21154646:21157962:-1 gene:LPERR04G23200 transcript:LPERR04G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALVAAALLVLFSMAAAADMSILSYGERSEEETRRLYAEWKSEHRKNSNAIGGGDDERRYEVFKDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYREAYLGLKNKPLRGERKVSDRYLAADDEALPESVDWRKKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGSDGRCDVNKKNAKVVTIDSYEDVTPNSEKSLQKAVANQPISVAIEAGGRAFQLYSSGIFTGTCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKATSGKCGIAVEPSYPLKTGENPPNPGPTPPSPAPPPTVCDNYYTCPESTTCCCIYEYGKSCFAWGCCPLEGATCCDDHYSCCPHDYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNWAFFGIGANGKKSSA >LPERR04G23210.1 pep chromosome:Lperr_V1.4:4:21162177:21163926:-1 gene:LPERR04G23210 transcript:LPERR04G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLSLLIACFLLIFVLNVADHVEARPAPVDFGDSDNGFYSLFVFGDSFADTGNLPKSHLSESSREWYYPYGSNWGSQPTGRFSNAMVDRFRDLVQDGTVTRHNLHNSVALVAISGNDYDRLSNVSSTDKMVEFIEKVTSEIAKQVHRLKNNGVSKVLVNNLHPVGCTPWLTRPSNYSGCSLRGNMGSYIHGGNLQKKLNATDLPFVFHVDLNTAFSNIVNPDPKSKHDFSKQFKQRLSPCCESTDPNGFCGQKGEDGKDLFTLCKNPDEFFYWDDVHPTEAGWKAVMQQLKGPIKNFLGVN >LPERR04G23220.1 pep chromosome:Lperr_V1.4:4:21166406:21166765:-1 gene:LPERR04G23220 transcript:LPERR04G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSSRHLAEDEENKKIQWHAVHAFTVTRDREKENKKGYPSDMHALQFVGTNPQREHQNGDQGKSSKPVCDFLWFTAMTRSTPQHR >LPERR04G23230.1 pep chromosome:Lperr_V1.4:4:21167382:21169920:-1 gene:LPERR04G23230 transcript:LPERR04G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPGFAPAANSADAAPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPTAHLVSLVSPPAVVLAAGAANATTTITTTTTTTTTTVTTTTVAAAAAEIHHPHHHHGPVFVGRHPIRVRAWPHPDPAELLKAHHILAAVQNAQRSSRRRGAGPTRPFIAVTPTTTSALQVPSLTSMAHTLRLVDGPVTWIVVEHDRRSDAVAAVLSRSGVDFLHISAPDSSTSRLRMHALREIRNRKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGASESFLQAPSCDAAGNLVGYHVSDETMLPASRRDMLLSSKLEWAGFVVNANALWEAAHRPEWMRDIAAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDSLHEVKFPHEWKIEPPQVAIPSGEQAVKPETPLKRTTLLNTEDQH >LPERR04G23240.1 pep chromosome:Lperr_V1.4:4:21176578:21179875:1 gene:LPERR04G23240 transcript:LPERR04G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRSLTLPALRPASSAAACRRRRGISYGALFSPPMPQQQHHQQQEMQVVRALQRRGDWVEEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVSDASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVSNSALRELLWTWDSLTTATCCKSLVVVYGGNCVIQIGVAAGSPEDGNAVVVDAQKFMEGSLYKSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >LPERR04G23250.1 pep chromosome:Lperr_V1.4:4:21179485:21182340:-1 gene:LPERR04G23250 transcript:LPERR04G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLVLNDKKFGASVSDPKKRSKDVLLAFLKTFSKDFQKYFSKLVRRFNERSATVQFMKEFSDKLSPELKLVQLTAEHPEYRKNYNFPSYQEGWKVMREGKVSNFMNSTMLAVDCEMVLCHDGTEALVRVCVVDSNLEVKLDELVNPFKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKILSKGKILIGHSLSKDLHALKFDYTQVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARNNVVPESDKMKLLAHKIPVYLPCQELLKLFSGNPSIDAKNDSRIRDEVEKAFEELDGEETKDSSGRLQKSVLLKRDNGDVASFFVRKMAYGSRLNESELSKKRPPPPIEDVEPTKEDNDGDKHKKQRTDKKHKKKSKKSVAE >LPERR04G23250.2 pep chromosome:Lperr_V1.4:4:21179485:21182340:-1 gene:LPERR04G23250 transcript:LPERR04G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLVLNDKKFGASVSDPKKRSKDVLLAFLKTFSKDFQKYFSKLVRRFNERSATVQFMKEFSDKLSPELKLVQLTAEHPEYRKNYNFPSYQEGWKVMREGKVSNFMNSTMLAVDCEMVLCHDGTEALVRVCVVDSNLEVKLDELVNPFKAVADYRTSITGLSKKDLKGVTCSLVDVQVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARNNVVPESDKMKLLAHKIPVYLPCQELLKLFSGNPSIDAKNDSRIRDEVEKAFEELDGEETKDSSGRLQKSVLLKRDNGDVASFFVRKMAYGSRLNESELSKKRPPPPIEDVEPTKEDNDGDKHKKQRTDKKHKKKSKKSVAE >LPERR04G23260.1 pep chromosome:Lperr_V1.4:4:21191259:21194864:1 gene:LPERR04G23260 transcript:LPERR04G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPFPHPSQHKPNPNLTQKHAHARTQISVFSPMAAARWAIAIHGGAGVDPNLPEHKQEEAKRVLARCLQLGADALRAGGRAVDVAELVVRELETDPFFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMEKSPHSYLAFDGAEEFAREQGVEVVDNSYFITEDNVGMLKLAKEANSILFDYRIPLGGAETCSAQAAENGMVMNGLPISIYAPETVGCAVVDSTGGTAAATSTGGLMNKMTGRIGDSPLIGSGTYACNACAVSCTGEGEAIIRSTLARDVAAVMEYRGAGLQEAVDYCVKERLDEGFAGVIAVSGDGEVAYGFNCTGMFRGCATEDGFMEVGIWE >LPERR04G23270.1 pep chromosome:Lperr_V1.4:4:21212971:21213797:-1 gene:LPERR04G23270 transcript:LPERR04G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFPMRGGHIAVVSDAAPTLVYDTAAAALVTGGSLPGFLSDGGGLVLAMPGGEKVYSLTSSLGVGFPCAFEAFSPDDGSWSWKNEAAPPPPPFEDSAVVTAYAVHPDGRTVFVSTSGGGGGFFDVELDGWVGLRHGDTIRVCQVPSRFGDDDARTPEWDTLDDDDHGVWVSRSRRHGGRRHATLTYMGDSRFCVVESVALDEEERDNDDDDVAELAAQCEVHVAVFGLKYNRRGALKTTARRANGSFRVPKHLSRFSPVAFWM >LPERR04G23280.1 pep chromosome:Lperr_V1.4:4:21217163:21217819:1 gene:LPERR04G23280 transcript:LPERR04G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVWPRRFALTAAVWKGLHGLPAAFGGYRLSAHGSGRWQESAAPDGACGRAEGRISVGKALGTPSGRGEVARVGAARRPGGEGPWRSEAQRGHTWSVRRRLRTASAAFGFGLAGGSLWWFRRAGSWASLSNVGGHGDVHCDGEGSMG >LPERR04G23300.1 pep chromosome:Lperr_V1.4:4:21225692:21229429:1 gene:LPERR04G23300 transcript:LPERR04G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQSTAAAATTTNHRILLPAGNHRVSLAPSVLRLPLRTHARRGLSPASGAVAGKPTVLVAEKLGAAGLELLREFANVDCSYGLSPEELRAKIPLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTSMARNIAQADASLKAGKWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTIEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPPEVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRLTEERILLDGSPETPIDYIQVHIAHVDSKFPSAISESGEITVEGKVKDGIPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPSKGTLTRIGEIPAIEEFVFLKL >LPERR04G23310.1 pep chromosome:Lperr_V1.4:4:21229133:21237534:-1 gene:LPERR04G23310 transcript:LPERR04G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRFHRVILLLVALACAAASEVGCSDDDRRFPHLDRVRELHRREGGPAAEQEAAARGLLARLLPSHSGSFDFRVISTDQCGGKACFIVDNHPLFDGEGTPELLLLGISGVEISAGLHWYLKHYCAAHISWDKTGGAQLSSVPRPGSLPGVPSGGIMIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGRTSHVYNWGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHKQVDLQVWIETYPARRYGKSIVGLQDAWRILYQTLYNCTDGKNDKNRDVIVAFPDVEPFAIQTPGLYTSSSNMFSTKSSKNYIVMDASNNEYEQPHLWYDTNAVIRALELFLRYGDEVSDSSTFRYDLVDLTRQTLAKYANQVFVKIIESYKLNNVNQVITLCHHFIDLVNDLDMLLASHEGFLLGPWLESAKGVARDKEQEIQYEWNARTQITMWFDNTNTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLRLSLERKEPFALEEWRREWISLTNNWQIDRKVFPTTATGDPLNISRSLFAKYLRDSDLQKVEGTKSSGKSADL >LPERR04G23310.2 pep chromosome:Lperr_V1.4:4:21229131:21237534:-1 gene:LPERR04G23310 transcript:LPERR04G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRFHRVILLLVALACAAASEVGCSDDDRRFPHLDRVRELHRREGGPAAEQEAAARGLLARLLPSHSGSFDFRVISTDQCGGKACFIVDNHPLFDGEGTPELLLLGISGVEISAGLHWYLKHYCAAHISWDKTGGAQLSSVPRPGSLPGVPSGGIMIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGRTSHVPSSDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHKQVDLQVWIETYPARRYGKSIVGLQDAWRILYQTLYNCTDGKNDKNRDVIVAFPDVEPFAIQTPGLYTSSSNMFSTKSSKNYIVMDASNNEYEQPHLWYDTNAVIRALELFLRYGDEVSDSSTFRYDLVDLTRQTLAKYANQVFVKIIESYKLNNVNQVITLCHHFIDLVNDLDMLLASHEGFLLGPWLESAKGVARDKEQEIQYEWNARTQITMWFDNTNTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLRLSLERKEPFALEEWRREWISLTNNWQIDRKVFPTTATGDPLNISRSLFAKYLRDSDLQKVEGTKSSGKSADL >LPERR04G23310.3 pep chromosome:Lperr_V1.4:4:21229133:21237534:-1 gene:LPERR04G23310 transcript:LPERR04G23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRFHRVILLLVALACAAASEVGCSDDDRRFPHLDRVRELHRREGGPAAEQEAAARGLLARLLPSHSGSFDFRVISTDQCGGKACFIVDNHPLFDGEGTPELLLLGISGVEISAGLHWYLKHYCAAHISWDKTGGAQLSSVPRPGSLPGVPSGGIMIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGRTSHVPSSDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHKQVDLQVWIETYPARRYGKSIVGLQDAWRILYQTLYNCTDGKNDKNRDVIVAFPDVEPFAIQTPGLYTSSSNMFSTKSSKNYIVMDASNNEYEQPHLWYDTNAVIRALELFLRYGDEVSDSSTFRYDLVDLTRQTLAKYANQVFVKIIESYKLNNVNQVITLCHHFIDLVNDLDMLLASHEGFLLGPWLESAKGVARDKEQEIQYEWNARTQITMWFDNTNTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLRLSLERKEPFALEEWRREWISLTNNWQIDRKVFPTTATGDPLNISRSLFAKYLRDSDLQKVEGTKSSGKSADL >LPERR04G23310.4 pep chromosome:Lperr_V1.4:4:21229133:21237534:-1 gene:LPERR04G23310 transcript:LPERR04G23310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRFHRVILLLVALACAAASEVGCSDDDRRFPHLDRVRELHRREGGPAAEQEAAARGLLARLLPSHSGSFDFRVISTDQCGGKACFIVDNHPLFDGEGTPELLLLGISGVEISAGLHWYLKHYCAAHISWDKTGGAQLSSVPRPGSLPGVPSGGIMIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGRTSHVYNWGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQFYGVPYIWKVGVGMSMEGIEQNPIVYDLMSEMAFHHKQVDLQVWIETYPARRYGKSIVGLQDAWRILYQTLYNCTDGKNDKNRDVIVAFPDVEPFAIQTPGLYTSSSNMFSTKSSKNYIVMDASNNEYEQPHLWYDTNAVIRALELFLRYGDEVSDSSTFRYDLVDLTRQTLAKYANQVFVKIIESYKLNNVNQVITLCHHFIDLVNDLDMLLASHEGFLLGPWLESAKGVARDKEQEIQYEWNARTQITMWFDNTNTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLRLSLERKEPFALEEWRREWISLTNNWQIDRKVFPTTATGDPLNISRSLFAKYLRDSDLQKVEGTKSSGKSADL >LPERR04G23330.1 pep chromosome:Lperr_V1.4:4:21247778:21262452:-1 gene:LPERR04G23330 transcript:LPERR04G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIADREEAVAKLEGEKASLEKLLAVREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDLKTFTQDKYKRKIAKMQKTSPPLVDEIESLRRFKMEEEMIDAEYALTCDRIVNLKDKARKIEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLFRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTAYGYNGNTTTNNNNNNDEDKSGHETVTTAMEISAAMSVAHKVSAPPAQSTASKMKVRVKETFFPDDPFRGFKGKPLKTKWLMAVQYLFPILEWGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAADPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVVRHTNELSWQTILMGACFLVLLLTARHVSMKWPKLFWISACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLLQYKEAQRVPGFLILTVEAPINFANTNYLNERVKRWIEEESSAGNKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHEVADDHVRLTVLTRDSDPEAPA >LPERR04G23330.2 pep chromosome:Lperr_V1.4:4:21247778:21262452:-1 gene:LPERR04G23330 transcript:LPERR04G23330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIADREEAVAKLEGEKASLEKLLAVREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDLKTFTQDKYKRKIAKMQKTSPPLVDEIESLRRFKMEEEMIDAEYALTCDRIVNLKDKARKIEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLFRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTAYGYNGNTTTNNNNNNDEDKSGHETVTTAMEISAAMSVAHKVSAPPAQSTASKMKVRVKETFFPDDPFRGFKGKPLKTKWLMAVQYLFPILEWVPGYSFSLFKELATRNWQACHRSSACSISDSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAADPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVVRHTNELSWQTILMGACFLVLLLTARHVSMKWPKLFWISACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLLQYKEAQRVPGFLILTVEAPINFANTNYLNERVKRWIEEESSAGNKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHEVADDHVRLTVLTRDSDPEAPA >LPERR04G23330.3 pep chromosome:Lperr_V1.4:4:21248279:21262452:-1 gene:LPERR04G23330 transcript:LPERR04G23330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIADREEAVAKLEGEKASLEKLLAVREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDLKTFTQDKYKRKIAKMQKTSPPLVDEIESLRRFKMEEEMIDAEYALTCDRIVNLKDKARKIEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLFRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTAYGYNGNTTTNNNNNNDEDKSGHETVTTAMEISAAMSVAHKVSAPPAQSTASKMKVRVKETFFPDDPFRGFKGKPLKTKWLMAVQYLFPILEWVPGYSFSLFKELATRNWQACHRSSACSISDSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAADPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVVRHTNELSWQTILMGACFLVLLLTARHVRNSVEPLKITLTFTLQEQSVQSMKWPKLFWISACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLLQYKEAQRVPGFLILTVEAPINFANTNYLNERVKRWIEEESSAGNKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHGHFKSDSLYLTTGEAVASLSVFSKMTAP >LPERR04G23330.4 pep chromosome:Lperr_V1.4:4:21248279:21262452:-1 gene:LPERR04G23330 transcript:LPERR04G23330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIADREEAVAKLEGEKASLEKLLAVREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDLKTFTQDKYKRKIAKMQKTSPPLVDEIESLRRFKMEEEMIDAEYALTCDRIVNLKDKARKIEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLFRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTAYGYNGNTTTNNNNNNDEDKSGHETVTTAMEISAAMSVAHKVSAPPAQSTASKMKVRVKETFFPDDPFRGFKGKPLKTKWLMAVQYLFPILEWGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAADPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVVRHTNELSWQTILMGACFLVLLLTARHVRNSVEPLKITLTFTLQEQSVQSMKWPKLFWISACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLLQYKEAQRVPGFLILTVEAPINFANTNYLNERVKRWIEEESSAGNKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHGHFKSDSLYLTTGEAVASLSVFSKMTAP >LPERR04G23330.5 pep chromosome:Lperr_V1.4:4:21247778:21262452:-1 gene:LPERR04G23330 transcript:LPERR04G23330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIADREEAVAKLEGEKASLEKLLAVREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDLKTFTQDKYKRKIAKMQKTSPPLVDEIESLRRFKMEEEMIDAEYALTCDRIVNLKDKARKIEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLFRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTDSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAADPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVVRHTNELSWQTILMGACFLVLLLTARHVRNSVEPLKITLTFTLQEQSVQSMKWPKLFWISACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLLQYKEAQRVPGFLILTVEAPINFANTNYLNERVKRWIEEESSAGNKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHEVADDHVRLTVLTRDSDPEAPA >LPERR04G23340.1 pep chromosome:Lperr_V1.4:4:21263929:21268579:1 gene:LPERR04G23340 transcript:LPERR04G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKPFASEGLGCDADSDSGGSSASSGDGVGGGGSPSPSTKTSSSSSPSPPSSRLPSLLRTLPSQQSFFDACRYKRGWGVDPFLLEEQKQRGWDSDPFLLQEQEQRGWDSDPFLLQEWDLDPFPEWELTRLQEIVKITSDDSIGCQTTLDDWSKTGNNKYDSCKLGEKKHILDLAVEFEDNTIRQKIVLLSKKYDFFRPVDRDGNCFYRAFIFNYMEHIMEMQDDFERSTEAHRIHKRVEKCEQAYRVDSFGISEVDFKIVFTAFEHYVIKPIENGFGIEHIYQINKQDDIAKKILRFLRFLTEIEICSHKEFYKGFVGEEGPSVFEFCQFEVRPENAEASSTQVMALVNALRIPLVVANLDTSLNNGKAEVNYHNLYPRPESEEGTTSGSPNLHERVSSESSGYHAAIGAPMELQNLPSTSGSSTSSSSEALGMQSIGTSSSPSQADPGERNGNGDETIAHSSSPAEGRLLLTLLYRPGHYDILYPK >LPERR04G23350.1 pep chromosome:Lperr_V1.4:4:21269837:21272571:1 gene:LPERR04G23350 transcript:LPERR04G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHLLLLLLAAAAMAAAPTAHAWGKEGHYMTCKIAEGFLTKEAATAVKDLLPGWANGDLAETCSWADTERFRYRWSSPLHFADTPGDCVFNYARDCHNTQGEKDMCVVGAINNYTNALKDSSSPYDPTDSLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETAMKDFYNDDVNTMIKAIKMNITDEWADEEKQWETCRTRTKTCADKYAQESAQLACKAYEDDYFFDALPIVQKRIAQGGVRLAAILNQIFSGNSKVQIS >LPERR04G23350.2 pep chromosome:Lperr_V1.4:4:21269837:21272650:1 gene:LPERR04G23350 transcript:LPERR04G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHLLLLLLAAAAMAAAPTAHAWGKEGHYMTCKIAEGFLTKEAATAVKDLLPGWANGDLAETCSWADTERFRYRWSSPLHFADTPGDCVFNYARDCHNTQGEKDMCVVGAINNYTNALKDSSSPYDPTDSLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETAMKDFYNDDVNTMIKAIKMNITDEWADEEKQWETCRTRTKTCADKYAQESAQLACKAYEDDYFFDALPIVQKRIAQGGVRLAAILNQIFSGNSKVQIS >LPERR04G23360.1 pep chromosome:Lperr_V1.4:4:21273344:21277319:-1 gene:LPERR04G23360 transcript:LPERR04G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRDDGDGHGEAYGRKKKPRPSPQKQHLYLVLDDWDRGYSIHKLDVDDDSDTGIIHGDLATDGGGGPALRLAARGSPYGMRFFPMRGGHIAVVSDASPTLVYDTAAAALVTGPTLPGLLSAAAGGGGIALAMPGGEKVYALTSLGDGFPFVFEAFSPDDDCRWLPWAWRNEAAPPPPPFEGDVTAYAVHPDGHTVFVSTSGGGGTYTLDTRRGGEWRRRGDWVLPFHGQGFFDVELDAWVGLRHVDTVCVCQVPSRSGAGAGGAWPPEWDTLDDDDHGVVSSSSRGRRRQHGGRRHGTLSYMGDSRFCVVESVELDEEEEEDDDDVAELAPKFEIHVAVFGLKYNRRGELKTMARRAAGSFRAPKHFSRFSPVAFWINRIILNKSPTPPPPPSATAIPMGATASVLSLPTAAATIPAAATAIAGAAGCFALGYLLAVARLPRHAASGETSDDDSEDDSEEDDDDENSGRGKAAKRAPARKRAGLRLLFWARNVVTKSDSVKETERAFKARTAAANLLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRSKSLNLPTHITIDAGRTQIAPRPADMVDEVTGGLKLL >LPERR04G23360.2 pep chromosome:Lperr_V1.4:4:21273344:21280924:-1 gene:LPERR04G23360 transcript:LPERR04G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRRDDGDGHGEAYGRKKKPRPSPQKQHLYLVLDDWDRGYSIHKLDVDDDSDTGIIHGDLATDGGGGPALRLAARGSPYGMRFFPMRGGHIAVVSDASPTLVYDTAAAALVTGPTLPGLLSAAAGGGGIALAMPGGEKVYALTSLGDGFPFVFEAFSPDDDCRWLPWAWRNEAAPPPPPFEGDVTAYAVHPDGHTVFVSTSGGGGTYTLDTRRGGEWRRRGDWVLPFHGQGFFDVELDAWVGLRHVDTVCVCQVPSRSGAGAGGAWPPEWDTLDDDDHGVVSSSSRGRRRQHGGRRHGTLSYMGDSRFCVVESVELDEEEEEDDDDVAELAPKFEIHVAVFGLKYNRRGELKTMARRAAGSFRAPKHFSRFSPVAFWINRIILNKSPTPPPPPSATAIPMGATASVLSLPTAAATIPAAATAIAGAAGCFALGYLLAVARLPRHAASGETSDDDSEDDSEEDDDDENSGRGKAAKRAPARKRAGLRLLFWARNVVTKSDSVKETERAFKARTAAANLLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRSKSLNLPTHITIDAGRTQIAPRPADMVDEVTGGLKLL >LPERR04G23360.3 pep chromosome:Lperr_V1.4:4:21273344:21280924:-1 gene:LPERR04G23360 transcript:LPERR04G23360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRRDDGDGHGEAYGRKKKPRPSPQKQHLYLVLDDWDRGYSIHKLDVDDDSDTGIIHGDLATDGGGGPALRLAARGSPYGMRFFPMRGGHIAVVSDASPTLVYDTAAAALVTGPTLPGLLSAAAGGGGIALAMPGGEKVYALTSLGDGFPFVFEAFSPDDDCRWLPWAWRNEAAPPPPPFEGDVTAYAVHPDGHTVFVSTSGGGGTYTLDTRRGGEWRRRGDWVLPFHGQGFFDVELDAWVGLRHVDTVCVCQVPSRSGAGAGGAWPPEWDTLDDDDHGVVSSSSRGRRRQHGGRRHGTLSYMGDSRFCVVESVELDEEEEEDDDDVAELAPKFEIHVAVFGLKYNRRGELKTMARRAAGSFRAPKHFSRFSPVAFWINRIILNKSPTPPPPPSATAIPMGATASVLSLPTAAATIPAAATAIAGAAGCFALGYLLAVARLPRHAASGETSDDDSEDDSEEDDDDENSGRGKAAKRAPARKRAGLRLLFWARNVVTKSDSVKETERAFKARTAAANLLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRSKSLNLPTHITIDAGRTQIAPRPADMVDEVTGGLKLL >LPERR04G23360.4 pep chromosome:Lperr_V1.4:4:21277319:21280924:-1 gene:LPERR04G23360 transcript:LPERR04G23360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRRDDGDGHGEAYGRKKKPRPSPQKQHLYLVLDDWDRGYSIHKLDVDDDSDTGIIHGDLATDGGGGPALRLAARGSPYGMRFFPMRGGHIAVVSDASPTLVYDTAAAALVTGPTLPGLLSAAAGGGGIALAMPGGEKVYALTSLGDGFPFVFEAFSPDDDCRWLPWAWRNEAAPPPPPFEGDVTAYAVHPDGHTVFVSTSGGGGTYTLDTRRGGEWRRRGDWVLPFHGQGFFDVELDAWVGLRHVDTVCVCQVPSRSGAGAGGAWPPEWDTLDDDDHGVVSSSSRGRRRQHGGRRHGTLSYMGDSRFCVVESVELDEEEEEDDDDVAELAPKFEIHVAVFGLKYNRRGELKTMARRAAGSFRAPKHFSRFSPVAFWINRIILNKSPTPPPPPSATAIPMGATASVLSLPTAAATIPAAATAIAGAAGCFALGYLLAVARLPRHAASGETSDDDSEDDSEEDDDDENSGRGKAAKRAPARKRAGLRLLFWARNVVTKSDSVKETERAFKARTAAANLLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSPLAGDNTDRS >LPERR04G23370.1 pep chromosome:Lperr_V1.4:4:21282646:21286447:1 gene:LPERR04G23370 transcript:LPERR04G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTGDRGGRGFRDDGGGGGRRDDEDGGGEMGRGGRGGRRAKVVLVEAAGKRPAAEVKVERGMAEEGKGMKSEPVGVKGNSRPMAEVREAAKAAWEPPAAEVKVERGTEKGKGMKSFVGVKGNLEREKPQMAEEGGSEPAKADGEGRKTSPSGGGLRCQSGCGGKSIAPFAGMRLILHGFSEPQKAEMRKKVQKLGGLVLNAIDYEICTHIVAAGNHWEGAVLIWNGEGKKVVNKKWIDECYTHGRFLENDLCADYSLSKTPKTSKATRSNVISTSKKLRASCGDCHRRSVRRRLDYDSLLEEKAHRYHHNLFVCKNDKGEYTSLKDYCISNKERLVIQTVTPQSNTFPEIGLWTHPWTLVPKGMKQTLREEKAKNKYSHTSHTTSNQLKPKLRKAHVLLKTLHRAGLSLRGIFTSENFLMDSLGNMRFGNLSKGVIQKLEDGDIEKDTDRFIEMIREEVFVSVTLLPSDVTQWLELVDRCSMGYDELAADYITLQDEYEAASHFMSLYNMFEKMETANPVIYEKVKMKLGAYTGWKKRVEAFDGNTHLKDTLDWIDPATGRKNFYADDVGGLLKLLRNTRQHAARLKENLFVLIVGQNFPRLIGDFQKAMFKQGYKLR >LPERR04G23380.1 pep chromosome:Lperr_V1.4:4:21304561:21307504:1 gene:LPERR04G23380 transcript:LPERR04G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVDSPSDEKDPYFNSLVDNFLGAADINTDLLTSSPEIDYRAASQRQSLRYAESALQYYNNSGKKKIKYELIRVITSNAILSLCYYGHVNLVAKGDFKDLIEEFFSRG >LPERR04G23390.1 pep chromosome:Lperr_V1.4:4:21318111:21322896:1 gene:LPERR04G23390 transcript:LPERR04G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKAKLKYAKKSASICCHDLQYLNPSRYSHAVPPFAVHILVVVPFLLQSPSQFLVFSFLNFSRVLLATRKREREETEEGGVGWMDLLEKRNIKKGGEEEEKKSQQQQQQQSLANGGSGSGGAGGRPGILAMSSPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAASAAAASTTKSNIASTSVAIGQPQVVVDPSSISKLQADLPIARRHSLQRFLEKRRDRLVNKAPYSPAKSSEGMEASGMEVTAEGKAQ >LPERR04G23390.2 pep chromosome:Lperr_V1.4:4:21318111:21322896:1 gene:LPERR04G23390 transcript:LPERR04G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKAKLKYAKKSASICCHDLQYLNPSRYSHAVPPFAVHILVVVPFLLQSPSQFLVFSFLNFSRVLLATRKREREETEEGGVGWMDLLEKRNIKKGGEEEEKKSQQQQQQQSLANGGSGSGGAGGRPGILAMSSPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAASAAAASTTKSNIAVKPPVMPATNAAQAAVSPALTRSPSLQSTSVAIGQPQVVVDPSSISKLQADLPIARRHSLQRFLEKRRDRLVNKAPYSPAKSSEGMEASGMEVTAEGKAQ >LPERR04G23400.1 pep chromosome:Lperr_V1.4:4:21323066:21325469:-1 gene:LPERR04G23400 transcript:LPERR04G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATTTTLLALAPPSPISQSSLLLLRPRAPSTLSFRSSSPPGSRLLLVAVAAKEPELGGSEGGGGAGGDGSGSFGGGGGGNGEEPRGGGEEGEEEEEEKKMGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMRAINQYCKEVLLKLVEYPAWAGVMPAALKAGIASLGYFAG >LPERR04G23410.1 pep chromosome:Lperr_V1.4:4:21326742:21332107:1 gene:LPERR04G23410 transcript:LPERR04G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENPQIEMGAFKANGLPGQNGGLSSSMVQPRNLQRTVERVLRSIRIVIFTSKLNLLLPFGPASIALHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIFTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCVMLVAYASYLYFQLSGRSNAYSPIGSEEMPNEDAADEDEEAEIGMWESIAWLAMLTLWVSVLSEYLVNAIEGASDSLSLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >LPERR04G23410.2 pep chromosome:Lperr_V1.4:4:21326510:21332107:1 gene:LPERR04G23410 transcript:LPERR04G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENPQIEMGAFKANGLPGQNGGLSSSMVQPRNLQRTVERVLRSIRIVIFTSKLNLLLPFGPASIALHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIFTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCVMLVAYASYLYFQLSGRSNAYSPIGSEEMPNEDAADEDEEAEIGMWESIAWLAMLTLWVSVLSEYLVNAIEGASDSLSLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >LPERR04G23410.3 pep chromosome:Lperr_V1.4:4:21327366:21332107:1 gene:LPERR04G23410 transcript:LPERR04G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMENPQIEMGAFKANGLPGQNGGLSSSMVQPRNLQRTVERVLRSIRIVIFTSKLNLLLPFGPASIALHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIFTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCVMLVAYASYLYFQLSGRSNAYSPIGSEEMPNEDAADEDEEAEIGMWESIAWLAMLTLWVSVLSEYLVNAIEGASDSLSLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >LPERR04G23420.1 pep chromosome:Lperr_V1.4:4:21337422:21338441:1 gene:LPERR04G23420 transcript:LPERR04G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDQHQIKRRPSSTTEQERQQLRKLLRLSSVNGHGDDDGVAAGVVPPVTVVLDGRCICHRVHLSMHSGYNSLAGALRRLFVDDDADGGSGGGGELDLSNAVPGHVVAYEDMEDDLLLAGDLKWKDFVRVAKRIRIIPKKPSTSRRKLQLTAPS >LPERR04G23430.1 pep chromosome:Lperr_V1.4:4:21341863:21348251:1 gene:LPERR04G23430 transcript:LPERR04G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLKKESIMLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDVTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIQHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLSSPKKAALVALAAHASDPAEAERLRFLASPAGKDEYSQWVVANQRSLLEVMAAFPSVKPPLGVFFAAVAPRLQPRFYSISSSPKMAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKIRPLQLS >LPERR04G23440.1 pep chromosome:Lperr_V1.4:4:21353695:21358216:1 gene:LPERR04G23440 transcript:LPERR04G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTTSHHYPWLNFSLSHHCDMEEEERGAAAELAAIAGAAPPPKLEDFLGGGGGVQVSGAAPAQTAAAAAAAEMYESELKFIAAGFLSGGGGGGAAVPSPSPSLAQAGIEQVDETKQLVASLPAQAAVAPAPELKTKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIINSNLPIGSMAGNRNTKALELAPSSSADAMPTVEANHAGVAPPSTLAFTALPMKYDQADYLSYLALQHHQQGNLQGLGFGLYSSGVNLDFASVNGNGAMPHCYSNGSLHEQQQHHHQQEQEQEQDQQDHQSQSSNSCSSIPFATPIAFSSGSYESSMTAAGSFGYYPNVAAFQTPIFGME >LPERR04G23450.1 pep chromosome:Lperr_V1.4:4:21360508:21360759:-1 gene:LPERR04G23450 transcript:LPERR04G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLALDLVAGQGSASGSLLDVNSSVIGIALAFVAAVAIVAFVVFNCADGAKSSGGRRKRTYGGGGGGGGGCGGGGGCGGGGC >LPERR04G23460.1 pep chromosome:Lperr_V1.4:4:21377600:21382198:1 gene:LPERR04G23460 transcript:LPERR04G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLLLRDSAAVLPRDAEPISPTGCFNLHHNFARACKVLRGMVTIDAATLFALVASIGNLLQGWDNASIAGAIFYIKKEFDLESMPKIEGFIMAMALIGAAISTLLSGPYADKLGRRLMLLISSILAVASELLIIFLSQKVYMLIIVRFISGLSIGLAVTHVPLYISEIAPSEIRGKLNTFPQLSGSIGMFFSYCVVFGMSLVPKISWRTMIGIELIPSLIFTILIIFYLPESPRWLVSKGRVDEARIVLQRLQRSQDISGEMANILEGTSIGHTPTKEEFIICHSDKIIDGKVAPSEEQVKLYGLDEDLSCIAYKIDGENTYRSTMGSSNLNPIVSHGDSSFFNPNSIVRIGSSFFDPIVTLTESIHELDNICIENQGTTLWDEENQYALKDEEQNREEEEDEYDDGDDFNVGGEHMPNGLHIGGGWQVAWTHGKEEVLDGHMQRKTLSYLLEPGVRNALMLGIATQVLQQFAGINAILYYTPEILEQVGVGVFLSKLDLSPCSTSFLLSAITTLVMLPCIGIAVWLIVIKGRRHILLGTIPMLLISLIILVATYVFNMSTNLHAIISALCVIIYQCVFVVGFGPIPNILCAEIFPTRVRAICLVICSLTFWFCDTIVTYSFPLLMRTIGLAGVFGICAIDCALAFLFIYFKVPETKGIPLEVMSECYAFTNSSSKDGLEEDK >LPERR04G23470.1 pep chromosome:Lperr_V1.4:4:21384225:21389645:1 gene:LPERR04G23470 transcript:LPERR04G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNNSDDSIDDCAVDVAEPPPPPPANKPTWRCFSYEEINKATNGFHQDNMVGKGGYGEVYRGELEDGSEVAVKRLSATAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSSRGSVSANLHDATLLPMPWRRRHAVAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYVPQISDFGLARWLPAEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYMSDGMAQGLVDPRLGDGYDAAQLRRLVFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEDEFWDFDDLDDFEDDDDDNYDNDDESDSPSISSSACSIHAND >LPERR04G23480.1 pep chromosome:Lperr_V1.4:4:21391957:21395876:1 gene:LPERR04G23480 transcript:LPERR04G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSAAMARQTWELENNIPSAAASNPDAMDAIYRYDEAAQARVLQEKPWTNDPHHFRRVKISALALLKMVVHARTGGTIEVMGLMQGKCEGDTMVVMDAFALPVEGTETRVNAQADAYEYMVEYNTINKQAGRLENVIGWYHSHPGYGCWLSGIDVTTQMTNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEDYKPPDEPVSEYQTIPLNKIEDFGVHCKRYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >LPERR04G23490.1 pep chromosome:Lperr_V1.4:4:21396347:21397766:-1 gene:LPERR04G23490 transcript:LPERR04G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFHVLHILQAITILSRIPLSAGDHRSILWRGDSIAVSNAAGGGEHVLVSPSGEFSCGFYKVATNAYTFAVWFTSSADATVAWMANRDAPVNGVGSRAELRKDGSLVLQDYDGHVVWSTNTTGTTADRVNLLDTGNLVVSDAGGDTLWQSFDWPTDTLLAGQPMTRYRQLVSASARGLPYSGYYKFYFDSNNILNLMYDGPEISSNYWPDPFNKWWENNRTAFNSSRLGGFDTRGVFTASDQLQFNASDAGDVRVLRRLTLDYDGNVGVAVAIAVRVAKALAYLHHECLEWIMHRDVKPENILLDTDFEPKVTDFGLVKLLARDATATGTHLSRVQGTRGYIAPECWMVGRPVTGKADVYSFGVVLLELLRGQRVCDWAAGDLQQLASWIKERLKRDGDDDDGGEVSAWVEEEVMDARLRGDFSHVQAVAMLELAISCVDGEPNRRPSMNAVAQKLISLHDRGSSMRFA >LPERR04G23500.1 pep chromosome:Lperr_V1.4:4:21402069:21404546:1 gene:LPERR04G23500 transcript:LPERR04G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRINGAKCLLLAITFLSCIPLSAGDHRSVLWRGDSIAVSDAGGGGEHVLVSPSGEFSCGFYKVATNAYTFAVWFTSSADATVAWTANRDAPVNGVGSRAELRKDGSLVLQDYDGRVVWSTNTTGTTADRVNLLDTGNLVVSDAGGDTLWQSFDWPTDTLLAGQPVTRYRQLVSASARGLPYSGYYKFYFDSSNILNLMYDGPEISSNYWPSPFNKWWENNRTAFNSSRLGSFDTRGVFTASDDLQFNASDAGDGRVLRRLTLDYDGNLRLYSLDAGGTWRVTWLAVQRTCYVHGLCGSNGICSYRDNNVPTCSCPVGYVPNDAGDWSKGCRRSQEVRCGGDDVDFVAMPHADFWGFDVNYTAGATFEDCRRLCVSDCNCKAFGYRPGTGRCYPKIALWNGRVSVKPDQTIYLKVSRTVTNKMNNNQSSYFLQFDGHVCSIDERVATVSSSYLHGKSNSINFIYFYCFLAVVFVMEAIFVLFGYIFVFRADSAAATGRVHDVEGYSLVVSHFRRFTYDELSHATRGFRDEIAKGATGSVYKGVLDDGRCVAVKLLGEITQGDEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEYVENGSLDKALFDGDGDGDVLAWRWRYKIAVGVAKALAYLHHECLEWIVHRDVKPENILLDTDFEPKVTDFGLVKLLARDATATGTHLSRVQGTRGYIAPECWTVGRPVTGKADVYSFGVVLLELLRGQRVCDWAAGDLQQLASWIKERLKRDGDDDDGGEVSAWVEEEVMDTRLRGDFSHVQAVAMLELAVSCVDGEPNRRPSMNAVAQKLISLHEHDRAASLRFA >LPERR04G23510.1 pep chromosome:Lperr_V1.4:4:21408525:21408971:-1 gene:LPERR04G23510 transcript:LPERR04G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSLHTFHGGAAASSLSPAVIVIAAIVATAAVAAIAVFGCADGAKRQKRKNNRNDVYYYGQGYPPPPPAGAYGYPAQQPPPAGYAYPAAANAGRQGRSGFGGAGAGLAVGAVAGLATGAVIASAMDGGGCGGGGGCGGGCGGGCGG >LPERR04G23520.1 pep chromosome:Lperr_V1.4:4:21410585:21415284:1 gene:LPERR04G23520 transcript:LPERR04G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAIISSVSGTVAAVVMIALIKRCVRLRRKMKMKKKILHRLAHEMQACDDAAGSVKIEIDGPVEKFLNEILSEKPMRFTSGQLAACTANYSTELGSGGYGVVYKGELPNGLKVAVKVLKVSMNKKIQDAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLFDGDRRKRLEWRTLHEIAVGTAKGIRYLHEECQQKIVHYDIKPANILLTGDFVPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMAMPATEKCDVYSFGMVLFEVLGRRRNYDVEADGESRQWFPKWAWERYEQGEIGCVVSVAGGELGEEDRVKAEMMCKVALWCVQFQPTARPTMSSVVRMLEGEMAIVPPVNPFHYIMSSSGGGGGGSSSSGGSTGTSGSTNFSSGGNTTGGSSAVSPTTAKKKSTDVMVE >LPERR04G23520.2 pep chromosome:Lperr_V1.4:4:21411716:21415284:1 gene:LPERR04G23520 transcript:LPERR04G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAIISSVSGTVAAVVMIALIKRCVRLRRKMKMKKKILHRLAHEMQACDDAAGSVKIEIDGPVEKFLNEILSEKPMRFTSGQLAACTANYSTELGSGGYGVVYKGELPNGLKVAVKVLKVSMNKKIQDAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLFDGDRRKRLEWRTLHEIAVGTAKGIRYLHEECQQKIVHYDIKPANILLTGDFVPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMAMPATEKCDVYSFGMVLFEVLGRRRNYDVEADGESRQWFPKWAWERYEQGEIGCVVSVAGGELGEEDRVKAEMMCKVALWCVQFQPTARPTMSSVVRMLEGEMAIVPPVNPFHYIMSSSGGGGGGSSSSGGSTGTSGSTNFSSGGNTTGGSSAVSPTTAKKKSTDVMVE >LPERR04G23530.1 pep chromosome:Lperr_V1.4:4:21413799:21415182:-1 gene:LPERR04G23530 transcript:LPERR04G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPGIIALAIIGVIMAGIVTVVAIVAIYKCALFAIKISLKEDKINHDTSIATSAGSRGGGGAGDSDVEMGSMSHFIEGLQNERPIRFSAQQVRGFTNDYAHKVGAGGFGVVYRGKFPSGVPVAVKVLHCMLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDADVKALVYEYMDKGSLDRYLFDHEPEKKTKRIGFEKLHEIAVGTAKAVRYLHEECERRIIHYDIKPENVLLAGEGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPFPVTHKCDVYSYGMLLFEILGRRRNLQLGGVGEERESSGQMEWYPRWVWHRLEAVVARATGKEGTKREKVAAERVCKVALWCVQYRPEERPSMGSVVRMLEGEDHIAAPRDPFAHLAPYAAPSSEHTTATTTDSDGSAPARTGR >LPERR04G23540.1 pep chromosome:Lperr_V1.4:4:21419883:21420314:1 gene:LPERR04G23540 transcript:LPERR04G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEIIGRRRNLVVDETRGSNTAEGQEWYPKWVWMRLEHGRFEEVMAASGIGSEEREKAERMCMVALWCIQYQPEARPAMNSIVRMLEGEGPIARPVNPFAYMANLDVVISDSSSCGVSTATATSGSGDSVQSTTTRHDIRR >LPERR04G23550.1 pep chromosome:Lperr_V1.4:4:21430525:21436535:1 gene:LPERR04G23550 transcript:LPERR04G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRSRRRRSPEDDEDGEGDRSASGRVTRRRLSPEEGALSPDEAAAAAAVGTGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEDEEDDDEDETENNHGAIVSYNESKLAIEEMVMKETFSRDECDKMVKLIQSRVTDSALPESREYGTPKEIPTRNAAVGNDFTGAWRSLSRHRNVTESVSFSNIGSGSFSPGSLIHASPEQLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGVESDQGSPVDLAKSYMQSLPPWQSPFIGSQKFKTPPSTGLHIHDDLGKSKYSLSSKVTTKEDYLSNFWDNLEESRRSRLGSSGSSPDAFKVRQYGSTSRLFENDTSIFSLDTHKKVDKPEQNNKGSDKVAGAELANGHSSPIASTKDKNDVVVDRVDHAKDIGNVVKEFNTASKVHIDEILQENHTSSTSVTKDVADRDGDVKAPGAEPEIHGNSASELRTKDTGSHTHATVNGSTKKTSANGLRDQSNANSGLESSGNDNPSCTNSSSAVPPASNEFIDLTTGAEDGDSVENGTKSNPEEPAQVNPKPSYVRRGRKRVVRGFINDNGCDY >LPERR04G23550.2 pep chromosome:Lperr_V1.4:4:21430525:21436535:1 gene:LPERR04G23550 transcript:LPERR04G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRSRRRRSPEDDEDGEGDRSASGRVTRRRLSPEEGALSPDEAAAAAAVGTGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEDEEDDDEDETENNHGAIVSYNESKLAIEEMVMKETFSRDECDKMVKLIQSRVTDSALPESREYGTPKEIPTRNAAVGNDFTGAWRSLSRHRNVTESVSFSNIGSGSFSPGSLIHASPEQLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGVESDQGSPVDLAKSYMQSLPPWQSPFIGSQKFKTPPSTGLHIHDDLGKSKYSLSSKVTTKEDYLSNFWDNLEESRRSRLGSSGSSPDAFKVRQYGSTSRLFENDTSIFSLDTHKKVDKPEQNNKGSDKVAGAELANGHSSPIASTKDKNDMLKLLVQNQKFMETQPQLRTKDTGSHTHATVNGSTKKTSANGLRDQSNANSGLESSGNDNPSCTNSSSAVPPASNEFIDLTTGAEDGDSVENGTKSNPEEPAQVNPKPSYVRRGRKRVVRGFINDNGCDY >LPERR04G23560.1 pep chromosome:Lperr_V1.4:4:21437705:21438424:-1 gene:LPERR04G23560 transcript:LPERR04G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKGVRQRNWGSWVSEIRHPLQKTRIWLGTYETAEDAARAYDEAARIMSGPAARTNFPLVDGAAAGSCLSPTLRARLEQCCAKDSSSSASASQGGAKAATGGVAGEENEAAAAAATGGGDGEEDYIDEMIRELTFYGSIEIVHSSA >LPERR04G23570.1 pep chromosome:Lperr_V1.4:4:21456140:21463373:1 gene:LPERR04G23570 transcript:LPERR04G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLTSAEGAQRMEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQAVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKEHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDTDGNWHRASKGAPEQILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYLALMTVIFFWAIHETDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVAANPLFADKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >LPERR04G23580.1 pep chromosome:Lperr_V1.4:4:21485130:21488922:1 gene:LPERR04G23580 transcript:LPERR04G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMPSAAAANSCDEFGGYGNGATPPPPALLPIMDQDAGGGGIQRDQHHHHHHHHQLGYNLEPSSLALLPPSNAAAAATIAHAAPHDILQFYPTSHYLTAAAGGGGGGNPYAHFAAAAGNTFQSYYGQQPAAAGAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSAEKRRTPGNKSATAKDKAGSSSKNAGGIGDGFETQLLGSAHMSKDQDQPMDLGEVVKEAVDPKGKASMQQQQAHHSLHQQHGFPFPSSSGSCLFPQSQAAVSSTDNTSNIAQVQEPSLAFHQHHQHSNILQLGQAMFDLDFDH >LPERR04G23590.1 pep chromosome:Lperr_V1.4:4:21493121:21496914:-1 gene:LPERR04G23590 transcript:LPERR04G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHGGGVCLVLALAAVLVGGAAAQLKQNYYGSTCPNAESTVRSVISQHLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAANGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYSVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFASNGLTQTDMIALSGAHTIGVTHCDKFVRRIYTFKQRLAYNPPMNLDFLRSMRRICPINYSSNAFAMLDVSTPKTFDNAYFNNLRYNKGLLASDQILFTDRRSRPTVNLFAANSTAFYDAFAAAMAKLGRIGVKTGSDGEIRRVCTAVN >LPERR04G23600.1 pep chromosome:Lperr_V1.4:4:21500962:21507995:1 gene:LPERR04G23600 transcript:LPERR04G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFDGGDQVVVASTFKLGEDILGRTELIIFPYLVPYIQEVNEAVYLSKPTPGVVGEVTRVVADEVVPETAVCLHEPLSGVDNAMIRQTPLLLLPGAFHRRGVAVQPQLLLELAFDAFVSIATEHLLQ >LPERR04G23600.2 pep chromosome:Lperr_V1.4:4:21500962:21507995:1 gene:LPERR04G23600 transcript:LPERR04G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFDGGDQVVVASTFKLGEDILGRTELIAVYLSKPTPGVVGEVTRVVADEVVPETAVCLHEPLSGVDNAMIRQTPLLLLPGAFHRRGVAVQPQLLLELAFDAFVSIATEHLLQ >LPERR04G23600.3 pep chromosome:Lperr_V1.4:4:21500962:21505888:1 gene:LPERR04G23600 transcript:LPERR04G23600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFDGGDQVVVASTFKLGEDILGRTELIAVYLSKPTPGVVGEVTRVVADEVVPETAVCLHEPLSGVDNAMIRQTPLLLLPGAFHRRGVAVQPQLLLELAFDAFVSIATEHLLQ >LPERR04G23600.4 pep chromosome:Lperr_V1.4:4:21500962:21505888:1 gene:LPERR04G23600 transcript:LPERR04G23600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFDGGDQVVVASTFKLGEDILGRTELIAVYLSKPTPGVVGEVTRVVADEVVPETAVCLHEPLSGVDNAMIRQTPLLLLPGAFHRRGVAVQPQLLLELAFDAFVSIATEHLLQ >LPERR04G23600.5 pep chromosome:Lperr_V1.4:4:21500962:21505175:1 gene:LPERR04G23600 transcript:LPERR04G23600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFDGGDQVVVASTFKLGEDILGRTELIAVYLSKPTPGVVGEVTRVVADEVVPETAVCLHEPLSGVDNAMIRQTPLLLLPGAFHRRGVAVQPQLLLELAFDAFVSIATEHLLQ >LPERR04G23610.1 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGCFKHVLYYLMISWTIHIPGEIRFVGTGDLRFFNPSSRWTQGEKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVPFQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.2 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGCFKHVLYYLMISWTIHIPGEIRFVGTGDLRFFNPSSRWTQGEKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.3 pep chromosome:Lperr_V1.4:4:21501942:21507302:-1 gene:LPERR04G23610 transcript:LPERR04G23610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVFINPEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLKGINDGILLKCHILIMIKKYFREKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVPFQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.4 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGCFKHVLYYLMISWTIHIPGEIRFVGTGDLRFFNPSSRWTQGEKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVPFQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.5 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGCFKHVLYYLMISWTIHIPGEIRFVGTGDLRFFNPSSRWTQGEKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.6 pep chromosome:Lperr_V1.4:4:21501942:21507302:-1 gene:LPERR04G23610 transcript:LPERR04G23610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVFINPEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGWCVEWVGLKGINDGILLKCHILIMIKKYFREKPYFIDLLDVWNEVALQTSLGQMIDLIGTHTGADDLTKYSIEGHVIHLPNLSIELDSDAAHIQYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.7 pep chromosome:Lperr_V1.4:4:21501942:21507302:-1 gene:LPERR04G23610 transcript:LPERR04G23610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVFINPEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLKGINDGILLKCHILIMIKKYFREKPYFIDLLDVWNEVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVPFQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.8 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLKGINDGILLKCHILIMIKKYFREKPYFIDLLDVWNEVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVPFQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23610.9 pep chromosome:Lperr_V1.4:4:21501942:21504567:-1 gene:LPERR04G23610 transcript:LPERR04G23610.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVLRSNGDEGVERELKEKLRLHSDASPVKCTRQQEQRCLSDHGIVDARERFMQAYGRLRDDLVGDDSCDLTDDARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLKGINDGILLKCHILIMIKKYFREKPYFIDLLDVWNEVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNAIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHVSYHFVRSYKSMDIYRNNVSHAHSQKNYGKKDSSSVSEVKRTYASLDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >LPERR04G23620.1 pep chromosome:Lperr_V1.4:4:21508137:21511239:-1 gene:LPERR04G23620 transcript:LPERR04G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGVSTALAAVGMSTGEMVRRAEDELRLLLRLHGRGGGHGGGGGGVTEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKIYFLELMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLDGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKNVYRELDLQDIFLEYESRVYKHLVSTIDAEPNRAIRDILKSFLKKIYRRKK >LPERR04G23630.1 pep chromosome:Lperr_V1.4:4:21512501:21516787:1 gene:LPERR04G23630 transcript:LPERR04G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRVGPYELMHLLFSPKIGRNRAVDCFTSTEIHSFRRRFAIFMNLLLQLFLLSIVGPIVAVVGNAIEFALNFASNILHGRMEYPDRKSASYRTITGLIDQRVDLERSITPGDSRYHAALCVMASKVAYENEAFIRDVVTRRWNMEFIQFFNCWNEFESAYTAQAFVFRDRAEGTVVVAFRGTPALDVSRWCADVDPSWYKIPRLGRAHAAYTHALGAQRNVGWPKWVEHVKGKPQRVYAYYSIRDAVKRLLLLLDGGDDKPAAAPAARLLLTGHGSGGAMALLFATVLAYHKEKAVMDRIAGVYTFGQPQVGDAMLAMFAERNLDRPKKRHFRFTYGDDLLPRLPTESSATHFLHFGVRLHFDTFYNLKVMKELPGEGSSSSPAAWVTTRVNSAWELARSVYLGYWKSSYCREGWLLLAMRAVAVALPGLPFHRVHDYVNSITLAAGDLIPKDM >LPERR04G23630.2 pep chromosome:Lperr_V1.4:4:21512501:21516787:1 gene:LPERR04G23630 transcript:LPERR04G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRVGPYELMHLLFSPKIGRNRAVDCFTSTEIHSFRRRFAIFMNLLLQLFLLSIVGPIVAVVGNAIEFALNFASNILHGRMEYPDRKSASYRTITGLIDQRVDLERSITPGDSRYHAALCVMASKVAYENEAFIRDVVTRRWNMEFIQFFNCWNEFESAYTAQAFVFRDRAEGTVVVAFRGTPALDVSRWCADVDPSWYKIPRLGRAHAAYTHALGAQRNVGWPKWVEHVKGKPQRVYAYYSIRDAVKRLLLLLDGGDDKPAAAPAARLLLTGHGSGGAMALLFATVLAYHKEKAVMDRIAGVYTFGQPQVGDAMLAMFAERNLDRPKKRHFRFTYGDDLLPRLPTESSATHFLHFGVRLHFDTFYNLKVMKELPGEGSSSSPAAWVTTRVNSAWELARSVYLGYWKSSYCREGWLLLAMRAVAVALPGLPFHRVHDYVNSITLAAGDLIPKDM >LPERR04G23640.1 pep chromosome:Lperr_V1.4:4:21518007:21524992:1 gene:LPERR04G23640 transcript:LPERR04G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNSAYTTAINFSVFLMFTAYAAYEAHGKPPKAFTCTDKMRRRNAKSEQGVSGNSPKERSPSPSPISIHHLRCAAAMSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQASRQHSTSTYIRVVNIVLHADWKYDGHV >LPERR04G23640.2 pep chromosome:Lperr_V1.4:4:21517945:21524992:1 gene:LPERR04G23640 transcript:LPERR04G23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQASRQHSTSTYIRVVNIVLHADWKYDGHV >LPERR04G23640.3 pep chromosome:Lperr_V1.4:4:21518251:21524967:1 gene:LPERR04G23640 transcript:LPERR04G23640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQASRQHSTSTYIRVVNIVLHADWKYDGHV >LPERR04G23640.4 pep chromosome:Lperr_V1.4:4:21517945:21523416:1 gene:LPERR04G23640 transcript:LPERR04G23640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQVTFLLECHTVI >LPERR04G23640.5 pep chromosome:Lperr_V1.4:4:21518251:21524992:1 gene:LPERR04G23640 transcript:LPERR04G23640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSLVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQASRQHSTSTYIRVVNIVLHADWKYDGHV >LPERR04G23640.6 pep chromosome:Lperr_V1.4:4:21518251:21523416:1 gene:LPERR04G23640 transcript:LPERR04G23640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGAAEEGAAATAAAPQQAGPVVTCKGVNGLEKVVLQEVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSVEDDAPPFPVPTSNRAYVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRFKCPGGFNQLALGGLVEVYPAIQVTFLLECHTVI >LPERR04G23650.1 pep chromosome:Lperr_V1.4:4:21526252:21526744:1 gene:LPERR04G23650 transcript:LPERR04G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVREEAWPLAAPQPQQPGPAPAPPTQQQQQQNGRIDLRELKLQIEKRLGPDRSRRYFGYLNGYLSERLSKADFDRLCLVALGRENLRLHNRLIRSVLYNIYHAKCPPPTPTPDVGRSVGAAVKKVCQQSEALSSCNGDVRFRDQGLWAQCKIISQKIG >LPERR04G23660.1 pep chromosome:Lperr_V1.4:4:21529635:21532475:-1 gene:LPERR04G23660 transcript:LPERR04G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRTVVSPVKRAWVVVAGRLRRKKEYDGRGVLVKLHDDIQTCAYEDVQVMWEILQRSETAKLATAPPSPGSARALVWLGRAGPPHHRRR >LPERR04G23670.1 pep chromosome:Lperr_V1.4:4:21534725:21538702:-1 gene:LPERR04G23670 transcript:LPERR04G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLASSAAARHHRLRRLPSSRPSPSSILRSPNRGRLCPAFPSVLCSSSDSSPKPTAGGEEEEEEETGRRISKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGVVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNYIAHILNIKIPLILGRIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDLDAGVGRFALDFYGALRSRTYDRAILKWVEDIGGHEQLNEKLLKRKKGEELPTFIPPKTTLDALIESGDSLVREQELIMNSKLSKEYMKNLDD >LPERR04G23670.2 pep chromosome:Lperr_V1.4:4:21534725:21538702:-1 gene:LPERR04G23670 transcript:LPERR04G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLASSAAARHHRLRRLPSSRPSPSSILRSPNRGRLCPAFPSVLCSSSDSSPKPTAGGEEEEEEETGRRISKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGVVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLNYIAHILNIKIPLILGRIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDLDAGVGRFALDFYGALRSRTYDRAILKWVEDIGGHEQLNEKLLKRKKGEELPTFIPPKTTLDALIESGDSLVREQELIMNSKLSKEYMKNLDD >LPERR04G23680.1 pep chromosome:Lperr_V1.4:4:21539563:21541272:1 gene:LPERR04G23680 transcript:LPERR04G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADASAEAAAIARRLASCNGSTRERAVRHLLTAFLPASAPHLSASDLLKLWKGLFFCFWHSDKPLYQSSLATRLAAGVSSASPSPSAAAAFFAAYLATLRREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFSPDLTSQVISIISEKVLLPEADNVAAGSPRGLGYHVAEVFLDELLPVLPVSLQTMELLLSPFFAVLEKSSDRVMVAKVKSSVFERLLESGKQLLEMVKKGEGVEKGSAEEKLGKVGLLFGFCKRLVDIGANAETVQANRKVVFGLRDGFMKIEKGLELSGVEIAVPEFESADVTVATGVDNNSMDLDEAKVEKKKKKAKKALLVESEKDGAKASKQEKKVKKVKKEKKEKKEKKKKRKVEAVDEGDAAEHSTDAPAEDDQQMDDGTDSVTFDEALMSNLQKQFEKAAAEAGMVNGGSSSSATPVRGQAAKKRKRAKSAERLSVSDGDDVSSESNVISQDGDKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPSSAAKRLRKLQNFSA >LPERR04G23690.1 pep chromosome:Lperr_V1.4:4:21542466:21543068:1 gene:LPERR04G23690 transcript:LPERR04G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPPPPTETSPPLPPPLAVDVSVIVGVLTAVLLALFLFLIYAKHCRGVGGLGIGIDDSSSSPPPCDLRCRSGLSRSAVGAIPVVRFGDIIIVVGGGEAECCAVCLGAFDAADELLRVLPRCRHAFHPDCVDAWLLEHSTCPVCRRRVDEVFDDGEAEVRVTVLQQRGADERTAARWPTDLEAARHDREESANGSPRSR >LPERR04G23700.1 pep chromosome:Lperr_V1.4:4:21543477:21544777:-1 gene:LPERR04G23700 transcript:LPERR04G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDLPTEAEAAGIAFQVQEPWFNVKKQTKEKKKFWKKKKFWNKKQAFAGGAQLWRRQLTITEGDQQRENLKRKSIVGNLPRSAKRLKTEARKKYDIAKEHATSKFMKLVSKLPSVNCKGTSVLHQSVKRPQDVPTVKGTTEVD >LPERR04G23710.1 pep chromosome:Lperr_V1.4:4:21552731:21553210:-1 gene:LPERR04G23710 transcript:LPERR04G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDDPSKAEVAPPTTAGEAVAHMSRSELWVTAAMLQLFSVSFTALVAWLFWHRDHSFYSTAPWRLPMWLSCGVYSSLALWIDSYIDLFLPRTPWALQESFMEYGYKLGSILLTLMEAIVLSISVEDTRVLVGCTCVVAACIGGLLLFWARLVRDYSD >LPERR04G23720.1 pep chromosome:Lperr_V1.4:4:21557177:21558911:1 gene:LPERR04G23720 transcript:LPERR04G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVILILLGICWKFLRPDIMRRLMRPNRTPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGSVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIAWRLYEQSKTLDLVDTKLKADADGFNSKEVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKAAGGGGEQSIIPAPVRPAFLDRKSLKDKNDNGGDTAAEMRSTSYWLRTPSPMVGDKPYDMSCGI >LPERR04G23730.1 pep chromosome:Lperr_V1.4:4:21564002:21564253:-1 gene:LPERR04G23730 transcript:LPERR04G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSRAAVVACLLLLAAACAEASRPLPAAREGSGVRQRSAFDVVVAGLVGIGLGHRWRPGEQLVDDEKRRVPTGPNPLHNR >LPERR04G23740.1 pep chromosome:Lperr_V1.4:4:21573767:21575020:1 gene:LPERR04G23740 transcript:LPERR04G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLLCLVFVSVLLLANLAYASSSSPSSSLSRQKGQVTQVLGRRGRELTVYRHEAGKQKEQHEVVAMEVRTKKTAAETKAGWVNEDEGAREGLIDSADYSGVVMHSPSPPKHRHPKKP >LPERR04G23750.1 pep chromosome:Lperr_V1.4:4:21575194:21582791:-1 gene:LPERR04G23750 transcript:LPERR04G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRRGDEATAAFDRRVFPILLAAAATGARTTSGTQPGADSSTIAARLLRRLLSRSPSSLISPLPSSLVAVLPLLLASRSASVAALSCEVLGAAALQSMEASEVLASDAAIADGLGRALRNGRQRVAKAACNAIMDLSASAVGRGRLAGSAVLPSILSTESSKNTCKAHKTFCLIVDTVVLMVNSCQVDNLYNLQQDLVRKILPLLYKIWRKVGTLELKSDCINSKNQLQSREHEISEAIFRISMNTPYASHLEPGEIRRSIFGQWVSDYENFLLNCWEKSTYLHTRKHKNLEKDCVFASLLSEFDPKTPDTIIQSLVNGVVSCPAISSDELDISSFLHDVQGTLGAAVKYRQDIRVVRTCDQFDQTSRGYGVEEHFFDDGMTFQDSDAFVAECKDAFKNGFSVALRGVEFRSEKIASIASAMADLFGQPSVGANIYFSPPRSQGLARHYDDHCVLVWQLLGRKKWMVWPNAKLILPRLYEPFESLDDSVDDSSGRVEVLHEGDIMYVPRGFVHEAHTDIDVGEFHANSTADCSLHLTLAIEVEPPFEWEGFTHMALHCWTEKHQSYQFGKSKVEEQTSLFALLLHVAIRLLSRDDAIFRKACMVAAKLPLSSSCTTTHLEALRSNQRSVFDEIIKKIDKSCNFKEALTCIELAVEKGNDEPFQWMCWLRHLPRHEAADDKVDFCNILGALEELLDAVSCNLEQSFAEFTDFKSKFCGFVVYEDACIEQLGISTLGGCWHCMEDMKAVVPAMQCQVGVRGKAAVRARPAAAGVGGRVWGVRRSGRGMSGFKVMAVSTESTGVVTRLEQLLNMDTTPFTDKIIAEYIWVGGSGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNHILVMCDTYTPAGEPIPTNKRNRAAQIFSDPKVVNQVPWFGIEQEYTLLQTSVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWMSRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEALAAKKLALKFVSFA >LPERR04G23750.2 pep chromosome:Lperr_V1.4:4:21575194:21582791:-1 gene:LPERR04G23750 transcript:LPERR04G23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRRGDEATAAFDRRVFPILLAAAATGARTTSGTQPGADSSTIAARLLRRLLSRSPSSLISPLPSSLVAVLPLLLASRSASVAALSCEVLGAAALQSMEASEVLASDAAIADGLGRALRNGRQRVAKAACNAIMDLSASAVGRGRLAGSAVLPSILKVGTLELKSDCINSKNQLQSREHEISEAIFRISMNTPYASHLEPGEIRRSIFGQWVSDYENFLLNCWEKSTYLHTRKHKNLEKDCVFASLLSEFDPKTPDTIIQSLVNGVVSCPAISSDELDISSFLHDVQGTLGAAVKYRQDIRVVRTCDQFDQTSRGYGVEEHFFDDGMTFQDSDAFVAECKDAFKNGFSVALRGVEFRSEKIASIASAMADLFGQPSVGANIYFSPPRSQGLARHYDDHCVLVWQLLGRKKWMVWPNAKLILPRLYEPFESLDDSVDDSSGRVEVLHEGDIMYVPRGFVHEAHTDIDVGEFHANSTADCSLHLTLAIEVEPPFEWEGFTHMALHCWTEKHQSYQFGKSKVEEQTSLFALLLHVAIRLLSRDDAIFRKACMVAAKLPLSSSCTTTHLEALRSNQRSVFDEIIKKIDKSCNFKEALTCIELAVEKGNDEPFQWMCWLRHLPRHEAADDKVDFCNILGALEELLDAVSCNLEQSFAEFTDFKSKFCGFVVYEDACIEQLGISTLGGCWHCMEDMKAVVPAMQCQVGVRGKAAVRARPAAAGVGGRVWGVRRSGRGMSGFKVMAVSTESTGVVTRLEQLLNMDTTPFTDKIIAEYIWVGGSGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNHILVMCDTYTPAGEPIPTNKRNRAAQIFSDPKVVNQVPWFGIEQEYTLLQTSVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWMSRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEALAAKKLALKFVSFA >LPERR04G23760.1 pep chromosome:Lperr_V1.4:4:21584986:21585297:1 gene:LPERR04G23760 transcript:LPERR04G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRVWLLAVVLVACVVAVAGAGEEEAAAAPAPAVRFGGIFGCNPLTDKTCRPGGDHRGPENQEEEGGFGVRVPSVPGDIDGDGDDDELPSFDTHMNILGH >LPERR04G23770.1 pep chromosome:Lperr_V1.4:4:21594662:21595468:1 gene:LPERR04G23770 transcript:LPERR04G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHHTCLVHAVLLLAAVAVAAAQPWTTCGTGGGGKYEKGSAYENNLLNLALTLRDGASSSPIVFSTGSNGAAPNTVYGLLLCRGDVTQSVCAACGTSVWHDVESACDRAKDAALVYNECYARFSDTDDFLASKEGPGLVTLLVSGTNITSSDVAGYNRAVTDLLTATVEYAVGDISRRMFATGQRVGNDPGFRNIYATAQCALDITLPACRRCLEGLVDKWWSMFPVNGEGARVAGARCQLRSELGAGPFYTGEPMVLLRDYGVPPA >LPERR04G23780.1 pep chromosome:Lperr_V1.4:4:21598395:21603933:-1 gene:LPERR04G23780 transcript:LPERR04G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLIGDRLFVANVGDSRAIICRGGNGIDTIAVSKDHKPDQMDERQRIEDAGGFVMTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEIVDHSLEFLILASDGLWDVVSNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNIICVVVCFLHGQGSSGYA >LPERR04G23790.1 pep chromosome:Lperr_V1.4:4:21607554:21608036:1 gene:LPERR04G23790 transcript:LPERR04G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLSGDAPFDLEIRVVGDDAATFHAHRMALAAQSLYFRRRLYGEVGNEAGGAPPSAIDVHGVSPEAFGAVLHYVYNDALPEEVTNKSGNAAAFMARELFEAADMYAMDRMKLLCANNLCRFIDVDTVSPIMEIAEAHSCELLKKARRNYMKRRRILAQ >LPERR04G23800.1 pep chromosome:Lperr_V1.4:4:21617579:21622585:-1 gene:LPERR04G23800 transcript:LPERR04G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRHRVISAVRSSYDLSTGVLLFSPRLSSPHHPRNRKRRPSRRILEKRNLVVRTVATKFFSLLPAAEGCTAQAPASARCLGPPGTLHLATGLLGAGIHIHRPSPTPPPPLHLNYPRSYTFPDPFHWRLKWGSPASVVLLIPWRRNRGQCRMVLNRELGASGGAPSSIPITLPFLSTISAGSITQDQTTQNLPASNFQKEFSYDPRLLVYGLKSGITCSLSEDSIQHAIADVKSTITEYMNPIPYEKKPSPY >LPERR04G23800.2 pep chromosome:Lperr_V1.4:4:21617581:21622195:-1 gene:LPERR04G23800 transcript:LPERR04G23800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRALPHVKRPGVFALQEQAEAGSPPDSPIKFADPDVPSPPPSQIHRPSPTPPPPLHLNYPRSYTFPDPFHWRLKWGSPASVVLLIPWRRNRGQCRMVLNRELGASGGAPSSIPITLPFLSTISAGSITQDQTTQNLPASNFQKEFSYDPRLLVYGLKSGITCSLSEDSIQHAIADVKSTITEYMNPIPYEKKPSPY >LPERR04G23800.3 pep chromosome:Lperr_V1.4:4:21619218:21622585:-1 gene:LPERR04G23800 transcript:LPERR04G23800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRHRVISAVRSSYDLSTGVLLFSPRLSSPHHPRNRKRRPSRRILEKRNLVVRTVATKFFSLLPAAEGCTAQAPASARCLGPPGTLHLATGLLGAGIHIHRPSPTPPPPLHLNYPRSYTFPDPFHWRLKWGSPASVVLLIPWRRNRGQCRMVLNRELGASGGAPSSIPITLPFLSTISAGSITQDQTTQNLPASNFQKEFSYDVTRFSLSNENLPPRNSAS >LPERR04G23800.4 pep chromosome:Lperr_V1.4:4:21619218:21622195:-1 gene:LPERR04G23800 transcript:LPERR04G23800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRALPHVKRPGVFALQEQAEAGSPPDSPIKFADPDVPSPPPSQIHRPSPTPPPPLHLNYPRSYTFPDPFHWRLKWGSPASVVLLIPWRRNRGQCRMVLNRELGASGGAPSSIPITLPFLSTISAGSITQDQTTQNLPASNFQKEFSYDVTRFSLSNENLPPRNSAS >LPERR04G23810.1 pep chromosome:Lperr_V1.4:4:21625002:21626293:1 gene:LPERR04G23810 transcript:LPERR04G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAALVAICPMPQLQ >LPERR04G23820.1 pep chromosome:Lperr_V1.4:4:21629139:21632062:1 gene:LPERR04G23820 transcript:LPERR04G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLDVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWIAGPIAMVCFAFVTYISAFLLSHCYRSPDSEKTQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHREGRDAPCDSNDEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGTVIWLINLVPLSAVIELSKGTSPALQWPPLCRKSGEWLKQSVTSPSPTRTPLSCSRYRCIAEKADTFFRRFSDFQLIAKDSSEQDTLRSPPPEIETMQKGNVIAVVATTFFYLCVSCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRRLAASFPNSAFVNRTYSVKIIPGTTRSSCEVNLQRVCFRTVYVATTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVQMYCVQRRISPWTARWVVLQAFSVVCFVVGTFAFVGSVEGVIRKRLG >LPERR04G23830.1 pep chromosome:Lperr_V1.4:4:21632411:21639172:1 gene:LPERR04G23830 transcript:LPERR04G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYRNLVRNGPGHVKLLPEEEDDLWHCYNLIVPGDTVEAYTVRYYPVVDVIYSFWFSNCDLLISSSCALLVVLPKLEEELGKVLRDVASGARESERVKLKLAIVVESVDYDKEGAVLRARGKNITENEHVKLGQYHTMELEAKRQFTLTKDMWDWVALDTIQQACDPAASADLAVILMQEGLAHLFLIGRSITVTKARIETSIPRKHGPAIAGYESALKKFFEHVLQALIKLDFEVIQCVVIASPGFTKDQFRDYAYLEAARRDERKIIENKSRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEVQALKDFYNMLTNDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVQSVKKFGGTVHIFSTMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >LPERR04G23840.1 pep chromosome:Lperr_V1.4:4:21641795:21642180:1 gene:LPERR04G23840 transcript:LPERR04G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASVVGWNGGGGGGWRERRARQRLRRRQYCGGTVWLGRRPRRRAVAVSRLVRWRLLVALPQLSFPFVGTLALPAAVA >LPERR04G23850.1 pep chromosome:Lperr_V1.4:4:21643926:21648916:-1 gene:LPERR04G23850 transcript:LPERR04G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRTPPSPPPPIRNPNPSLRQRGVGGAELAASAATTTKQMLAFHFLRALSRIHRATASPVTRRTRNIRRAAYSSMARAASPRRAWSRAILGQARARRSRTLMRRAVMVRRRRVAAAASRIAAGGETSAAAARAALPEPPPPIPRQVDEPARADALRRLVPGGAGMEYCSLLEETADYMQLLRQQVQLMQGLVNLFSIESAGNTLNHTKNKKDYIERKRSIWLGRSTNSLSKITKLYT >LPERR04G23860.1 pep chromosome:Lperr_V1.4:4:21660788:21665005:-1 gene:LPERR04G23860 transcript:LPERR04G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPAPSTHPPASLCTIRRSLDPVFVDAPAVLWEHHDVEDLFAREGSAWTKHIIHARKNKGELMLETYCKKFFSSLDIQNAFHGQLAWKQLMTVGSQVPKASPFLSRVACAIILAATRSNLVPYLFAFVAGEIMLAQKTSADGEYVPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSIVMAPFADTLGSKYRKTWLRLVHRTLEKAVHRAGLRFRYPGQQMKKVTVAVKVRHPGVGESIRRDFSIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIEPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAERTLKLSKQQNCPNPKAFIEEVERSFSFWGTTEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >LPERR04G23870.1 pep chromosome:Lperr_V1.4:4:21665906:21669915:1 gene:LPERR04G23870 transcript:LPERR04G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIQDNEVDIVIAALQPNLTALFEAWRPFFSRFHIIVVKDPDLAEELQIPSGFDLKVYTKSDIGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDHNCLPAKDNGGLTVDAVSQHMSNLKTPATPKFFNTLYDPFREGADFVRGYPFSWRDGVECMLSCGLWLHNADYDPMTHVVKRNQRNTAYVDAVMTVPYGVMMPMSGINVAFNREVLGPVMAPALRLRKEGKHRWDTLEDVWNGMCAKVVCDSLGYGVKTGLPYVIRSDAEAGEALESLKEWEGVKVMDAVHPFFKSLELSGNATTVEDCIKELTSIVRKELGPKNAIFAKAVDAMEEWTKLWKSHGIHSA >LPERR04G23880.1 pep chromosome:Lperr_V1.4:4:21668947:21680557:-1 gene:LPERR04G23880 transcript:LPERR04G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSRRALPSLRQPVGSSRDVDEDDEGSSGDNHSGFSGLPRDKQTPSASDLEQEDGRKDLVSEDEFCDGKLKTTGSSSSNSAELIKDNVVLSKDPTLVFHEKLSLESSPGVTDLNGKVTHDLLQDSPQNKVTHSSQESRSDGKYVEVESKDGSSFEDSDVFSFQAGSQNINFQQEAKNSVVEVGNELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSATGEEFQYNGAQNDLAKELKTRMAQKQKENDTEPMNGGKLLEYVMRLREEDIDGTAFDEAIHGEGIFPLQSVEYSKIVAQLKPRESEDVILSSCQKLMLFFSQRPEQKQIYVSNNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQAREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPLMLDISKTRLDHHHSSGSLQSLQADADRHHIILDPSASPRFTDIAAAGHMERNDNDPVRPQRLSVCAGRTSTDRSPKHIELVSNGHGNGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHGSTEKKTNGELDLLMAEFTDLTRHGRENGNHDSNIKASHRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWASTALESIAVCLSHDNDLRKVEQALLKKEAIQKLVKFFQDCPEQYFGHTLDTFLKIITKSSRINTALATNGLTTLLIARLDHREAIVRVTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRRGQQVLVKQMATSLLKALHINTVL >LPERR04G23890.1 pep chromosome:Lperr_V1.4:4:21683166:21685419:-1 gene:LPERR04G23890 transcript:LPERR04G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGVAASLFSLLCCNRGPSTNLMILVQCIVLMRVQWTELPELGKHGSPFTHMMFNWKLLCQFMIPFDLGYYSYGLLLDDVGNRLSCTAEATDQPYPKWGVSRVLKFEV >LPERR04G23900.1 pep chromosome:Lperr_V1.4:4:21692673:21700856:1 gene:LPERR04G23900 transcript:LPERR04G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHMGSNKGFIIPPVINHGSHDEHATSAARADQPHEGRSRKSGGFAEAERMESRLYMTFSLATNAFAQPLTNYLIKRYNMKQNTATDVANIFSGTSSFSPVVGAFAADALWGRFRTLVFGIVAAFLGMVIVTLSATISHLKPPSCGDVARQAAGECAGPSGIHLAVLYIGMALHVVAAAGFNPTSIPFGADQFDAANDNEGEEAAGLKRYYNWCYAVAMAASFVAFTFVPYVQDKVSWGLSFGIPTALMLVAFAVFLAGTPLYVHVPPEGSIFSSVARVLVASCRKWSLKLPHPRDARQQEAHLYNPPFVAGGNGRVFKLPLTLQLTFFNKAAIIMTDADEIRPDGTPARPWSLCSVQQVEEVKCLVKIIPVWISGLLSFIVSTELTNYTFLQALTMDLHIGSSSKGFTIPPVSIIAVYNLTILLFVPVYDLLIARAAQRVTKVEGGITVLQRQGVGVAISAVALVVAAVFERRRRGSALENGGITSPMSVFLLSPQLAVMGIAVAFNMIGQLEFYNTQFPDQMRTLANAAFYCAQGASNYMATLVVNIVNARTRRHGGGQGWVPNDINAGKLDYFYYAMAVFAVVNLIYFLVCSYFYRYKGWKGSIHGSYGERVSAAVGAGQPHRLYMATAMAFNAFAQPVTNYLIKRYNMKPNTATKVTNIFSGTYSFSPVVGAFVADAFCGRFWTLLFGAVAALVAMVVITLSATISQLKPPSCSDVARQAGTCAGPSSLHRAVLYIGMALLVVATGGYQPTSLPFGADQFDLNTSRRDTSDGNETNGRCHEDNEPAGLKRYYNWYYVINTAAAFVALTFIVYIQDKVSWGLGFAIPTALLLAGLAVFLAGAPLYVYVHPQGSIFSSVARVLVASCRNSSLRLPHPRDARQQVAHLYNPPVVVGGGNGRVFKLPLTLQLSFLNKAAIVTDAENEIKPDGSPARPWRLCSVQQVEETKCLVKIIPVWISGVMWFITLAELTNYTFLQALTMDLHMGKRFIVPPVSIVAIFYLSIVLFVPVYDLLITRAAQRVTKVEGGVTVLQRQGVGVAISAVSLVVAAVTERRRRASVSPPSVFLLAPQLAVMGVSAAFNMIGQMEFYNTQFPDQMRTLANAAFYCAQGASSYLATLVVNIVNARTRRHGGGQGWVVNDINAGRLDNFYYTMAVFAGINFVYFLVCSYFYRYKDEPQEEADSSPVTREAVLVKIG >LPERR04G23910.1 pep chromosome:Lperr_V1.4:4:21701616:21702165:-1 gene:LPERR04G23910 transcript:LPERR04G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCAKCKRKVLQAVSGLQGVDKIEIDSEKSTMTVTGSVDPVDVIERTRKAGKRAEVVTIGPPASASAASASKPAESQQQQKKQPASEEKVHYSVAAEKRAPEPPATVYVHYVPSWSSTSWPPYYEQSVVYHQDPPPACSIM >LPERR04G23920.1 pep chromosome:Lperr_V1.4:4:21703499:21717463:-1 gene:LPERR04G23920 transcript:LPERR04G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEEDAKDWVYKGEGAANLILGYTGSSPSMLGKVLRIKKILKDKGQPAPNCMVFSSYEELLWGKIPGLLESVKNDCLPQAYATNVMSQHLGASHVDGGVRVRVSKNFLELVEKNVLNSRPAWRVNASAIDAGADSALLISDHSLFSGNPIGSSCIAVEIKISKTSDYDPLDLFSGSKERIQMAIKSFFSTPQNNFRIFVNGSLVFGGMGGGADSIHRNETEKCLEDLSKATSLQLSDFIELLSEAIYKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDAELLRKYSTLHSLPLDKCEKIVRDFLISATAKDCSLMFSFRPRQSGTTDSEYDSVFLESLNQSYDYKAYFIDLDMKPLDKMAHYFKLDQKIVRFYIRNGEAENPCDSPEEDSSKTLFKVLVALVHPHQRSFKSYDQRAVYFKCVLQVPTAGPRLTHETRDESDDTREGRGTIWIRLSDGTFPSPAAGMASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >LPERR04G23920.2 pep chromosome:Lperr_V1.4:4:21703499:21712736:-1 gene:LPERR04G23920 transcript:LPERR04G23920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIVCTSSKGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQVIKLFLVKQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >LPERR04G23920.3 pep chromosome:Lperr_V1.4:4:21703499:21712736:-1 gene:LPERR04G23920 transcript:LPERR04G23920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQVIKLFLVKQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >LPERR04G23920.4 pep chromosome:Lperr_V1.4:4:21703499:21712736:-1 gene:LPERR04G23920 transcript:LPERR04G23920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >LPERR04G23920.5 pep chromosome:Lperr_V1.4:4:21703654:21712736:-1 gene:LPERR04G23920 transcript:LPERR04G23920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIVCTSSKGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQVIKLFLVKQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRSYSRKPESDASCESS >LPERR04G23920.6 pep chromosome:Lperr_V1.4:4:21703654:21712736:-1 gene:LPERR04G23920 transcript:LPERR04G23920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEGPAARGVDDASGASTNQAGADGGGGGGASADSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGGIDWIPLREDVSEQLELAYHCQVWHRRKFQPSGLFAARVDLQGSTLDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYGHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEVQKAKLPDTVTTNYSGIKEQDTSSTSGRSCADNVNDVVDKGSTRTDASCTEETAAPTCVVEISPEVATNAQPAVVDGEQIEIENQVLSHELACSEEGATSSVRAKDTDSSAEEVHEDVLDKDTLILSLKGEVELLKARLTQLEQQNSLVTENSAESHQGKSDSHAVNLSLGKQSLGQGSTSESYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIIPYHRGGKRIHVGVQEFTEDIAAWSQAVARQLKSLKVKAVAALLSLSRNDTDEDVDSNEEKEKSYGYMMMERLTGSPDGRIDHVLQVIKLFLVKQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERVPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRSYSRKPESDASCESS >LPERR04G23920.7 pep chromosome:Lperr_V1.4:4:21713804:21717463:-1 gene:LPERR04G23920 transcript:LPERR04G23920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEEDAKDWVYKGEGAANLILGYTGSSPSMLGKVLRIKKILKDKGQPAPNCMVFSSYEELLWGKIPGLLESVKNDCLPQAYATNVMSQHLGASHVDGGVRVRVSKNFLELVEKNVLNSRPAWRVNASAIDAGADSALLISDHSLFSGNPIGSSCIAVEIKISKTSDYDPLDLFSGSKERIQMAIKSFFSTPQNNFRIFVNGSLVFGGMGGGADSIHRNETEKCLEDLSKATSLQLSDFIELLSEAIYKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDAELLRKYSTLHSLPLDKCEKIVRDFLISATAKDCSLMFSFRPRQSGTTDSEYDSVFLESLNQSYDYKAYFIDLDMKPLDKMAHYFKLDQKIVRFYIRNGEAENPCDSPEEGSPSDDTKIQLEHWA >LPERR04G23930.1 pep chromosome:Lperr_V1.4:4:21718573:21724313:-1 gene:LPERR04G23930 transcript:LPERR04G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVDGDAAAKEPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLAAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKDFLKEKVRERKRELKQAKEARKKAIDDMDPKTKEAFENIQFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >LPERR04G23930.2 pep chromosome:Lperr_V1.4:4:21718573:21724313:-1 gene:LPERR04G23930 transcript:LPERR04G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVDGDAAAKEPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLAAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKDFLKEKVRERKRELKQAKEARKKAIDDMDPKTKEAFENIQFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >LPERR04G23940.1 pep chromosome:Lperr_V1.4:4:21731974:21742691:1 gene:LPERR04G23940 transcript:LPERR04G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPIAFLCILYSLKCTPALQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEESIKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLANMGKIHFEKIRMKPGKPLTFAEIVTKDTLKPSKTVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQDFHRAVIRWVPDDGSGRPGYIAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMISSPFDKLTTAPNQLPSHLFPSAKSASTDLSQVAASNNAEVKVAILTVSDTVSTGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKAVIEKEAPGFAYVMLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALLPVLKHALKQIKGDKREKHPRHVPHADAAPVDQWDRSFRAASSGRGCSCEP >LPERR04G23940.2 pep chromosome:Lperr_V1.4:4:21731974:21742691:1 gene:LPERR04G23940 transcript:LPERR04G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVEDALAAVLLSAARGATAVADAVPLADALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDAISVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGGPDGSKRVRILVRATEGQDIRNVGCDIEKDSLVLKSGEHIGPPEIGLLATMGVTTVKVYRRPTIAVFSTGDELVQPGTASLSRGQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEESIKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLANMGKIHFEKIRMKPGKPLTFAEIVTKDTLKPSKTVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQDFHRAVIRWVPDDGSGRPGYIAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMISSPFDKLTTAPNQLPSHLFPSAKSASTDLSQVAASNNAEVKVAILTVSDTVSTGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILMNFNVLLVTYAGGTGFTPRDVTPEATKAVIEKEAPGFAYVMLQESLKIINMPGNPNAVAECMEALLPVLKHALKQIKGDKREKHPRHVPHADAAPVDQWDRSFRAASSGRGCSCEP >LPERR04G23940.3 pep chromosome:Lperr_V1.4:4:21731974:21742691:1 gene:LPERR04G23940 transcript:LPERR04G23940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAIQHKCKVVDLGIAKDTEESIKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLANMGKIHFEKIRMKPGKPLTFAEIVTKDTLKPSKTVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQDFHRAVIRWVPDDGSGRPGYIAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMISSPFDKLTTAPNQLPSHLFPSAKSASTDLSQVAASNNAEVKVAILTVSDTVSTGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKAVIEKEAPGFAYVMLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALLPVLKHALKQIKGDKREKHPRHVPHADAAPVDQWDRSFRAASSGRGCSCEP >LPERR04G23950.1 pep chromosome:Lperr_V1.4:4:21740037:21747307:-1 gene:LPERR04G23950 transcript:LPERR04G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHHQRRFAGSGDAPPPPPSNANPHWYPAHAPPPYPPHANHPFPPQHHQWGPPQRHPPSLYGYHPSLPPMPMQQQQQPPLPPAAANPWPPHHTAAAAAQPPPPSYPPPPPPPGQAWTNQSWAQNHGYTGHGNEEDWATKAKAWAAAKSVTENHHIQQHALSSNRPEAHNYGYQDKYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLSDGGPVAPSAKHFGSFPSTYEQEVSYNYSSTPGVGNAMIQYPSSQTKPPPTASAIQDGFPQATNSVHIAPSLEQPHFGHNGQASRTLVDPSDQPLEFNSRKAPDMALHRTTNFNSTIPAASDHDAHPVPTQSWAPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAISGSSYVPPAAFGVGGAAEGFPVDANTPFNVAERSKKPPVPNWLREELLKKKSTSMNASVQHPAEFHSTGSEDTENTLKSADQADGRSMDSAKSTDDDEDDEDEIEAARMAAINQEIKRVTDDLFDEIATKVLNEDDSSTEPTEPTRVSSVKGPHLGLKVSAKVVPAKPTNVSSADHSDGTGSSPKGGALLGLASYDSDDEDDEGAGEDKIYHLKLKLAHPIKKKASEKANNDEQHGNHKEKNSAIQNASSGEDCKQDLRIHETHSREVPSDAKISSQLKDVVPALDKKANGKVPHSGNNAENYSNVQSDLGKSSNDEDFVKEPKVVRRKESESSSKKYKDDDKSSMYGNIDKKGNFKEEKGSHRSVKHGADTREPHSRGNSKHDDAKGDRKDYQRDVREKSRDSIDRREKGKHEKEDRSRQITKSSSSHSSRRSRSPSARSSAKKESSSRRESVSSDEPSDSAKRRKLHSRKSSMSPSAPKSKNRLMEEAFQIKNSSQKKIEDSHFDVSKMLVWASL >LPERR04G23950.2 pep chromosome:Lperr_V1.4:4:21740037:21747307:-1 gene:LPERR04G23950 transcript:LPERR04G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHHQRRFAGSGDAPPPPPSNANPHWYPAHAPPPYPPHANHPFPPQHHQWGPPQRHPPSLYGYHPSLPPMPMQQQQQPPLPPAAANPWPPHHTAAAAAQPPPPSYPPPPPPPGQAWTNQSWAQNHGYTGHGNEEDWATKAKAWAAAKSVTENHHIQQHALSSNRPEAHNYGYQDKYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLSDGGPVAPSAKHFGSFPSTYEQEVSYNYSSTPGVGNAMIQYPSSQTKPPPTASAIQDGFPQATNSVHIAPSLEQPHFGHNGQASRTLVDPSDQPLEFNSRKAPDMALHRTTNFNSTIPAASDHDAHPVPTQSWAPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAISGSSYVPPAAFGVGGAAEGFPVDANTPFNVAERSKKPPVPNWLREELLKKKSTSMNASVQHPAEFHSTGSEDTENTLKSADQADGRSMDSAKSTDDDEDDEDEIEAARMAAINQEIKRVTDDLFDEIATKVLNEDDSSTEPTEPTRVSSVKGPHLGLKVSAKVVPAKPTNVSSADHSDGTGSSPKGGALLGLASYDSDDEDDEGAGEKANNDEQHGNHKEKNSAIQNASSGEDCKQDLRIHETHSREVPSDAKISSQLKDVVPALDKKANGKVPHSGNNAENYSNVQSDLGKSSNDEDFVKEPKVVRRKESESSSKKYKDDDKSSMYGNIDKKGNFKEEKGSHRSVKHGADTREPHSRGNSKHDDAKGDRKDYQRDVREKSRDSIDRREKGKHEKEDRSRQITKSSSSHSSRRSRSPSARSSAKKESSSRRESVSSDEPSDSAKRRKLHSRKSSMSPSAPKSKNRLMEEAFQIKNSSQKKIEDSHFDVSKMLVWASL >LPERR04G23950.3 pep chromosome:Lperr_V1.4:4:21740037:21747307:-1 gene:LPERR04G23950 transcript:LPERR04G23950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHHQRRFAGSGDAPPPPPSNANPHWYPAHAPPPYPPHANHPFPPQHHQWGPPQRHPPSLYGYHPSLPPMPMQQQQQPPLPPAAANPWPPHHTAAAAAQPPPPSYPPPPPPPGQAWTNQSWAQNHGYTGHGNEEDWATKAKAWAAAKSVTENHHIQQHALSSNRPEAHNYGYQDKYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLSDGGPVAPSAKHFGSFPSTYEQEVSYNYSSTPGVGNAMIQYPSSQTKPPPTASAIQDGFPQATNSVHIAPSLEQPHFGHNGQASRTLVDPSDQPLEFNSRKAPDMALHRTTNFNSTIPAASDHDAHPVPTQSWAPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAISGSSYVPPAAFGVGGAAEGFPVDANTPFNVAERSKKPPVPNWLREELLKKKSTSMNASVQHPAEFHSTGSEDTENTLKSADQADGRSMDSAKSTDDDEDDEDEIEAARMAAINQEIKRVTDDLFDEIATKVLNEDDSSTEPTEPTRVSSVKGPHLGLKVSAKVVPAKPTNVSSADHSDGTGSSPKGGALLGLASYDSDDEDDEGAGEKANNDEQHGNHKEKNSAIQNASSGEDCKQDLRIHETHSREVPSDAKISSQLKDVVPALDKKANGKVPHSGNNAENYSNVQSDLGKSSNDEDFVKEPKVVRRKESESSSKKYKDDDKSSMYGNIDKKGNFKEEKGSHRSVKHGADTREPHSRGNSKHDDAKGDRKDYQRDVREKSRDSIDRREKGKHEKEDRSRQITKSSSSHSSRRSRSPSARSSAKKESSSRRESVSSDEPSDSAKRRKLHSRKSSMSPSAPKSKNRWYFHMNFSGVEEAFQIKNSSQKKIEDSHFDVSKMLVWASL >LPERR04G23950.4 pep chromosome:Lperr_V1.4:4:21740037:21747307:-1 gene:LPERR04G23950 transcript:LPERR04G23950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHHQRRFAGSGDAPPPPPSNANPHWYPAHAPPPYPPHANHPFPPQHHQWGPPQRHPPSLYGYHPSLPPMPMQQQQQPPLPPAAANPWPPHHTAAAAAQPPPPSYPPPPPPPGQAWTNQSWAQNHGYTGHGNEEDWATKAKAWAAAKSVTENHHIQQHALSSNRPEAHNYGYQDKYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLSDGGPVAPSAKHFGSFPSTYEQEVSYNYSSTPGVGNAMIQYPSSQTKPPPTASAIQDGFPQATNSVHIAPSLEQPHFGHNGQASRTLVDPSDQPLEFNSRKAPDMALHRTTNFNSTIPAASDHDAHPVPTQSWAPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAISGSSYVPPAAFGVGGAAEGFPVDANTPFNVAERSKKPPVPNWLREELLKKKSTSMNASVQHPAEFHSTGSEDTENTLKSADQADGRSMDSAKSTDDDEDDEDEIEAARMAAINQEIKRVTDDLFDEIATKVLNEDDSSTEPTEPTRVSSVKGPHLGLKVSAKVVPAKPTNVSSADHSDGTGSSPKGGALLGLASYDSDDEDDEGAGEDKVPMSDLSSETKAGTSHQEEGKEVPSDAKISSQLKDVVPALDKKANGKVPHSGNNAENYSNVQSDLGKSSNDEDFVKEPKVVRRKESESSSKKYKDDDKSSMYGNIDKKGNFKEEKGSHRSVKHGADTREPHSRGNSKHDDAKGDRKDYQRDVREKSRDSIDRREKGKHEKEDRSRQITKSSSSHSSRRSRSPSARSSAKKESSSRRESVSSDEPSDKSFIHEEAFQIKNSSQKKIEDSHFDVSKMLVWASL >LPERR04G23950.5 pep chromosome:Lperr_V1.4:4:21740037:21747307:-1 gene:LPERR04G23950 transcript:LPERR04G23950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHHQRRFAGSGDAPPPPPSNANPHWYPAHAPPPYPPHANHPFPPQHHQWGPPQRHPPSLYGYHPSLPPMPMQQQQQPPLPPAAANPWPPHHTAAAAAQPPPPSYPPPPPPPGQAWTNQSWAQNHGYTGHGNEEDWATKAKAWAAAKSVTENHHIQQHALSSNRPEAHNYGYQDKYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLSDGGPVAPSAKHFGSFPSTYEQEVSYNYSSTPGVGNAMIQYPSSQTKPPPTASAIQDGFPQATNSVHIAPSLEQPHFGHNGQASRTLVDPSDQPLEFNSRKAPDMALHRTTNFNSTIPAASDHDAHPVPTQSWAPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAISGSSYVPPAAFGVGGAAEGFPVDANTPFNVAERSKKPPVPNWLREELLKKKSTSMNASVQHPAEFHSTGSEDTENTLKSADQADGRSMDSAKSTDDDEDDEDEIEAARMAAINQEIKRVTDDLFDEIATKVLNEDDSSTEPTEPTRVSSVKGPHLGLKVSAKVVPAKPTNVSSADHSDGTGSSPKGGALLGLASYDSDDEDDEGAGEKANNDEQHGNHKEKNSAIQNASSGEDCKQDLRIHETHSREVPSDAKISSQLKDVVPALDKKANGKVPHSGNNAENYSNVQSDLGKSSNDEDFVKEPKVVRRKESESSSKKYKDDDKSSMYGNIDKKGNFKEEKGSHRSVKHGADTREPHSRGNSKHDDAKGDRKDYQRDVREKSRDSIDRREKGKHEKEDRSRQITKSSSSHSSRRSRSPSARSSAKKESSSRRESVSSDEPSDKSFIHEEAFQIKNSSQKKIEDSHFDVSKMLVWASL >LPERR04G23960.1 pep chromosome:Lperr_V1.4:4:21749052:21750203:-1 gene:LPERR04G23960 transcript:LPERR04G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTEVVLPSLEDQGVRQLYPKSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIQRRKEAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >LPERR04G23970.1 pep chromosome:Lperr_V1.4:4:21751117:21758467:1 gene:LPERR04G23970 transcript:LPERR04G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQMLNKEHEKAEDSKPTAIPSAAGS >LPERR04G23980.1 pep chromosome:Lperr_V1.4:4:21757281:21759081:-1 gene:LPERR04G23980 transcript:LPERR04G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFKFHEQKLLKKTNFLDFKRERGHRDAIITQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRTEMTDMLLEKLYNMGVIPTKKSLLTCEKLSVSSFCRRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVLEYNGELDDFDAMA >LPERR04G23990.1 pep chromosome:Lperr_V1.4:4:21762208:21762651:-1 gene:LPERR04G23990 transcript:LPERR04G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRYIVWLRHTLRRWRSRAAARAASTAAGEFVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGASGPVALPCDEVLFEHVLRHLSSPSSAARFLTLDDIQSGALSCCCAAAGESLPLLRGIAAADKAVW >LPERR04G24000.1 pep chromosome:Lperr_V1.4:4:21775900:21776355:-1 gene:LPERR04G24000 transcript:LPERR04G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSRAAGQVKEQQQQQAAVPAGYVAVSVEGAGGEEEARRRFVVRVVHLNHPAFRELLREAEEEYGFPSGASGPVSLPCDEDHFRDVLRRVSSSHSSSLAFCGVTKRRAESASSSTSSRAPLLQGMAVDNLVW >LPERR04G24010.1 pep chromosome:Lperr_V1.4:4:21779539:21781617:-1 gene:LPERR04G24010 transcript:LPERR04G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATTFLPTASNESTLRPSFVRDEDERPRVAYNKFSDAVPVVSLRGIDGEARAEIRARVAAACEAWGIFQVVDHGVDAALVADMARLARGFFALPPEEKLLFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKARDYSRWPDKPEGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALAEACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPIPGSFVVNLGDHAHYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLAVRDGEEPILEEPITFAEMYKRKMARDLELAKLKKKAKEQRQLQQHQAPPPPTHVAAELTVQKKPVSLDEILA >LPERR04G24020.1 pep chromosome:Lperr_V1.4:4:21785581:21789389:-1 gene:LPERR04G24020 transcript:LPERR04G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLEARGTATAMGALEEAHLATAAAAAAAAIAECECYEEEEEEEEDELVEADGGEAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYRLKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLANEVCGALHTSIQPAGVAVALQCWHIPLPENLKCKSLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSPSASVAWCPLRSHEVPVCNGHCKKTTTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNFSVYQSPAGDAAGHQAIHSVLHLPFCAQCEHHLLPFYGVVHIGYFDSGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKECFLQNILDTTGLAV >LPERR04G24020.2 pep chromosome:Lperr_V1.4:4:21785581:21789203:-1 gene:LPERR04G24020 transcript:LPERR04G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLATAAAAAAAAIAECECYEEEEEEEEDELVEADGGEAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYRLKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLANEVCGALHTSIQPAGVAVALQCWHIPLPENLKCKSLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSPSASVAWCPLRSHEVPVCNGHCKKTTTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNFSVYQSPAGDAAGHQAIHSVLHLPFCAQCEHHLLPFYGVVHIGYFDSGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKECFLQNILDTTGLAV >LPERR04G24030.1 pep chromosome:Lperr_V1.4:4:21794084:21803042:1 gene:LPERR04G24030 transcript:LPERR04G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGEPEKAAAAAADGEGKVDGAKGGELLYCGATNFETMGRKVVGGAQGNLVSPTRMRSLMGVDICFVASGCTACHCVALDTEGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKVVKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPVPCLVTEATNAICGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNVKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIVSAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGVAQSGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGYGLSVIVVDRTIAVEDQVEVQVAKKASASTNSRSNKRKKTKEVSESEDDDDEEDDSDDDENGEIKGANGRRGRKPSNRGRGRGTKKATPEAKPSGRGRGRPKKTESPAQKTGSSGRGGRGKRGRARK >LPERR04G24040.1 pep chromosome:Lperr_V1.4:4:21801281:21804682:-1 gene:LPERR04G24040 transcript:LPERR04G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSSSSRFSSAPELLRGCRGEAEWAESNPEPRARFCFPNSNPIRHLIGLGRGEIGIISRPVDPTHRNPQSDSGRPPSDPPPETPCSSPAPANAGHAPVSTPALNMKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLGKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFREMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIKESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPTDGEEEDALIDMDI >LPERR04G24050.1 pep chromosome:Lperr_V1.4:4:21811162:21816737:1 gene:LPERR04G24050 transcript:LPERR04G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPKNGCLKILACAGAGSDPSAGSDGDGDDRADENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPTNIYSSTYSYASEKPLHQEKPDDKVLHQEKSDEKILQQDKPDEKILQQEEPDEKILQEEKPDEKILQEDKPEDKPFHEEKPDEKPTEKPMEQPDNGPIEKPADQIIERSIEQPAEKISEAPIQEPAEGIAETPVVKPNDKDVEEHAEKMDESIFISSTEVKQEETVSLFDRSSADHQEDNAAAAAAVIQSDIRPYTGEKELPDDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRARQSHQYGGKFQDTSISSSSEKLLHSGFALKLMDSMSTSKPIHIKCDPSEPDVAWKWMERWTSMIPPNTEGHLLDDIENSESVDEKIKEDAHHEETTPLDSDISFPKLVPDDVEETLRPSDSYALEVSACVPDRNSGMEIEDVSEPELIEKFNEDVEKLTGPKTENIAEQPLEVPVEQSTQIATSREPTPLPEKPESSYEDSMDACKTEQALEVEGKRFMTRKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGPNKSKLHTSRSQDDVSPKQSNDTSIQEGSVGHDPKIVLAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNHVVDDAEKETSVLHSEVNSSGGVIQPEKEEEQNGDIANPIVAIDPVPVEQQHVGQEKPDLHDELEKSVGSYMKTPEGTPMSQTTFAESHGTPSSEISVNTKKSKSKRPKSHVSKRSLTSPSSDSVGWSSTDNLSKDYRLGRRESSGKGVKSDLVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSHAQQNVKSNSAVPHNSTGKCTQIGDGIYDECA >LPERR04G24050.2 pep chromosome:Lperr_V1.4:4:21811162:21816737:1 gene:LPERR04G24050 transcript:LPERR04G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPKNGCLKILACAGAGSDPSAGSDGDGDDRADENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPTNIYSSTYSYASEKPLHQEKPDDKVLHQEKSDEKILQQDKPDEKILQQEEPDEKILQEEKPDEKILQEDKPEDKPFHEEKPDEKPTEKPMEQPDNGPIEKPADQIIERSIEQPAEKISEAPIQEPAEGIAETPVVKPNDKDVEEHAEKMDESIFISSTEVKQEETVSLFDRSSADHQEDNAAAAAAVIQSDIRPYTGEKELPDDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRARQSHQYGGKFQDTSISSSSEKLLHSGFALKLMDSMSTSKPIHIKCDPSEPDVAWKWMERWTSMIPPNTEGHLLDDIENSESVDEKIKEDAHHEETTPLDSDISFPKLVPDDVEETLRPSDSYALEVSACVPDRNSGMEIEDVSEPELIEKFNEDVEKLTGPKTENIAEQPLEVPVEQSTQIATSREPTPLPEKPESSYEDSMDACKTEQALEVEGKRFMTRKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGPNKSKLHTSRSQDDVSPKQSNDTSIQEGSVGHDPKIVLAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNHVVDDAEKETSVLHSEVNSSGGVIQPEKEEEQNGDIANPIVAIDPVPVEQQHVGQEKPDLHDELEKSVGSYMKTPEGTPMSQTTFAESHGTPSSEISVNTKKSKSKRPKSHVSKRSLTSPSSDSVGWSSTDNLSKDYRLGRRESSGKGVKSDLVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSHAQQNIGDGIYDECA >LPERR04G24060.1 pep chromosome:Lperr_V1.4:4:21819200:21824104:-1 gene:LPERR04G24060 transcript:LPERR04G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRRVSWATGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNNLKIDISQIPLIRWKCPPHILLDQDWHIVAGEESKEIEIQNERINGVLEAIYPRPSNIPPNPFLSSDVKDAHFDDSKTLLVPLIPLEDDDTSDQLEGPPLDIPNNYHPSDKYGSANMNVQRVSDIHITTEQQQPHGYIGVNSGFTIEPDVEAAASAIMQTIQSNQNGGMIDQNGIDKDLLFKILSHPSQLERFMKECAPARHEQSAGSSVVAPLVSIPPTQITSSTPAPFPDHMATFHNTNSTLPPPPPMMNRLPSNIPSVMNHPPSSSPAMNFGSAPPRGVGYYKTLIHQHGGERQEQPFEQHGMHFGMYRQPAPSQNGGIDAINGASMVSRDAKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDQKQKGSKRIKLDNSAIGGRN >LPERR04G24070.1 pep chromosome:Lperr_V1.4:4:21825507:21825839:-1 gene:LPERR04G24070 transcript:LPERR04G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >LPERR04G24080.1 pep chromosome:Lperr_V1.4:4:21827697:21830956:1 gene:LPERR04G24080 transcript:LPERR04G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEGKSLSAAAEEEEEEEGEGVDSVSTKPEEVAAYHSSDAKQARLQAMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDGTSFDVALLNTATVKDLKMAIRKKINEIEQKQMGHRHISWKHIWDNYCLTHQSEKLIDDNSVLSSHGISNNSKYYCVTR >LPERR04G24090.1 pep chromosome:Lperr_V1.4:4:21831526:21832716:-1 gene:LPERR04G24090 transcript:LPERR04G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMQQQRQVGGGEVGGVTTSAVCRSSGTRWAPTTEQIRILRELYYGCGIRSPNSEQIQRIAAMLRQHGRIEGKNVFYWFQNHKARERQKKRLTNLDVTNNAADHHHHLAVLSLSPTGATSPSSPAAGFYVGNGGGGGGGGVSAVVQTEQAAGVNCMDFTAMATERTFLQDYMGVNAGGAAMVQTPWTTPTPTIREPETLPLFPVVVGGGEERGGNGNFPCIFQPWGSSAATTTTTIGVQQHQLLQQHNFYSNSNHLQPSQDGAAGTSLELTLSYPAGSM >LPERR04G24100.1 pep chromosome:Lperr_V1.4:4:21841082:21844252:-1 gene:LPERR04G24100 transcript:LPERR04G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASTGNPSPEAQEQREQEAIASASLALPLLRAAFSRSAGSLAEALSPPPPAAFRSDSPSPPPPPYFHDLVALIGPVIASLFFSDAGNGGGWLGFLRGFNRCCARMPASQSLALLLRVYAAACADAGVPCGVQFHCTDEGGADGDEGKVVGELAPGEIAVLLWMCWVMAWSGSAPRVSEGGKEGEPVVLPDVTHLVLSALVSAGAVADDAGVWGWEVSGGGKGVKVQEFTSWVLSTAAGLANCLSRYVQERFRSCAADPVEERSVSTGNTSSCTPDVYLLTRGRAWAISLSLRNMLSEKFLSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAYSSGGENVDAGRRWGIGILTGEGLENKDTFYGSSGFLCSTYPIFRMLPPSGKEKNFIYCHLHPQIRVYEAKPKAVGLGFGGTTGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDVYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMVSDPNAVRREDR >LPERR04G24110.1 pep chromosome:Lperr_V1.4:4:21845382:21847943:-1 gene:LPERR04G24110 transcript:LPERR04G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSGSCYSYIQAKPSPAVESEQQTKNQASTRLDRTLSFSLLLCSLESRKPGRQDRDRNPRRRWRRHPQAAHLRRRRAARPSPRRQAPPPGTPVLRLPRRKPPPPPQPRPAVRGGGRGEEAAGLAHPDQARGLPPQGLVARPRGRRHLRHHPRRRRRPRPRPPREDRRRGTQVRGRRYRGVRLQLRQARW >LPERR04G24120.1 pep chromosome:Lperr_V1.4:4:21849300:21850707:1 gene:LPERR04G24120 transcript:LPERR04G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTEILGCGGETNTAAEHGTAPEVGIVEVDSRQVARYKHELSEFKQSIIVIVVGLGIGLGFLLPGAIDGGMPLWLHQPFIFSGAWICLGTLWADILVDVEHSAVHS >LPERR04G24130.1 pep chromosome:Lperr_V1.4:4:21850735:21851604:1 gene:LPERR04G24130 transcript:LPERR04G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENTQIPMNRAAEDEAARDIVEVNPHPREIARYKEKLRKFKASLSVTVVALVAGLGGIVGGIFLYHQYFPLIFAGALEFLVGIYGMYGHLKDFGLSVPQINDFSNEEHQMPPLGHDRIDEIR >LPERR04G24140.1 pep chromosome:Lperr_V1.4:4:21852255:21852587:1 gene:LPERR04G24140 transcript:LPERR04G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSQISGGGPNRAVEDGPAPAREMMEVDHHQIARYKHALSEFKQSIIVIFVALGLGLGLFLPGALDGNLPVWMRQSFSFSGAWICLAGLYYVRMQVGRVDPLTQRPGP >LPERR04G24150.1 pep chromosome:Lperr_V1.4:4:21857553:21859106:1 gene:LPERR04G24150 transcript:LPERR04G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAIELGCYPTAGTYVVNDRVRRDFRLDIEGLHEELYHDSDIDEFYHREWRVPGEFDEDQDRIFDVEQGRVTESTGDTGLLRHRLGRQISSSSSSSSGGDSGQTLINKLVYDIHTMLIKDTQRAKRILNEERLGKFVVDSVYDFVESGSVIWKEVKVHENWVLKRSLVLGLPSRVALLTRC >LPERR04G24160.1 pep chromosome:Lperr_V1.4:4:21859633:21864486:-1 gene:LPERR04G24160 transcript:LPERR04G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKAKSGVLRNAAALLDEMQLLGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGTYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTIVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLAFPSTLTSKRQCLPGYGVPGLEIGSGNMSPFQRAQGNPYGNLQHIPAVGSELAIMLLNQSGQNLGIPHSYHQSSDASIIQNVKQNYIPPLTISTSACSTKLESLPSDDDQRQFHMANLQNGDLESSEAQPVIDSISQSKLNVTSRDPRTTDSYPSRSLSEQNSKGEPRGKTRRSKKSMSHKTISEKSDLSSAPSWTCDNQQVGLESKLVSCGEQVNCGVVEDSSGALTQGNFAGQPHCHQVEQNELLSPSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSSAIHPVDASEHILSSSADIPATVMADTMETFQASCLSDCIPNSIQEFISSPDLNSLTFLSPEMQNLEVQLQHDGSNLPSTSNSLVQMSFSEESGNHSANLSGLHMESTHRSINTTSCSQPLSTGGFDTGMYSKLPHLKESQILSLPEIHTNSMGTSACSMDATEYNLDRSTKPVKPPVRTFTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQTA >LPERR04G24170.1 pep chromosome:Lperr_V1.4:4:21876398:21877759:1 gene:LPERR04G24170 transcript:LPERR04G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPDYAAVGVDTPGTTSDVVPLTVFDKANFDTYISVIYAFRPPAPSNAVLEAGLAKALVEYREWAGRLGVDGDGNRAILLNDAGARFVEATADVTLDSVMPLKPTPQVLSLHPSGDDGTVEELMLIQVTRFACGSLVVGFTTQHIVADGRATNNFFLAWSQATRGAAFDPVPVHDRVSFFTPRDTPKIEFEHRGVEFKPSDQVDSDGDGAERAGDDEDEVVINKVHLSREFITKLKAQASSGAHRPYSTLQCVVAHLWRCMTKARGLDVHESTSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATAGDLVTKPLKHAVELINREVNRINDGYFKSFVDFANSGVVEKERLVASADPAEMVLSPNIEVDSWLRIPFYDLDFGGGKPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNCCYSFDQDQTIISSA >LPERR04G24180.1 pep chromosome:Lperr_V1.4:4:21879256:21880581:-1 gene:LPERR04G24180 transcript:LPERR04G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGDTTTADVVPLTVFDKANFDTYVSVIYAFRPPSPANVVLEAGLSKALVEYREWAGRLGVDGDGNRAILLNDAGARFVEATADVTLDSVMPLEPTPRVTSLHPSGDDAHAEELMMIQVTRFACGSLTVGFTAHHMVSDGRATSNFFLAWSQATRGVDVIHPVPVHDRASFFSPRVPPQVDFEHRGVEFKPSDKLDVDGHVDVNSDGEVVTQKVHFSREFISKLKALASSGCGGHRTYSTLQCVVAHLWRCITTARGLDADVSTSVCIAVDGRARMSPPVPDGYTGNVVLWARPTATAGDLVTAPLHHAVGLIKREVARINDGYFKSFVDFANSGAVEEERLVSAADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSRDMDTFKNCCYVLPELS >LPERR04G24190.1 pep chromosome:Lperr_V1.4:4:21886939:21892693:-1 gene:LPERR04G24190 transcript:LPERR04G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMNGKLPLRDGRTAYHFQPAKFWQNGPLYHNGLYHFFFQYNPHGPLWDKGKLSWGHSVSGDLVNWSFLGTAIDPTEPFDINGCWSGSATVLPGGRPAFLYTGLDAGGAQVQNVAFAKDPLLRDWEKPRCNPVIPIPYDVTRNNFRDPSTAWVGRDGLWRMIVAGEVAGAGSVLVYRSEDFLRWERNAAPMHSSAVVPVLECPDFFPVAAASEHGLDTSASGAGVKHVLKVSEFDTHQDFYMWLWVNEYDTTADDVAKGWAGVQAFPRKVWLDSDGKQLLQWPVDEIETLRTRRVGLQGTEVKAGGLHEIVGIASNQADVEVVFEILNLEEEAESFDPEWLDPHKLCKEKGTAFVRGGVGPFGLIVMSSGDLKEQTAVFFRVFKHQGKYKVFMCTDLTRSSTKEDVYKDAYGGFVDVDIEKDKSISLRTLIDHSMVESFGGGGRACITTRVYPEHAATSNSHLYVFNNGSGRVNVSKLEAWEMAAATVNVADADLAALNAICGAAYRLKSFSKLQTKSNRITLLLDCSAMALAGLTLAVLTITVHLCFVFTSSSPPVCPANAHHFDRTAYHFQPAKNWQNGAAMDSSFRYLDDSNHTATHETYQIQTGLMTIRLLVILSGPVYYNGMYHLFYQYNPHGALWDTGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILADGLPVIMYSGIDAQRRQVQNIAFPKNPHDPLLREWTKTKYNPVVSVPADVSPDSFRDPTTAWRGRDGAWRFAVSAVSNGVGATLVYRSRDFLRWERNAAPLHASRGDKVMAECPDLFPVTERGQLHGVRHVLKLSMPDTLEDYYMVGVYDDTDDTFTVPPEDLAAGGFDYRRWRRIDHGHLYASKTFFDAIKNRRVLWAWVNESDSEADDVAKGWSGLQSFPRVVWLDESGKQLVQWPVEEIETLRRKRGVLLGGGNEVEAGGLREIGGIAAATQADVEVVFEIASLAGAERLETERLHDPDALCREKGAAVRGGIGPFGLLVMASGDLREHTAVFFRVFRVPHGYTVLMCTDLTRSSTRSGVYKPTHGGFVDIDIEKDKAVSLRTLIDHSIVESYGGGGRICMTARVYPEHVETSRSYLYVFNNASNVVKVSKLDAWELAMASVNVGDDGLISGGSVCDVVEL >LPERR04G24190.2 pep chromosome:Lperr_V1.4:4:21886939:21892693:-1 gene:LPERR04G24190 transcript:LPERR04G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMNGKLPLRDGRTAYHFQPAKFWQNGPLYHNGLYHFFFQYNPHGPLWDKGKLSWGHSVSGDLVNWSFLGTAIDPTEPFDINGCWSGSATVLPGGRPAFLYTGLDAGGAQVQNVAFAKDPLLRDWEKPRCNPVIPIPYDVTRNNFRDPSTAWVGRDGLWRMIVAGEVAGAGSVLVYRSEDFLRWERNAAPMHSSAVVPVLECPDFFPVAAASEHGLDTSASGAGVKHVLKVSEFDTHQDFYMVGRYDDGEDTFSPEEPDRGDNCRRWRCLDYGQAYATKSFFDARRNRRVQWLWVNEYDTTADDVAKGWAGVQAFPRKVWLDSDGKQLLQWPVDEIETLRTRRVGLQGTEVKAGGLHEIVGIASNQADVEVVFEILNLEEEAESFDPEWLDPHKLCKEKGTAFVRGGVGPFGLIVMSSGDLKEQTAVFFRVFKHQGKYKVFMCTDLTRSSTKEDVYKDAYGGFVDVDIEKDKSISLRTLIDHSMVESFGGGGRACITTRVYPEHAATSNSHLYVFNNGSGRVNVSKLEAWEMAAATVNVADADLAALNAICGAAYRLKSFSKLQTKSNRITLLLDCSAMALAGLTLAVLTITVHLCFVFTSSSPPVCPANAHHFDRTAYHFQPAKNWQNGAAMDSSFRYLDDSNHTATHETYQIQTGLMTIRLLVILSGPVYYNGMYHLFYQYNPHGALWDTGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILADGLPVIMYSGIDAQRRQVQNIAFPKNPHDPLLREWTKTKYNPVVSVPADVSPDSFRDPTTAWRGRDGAWRFAVSAVSNGVGATLVYRSRDFLRWERNAAPLHASRGDKVMAECPDLFPVTERGQLHGVRHVLKLSMPDTLEDYYMVGVYDDTDDTFTVPPEDLAAGGFDYRRWRRIDHGHLYASKTFFDAIKNRRVLWAWVNESDSEADDVAKGWSGLQSFPRVVWLDESGKQLVQWPVEEIETLRRKRGVLLGGGNEVEAGGLREIGGIAAATQADVEVVFEIASLAGAERLETERLHDPDALCREKGAAVRGGIGPFGLLVMASGDLREHTAVFFRVFRVPHGYTVLMCTDLTRSSTRSGVYKPTHGGFVDIDIEKDKAVSLRTLIDHSIVESYGGGGRICMTARVYPEHVETSRSYLYVFNNASNVVKVSKLDAWELAMASVNVGDDGLISGGSVCDVVEL >LPERR04G24190.3 pep chromosome:Lperr_V1.4:4:21889388:21892693:-1 gene:LPERR04G24190 transcript:LPERR04G24190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMNGKLPLRDGRTAYHFQPAKFWQNGPLYHNGLYHFFFQYNPHGPLWDKGKLSWGHSVSGDLVNWSFLGTAIDPTEPFDINGCWSGSATVLPGGRPAFLYTGLDAGGAQVQNVAFAKDPLLRDWEKPRCNPVIPIPYDVTRNNFRDPSTAWVGRDGLWRMIVAGEVAGAGSVLVYRSEDFLRWERNAAPMHSSAVVPVLECPDFFPVAAASEHGLDTSASGAGVKHVLKVSEFDTHQDFYMVGRYDDGEDTFSPEEPDRGDNCRRWRCLDYGQAYATKSFFDARRNRRVQWLWVNEYDTTADDVAKGWAGVQAFPRKVWLDSDGKQLLQWPVDEIETLRTRRVGLQGTEVKAGGLHEIVGIASNQADVEVVFEILNLEEEAESFDPEWLDPHKLCKEKGTAFVRGGVGPFGLIVMSSGDLKEQTAVFFRVFKHQGKYKVFMCTDLTRSSTKEDVYKDAYGGFVDVDIEKDKSISLRTLIDHSMVESFGGGGRACITTRVYPEHAATSNSHLYVFNNGSGRVNVSKLEAWEMAAATVNVADADLAALNAICVN >LPERR04G24190.4 pep chromosome:Lperr_V1.4:4:21886939:21889287:-1 gene:LPERR04G24190 transcript:LPERR04G24190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLTLAVLTITVHLCFVFTSSSPPVCPANAHHFDRTAYHFQPAKNWQNGPVYYNGMYHLFYQYNPHGALWDTGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILADGLPVIMYSGIDAQRRQVQNIAFPKNPHDPLLREWTKTKYNPVVSVPADVSPDSFRDPTTAWRGRDGAWRFAVSAVSNGVGATLVYRSRDFLRWERNAAPLHASRGDKVMAECPDLFPVTERGQLHGVRHVLKLSMPDTLEDYYMVGVYDDTDDTFTVPPEDLAAGGFDYRRWRRIDHGHLYASKTFFDAIKNRRVLWAWVNESDSEADDVAKGWSGLQSFPRVVWLDESGKQLVQWPVEEIETLRRKRGVLLGGGNEVEAGGLREIGGIAAATQADVEVVFEIASLAGAERLETERLHDPDALCREKGAAVRGGIGPFGLLVMASGDLREHTAVFFRVFRVPHGYTVLMCTDLTRSSTRSGVYKPTHGGFVDIDIEKDKAVSLRTLIDHSIVESYGGGGRICMTARVYPEHVETSRSYLYVFNNASNVVKVSKLDAWELAMASVNVGDDGLISGGSVCDVVEL >LPERR04G24200.1 pep chromosome:Lperr_V1.4:4:21893644:21897595:1 gene:LPERR04G24200 transcript:LPERR04G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFTNTTSNINVHMWTCSTNVELVSSEPNYKEVKRKLQLEVATTNLLFVTVPRRASKRRGRSSSSQQAPPRASPRAAPSARTARRRAAPRCARPRAPRPRPCSFSPWRGPPPPRRPSAPPPAGSLPSPAPASRSRTRRRAAPRRGTPQCSARTPAR >LPERR04G24210.1 pep chromosome:Lperr_V1.4:4:21896088:21898234:-1 gene:LPERR04G24210 transcript:LPERR04G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGPAAAAPRMKKLASMLCMKGGNDDGSYLNNSQAQALHARRMLHFLEETLDAMMERSSNDKLFTAADLGCSCGSNSLFIVDVIVRRVAAAYESRGLDAPEFQVFFSDLPSNDFNTLFQLLPPLIAPPPSITLEDCLSAAGELSTSPTTAATRPYLAAGVPGTFYGRLFPGESIDVFTSTFSLHWLSQVPDDVTDAMSPAYNAGRVFIHRATDATAAAYTRQFQSDLAGFLQSRAREMKRGGAMDGFNVPVYAPSIGEFRDAVRRDGAFAIERLELVRGGSPLVVERTDDAAEVGRAMARSCRAVAGVLVDAHIGERRGAALFERMERRAARHAGELVEKMSFFHVVCSLSLAR >LPERR04G24220.1 pep chromosome:Lperr_V1.4:4:21901774:21904425:-1 gene:LPERR04G24220 transcript:LPERR04G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPSSSASALIRLPSPTHLCSAPPRGYARRRRPRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLAHKGMATATAQPAPAATWSRRLFS >LPERR04G24220.2 pep chromosome:Lperr_V1.4:4:21901774:21904425:-1 gene:LPERR04G24220 transcript:LPERR04G24220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPSSSASALIRLPSPTHLCSAPPRGYARRRRPRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQASALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLAHKGMATATAQPAPAATWSRRLFS >LPERR04G24230.1 pep chromosome:Lperr_V1.4:4:21905430:21909653:1 gene:LPERR04G24230 transcript:LPERR04G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESFLRSTGGGAGEASLTAVLQQAEAKLGVDLAHKAPFIRDQIDLFFGPHLQPPPPQKAQAGAPPPAAAAVAAPAMLPQVHVPLQVQPPLQQIQQQQQMAVLQPQLIFQAMPQLPAGAAAAGAAVSPPPPVPAMAFYPPPPLAFRVTSGLGGVATGGTVSFQQPPPVAGATASPTAAVQAGGDNKESASKRKRGGPGGLNKICAISPELQTIVGEAVMSRTQIVKQLWQYIRENNLQDPDDKRKIICNDELRVVFETDATDMFKMNKLLAKHITPLDPKDQIRDEKKFKPSNVAPQPMPPVNQPSVVISDALAKFIGIEGAVPQDDALRYLWDYIKANQLEDVITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >LPERR04G24240.1 pep chromosome:Lperr_V1.4:4:21910296:21912565:-1 gene:LPERR04G24240 transcript:LPERR04G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKIKLHECHFGMSPLCDTSPATSQLLTSAAAAGLSFRPGLVRSSAQHHQQQHGGWLHDEYAARSSSPLLAQTCVGSNAAAFYAAENLLGMAQFDCAGGLGTAAAAAAIAAKTAFRSPESEMYRPVEPLLLRADHSSVRTYYVRPRDAGEPTPLPPPPPSQQRQERLHGLFAGVPTTTRLLTGEPKIHPFSPQVTAKPILPAMEAPSLQNQMENQLSRSCISAATPVTSTAGSSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQQEKKAAGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLKIEEQGKRLQKMFEDQMKASRSVMEPQELDVVAFAGDEAFDDDLQLLDVAGNGCGFDDDGFPSKIS >LPERR04G24250.1 pep chromosome:Lperr_V1.4:4:21917746:21918390:1 gene:LPERR04G24250 transcript:LPERR04G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHMEALLPSSISPKINSIIHSHIYPQVGHVFRTLAKFKSLLIDVLKRSPPTRGGGSGKKKKYAIGYRSRPEKKSKKRIIISKVAGFMKLLRFNWAASAAVTPANRAMEYYHDDESAWNVVVPAEAATAAEMVGADDDGDDCGYLCWLEEETSAAAGEGEFGGGDGDGDGNDAMNEIDRLAENFIARCHAKFLLEKQESYRRYQEMMARSI >LPERR04G24260.1 pep chromosome:Lperr_V1.4:4:21919076:21926320:1 gene:LPERR04G24260 transcript:LPERR04G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATVSSPLPPAFVRSRRDGRLSLPTPRRRPAAGRCRASASTFQGGPAAASYAREMERLSAKESLLLAFRDAGGFESLVSGKATEMQKVDVNERIVGLERLNPTPRPTTRTPRITQRVCCMTNSIDLYSVSSVALHRSPFLEGRWNFEWFGDGSPGAFAARLVFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGIIEIPRIREETLPDQLKGLIGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTDAVLSEYES >LPERR04G24260.2 pep chromosome:Lperr_V1.4:4:21919076:21926320:1 gene:LPERR04G24260 transcript:LPERR04G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSARKRSRPDMANGGGSGGKRSREMESFQTGLSSKLKPCTKFFSTVGCPFGEGCHFSHFVPGGYQAVSKTLNLGNPAVPVPARAPMDHAAAGNSHPASSGKTRMCTKYNTTEGCKFGDKCHFAHGERELCKPAYMSHESAMAPMGGRYGGRPEAPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPANAAAPAGRGGPGGRSNFKTKLCENFVKGTCTFGERCHFAHGETEQRKGAA >LPERR04G24260.3 pep chromosome:Lperr_V1.4:4:21919076:21926320:1 gene:LPERR04G24260 transcript:LPERR04G24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATVSSPLPPAFVRSRRDGRLSLPTPRRRPAAGRCRASASTFQGGPAAASYAREMERLSAKESLLLAFRDAGGFESLVSGKATEMQKVDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDGSPGAFAARLVFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGIIEIPRIREETLPDQLKGLIGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTDAVLSEYES >LPERR04G24260.4 pep chromosome:Lperr_V1.4:4:21919076:21922154:1 gene:LPERR04G24260 transcript:LPERR04G24260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSARKRSRPDMANGGGSGGKRSRALSGALLVRAAISRTLSLVVSKTLNLGNPAVPVPARAPMDHAAAGNSHPASSGKTRMCTKYNTTEGCKFGDKCHFAHGERELCKPAYMSHESAMAPMGGRYGGRPEAPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPANAAAPAGRGGPGGRSNFKTKLCENFVKGTCTFGERCHFAHGETEQRKGAA >LPERR04G24270.1 pep chromosome:Lperr_V1.4:4:21926182:21926538:-1 gene:LPERR04G24270 transcript:LPERR04G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATHKFSHAIAKPAPRKIRIVHVLAPEVIKTDARHFRELVQRLTGMPAADGAASPAASQPCDTAGDEGGGAAAAAMIKAEVKIEAAASGGFLHAFGEEDGSDLLQLLEGGFYMDDV >LPERR04G24280.1 pep chromosome:Lperr_V1.4:4:21927963:21928154:-1 gene:LPERR04G24280 transcript:LPERR04G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATFAGGGHNYISAVRFHVVPGHRLYHADLQRLDPGTMRPAPAPTSTTCHQLHRHQGPRRGD >LPERR04G24290.1 pep chromosome:Lperr_V1.4:4:21939668:21940491:-1 gene:LPERR04G24290 transcript:LPERR04G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQGRRGTWLRRTSSPWHGSTGSVPLRIGTAAGVRILHSFDDVGGDWVLGKNT >LPERR04G24300.1 pep chromosome:Lperr_V1.4:4:21940443:21955210:1 gene:LPERR04G24300 transcript:LPERR04G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGAMFLVFLGRPSSSATGPTDQGHSLRLFGAMFKNSWCDLVDEGFLIQDAGGWARRVELHQQQQISDTNSWQYVQSKFLKMMLHALEETLDKIQLPRQMGKKPLLTAADLGCSCGHNTLVIADVIVHYMTKLCGTGRDRNTIIAVDPEFCFYFSDLPSNDFNTLFHLLPNSDDSTGVAGGRSYFAAAVPGSFHGRLFPERSINVFTSTFSLQWLSQVPEEVVDKRSVAYNKGKVFVHDASVATGEAYQRQFQSDMARFLRCRATELKHGGVVFLICLGRQSSADPTNQGCVQLLYGVMFEESWSDLVKEGMVDDGSKMDSFNVPVYAATLEEFRETIDANGSFQVNRLELVMGIPPVVNNPKDRRAVGLTVANYLRSLLGPLVDANVGRALADELFIRVQRHAEVRTEGLLDEMHFPHIGGQGESSYLKNSQAQSQNLQMMMHALEETLEKVHLHPRGPGKLLLTAADLGCSCGRNTLIIADAIVQHITKLCHTYRGKDGDNDDVDPEFFFYFSDLPNNDFNTLFHLLPQHAAAADDGNGRRYFAAAVPGSFHDRLFPERSINVFTSTFCLHWLSQVPKEVADKLSPAYNKGKVFVHSASEETGVAYRRQFQSDMARFLRCRAKEMKPGGAMFLVFLGRPSSSTGPTDQGHSLRLFGAMFEDSWRDLIGEGIVDAERMDSFNVPSYAATLEEFREVVDDDGSFVVNRLELVMGGRVAVDGDSDDRRAVGRRVANNQRSVFGPLVEAHIGKALADELFVRVQSRAEACAEEIVDEMRVHMHIVCSLSLA >LPERR04G24310.1 pep chromosome:Lperr_V1.4:4:21955217:21956706:-1 gene:LPERR04G24310 transcript:LPERR04G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARFPLLLLCLLLIICNNAADAELTHLHFYFHEVDAGTPNATVVNVASLHRNASTFGDVNVFDNMLREGPDPASRLIGRAQGFAVHASLDESGGISAINFVFSDYGAYSGSTLATMGHIHASGPSERSIVGGTGEFRFARGYMISKLLSSTDTSIVVVFDIA >LPERR04G24320.1 pep chromosome:Lperr_V1.4:4:21957465:21967476:-1 gene:LPERR04G24320 transcript:LPERR04G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESEKKADLLREELQKKTKQERDMQQELEGLKETLQSERQSIQEVTNDLDKLKSLCDEKDSSLQAALVEKSKLETRLKSGQGQESNNKTGVSGARFERDTLPTVGTVNNSIEMLTKLEEELKSCKKELDVAKELSKKLTTEKNLLDQKIQRLERAKSEEKNTMERVYEGECRKLKSHITELEQKLESRTRALNAAESTLALRSTEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQILRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKEKNIVCSPDEFTVAHPWKDDKSKQHLYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNATRQKLEIKKDSKGVVTVENVTVVSISSFEELRDIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINRSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEGDDLEEIQEERIPKDKAENRLTS >LPERR04G24320.2 pep chromosome:Lperr_V1.4:4:21957467:21966651:-1 gene:LPERR04G24320 transcript:LPERR04G24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGGSNGGAAATATPLHGSATSSMNGGGGGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESEKKADLLREELQKKTKQERDMQQELEGLKETLQSERQSIQEVTNDLDKLKSLCDEKDSSLQAALVEKSKLETRLKSGQGQESNNKTGVSGARFERDTLPTVGTVNNSIEMLTKLEEELKSCKKELDVAKELSKKLTTEKNLLDQKIQRLERAKSEEKNTMERVYEGECRKLKSHITELEQKLESRTRALNAAESTLALRSTEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQILRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKEKNIVCSPDEFTVAHPWKDDKSKQHLYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNATRQKLEIKKDSKGVVTVENVTVVSISSFEELRDIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINRSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEGDDLEEIQEERIPKDKAENRLTS >LPERR04G24330.1 pep chromosome:Lperr_V1.4:4:21971279:21974484:-1 gene:LPERR04G24330 transcript:LPERR04G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADGAATAAAAARTLRWAGRAGHLGGIPRAAVISTIGAVAKAYASLLNTTTVHNADALLRLVSSRPPGTPLLTVSNHMSTLDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNIFMSYIFRLGKCVPITRGAGIYQDHMNEALEVLTTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPILHSGFEKVMPEKAFFGRRPPLPLWGKEIHIIVGEPVEFDLPSLKQAARTVPKDSSFERKGWPSIMPDGLDEAAQRWLYQRMSDKIQSVMETLRKRLLDLKQH >LPERR04G24340.1 pep chromosome:Lperr_V1.4:4:21976721:21980937:-1 gene:LPERR04G24340 transcript:LPERR04G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKPKRLSRQASVVATAGGRRHRPPFLSGAGDGDQIVQETSRFAANGGLGVRGDDDTLPALACFEIDAACAWLDCLKSPPRALLPLRAFDPLLRISKKGLGLIAPSEKPLGIAYLPTYVDSVRGVCC >LPERR04G24340.2 pep chromosome:Lperr_V1.4:4:21977541:21980937:-1 gene:LPERR04G24340 transcript:LPERR04G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKPKRLSRQASVVATAGGRRHRPPFLSGAGDGDQIVQETSRFAANGGLGVRGDDDTLPALACFEIDAACAWLDCLKSPPRALLPLRAFDPLLRISKKGLGLIAPSV >LPERR04G24350.1 pep chromosome:Lperr_V1.4:4:21977139:21979470:1 gene:LPERR04G24350 transcript:LPERR04G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKSVPQEQLPSQELHPPPMPVINLGHLSLDDPTARSRVVNDIAKSCRDLGYFQVINHGISQSVMDGAVEAASEFFKLPSEIKEEYASDDIRQPVRYDTSSKDGISMSRAFLKHYAHPLCDWLQYWPQQPPIYRQAVSSLKREYMEKYAVEVRMVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTTIGLAAHSDYGLLTILLTSCPGLEVVDRSSNSWKVVQQLPHALHVHIGDHMEILSNGQIKTVVHRAVLNPQEARISIASIHGFALHEKVSSAKELVDEENPQKYKESSFNDFLEHLTANMDNMQRNFLESLRI >LPERR04G24360.1 pep chromosome:Lperr_V1.4:4:21984431:21985756:-1 gene:LPERR04G24360 transcript:LPERR04G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAARLYMASQHKGVPSLPLPPARPLPVINLGRLTLDRASWALAVQDIVFACRERGCFEVVNHGISKSCMKGALEAASEFFQLSTEHKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVEMQRVSMQLMEAILQGLGLGPLYLQEKLEGGLQFMALNNYPQSSAKKVDKIGLAPHSDYGFLTILLQNSPGLEVMHHKDDAWTPVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELIDEHHPKMYRGSSFQDFLNFLPANINKYKRFVESLRIDEP >LPERR04G24370.1 pep chromosome:Lperr_V1.4:4:21984647:21986602:1 gene:LPERR04G24370 transcript:LPERR04G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLELAVAQHFQVVSYMDMESPGDSGDRCPGIILMVHHLKPRGVLQQDGEEAIIRVLEDKKRMIQGGAN >LPERR04G24380.1 pep chromosome:Lperr_V1.4:4:21988011:21989301:1 gene:LPERR04G24380 transcript:LPERR04G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPLGTCDKLYICASCFHSSSNRMQLFWRDGLKKGMDSNHMWVQNFSEHTWFHSNLAWFGFWPGLEFGLPNEAYGFDGFNMNNLLMTTEDGELEMARMISCRSLQIWSWQPAAGWATRRVIDLNPVLPLPIIRDDRPYMIGVAEGTDIVFFWSTFDGVHQVELRSLEATKVLERCNSCPIFPYMSFFVPGRARDKLPSPAITQ >LPERR04G24390.1 pep chromosome:Lperr_V1.4:4:21992386:21994845:1 gene:LPERR04G24390 transcript:LPERR04G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKKLVLKLDLHDDKDKQKALKAVSTLHGIDMISMDMKAQKLTVIGDVDPVHVVGKLRKGWPSASLLSVGPAKEEKKEEKKEEKKDDKKPPEQPKVIFYPPHAQWHAHAAHAAPPYYPPQYVVHSAEEDPNSCVIC >LPERR04G24400.1 pep chromosome:Lperr_V1.4:4:21996128:22002711:1 gene:LPERR04G24400 transcript:LPERR04G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRKVWGTVLSRAAAAGAAQPPVDSPPSSPRRRGGGGGGAHAHEYGSLGELDALPIDVLAQILRLLGPADAARSGAVCRAWRLLAADNGLWAFFLRLGPDPWDLVVFAETHLAAGPASVPLYYDFSPQLSFKQIYSRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHMDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNTTSIVPIFQGMVMYEIGVETVGLGALKLTGFLKELMQQRNISFESLYTVRTIKEKLCYVAVDYEAELRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGMQAMGLHKAVSLCMDHCYNSEVFGDDSWYKTIVLAGGSSCLPGLSERLEKELHKLLPPHISKGIRVIPPQFGTDSAWFGAKMIGNVSTFTEAWCVKKKQFRQKTRRNGSSFVNVW >LPERR04G24400.2 pep chromosome:Lperr_V1.4:4:21996128:22002284:1 gene:LPERR04G24400 transcript:LPERR04G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRKVWGTVLSRAAAAGAAQPPVDSPPSSPRRRGGGGGGAHAHEYGSLGELDALPIDVLAQILRLLGPADAARSGAVCRAWRLLAADNGLWAFFLRLGPDPWDLVVFAETHLAAGPASVPLYYDFSPQLSFKQIYSRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHMDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNTTSIVPIFQGMVMYEIGVETVGLGALKLTGFLKELMQQRNISFESLYTVRTIKEKLCYVAVDYEAELRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGMQAMGLHKAVSLCMDHCYNSEVFGDDSWYKTIVLAGGSSCLPGLSERLEKELHKLLPPHISKGIRVIPPQFGTDSAWFGAKMIGNVSTFTEAWCVKKKQFRQKTRRNGSSFVNEST >LPERR04G24410.1 pep chromosome:Lperr_V1.4:4:22000962:22002698:-1 gene:LPERR04G24410 transcript:LPERR04G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >LPERR04G24420.1 pep chromosome:Lperr_V1.4:4:22004700:22008447:1 gene:LPERR04G24420 transcript:LPERR04G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAGRRLLQLRPELRPCLRSRALIPYPSWTKGMGRFRYEHPPRRLSSCRHGDFEEGNAAAKDKQPWRLSSDEQLIHGKDDDGASKKYMRKNFADEFAQLSLEEEQIDDEMGGISESVVKDVAKAAVELLASRSFTVSELRKKLRAKKFPDNAVDSVIADFKSRGMLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVPDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFAQAAKQWQRGQSLPLENRRARVVRWLQYRGFSWAVTNAIVRKLEAKHPP >LPERR04G24420.2 pep chromosome:Lperr_V1.4:4:22004700:22008175:1 gene:LPERR04G24420 transcript:LPERR04G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAGRRLLQLRPELRPCLRSRALIPYPSWTKGMGRFRYEHPPRRLSSCRHGDFEEGNAAAKDKQPWRLSSDEQLIHGKDDDGASKKYMRKNFADEFAQLSLEEEQIDDEMGGISESVVKDVAKAAVELLASRSFTVSELRKKLRAKKFPDNAVDSVIADFKSRGMLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVPDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFAQAAKQWQRGQSLPLENRRARVVRWLQYRGFSWAVTNAIVRKLEAKHPP >LPERR04G24430.1 pep chromosome:Lperr_V1.4:4:22009069:22010304:1 gene:LPERR04G24430 transcript:LPERR04G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPARAATRPTSPSRPPKAIRSTKPRGLDEETAAPAAATFPSSISAAAAASLLHAADVPMEPRVWASLPDDLLLEVLARVPPFLLFRLRPVSRRWGSILHDPAFLAAHAAVPSHGPCLLTFSRGVAPQCSVLSLPLRARYKLPFGFLPSWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKEDKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTSIY >LPERR04G24440.1 pep chromosome:Lperr_V1.4:4:22013152:22015032:-1 gene:LPERR04G24440 transcript:LPERR04G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLESHIKSQVPAMLLRRFFGGAGRRDEPTKHHYHLPRPPAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKEFEHKDHSGLVEMLGCSISCARVKRLVEDVVIAIRSGVDPVPIGSGLGGSYYFRNMAGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFNINCPVNGGSPAPPHDQEQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRNFDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFTEEELEYIKNLDPMRDVAMLRRELPFIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVICMEARRKLAEWEPFSHVVEQGEDMDFQFSMDVLGEYSDVIRSPRFNGSGTKGNSFRNPLSKLVESIDEDNDDDEDGRSESSMRSSERVPSGGLKLPSSGTKSSGSNGSVHALNRSADEQLPSSVCFVRLSDMNAEEWNIFLEKFQELLKEVLNERAAAAGQRMKQRLGTSCKF >LPERR04G24450.1 pep chromosome:Lperr_V1.4:4:22015134:22015532:-1 gene:LPERR04G24450 transcript:LPERR04G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKTRRTQRNSLGSGCGSSRGGGEVSRWIEESTPAPAAAAAVAVAAGSRSPYPHLHPCPVLTPSMA >LPERR04G24460.1 pep chromosome:Lperr_V1.4:4:22017375:22022445:1 gene:LPERR04G24460 transcript:LPERR04G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARMGAALPRARPHASAAAVAARFPAPTGRWDSAAAALGASRRAPMYGFRCQVHSDVKVGPTSGLKDGENSSESWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTVVTDVEAFRRLYEEVGLGWVYAVTKYEPVATVANAIYGVWAKYRMEITGRPPLEEILESRKTAAECKDDKVCKM >LPERR04G24470.1 pep chromosome:Lperr_V1.4:4:22021471:22024164:-1 gene:LPERR04G24470 transcript:LPERR04G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASPLPAAAAPPSTRCSPPPAFLPALRARRRPRVAWLGAVATRRVLRAEAMRTQREKEEQTEVAVEESFPFRETAPPEGSDDEPLVTADESWVVKLEQSVNIFLTESVIMILDGLYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSFWVDRFLARFAAFFYYFMTVAMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEDELKRLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLASKE >LPERR04G24480.1 pep chromosome:Lperr_V1.4:4:22025799:22028213:-1 gene:LPERR04G24480 transcript:LPERR04G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGALLSMPNLRRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDQRLNSIEQSLKNNHKVEHEEIKKIVTTSNISTPACVATALTTTVVGLSRISIKCYKYFVMLVCFRVAGWSLVRSESLPKRAAEADGPNQFTQQMALAAFQQTQKQTQKAPISV >LPERR04G24490.1 pep chromosome:Lperr_V1.4:4:22033486:22039557:1 gene:LPERR04G24490 transcript:LPERR04G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGFAAASRFVGYHAAIVVALVRPGQLFSSTVSRPLSLSAVGEKGREGKEEMGSDSSPKRWGVKEQRDAYLRWFSLADDGLPAPIPDFVVFYLDLAGVNLSRFVGVRLVDGDGRVTGKNALKFFAMSNLSRPELKQVWAIADSKRQGYLGSSEFMTAMQLISLAQAGHEISQDTLTHAACHPSEIPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETAAGPLGIELFQKEQDDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDSLDEQFAKVQKELHLPAGDFPNVDEYREILSAYNFDKFEKLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >LPERR04G24500.1 pep chromosome:Lperr_V1.4:4:22042514:22044689:-1 gene:LPERR04G24500 transcript:LPERR04G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVRFLFSLAAAIAAASLLAATLRRRAPPSGLAAHLVPSTPMAAARNRSFVLWLHGLGDSGPANEPIRTFFSAPEFRLTKWAFPSAPNSPVSCNNGAVMPSWFDIHELPMSAGSPQDDSGVLKAVENVHAMIDREVADGIPPENIYVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQKAGFTCEFKAYPGLGHSISKEELYSLESWIKNRLNASQEKEN >LPERR04G24510.1 pep chromosome:Lperr_V1.4:4:22045440:22046774:1 gene:LPERR04G24510 transcript:LPERR04G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGGGAGSAAAEDEARPGSGSTGSRGAGAAAEEEPRAGLGSRGAGVAVEEQGPAEEIDCDQVQDDLDEDDGDGMLDLSGPGAIRIGDNLDDDDMLDRKRTRH >LPERR04G24520.1 pep chromosome:Lperr_V1.4:4:22046489:22054921:-1 gene:LPERR04G24520 transcript:LPERR04G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEEQQGFHPLDEVSLVSYIKATPALAAPLGGRLDAPLTIKEVGDGNLNFVYIVLSDSGGSLVVKQALPYIRCVGDSWPMTRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLADHMSDYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTTDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRQSYKKWILKTIEDSWNLFQKKFVELWNKHKDVNGEAYLPAIYNSSELLSLAQKKYVKSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDPSKRASCERRALNCAKAILKGRRQFESIEHKSVRDEEDVLRAVQGVHAMIDSEIAAATNPQDVFVFGLSHGGALGIASVLLYPKTLGGCAVFSGFLPFNPSFAARVTAEAKKTPVLWIHGQVDSLIPIEAGRDGTIFLRGLGMSCEFKKTARDEKGVLKAVELVHEMLDKEVAAGTNPSNIFVCGSSQGGALAIASALLYPKTLGGCVVFSGSLPLNKSFAEKVPSEARKTPVLWFHGMADDLVLIEAGHAGCAFLQELGMSCEFKVYPTLGHTLVDEELQYFQQWIKDRLSQGNGVPVPSISDKTDLQ >LPERR04G24530.1 pep chromosome:Lperr_V1.4:4:22057539:22060699:1 gene:LPERR04G24530 transcript:LPERR04G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRSLHALLGGGAVADVLLWRRRNVSAAAVVGVTAVWFLFERAGYSFPSVIANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADQALVWINRVLAVGHDIAIKRDRSVFIKVILILWAVSYIGMLFNFLTLIYIGVMFSLLVSPLYEKYQDHVDEKIGMAHSVLSRHLDTIVSKTGQSTKQKKTE >LPERR04G24530.2 pep chromosome:Lperr_V1.4:4:22057539:22060043:1 gene:LPERR04G24530 transcript:LPERR04G24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRSLHALLGGGAVADVLLWRRRNVSAAAVVGVTAVWFLFERAGYSFPSVIANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADQALVWINRVLAVGHDIAIKRDRSVFIKVILILWAVSYIGMLFNFLTLIYIGVMFSLLVSPLYEKYQDHVDEKIGMAHSVLSRHLDTIVSKTGQSTKQKKTE >LPERR04G24540.1 pep chromosome:Lperr_V1.4:4:22061883:22063337:1 gene:LPERR04G24540 transcript:LPERR04G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHARLLAFALALVLVSLQHCVVCQSNHTHFSMISRHRGDPNAPSRRPIRGSEPAPAPSPDSCYCDPTSEDECYCEPTSQDDCWCAPTPSPSPSPSPKDFLNSKIAVLYPVIQAFKQTITSDPLGITKTWVGPEICSSRFGGDMYKGFYCESPPKSSGDKNSTLTVASIDFNGYGLGAPSLAGFIDAFPDLALFHANSNNFSGVIPNLTGLPFFYELDLSNNKFSGDFPANVVPLGGLLFLDLRFNKFAGVVPSPVFDISLVALFLNNNGFSGEIPNNFGSTTAEYLVVANNQFTGPIPKSIYNTSANLSEVLFLNNRLSGCLPYEIGLVEGLTVFDAGGNEITGPIPLSFGCLASVEELNLAGNQLYGHIPDVLCLLAKNGKLQNLSLSDNYFHSVGRHCLELVRSRVLDVRLNCILDFPHQRPVLECARFYADPPQHCPFVPHIPCDLPGFRPPLAAAAALPAKGGGGGVLPAKRGGGN >LPERR04G24550.1 pep chromosome:Lperr_V1.4:4:22064148:22067250:-1 gene:LPERR04G24550 transcript:LPERR04G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGISASAAAAFTLILLAAVVSARTDMSIITYNAEHGARGLGLERTEAEARAMYDLWLAEQGRSHNALAGGVGGGEHERRFRVFWDNLRFVDAHNARADEGVESFRLGMNRFADLTNEEFRAAYLGARVVERNRAAGERYRHDGVEELPESVDWREKGAVAPVKNQEQCGSCWAFSAVSTVESINQLVTGELITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAKDGTCDINRENAKVVSIDGFEDVPKNDEKSLQKAVAHQPVSVAIEASGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYLRMERNINAATGKCGIAMMASYPTKSGANPPKPSPTPPTPPPPVAPDHVCDDNFTCAAGSTCCCAFGFRNVCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRARTCSASKNSPLSVKALKRTLATLNTA >LPERR04G24560.1 pep chromosome:Lperr_V1.4:4:22071424:22074776:-1 gene:LPERR04G24560 transcript:LPERR04G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRKRQSMASKAKKPQRRDAGEKKFGKKADMTEFREQLGSLGLKIVEVNADGNCFFRAIGDQLEGNEEEHMKYREMIVKYIKEHRVDFEPFIEDEVPFEKYCDNMIKDGTWAGHMELQAASLLTRKNICIHMLNSPRWYINNFPGHEATSMVHLSYHDGEHYNSVRLREDPCQGPAMPTDTNVASTSNNAQTKAKDLKKSSDRSTYDHISVKKVMAGTGCCAAAVEKVLKEMDGDVDAAIEYMLAEQLTLGSDDADGDPYLDYACDESMITFVDELIRRQDESGKNCCSKDETAQKHNSPHSKKEKSKTKECSCGSARRHKPSCNLATTVSSGEPLKPIVPSRDPPKTKGGQGKGQKGKKQKKKGQTEASTTEDHNSKVVPDLGALCI >LPERR04G24570.1 pep chromosome:Lperr_V1.4:4:22075513:22077801:1 gene:LPERR04G24570 transcript:LPERR04G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGFGVATTSELAMACLFLLAFFSPTTATATATAPPDTMSIISYNAEHGVRGLERTEAEARAAYDLWAARHGRRLYSNTLGEHERRFRVFWENLKFVDAHNARADESGGFRLGMNRFADLTNAEFRAAYLSTTTTPAGTGRGGGRRVVGEMYRHDGVEALPESVDWREMGAVAPVKNQGQCGSCWAFSAVAAVEGINKIVTGKLVTLSEQELVECSKNGQNSGCNGGMMDDAFDFIARNGGIDTDADYPYTARDGKCDLAKRNTNKIVSIDGFEDVPENDEASLRKAVSRQPVSVAIDAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGTDIATGEDYWTVRNSWGPDWGENGYIRMERNVTAREGKCGIAMMASYPVKKGPNPSPSPSPTPAYEKCDRHSKCPVGTTCCCNHAIRNYCLVWGCCPAKGATCCKDHSTCCPKEYPVCNAKARTCSKSKNSPYTVEALIRKPATMGQQA >LPERR04G24580.1 pep chromosome:Lperr_V1.4:4:22078143:22081980:1 gene:LPERR04G24580 transcript:LPERR04G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPVDVALFLPFPTSSSSLLLSHSRSSAVRSMRRRQQLLVGVPAVAALAASAPPAVLQDGAATLLITGGAYGLVRAFDALTERRLIEQRVIMDNLSRKIVHVLSGVLFMSSWPLFRELLRGPLYYVIVLLVSVLVFWRESPIGIISLSMMSGGDGFADIVGRRYGSVKLPFNEKKSWIGSISMFISGFLLSALMLFYFSCFGYFNVCWDQALGKLALVALAATVMECIPVTNVVDDNISVPLATMLVAFLLFGYSACC >LPERR04G24590.1 pep chromosome:Lperr_V1.4:4:22080775:22083734:-1 gene:LPERR04G24590 transcript:LPERR04G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWLVFLAVAVLLLSLPVLLLRPTSPPLLLSHQQSSGYNSDRRLRVYVADLPRELNHGLLDLYWSLPTADSRIPATSDPDHPPPPRGARPPYPDSPLIKQYSAEYWLLDSLWRPGSSSSAAAVRVVEDWRDADVVFVPFFATLSAEMELGWGASKGGFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPMAMWHVRKEIAPAILLVVDFGGWYKLDSNSARSNSSHMIQHTQVSLLKDVIVPYTHLLPTMQLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVIMEEGFPNATGREQSIKGMRTSEFCLHPAGDTPSSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVSQAMRPKWLTNYLRSIPREQKDEFRRNMARIQPAFEYDSTYPGRMISNPQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >LPERR04G24600.1 pep chromosome:Lperr_V1.4:4:22088192:22094663:1 gene:LPERR04G24600 transcript:LPERR04G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPTAAERESLVSSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGEAALAAAAAAHPGAPSPADAAAAEAAAVALAAAAEVEDALRFAPPPAAALGDGMQGLGEEDDVRAPLPTKRETLYGDVPMVVLRPNPTVAFRNFEEEARQSAVWDSEQNAASSSRDNLASLYRPPFALMFNGPFDKAKLEASCLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAVLLIDPITGQKMRGWNGMVHPDRLLEDLMPYLDKGPKEHHSTQPQKRPRKVDQETSISKHGKTPVPVAVEDEDEELARAVAASLEESKGALSSEATNEKTEPEVENEPSLSVKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCCPQVEDGDKKAFHFVQPIPGAPNNLEYESDKTFKEAGLANSMINLLWD >LPERR04G24610.1 pep chromosome:Lperr_V1.4:4:22102949:22104944:-1 gene:LPERR04G24610 transcript:LPERR04G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSAADPSPSSASAGGAGAASASAQNQCNDKWDNLLRDYKKVRDYESRVAAGVAPPAAALPSYWTMERHERKDRNLPTNLAPEVYDALSDVLSRRAARRGGATIAPTTPPPPFALPPPRPTSPPKPLVAQAHHHHAPPPPLMMTTTLQLPPPTVAPPPPPPPPAASVSAEEMSGSSESGEDDEDESGSGEPEAKRRRLSRLGSSVVRSATVVARTLVACEEKRERRHREMLQLEERRLRLEEERTEVRRQGFAGLISAVNSLSSAIHALVSSSDHRSGDSSAGR >LPERR04G24620.1 pep chromosome:Lperr_V1.4:4:22108941:22110771:-1 gene:LPERR04G24620 transcript:LPERR04G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAISLSRAIRACAGAGSGRSMASSTAKEVAAAGARVAAAVARREATPEDGRRVQWVFLGCPGVGKGTYASRLSQMLSVPHIATGDLVRDALASPDPFSKQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLSEPVEEFYRSRGKLLEFNLPGGIPESWPKLLRALNLEDPGNERSAAA >LPERR04G24630.1 pep chromosome:Lperr_V1.4:4:22112438:22115074:-1 gene:LPERR04G24630 transcript:LPERR04G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGLKTGGLLLPTIERRCGSPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILEETVKVRDEQEHDMPLLQAISIVLERHPDLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALSQGLDIRLNQRVTKIARQFSGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGVENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLIMSHLKKMLPDATEPTKYLVSHWGSDPNSLGSYSCDLVGKPADVSARFAAPVDNLYFAGEAASDDHSGSVHGAYSSGIAAADECRKRIMLQKGIPDLVQVKAYEEMAGVIAPLQICRT >LPERR04G24640.1 pep chromosome:Lperr_V1.4:4:22116927:22120438:-1 gene:LPERR04G24640 transcript:LPERR04G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTNGFAAGGFFLRHIDGQKASPPSVIVVGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILGETVKVRDEHADDMPLIQAISIVLDRNPHLKLEGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLAGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSAISDLGVGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFACEFEKLSDEESVKYVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGNVAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >LPERR04G24650.1 pep chromosome:Lperr_V1.4:4:22128878:22131431:-1 gene:LPERR04G24650 transcript:LPERR04G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAVCPGKKAGESCRTAVHQGTGHQTYSESRGHNFTLNASFDEVNVNEYDGLVIPGGRAPEYLAMDEKVLGLVRKFSDVKKPIASVCHGQLILAAAGVVRDRKCTAYPAVKPVLVAAGAKWEEADAMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVAGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVLLGGATWLDPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >LPERR04G24660.1 pep chromosome:Lperr_V1.4:4:22133034:22136434:-1 gene:LPERR04G24660 transcript:LPERR04G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGVAAATAEASARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSEGARMNPRDCWYWLNGNCLNLKCLFRHPPIDGMLGAPTPGIPAASSHYAPYNSGKQMVPCYYFQKGNCLKGDRCAFYHAPQSAGYNPPEQVAKVSSLPLEQPQTQNDFLGIKDSVQPNNSIQQGAPIADDQGKTTVDRSMVNSARTSTVAVPVSSNTMPWPKSDKAKNNMAALKESFTTSSGEDHPECYQNHPSMESNPMQDWNQNYEMPPADDLPQNSREADELLGESSPGFDVLVDNDGDGAAYLHDEEFAGDMYPVEDYEYAPADFDVHAHHERERFNGMDEQDQIGHMYNGYERKRRRSSSERSMERPFHSERRFLQRNREPVEMDGSDLRHRLRRRRINESSSAISPERNGEQRRRDERYRERDDRYRERAHGHSAHRDRHQGSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGGRHREAGQHEERTQRRASELALGARDADGQHSTRDAIDSHTFPNRKNLRDSSKANGGVEPEVSLDFEGPKPLSVILQRKREATWGNGSSACSPKGDKSAEVPHRQTTSLPEAEKEGNNMISSEEYKSGSGGEEFRDEGPIPAEGHGQSSSHGDRLEAEDIIEVDPVGNQDADNYDQREDASYYEAIEGEDYKSEDENAYEEDEEFDDDDDDDFARKVGAVFS >LPERR04G24670.1 pep chromosome:Lperr_V1.4:4:22139201:22145382:-1 gene:LPERR04G24670 transcript:LPERR04G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGPPPPPPPAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSTDHDQYQAVVAAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQMISPEAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQTYQVPSSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKSVDLSRFNQPVASEQQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNIADNNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >LPERR04G24670.2 pep chromosome:Lperr_V1.4:4:22139201:22145382:-1 gene:LPERR04G24670 transcript:LPERR04G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGPPPPPPPAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSTDHDQYQAVVAAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQMISPEAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQTYQVPSSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKSVDLSRFNQPVASEQQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNIADNNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >LPERR04G24680.1 pep chromosome:Lperr_V1.4:4:22156785:22159841:-1 gene:LPERR04G24680 transcript:LPERR04G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYLLLLVLVLLHLHFHGGHSQSNQTCDPDDEAALLAFSDGLDTKGAGLVGWVRDAAGAGAGCCSWTGVTCDLGRVVELDLSNRSLSRNSLRCGGGEAVAHLGRLPGLRRLNLSANGLAGAFPATAAGLFPAIEVVNVSCNLFNGSHPVFAGAARLTVLDITNNAFSGGINATALCASPVKVLRFSANAFSGDVPAGFGQCKLLTDLFLDGNGLTGSIPKELYMLPVLKKLSLQENKLSGSIGEELGNLSELTQIDLSYNMFSGIIPDVFGKLGNLDSLNLASNKLNGTLPSTLSNCPMLRVVSLRNNSLSGEIDIDFRLLQKLNTFDVGTNKLHGAIPPRLALCAELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQNLPNLTSLVLTNNFRGGETMPMGGIEGFKTMQVFVLANCALSGTIPPWLQSLKNLNVLDISWNNLHGNIPPWLGKLDKLFYIDLSNNSFSGELPESFTQMKSLISSNGSSGQTSTGDLPLFVKKNSSSIGKGLQYNQLSSFPSSLILSNNELVGPIMPAFGRLVKLHVLDLGFNQFSGPIPDELSNMSSLEILDLAHNDLSGNIPLSLTKLNFLSKFDVSYNNLTGDIPTGGQFSTFASESFAGNAALHPPGNSSGTKKPSEAPHRKKNKATLVALGLGTAVGVILVLCVASVIISRIIHSRMQEHNPKAVANADDCSESPNSSLVLLFQNNKDLGIEDILKSTNNFDQSYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYSYMENGSLDYWLHERADGGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFDPSIHDKENESQLIRVLEVALLCVTAAPKSRPTSQQLVEWLDHIAEG >LPERR04G24690.1 pep chromosome:Lperr_V1.4:4:22170238:22175484:-1 gene:LPERR04G24690 transcript:LPERR04G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASSKEPKGHATPVAGMVLASSKEPKGNATAVAGMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.2 pep chromosome:Lperr_V1.4:4:22170238:22175484:-1 gene:LPERR04G24690 transcript:LPERR04G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASSKEPKGHATPVAGMVLASSKEPKGNATAVAGMVPASTKEPKAHATPIAGMVQESKHSQAPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.3 pep chromosome:Lperr_V1.4:4:22170238:22175484:-1 gene:LPERR04G24690 transcript:LPERR04G24690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASRMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.4 pep chromosome:Lperr_V1.4:4:22170238:22175484:-1 gene:LPERR04G24690 transcript:LPERR04G24690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKTQFCTLSAPKDKEFISFIIASQSSVLNLGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASSKEPKGHATPVAGMVLASSKEPKGNATAVAGMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.5 pep chromosome:Lperr_V1.4:4:22170240:22174462:-1 gene:LPERR04G24690 transcript:LPERR04G24690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASRMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.6 pep chromosome:Lperr_V1.4:4:22170240:22174462:-1 gene:LPERR04G24690 transcript:LPERR04G24690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASSKEPKGHATPVAGMVLASSKEPKGNATAVAGMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.7 pep chromosome:Lperr_V1.4:4:22170240:22174462:-1 gene:LPERR04G24690 transcript:LPERR04G24690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKVVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKTLIQEFKGNKSSVVAVMDEEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEATKVGGNFESTTQGIMLDKIANSPPEVVKQVVLESSPPVPQKPSTADILRKKITSVERGSEGFLFAQDLFLSGMGPFATANNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSENAEGPTSPWISFRVLFGMIQDNISSVARELLFHHYEELKESKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSTGEVASSDPARTAAEDRSLDQTTGNCSLTVSVAHGDSHTPNSVAENSSSLCTKGCDTPATGTIAKGHDFLAPKGVQETSTSVSAVYGASPSMEPKVRDSSVQTILSGNVTLCAKKQDPLVSRVVLHNGPLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTASKSSGNFAPGISPKGGESLVPSLALGNSKCAGVGGFKPPRVTPKDKEFLSLGIASQSPVLNSVKGQNGVSGAAHPVHAPGKTQFCTLSAPKDKEFISFIIASQSSVLNLGKGHNGASGAARPVHAPGHEIPKVLVTEACGLSLSIAPEPKGHATPIAGMVPASSKEPKVHATPVAGMIPASSKEPKGHATPVAGMVLASSKEPKGNATAVAGMVPASTKEPKAHATPIAGMVQESKHSQAPSAATNGYNAPTPITKESKDQQLQSGARSQPSGPDVDASSSNVARAADTLVALSTLREKGR >LPERR04G24690.8 pep chromosome:Lperr_V1.4:4:22174469:22175484:-1 gene:LPERR04G24690 transcript:LPERR04G24690.8 gene_biotype:protein_coding transcript_biotype:protein_coding LFGWAKRGAINSRPGYGGKKKAHNCLSVILTTRGHCVPCVRLPLPPDLSSSSSRRDARVCVCVCVRRRPLTPSPPNPAPPPPIPNPVARVPVAGWGGSSCCGFRRILPRRN >LPERR04G24700.1 pep chromosome:Lperr_V1.4:4:22177797:22181567:-1 gene:LPERR04G24700 transcript:LPERR04G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRELNPRETKTKPDYHHHNRFSPSFLPLQLRSQLLYFSLLSPSSSLRHSIGASITNTSSKEIKFPVGQITSMDKAANVVLDIEGLPQQADKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDVVKKLIIKVVPSQLEQLKMPLVQNKLVAPQSQCATCAPILTDSGEGRNKKFNRFTSIHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >LPERR04G24700.2 pep chromosome:Lperr_V1.4:4:22177797:22181567:-1 gene:LPERR04G24700 transcript:LPERR04G24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRELNPRETKTKPDYHHHNRFSPSFLPLQLRFRFHPKLPATVWKARWLHRGGEESRSISILRESGIAKVSEKGQITSMDKAANVVLDIEGLPQQADKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDVVKKLIIKVVPSQLEQLKMPLVQNKLVAPQSQCATCAPILTDSGEGRNKKFNRFTSIHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >LPERR04G24710.1 pep chromosome:Lperr_V1.4:4:22185574:22191575:-1 gene:LPERR04G24710 transcript:LPERR04G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHLGSYPLEEAIAAATAAAALLRSGTTPRRSAVALLLRRARAIHARLVVSSTPSAPPTPTTFLANQLLSLYTRLSAVPDAVALLRSTPRPSVVSYNTVLSALSRSPRHAHAAFGLFRRLLMCEPGLRPTAPSLCAVLRAAGETRDRRSGGAAHAQAEVMGFLASDIVPTVLLQMYSRCGAPRDANQVFDEMATRDEVAWNCVIHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKSEEVDPDMPLQNALLDMYSSCGDLDTALRVFDRIETPDLVSWNTLIAGFPGVGDGWSAMDAFVQLKAVQFDERVVPDEYTLAAVVSASAALPAIFGGKPLHAEVIKTGLDRSVFVGNTLLNMYFTNEEPGSARNLFDSLTHKDVIMWTEMVAGHSSLGEGELALKYFVNMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEENICASGSLVDMYAKNGALPGAYLVFCNVQKPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGIVPGFKHYTSMVSLLSRAGLLEEAVDIMIQSPFAKKCPELWRILLSSCITFRNLTIGVHAAEQALEQDPDDISTHILLSNLYASFGKWDVVAEIRKRMRGLMVEKEPGLSWFEMKNVVHVFSADDECHSQIVDCRDELLRLKGNMGLLDSCENELMSKVYTADTPSSSCQPNLKFEISSSSKLSTLTVFKRASSELPTIFFWLQEMSFLSLFCRCHPQKAGSYCHSILFLLVFVGLLHVHGGHSQTCDSEELAALLAFSDGLDRMGAGLVGWGPDEASCCSWTGISCALGRVVQLDLSNRSLSRYSLRGEALEHLGRLGSLRILDLSANGLVGAFPATDGGFLAIEVMNISFNRFTRSHPAFPRASNLTILDITSNVFSSGIKATALCSSPVKVLRFSANAFSGDMPTGFSQCKVLNELSLNGNGLTGSLPKDLYTIPGLRRLKLQGNQLSGSLDEALGNLSELMQIDLSYNMFTGIIPDVFGKLRSLEFLNLASNKLNGTLPLSLSRCPMLRVVSLRNNSLSGEIDIDFRFLRRLNIFDAGTNKLRGAVPPRLASCTELMTLNLARNKLQGELPESFKSLRSLSYLSLTGNGFTNLSSALQVLQNLPNLTSLVLTNNFRGGETMPVGAIEGFKNMQVLALANCALSGTVPPWLQSLKNLNVLDISWNNLHGNIPPWLGNLDKLFYIDMSNNSLSGELPESFTRMKSLNSTGDLPLFIKNSASTGKGLQYNQLSSFPSSLILSNNKLVGPVLRGFGHLMNLHVLDLGFNKFSGPIPDELSDMKSLEILDLAHNDLSGTIPSSLTKLSFLSKFDVSYNNLSGDIPTEGQFSTFTNEVFVGNPALYGIGNKSCNVPVIPVEVSYDSDSAFMLLTVEAGFAFGLLTIWNILFFARSWRAAYFQMVDSFFDKLYVITMVNLNRLGRKWEYKDYP >LPERR04G24720.1 pep chromosome:Lperr_V1.4:4:22193169:22202024:1 gene:LPERR04G24720 transcript:LPERR04G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAGDQDAVSLVRRVSRALNRRLSDLVGLLFHHKTAGSLGAVAGFAIAVVFAWKFLRSSQARPRRPGSKRPAVGPAASDSAAADAVEPSGEPGKLTTRQIVVKRLSGCRKVTCQLLGVVFEEKTPEELQEHAIVRPSVVELLLEIAKYCDLYLMETVLDDKSEENALMALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSLYLNLIGSENNKLDVCSSWPFNGVVKYANLAEKRSERKRSSERKIKKGDTISDQIPPIAAGEPVMAEGDASASACVTASAASPRSPAPPETPSTQKRRQRGLVSRVWKGIFGGREDVEKLLQALSREEEAVRSRLSRRARASRQSAHNVLAIAAALEIAAVGYAIMTTRSPDLSWQMRANRVLPMFLIPALAALIYSSITSFTKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDAALSKSSDAHIGQTTGLRQRKPGHLSDGTGRTCTPESLGGLYAYDGSEEGLTTPNQRSVEHFRGPAGLARKEDFAFITYYCPHCNALNGSRQHDEHEMLSNSGKETPISHSDGSIGQASASLANSGAGSPIAIAKTLPPVEELSAESPVSTDVTSAEELPAESPVANNLPAVEELPAESPIANNSPAIEELPAEGTVEKASIDHPAS >LPERR04G24730.1 pep chromosome:Lperr_V1.4:4:22200183:22203945:-1 gene:LPERR04G24730 transcript:LPERR04G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSSSELGSGSPAAGDMVMAPRSMNGGSSSSAGLFLSPNNGGVLGNASVVGPSSSSSAADVYRSTVPPKYKFVTGSPSDWSEQELNILKEGLVRYAREPNIMKYIKIAAMLPKRTIRDVALRCWWSTGKDRRKKPDGFFTGKKIRDMKPIQDKMVASSSMANFHLAPANTVTPFSISMQHTNQQCQVPKEAAPVVDSATQHLLEENNHLLNLIAANIETLKTGQNMDLFFQTNNNIQTILSRMSETPGIMSQMPQLPEQVNEDHLSSLIQLDRLVLSFGVTHTSHMKQEPRS >LPERR04G24730.2 pep chromosome:Lperr_V1.4:4:22200332:22203945:-1 gene:LPERR04G24730 transcript:LPERR04G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSSSELGSGSPAAGDMVMAPRSMNGGSSSSAGLFLSPNNGGVLGNASVVGPSSSSSAADVYRSTVPPKYKFVTGSPSDWSEQELNILKEGLVRYAREPNIMKYIKIAAMLPKRTIRDVALRCWWSTGKDRRKKPDGFFTGKKIRDMKPIQDKMVASSSMANFHLAPANTVTPFSISMQHTNQQCQVPKEDGTEHGSFFPDKQQHPNNFKQNERDSWYHESDASIARASKRRPSKLTYSVGQTGKST >LPERR04G24750.1 pep chromosome:Lperr_V1.4:4:22233929:22238076:-1 gene:LPERR04G24750 transcript:LPERR04G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPPTAPKSIADYLIRPTKRLRSAAPAPAAASASVSSSPSSGGGSGLTPEQRRRADTNLALARARRSLRLAESKGRQSLTRRPPNFDCVRANERTNDAPVLRVLVLAVLVSGGAAKLEELLVEETWLEALSGELRKPYALELCRFVSHERLHSPVPVYPPPHMVFHALHTTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPQGIKIPSSLVNIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISQKKSGIVFILWGNSAQAKTRLIDETKHHILKCAHPSGLSANRGFFGCRTCVSGLPYMRRHNVYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSKPFEDIMWSVYLTTTSGLKVLVAWTNAFGQNFVAACIIWPIQMLI >LPERR04G24760.1 pep chromosome:Lperr_V1.4:4:22239454:22240142:-1 gene:LPERR04G24760 transcript:LPERR04G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHVVSLLLFLLLALLGAFVQRAGANTFEVGGEHGWVVPPSNEPGVYNQWASKNRFLVGDSVHFKYAKDSVMVVTQEDYNKCKSSHPSFFSNNGDTEVRLDRQGPFYFISGVAGHCERGQRMVIKVIGHELSPPPAPEPAAPSPPSSPLTPPSSAPPSPPHPSGVSAIGASGGLVGVATAVAAVLLPVVVYGV >LPERR04G24770.1 pep chromosome:Lperr_V1.4:4:22242232:22243428:-1 gene:LPERR04G24770 transcript:LPERR04G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHAILPFHAAGAGGGGVRRRAGKAVSKPAASRFGAALSARLRSLLPLSASSSPLAALARLADLLALTLADAVPALAGEGDAAAVAAHLDAGVALLDACNAITARLDRIRRRRLLSRFALHLLSSSSCSPPNSSVIRRARAALADRAAPGSSPPPPPLPSLPFDQQPRVSGAGGVLLAVDAVSSLAAAAATAVLCGGEGVEIVFPLVSGDFPWIEAFNAVSSQLAALATKPGEVDAADEAVRKLTSLLDGEVVDEAAVRAAAQEVEKRTEELTAPLDRLSDAVNAVFRAALCLRNAELGSIMVGPAEKTPCTGQHGVVSQPNCGIQ >LPERR04G24780.1 pep chromosome:Lperr_V1.4:4:22249293:22251337:-1 gene:LPERR04G24780 transcript:LPERR04G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVAGATAFSCSLSSPRRRRATTERASSAAEVEIRVCTNRTCTRQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNIGASSSVSASGGGAAVFGHVGTAARAAQLLEHLLGGESGFDAAAGLAALAAREKAEAALEKGDAAGAEALLTEAIEMNPCGGLHLAYRSRSRARLSLGNITGALFDTEEAIKIAPKFPQAHLSRGDALFAMEEYQAAEYAYADALDLDPSVRRTKSFRARVEKLRQKAANAEISSPSS >LPERR04G24780.2 pep chromosome:Lperr_V1.4:4:22249293:22251337:-1 gene:LPERR04G24780 transcript:LPERR04G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVAGATAFSCSLSSPRRRRATTERASSAAEVEIRVCTNRTCTRQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNIGASSSVSASGGGAAVFGHVGTAARAAQLLEHLLGGESGFDAAAGLAALAAREKAEAALEKGDAAGAEALLTEAIEMNPCGGLHLAYRSRSRARLSLGNITGALFDTEEAIKIAPKFPQAHLSRGDALFAMEEYQAAEYAYADALDLDPSVRRTKSFRRTLHFDALPLFQARVEKLRQKAANAEISSPSS >LPERR04G24790.1 pep chromosome:Lperr_V1.4:4:22251601:22253680:1 gene:LPERR04G24790 transcript:LPERR04G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKSTDLMSVYYEKHFHEDLEEVRKKWGIIPCPDPKKSSV >LPERR04G24790.2 pep chromosome:Lperr_V1.4:4:22251791:22253680:1 gene:LPERR04G24790 transcript:LPERR04G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKSTDLMSVYYEKHFHEDLEEVRKKWGIIPCPDPKKSSV >LPERR04G24800.1 pep chromosome:Lperr_V1.4:4:22254149:22257877:1 gene:LPERR04G24800 transcript:LPERR04G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGWKLPFDDASVGSVLAVIKKVENLGDEFITEISRVLKAGGMANNYVERKLLIGGFVEVQASAASSQDNEHSITIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADI >LPERR04G24800.2 pep chromosome:Lperr_V1.4:4:22254350:22257877:1 gene:LPERR04G24800 transcript:LPERR04G24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGWKLPFDDASVGSVLAVIKKVENLGDEFITEISRVLKAGGMANNYVERKLLIGGFVEVQASAASSQDNEHSITIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADI >LPERR04G24810.1 pep chromosome:Lperr_V1.4:4:22258849:22261525:1 gene:LPERR04G24810 transcript:LPERR04G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQQQQQQPLLEKIMRPLVGDVDSGLFLQVMHLFLSVAGVEQSHKATKFQENLTVYAFLTGGNFGTGGGVWAVYPVVFSISTFCGIFHCILTALLAIFTVTSYCLASFKSAGAPADMRWSSYPMVGKNYLENYTFCTYCSKPKPPRAHHCRSCKILGTAWELQITELFYAAVMTIYASYRIWPPLDFESLASTRRSMGYFKMVLEIIATLGSSAFFLSARGLVTVYLAFASLSVNAGISVLLFQQLSYIYEGNTYLNRLSSPNFPEYCQATQTLASYRTIQAQNFFRGT >LPERR04G24820.1 pep chromosome:Lperr_V1.4:4:22267592:22270445:1 gene:LPERR04G24820 transcript:LPERR04G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCVCVGQAPSPTAPSALCLPGRLASSSSQDVVLYTTSPCHCPPEFRRNLAKKIKGERSEGGMQPSGRWWGCKCMVVLS >LPERR04G24820.2 pep chromosome:Lperr_V1.4:4:22268378:22270445:1 gene:LPERR04G24820 transcript:LPERR04G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLITAAFTTKALFQVAPNTSLTSLTKKQTERKLREREVKEACSRQGGGGDASAWLFCPEQVEITLVQKEI >LPERR04G24820.3 pep chromosome:Lperr_V1.4:4:22267656:22270445:1 gene:LPERR04G24820 transcript:LPERR04G24820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCVCVGQAPSPTAPSALCLPGRLASSSSQDVKIKGERSEGGMQPSGRWWGCKCMVVLS >LPERR04G24830.1 pep chromosome:Lperr_V1.4:4:22271583:22277922:-1 gene:LPERR04G24830 transcript:LPERR04G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRMLLACALLHMLVLSVSAEIKAAVGPSQREDDRPTEFAAMARGREEESAAMESVERVFEGRVVPGWKEQVTVRAVAVSAVLGGMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLHKAGVVGVRPFTRQENTVVQTCVVACSGIAFSGGFGSYIFAMSDRISDQSGEVRDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKTLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVISWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPISGGNAGDGVAGQTPEETFDDKRRTELFLKDQIPNWLALGAYVLIAAVSIATLAIFTVGAWSDASDGGIIAALAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFNDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAPPSAARFVPLPMAMAIPFYLGPYFGIDMCIGSLVRFVWDRLDGPRAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRSVNVKVDEFISTLPSSS >LPERR04G24830.2 pep chromosome:Lperr_V1.4:4:22271583:22277922:-1 gene:LPERR04G24830 transcript:LPERR04G24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRMLLACALLHMLVLSVSAEIKAAVGPSQVGHGGFGSYIFAMSDRISDQSGEVRDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKTLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVISWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPISGGNAGDGVAGQTPEETFDDKRRTELFLKDQIPNWLALGAYVLIAAVSIATLAIFTVGAWSDASDGGIIAALAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFNDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAPPSAARFVPLPMAMAIPFYLGPYFGIDMCIGSLVRFVWDRLDGPRAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRSVNVKVDEFISTLPSSS >LPERR04G24840.1 pep chromosome:Lperr_V1.4:4:22279015:22281071:-1 gene:LPERR04G24840 transcript:LPERR04G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIVGIRVIWSVARLPRSLFPPLQSPWESEELRTAEVVVAAFTQAAMDKLGANPANSCPLTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRRDVVSVLLPNVPAMYEAQFGVPMSGAVLNSINTRLDARTVSVLLRHSRSKLVFVDPSLLPVLLDALALLPTTHSPPRLVLVEDPHEKNLPSAEMMTYESLLEKGDPEFDWVRPASEWDPMILNYTSGTTSSPKGVVHCHRGIYLITIDSLIDWAVPPRPAYLWTLPMFHANGWSFPWGMAVVGGTNICLRRVDAGEVFDEISRRGVTHLCGAPVVLNMLANAPDAVRKPLPGKVRILTAGAPPPAAVLYRTEAIGFEVSHGYGLTETAGLVVSCAWKGEWNKLPASDRARLKARQGVRTPGMAEIDVIDGDTGRSVPRDGSTMGEIVLRGGCVTLGYLNDDVATKSAIRENGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESILYGHPAVNEAAVVARPDEFWGETPCAFVSLKKGGEVSVRCSAEEVVAWSRERMPRYMVPKTVVFRDELPKTSTGKIQKYVLRNIAKEMGPTTRGTERNSRSKM >LPERR04G24850.1 pep chromosome:Lperr_V1.4:4:22283366:22286907:-1 gene:LPERR04G24850 transcript:LPERR04G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTTMIIAAAAASLLVLLVAAAAVVEASPASFDYAGAFDKCLQFFEAQRSGKLPGDRRVHWRGDSALADGISQGVDLVGGYYDSGDHVKFGFPMAYAVTMLSWGVVEFEKEMVAANNLHQALDAIRWGTNFFVKAHTQPNTLWVQVGDGDSDHLCWERAEDMTTPRTAYKIDISHPGSDVAGETAAALAAASKAFKPYDSLYSDLLLLHAKQLFTFADTFRGKYDDSLQNAKKFYPSASGYQDELLWAAAWLYEATGDESYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQSGNAAGYAGTLKEYQAKAEFFLCSCLQKNNDHNVKMTPGGLLYVNDWSNMQYVSSSTFLLTVYADYLAESHGTLHCPGGEVNPSEILRFARSQADYVLGKNPKGMSYMVGYGNFYPTHVHHRGASIPSIYAVNATVGCMEGFDKYYNSRDADPNVLNGALVGGPDGNDGFVDDRCNYQHAEPTLVGNAPICGVFARLAAEPKDSSPDYSPPATYGLSPSKGGSPLEFVHKVTNTWKTNGVDYYRHEVTAKNTCGQAITYLKLQIKELSGPIYGVSGPKGKDMYEFPSWMTRLDANAQLTIDYIQGGPAAKINVVEYKTA >LPERR04G24860.1 pep chromosome:Lperr_V1.4:4:22289426:22295747:-1 gene:LPERR04G24860 transcript:LPERR04G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPVRLPSAAAAILCSRNLKQNPRILRADLVAASRLAAADAQDMLPQSASASGDVNRTANRRPRTIRSTEKKNPQNILFERQVAALEHRQEEQRKRTNFYNLCRTLSFLKFHAAENGDDQAVPKSTSPSDGLEPFASSSLIIVHFDVPDQESLKTCFINSSRTLLEDAQQSDKATDNDFLVNTFSDAGLDVKRTTRKKSKKKNKRQKRRHGKKSEASGIQSAHNKDGSHCIDIASGESLTLSSNHVVDAGSEMRCGKETFPSIADGGETLPLTLPPNHVADNFFEDLSSDSSVREVSAERPDSETVNNVFITLISSTSCMDETELSRQACYFECCEQSSSNSSRCLDNASTSTLTDSSLDGHYTDSSWNFSEDTENLLIDKNECPCIQSKPTDIRGFKCGGNEGWLNKSNHDKCSCFRNSAGAHSGTQEMQLCSNVGSDGDFLPVISRKRARRNRNMQLLGSSNVEHIFGAEHGQRGKQSNCSSRPSNVCMQVASKDSTKDFIHPTKVRTWTPHEVTLNDYMIGAHMNHLQEPKQNRRGKPHKHTHLSEVANNGFIEEKNACTAKMLPGFLHSTETDVGQMASSSASDVMVQEISVETCTPIGPVQKGGLQVLLQEENVVVGIGSLDALNHVSSVDPKEQKKVDNDVLNRTHCIEGDLQVQDADSQVAGCTTDYLKTSSPTESCLEVACQGVSAFEGHCNLNQQSFVSSQLGEMIKNTNDACKVQGASDFHLISRHPLADFDIFIHSATPVIVKTSCMRSGNHLQDQPVGSSPFDQISNVSLRNVWEWYEEPGSYGLEVEIHRSLTYKKSTCGVSEFCAYFSPSLSAIQLFEQSKNNLDHKLDSNDDLLLSEPNGVCLPQPYLSVQDHGKLLFEYFESEHPSSRPPLFEKIKQLTSGANLSTCQIFGDPKMLENLKLCDLHPASWFCVAWYPICRIPQGNCRAAFLTYHCLGNVVPEIRSPDQADELTHLVSPVVGFWSYNDKGEQWFQLRDPEVKPMSLDVPPETDRAEVLKERLKTLRHGASDMSRMVIPKANGEKSTNCHPDYEFFLSRSS >LPERR04G24870.1 pep chromosome:Lperr_V1.4:4:22299656:22318776:1 gene:LPERR04G24870 transcript:LPERR04G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGGGGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYCAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGTSEPKIVYSCSIGSHHANLRWHRQALIRFSGTSAESSEQKIDSFQMDFISESFLGKKFEKKSCTYFIVQGMASTLSKIGLFATTAAKEYDLHLLPWGSVAACIANVETEEDILGQGHAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVIAPLFRELLLQLRMILDPKISYYSLWPTGSYEEPWSILVVQICKVIYTSPVFHSEIKGGTWLPPAETLLHDEGFSRSNDLSEALALLGMPIVRLPGAIADMFSKFHRKHMLKTVTPATVRHFLKGFENIGTLEKSHKLVLLEYCLTDLDSGNIGKCMNGLPLVPLANKQFGIFSEISQENRYYVCDNIEYELLSAVGDRIIDRSIPPVVLDKLYQMASRSQVNISIIEGQTFLQFFPRLFPPGWKCRNQIPWDPELDRSSPTAAWFKLFWQYIGDRSYDLDLFSDWPILPSTSGHLYRASTGSKLIKTSSLSNLMKELLAKLGCKILDTKYLSECQQLSNYVYDGDATGVLHSIFGVASLEGVDLQTLFQRITPGEKNELYQFVLDAKWYLGPHLSDVSINLCKKLPIFRVFDGGSPSSYGFSDLSSSTKYLPPLGVAEQLLNDDFVFCISPSDEDIIVRYYGIERMPKSNFYQRYILNRLDELQTESRDSVVLTILQDLPQLSLENPRFKESLKVLKFVPTTNGTLKSPQSLYDPRVEELYALLHESDCFPLGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYAHKSFDGRKKVNMLAKVTTVLRSRDTSWETDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVIDQVLRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKADEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLNPVDYASILTRMATRKASTSLEAEELRTAILVVQHLADFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFGEDITGNASSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEVVSEALLFLRNIKNITLYVKENGSQEMRLVHHVSKHNSYEISKEPHALNTMLAFIHGNQPSGMDRNKFFNKLNKTKDSDLPWSCQKVSVLERSPTACLVHSWILTECIGGGHARKLSSASGSKSHFFVPWASVAAYLHSVSVDNTKELSGDAEVNLDDLVLKHLSLGSSKDRKVFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQDLGPSDLFLSFWPTAVGVEPWSSLVRKLYVSIAELGLNVLYTKARGGHWLSTRQAIFPDFSFSKAIELAEVLSEAGLPVVSVSKPIADSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEALLVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFNNRGEGERVFFTSQMEFDLLKDSIPHLVVDNSIPDGILKKLYGIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLSWSPGQQGHPSVEWMVSLWNFLRHSCEDLSIFAKWPILPLVDGKLMQLGNASNVILDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATAVGVLNAVQSVVSNFQDSKELFMGIPLAETHELRSFIFQSKWFSGNHMKSSHMNTIRNLPIFESYKSRELVSLTNPKKWLKPEGVHEDLLNESFIRTESAKEKSILVSYFAIREPQKAEFYKDHVLPRISELLSQAAVVSAILCDVKLLMENDTSVRAALCETPFVLAASGAWVHPSRLYDPRVPELHKLLHKETFFPSEKFMTAEVIELLASFGLKNKLGFSTLLDIARSVSLVHDSGQEDIAFERGKKLLTYLNFLELKASNTEDKKTFHEDDNEEASKTGGSFEAKNDGDGCDIDETIISLFSDFDHDQPEDEFWSELKNISWCPVHVAPLLKGLPWLKSEDHVAPPVKTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPDVNILSSQLVELSKSYDELKMFSEDSTTDAVLQKEIQVIYSKLQDIIDTADTNILKSNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEFKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSSEQLSFVHRVLEAFVDCYPDSQAPDVLLNSLLIPDSFGILTPSRNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVCSLRGSALLDDELMTDLPCMEYAKISELLALYGESDFLLFDLMELADHCNAKKVHFIYDKREHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNTLNYGLGLLSSYFLCDALTILSGGYFYIFDPLGLTSGTNSTASSSARFFSLIGNNLVERFHDQFTPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEDGASQPTLNYSVLVDPSIATSRNPFSEKKWRKFQLSRIFASTSAAIKMQVIDVHIIDSGCSYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASNCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGISHDKSLTDLEMSKNKLVEAWNKELMLCVRDSYVEMVLEFQKLKKDPVSSAIEPRSAHSMSTILQSYGDRVYSFWPRSNQHPASLTGHASTVTNMNSPRASKADWQSLVDQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSTSVCSFIKEHYPVFSVPWELVIEIQAVGVTVREIRPKMVRDLLKASSSILLRSIETYIDVLEYCFSDMDPYRFSDLHIHEESRVNNQHAETMNSCVAHSVPSSSSSSSYHRNTQRPGTSGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEQQQLLMRPLLNHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPQLLSGHLKHIFDERWAHLAVDKRTPWIPWDNNDNSSTAGPSPEWIRRFWKIFSSMNGDLSLLSDWPLIPVYLDKPVLCRVKERHLIFVPLADDSNPDSRVVDSASRVVDTSAQPGDDTGEAEQNSVLDTSFQLMNSEFPWLPDLLYKLNIPVFDLSFPECGAICNLLPSRDRTLGQIIASKLVATKNGGHLPLPLSLSSEDCDKLFALFVSEFRLSSNHLYQREELDVLRELPMYKTVTGTYTNLSGSNHCILSPTAFFHPTDSQCLSSTSDANLFLQTLGVEQLTDQEILVRFALPGFGNKTAQEQEVILSYLYANWKDLQLNSSVVNTLKETNFLSCANEFCAELFKPRELLDPSDALLTSVFSGERHKFPAERFMSDGWLVILRKAGLRTSTEADMIVQCATKMESIGNDIMSSLEDPSDFEADFSDNKKEIPFEIWSLAESVVNVILANFATLYDNSFCERIGKIAFVPAEKGFPSIGGKRGGRRVLASYNESILSKDWPLAWSSAPILTKQAIIPPEYSWGAFRLRSPPAFTTVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRVLQYLDKVWGTLSSSEKNELQTLPFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQESLTNSYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQAIADGSEGIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVITEELDGKEELKMMNSICSVTLDRIKEKLLSKSLQDALRIVMIGVANHFPSFEALNLVQIESVLEDISQNLQFVQRLHTRFLLLPMLQDVTRNSQHPLFSEWSSNGKHRNVCFVNKSTGHILVAEPPNFLTIYDVIAIVVSYRLGAPLILPIASLFACPDGTEKDVLKILRLGTDVGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKAGKEGEKLRYGKVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRVAGGGQQGFSVSPINTGTEAADVATGLEYGKVSSSELVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >LPERR04G24880.1 pep chromosome:Lperr_V1.4:4:22319146:22321444:1 gene:LPERR04G24880 transcript:LPERR04G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLNTAAAAAAVSPANPKLPSFPTRATRRRASRCQASSGSSTSGGGDDGGERFRGRGTTTWVTEYDVYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPASRLAYDQEQAKQSEFVGYTGKPVYSTWVGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVNRFKKRFEEMKQKSATRESEESEAARKSRTSAVHSIRSISNWWYWSPFKSPATTVLASLHLPPPPPSSSMPGDPVTDRLQEAAARRKAGVAPATAIRRDDYWKPQLNLPSMAEPHQRQTAAAPPRRETRRGAAAAAAGDGGERWGGIDLTAPLLMAIISAGFVGYNREEVVAGGGGGSGGIQEHVGGAAALGLVNSFELKVVLAAVTWFIIGAAIAGFVQFLARSEENFRK >LPERR04G24890.1 pep chromosome:Lperr_V1.4:4:22320782:22321659:-1 gene:LPERR04G24890 transcript:LPERR04G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLILFAPGEKLNEAGNGRADDEPCHGGQHHLELEAVHEAKRGGAADVLLYAAAAASSSGHHLLPVVTHKPGRDDRHQQRRRQVDATPSLPAVAGGRCCCSSPSFAARRSSSSLPLVRLRHGRQVQLWFPVVVAADGRRWSDSGFASGGGFL >LPERR04G24900.1 pep chromosome:Lperr_V1.4:4:22321984:22326078:-1 gene:LPERR04G24900 transcript:LPERR04G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALESLPAPLRSTLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLTEHGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWMKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRSKSRSPQTVSGKATGSKAAAKGAVDQSLPYQKNAAIVLLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRIDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >LPERR04G24910.1 pep chromosome:Lperr_V1.4:4:22329022:22330581:-1 gene:LPERR04G24910 transcript:LPERR04G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGHLLPHPKTPSAAAAATAAFSSSSPPHTLHDYNRLLDALARDGDGDAALRVLRRMRHSSSCAPTAVSYTSAMSALAKSGRAADAASLFDDMLASGVAPDRTAFSLLLHIYSSHLHLPSAARSVLVSMSRLGLPPTPIDYTDLIFSFCRACRLPDALQLFDEMRALNYPITPHTYAPIIQAYCINGDIQAAEALISSMRFTGCHPDVVIYNIYVHGLCKVGDFDDVERVIDESCRNGWVPDAVTYSTYIVGLCRFGYVEEALRQLEIMVMKGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDILKKPITPDVQTCNIFISCLCRAGKFQFAKFVFSSKGFMVDTVTCNTLIHAFYEAGREDELGFLFTDVNAGKIVPDTVTYNTLVDCLFRSGRKTDAVNLVRHTDGGCPVEPVARLAYWLVRSGHVREALRLFDDMLEKGLVLDSRIFANVIKAFCKKGPGECTEMLQLCSVLDRMLGIG >LPERR04G24920.1 pep chromosome:Lperr_V1.4:4:22331741:22334470:-1 gene:LPERR04G24920 transcript:LPERR04G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPKEEKCRKFHFAISRWYEILSKILSLLPINDAIRTSVLSIKWKYIWCNHTNLSLNKGTMRKPHVKGTMWRYLRDNEFVTRVNMVLRQHRGMEVEHMEIKFRLHGKHAEHIDRWVDFSIASKTKEFVIDLSGQDKNSFFLDLSCRKRSVTEEPYNLPSQLFSPTNGLYLRCLEFTTVSLKLPADFKGFVNLKSLSLVDVSITDEDVHCMLSKCNLLESLEISYCRTVTRIRMLHPLDRLKHLVVDICPILKEIELKCSPTTLKYSGTMVPLIFASISRLTNLSILFLTYQSALSYLITGFPSTLPRLETLTLLCAERERTIVPEGPFKFTYLRSLRLELVFSGLGNTRKTDALDYAYILKIAPFMETLEFSMWIKCLQQQPYCEEDGELRITPPHQHAHLKSVRISGFFGCKDQLELALHILRNSTVLEKMVITPKVEISNDLTYDCCCEEEHYVDGYRVATEFVLKADHHNVVDVVRVEPEFAETTVVEARKRRKTK >LPERR04G24930.1 pep chromosome:Lperr_V1.4:4:22339486:22341288:1 gene:LPERR04G24930 transcript:LPERR04G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSFPDKLQGLALLPLANGSFTAFNNRGEGERVFFTSQMEFDLLKDSIHLVVDNSIPDGILKKLYGIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLSWSPGQQGVHEDLLNESFIRTESAKEKSILVSYFAVWEPQKAEFYKDHVLPRISEFLSQPAVVSAILCDVKLLMENDNSVRAALYETPFVLAASGAWVHPSRFVNLKKIENS >LPERR04G24940.1 pep chromosome:Lperr_V1.4:4:22352736:22356589:1 gene:LPERR04G24940 transcript:LPERR04G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFGCTSSPGSSRSGTPPTHREEWQNPKIFQICENCISQPQLFCFDDDNHLGTQMLMCHGFLGNSPTTVGEETAEGSKSAISIVHPAVRSCLVVLLEDEVFFWMHSMVLNWKERSIVALPNFLLVKSMAVPIKR >LPERR04G24940.2 pep chromosome:Lperr_V1.4:4:22352736:22356589:1 gene:LPERR04G24940 transcript:LPERR04G24940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFGCTSSPGSSRSGTPPTHREEWQNPKPNTSDLAVCCRASWVAGELPGVRLLRDPAAAFDDDNHLGTQMLMCHGFLGNSPTTVGEETAEGSKSAISIVHPAVRSCLVVLLEDEVFFWMHSMVLNWKERSIVALPNFLLVKSMAVPIKR >LPERR04G24950.1 pep chromosome:Lperr_V1.4:4:22362219:22365095:-1 gene:LPERR04G24950 transcript:LPERR04G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSKEGKYQICHFAISRCHTNLTFDKGTIRRRDAKAFTGYRVLKDKEFIESVDAVLRQHSGMRVECMKIKFRLHSKHADYIDRWVNFAIASKTKEFVIDLSGYAKIAFFRDLSCGKRTMWIKCLQNQPYCEEDGELRIRPPHQHAHLKSVRISGFFGHKDQVELALHILRSSIVLERMVITPKLEISNGLALLDCFYEEKHYVDGNRVATEFVCKAEHRNVVNVVRAPVRRPWKHEGTAMAPAQRTSPVCANTERTN >LPERR04G24960.1 pep chromosome:Lperr_V1.4:4:22372778:22377273:1 gene:LPERR04G24960 transcript:LPERR04G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHSIASIHDLRTVIRGSDRSTNLLFSVAEDAALDDSRRIWEAVSMASGHGRLDNLDILIGKEDARRLVVGRLLQVRAWSKFVGDQLQEVEEGIKLVESRMEGAGPGLPRGFKSTKLIGPDLYLDTDILEDIKVSEITST >LPERR04G24970.1 pep chromosome:Lperr_V1.4:4:22378564:22382621:-1 gene:LPERR04G24970 transcript:LPERR04G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGEFVRRASEEEGTHGQFCPWWHSSEDPTLEVGSEGGLGLGLRPAMAMPTVSAAAAAVAAAAAICPGVACGSSARRVVVGNGNGGCVAGGRQGTAAWVAAAAPMAAXWWVRGWRPARDGRVGGGGGADGRGGEQAGSGSRGGGDGGDPHHLLSEKAEKDEIVKAVMELKNAEIEDGYMAEVSTCRQALLVDKSFHPDPLFIYLGVGCLLRYVLQEVGEEKLVLGICQTALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKGAVCFEALARAQYLLRRKLSLEKMPLLEQIEESLEELALACALELLCLPQIPENAERRQGAIAALSELLRQGLDVESSCRSQRAVVDFNCFYIAMLAHLALGFSTRQADLISKAKTICECLIAYENKDLKLEESFCSFLLRKESGTTVFEKLQQLQTNGNFNSRNYVLPKKKDGSDKVTVNQSPINFFGAPKRILSTSKRKLGATRMVCLSSQPSCSISPCSRALQEQAPSLSSTSHLGEAVKQLAPANLGIHSSLDRPANGSIATSVPLKCNLVSHPARTLESWGLTGDNVGKLAYSALIGFALFGTLKLLRFQFGHMKPSSASRGSVATQSNVLISLGTLELLGYEYSGKNGVLKISKGNLVVLKANRIGSLYFL >LPERR04G24980.1 pep chromosome:Lperr_V1.4:4:22383521:22388522:-1 gene:LPERR04G24980 transcript:LPERR04G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVSAAAVAVAVAAAICPGVACGSSARRGGVGNDNGGCVAGGRRGAAAWVARARVAEAAPVAAEGSRQEAGPAAAAMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHMPWSWLPAALCVLQEVGEEKLVLEIGQAALRRSDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLGLPQTPENTERRQGAIAALCELLRQGLDVESSCRVHEWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKTVESQSQRAVVDFNCFYIAMLAHLALGFSTRQADLISKAKTICECLASSENTDLKFEESFCSYLLGEESGSAVFEKLQQLQSNGNSNTRNYGLPKKKDGNDKVTVNQSLELWLKDVVLSRFVDTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTQRLNSTSHLGEAVKQLAPTNLGVHSSLDRPANGSTVTPVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFTLFGTLKFLRFQFGHMKPASASSGSASTQSFNEASTSEGSLISSSIRKHFEKLPKMLWLNNRLYSTSEESDLSSVASAVAATVCRQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQDLALSAMDQSCYWRFVLLNLSVVRAEILLSESGASEVAEIDAVLEEAAELVDESQPKKPSYYSTYEVQYILRRQSDGSWKICEASVRDLSNEPSVTKLWRHSSKNNANCGSMR >LPERR04G24980.2 pep chromosome:Lperr_V1.4:4:22383521:22388522:-1 gene:LPERR04G24980 transcript:LPERR04G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVSAAAVAVAVAAAICPGVACGSSARRGGVGNDNGGCVAGGRRGAAAWVARARVAEAAPVAAEGSRQEAGPAAAAMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHMPWSWLPAALCVLQEVGEEKLVLEIGQAALRRSDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLGLPQTPENTERRQGAIAALCELLRQGLDVESSCRVHEWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKTVESQSQRAVVDFNCFYIAMLAHLALGFSTRQADLISKAKTICECLASSENTDLKFEESFCSYLLGEQLQSNGNSNTRNYGLPKKKDGNDKVTVNQSLELWLKDVVLSRFVDTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTQRLNSTSHLGEAVKQLAPTNLGVHSSLDRPANGSTVTPVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFTLFGTLKFLRFQFGHMKPASASSGSASTQSFNEASTSEGSLISSSIRKHFEKLPKMLWLNNRLYSTSEESDLSSVASAVAATVCRQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQDLALSAMDQSCYWRFVLLNLSVVRAEILLSESGASEVAEIDAVLEEAAELVDESQPKKPSYYSTYEVQYILRRQSDGSWKICEASVRDLSNEPSVTKLWRHSSKNNANCGSMR >LPERR04G24990.1 pep chromosome:Lperr_V1.4:4:22388899:22390966:1 gene:LPERR04G24990 transcript:LPERR04G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASSLGPPPPPRPRPFSSARRARLPAAARTALALEGRFRVVPAPGPGRLVARARVRCGAAVKFIGQSEFEAEVLQSELPVLVDFVADWCGPCRLIAPVVDWASDEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYVEPLLSTSTVA >LPERR04G25000.1 pep chromosome:Lperr_V1.4:4:22393765:22397205:1 gene:LPERR04G25000 transcript:LPERR04G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAARAWRRSLRDALLRGSAWRGGGAPAARSASTASASDAAAAGAAAGGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAAAHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPSTNDVKQGGKAGPGILGVNLGKNKASEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPPPADTHPIAQEAGGLSGKPLFDLSTNVLREMYTLTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >LPERR04G25010.1 pep chromosome:Lperr_V1.4:4:22405387:22406190:1 gene:LPERR04G25010 transcript:LPERR04G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYGASTRRDRAGMSSATVVAAKVAFAAAAVAGAGSFARLAVPQLVSVAGAVFPRVWDAARVWLVPPYLFVTVHLIILVIWKLSDHKHFHKDPWPHPSPSPAMAPAAAAEDAPPPSINVKPKEEEEVFDAGVDYGVMPEISPDSGAGESCVTTESSDEDAASYTSDARRSLAPVLLQRELSLPTAAAVDGGGDDDDDMDATWKAIMRKTRPAAAAAAMADPAPAQKAAAMAPPPPRARDPSVGAEEMNRRFDDFIKKNRHSFGRQ >LPERR04G25020.1 pep chromosome:Lperr_V1.4:4:22411729:22412721:1 gene:LPERR04G25020 transcript:LPERR04G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATVAIEFTKDDMATEQSMQKLYERWCSHHEVARDDDDKALRFAIFKQNVHQIHAFHQATPSYKMCVNIFADMTDDEVIKHTTKCIIEEPSDHGVATAPSYKDGDIKLDLPVAIDWRQEGKVTSVKDQFACGCCWAFVAAAAVEGLEAIWHGELDDLSPQQLMDCDTSSEACDGGSYVRAFEWIHQKGLARYAQYPYLARRGPCSTSVVPVVGIDGHVLVPPLSEVDLRTAVSDQPVAILIDARDIAFKRYTVGIYKGPCSGANVTHSMLVVGYNSTSSGDGFWILKNSWWQNWGENGYMLLRRKVNDESYGTCGILKKPAYPVNYND >LPERR04G25030.1 pep chromosome:Lperr_V1.4:4:22416590:22418780:-1 gene:LPERR04G25030 transcript:LPERR04G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAALLAATALLMLLVAVYMSIASYRLRIEEEETRQIFVEWKAKYDKSYTSIGEEEFRYAVFKKTRRIVDKHNAEADAGLQSYRVGLNIFADSTKEEMSRSCFIHGPEEEALLSSAKVCPCCRGTIIQAGGSTIPGQMKHSRI >LPERR04G25040.1 pep chromosome:Lperr_V1.4:4:22424089:22424481:1 gene:LPERR04G25040 transcript:LPERR04G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHGTPITDLGECLETIHDLGTGWVSDAVRDVAGDLWDWSKNILGDLEKRIKAAKKSLEVCRRRALTSVEVAREQVLRHRLERLEEQWDIYWR >LPERR04G25050.1 pep chromosome:Lperr_V1.4:4:22430401:22433717:1 gene:LPERR04G25050 transcript:LPERR04G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCALAAMALAATVAMEFTEDDMATEQSMQKLYERWCSHHEVARDDDDKALRFAIFKKTVHKVHAFHQTTPSYKMGVNIFADMKDEEVAAHTKCIMEEPFNQAEKGAATFPSLKGRLANDGIKLELPSEIDWRQEGKVTGIKTQFLCGSCWAFAAAAAVESMNAIWNDELVDLSPQQLMDCDSTSRACNGGFFIRAFMWIQNNGGLARYDQYPYLARRGNCSMSLVPTVPIYGYTRVPPMSEAELREAVCDQPVAVAVDSSDDLFQHYTGGIYKGPCSRWKLTHSMLVVGYNSTSSGDSYWILKNSWGKHWGENGYMRLSRKVNDEGYGTCGILNVAAYPYQNYDWY >LPERR04G25060.1 pep chromosome:Lperr_V1.4:4:22435013:22437264:-1 gene:LPERR04G25060 transcript:LPERR04G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLYRLRMEEETRRIFVEWKAKHDKSYASIGEEEFWYALLKKARREIDQHNAEADAGLQSYRQVPRPQHVRRLHQGGKLPQLRRRKKLFSLLLKGMCTCRSYHTDLRIEEEETRQMFVEWKSKHEKNYTSIGEEEFRYAVFKKTRRIVDKHNAEADAGIQSYRLGLNIFTDSTNEEMSGNCFIHGLEEEALLSSAKIRSKLKPTPGFNHTAKASTPRILVTDRRRTRKRLFVIS >LPERR04G25070.1 pep chromosome:Lperr_V1.4:4:22440163:22440561:1 gene:LPERR04G25070 transcript:LPERR04G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAIALVAMVALFAVMARATVAMEFTEDNMATEQSMQKLYERWCSHHEVARDDIEKTLRFTIFKKNVRRAHAFHKATPSFKLGVNLFADMTDDEMDAYTNCNCIMEEPFDQGASWNDDIRRRLTGAKRER >LPERR04G25080.1 pep chromosome:Lperr_V1.4:4:22442637:22443714:-1 gene:LPERR04G25080 transcript:LPERR04G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCGRYSDHYGQIAAAKFQTATSSPHLVRYERYLSTLGSGGAADAAADLRIEEEETRQMFVEWKSKHEKNYTSIGEEEFRYAVFKKTRRIVDKHNAEADAGIQSYRLGLNIFTDSTDEEMSGNCFIHGPEEEALLSSAKISSMPKPMTGSNHTTKASTPGSLFMDRRRTKKRFCVIS >LPERR04G25090.1 pep chromosome:Lperr_V1.4:4:22445415:22445645:1 gene:LPERR04G25090 transcript:LPERR04G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEQCMQKLNERWFSHHEVAQDNDDKTFRFAIFKQNVHQVHTFHQTTPSYKIGVNIFTDMTDDEVTVHTNCIIDH >LPERR04G25100.1 pep chromosome:Lperr_V1.4:4:22450241:22453834:-1 gene:LPERR04G25100 transcript:LPERR04G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSSGCEEGSGGGGGGVWTREQEKAFENAVATLGVDEDEEGDEMWEKIAEVVEGKTAEEVRRHYGWLVEDVDGIESGRVPLPVYADGGGGAEEGSGGGGGKKGGGGGGGGGGHGEKGSAAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASGAQGPITGQANGQAANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >LPERR04G25110.1 pep chromosome:Lperr_V1.4:4:22455564:22460654:1 gene:LPERR04G25110 transcript:LPERR04G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRPRLYAATPPITGESDAAAAAAAEAPIPEHDRVYFQSYAHIGIHESMIKDRVRTDAYRSAIAYHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVEASEMATQAREIVKANNLNDKVIVIHGRVEDVEVEDRVDVIISEWMGYMLLYETMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPSDGSSDLNPLENNPKKRRRGPEDAVLLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSLTVSQSEENPLSK >LPERR04G25120.1 pep chromosome:Lperr_V1.4:4:22461214:22462632:-1 gene:LPERR04G25120 transcript:LPERR04G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIANTNLLLLLLIVVAHASPPRFHLFYPHNFTMSSSSSPSPSIHHRSSSGGGSKNPTLSLLHRDAIPGAAAHPSRRHAVLRRVARDNARVEHLAKRLSSSASYVAEDLGTEVVSGLAEGSGEYFVRVGVGSPPTDQYLVVDSGSDVIWVQCRPCAQCYPQSDPLFDPATSSSFSPVACGSAICRMLPRTGCGGGDGCEYEVSYGDGSYTKGVLALDTLTFAGGAAVEGVAIGCGHRNSGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLASRGAGNNADVASAGSLVLGRSDAVPTGAVWVPLVRNSNAPSFYYVGLDGIGVGGERLPLQDGVFALTDDGDGGVVMDTGTAVTRLPADAYAALRDAFAGAAVGLPRAAAVSLLDTCYDLSGYESVRVPTVSLYFEQGAVLTLPARNLLVDVGGGVYCLAFAPSSSGLSIFGNIQQEGIQITVDSANGFVGFGPNTC >LPERR04G25130.1 pep chromosome:Lperr_V1.4:4:22467124:22468710:1 gene:LPERR04G25130 transcript:LPERR04G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSISKRQQLLAAVSALLLLASRAEAGHDGDAPPATPCAAADRAALLAFKAGVAVDTTGILATWVDGGDGDCCAAWEGVSCDAATGRVVSLQLDAPPPATPRRRYMEGELSASLGELAFLETLVIRDMARIGGGIPASMSRLSRLKQLYLEGNALAGEIPGDVLSHMSSLQYLSLAGNRLEGHLPPELGSVSGLVQINLAGNRLSGELPASYKNLSKLAYLDLSNNLFSSEIPAFFGQQLKSLTLLDLSNNGFSGEIPASLCGLRNLTDLSLSHNKLTGVIPSQIGMISSLNSLSIDSNLLVGSIPESLFSLQKLWNLNLSRNGLSGSVPPGIRHGLPSLVSIDLSHNHLIGGIDHFFRSISPPSSSRKNASSDMSVIFLPRELQHLDLSKNRITGALPEFGGGGGAASLRWLDVSGNAIGGQIPSSISKLIGLQRLDVSRNAIRGTIPASMAAMASLRWLDVSGNGLVGRIPDEFARMARLRHVSFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRRI >LPERR04G25140.1 pep chromosome:Lperr_V1.4:4:22469545:22470300:-1 gene:LPERR04G25140 transcript:LPERR04G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRIHPVGVGSPPPPPPEKGRTPSYDGKHDQLPITAPQPYTPPPLPPPIRRGKSHTRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFSVDRLTVTRFDVNATSMTVSDAFEVEVTSTNPNKRIGIYYDGGEVAASFNGTELCRGDFPALYQGHRSTIRPVIRLAGETRMDSAVAQQLVQQRQAGFVPLTVRARVPIRIKFGVIKLWKMTGKATCNLVADNLQAGTQIRIRSNSCSFKLKV >LPERR04G25150.1 pep chromosome:Lperr_V1.4:4:22472796:22476158:-1 gene:LPERR04G25150 transcript:LPERR04G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDALFFGADDRRRKGMASSMAAAAADSPSPSHYAIPPLSPTAASVVHRCARIAGVEVEDLLRRFEPERGDQPLVYARNLVEYCSYIALRVETRRHDHLGDSDFHSLTYDMMLAWEAPDDETNAALQRMSFSFLHDGKDDDDGSMFCLSPTQMAIQVDGRRTVGPEAFAKITPACPAMAHAITVRNLFDALTNSTGGRLHFLFYHKYLKNLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRLINLHKAEALSVATLGVLRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQLKHYFSRFSGDEDFAQSGLDDLTLADPFPLSAYTLVTMGLLTLKEEDNPEERDLIVRDVQTGGTSSVQMALERSVGYSSRVEAARATLDQVKVEDIDTNVAVLKEMLFPLIEIGKKLQFLAEWEDPLKSYVFLFCFLYIVYRGWIWYMFPGLLFCSTVFMLWQRHTGNGQLIGAFEVTTPPRRRTVEQLLALQQAISQLEAHVQAGNIFLLKLRSLLLAAFPQSTNKVAAAMLVASAIFAYLPLRSILVLILVEAYTRHMPVRKKSSEKLVRRLREWWLRIPAAPVQLLRPQDTRRWRSRLRSR >LPERR04G25160.1 pep chromosome:Lperr_V1.4:4:22477513:22480613:-1 gene:LPERR04G25160 transcript:LPERR04G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMGKILADLEKGEVDTRVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDAKPIKLTKGQELTVTTDYDIKGDENMISMSYKKLPVDVKPGNVILCADGTISLTVLSCDPAAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKTAVKKQLCKPGDAVVALHRIGVASVIKICIVK >LPERR04G25170.1 pep chromosome:Lperr_V1.4:4:22485824:22486054:-1 gene:LPERR04G25170 transcript:LPERR04G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPETRMVEPVESEAKLVKLEAKPVAKSVKPETKMTSPSANTAKLLKSKYHAKPQHRPIYNSGSTHNKPNKGLGR >LPERR04G25180.1 pep chromosome:Lperr_V1.4:4:22490003:22490467:1 gene:LPERR04G25180 transcript:LPERR04G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSRSSSLVVPVLLLLLMFTLAVVTASATTPAEEAHLLHEEEAILDAADQKKDGAIPAAAESLDWEEAKLMRGGVIATQGDEKSGSSTPPASGGEHGKSEGKEGEKSTKSCVSKEECHKKRLMCGKSCTMSAHTKCANKCSKSCIPTCT >LPERR04G25190.1 pep chromosome:Lperr_V1.4:4:22491507:22499935:-1 gene:LPERR04G25190 transcript:LPERR04G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKQLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKSSSSSTMPLQNDSGSRANIGRLSAPQNTENNIKPSTGRLSVSQNSDSALKETKLTASSGLVPGTPQRAGNGSSTKTVGNSTFASSGATLKRVDVVPVIIPRTSSGPELATDSRSDAADVGTVLSKGGRRTEVASDSRKESSDLPAAVPRTNSRTEMASDSAPVVPRTNIRMEMTSDAAPTVPKSGRRLESSAESRKESADVASVAALKTNSRMEMGPDSVPFLSKASRRVESATDSRKESADVAPVIVPRTTSRMEMTSDSRRELSAGRMSPFRVQSRYGEQRKLNNTKVDTDKIDAGSKNSESDDFSCQIYLPRRNGVVQTVISEESREDVKPGMIDRMGFPSSAEPITHRSENYVSRLRKPRDNCYIEVSRAGRARPISSNWEGRDQSPNHEEPTTSSSTSMAPTGRLYSSRASSHAAETPTIASDEDVLSVLMEQHDMFLSSTRSRLTKLQIVHQMWQRNDMRGVIAAVEKMSDHAVSADMASVLMEKGETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPLLSRRKGAVANTAQELSLVFQEVM >LPERR04G25190.2 pep chromosome:Lperr_V1.4:4:22491507:22499935:-1 gene:LPERR04G25190 transcript:LPERR04G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKQLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKSSSSSTMPLQNDSGSRANIGRLSAPQNTENNIKPSTGRLSVSQNSDSALKETKLTASSGLVPGTPQRAGNGSSTKTVGNSTFASSGATLKRVDVVPVIIPRTSSGPELATDSRSDAADVGTVLSKGGRRTEVASDSRKESSDLPAAVPRTNSRTEMASDSAPVVPRTNIRMEMTSDAAPTVPKSGRRLESSAESRKESADVASVAALKTNSRMEMGPDSVPFLSKASRRVESATDSRKESADVAPVIVPRTTSRMEMTSDSRRELSAGRMSPFRVQSRYGEQRKLNNTKVDTDKIDAGSKNSESDDFSCQIYLPRRNGVVQTVISEESREDVKPGMIDRMGFPSSAEPITHRRRARPISSNWEGRDQSPNHEEPTTSSSTSMAPTGRLYSSRASSHAAETPTIASDEDVLSVLMEQHDMFLSSTRSRLTKLQIVHQMWQRNDMRGVIAAVEKMSDHAVSADMASVLMEKGETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPLLSRRKGAVANTAQELSLVFQEVM >LPERR04G25200.1 pep chromosome:Lperr_V1.4:4:22504645:22509045:-1 gene:LPERR04G25200 transcript:LPERR04G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAGSAPSPLRWILLVLGWNRDNRSDRDEPSKNSGLWQCTICEYRNDAKYLSCEQCGVIRDFSVYFNNALEVDGRAKRRDRHSAVSVLARSLFSPSNTKSKDAVFSDGFKASRNATGNTQATLDALHKTYMASKERRINIVPFKFDTPSPDDMVATGLKSSRNFRKVDADASYIDVHIAEKMVMDNDDIITEKDINTDLNLPVKLNELGESSSSVAVDSQDKTLGLDNDIEHLSLERKPKKGKAKMKRPISSSLYKPEPWMLQLKDEDISRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGVTMTVGMGINGIGQTKEHSQLIRSFGVENLIIAVNKMDVVEYSKERFNFIKSQLGTFLRSCGYRDSAISWVPMSAMENENLLAAVSDPRLLSWYDGNCLLEAIDTLPPPHRDVSKPLCLPICDVISSQKLGQVAIGGKVETGAIRSGSKVLIMPAGELAVVKTIERNSSSCNVARAGDSIAIGLHGIDPSHVMSGGVVCHPDYPVSVASRLELKILVLDITVPILVGLQFELHIHHAKVSASLVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLNKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVNRVREQS >LPERR04G25200.2 pep chromosome:Lperr_V1.4:4:22504645:22509045:-1 gene:LPERR04G25200 transcript:LPERR04G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAGSAPSPLRWILLVLGWNRDNRSDRDEPSKNSGLWQCTICEYRNDAKYLSCEQCGVIRDFSVYFNNALEVDGRAKRRDRHSAVSVLARSLFSPSNTKSKDAVFSDGFKASRNATGNTQATLDALHKTYMASKERRINIVPFKFDTPSPDDMVATGLKSSRNFRKVDADASYIDVHIAEKMVMDNDDIITEKDINTDLNLPVKLNELGESSSSVAVDSQDKTLGLDNDIEHLSLERKPKKGKAKMKRPISSSLYKPEPWMLQLKDEDISRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGVTMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAVLVIDASIGSFEAGMGINGIGQTKEHSQLIRSFGVENLIIAVNKMDVVEYSKERFNFIKSQLGTFLRSCGYRDSAISWVPMSAMENENLLAAVSDPRLLSWYDGNCLLEAIDTLPPPHRDVSKPLCLPICDVISSQKLGQVAIGGKVETGAIRSGSKVLIMPAGELAVVKTIERNSSSCNVARAGDSIAIGLHGIDPSHVMSGGVVCHPDYPVSVASRLELKILVLDITVPILVGLQFELHIHHAKVSASLVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLNKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVNRVREQS >LPERR04G25200.3 pep chromosome:Lperr_V1.4:4:22504645:22509045:-1 gene:LPERR04G25200 transcript:LPERR04G25200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAGSAPSPLRWILLVLGWNRDNRSDRDEPSKNSGLWQCTICEYRNDAKYLSCEQCGVIRDFSVYFNNALEVDGRAKRRDRHSAVSVLARSLFSPSNTKSKDAVFSDGFKASRNATGNTQATLDALHKTYMASKERRINIVPFKFDTPSPDDMVATGLKSSRNFRKVDADASYIDVHIAEKMVMDNDDIITEKDINTDLNLPVKLNELGESSSSVAVDSQDKTLGLDNDIEHLSLERKPKKGKAKMKRPISSSLYKPEPWMLQLKDEDISRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGVTMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAVLVLIMPAGELAVVKTIERNSSSCNVARAGDSIAIGLHGIDPSHVMSGGVVCHPDYPVSVASRLELKILVLDITVPILVGLQFELHIHHAKVSASLVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLNKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVNRVREQS >LPERR04G25200.4 pep chromosome:Lperr_V1.4:4:22504645:22509045:-1 gene:LPERR04G25200 transcript:LPERR04G25200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAGSAPSPLRWILLVLGWNRDNRSDRDEPSKNSGLWQCTICEYRNDAKYLSCEQCGVIRDFSVYFNNALEVDGRAKRRDRHSAVSVLARSLFSPSNTKSKDAVFSDGFKASRNATGNTQATLDALHKTYMASKERRINIVDADASYIDVHIAEKMVMDNDDIITEKDINTDLNLPVKLNELGESSSSVAVDSQDKTLGLDNDIEHLSLERKPKKGKAKMKRPISSSLYKPEPWMLQLKDEDISRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGVTMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAVLVIDASIGSFEAGMGINGIGQTKEHSQLIRSFGVENLIIAVNKMDVVEYSKERFNFIKSQLGTFLRSCGYRDSAISWVPMSAMENENLLAAVSDPRLLSWYDGNCLLEAIDTLPPPHRDVSKPLCLPICDVISSQKLGQVAIGGKVETGAIRSGSKVLIMPAGELAVVKTIERNSSSCNVARAGDSIAIGLHGIDPSHVMSGGVVCHPDYPVSVASRLELKILVLDITVPILVGLQFELHIHHAKVSASLVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLNKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVNRVREQS >LPERR04G25210.1 pep chromosome:Lperr_V1.4:4:22509718:22510357:1 gene:LPERR04G25210 transcript:LPERR04G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVTKDASPYCVYGSDAATGYGIGALALLLAGQVVVMAATRCFCCGRAITPGRWRAFSGFFFITCW >LPERR04G25220.1 pep chromosome:Lperr_V1.4:4:22511288:22513955:1 gene:LPERR04G25220 transcript:LPERR04G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIIIAVVFVLDALAFVLAIGAEKRRSTATLGVDDRSRQYCVYSTDAATGYGIAALLLLLAAQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIACWFTFVIAELCLLAGSVRNAYHTKYSTLVMSGPPRCAMLRKGVFAAGAAFTFLTALFAELHYIFFAKARHAAAVPPPIVGAGIGMTRM >LPERR04G25230.1 pep chromosome:Lperr_V1.4:4:22517831:22524933:1 gene:LPERR04G25230 transcript:LPERR04G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAVEHMDPVASAAEREREEEDDEEFYESLDRILSSSCSSTSASDDDEQHRRRRRHHPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRFGLSSSGDTDPLPPSSPRCRSPWPATSPPSSSPPPPPPPHRTVAAEKEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSEHAVPMSKPRRKPGGWLRGIRHLAGSVAYGRRSTDERDKEKEKEKKEREARRPSSATDDSLDGTSSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGDRKGELLGEGTVPRENGGGCSPFLVAVGNGSPEVATLSLSCADGGFVEKKRRPRLQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVRLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHENTSHPSRSRSAVDVTSSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVNTARDVPVENSEHDLNGAVNRCTKRTVCEGVASTSNPPVDGVAASWPEEKLPSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >LPERR04G25240.1 pep chromosome:Lperr_V1.4:4:22523621:22525175:-1 gene:LPERR04G25240 transcript:LPERR04G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSTLLSAAAAAAASKKRPADSDALPPIDSSPPPPQGDEEASTEKKQNQKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGATRRAAAAKRRRVSDDPSSSPSPPPPTSTTTTSDAYYADLPAAGAAGFPFLSDGAFLPQFGLAAAGVAAPAAFSWQSVIADLYNCGIAPWDDGTAVAGAAACDGFTDIAGGLDLTWPPPGN >LPERR04G25240.2 pep chromosome:Lperr_V1.4:4:22523623:22524583:-1 gene:LPERR04G25240 transcript:LPERR04G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSTLLSAAAAAAASKKRPADSDALPPIDSSPPPPQGDEEASTEKKQNQKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGATRRAAAAKRRRVSDDPSSSPSPPPPTSTTTTSDAYYADLPAAGAAGFPFLSDGAFLPQFGLAAAGVAAPAAFSWQSVIADLYNCGIAPWDDGTAVAGAAACDGFTDIAGGLDLTWPPPGN >LPERR04G25250.1 pep chromosome:Lperr_V1.4:4:22541745:22545053:1 gene:LPERR04G25250 transcript:LPERR04G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDALHDCQAASGTGYLSAFLAEFFDRFEAIQPGLLDQYTVAGNGKALVAMAGYFAGRIRNVIQRYTIERHWTSLDLQADSLSDFHANTHNPVVIGAQMRYEATGDPLYKEIATFFMDTVNSSHTYATGGTSVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPAVMIYMLPQGPGRSKAVSYHGWGTQYNSLGVESFSKLGDSIYFEEKGAKSGLYIIQYIPSTFNWRTTGLTVTQQVKPLSSSDQHLQVSLSISAAKTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGTFLTVSKQWDSGGHLLLQFPINLKEGQTQVIT >LPERR04G25250.2 pep chromosome:Lperr_V1.4:4:22541806:22546775:1 gene:LPERR04G25250 transcript:LPERR04G25250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLDQYTVAGNGKALVAMAGYFAGRIRNVIQRYTIERHWTSLDLQADSLSDFHANTHNPVVIGAQMRYEATGDPLYKEIATFFMDTVNSSHTYATGGTSVSEFWSDPKHLAEALTRD >LPERR04G25250.3 pep chromosome:Lperr_V1.4:4:22541806:22545053:1 gene:LPERR04G25250 transcript:LPERR04G25250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLDQYTVAGNGKALVAMAGYFAGRIRNVIQRYTIERHWTSLDLQADSLSDFHANTHNPVVIGAQMRYEATGDPLYKEIATFFMDTVNSSHTYATGGTSVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPAVMIYMLPQGPGRSKAVSYHGWGTQYNSLGVESFSKLGDSIYFEEKGAKSGLYIIQYIPSTFNWRTTGLTVTQQVKPLSSSDQHLQVSLSISAAKTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGTFLTVSKQWDSGGHLLLQFPINLKEGQTQVIT >LPERR04G25250.4 pep chromosome:Lperr_V1.4:4:22541774:22545053:1 gene:LPERR04G25250 transcript:LPERR04G25250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLTCSCKCLNRNPPCKRFICFFLLCIRMHIMQGLLDQYTVAGNGKALVAMAGYFAGRIRNVIQRYTIERHWTSLDLQADSLSDFHANTHNPVVIGAQMRYEATGDPLYKEIATFFMDTVNSSHTYATGGTSVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPAVMIYMLPQGPGRSKAVSYHGWGTQYNSLGVESFSKLGDSIYFEEKGAKSGLYIIQYIPSTFNWRTTGLTVTQQVKPLSSSDQHLQVSLSISAAKTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGTFLTVSKQWDSGGHLLLQFPINLKEGQTQVIT >LPERR04G25250.5 pep chromosome:Lperr_V1.4:4:22541774:22546775:1 gene:LPERR04G25250 transcript:LPERR04G25250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLTCSCKCLNRNPPCKRFICFFLLCIRMHIMQGLLDQYTVAGNGKALVAMAGYFAGRIRNVIQRYTIERHWTSLDLQADSLSDFHANTHNPVVIGAQMRYEATGDPLYKEIATFFMDTVNSSHTYATGGTSVSEFWSDPKHLAEALTRD >LPERR04G25250.6 pep chromosome:Lperr_V1.4:4:22542774:22545053:1 gene:LPERR04G25250 transcript:LPERR04G25250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPAVMIYMLPQGPGRSKAVSYHGWGTQYNSLGVESFSKLGDSIYFEEKGAKSGLYIIQYIPSTFNWRTTGLTVTQQVKPLSSSDQHLQVSLSISAAKTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGTFLTVSKQWDSGGHLLLQFPINLKEGQTQVIT >LPERR04G25250.7 pep chromosome:Lperr_V1.4:4:22541393:22541744:1 gene:LPERR04G25250 transcript:LPERR04G25250.7 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRAYDALALAPSARDFLPQSHLYRDAAALLALRHRPPFATAAVPSSAAFVGLRPTAPRAVRPPPAPHRLGRGRLRLRLRPPASTARAGHYMSAAAKMWTSMHNGTLAG >LPERR04G25260.1 pep chromosome:Lperr_V1.4:4:22548915:22550780:1 gene:LPERR04G25260 transcript:LPERR04G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQATLLPSALSVPKKGNLVVKEPAFLSVSQKASKKPSSLAVRAQVATSSPAPAVASPGTSTAKPDGKKTVRQGVVVITGASSGLGLAAAKALSETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDAFRRTGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLMLDDLTKSDYPSRRLIILGSITGNSNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGNESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWELSEKLVGLA >LPERR04G25270.1 pep chromosome:Lperr_V1.4:4:22551786:22553063:-1 gene:LPERR04G25270 transcript:LPERR04G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSERKSPNWPIATAAAAAGDGQAAVKGRGSGDGEEIRLYYEQYVQDLHLHLRQKEDDISRLEAERNGLSSRVSVMSKEVRLLQAKESYLGEVVKVMGKSKVLVDAYQKGKFVVDVDRSIDVTDLTPSTRVALRNDGSYTLHLVLASKVNPFVNFMKVEKVPNSTYDMIGGLDQQINEIKEVIELPIKHPELFESLGITQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGPHGGDNEVQRTMIELLNQLDGFEAKNNIKVIMATNRMDVLDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRRMNLMGGVDLKKIAAKMNGANGAELKAVCTEAGMFSIRERRVHVTQEDFEMAVPKVMKKYTEHNMSMLKF >LPERR04G25280.1 pep chromosome:Lperr_V1.4:4:22553329:22557414:1 gene:LPERR04G25280 transcript:LPERR04G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIWMVVAAGAVLLWAVSLGKVVSSPSPACLPRNSAAFLRGDGISRNVLLVLAHPDDESMFFAPTILFLKSKGHNIHVLCLSQGNADGLGNTRKEELYFACATLKIPAKQVRVLDHPELQDGFHEKWDHGLIAELTTAQAQLWNIDMIVTFDSRGISGHPNHRDVHHGICKLLRDSGHEHIEAWELVSLNIFRKYSGPVDIWLSSFTLSSSSKQQTYALVNNSPSRSFEAMAAHKSQWVWFRRLFVLFSSYSYINVLQKI >LPERR04G25290.1 pep chromosome:Lperr_V1.4:4:22557953:22563292:1 gene:LPERR04G25290 transcript:LPERR04G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAEPPPAATGGGGLPGFFGGKSKYVRMDDVLPQEEDGGGGGVAVRRRQSSSRYVFACSVFASLNSVLLGYDVGVMSGCILFIQKDLHISEVQQELLVGCLSFISLIGSLAGGRTSDAVGRKWTIGLAAVVFQAGAAVMTLAPTFTVLMIGRLLAGIGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHINWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEARAVLIKITDSEDEANERLAEIEIAAGAVAGAGKYGEKTVWQELTRPSPVIGRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTSCLAVLAATLAALAHGSVSKSVGIAVAVMAVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISAMSVVFVHRFVPETSGKSLEEIELLFGGGGGGDGETARGEVELGDGEHLLGRVDDEPSITEERSIETMGLPATEATVNGGVGVGGMRSLPDFLGRKSKYVRMEDVLPDELEDGGDGGDGGVRFRGGGGSRRYVFACSVFASLNHVLLGYDVGVMSGCIIFIQKDLHITEVQQEVLVGCLSFISLLGSLAAGRTSDAIGRKWTIGLAAAVFQAGAAIMTFAPSFAVLMMGRLLAGIGIGIGIMVAPVYIAEITPATLRGSYASFPEIFISFGILLGYISNLIFAGLPDHVNWRVMLAAGIIPSITIAFVLLVIPESPRWLVMQGRTVEARSVLIKVTDGEAEANERLAEIEDAERVTAAGNGKAVWRELLWPSPVIRRMLVTGVGVQLFQQITGIDALVYYSPTIFRDAGITTESQLLAATVGVGISKTVFIVIAIVLVDRVGRKPLLYVSTAGMTSCLAALAASLSHGGALPRAAAIGVAVVTVCGFVAFFSVGIGPINMVISSEIYPLRLRAQAVALGFAVNRLTSGAVAMSFLSICRAVSVAGAFAAFAAISALSVVFVHVFVPETRGKSLEQIESMFSGGSSGDAEVELGDAERLVHER >LPERR04G25300.1 pep chromosome:Lperr_V1.4:4:22564465:22572123:1 gene:LPERR04G25300 transcript:LPERR04G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFADDGADELPRTASHPFDADEDDVSAAADAPVGGATDGGYGGYASFVDGGVEDVEEEEIAVDSSEGVPIGHVSGGFSPSPFSPEPESDGGDGAILPPPSQMGREEGILLREWRRQNALVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCASNMINNREREKIVVASQEKFHAEADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKEGAKDAAKEGAKDGAKNGAPAPANGTKKPVESKEKSANGPAEAEKEQPAASE >LPERR04G25310.1 pep chromosome:Lperr_V1.4:4:22568355:22570342:-1 gene:LPERR04G25310 transcript:LPERR04G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWCCIPKSNKGDNPYSHGVSGISSEKNIRLFSYSELRSATDNFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEANNRILVYEYLENSSLDRALLGSNGEPANFTWSVRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDMLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSYGVLVLEIVSGKSSSRSLLADDKILLEKAWELYEVGKLKELVDSEMGEYPEEEVLRYIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSNSKATNSSNSRFKQSTSEASDMFSTIVPPTVSEISPR >LPERR04G25320.1 pep chromosome:Lperr_V1.4:4:22579491:22580635:-1 gene:LPERR04G25320 transcript:LPERR04G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHAAHPIEAFSTSFGGGAALACVAGHARNNGLSPSYERMFCGLDDIYCVFMGRVDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGDVPLYWGIAADGSVVICDDREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRMKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAGAAANWDESAV >LPERR04G25330.1 pep chromosome:Lperr_V1.4:4:22583580:22584803:1 gene:LPERR04G25330 transcript:LPERR04G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASMRSTPRISRRRAYGSRRAPLRQLALPQRCPSPLPVSTGAINNGDGASTTRLRPLKLNYENILTYGPPEGLSASVASPLSPVRTTAHLGPPWHAVVTPPVASWRLLVQVFAEVGLFRLSRRRTWIKSRRCVTRVQVGSADLGILDGQYSGRTLVWKASTDAALQGAKEQLA >LPERR04G25330.2 pep chromosome:Lperr_V1.4:4:22583680:22584803:1 gene:LPERR04G25330 transcript:LPERR04G25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASMRSTPRISRRRAYGSRRAPLRQLALPQRCPSPLPVSTGAINNGDGASTTRLRPLKLNYENILTYGPPEGLSASVASPLSPVRTTAHLGPPWHAVVTPPVASWRLLVQVFAEVGLFRLSRRRTWIKSRRCVTRVQVGSADLGILDGQYSGRTLVWKASTDAALQGAKEQLA >LPERR04G25340.1 pep chromosome:Lperr_V1.4:4:22586477:22587686:-1 gene:LPERR04G25340 transcript:LPERR04G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVSDLCIGKPALTWLPPTATVADAIADLETRGNDAAAAVWDGKGDDVAGRVSMVDVILFLCHEHNLDSPSAALHSSLADLLAVAGKPPVRRIDPHASVVEAVDAFLDGGGASCLVVPIRERRRSPAAAAEGKGEKLLCWLTVEDVVRFFLGCIGVFSATASLSVTHLGVVRPASLAVAAADMALPAVVPLLRAAVATHSSVAVLTGQRLAGEVSPSTLCSLDPSLAAAAIAALSAGDLAAFLHRGGGATIHDIRCRLRRRNLHSTSSSSLLSSSPTSSSSATSSSSSSDDDDEEEHGFKCYATASCARRGSKQMTEAIACRPGSSLVAVMVQAIAHRVTQVWVVDDGGELVGVVRFLDVLRVLRHHLQRPIFS >LPERR04G25350.1 pep chromosome:Lperr_V1.4:4:22593084:22595811:1 gene:LPERR04G25350 transcript:LPERR04G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQIRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDVDDRRQKAICTERYRRRDEDESKRQVSEIDAEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDDRDVVDMATVTKENLRRLFMYIEKLPLVAPDNIFYAYDSHRMFLVLGCG >LPERR04G25360.1 pep chromosome:Lperr_V1.4:4:22596759:22599511:-1 gene:LPERR04G25360 transcript:LPERR04G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVRSLVQTGLKAVNSVLGLAGMAVILYALWMLRAWFRDVADLHYHLPVPWFIYTFIGLGVFMCLLTCSGHIAAETANSHCISCYMIFVFFIIILEGAITTDIFLNSNWEEDFPDDPSGKFEEFKDFIRSNSEICEWIGLSVVAAQVLSIILGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQSQHGLNYLETNLPQSSDSWSVRISDKVRYAFRQFISSVP >LPERR04G25370.1 pep chromosome:Lperr_V1.4:4:22603034:22608058:1 gene:LPERR04G25370 transcript:LPERR04G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQNGSLPREPIPSSVTARLPTLAELEGYALEQWECFLLQLINSSQVEKGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSSEERGVDPTDLISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSASLSDSSSSKEGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPSVPENVTDQIRLWETDRNRVEMTLSHLYEDFPSKDMFEQCCDYARDHGCLLWEDAKKMRLIVRVEFHPEMREFLRRLR >LPERR04G25370.2 pep chromosome:Lperr_V1.4:4:22603034:22608058:1 gene:LPERR04G25370 transcript:LPERR04G25370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQNGSLPREPIPSSVTARLPTLAELEGYALEQWECFLLQLINSSQVEKGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSSEERGVDPTDLISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPSVPENVTDQIRLWETDRNRVEMTLSHLYEDFPSKDMFEQCCDYARDHGCLLWEDAKKMRLIVRVEFHPEMREFLRRLR >LPERR04G25380.1 pep chromosome:Lperr_V1.4:4:22610989:22613412:-1 gene:LPERR04G25380 transcript:LPERR04G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPQCGSCCTKKYASLVQIPWRVFCKKGCDADGDNWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFKFDIPAEKVEQIKPNRPSKPPPPPAVERTKNSEPIAKGDDVPCTSA >LPERR04G25390.1 pep chromosome:Lperr_V1.4:4:22615518:22620260:1 gene:LPERR04G25390 transcript:LPERR04G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVYRFPRYPRVHVSTTAQVGLGQWRPHASNTRRESDSRITRRAERRRTTMAAAAAAAKGRVLPLLAVAAALAAAVLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFLLRRPVVDYGDAVIMPGLIDVHAHLDEPGRVEWEGFSTGTRAAAAGGITTLVDMPLNSHPSTVSEETLKMKVDAAKDKLYVDVGFWGGLVPENALNSTALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNDDELDGEQDPRSYMTYLKTRPPAWEEAAIRDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGAKVSIETCPHYLAFSAEEVPDGDTRFKCAPPIRDATNRDNLWEALLDGHIDMLSSDHSPSVPELKLVEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKNKGVILPGYHADIVVWKPEAQFHLDDNHVVYHKHRNISAYLGKQLSGKVMSTFVGGNLVFAEDKHATVACGAPILAKWI >LPERR04G25400.1 pep chromosome:Lperr_V1.4:4:22620831:22625258:1 gene:LPERR04G25400 transcript:LPERR04G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPNLLLPLPSAISSSRVSLPTDLVCKCNARFPPLRLRPSAAASQALTSHVAAAENPEARQMRVETEAALEWGGVCARLADFSSTAAGRAACGEGRVPVGASREESERLIEQTAAAVALLPVAKLDFGGVEDVSSSIAAAAGGRMLVVREICGVGRSIRAARRVFDQLKSLSEETPDGRSYSALLDILQDCDFLTELVQRIEFCLDSTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIKLNNMEVKLSGDERAEELAILGLLTSEIADSRTKIRHLMGKILELDLACARGSYALWINGVRPAFADMDNNKLDPNSKCSVFIQGIQHPLLLEQSLGMAKESAEVRKGKLSNENLVSPVPIPLDMWVGSDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQIVSKDSLVLIDEIGSGTDPSEGVALSTSILKHLASRLKLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYRILWGSTGNSNALSIARSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEAEDLDSRVEVLRATESDKVQQELKFVKSQMDQIIKKFEVQLKNSELEQYNSLMRKAEAATASLAAAHQPTDIIFSDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTLASSPVKAKGRTQKRSTAEANQGGSVSFGPVVQTSKNTVDLRGMRVAEASHELEMAIDGCRSYQVLFVVHGMGTGAVKECALDILRNHPRVAKFEDESPLNYGCTVAYIE >LPERR04G25400.2 pep chromosome:Lperr_V1.4:4:22620831:22625812:1 gene:LPERR04G25400 transcript:LPERR04G25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPNLLLPLPSAISSSRVSLPTDLVCKCNARFPPLRLRPSAAASQALTSHVAAAENPEARQMRVETEAALEWGGVCARLADFSSTAAGRAACGEGRVPVGASREESERLIEQTAAAVALLPVAKLDFGGVEDVSSSIAAAAGGRMLVVREICGVGRSIRAARRVFDQLKSLSEETPDGRSYSALLDILQDCDFLTELVQRIEFCLDSTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIKLNNMEVKLSGDERAEELAILGLLTSEIADSRTKIRHLMGKILELDLACARGSYALWINGVRPAFADMDNNKLDPNSKCSVFIQGIQHPLLLEQSLGMAKESAEVRKGKLSNENLVSPVPIPLDMWVGSDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQIVSKDSLVLIDEIGSGTDPSEGVALSTSILKHLASRLKLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYRILWGSTGNSNALSIARSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEAEDLDSRVEVLRATESDKVQQELKFVKSQMDQIIKKFEVQLKNSELEQYNSLMRKAEAATASLAAAHQPTDIIFSDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTLASSPVKAKGRTQKRSTAEANQGGSVSFGPVVQTSKNTVDLRGMRVAEASHELEMAIDGCRSYQVLFVVHGMGTGAVKECALDILRNHPRVAKFEDESPLNYGCTVAYIE >LPERR04G25410.1 pep chromosome:Lperr_V1.4:4:22626184:22632239:1 gene:LPERR04G25410 transcript:LPERR04G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEGAGKAGALSGEGRSLALTPTWSVAIVLTLLVAGSLVIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIARAVAHARKSLIEVILHHSLRRNLKARYHHNQTCHEGYESFVSHEGLEQLHRFIFVMAITHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYVSATRRQPALGRSYSFRSWSENNAIKCLFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRITPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARKRRRKHRGDDSTIRTETSTVCSLDDDDDDDGDELPFGERTPARPPYLKIQLQPLRTGSGGGGARPETPCRHHPIGIGIPPNSVSTQGSSHALLQRQPSSLSAPSSPSPRGGGVTRSASMPGFGSLMRTTPAGGSGAVSGNCTPTRLSDARN >LPERR04G25410.2 pep chromosome:Lperr_V1.4:4:22626184:22632239:1 gene:LPERR04G25410 transcript:LPERR04G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEGAGKAGALSGEGRSLALTPTWSVAIVLTLLVAGSLVIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIARAVAHARKSLIEVILHHSLRRNLKARYHHNQTCHEGYESFVSHEGLEQLHRFIFVMAITHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYVSATRRQPALGRSYSFRSWSENNAIKCLFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRITPRDDLFWFKKPEFLLWLIHFILFQWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARKRRRKHRGDDSTIRTETSTVCSLDDDDDDDGDELPFGERTPARPPYLKIQLQPLRTGSGGGGARPETPCRHHPIGIGIPPNSVSTQGSSHALLQRQPSSLSAPSSPSPRGGGVTRSASMPGFGSLMRTTPAGGSGAVSGNCTPTRLSDARN >LPERR04G25410.3 pep chromosome:Lperr_V1.4:4:22626184:22632239:1 gene:LPERR04G25410 transcript:LPERR04G25410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEGAGKAGALSGEGRSLALTPTWSVAIVLTLLVAGSLVIERSIHRLSYLHQESSQGYESFVSHEGLEQLHRFIFVMAITHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYVSATRRQPALGRSYSFRSWSENNAIKCLFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRITPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARKRRRKHRGDDSTIRTETSTVCSLDDDDDDDGDELPFGERTPARPPYLKIQLQPLRTGSGGGGARPETPCRHHPIGIGIPPNSVSTQGSSHALLQRQPSSLSAPSSPSPRGGGVTRSASMPGFGSLMRTTPAGGSGAVSGNCTPTRLSDARN >LPERR04G25410.4 pep chromosome:Lperr_V1.4:4:22626184:22632239:1 gene:LPERR04G25410 transcript:LPERR04G25410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEGAGKAGALSGEGRSLALTPTWSVAIVLTLLVAGSLVIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIARAVAHARKSLIEVILHHSLRRNLKARYHHNQTCHEGYESFVSHEGLEQLHRFIFVMAITHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYVSATRRQPALGRSYSFRSWSENNAIKCLTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINACFLYNLVDHNGVDCNPDVMQLVLLVGAKLQYVIATLTAEGAKMNAYGPRITPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARKRRRKHRGDDSTIRTETSTVCSLDDDDDDDGDELPFGERTPARPPYLKIQLQPLRTGSGGGGARPETPCRHHPIGIGIPPNSVSTQGSSHALLQRQPSSLSAPSSPSPRGGGVTRSASMPGFGSLMRTTPAGGSGAVSGNCTPTRLSDARN >LPERR04G25420.1 pep chromosome:Lperr_V1.4:4:22632840:22633223:-1 gene:LPERR04G25420 transcript:LPERR04G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVTIQMPALSTEQIIGAAVADDDGNKKLRRALVGGGIGKIAAAMLLALLRSPVGVFVRSTALFCTYYGILLAVAVFGAAEVGARYWVSAAPTSCLRRCIGKRILWASVVPIVVVAGLGGFTMLK >LPERR04G25430.1 pep chromosome:Lperr_V1.4:4:22635606:22635998:-1 gene:LPERR04G25430 transcript:LPERR04G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVTIPMPTLSTEQIIAAAAEPSSSDDGNKKLRRALVGGGVGKIVAAILLGLFRSPAGVFVRSTVLFYAYYGILLAVAVFGVVEIGVGYWVSAASSSSQRRCIGKRIVWVSVVPIVFVAGIGGFGVLK >LPERR04G25440.1 pep chromosome:Lperr_V1.4:4:22638377:22642596:-1 gene:LPERR04G25440 transcript:LPERR04G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGEDELGGGGGGAGGGIIRHNRKCRDLVFLVLFASFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLCSIAIIRRILTATPVLKVAAKVIGEVQALIIFPVVPYFVLAIFFMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSMHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHEIPFITVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVVATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLIETLDEQSELQRLTQGP >LPERR04G25450.1 pep chromosome:Lperr_V1.4:4:22649707:22652396:-1 gene:LPERR04G25450 transcript:LPERR04G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFSFLVAKLISTVIAFKEDNLRITRSPPTSPATPAAAAPPPATSLEGHGDASDEDDDVSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLNLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMQKYITVVDELFPNWSMGSITKKKDEDNTVSASSSKGPMGPVFSSLMYEDDDQGNESELGDIHVSAREGAIDDITKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVSANADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADVQIKDEDGNTARELCPSSWSFMNLAN >LPERR04G25460.1 pep chromosome:Lperr_V1.4:4:22653091:22654826:-1 gene:LPERR04G25460 transcript:LPERR04G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTDVARFLARDTIFDRVRLLDADPATLRAFASTGLAVDVTVPNADVPLLATSLASARRWARSRLAPSVNAGVNVSRVLVGDEAISQANRTLLRSLLPAMQNLHTALAVASPRRRLRGEIKVSTPHSLDILATPPSPSPSSARFRDGYDTAVIKPLLRFLRATGAPFMVNAYPYYGVTTASIDYAAFRLNDGVVDAVTGLVYTNMLDAQLDAVYSAMRRLGFDDVEIVVAETGWPSAGEYWETGAGADIARDYNKNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERSFGLYHADMTPVYDAGILTVPQEIVGTKVTPPAPEAVPEGRRWCVPKPAADEVVLQVNIDFVCGQGDIDCGAIRPGGSCYDPNNVQAHAAYAMNLYFQSNGHHGFDCDFSQTGVVTTVDPSKNIDQTSI >LPERR04G25470.1 pep chromosome:Lperr_V1.4:4:22655924:22658922:-1 gene:LPERR04G25470 transcript:LPERR04G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDRGASTPSSDPLHEGNAIPSSSSTSEQEEDGSLKQSKASILSCVFSSPFSIFEAHKDSSASKSPKLSSGSYGWSRVLRRIVCTGSMWRFLGTSKVLTSSDVWFLGKCYKLLAEESSSDSDSESGHAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIRILHMFGDSEACAFSIHNLLQSGKSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVASQLCTEFNKGQSTWSPILLLVPLVLGLDKLNPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDERALYLDPHEVQLAVDIAADNLEADTSSYHCSTVQDLALNLIDSSLAIGFYCHDKDDFDDFCSRASELVNKANGAPLFTVVQSVQPSKQMYNQDDVLGSSGDGMVDNINVEDLDGSGETGEEDWQIL >LPERR04G25480.1 pep chromosome:Lperr_V1.4:4:22660757:22662730:1 gene:LPERR04G25480 transcript:LPERR04G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDFLNDMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFIFTVSDDVPELNVKIMDSDALSADDFVGEANIPLEAVFLEGSLPPAVHRVVKEEKYCGEIKIALTFTPAEETRHHHHSHEEESYNSWN >LPERR04G25490.1 pep chromosome:Lperr_V1.4:4:22663198:22672547:1 gene:LPERR04G25490 transcript:LPERR04G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRNAGVLALFDVDGTLTAPRKAVTPEMLQFMKELREHVTVGVVGGSDLVKISEQLGKSVSSSFIFSGGVGIVSQEPVRYGMSPPEESDSDIEVWVEERRIEVVRLDDGEASSSVLTTSAPSSFAPVASAPSIGASMVLDGDEQKSAPAKLPFPSLHASGLGLCSYPAAAAPVRGRRRRSGTAAMAAGGRRNAGVLALFDVDGTLTAPRKVVTPEMFQFMKELRQHVTVGVVGGSDLVKISEQLGKSVIIDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPEDTAEQCRSLFISK >LPERR04G25490.2 pep chromosome:Lperr_V1.4:4:22663198:22672547:1 gene:LPERR04G25490 transcript:LPERR04G25490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRNAGVLALFDVDGTLTAPRKAVTPEMLQFMKELREHVTVGVVGGSDLVKISEQLGKSVRVLDLSFFIGQDLPVRYGMSPPEESDSDIEVWVEERRIEVVRLDDGEASSSVLTTSAPSSFAPVASAPSIGASMVLDGDEQKSAPAKLPFPSLHASGLGLCSYPAAAAPVRGRRRRSGTAAMAAGGRRNAGVLALFDVDGTLTAPRKVVTPEMFQFMKELRQHVTVGVVGGSDLVKISEQLGKSVIIDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPEDTAEQCRSLFISK >LPERR04G25490.3 pep chromosome:Lperr_V1.4:4:22663198:22672547:1 gene:LPERR04G25490 transcript:LPERR04G25490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRNAGVLALFDVDGTLTAPRKAVTPEMLQFMKELREHVTVGVVGGSDLVKISEQLGKSDGDEQKSAPAKLPFPSLHASGLGLCSYPAAAAPVRGRRRRSGTAAMAAGGRRNAGVLALFDVDGTLTAPRKVVTPEMFQFMKELRQHVTVGVVGGSDLVKISEQLGKSVIIDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPEDTAEQCRSLFISK >LPERR04G25490.4 pep chromosome:Lperr_V1.4:4:22663370:22672547:1 gene:LPERR04G25490 transcript:LPERR04G25490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRNAGVLALFDVDGTLTAPRKVVTPEMFQFMKELRQHVTVGVVGGSDLVKISEQLGKSVIIDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPEDTAEQCRSLFISK >LPERR04G25490.5 pep chromosome:Lperr_V1.4:4:22663198:22672547:1 gene:LPERR04G25490 transcript:LPERR04G25490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWAWSVDLIWSRFPNSSANQLQVLRIQQSSADLSSYLSDQITKNFIYLHNIPYISCISLFNTTQVLLLTDCTTQHSQVFMYTLGVQINESWHCLPSLAGFTVQRCQRINIHVCLVVTVSYFLLNS >LPERR04G25500.1 pep chromosome:Lperr_V1.4:4:22672822:22677952:-1 gene:LPERR04G25500 transcript:LPERR04G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTQTHTHELKREEKRGEREGDAQHTHLTTTNTTIPPPPLFSGLKHIHKVEPYDDLVASEEEYVEKSSALQAFKKERNEQELKQALNIKQGDLPVAEEMGTKPFKKRFSVKFAADVSCYTYNTESFAAAKLEKRKAQFDDQLKHLHKKQEHTPPSFPRDVSVYTNSTERFAAVNLERWKAQFADQDKHLHNKQEHTPLSFPQDGSKLKEVDNTNLYVGNLPASVSSHKLVELFLPFGRIVRSRVVDDCFTGLSQGYGFVKYADPRCADQAIKRMNGRIVEGKALEVRVAGYPSSGSNLHDDSRLYVCNLSLSMNTDRLLNIFQSFGEVTSAKVVTDHTTGLSKGYGFVKYSSSHHAAEAILHLNGCLVDGRKIEIRVFGMPPKLPNSAVGPPSTVRTFREIDMANLYVCNIPSSIDTNKLLELFLPFGKITHAMVMPDPDTLSGLSSSASSSAVQNSPETNKCRLYISNLPRFMTADKLVSLFSPFGQISKVVMNLEYSLLWYSDVASAIEAVEHMDGYLVEGKRLAVKSSAEPAFSQPGGKPVREIDMANLYVGRVPSGISSDQLIDLFRPFGRVVQAKIFQLQGYGLVRYDHPSCAAAAIDHMDGYQIGGSTLAVRVAGWFPTEPNAATNAPAPRLPSNEQRQTTPWMPSNEQRQIDMTNLYVCHLPYYVNTERLIELFLPCGQVTQAKVVVDRYTGVSKGFGFVRFADAYSAAVAITHMNGYPLEGHVLEVRIAGVQPAAMSSYMAHFYSHFTSHDPAKAAVGIPTSYWPYYYDGSTYNTSAENQGQGTTTASATDVSAAQTSQKEHLPGSKSVDLVAEKDCSSVSSQVSNCSQSLSAAAWSGPPGFEPHAVSKKCADGSNASQACSKDHLARLPGSKSIDLVAKKVCSSVSNQVANCSQSQPAAWSGPPGFEPHAVSKKREYAAESNASQACSKGHLTQPGGYKRLDDPSDEWEMFGWNFMLSGRVKVTCCFVFCLLTV >LPERR04G25500.2 pep chromosome:Lperr_V1.4:4:22673233:22677952:-1 gene:LPERR04G25500 transcript:LPERR04G25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTQTHTHELKREEKRGEREGDAQHTHLTTTNTTIPPPPLFSGLKHIHKVEPYDDLVASEEEYVEKSSALQAFKKERNEQELKQALNIKQGDLPVAEEMGTKPFKKRFSVKFAADVSCYTYNTESFAAAKLEKRKAQFDDQLKHLHKKQEHTPPSFPRDVSVYTNSTERFAAVNLERWKAQFADQDKHLHNKQEHTPLSFPQDGSKLKEVDNTNLYVGNLPASVSSHKLVELFLPFGRIVRSRVVDDCFTGLSQGYGFVKYADPRCADQAIKRMNGRIVEGKALEVRVAGYPSSGSNLHDDSRLYVCNLSLSMNTDRLLNIFQSFGEVTSAKVVTDHTTGLSKGYGFVKYSSSHHAAEAILHLNGCLVDGRKIEIRVFGMPPKLPNSAVGPPSTVRTFREIDMANLYVCNIPSSIDTNKLLELFLPFGKITHAMVMPDPDTLSGKGYGFVKFTDSETAAKAIAAMNGAMVEGKMLMVRVAGLSSSASSSAVQNSPETNKCRLYISNLPRFMTADKLVSLFSPFGQISKVVMNLEYSLLWYSDVASAIEAVEHMDGYLVEGKRLAVKSSAEPAFSQPGGKPVREIDMANLYVGRVPSGISSDQLIDLFRPFGRVVQAKIFQLQGYGLVRYDHPSCAAAAIDHMDGYQIGGSTLAVRVAGWFPTEPNAATNAPAPRLPSNEQRQTTPWMPSNEQRQIDMTNLYVCHLPYYVNTERLIELFLPCGQVTQAKVVVDRYTGVSKGFGFVRFADAYSAAVAITHMNGYPLEGHVLEVRIAGVQPAAMSSYMAHFYSHFTSHDPAKAAVGIPTSYWPYYYDGSTYNTSAENQGQGTTTASATDVSAAQTSQKEHLPGSKSVDLVAEKDCSSVSSQVSNCSQSLSAAAWSGPPGFEPHAVSKKCADGSNASQACSKDHLARLPGSKSIDLVAKKVCSSVSNQVANCSQSQPAAWSGPPGFEPHAVSKKREYAAESNASQACSKGHLTQPGGYKRRSIV >LPERR04G25510.1 pep chromosome:Lperr_V1.4:4:22680491:22684589:1 gene:LPERR04G25510 transcript:LPERR04G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGGGGERSEFAAGGGGGGGGEGEANPSPNRGPNPRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGTTTTFGAVGAVQGVKNPIQIALHLAREQMMGSSLLGRIPPMFLVGEGAFQWAKSKGLNLPEAASEGNSWLVTESAKAQWAKYRSLLASVKESVDPSTCSDSKSSAVQLEASGAEAEDFTAVKKMKQITQSIIEDDQDCVMDTVGAVCVDAYGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISASLIQSGPASACTKVLRQAVQSSSDMSHDTGAGLLLVQADVLKVSGELSALGAAELVAAYSSPSFGVGYLGSNMNCPKVAMLRSSKTAPSTINHYATRVNFDAQSGQ >LPERR04G25520.1 pep chromosome:Lperr_V1.4:4:22685169:22701102:-1 gene:LPERR04G25520 transcript:LPERR04G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQTLSRFFSRKPPPSTADDPPPPPKPPADPPVAAVVSFSPAKRARALSLSLSPKTPTKKSKPSPPPASDSIRRKLLEPLHPPPPPLAASNPSGKGYTPLEQQVVDLKARHPGVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASVPAFRLGFHVRRLVAAGHRVGVVRQTETAAIKAAAAAGHGGGAPFARGLSAVYTRATIEAAAGELEGSGGASDEGSRYLVCVVDKEVEAKGKEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISFATEKLMMAYAGPASNVRVERTSRVCFSEGGALAELLSLFEKSGVDAPSTENDRHLVEMNNNPRGIEGIMAMPELVVQALALSVRYLNSFGMDRIICFGSSFRPFTANTEMSLSANALQQLELTHPLCDRNQICARHDAVSEISESIGSQQRSINNLQDEEDRSCPSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATPKEFVGVIQAILAAGKQLQKLALEDSDTVSSQHKTVHSTLLRRLINTASSCTVLSNAVTLVSSLNKDAAYEGDMLNLFIASVDQFPELPVDRKVPSSWMKVNSTKKTIRYHTPEILKNLDNLLLAKEKLAVICKTTWHNFLMDFGKYYAQFQATVESLATLDCLYSLATLAKQNNYVRPNFVHENEASQIHIKDGRHPVLESLLGVNFVPNDTELHANGEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSARLHVVDGIYTRMGASDSIQHGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQVVLNNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSRCIERASVMAAKLQEELSEREKNKIARLMDIPRTSSPKDDLLSGAQPYQGLAEACHRVLSKGGGMDLSRFASGVGSSRTAPRAGLQIGGVAGNGFRTCSLRRPRHRGGGNPMGASALRGCSSSGGLFYLAPKHGGPLVLRTRGKALRCQGNDSLAYVDGPLEGTNGSVVDTNEDEANSAGLDEEKKGDNDDTENLSELLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVATVQEIISKEDDAKEAVRKATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQTEIKECQESLSKCEEELRRIQDKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISTVDAVVDLPATAEEQVSGEEDTVSEVYDYSSDGIDGISERDEVSNVERLIVGDLAAEGIEQLESSREMSDEESTDKLLVESQKEAEPDIDKSKQGKKQEIERKESPPSNASKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSARKHAPKLVVGIVLLGAGVFFLNRAEKNSQLFQQQGITTSIEEVTSTAKPIVREMRKIPKRVKKLIELLPHQELNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAALPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAFGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKPITSDDESETVDGALAI >LPERR04G25530.1 pep chromosome:Lperr_V1.4:4:22702186:22705853:-1 gene:LPERR04G25530 transcript:LPERR04G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >LPERR04G25540.1 pep chromosome:Lperr_V1.4:4:22710539:22710901:-1 gene:LPERR04G25540 transcript:LPERR04G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHSLPLARIKKIMKRSAGENSGDGGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVADAVQNTDLFDFLVDVVMAGDAAGRDDDNR >LPERR04G25550.1 pep chromosome:Lperr_V1.4:4:22715603:22721377:1 gene:LPERR04G25550 transcript:LPERR04G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPSTSPPPPPPSPWDDVAWAEATTSTSLRHYNSLPKKGKPQGRESTVLAAFLLSTPDDPRGLTVLSLATGTKCLGAARLSRHGDLVHDAHAEVVARRALLRGVYAEIGGRSGGPDWLVASGGAASGKWRMREGCHLHLYITQLPCGVMPVPPSPSELLREQLDSVNGCSDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGTLEGFSVETDIKKVLDARLSSLSSKLPSPFKLNKPLFFAAPIPPKEFQQTSGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAAFSPSTESLLCKRRLLEAFVSLELQTVDEFHCEELSYREIKDMSHEYQQVLELLRKAPFFSRWSAKPAFLDSFTVSSPPGCAKAKVTAEKRGWQWLLTWDH >LPERR04G25550.2 pep chromosome:Lperr_V1.4:4:22715603:22718586:1 gene:LPERR04G25550 transcript:LPERR04G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPSTSPPPPPPSPWDDVAWAEATTSTSLRHYNSLPKKGKPQGRESTVLAAFLLSTPDDPRGLTVLSLATGTKCLGAARLSRHGDLVHDAHAEVVARRALLRGVYAEIGGRSGGPDWLVASGGAASGKWRMREGCHLHLYITQLPCGVMPVPPSPSELLREQLDSVNGCSDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGTLEGFSVETDIKKVLDARLSSLSSKLPSPFKLNKPLFFAAPIPPKEFQQTSGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAAFSPSTESLLCKRRLLEAFVSLELQTVDEFHCEELSYREIKDMSHEYQQVLELLRKAPFFSRWSAKPAFLDSFTVSRVRYSSEILYKL >LPERR04G25560.1 pep chromosome:Lperr_V1.4:4:22724581:22730272:1 gene:LPERR04G25560 transcript:LPERR04G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHCWGGGARLLLALQCGVLLLLLQCSAFALGGDVSALIAFKRAIIEDPHSALADWIDADGNACDWHGVICSSPQGSVISLKLSNSLLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGANRLTGPIPPELGGLSSISVINLHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLAGKIPPCLKYLPRQSFQGNCFQDEYSIRQRAFQICMSGSMAGQRGGVKGFKHPISDHKHERAPQPTWLLVLEISTGVLLLVFVITGLMTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSHHELFYQNKVIDLARLNHENTAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAVGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTRQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEISKLVDPELTNVRTKDLEAICSVVSRCIDPDPSKRPSMQLITGVLEEEINLSAAAILKESSLAWAEALAL >LPERR04G25570.1 pep chromosome:Lperr_V1.4:4:22732245:22733884:-1 gene:LPERR04G25570 transcript:LPERR04G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTLTTLLKAAVATFPSRRALAVPGKVELTHAALDALVDAAAARLAADAAVKPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNPEGNVAAQAAASKLGLAHTTATLKDAGHVSLSAFPTTTAHQSESASFANEASDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRHVYRLTESDATVIVLPLFHVHGLLCGLLASLASGASVTLPSAGRFSASTFWADMGFAGATWYTAVPTIHQIIIDRHVAKPEVRYPSLRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGPRKPGSVGRAVGQEMAILDEEGRRVDAGKSGEVCVRGDNVTNGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLAHPAIAQAVAFGVPDDKYGEEINCAVILREGVDLTAEDVVAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVQPTTA >LPERR04G25580.1 pep chromosome:Lperr_V1.4:4:22740700:22749371:1 gene:LPERR04G25580 transcript:LPERR04G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVVSAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNEHFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLNTSHEHKAEVRTLHTIAKEVHHQHHGHLPASFAEQPSKYGVDPMKPEPQPPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRDRMQATILEVVVKDRDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYHLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLDDASAVTHMKSKVYHAPRLWYLRVNIIEAQDIVITDKTRYPDVFVRAQVGHQHGRTKPMQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRVCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGEKGGEKTSSCKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDFDSHLWSMRKSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPEIIRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAAMAGFYTMRHPRFRYRLPSIPVNFFRRLPARTDIFKSLYIWFVQENTSVLLQAKMGAAESSSKLAGSIHEFTVKDARGNDVELSRYKGKVVLIVNVASRCGLTNSNYNELGQLYEKYKENGLEILAFPCNQFAGQEPGSNEQVVEFACTRFKAEYPILGKVDVNGSNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTSSPLSIENDLKNLLGN >LPERR04G25580.2 pep chromosome:Lperr_V1.4:4:22744272:22749371:1 gene:LPERR04G25580 transcript:LPERR04G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLFLFLHCLFFAFPLQSFFQWFVQENTSVLLQAKMGAAESSSKLAGSIHEFTVKDARGNDVELSRYKGKVVLIVNVASRCGLTNSNYNELGQLYEKYKENGLEILAFPCNQFAGQEPGSNEQVVEFACTRFKAEYPILGKVDVNGSNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTSSPLSIENDLKNLLGN >LPERR04G25580.3 pep chromosome:Lperr_V1.4:4:22740055:22743729:1 gene:LPERR04G25580 transcript:LPERR04G25580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLAGWVKARAGDKISEGLLQSRFESKTSSSEAMAAYKLGVEVVSAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNEHFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLNTSHEHKAEVRTLHTIAKEVHHQHHGHLPASFAEQPSKYGVDPMKPEPQPPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRDRMQATILEVVVKDRDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYHLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLDDASAVTHMKSKVYHAPRLWYLRVNIIEAQDIVITDKTRYPDVFVRAQVGHQHGRTKPMQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRVCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGEKGGEKTSSCKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDFDSHLWSMRKSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPEIIRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAAMAGFYTMRHPRFRYRLPSIPVNFFRRLPARTDSML >LPERR04G25590.1 pep chromosome:Lperr_V1.4:4:22746445:22749055:-1 gene:LPERR04G25590 transcript:LPERR04G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSDLSSFYAHQQQQQQQQQHHQRMLGGGGGGGHNSSSSPLAGMHGGPSVIRPMPNMGMSPTAILQSIGGGSLAAMHQFQMDPNPPPPPPLMQQHNAAISASVSGAGGGSPTVPAMEPVKRKRGRPRKYGPDGTMKIAAAAAQQQQQHQMMSAPPRMGSSVSGAGGEMGMDDAAQKKRRGRPPGTGKKQQLSSPVGKQSAGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRQPSDGSAMSRVHASPYNKSPAIYEGLYEILSISGSYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQVVLGSFVQAGSKPKSKKAGKQQQQQHTAAFSSDSLTGGQDASPSSGHNQNLTPVTTTGGWPSSGIFDTRSSNIDINSSRG >LPERR04G25600.1 pep chromosome:Lperr_V1.4:4:22769405:22776354:1 gene:LPERR04G25600 transcript:LPERR04G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKVVSCCCFRSQNHGVVVESAEKTAEEDHGDSYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWESQAMKWPMRLRVVLYLAEALEYCTSKGRGLYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDVETPSYELMDIPRGGATSVQSLCLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDSAFRQKDFSSAIDSYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSVLV >LPERR04G25610.1 pep chromosome:Lperr_V1.4:4:22777383:22778828:1 gene:LPERR04G25610 transcript:LPERR04G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAEPANVSIPIHDHHHATSSAAVPPAAAAAPAAAVAARKVGIPFFRRGDHHRGSRCLAFLDFLLRIAAFGPALAAAISTGTSDETLSVFTEYYQFRARFDDFPAFLFFMVANAIVAGYLVLSLPFSAIMAVLLTAGAAAATAIVDLAHNGNLRANWVAICMQFHGFCQRTSGSVVASFLSVLILVFLVILAACSIRKRH >LPERR04G25620.1 pep chromosome:Lperr_V1.4:4:22778971:22789409:1 gene:LPERR04G25620 transcript:LPERR04G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYKLIDKSRPPGPVQMGNYACALEPVERTNERALSSSLDCVVIVFNSRAIRILNAGRLWLSSKLSPPPPEALEQPTPSTTTTTTTPPPREPEAAAARPQEEFRQKGKVFVGNLPSWARKAEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDADAAAERAVEVDGVEFRGRSLTVRLDDGRKGRARAEQRARWVEDGSRREARSPWHEGRDEACLEFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVFYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGVELTIVTYSILISGFAKINDAHQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLVVFERLKERGFKPSIISYGCLVNLYVKIGKVTKALSISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMVRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGCAPTVMTYNALIHGLVRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTRIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVSANIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALRCFEEMKLSGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTGESDDYSDSDFSDNEDHGIDDS >LPERR04G25620.2 pep chromosome:Lperr_V1.4:4:22778971:22789409:1 gene:LPERR04G25620 transcript:LPERR04G25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYKLIDKSRPPGPVQMGNYACALEPVERTNERALSSSLDCVVIVFNSRAIRILNAGRLWLSSKLSPPPPEALEQPTPSTTTTTTTPPPREPEAAAARPQEEFRQKGKVFVGNLPSWARKAEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDADAAAERAVEVDGVEFRGRSLTVRLDDGRKGRARAEQRARWVEDGSRREARSPWHEGRDEACLEFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVFYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGVELTIVTYSILISGFAKINDAHQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLVVFERLKERGFKPSIISYGCLVNLYVKIGKVTKALSISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMVRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGCAPTVMTYNALIHGLVRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTRIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVSANIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALRCFEEMKLSGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTGESDDYSDSDFSDNEDHGIDDS >LPERR04G25620.3 pep chromosome:Lperr_V1.4:4:22778971:22789409:1 gene:LPERR04G25620 transcript:LPERR04G25620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYKLIDKSRPPGPVQMGNYACALEPVERTNERALSSSLDCVVIVFNSRAIRILNAGRLWLSSKLSPPPPEALEQPTPSTTTTTTTPPPREPEAAAARPQEEFRQKGKVFVGNLPSWARKAEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDADAAAERAVEVDGVEFRGRSLTVRLDDGRKGRARAEQRARWVEDGSRREARSPWHEGRDEACLEFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVFYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGVELTIVTYSILISGFAKINDAHQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLVVFERLKERGFKPSIISYGCLVNLYVKIGKVTKALSISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMVRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGCAPTVMTYNALIHGLVRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTRIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVSANIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALRCFEEMKLSGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTGESDDYSDSDFSDNEDHGIDDS >LPERR04G25620.4 pep chromosome:Lperr_V1.4:4:22778971:22789409:1 gene:LPERR04G25620 transcript:LPERR04G25620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYKLIDKSRPPGPVQMGNYACALEPVERTNERALSSSLDCVVIVFNSRAIRILNAGRLWLSSKLSPPPPEALEQPTPSTTTTTTTPPPREPEAAAARPQEEFRQKGKVFVGNLPSWARKAEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDADAAAERAVEVDGVEFRGRSLTVRLDDGRKGRARAEQRARWVEDGSRREARSPWHEGRDEACLEFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVFYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGVELTIVTYSILISGFAKINDAHQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLVVFERLKERGFKPSIISYGCLVNLYVKIGKVTKALSISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMVRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGCAPTVMTYNALIHGLVRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTRIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVSANIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALRCFEEMKLSGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTGESDDYSDSDFSDNEDHGIDDS >LPERR04G25620.5 pep chromosome:Lperr_V1.4:4:22778971:22786257:1 gene:LPERR04G25620 transcript:LPERR04G25620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYKLIDKSRPPGPVQMGNYACALEPVERTNERALSSSLDCVVIVFNSRAIRILNAGRLWLSSKLSPPPPEALEQPTPSTTTTTTTPPPREPEAAAARPQEEFRQKGKVFVGNLPSWARKAEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDADAAAERAVEVDGVEFRGRSLTVRLDDGRKGRARAEQRARWVEDGSRREARSPWHEGRDEACLEFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVFYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGVELTIVTYSILISGFAKINDAHQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLVVFERLKERGFKPSIISYGCLVNLYVKIGKVTKALSISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMVRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGCAPTVMTYNALIHGLVRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTRIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVSANIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALRCFEEMKLSGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTGESDDYSDSDFSDNEDHGIDDS >LPERR04G25630.1 pep chromosome:Lperr_V1.4:4:22790126:22790353:1 gene:LPERR04G25630 transcript:LPERR04G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVESPASSCVSSDAEEEAPKQMVVAGCPQCLMYVMLSEPEEQQPKCPRCKSPVLLHFLHGGGAGASNNPPSK >LPERR04G25640.1 pep chromosome:Lperr_V1.4:4:22793339:22796364:1 gene:LPERR04G25640 transcript:LPERR04G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGGASSTVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDDADDIFMRSWTATIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVEGKKFHMLANWQREYTMENILTQLKKEMAATHNRKLVQPPEGTFF >LPERR04G25650.1 pep chromosome:Lperr_V1.4:4:22797871:22798848:1 gene:LPERR04G25650 transcript:LPERR04G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEFVATRKKPEFAGSQKKAPPFMPPSEFAGGDVRRKQQAAGMEIRQVWAHNVEEEFRIIRNAIDQFPYVAMDTEFPGVIHRPAKHPALLTAGDRYDLLRRNVDALHLIQIGITLAASPTSPPALAFEINLSDFDARVHRHAPESVQLLAAHGLDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPKSMPEFLNLVRVFFGDDVYDVKHMMKFCAGGELYGGLERVAATLQVKRAAGRCHQAASDSLLTWDVFRRMRELYFVKDGVESCQGVLFGLELDMDMANKNNAFMANKNTALLAR >LPERR04G25660.1 pep chromosome:Lperr_V1.4:4:22804917:22805633:1 gene:LPERR04G25660 transcript:LPERR04G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLVASLFLGSGSNDACSMSSSSSTASSWQWPSCTQARTLSFAKHGSRLLLPEETTSSTAEADYKTSVNPAFFLDDDHSMTTCRSNSTASMSIAVNDAEADADDDEDEAIIRGLRSSNRRIFFEPESTTSSIVVNGVEAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMNGVVSDWGWLEEMLGWYLKANGKKTHGLIVGAFVDLVVALASSSSSSASACSTSSSGFIKKRSQIN >LPERR04G25680.1 pep chromosome:Lperr_V1.4:4:22815339:22816760:1 gene:LPERR04G25680 transcript:LPERR04G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPFLLLLLLLSPLLSTATTKLPLYRNLPPIALATDSHEENQHPLARLAAASLARATHLTRPRQPQTPAIRASLYPHSYGGYAFAISLGTPPQQLPVLLDTGSHLSWLPCTSSYQCRNCSTPTHLFHPKNSSSSRLIGCRNPSCRWIHSPTHLAAANCSSNNVCPPYLVVYGSGSTAGLLISDTLRTAPRAVPNFVVGCSLASVHQPPSGLAGFGRGAPSVPSQLGLTKFSYCLLSRKYDDDAAVSGQLILGGGGGGGGGAGMQYAPLARSSSGAGARPPYSVYYYLSLAAITVGGKSVNLPARAFVSGGGAGGGAIVDSGTTFTYLDRTVFEPVAAAMVAAVAGRYKRSKAVEDGLGLIPCFAMPPGSKTMELPEMSLHFKGGAVMNLPVENYFVVAGPAPTGGAPAMGEAICLAVVSDVPTASGGAGVAADGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCGSSS >LPERR04G25690.1 pep chromosome:Lperr_V1.4:4:22819632:22825299:-1 gene:LPERR04G25690 transcript:LPERR04G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKDCGGHKGCECEKEAFHRKLCYALVALILLVLFIILIVWLALRPHKPRFYLQDLTVLCLNVTPPSSAYLFTTMQATVAARNGNARVGVYYDKVDVYAQYKDVPITVPTRLPVEYQGHDDQSVWSPFLQSLDHVVLPPYLAVSLAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNTGGGDGYFRFQQAAACNVDVYRAVSCLCCPCKCLACGLFSCLCSILISLLVTVGLLALVFYLIFRPHMIAATVDSAALTQFNLTSNSALTYALNVDLTVRNPNKRVGLYYDNVESLAFFDSQRFGFALLDPFYQSTEATTKLSPSFKGQQLLEGDITAANFRSQETNGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPKPAPNAPAFQPTDCKVWF >LPERR04G25690.2 pep chromosome:Lperr_V1.4:4:22819632:22825299:-1 gene:LPERR04G25690 transcript:LPERR04G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKDCGGHKGCECEKEAFHRKLCYALVALILLVLFIILIVWLALRPHKPRFYLQDLTVLCLNVTPPSSAYLFTTMQATVAARNGNARVGVYYDKVDVYAQYKDVPITVPTRLPVEYQGHDDQSVWSPFLQSLDHVVLPPYLAVSLAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNTGGGDGYFRFQQAAARAVSCLCCPCKCLACGLFSCLCSILISLLVTVGLLALVFYLIFRPHMIAATVDSAALTQFNLTSNSALTYALNVDLTVRNPNKRVGLYYDNVESLAFFDSQRFGFALLDPFYQSTEATTKLSPSFKGQQLLEGDITAANFRSQETNGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPKPAPNAPAFQPTDCKVWF >LPERR04G25700.1 pep chromosome:Lperr_V1.4:4:22829938:22839906:-1 gene:LPERR04G25700 transcript:LPERR04G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRDSLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDSVDRLRSIERFFSSNRSYRSSDGVLNHVNSLLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPENGKHSSHPENQQNLEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYKRIAWSKVLQSLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGSVGPEEAVLQPSVQNHAYPYMRRSRIREYKAVFLSSVFFHRFDLLQHLNTLTQRETTSRQRGLKSMERAAEGEEEALRAKIELLRQAVQSKEAVEEEAEGIGTRLNSHMAAIHKAIRPVEKKTFHAWRAHHNIRKALSSAGAILRHLDLVREAEHVILLDRPNEDLNAYIEAVDKLRSVQSFFTSRIRCKVGDDGQERVNELLSKGVHGLENEFRRLLAECSKPIDVEHIFNRLPSLNPQLSTENLAGPSTDDYSEATLKQYAVCTLPTLIDPCYLIFLSKLAKKSVQLGCHQKFLEIYREIRGLTLEQSLKHLGVEYVTKEEVQKFQAQSLEAKVSHWKQVFLITVKLLFGAERVLCDQVFEGKYTWKDDCFVDVTAKSLSTILSFGEAVVQSQTLPDNLYILLDMYEATLELQSEVEAIFEGNACSISRKSVLTLTKTLAQTVKKTLGDFMEHVVNHSAMDTTADGAVHFMTNYVTDYIKFLSDYQSLLKQIFGDPCVGDEKDIDVISPIVGVIHALETNLDMKAKQYKDLALGHLFLMNNIHYIVKSIHRSEAKDLFGADWIERQRRIVQKHATQYRRVAWLKVWLLQVLECLSTQGLTSSVGSSTDVTEGSYRNIKSTTTFRSVIKERPLFENSHSALKYMKYTPESIEQALGNLFAKKLLSN >LPERR04G25710.1 pep chromosome:Lperr_V1.4:4:22843334:22844002:-1 gene:LPERR04G25710 transcript:LPERR04G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELASSPVVFIDGEQSVDLGTVTVQPSLGVRKLQAVERTILKRLPATDLASLASSPSPTAMFGVWDYEAQLQELQRQREWYLMHTAAADPYFPPPEREDPPLWSSARPLMPPRSSCPECEAAAASMREPGFHWCVRDAVTVGFRSPVGPIERPAKKSPSPSPSPPLPPSLAPPFVGIGMMPVY >LPERR04G25720.1 pep chromosome:Lperr_V1.4:4:22846163:22849784:1 gene:LPERR04G25720 transcript:LPERR04G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARCFCFTPILTPILTSTPRHRRLIPSSSLRLSLPPPPPLSFRCSCSRSASPLAVVASMEAPPQGYRTNIFAASRIDIPNTWQMPQGGIDAGEEPNEAAVRELREETGVTSAEMVAEVPVWLTYDFPLDVKDKLNSRWGTSWKGQAQKWFLFRLTGEEDEINLNGDGSERPEFCEWTWMTPQQVIEKAVDFKKPVYEEAMKHFAPYLQSDPATSS >LPERR04G25730.1 pep chromosome:Lperr_V1.4:4:22851038:22854998:-1 gene:LPERR04G25730 transcript:LPERR04G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFHLILIAALLLHHPPAFTAAAVAIHSGDLSVLNDLRRTLTNADALGWDSTAGDPCSSWPHISCDRAGRVNNIDLKNAGLAGTLPATFSNLDALQDLSLQNNALSGPLPSFRAMSSLRHAFLNNNSFDSIPHDFFSGLTDLLVISLDGNPLNHSSGGWSLHSDVAAAQQLQSLSLNGCNLTGGIPDFLGSMINLQELKLAYNSLSGPIPATFNGSALQTLWLNNQQGAARISGTLDIIATMTSLHTAWLHGNQFSGPIPDSIAECKMLSDLCLNGNQLVGLVPPSLDTMAGLKSLQLDNNNFVGPVPAVKAGNYTYSHNGFCADTPGIPCSPQVMALLQFLAEVDYPQKLLGSWSGNDPCGTGWLGVSCVAGNVTRLILPDFGLNGTISNSLGNLSTLLDINLIGNHLTGHVPDSLTNLTLLEKLDLSMNDLTGPLPNFRPSVKVNVTGNLNFNGTPPGSTPRDSPGSPSSSAPNLPGQGTLPENRKKRSAVLLATTIPVAVSVVALASVCGVLIFRKKRGSAPPNAASVVVHPRESSDPDNLVKIVMVDNDGNAASSQNNTLSGNSSQASDVHMIDTGNFVIAVQVLRGATKNFTLDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAVLTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQLELEPLSWKKRLNIALDVARGMEYLHNLADQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDETRLDEETRYLASWFCQIRKDEEKVRAAIDPTLDLTEETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKNWQEAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGREPLRLDTPNRVSIIDVKLVMVGCNT >LPERR04G25740.1 pep chromosome:Lperr_V1.4:4:22866000:22870413:-1 gene:LPERR04G25740 transcript:LPERR04G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKSSSGGFVGVVWLIMGIQLVAVAVAAQVSGKEVDEKLDFWKTKTVFVLGDSTLDVGNNNYLKGKDVFRASKPYWGIDYWPSFFNPTGRFSNGYNVADYICKLNSIIANIISSMKLGLASSPPAYLSLMGLLNFTLVSRALSTGVSFASGGAGILDSTNIGQCIPLSTQMKNMELTRAAISLYKMGARKVGVINAGLVGCVPLMRLMNSPTGSSCNSGMNLLTTGFNQALAALFSGRCLVSPTPSPTPTGSCSSVSGFSHLSFPSPPFSEYPERVSLGSLDGADSAEVSPDLFRPQVLPADPVAPTPGAKQGSLGTVGQTTVAGMAAPLPEALTGEAVMMNSFLVTAKKSVHAKGDLPPDSRQVVVSSSNSSAAAPSIDFSEQGWSEVLVHLDKYLDFEPPAHSPIWFGNFDSPDSGVISPVSTGDEIPTRVSFNWQLGVKDGERLPREPGKVQEKMDPMVEELRQPTLTASAQTAVAVVQLQDQVVADGTATTDKQEELQRKLIKFIEQVFDQPPAPILPTPPRKLGRGRALKKSPIRVGNGGRRSERLARKTAARIGPRTTEEMAQEVLMKKAGALTAEQQKEEAKNKYLKLFKQPLSPKVIEAFSALVVGGVADPKVNNV >LPERR04G25750.1 pep chromosome:Lperr_V1.4:4:22876078:22877289:-1 gene:LPERR04G25750 transcript:LPERR04G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHELQVEVPSYFVCPISLQMMRDPVTLPTGITYDRDGIERWLLAAGTCPLTKQPVPPESEPTPNHTLRRLIQSWCADHGVDLAVVPTTRSPVDRARLADLVSCLDAAGGGLLDALIELREVADEGERNRKLLAAVPGAGEVLAAVVVASCHDATAACDVALGIVSSMQLPEQCVSRLAGGDGELVDALVATLRRPNATSRAHAAAFLGTLTASMAPNRLTSLPDQVFDEMTRLLRDSVATRSALHVLVSATPWGRNRVKAVEAGAVDALIDMLLDLDVDATPERRVCELAMAALDRMCGCAEGRAALVSHGAGVAVVGRSLMSSERAVRVVRSVARHAATAAVLQEMAQTGIVAKLSAVARSERCSERTRERARETLRLHAAAWRNSPCLLPRLQAIYPSS >LPERR04G25760.1 pep chromosome:Lperr_V1.4:4:22882999:22883277:-1 gene:LPERR04G25760 transcript:LPERR04G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMRRRCIAIALLFILATTFVATCVDGARLGSLQTSYLNRPPSSSSTATATSSGRLFGYLPRAKLIPPSGPSERHNSIGPESDGDGLIRKP >LPERR04G25770.1 pep chromosome:Lperr_V1.4:4:22888609:22895224:-1 gene:LPERR04G25770 transcript:LPERR04G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSSNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSHTQHIDGIRNGALSFECGRESSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGGDDSESFGDVYVWGEVMCDTTSRQGSDSNTYPASATTDILVPKPLESNVMLDVSHVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTNIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETLSYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAACGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQTGEVYTWGKGANGRLGHGDITDRKTPTLVEALRDRSVKRIACGSGFTAAICQHKWVSGMEQSQCTSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGVSYNKNTTPRLPGDTNKMDSKANRVASANSSDMIKNLDVKAAKQTKKHDYPPQFPAILQLKDIHFTGAADQQPNDSTYTSPLLRLPNLNSPSSLPSESFEILRDANELLKQEVQKLKEEVNNLRQQREQQDADLQKSEAKAHEAVTLAAEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQTYLPAGAVSPEIGRENLKRYEPGSFQYPQTPTSIPSARFNGFLAQAHQISEPNGTTMVQQDSRHENNGNAKEFPIVQQMTNGGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSNSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >LPERR04G25780.1 pep chromosome:Lperr_V1.4:4:22907552:22909494:-1 gene:LPERR04G25780 transcript:LPERR04G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKQRGKAKGAPPPPPPPDSGGGLPACLRLMPPSTVAISVHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >LPERR04G25790.1 pep chromosome:Lperr_V1.4:4:22910431:22914428:-1 gene:LPERR04G25790 transcript:LPERR04G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETTTTTVGGYELRERLGGRPPATAVWRAVNLSTGSPVAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLIDVIQTQSSLYLVLELCEGGDLAAFIQRNGWVDERVARNFIRQIGAGLQVLHRHHVVHRDLKPENILLSSPDSNAILKISDFGLSRVLHPGEYTDTSCGTCLYMAPEVMLFQKYDGRVDLWSIGAILFELLNGYPPFSGRNNVQLLQSIKRTTSLPFSEVAISKLRPDSIDICTRLLCSNPAPFLDFQIRRRVDAGILPMERRRMIADLLRASARGSSLRAGVQLHAALVKLGFGSDTMLNNNLIDMYAKCGKLRTAGEVFDGMPERNVVSWTALMVGFLQHGESRECLRLFREMQGSDTSPNEFTLSAILKACGGGGGTRAGVQIHGVCVRTGFELHDVVANSLVVMYSRGRCTGDARKVFDGIPVRNLATWNAMISGYAHAGQGRDSLLVFREMQHQPDEFTFASLLKACGGIGAAREGAQIHAAMAVRGVSPASNTILAGALLDVYVKCRCLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMSLFRQFWCSGVRADGHVLSSVTGVFADFALIEQGKQVHCYTVKTPAGLDVSVANSLVDMYHKCGLTGEAERLFREMPERNVVSWTAMINGLGKHGHGREAIALFEEMQSEGVDADEVSYLALLSACSHAGLVDECRRYFSKIRHHRSLRPKAEHFACMVDLLGRAGKLREAKDLILSMPMSPTVGVWQTLLSACRVHKDVAVGREVGEILLAADGDNPVNYVMLSNIFAESGEWRECQRIRDAMRRRGLRKQGGCSWTEVDKEVHFFYGGGDDAHPLAADIRRVLRDVEARMWERLGYGGAAVFALHDVDEESRVESLREHSERLAVGLWLLRNGGGIDDAGTGEEGGDGEVIRVYKNLRVCGDCHEFFKGLSVVVRRVMVVRDANRFHRFQNGVCSCGDYW >LPERR04G25790.2 pep chromosome:Lperr_V1.4:4:22910431:22914428:-1 gene:LPERR04G25790 transcript:LPERR04G25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETTTTTVGGYELRERLGGRPPATAVWRAVNLSTGSPVAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLIDVIQTQSSLYLVLELCEGGDLAAFIQRNGWVDERVARNFIRQIGAGLQVLHRHHVVHRDLKPENILLSSPDSNAILKISDFGLSRVLHPGEYTDTSCGTCLYMAPEVMLFQKYDGRVDLWSIGAILFELLNGYPPFSGRNNVQLLQSIKRTTSLPFSEVAISKLRPDSIDICTRLLCSNPGIRRRVDAGILPMERRRMIADLLRASARGSSLRAGVQLHAALVKLGFGSDTMLNNNLIDMYAKCGKLRTAGEVFDGMPERNVVSWTALMVGFLQHGESRECLRLFREMQGSDTSPNEFTLSAILKACGGGGGTRAGVQIHGVCVRTGFELHDVVANSLVVMYSRGRCTGDARKVFDGIPVRNLATWNAMISGYAHAGQGRDSLLVFREMQHQPDEFTFASLLKACGGIGAAREGAQIHAAMAVRGVSPASNTILAGALLDVYVKCRCLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMSLFRQFWCSGVRADGHVLSSVTGVFADFALIEQGKQVHCYTVKTPAGLDVSVANSLVDMYHKCGLTGEAERLFREMPERNVVSWTAMINGLGKHGHGREAIALFEEMQSEGVDADEVSYLALLSACSHAGLVDECRRYFSKIRHHRSLRPKAEHFACMVDLLGRAGKLREAKDLILSMPMSPTVGVWQTLLSACRVHKDVAVGREVGEILLAADGDNPVNYVMLSNIFAESGEWRECQRIRDAMRRRGLRKQGGCSWTEVDKEVHFFYGGGDDAHPLAADIRRVLRDVEARMWERLGYGGAAVFALHDVDEESRVESLREHSERLAVGLWLLRNGGGIDDAGTGEEGGDGEVIRVYKNLRVCGDCHEFFKGLSVVVRRVMVVRDANRFHRFQNGVCSCGDYW >LPERR04G25790.3 pep chromosome:Lperr_V1.4:4:22910431:22914428:-1 gene:LPERR04G25790 transcript:LPERR04G25790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETTTTTVGGYELRERLGGRPPATAVWRAVNLSTGSPVAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLIDVIQTQSSLYLVLELCEGGDLAAFIQRNGWVDERNILLSSPDSNAILKISDFGLSRVLHPGEYTDTSCGTCLYMAPEVMLFQKYDGRVDLWSIGAILFELLNGYPPFSGRNNVQLLQSIKRTTSLPFSEVAISKLRPDSIDICTRLLCSNPAPFLDFQIRRRVDAGILPMERRRMIADLLRASARGSSLRAGVQLHAALVKLGFGSDTMLNNNLIDMYAKCGKLRTAGEVFDGMPERNVVSWTALMVGFLQHGESRECLRLFREMQGSDTSPNEFTLSAILKACGGGGGTRAGVQIHGVCVRTGFELHDVVANSLVVMYSRGRCTGDARKVFDGIPVRNLATWNAMISGYAHAGQGRDSLLVFREMQHQPDEFTFASLLKACGGIGAAREGAQIHAAMAVRGVSPASNTILAGALLDVYVKCRCLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMSLFRQFWCSGVRADGHVLSSVTGVFADFALIEQGKQVHCYTVKTPAGLDVSVANSLVDMYHKCGLTGEAERLFREMPERNVVSWTAMINGLGKHGHGREAIALFEEMQSEGVDADEVSYLALLSACSHAGLVDECRRYFSKIRHHRSLRPKAEHFACMVDLLGRAGKLREAKDLILSMPMSPTVGVWQTLLSACRVHKDVAVGREVGEILLAADGDNPVNYVMLSNIFAESGEWRECQRIRDAMRRRGLRKQGGCSWTEVDKEVHFFYGGGDDAHPLAADIRRVLRDVEARMWERLGYGGAAVFALHDVDEESRVESLREHSERLAVGLWLLRNGGGIDDAGTGEEGGDGEVIRVYKNLRVCGDCHEFFKGLSVVVRRVMVVRDANRFHRFQNGVCSCGDYW >LPERR04G25790.4 pep chromosome:Lperr_V1.4:4:22912931:22914428:-1 gene:LPERR04G25790 transcript:LPERR04G25790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETTTTTVGGYELRERLGGRPPATAVWRAVNLSTGSPVAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLIDVIQTQSSLYLVLELCEGGDLAAFIQRNGWVDERVARNFIRQIGAGLQVLHRHHVVHRDLKPENILLSSPDSNAILKISDFGLSRVLHPGEYTDTSCGTCLYMAPEVMLFQKYDGRVDLWSIGAILFELLNGYPPFSGRNNVQLLQSIKRTTSLPFSEVAISKLRPDSIDICTRLLCSNPGVPLPLPLIMSLPLIQHMES >LPERR04G25800.1 pep chromosome:Lperr_V1.4:4:22915175:22920005:-1 gene:LPERR04G25800 transcript:LPERR04G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMEEGMGESSGPIDDRGGAAAAGFASGGGGSAQHISKAIYDRLVASRNQEAISSPSFPLELDQHFDRLPQSYAIDMDVSKAEEVLLHRRILAECADPDKRPIFHARFLRVESGLAPRENGNGGGFLPVNLRHDEFAESEPYERMMEDLSLERGKGVDDFEAISARRDTKIVRVHEIIFSSIDKPKLLSKLSAILSEIGLNIREAHVFSTSDGFCLDVFVVDGWNTEETDGLLQKLKEAEAHSNGSLSNPSNSSASDKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGMDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMSGGNLYDFLHKQNNMLELPAVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGPGQVVKIADFGVSRQRSQGGDMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTSKIPYANLTPLQAALGVRQGMRMEIPPWVHPRLSKLIERCWDENPHVRPFFSEITIELEDILRHVQGSKAGSRHPKAKIQKKSPR >LPERR04G25810.1 pep chromosome:Lperr_V1.4:4:22927461:22928690:-1 gene:LPERR04G25810 transcript:LPERR04G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDAGDASMAAAAPIHLLPPDALHNVLLRLPLRDAVVCRLVSRLFHETLSPNFLSFLPNLRLLLLRHPRPEGGGCLHAFDPDRRHWLRLPFSAFLPNQSFSPVASSPTLLYLWVETATSASLPSSSSSSSSSSTSAAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSAKWMKHPLSLPSKPRSPILAAGAGAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERAAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNTMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYGDGVYRGFVFDGGFTATT >LPERR04G25820.1 pep chromosome:Lperr_V1.4:4:22931713:22932306:1 gene:LPERR04G25820 transcript:LPERR04G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKQVDAENPAEVAEDLTQRGNWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVVSGFAGLLAGACSMAIGEFVSVCSQRDVELAQLERDGKLGGEEERSLPSPAQASAASAMAFSVGAAVPLLAAGFIVNYRLRIAVVVAVASVALAAFGCVGAVLGRSPAVVRSSARVLLGGWAAMAVTFGLMRLFKASGI >LPERR04G25830.1 pep chromosome:Lperr_V1.4:4:22938661:22941058:-1 gene:LPERR04G25830 transcript:LPERR04G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDSNAAAEQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >LPERR04G25840.1 pep chromosome:Lperr_V1.4:4:22945344:22947840:1 gene:LPERR04G25840 transcript:LPERR04G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQHHHQQQGMRLSNMGMSEAVAVGEASYMGVGGGEVVARDAAAAALGAVVQLHFDKTLEKKRAADAQKQELWRLFLGFFLFLAVVLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGEVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVQILCF >LPERR04G25840.2 pep chromosome:Lperr_V1.4:4:22945694:22947840:1 gene:LPERR04G25840 transcript:LPERR04G25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQHHHQQQGMRLSNMGMSEAVAVGEASYMGVGGGEVVARDAAAAALGAVVQLHFDKTLEKKRAADAQKQELWRLFLGFFLFLAVVLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGEVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVQILCF >LPERR04G25850.1 pep chromosome:Lperr_V1.4:4:22947764:22951128:-1 gene:LPERR04G25850 transcript:LPERR04G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPWRSVLRTHLLLETTRMGMAASFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRKKRAEGKKALKDYLLYGKSSPHVQGANTSSFANSHDIPKFKTSRKGSKFHGSAKSHQGVHRQRKSKKDRERFYNFFREEYYVHPDNIFEAMFGEKHRFTWSHISWENFSFRDSSSSFRWTDESQRERVCSDSDDESEDDTRETTNIGSHAHRVILGLPPRGPLTLDDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYSSLCNVLKAA >LPERR04G25850.2 pep chromosome:Lperr_V1.4:4:22947764:22951128:-1 gene:LPERR04G25850 transcript:LPERR04G25850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPWRSVLRTHLLLETTRMGMAASFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRKKRAEGKKALKDYLLYGKSSPHVQDRERFYNFFREEYYVHPDNIFEAMFGEKHRFTWSHISWENFSFRDSSSSFRWTDESQRERVCSDSDDESEDDTRETTNIGSHAHRVILGLPPRGPLTLDDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYSSLCNVLKAA >LPERR04G25860.1 pep chromosome:Lperr_V1.4:4:22956729:22960379:1 gene:LPERR04G25860 transcript:LPERR04G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDVVQGMQPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFIERKNRTKLTWRVVMMSFVCGLAGGSLAQNLYISGMKLTSATFATAMTNLIPAITFVLAVAFRYERLAIRSAAGQAKLAGTLLGLAGAMLLTFFKGPDVTPWHTHLNLAAGVSPAPPTTTNNSNSNMVMGSVLVIGSCFFYAVWLILQAKLSKEYPFHYSSTALMCAMSGAQSAAFALCLDRDASQWSLKRGVRLASVLYCGVLASGVMLVVLSWCVRRRGPVFASVFNPLMLVVVAVLGSLLLAERLHLGTVLGAVLIVAGLYAVLWGKERDAAVEAAKVGDEAADHIAVVVVAVQQQHVPVQPEQSAAASQQPEELSSADRTDSLQRMPR >LPERR04G25870.1 pep chromosome:Lperr_V1.4:4:22964340:22968700:1 gene:LPERR04G25870 transcript:LPERR04G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDFRDSFGTLSKRSFEVKISGIPGLSRRHRGKSIGPSIDLDDGPVVIHQSKWTGLPPELLRDVIKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGTLCSQERTSRRFSSRKVSPKVPTGCYPIAQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSTGTSFSKYSIADHSTDFSSFRFSEFGGGALQVQDGDDVEKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAAASEPSQAGQAAQQQTQTSQPSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >LPERR04G25870.2 pep chromosome:Lperr_V1.4:4:22965157:22968700:1 gene:LPERR04G25870 transcript:LPERR04G25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDFRDSFGTLSKRSFEVKISGIPGLSRRHRGKSIGPSIDLDDGPVVIHQSKWTGLPPELLRDVIKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGTLCSQERTSRRFSSRKVSPKVPTGCYPIAQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSTGTSFSKYSIADHSTDFSSFRFSEFGGGALQVQDGDDVEKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAAASEPSQAGQAAQQQTQTSQPSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >LPERR04G25880.1 pep chromosome:Lperr_V1.4:4:22970018:22971277:1 gene:LPERR04G25880 transcript:LPERR04G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAATSVGRSFLSLRRDHHNHIPSDPHHSNSSDLHEIDAFQRHAADLLNDLVLSVSDSDSDDPFLSLAWTRRLLDSFLICLEEFRAILLGLGLAGGDLARPPLDRLLHDFLDRAVKVLDLCNALRDGLDLIRQCRKHLVIAAAALSSSSDSAAPRGEAQIRRARKALTDLTILMLDDKDVSGGGQRNRSFGRATRDSSASHGHGHHRRSSSGGSSGSGSGSHLRSLSWSVSRTWSASRQLQAIGGGLTVPRAHDVAATGGLASAVYTMGAVLFAVAWALVAAIPCQDRGLQAHLATVPRSFPWAAPLTTLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLIEVTDTAEFPLPDDKDAEVQEATQELLQVCDSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNATG >LPERR04G25920.1 pep chromosome:Lperr_V1.4:4:22989409:22989762:-1 gene:LPERR04G25920 transcript:LPERR04G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTCTDDANQKLDLDVITPVIFDNAYYIGLTMGQGVFTSDISLFRNPITKPIVCQFATDKDAFFKQFADSMFKLSNVPRNDGNRGEIRSNCFVSNAGPRRAVDAVIEQVVDFAASF >LPERR04G25970.1 pep chromosome:Lperr_V1.4:4:23007277:23015542:1 gene:LPERR04G25970 transcript:LPERR04G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANWSKNAVLSAANCLTMRAVVRFLISAMSEVNTPWLNVSSCRPARSEKKPHCALPMVWPPESATRSAASRSPLVEKRSMRLWTLEVNGPGRSPTRFNEAGARLSVWPSATA >LPERR04G25990.1 pep chromosome:Lperr_V1.4:4:23020887:23023682:-1 gene:LPERR04G25990 transcript:LPERR04G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATLPLPLLAAACCCLLADAGALVGQTCAAAASSCGAGMRCATCSPLAGSGPAVCSRTTPLDPKSHGTGLAFNRYTWLTTHNSFAVVGSPSHTGTPIIAPPNQEDTNGVRGLMLDAYDFQNDVWLCHSFGGKCYSFAAFQRAVDVLKEIQSFLEANPSEVITVLMEDYTAPGSLGKVVSASGLSKYLFPVAKMPKDGGDWPLLKDMIAQNHRLIVFTSKQGKESSDGLAYEWDYVVETQYGNDGLVGGSCQKRSESRPMDSTKQSLILVNFFSTNPSQSWACGNNSAPLVAKLKACYHASANRWPNFIAVDFYMRSTGGGAPLATDVANGQIQCGCDSIAYCKAGSAFGSCTLPSPPATSPAAAPPKAATISPAAAPPKAAAISLSPAAAPPDTIYTTSPAPSETASISPAAAAPPETAAIYIPPLAATASMKLEVSMKAEKAASTGTSPADTAAKSAAVPSHVSTIFLFGLMPSLLLLLASLS >LPERR04G26000.1 pep chromosome:Lperr_V1.4:4:23024471:23029621:1 gene:LPERR04G26000 transcript:LPERR04G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSLRRRGLSLLLLLLSFAAPFFFSPTPAATAVGDCPLDFSWANFTLASAACSDPTQRAVCCRYINALVAISMSRYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGILSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTATPVDAPAEPAPKTKSVLQKHHQPYRLTVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHTQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSTAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGKKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRSLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKGSVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >LPERR04G26000.2 pep chromosome:Lperr_V1.4:4:23028252:23032400:1 gene:LPERR04G26000 transcript:LPERR04G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGGRHPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDGAKSSGGRKGAPPGKGWQEIGVIEEEGLLDDDDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIAMKSITFENFIIQAGTDASLVPTDMATTNSTVKFTYRNTGTFFGIHVTADAFQLSYSQLTLASGDLKKFYQARSSRRTVSVGVVGNKVPLYGGGPTLTAGNTGSKGSTTKVAPVPMILRTTIHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKACIYL >LPERR04G26000.3 pep chromosome:Lperr_V1.4:4:23029742:23032400:1 gene:LPERR04G26000 transcript:LPERR04G26000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRARGRGEISLPDQEEERKKNSVDKMHAKTDSEVTSLAPSSPPRSPTSRGGGRHPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDGAKSSGGRKGAPPGKGWQEIGVIEEEGLLDDDDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIAMKSITFENFIIQAGTDASLVPTDMATTNSTVKFTYRNTGTFFGIHVTADAFQLSYSQLTLASGDLKKFYQARSSRRTVSVGVVGNKVPLYGGGPTLTAGNTGSKGSTTKVAPVPMILRTTIHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKACIYL >LPERR04G26000.4 pep chromosome:Lperr_V1.4:4:23029958:23032400:1 gene:LPERR04G26000 transcript:LPERR04G26000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGGRHPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDGAKSSGGRKGAPPGKGWQEIGVIEEEGLLDDDDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIAMKSITFENFIIQAGTDASLVPTDMATTNSTVKFTYRNTGTFFGIHVTADAFQLSYSQLTLASGDLKKFYQARSSRRTVSVGVVGNKVPLYGGGPTLTAGNTGSKGSTTKVAPVPMILRTTIHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKACIYL >LPERR04G26010.1 pep chromosome:Lperr_V1.4:4:23032922:23035705:1 gene:LPERR04G26010 transcript:LPERR04G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDEDPKKVYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIFNHTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRGTCAKPEMFRERPPCPGMKKEFRWVKQEDGVEYEGMKKLKILAKRQEVENMALIKNQLEEEKELAKQQQESLDGNYKKYDMLENVIQNGDMKSLAQHYGVSVADEF >LPERR04G26020.1 pep chromosome:Lperr_V1.4:4:23036031:23036357:-1 gene:LPERR04G26020 transcript:LPERR04G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSLERTLQKLGQVNLAPHKGHLGRKYYGTVQPPCFLAGRGQFFTIDSPPKKLPPKRKLQVVDE >LPERR04G26030.1 pep chromosome:Lperr_V1.4:4:23042258:23043374:1 gene:LPERR04G26030 transcript:LPERR04G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGGGVRGGSSARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSVVFTAPVGWSGRIWGRTECDFDQSGNGSCSTGSCGSELKCGGSGATPATLAEFTLASKDFYDVSLVDGFNLPIVVRPLNGQGNCSTAGCDGDLRDDCPSELAKKVNGRTVACRSACDVFDTDQYCCRGTFGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSNRSEAIGVHVPQQSPYLQQRL >LPERR04G26040.1 pep chromosome:Lperr_V1.4:4:23049115:23049321:1 gene:LPERR04G26040 transcript:LPERR04G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGARRAAATVTAGAELNASVELDGVGAMADGSVELGGGVRQKLSGEEDSEDGGGDRMSLAAGAKN >LPERR04G26050.1 pep chromosome:Lperr_V1.4:4:23049674:23052402:1 gene:LPERR04G26050 transcript:LPERR04G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGRAAASPFATMKTTTTKKKVLRKLDHKDNKKENNSKYRRDESQSQSNQCAAAAEPESPSYRLALKSLFSCRNSSSSHAHAHGHHRGCNSASSICKVKAGDHNPRPPRLMHKVNVKEEEPYCKRRASVSSCNSSSEQRSVKKPQKQQEAASSLLLSSSSSSGGSFRGMQQLSLRRLSGCYECHMVVDPISGSSSMRGATICSCPDCGEIFVRPESLHLHQAVRHAVSELGAEDTSRNIISIIFQSSWLKKQSPVCAIERILKVHNAARTLARFEEYRDAVKAKGMARRGRHPRCTADGNELLRFHCATLSCALGLHGATHLCDGGECGACGVIRDGFRAPAGGGGGIRTMATSGRAHDAVVADGERRAMLVCRVIAGRVRRQQHEEEEVASPAAAAEPEEEEYESVAGTAGLYSNLEELDVFNPRAILPCFVVIYRAT >LPERR04G26060.1 pep chromosome:Lperr_V1.4:4:23053108:23066640:1 gene:LPERR04G26060 transcript:LPERR04G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPSSGGRYRRRSPSPSPRHHRPRGRDPPPQTQRRSPRRHDDRLPAPATVPPDVVLGAGDRRSRADVLLEAGRLAAHYLVAQGVIPEHRLHARDRDRDPKLHNHDAASRPDPLAAAARKRDDAPYHDDDPRWRRDKAGDDDDPRLSRRSGWDRRSHSFDGRRKYNDAPAAAVDRPPGRRRDDYDEQRRPTMSRSYSQNDRRLSSDSRLMDRRRRSRSRSRSRSRSRSRTRTRSSVYGNRRDSDYRPGGADLDHTKPAIGRDGDVDYHAVDVPRDLKATPLSVVVMETNEGATQAAAAAATEDTEEVEFEIIEVDQDQDVCGDEDGEDEVAAFNDADVGEINDTQDNLSNSNEDDVHPSESDEEPVHRQSQLSDAEGGMEAPISPRDECSVQPVAEEIRDEADAPHTEVETDIGDLSKDEQELPAWFGIFDLNVVESQDNCEMVEVSGDPPSDNVNDSAPELAGQMSQSANCVISGTKGQDECAVDNHQLEEEQVLQNQSIATHDLKNEEGHENQTGDEHEQDNHQLEDDQMHINHGMDVHDLNNGLPSSEETLLNQCADEQADRGHQMETEQVLLNQGQGSSVEGLENYHVNGDQSLLNHDADEHSGDDHPGKNERMLLNHVMGVHDPNSHDLDSDQMLLNNDTDKQAADSAQLQEGQMLLDQAADGQATLHNQGIGRMIPVINLDDDYEEQSNTIEFLESKTYVFSRPANFECS >LPERR04G26060.2 pep chromosome:Lperr_V1.4:4:23053108:23066155:1 gene:LPERR04G26060 transcript:LPERR04G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPSSGGRYRRRSPSPSPRHHRPRGRDPPPQTQRRSPRRHDDRLPAPATVPPDVVLGAGDRRSRADVLLEAGRLAAHYLVAQGVIPEHRLHARDRDRDPKLHNHDAASRPDPLAAAARKRDDAPYHDDDPRWRRDKAGDDDDPRLSRRSGWDRRSHSFDGRRKYNDAPAAAVDRPPGRRRDDYDEQRRPTMSRSYSQNDRRLSSDSRLMDRRRRSRSRSRSRSRSRSRTRTRSSVYGNRRDSDYRPGGADLDHTKPAIGRDGDVDYHAVDVPRDLKATPLSVVVMETNEGATQAAAAAATEDTEEVEFEIIEVDQDQDVCGDEDGEDEVAAFNDADVGEINDTQDNLSNSNEDDVHPSESDEEPVHRQSQLSDAEGGMEAPISPRDECSVQPVAEEIRDEADAPHTEVETDIGDLSKDEQELPAWFGIFDLNVVESQDNCEMVEVSGDPPSDNVNDSAPELAGQMSQSANCVISGTKGQDECAVDNHQLEEEQVLQNQSIATHDLKNEEGHENQTGDEHEQDNHQLEDDQMHINHGMDVHDLNNGLPSSEETLLNQCADEQADRGHQMETEQVLLNQGQGSSVEGLENYHVNGDQSLLNHDADEHSGDDHPGKNERMLLNHVMGVHDPNSHDLDSDQMLLNNDTDKQAADSAQLQEGQMLLDQAADGQATLHNQGIGRMIPVINLDDDYEEQSNTIEFLESNKLRVFLTILRLTTQWLLLHQLHRTLEIVGLEGVLLLHRKSPM >LPERR04G26060.3 pep chromosome:Lperr_V1.4:4:23053108:23065562:1 gene:LPERR04G26060 transcript:LPERR04G26060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPSSGGRYRRRSPSPSPRHHRPRGRDPPPQTQRRSPRRHDDRLPAPATVPPDVVLGAGDRRSRADVLLEAGRLAAHYLVAQGVIPEHRLHARDRDRDPKLHNHDAASRPDPLAAAARKRDDAPYHDDDPRWRRDKAGDDDDPRLSRRSGWDRRSHSFDGRRKYNDAPAAAVDRPPGRRRDDYDEQRRPTMSRSYSQNDRRLSSDSRLMDRRRRSRSRSRSRSRSRSRTRTRSSVYGNRRDSDYRPGGADLDHTKPAIGRDGDVDYHAVDVPRDLKATPLSVVVMETNEGATQAAAAAATEDTEEVEFEIIEVDQDQDVCGDEDGEDEVAAFNDADVGEINDTQDNLSNSNEDDVHPSESDEEPVHRQSQLSDAEGGMEAPISPRDECSVQPVAEEIRDEADAPHTEVETDIGDLSKDEQELPAWFGIFDLNVVESQDNCEMVEVSGDPPSDNVNDSAPELAGQMSQSANCVISGTKGQDECAVDNHQLEEEQVLQNQSIATHDLKNEEGHENQTGDEHEQDNHQLEDDQMHINHGMDVHDLNNGLPSSEETLLNQCADEQADRGHQMETEQVLLNQGQGSSVEGLENYHVNGDQSLLNHDADEHSGDDHPGKNERMLLNHVMGVHDPNSHDLDSDQMLLNNDTDKQAADSAQLQEGQMLLDQAADGQATLHNQGIGRMIPVINLDDDYEEQSNTIEFLESNKLRVFLTILRLTTQWLLLHQLHRTLEIVGLEGVLLLHRKSPGFTRKVL >LPERR04G26060.4 pep chromosome:Lperr_V1.4:4:23053108:23060054:1 gene:LPERR04G26060 transcript:LPERR04G26060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRRIVLSFIDFLNSVELAPGADPEALEVARECLESIFSVNSSSAGERVHPGLLLEFFSSLEAGQRDQSGPDPVSQFVSNKPSCSASTSNVQEDSTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTALDEINFFKSSSAGAEDPGQLSKATQFFDDALLELRKSGRKTTSLKDLAEFFKSKGNEFMRSKQHLKAVELYTSAIALSRKNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGNYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYTRQGQASNRQFPGQSSSGVPFTFFPPGNPPTPEFFTNIINHVSDISQQSSEHSININLNDIFNRANVNGNSQGTPQTENSSSHTPPASFPTNTAVPPSAFTGSGAEGNRPQQTSSGHEGEHGQPGMHRDAGIQINVAGPEQTADALRAVMQMFAPQMNPHEGAPAAPRGPG >LPERR04G26070.1 pep chromosome:Lperr_V1.4:4:23060797:23061044:-1 gene:LPERR04G26070 transcript:LPERR04G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKGKLSSRLVAMCSRSVEFKSGAAFLFRGGEERRSVGRRDLAVGAFVRRPAGGAVAAAEERAMDLLRCGGEGLPAFCV >LPERR04G26080.1 pep chromosome:Lperr_V1.4:4:23067756:23069411:1 gene:LPERR04G26080 transcript:LPERR04G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHGDQAAGGGGGDSLLCYFHPRELVVGVCSHCLRERLLLLLASKNSPAAHIIADRDRDAGVRPFRRKTSSSSSISLPKVFALGSFLQRLDSSRHHRGPDFDDDADTASIASLDDSFISIKFEDNGKATWDSQQQKAKAAGDAPPTAATVTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGKAASSSAAACQLAGLDGKKTAAERSKGRGRSWIRTLTRRRAAMVVS >LPERR04G26090.1 pep chromosome:Lperr_V1.4:4:23070941:23072958:-1 gene:LPERR04G26090 transcript:LPERR04G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAPAASSAPPPPVDPLVWLACAAPLSRIPVVGSQVYYFPEGHAEQCPAPLPEPLPPTHQVFLCAVAAVRLAADATTGEPFATISLVPAVNLAADATVSLLLPAVHLPTQGDQFRYYAKQLTQSDANNGGGFSIPRSCAEKIFPALNLTDDPPVQNLAMTDLQGQPWKFRHIYRGTPRRHLLTTGWSRFVNAKLLVAGDTVVFMRRPDASLLIGVRRAARYTAGVSPCNARARVPPQEVMDSARLAAEGAPFTVTYYPRQGAGEFVVPRAEVEKGLTATDELRAGVQVRAQVVETEEDPRRVSWLNGTVKNVSHRIWRNLQVEWDPSSSSFPMENRYVNPWQIQPVGFPPLPMGLQIQNSSTAPMPLPLELLPAGIQGAWHHANAHADIPSSSMLTTQPLFPRADLHISVPPTFAAGSSHSGSSNNSENTPEGMKTIQLFGVTITSPVAPMQCDTDDTSAYASASAQVNQVPQGMDYETASEGTSATSPLDSLSNGQNHDGARL >LPERR04G26100.1 pep chromosome:Lperr_V1.4:4:23080409:23081200:1 gene:LPERR04G26100 transcript:LPERR04G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQAMPMVVSGLGAAPRLISRRPSPSMVVQPLALKQTRRRRAVQVVAMFKSRTKAPPAKEEKKKKKSVVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGIERAVIPPGKGFRAAFGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINELEPLLLFNILFFFFAAINPGTGKFVTDDGDDQ >LPERR04G26110.1 pep chromosome:Lperr_V1.4:4:23085640:23089431:1 gene:LPERR04G26110 transcript:LPERR04G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQTCRHLLSRIFVANPYKRITMGEIKSHPWFLKNLPRELKEEAQQAYYNRRAAAADNSTATASGSGSTSASGGAYLSPQSVEDIMKIVQEAQSVPKPDRPVSGYGWGTDDEEEEEPAEEEEEEDEYERTVREVHASGDLDMAKLQI >LPERR04G26110.2 pep chromosome:Lperr_V1.4:4:23085930:23089431:1 gene:LPERR04G26110 transcript:LPERR04G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQTCRHLLSRIFVANPYKRITMGEIKSHPWFLKNLPRELKEEAQQAYYNRRAAAADNSTATASGSGSTSASGGAYLSPQSVEDIMKIVQEAQSVPKPDRPVSGYGWGTDDEEEEEPAEEEEEEDEYERTVREVHASGDLDMAKLQI >LPERR04G26110.3 pep chromosome:Lperr_V1.4:4:23085762:23089431:1 gene:LPERR04G26110 transcript:LPERR04G26110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQTCRHLLSRIFVANPYKRITMGEIKSHPWFLKNLPRELKEEAQQAYYNRRAAAADNSTATASGSGSTSASGGAYLSPQSVEDIMKIVQEAQSVPKPDRPVSGYGWGTDDEEEEEPAEEEEEEDEYERTVREVHASGDLDMAKLQI >LPERR04G26120.1 pep chromosome:Lperr_V1.4:4:23088732:23097254:-1 gene:LPERR04G26120 transcript:LPERR04G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAAAPAPAPPPEEDEEEEALLTRVQNIITRVVDLEDNPNPRLLHTLATICEAHEARYAQECANSPSYNNTNARNSHAIGKLANLLRENDDFYELVFCKFLSENSYSSAIRSSAARLLLSCYSAWTPQYPHAFEDAIIENIKKWVTEDGASNECESKHLGRTNKPTDDEMLRTYAIGLLAMALCSGGQLVEDVLTMGVSAKLMHFLRIRVHGDVTSGQKDSNLPLDTKHPRIRDENRSKLRLVQDSFRLDGMRSGDGVSTDPTSEKDSDRAMGMWHEHGEQWIDDTSSLCPEHADLSSDLFDVTEAGERIYSTSIHDTKSRVGERHSTLRPGRDEEINENMRDDLLKRKLSRTGSRLRGKGKAGESLPESERTPLSPTSGLKIGTRPSRDKNVAKIEDVKKGIDVNNNSPGVEPFTAISKEEYEDRFRDCIIGLKDISDIVLRAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKSGNDGDSVVLAAQKAAASVVEAAMSTSVSRSADQVCEEHVVEEPVQISEDHELEDFVIPDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHIHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDARDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARSTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLTSNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKQIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKPSPAGNQQPAASQMVGGAFSENRDRNAEKCTTDRNPSANQGESRERCGDGNTSQQGNTTQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNGRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLIGSGFTATAAMLQKEADLAPLPSTTAVPPVHQVAALEASSARQQWPSGRVQGFVPDTTKMTIDQTGQRSDSLLSSSKKKSLSFSSSFSKRTQPSHLFSGNRAGNGLKSPVPTGNVDNMICSASIMNAGDAETSHRTPLLLPQKRKLVDAKDLTSVSAAKRPAILDQTCQSPLFQTPAPTRRGLSVAVDSPTAAFHSGRPNFTENLDDSQGTPGAMTTTPHHGANDQHSVNLERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHTHVCPEPSRSLSAPANVAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDSRVAAGNHTGELKIFDCNTSNILESQTCHQQLVTIVESASSGGNELILTSSLNEVKIWDAISVPAGPLNTFEGCKAARFSHSGTSFAAVSTDTTRREILLYDVQSFSLDLQLPDNSSHSGGRGYIQPIIHFSPSDTMLLWNGVLWDRRSPTPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTVINFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVIAMDDPDEMFSSTRLFEVGRKRPTDDDSDPEDAGDTDDEDDDDNDDSDDGIIPLTDITGDTDSDISNSSDDGGDDEDIDSGDENDDDDDAEFIDEGDFEGGGGLLEIMGEEDGDESDIMGSFSSGDEAGWIM >LPERR04G26130.1 pep chromosome:Lperr_V1.4:4:23099884:23100846:-1 gene:LPERR04G26130 transcript:LPERR04G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVNLDMEWESYRVPPGFRFHPTEEELVGYYLARKVQSTDLVGGGIIQEVDLYSIEPWDLQARCSSSWQTTEQAQEWYLFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSTRSPPAVIGMRKTLVFYRGRAPHGSKTDWIIHEYRLLHHHQQMQEQQEGWVVCRAFQKPTTTTPLHQLQLQPPSTCSLLPPPPIRQQQQQQGYYGQYADDCDHLLPPPAPAAGGLLCSSLELEDDEDEHKMILSNNIPRLVSPTTAVQTEAGGNNNVTASAADHYHHSQVLESVMQPQQQGHLIDWNFLDTLLQESAAASTLLLY >LPERR04G26140.1 pep chromosome:Lperr_V1.4:4:23104732:23107364:1 gene:LPERR04G26140 transcript:LPERR04G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSSSGPLLLAVAAPDDEEKPLSGVSDFRGRPVHRATSGAWRSALFISGLEIAGNFAYFGVSANLITYLTGPLGHSNASAAAAVNAWSGAACMLPLLGAFLADSFLGRFRSILLACTLYVLGYGTLTVASSLPACGGADKGCRPPVAQVAFFYVSLYLIALAQGFDKPCGLAFAAEQFDADHPKERASRSSLFNWWYFCLATGISVSIAVVSYVQENVGWGVGFAIPFAVILSALVLFLLGTPTYRLRSHHKHHPSSSTWPSDVARLLPIWAACLAYGVAYAQITTLFNKQGRALDRHISGDSSLELPPAALQTLGPVTILVFVPVYDRLVVPALRRVTGNARGLTTLQRTGAGMALSLAAVAIAAAVEGRRLENVRERRAAMTWPWIVPQYVAMGVADVLAVVGMQEFFHGEMPDGMRSLGLALYFTVMGIGGFISSALVSLLDAVTRRDGSEGWFADDLDRGHLDYFYCLLAAISAAELALFLCFARSYVYTNSNNTLLHIHVPTTTTNTTTCSTIDHHA >LPERR04G26160.1 pep chromosome:Lperr_V1.4:4:23113909:23125472:1 gene:LPERR04G26160 transcript:LPERR04G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWQPQEEGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVYLLARGEGKSFEVRQAAGLLLKNNLRATFSAMPATSQQYIKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIDLFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINIFMPRLLQSTHASLRKLSLGCINQYIVVMPAALYMSMDLYLQGLFNLAKDPSPDVRKLVCAAWVQLIEVRPSILEPHLKNVTELMLQANKDSDEEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMVYDDDDESLADAEVDDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDSWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSIEHPTGREQFDKILVGLLTRILDSNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLVQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVAQSGLRDILLQCCMDEAADVRQSALALLGDLSRVCPVHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALSMIRDDFEKEDAFHGLCAMVAANPNGAVGSLAYICQACASWNEIKSEGLHNEVCQILNGYKQMLGNGGWEQCMSTLEPAVVQRLGRYGV >LPERR04G26160.2 pep chromosome:Lperr_V1.4:4:23124779:23131643:1 gene:LPERR04G26160 transcript:LPERR04G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRIGHLLCCVVRPEPYPPVRIRIFIFIFHRSGEGGKEAQERERKSELKMLLTTPFVSPLRVQGGNGGGGSPVARALTIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDMVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSDTDQAQDQPPTPTPSAE >LPERR04G26170.1 pep chromosome:Lperr_V1.4:4:23131834:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSLAVGERLSLNHLSHFEQNMVSLLIEESHKHIVSTTLLGIPWY >LPERR04G26170.2 pep chromosome:Lperr_V1.4:4:23131834:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKLAVGERLSLNHLSHFEQNMVSLLIEESHKHIVSTTLLGIPWY >LPERR04G26170.3 pep chromosome:Lperr_V1.4:4:23132713:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKLAVGERLSLNHLSHFEQNMVSLLIEGLLL >LPERR04G26170.4 pep chromosome:Lperr_V1.4:4:23132713:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKLAVGEDLPVPGLLL >LPERR04G26170.5 pep chromosome:Lperr_V1.4:4:23132242:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKLAVGENAMLLL >LPERR04G26170.6 pep chromosome:Lperr_V1.4:4:23131834:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKRLSLNHLSHFEQNMVSLLIEESHKHIVSTTLLGIPWY >LPERR04G26170.7 pep chromosome:Lperr_V1.4:4:23132242:23141900:-1 gene:LPERR04G26170 transcript:LPERR04G26170.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAHGGGDKQQQQLGFRDDEALRFLFGEDLLSMHHSAAASSSSSFDRSYAELQVFRDVFSPPPTQTPLPHVAETSSLLPTAPPPPAPPHHHALQPQPQPAPAPAPAEDQPKHSHGPVLAANGGLQLDAALQGFVAYWQGGGGGTDVDAGDLFHDDTLHMMQDSGMCALGASSSNSGVDDPLPSYMEALADFSDFHNDALLSDPFLNHWLQDQHHFPTDDNMCFNYDQGGQLLDTSHTLCSATTPDLSVTEQLSFYGTTDYDTTLLSHLPQDSTASAQFVQLDNLRQNGTPGASMGSLDDADVPAHSTQHYGTTVAASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMACYFTAPQREVVHTSLTQAWKFCGNKLYEATPGSQRGKCPKEWSDIDTFWKDLTDTMAYIDDELVNRQDAPTLLQRWELLDPFIAVVFINRKITALQQHKTLRAVDSSTHVLDDTRNMPSKSKSVLKSSGLVPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSSLCTQSQQYCVAGERNYRTETHVSESNGQRELCSGAIFIDNAVKKSKKKSKRISDIDSTGLDGLQSEGFIQPAMEIVFNQEIDLASVELSIAENIMRSEEHGTCSLKRNLKAEPKLAKLNANNQSNKFNMILPSEIMQTSMLQGEETVEEPAGYGILLENVATPRESGSSKFIPIGNHEKKLLLQKESSIAPKDVHNLPNVNSVPVNLSYKSTAAVVKTDLPQESKTCKTVAAKRKPQAWDKYAKKRPRVLRINDDDLLITAMVKNSDLGSCHKFSADSVFLDAKKFKKFKNPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKTNEVVKDGQVTWEGVLCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGKSYSLCQVEAWSAEFVSRKSSACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSDQELPEGSWYCHNCSCRSCGNPASEKEVSTFSAILKYHDTCIDQDMLPCGGEQSDIWFCGRYCKEIFIGLHNHIGVENFLDNELSWSILRCNTDGRKLHSVKKIAHMAECNTKLAVALTILEECFVRMVDPRTGVNMIPHVLYNKGSNFARLDYQGFYTVILEKGDDILCVACIRVHGTKAAELPFIATSVDYRRQGMCRRLMNTIEMMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNINLMLFPGTSLLTKRLDGIMSAKPEEDKNAYSVSKLPNGKCLLSGKVNKHLELHDLDLLEAELNTEDATNTSFRALKHEHGPATWFNSAKNAMLLL >LPERR04G26180.1 pep chromosome:Lperr_V1.4:4:23145241:23148336:1 gene:LPERR04G26180 transcript:LPERR04G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEISDACDLAPKDGAGACNAFVEVEFDGQKQRTPTKPADRSPQWNHTLVFEVSDPGRVSSLPVDVSVHHDRSLSDHHAARPHTFLGRVRISAASVAPSPQEAVLQRYPLEKRGLFSRVSGDIALRLYLITDHADAAPASAAPGPVPVPDPADNRQQQQPPADPVAAALNPIRIARAAFAAGEPESRQQPPLESAAGESRGHDQQQPPPRVFRSVGASSDQPRRATLHAVAAPPPPPGQTVVMPRPPGPAPAPAGSEFGLVETKPPLPAKMGPRAAAAATAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVKVGNFKGVTRHKEKNHDPVWRQTFAFSREHLQASQLEVLVKDKDLVKDDFVGRVVFDMSSDIPTRVPPDSPLAPQWYRLSDRNGDKLRHGEIMLAVWIGTQADEAFPEAWHSDAHSVSSLEGLASTRSKVYYSPKLIYLKVMAIAAQDLVPNEKGRPLAPCVVKIQLGGQTRRTRPQGSANPAWNEEFMFVAAEPFDEPLVVTVEEKVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSTVLTADEAAASEATKLKTSFASKIHLRLSLETAYHVLDESTHYCSDLQPAAKKLRKSPIGILELGILGARNLAPGKSPYGVAKYGVKWVRTRTLLGTVAPRWNEQYTWEVFDVCTVVTVAVFDNCHLAGGGDAKDQRIGKVRVRLSTLETDRVYTHFYPLMALTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTNPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVAHWMEGIRRWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTVLSNAEKELVHQDELDEEFDTFPTSKSSEVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVVLSLIVAVVLYVTPFQVVAVVLGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >LPERR04G26190.1 pep chromosome:Lperr_V1.4:4:23161579:23170022:1 gene:LPERR04G26190 transcript:LPERR04G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYPPEDGRYNCSPVAAGDSPVPHNAAAIISYDDGWVPPDAAAKEEDDDDGDNSSSTSSSTSPGRGGDDGERQRAMLAAMNGQLNLLASRFLSSAGVDLTEWLEVVTALSWEAALLIQPHACTAGNDMDPATYVKLKCVASGSRRQCQVIKGLVFRKNAAHKHMPTQCHTPRLLLIQGALGLDSNLGFSSFDSMDKDKNNLRTSIARMVQRCRPNLVMVEKTVSRDIQELLLQHGVTLLLDMKLHRLQRISRSSGAPLLSFSDLLDCPKLKHCDYFHIDKFFEEHNHTAAAAKPAAGKRQSKTLVFLEGFSDPLGCTILLRGASTEELKKIKQVLHYTIFAAYHLVVETSFFEDQKVFLNDTNEGSSEISRQATLVSTSSLPASPTDNDVTCAGSSVEYHDRNTNDQGTAINCDPNETSPSENLLSSVSGSLRRFIDIFRYHNIYLPVTSSQDTAGHQEEENTEISQEMASDALAKENEPDHSCEYMDHLRGIQEQVFSKTNQKMAQPDPIVGAKHEQLSVPLGNGEQYSAGYVNENNNSDTDEADDVLESQSILILLSSQCITKQVFCEQSHLYRINYYGNFDLSLGRYLQDILQNQNLSCSSCGEPPDAHIYSYTHRNGNLTVSVRRLLPQHHLPGESEGKIWMWTRCLRCEHESGMSKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRLGSKVAKFQYSSVEIYAACKPQRTLEFHNPNMHEWFEQQGRNVLARGVKLFSEVASLIEHMKIFSDGAINCGDFLPVKEVSPLEEVLMREKTDFVDSLMKAVDQSRMSSSSVNEILVVNCLYQDLLILLYVWDRRFHQVLECKSERKANGTKKEAAEFAGEPEPVAVADATGESAEGKLPFENACTKGMQYGEPGMDRYSSTFIDENSQDERREEKHITKVPSVRVLEGIDMQGNGQVTNSIAIKEEQLSMPQYRIPEWGDRERWIWNPLHELRVSYRHELQAGHLEKFELVNNYSPSHLSPLHKQSSTEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDAIVEGEAAYSRGSEKSYSSLSENSSASSSWSSIGSSDSDASISSDDLFSSYDSPLLSSSLHPEVSVNGKVAPKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCKKWDAQGGKSNAFFAKTLDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKLDLMVMENLLFGHNVSRIYDLKGAVFSRYVSDSNDHGTVYLDQNFVDDMRVSPIYIGGRMKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKREFVFGIIDYLRQYTWDKQLETWAKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >LPERR04G26200.1 pep chromosome:Lperr_V1.4:4:23167765:23169712:-1 gene:LPERR04G26200 transcript:LPERR04G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGGGLGSLRAVLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIEVEPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKYFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPALLLEGSGVVTWFYTHDSIVSALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAAVAPGSPRTSQTNSTRSRMEMLPLVGDKQEKV >LPERR04G26210.1 pep chromosome:Lperr_V1.4:4:23171860:23177421:-1 gene:LPERR04G26210 transcript:LPERR04G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLQLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVESLKEKLWRKTGTAVASMRLQLRDDTGAMVADLDHDDATLAAYSPYDGWYRLHIIDLDPSSVTSGGWLEDTSLVEKYTISDEAYNKLDTNFRKFKEKMVMKNPASDDKQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGIQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPESDPFEEEEI >LPERR04G26220.1 pep chromosome:Lperr_V1.4:4:23177299:23183952:1 gene:LPERR04G26220 transcript:LPERR04G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATVMMSSMQLTVAARPIRSRRALSVSACAAPPRQRPPPPPSRAQQRRGPRRHRHDDDDEGKPPRRRGGSRPTRTRGPPARRQQSYADEEEEDDDDNEGSFGGGTRAAAMPKPPAGFVLDEQGRCIAAASKRIVTIIDDTNKRPLECIIRRVFRSTQDHDCMLLCPVDMPVQVLKSSNFSGWIAVDDDQIKEIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSADGSGGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAIVDAIDEETEFTALVEEEEALLESVLGER >LPERR04G26230.1 pep chromosome:Lperr_V1.4:4:23181520:23183451:-1 gene:LPERR04G26230 transcript:LPERR04G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKAEDAQPPDGVGDGDVSEKTDGVNPGQPRLSSSSTDRDSGLSLCRVCHCVEPDLRGDSALGFLGILPPSRDAHHDVVATASKDHPDPASKDARNVLEFVSPHGEIFVCTTDLESGPLHHQDHLMDLGCSCKNELALAHYACALKWFISHGSTVCEICGNVATNVRPADFNKVLASLKEYEALRERTSTGELSYLHYGSDTGVDPDAVAAIRRQRLSEISSWFNPQNSHVALSQGQTEQTPASPSNNSAEHGTVVARVVHARWSLEGTGVFLAIGLGVIVLAWLLAPHVGKKAAVICLHMLLGGLCALTIIISLRFVFPRIQYGSMRCWAILFVFWFLVFGVWASRTHSIRSS >LPERR04G26240.1 pep chromosome:Lperr_V1.4:4:23185137:23188655:1 gene:LPERR04G26240 transcript:LPERR04G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVEADRSLRLPLMVFGSHTRLDVRRPQHNSSSFCSPSTAVAVFVALALVAVWMASSTLVTPADFSPFQPSTTRPSQNHSPSTARPQLDPAANHHQQQPPQQDDDPPPVTLTQPRAEAGTQAAELLTETTADSDQQGSWKTQATESSNNMETQNKQTAAPSSYAWKLCNTEAGPDYIPCLDNLQAIRKLRTTKHYEHRERHCPQEPPTCLVPLPEGYRNPIRWPKSRDQIWYNNIPHTKLVEYKGHQNWVKVSGEYLYFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRTRVVLDVGCGVASFGGYLFDRDVVTMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRINKVGIAIFRKPTDNSCYEARSAASPPICGEYDEPDAAWNISLQSCVHRLPTDPAIRGSQWPEEWPLRLEKPPYWLKNSEAGVYGKPGAEDFLADYEHWKWVISNSYMNGLGIDWSAVRNVMDMKAVYGGFAAALRDMKVWVMNVIPIDSPDTLPIVYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVIVEVDRILRPEGKLIVRDSMETIHEVESMVKSLHWEVRMSYSQDNEGLLFVQKMIWRPNEVEAKI >LPERR04G26240.2 pep chromosome:Lperr_V1.4:4:23184881:23188655:1 gene:LPERR04G26240 transcript:LPERR04G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSHTRLDVRRPQHNSSSFCSPSTAVAVFVALALVAVWMASSTLVTPADFSPFQPSTTRPSQNHSPSTARPQLDPAANHHQQQPPQQDDDPPPVTLTQPRAEAGTQAAELLTETTADSDQQGSWKTQATESSNNMETQNKQTAAPSSYAWKLCNTEAGPDYIPCLDNLQAIRKLRTTKHYEHRERHCPQEPPTCLVPLPEGYRNPIRWPKSRDQIWYNNIPHTKLVEYKGHQNWVKVSGEYLYFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRTRVVLDVGCGVASFGGYLFDRDVVTMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRINKVGIAIFRKPTDNSCYEARSAASPPICGEYDEPDAAWNISLQSCVHRLPTDPAIRGSQWPEEWPLRLEKPPYWLKNSEAGVYGKPGAEDFLADYEHWKWVISNSYMNGLGIDWSAVRNVMDMKAVYGGFAAALRDMKVWVMNVIPIDSPDTLPIVYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVIVEVDRILRPEGKLIVRDSMETIHEVESMVKSLHWEVRMSYSQDNEGLLFVQKMIWRPNEVEAKI >LPERR04G26250.1 pep chromosome:Lperr_V1.4:4:23189197:23194862:1 gene:LPERR04G26250 transcript:LPERR04G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAAAAALRPTAALLLFPPHRRAASLRLPFARPRRHSSSSTTANPTNPKPPPTESNAARRRRHRDSPEGILKAKLDICSRDNDLPTALRLYDSAISSETPIPLSVGHYNCLLYLCSSAAAASSPDAAQRGFDIFARMEAQGVTPNEATLTIVARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGAAEVEAHMDASGVVPEEPELAALLGVNSATGRADQVYRLLHRSRVLLRQVGDATARLVESWFASDAASKAGLVDWDATKVKEGVRNGGGGWHGQGWLGKGHWSVGRSVMDKQGTCQRCGERLVCIDIDPSETQNFADSVAQIAIKRDANFRQFQEWLQRHGPFDAVVDAANVLAVRCC >LPERR04G26260.1 pep chromosome:Lperr_V1.4:4:23194188:23209742:-1 gene:LPERR04G26260 transcript:LPERR04G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREETDERKREAISNPPTAPIPPSSSHLEGRKGEGRPSMASPPPFDICGDLDDDPATANPSSPPPLAAPTPNGLNDRLLRLARTHPRGSVQNPNPNPPPPPPQQEPAKVKLPGRRRLCKLSSAADDSDGGDDSIRDILDDLTTRLDSLSVDRPTARPRPRVSPLPCAIDDADSHPSPWNPNPPTVGSHLVNHGTKPPSFVDCEDDESSFVDCQDDDDEGFAYGAGVKEEAVTGKAFKASSSSFGGRGNDDKLKANTMSRKKTVSSASHFGDYDDEDFDQVAQNGKENRVIVENDAEDVEWEKTEDFKMEPTGAGVTHKPYKLPGWIFKMLYPHQREGLRWLWVLHCRGAGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLSHWTNELSVVGLKDKIRDYSGPNANVRNYELTYAFKEGGILLTTYDIVRNNYKMIKGNDADDEEELWNYVILDEGHIIKNPKTQRAQSLLAIPCVHRIVISGTPIQNNLKEMWALFYFCCREVLGEKEDFKARYELPILRGNDKNATNREKHIGSNVAKELRERIKPYFLRRMKSEVSLDSGVGEDKKLAKKNELIVWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKRAAEGVLEGMDAMLNNQEIGMVEKMAMNLADMAHDDDDELQVGQDVSCKLSFIMSLLRNLVDEGHCILIFSQTRKMLNLIQEAIILEGYKFLRIDGTTKISERQRIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKRDLQELFSLPKQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQDIAGVSHHSLLFSKTAILPTLNESDALDSNPPAMPMVKHYSKGTSSDYVANGAAYAMKPKDFTARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPSSSPFEKGPTEPQREEGDDEELLAMVVEGAATTEMEKMKVPRRVLGLLYPYQREGVAWLWSLHCTATGGILADDMGLGKTIQVSALLAGLFHSNLIKMALIVAPNADFAHWVKHLSLVGLQHRIRHYSGASLDDRANQLHCTFKEGGILLTSYDIVRDNYMLLRGNGNCNGVDNEEQTLWDYVILDEGHIVKNPKTKKAQSLFQIPAAHRIVVTGTPFQNNLKEMWALFYFCCPDALGDKDVFKLRYEKPILRGDGKKATDQDKQMASNAAKELRERIKPHILRRMKSDIFVNIGAKDDEKPPQKNELVIWLKLTSCQRQLYEAFLNRDLVHSQTGTLKGLSLEATMILKKICNHPLLLTKRGTGDFLEEMGAMLNNRDICMVERILEDNLYADKRLQIVQDASCKIAFIMPLLRNLVEKCHYVLIFSQTYKMLDVIQDFQEGLEAQILLLTSQVGGLGNTLTKADRVIVVDPAWNPSTDNQSIDRAYRIGQTKDVIVYRLVTCDTIEEKIYKQQIIKAGLFRTATECKEQPQFYKQDLYLENEQECSSLPPQGDESLRKHIQFLKRQGIAGVNYHGVQLSKTVTTTTLDDEGAMNRKVRDLKEMRCYAPREHTYRDTEKESLIAQVKETRGKIDGLEDAMRRITALEEDYAAELDAILGENRSERSRLEKIRVQIDDLHEEYMAGCYEMLERFKRMELADDGKLLAEFDEMVERMRRRCDMDGELVSSQPLLS >LPERR04G26260.2 pep chromosome:Lperr_V1.4:4:23194188:23209742:-1 gene:LPERR04G26260 transcript:LPERR04G26260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREETDERKREAISNPPTAPIPPSSSHLEGRKGEGRPSMASPPPFDICGDLDDDPATANPSSPPPLAAPTPNGLNDRLLRLARTHPRGSVQNPNPNPPPPPPQQEPAKVKLPGRRRLCKLSSAADDSDGGDDSIRDILDDLTTRLDSLSVDRPTARPRPRVSPLPCAIDDADSHPSPWNPNPPTVGSHLVNHGTKPPSFVDCEDDESSFVDCQDDDDEGFAYGAGVKEEAVTGKAFKASSSSFGGRGNDDKLKANTMSRKKTVSSASHFGDYDDEDFDQVAQNGKENRVIVENDAEDVEWEKTEDFKMEPTGAGVTHKPYKLPGWIFKMLYPHQREGLRWLWVLHCRGAGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLSHWTNELSVVGLKDKIRDYSGPNANVRNYELTYAFKEGGILLTTYDIVRNNYKMIKGNDADDEEELWNYVILDEGHIIKNPKTQRAQSLLAIPCVHRIVISGTPIQNNLKEMWALFYFCCREVLGEKEDFKARYELPILRGNDKNATNREKHIGSNVAKELRERIKPYFLRRMKSEVSLDSGVGEDKKLAKKNELIVWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKRAAEGVLEGMDAMLNNQEIGMVEKMAMNLADMAHDDDDELQVGQDVSCKLSFIMSLLRNLVDEGHCILIFSQTRKMLNLIQEAIILEGYKFLRIDGTTKISERQRIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKRDLQELFSLPKQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQDIAGVSHHSLLFSKTAILPTLNESDALDSNPPAMPMVKHYSKGTSSDYVANGAAYAMKPKDFTARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPSSSPFEKGPTEPQREEGDDEELLAMVVEGAATTEMEKMKVPRRVLGLLYPYQREGVAWLWSLHCTATGGILADDMGLGKTIQVSALLAGLFHSNLIKMALIVAPNADFAHWVKHLSLVGLQHRIRHYSGASLDDRANQLHCTFKEGGILLTSYDIVRDNYMLLRGNGNCNGVDNEEQTLWDYVILDEGHIVKNPKTKKAQSLFQIPAAHRIVVTGTPFQNNLKEMWALFYFCCPDALGDKDVFKLRYEKPILRGDGKKATDQDKQMASNAAKELRERIKPHILRRMKSDIFVNIGAKDDEKPPQKNELVIWLKLTSCQRQLYEAFLNRDLVHSQTGTLKGLSLEATMILKKICNHPLLLTKRGTGDFLEEMGAMLNNRDICMVERILEDNLYADKRLQIVQDASCKIAFIMPLLRNLVEKCHYVLIFSQTYKMLDVIQDFQEGLEAQILLLTSQVGGLGNTLTKADRVIVVDPAWNPSTDNQSIDRAYRIGQTKDVIVYRLVTCDTIEEKIYKQQVFSEQLQNAKNNHNFINRMDESLRKHIQFLKRQGIAGVNYHGVQLSKTVTTTTLDDEGAMNRKVRDLKEMRCYAPREHTYRDTEKESLIAQVKETRGKIDGLEDAMRRITALEEDYAAELDAILGENRSERSRLEKIRVQIDDLHEEYMAGCYEMLERFKRMELADDGKLLAEFDEMVERMRRRCDMDGELVSSQPLLS >LPERR04G26270.1 pep chromosome:Lperr_V1.4:4:23223903:23225317:1 gene:LPERR04G26270 transcript:LPERR04G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTELQGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYLEKVKNIVKPGCSREISSVKDVLKVMSAPFSAELPLFNLN >LPERR04G26270.2 pep chromosome:Lperr_V1.4:4:23220902:23225317:1 gene:LPERR04G26270 transcript:LPERR04G26270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTELQGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYLEKVKNIVKPGCSREISSVKDVLKVMSAPFSAELPLFNLN >LPERR04G26270.3 pep chromosome:Lperr_V1.4:4:23224122:23225317:1 gene:LPERR04G26270 transcript:LPERR04G26270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTELQGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYLEKVKNIVKPGCSREISSVKDVLKVMSAPFSAELPLFNLN >LPERR04G26280.1 pep chromosome:Lperr_V1.4:4:23238633:23239154:1 gene:LPERR04G26280 transcript:LPERR04G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGAGAEIGMKKEASMSDVLKPAGAGIRKRKAIPKHAIPCEGSEVLAKKKEAYAAASLQRSKDVPGAMDLWLERQAKAKEEAAAGIGKKKKVFKYKMSDGLVEAMMRRPHKGVKDLSQEELATRSAAYRHIHTFRQFIDGKMNDYEKTLIDQYIEKGYAEDEMEVTDDDEE >LPERR04G26290.1 pep chromosome:Lperr_V1.4:4:23242479:23242915:-1 gene:LPERR04G26290 transcript:LPERR04G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGERETVYVGHFGSLEMIMSSKIFENGYRCKGKSSKQSCRDGVSSCWNKRED >LPERR04G26300.1 pep chromosome:Lperr_V1.4:4:23254312:23256894:1 gene:LPERR04G26300 transcript:LPERR04G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTILPLFLLLPLLNGAAAGDICIVGSGISGSSTAFFLTNYTTALSGSGQLRVFERRAKVGGRLATVTLAGDQFEAGGSIIHPRNLHVRRFADLLGLAAKTGGDDDWLGIWDGRRFVFQTLRPLQPGTSWLRRKLHSFLNSLRLLNRYGLSLLNMDRFVQVMLQRFMLYYNDFDSRPVFHTVDDMLKWSGLFNLTRRTLGEELLDAGLNSRTISELVTVITRINYGQSASISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLKAANATLHLQEGIDSIDYAGDHYVLKSNKGHEYNCEATVVATPLDEVNITFSPPISIPPRKTQHTHATFVRGLLNPKFFGLTSVSDIPKLIGTMELPEIPFSSMAILKKYSEQDMAYKVFSRVKLNDSLLDQIFSARKETIRINWPAYPHYEAPEVFAPIILDGKHLYYVNTFESAASAMETGAVAAENVARLLIARLPLPSRPEAEAALLLPPDDQDQRADL >LPERR04G26310.1 pep chromosome:Lperr_V1.4:4:23257669:23261028:1 gene:LPERR04G26310 transcript:LPERR04G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRLLRGLIPPQLVSMDAAAWLPCSTHLQLQLSHCHFRLTLRWPDAFKLLFALLLVSAALAEVRYIASSSMAPTLRPADRAVSERVTYLFRRPSIGDIVFFKAPTALQNYGVNKDVVFIKRILATPGDFIEVRQGQLIVNGVAQKENYTANHVSYTMEAMGPVLKLCMILQRLPEGHVFVMGDNRNNSCDSRAWGPLPIRNIIGRYVMSFTRSSIQQPR >LPERR04G26310.2 pep chromosome:Lperr_V1.4:4:23257669:23261028:1 gene:LPERR04G26310 transcript:LPERR04G26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRLLRGLIPPQLVSMDAAAWLPCSTHLQLQLSHCHFRLTLRWPDAFKLLFALLLVSAALAEVRYIASSSMAPTLRPADRAVSERVTYLFRRPSIGDIVFFKAPTALQNYGVNKDVVFIKRILATPGDFIEVRQGQLIVNGVAQKENYTANHVSYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIRNIIGRYVMSFTRSSIQQPR >LPERR04G26320.1 pep chromosome:Lperr_V1.4:4:23260522:23262993:-1 gene:LPERR04G26320 transcript:LPERR04G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSSSGLRAGVGGYSYLYEPLWWIPYCRAAHFLNSSLVVVGEVANFAAYAFAPAILSCLFFCSAILAHVMLPEKLHIFGVLGCILCVVGSTTIVLHAPAEPFMCYAAVVLSIVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMGVKALGIALKLTFSGMNQLIYPQTWAFTLVVLSCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTETCGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRSSKQTDEDTEGIPLRSS >LPERR04G26320.2 pep chromosome:Lperr_V1.4:4:23260522:23262993:-1 gene:LPERR04G26320 transcript:LPERR04G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSSSGLRAGVGGYSYLYEPLCAILAHVMLPEKLHIFGVLGCILCVVGSTTIVLHAPAEPFMCYAAVVLSIVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMGVKALGIALKLTFSGMNQLIYPQTWAFTLVVLSCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTETCGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRSSKQTDEDTEGIPLRSS >LPERR04G26330.1 pep chromosome:Lperr_V1.4:4:23263199:23267366:1 gene:LPERR04G26330 transcript:LPERR04G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDAFGSVFGEAKPPPPERGPLLFHAYSHARSLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSAFSDGEVNLLSPSAHPSLGQECEVPCCKILRKMTKNNYFPYRQWNLKKSPSFQQKQHHHGLRANIVFVCYSAARIAIVWIEQEQELLLEDC >LPERR04G26330.2 pep chromosome:Lperr_V1.4:4:23261894:23267366:1 gene:LPERR04G26330 transcript:LPERR04G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDAFGSVFGEAKPPPPERGPLLFHAYSHARSLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSAFSDGEVNLLSPSAHPSLGQECEVPCCKILRKMTKNNYFPYRQWNLKKSPSFQQKQHHHGLRANIVFVCYSAARIAIVWIEQEQELLLEDC >LPERR04G26340.1 pep chromosome:Lperr_V1.4:4:23263519:23264754:-1 gene:LPERR04G26340 transcript:LPERR04G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCICRRMALAMMVDRRGEGTSQDRSIDGGESGMEAARRVLEQAAGDAPAGSLPSGFYDAFVLKGIRVDTAQPGRLLCRFTVPSRLLNSGGFLHGGATASLIHLVASAVFHTAGAGSSSPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVAVVELKKKSGKLIAHARYSNYLAPSSNL >LPERR04G26350.1 pep chromosome:Lperr_V1.4:4:23267547:23269418:-1 gene:LPERR04G26350 transcript:LPERR04G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGGGGCEVEGRGINHHIPIPKRAHRPALMMKIWSRDVEEDDHCISSSTTNCSRVVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLHAAADKSTLLLNGAPATAADLRRVSGYVTQRDVLYPLLTVRETLRFSARLRRAASGSTQSSSDRVVEALMEELSLGRVASTRIKDLSGGERRRVSIGVEAVHSPAVLILDEPTSGLDSASALQIVGALRSMAERRRRTVVLSIHQPGARIVKMFDSVLLLAGGCVLHHGSVDALRSLLASAGLPLPPHVDTVEFAIDSIDALRHQRDRCTLQKLFQEHKESSSSSSSYMDDMDTTNKKKSSSSNSWAREVGVLSQRLWKNVARTRQLFACRTVCMVVAGVALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPILLQERDILAKETSSGAYRVSSYALANALVFLPFQLALALVFAAPLYWMAGLRRTAPAFAYFLLLVWLILYTANSVVLCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPPCWLFMHYLSLFKWPFEALLVNEFSGQGVCVARLMGSCVATGDDLLRREGLAHDCRWRNVAVMLGFVLAYRLLGYAVLRARCSLSLRHRRPTITMHAA >LPERR04G26360.1 pep chromosome:Lperr_V1.4:4:23272669:23275675:-1 gene:LPERR04G26360 transcript:LPERR04G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSTQAQSQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGTKKNIFKQKPPEADAAASGCC >LPERR04G26370.1 pep chromosome:Lperr_V1.4:4:23276525:23283649:-1 gene:LPERR04G26370 transcript:LPERR04G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALNDKEINHTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVNPDKLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVNVIDYTEVSIKWPASKIGLQLLEYLESIPDERVEEMIGRGREIRCLWVYAPDTEPCSAMSAIMWELERKMRRFHQSAETFWLHNRSIVNRDLVEFHQWRTPKGKPRPPGEGEDESEGGREILRLTATGAASLPLLAAARRLFAGQPAAASIRPASHTLLRVILLLPRDVRRHQHRTTQLGFLPSLGAGLPPFALQMTLDTRKDYLCCGCSRRQHSLFLTMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAASECDLYKFAPWDLPEKSSLQSKDREWYFFCPRDKKYSSGSRTNRSTEAGYWKATGKDRPVIYKSQTVGMKRTLVFHLGKPPRGGRTDWVMYEYRLEDKELSASGIKLDACVLCKIFQKSGPGPKIGEQYGAPFNEEDWNEANAEFSSFTLSVPSCALESIIGRSNRAGQQLPVSDNVESSLEPRSEVNDKLTVDGVCPDMSHTTSPGTPFDSIHTQQLAEIISCFSTDILNSAGRDGSLPDSTADYDNDNEVLSDDGEAIFDELDEFYSQSDESISNHCDSCGEDSVQPVLEVLETEQYLELNDLSFSLADDPDPCKLLLTTNLSDQSHLELETRLEQESLVDICNTSTISTAGMAPITIDVNQRWLCRCHFRHVVILLFAVYGSAFYSSSMKAVFRF >LPERR04G26380.1 pep chromosome:Lperr_V1.4:4:23284834:23285430:-1 gene:LPERR04G26380 transcript:LPERR04G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGALVYGNICLLLFLVFAAAAAADKSKGAGPGPKQQQQLVQSTCNSTTYYDVCVAALTSGSDASTGAVDVRGLCAIAVSVAAANASASAASVVGSAAAYQGQTTGALLRACGGRYANAREALVSAQDALKEEAYDDAFVHVSAAAQYPTMCRALFRRPHPAVAYPPELARREEGLRRLCTVVLDIISLLLVTVP >LPERR04G26390.1 pep chromosome:Lperr_V1.4:4:23285627:23286701:1 gene:LPERR04G26390 transcript:LPERR04G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEAPGGNAASGEKIFRTKCAQCHTVERGGAHRQGPNLHGLFGRQSGTTLGYAYSTANKNMAVIWEEATLYDYLHNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >LPERR04G26400.1 pep chromosome:Lperr_V1.4:4:23295273:23299029:1 gene:LPERR04G26400 transcript:LPERR04G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSALATAVSSLLLLLLAAVISVSSSPPAPEDSIRIISAEKRIDLTSSTVKVFLTLKQYLHFYSRLRLFQVENDATGPEVSQVLLAFTPTEAEHLAVVKATRAEGKRKKKMYVPLSVKASDLAATPNGARLYSILLSSPLKPAEVTTLEVFYVLTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQATYIKMPSNRVESFTRVDPISRAGNEVKYGTYNNQLPNSYLPILVHYENNRPFAVVEELVRRVEISHWGNVQITEQYKLKHAGARHKGVFSRLEYQSRPSISGVSSFKNLLAMLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYMNLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKKNIVGEHNVPFQVFYEFNPIFMLAEPLMLMSAVFLFFVACIAYVHMDLSIGKS >LPERR04G26400.2 pep chromosome:Lperr_V1.4:4:23295273:23299263:1 gene:LPERR04G26400 transcript:LPERR04G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSALATAVSSLLLLLLAAVISVSSSPPAPEDSIRIISAEKRIDLTSSTVKVFLTLKQYLHFYSRLRLFQVENDATGPEVSQVLLAFTPTEAEHLAVVKATRAEGKRKKKMYVPLSVKASDLAATPNGARLYSILLSSPLKPAEVTTLEVFYVLTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQATYIKMPSNRVESFTRVDPISRAGNEVKYGTYNNQLPNSYLPILVHYENNRPFAVVEELVRRVEISHWGNVQITEQYKLKHAGARHKGVFSRLEYQSRPSISGVSSFKNLLAMLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYMNLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKKNIVGEHNVPFQVFYEFNPIFMLAEPLMLMSAVFLFFVACIAYVHMDLSIGKS >LPERR04G26410.1 pep chromosome:Lperr_V1.4:4:23299474:23304540:1 gene:LPERR04G26410 transcript:LPERR04G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSSNTERARKALEAMKQLGFSKKESTPVLKSLLRLFGNNWEPIEDECYRALADAILDRHQETAAADDGDAPPTRLPDPEFHQSLAHFAAAAGGGGDDDANDTNKPSSSLHPQSPPSPADDHQHATGPVSPQLFRPQTRASSARLRQDSSFTPHTRPRQMMDEDFQDAAFLREPKPEPDMVDSGNADHPSSQLALVHHPLDASSSRAVLPLTLPSPDQNVPPISAVKKRKIQPCSMVNTGKGSSVESMQEAPCLDIDVASSTMGEVKMSLKCSVDPKFRMPSLDAVFKMVEDKYLRSYKILPPEFSIGGLMNEICQCVVQLGSDHTAEHNTESDIAGNGRSSQNESITGNIPFVKPIACENGGNRKCKSAGEPFIVEDSENSTIANQQTYLALANLKPIHDVTDISKGEERVRISVVNEFTSEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACARETGGEYAYTVEGLVRTTFLDECVSINRFPEKRHKFFCTSSCPLERSKNEASPEPCRGHLGRKFIKECWSKCGCNMQCGNRVVQRGIACNLQVFFTGEGKGWGLRTLDELPKGAFVCEYVGEVLTNTELHERTLQNMNNGRYTYPVHLDAVWGSEGVLKDEEALSLDSTFYGNVGRFINHRCYDANLVEIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFGDGKDPIKAFQCLCGSRYCRGKRGKAAAK >LPERR04G26420.1 pep chromosome:Lperr_V1.4:4:23305802:23308268:-1 gene:LPERR04G26420 transcript:LPERR04G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASSSSSSSTIAASCKLTLRLSYAHCSIGFAPRVRSSWIRAAAEGQGGDQRRRSGQQQLAADGPRVVEVPAAAPVVTGAGGGGGFAARDAELAMWDRLGAVVRLTYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVQGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDTRGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNIFAPMITHGIYSAVVLGHGLWKIHDHRRRLRQRIQEIRREGTNSNTL >LPERR04G26430.1 pep chromosome:Lperr_V1.4:4:23310378:23323353:-1 gene:LPERR04G26430 transcript:LPERR04G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDARSGQCGRGELQAGCGMEEDNIEGELLLVQAELHDIQGQIKALLDRQEELSERESQLKAMLEASRDAITDVPLGAPKDWSGSFSWDSQADDIRFNIFGISSYRPNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIPAYMLASITTKEVEKFVYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPVIALTATATNKVQMDLIEMLHIPRCVKFVSTINRPNLFYKPPPDVHVAATTSDWNDEIPPVMGEMREESEEVGKAVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELCERGILADYYHADMDVIAREKVHMRWSKSKSQVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEVAQDCNGMCDNCTSSIELKDIDATHHTKIIVSLLHDMQLNDQRATLLQLKEEFQHTAYATNAYVALGPLWKPALEGSRPVKLSIAFNSQDRGSGSKRSKHNQMNSLEAKLDDLRRQLSSNNGAIFPHAVLSTQQISLLNRQKPTTVAELEKLIGKVKTEKYGTPIIELMRLHVDSEILGGKTCAAKRQKKDKEDVVCLESSEEDV >LPERR05G00010.1 pep chromosome:Lperr_V1.4:5:5351:5819:1 gene:LPERR05G00010 transcript:LPERR05G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSASAMMQQERDAAESGVQSIWHDAAPSPRMLFWYTTDSLPSEYNLNILGHDTLSFRSRIIRLSLLTYASFILGEISGTNACERSKAEAEPPILEAVESTTA >LPERR05G00030.1 pep chromosome:Lperr_V1.4:5:11275:12240:-1 gene:LPERR05G00030 transcript:LPERR05G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTGVVTVYGSGTNNGAALLEPSAHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKIHVERFAARSE >LPERR05G00040.1 pep chromosome:Lperr_V1.4:5:13417:21023:-1 gene:LPERR05G00040 transcript:LPERR05G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPAPPTAPSQAQGQGASQSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKNLFNGHHELILGFNAFLPKGFAIKVQDLEKKPVDFVEAINFVNKIKARFQQEDHVYKSFLGILNMYRMHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPQPVPVPRGASSRHDDRGPLMPSARNAHSIKRERAYPSTADRDFSIDRPDLEDDPHRRRVEKERDGKVDRDRRDHERDDKDGEYDSKDLDGGQRKRKLARKMDGALAETHQGGVSTSTSPYNDKDALKSTYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFTEFLEQCENIGQTSRIVKPVEKERDKAREVDDRERDREKEREKLDKGSALNSKEGPTHKAITFSSKEKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIDKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQDEWSRCRTDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAGIKEINEKKRKEDDLLLTIAAGNRRPVVPNMSFEYVDPDIHEDLYQIIKYSCGEVCSSSDQVDKVMRIWTTFLEPILGVHPRGHGVEDVKPKSRTTKAGAASVEINNATTNGAAIVKHAHSDDIVPKEQASSSRAILVDGVAVDAQNGLRDAERTVRRDEDPPHTSLDRRLQNTTAAVDVIPAVSAQNISSERSVESSHLSRAEHNHSRANMEAKLGMNASGSTPAGGEVVSEAKGGNEIMGSGGTALPGSFNSKDNKLCPINEYSGSHSHSKVEREEGELSPNGDFGENIGCFEGVSVDEVSKVKEDSARRLLQVRPLEAAEFAGENDADADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHDDEDDMDQDDPDAKAESEGEAAENTDAQDADGGVSLPFSERSHSAVKPLAKHVARALNDNEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTSSPDQYSKFMSVLYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKLQAIASDEMDNKLLQLYIYEKSRSPGRFFDIVYHENARVLHEESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNNKLYDDIFLGRNKRKRGGNNDSQASLEAFDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIKKRRVSSCETIPEKADFVKAYGVKPLYQF >LPERR05G00050.1 pep chromosome:Lperr_V1.4:5:31744:37265:1 gene:LPERR05G00050 transcript:LPERR05G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTRGGGRRRRIRLSRLYSFACGRRPTAVDDESSSRIGGPGFSRVVHAGAAATGGGSNAVSTTKYNAVSFVPKSLFEQFRRVANFYFLISACLAYTDLAPFTSSISAIAPLIIVILATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQIGDIVKVEKDEFFPADLILLSSSYEDDICYVETMNLDGETNLKLKQSLEAISSSLQEDESFNSFTAVIRCEDPNPHLYSFVGNIEIEDQQHPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMEAPSKRSKIERKMDQIMYFLLSSLFLISVISSVVFGIATKDDLQDGRSKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGYFIPISLYISIEIVKLLQAMFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRKGSPLIADTASGSQTGIKGFNFTDERVMNGNWVNQPHSGVIEMFFRLLAVCHTCIPEVDEELGKVSYEAESPDEAAFVVAARELGFTFYQRTQTGVSLHELDPVSRQQVDRSYKVLHVLEFNSARKRMSVIVRTEEGKIILFSKGADSVMLERLSSRDSTYRQVTQEHIDEYADAGLRTLVLAYRQLDEDEYANFDREFSAAKNAVSADRDEMIEEAADLLERELVLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIGITLEQSAEIMAVEKGGDKAAVRKASKEQVVKQIAEGKKQIDASVPGEAFALIIDGKSLTYALEDDAKGAFLELAVGCGSVICCRSSPKQKALVTRLVKESALCLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQPFYNDWALSVYNVLFTSLPVVAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWPRLLGWMAYGVASAVIIFFLTAAALHLQAFRAGGEVADLAVLSGTAYTCMVWAVNAQMVVTANHFTLVQHACIWASVALWYIFLLAYGAITPTFSTNYFMLFTDSLAAAPSYWIITLLVPAAAVLPYFTFAAAKTRFFPDYHNKIQWLQHRGSNADDPDFAHALRQFSARSTGVGVSARRDARDILASSSSYDPSIPPSSAV >LPERR05G00060.1 pep chromosome:Lperr_V1.4:5:37701:41189:-1 gene:LPERR05G00060 transcript:LPERR05G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAPPSSSVALAAAAATPTSSPSPAASSSKPNATPADTTATPPPAASPPPITSPPPPSKASPPPAALAAPPPTNSPPSPPFPKPSRHSPKHPAAQPPLPSPPTPAAPPPAQSPSPSSSSPPSAAPTPRASRTMPSPPPSNRKPLLPTPATAADPANSNNKHPASNKSSNPAGRGGNSSSSNGLSGGSSVVIGVGAVLAILVLSLIGAAIWYTKKRRRDTNGYHAGFTSPTSPLSSQHPPGGSANVGSSLDPSLKTDYSAGSPKLKACISDISMGNSRFFTYEELYQITDGFSAHKLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWQARITIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTRAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPKMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >LPERR05G00070.1 pep chromosome:Lperr_V1.4:5:42743:52628:-1 gene:LPERR05G00070 transcript:LPERR05G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGMRLAAVAVSDSDGGNNNLFQVMRAVEDAEATIRHQLEENSRLKDELMLKTRELDRIRSEATKPSVLAQDRSVETFSTSPQGTSTWFATTSPSLNSRTALPDQHNGLFDHRDQVLQGTLKQKYLDSDHSNGMSRKLSGEQSAADSGVPSHLSTPSSRSLSPTRHHKEGEYDSKLNLAAQGLLPVSETNSSIIWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSSLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTSVPVQSPSHPPGNALVTTSKANLDIVPQQAYSHVQSPMSSPVRSRRDWDLLGNENHQAIPSEIAAVIKEHDNVGTTSPSSSNQTKKDAVAQGTEHDSRAVRFNFEPKNQNPSFKDLVRSDVPENQEGAETHISQEPPAQWGPEGSTNLESGLDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRLLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQQKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILAADGAEYNLKPAENAPRFPMADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKPGTIVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >LPERR05G00080.1 pep chromosome:Lperr_V1.4:5:56081:60864:1 gene:LPERR05G00080 transcript:LPERR05G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPPNPTTTTAPPPSQQDPQQLVFDAPPAAVREDYVGNAVKFLSHPKVRGSPVLYRRSFLEKKGLTNDEIDEAFRRVPDPQPSTTTPSPSPPSQQANSQNLSTLVQQPYAPAQPVTGPAPAGSIVLATQPKFSWYRAFIAAGLLLGFGASAAVFVKKLLLPRLKSWIRKVVAEGDENKGRQLKSKVDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCDSLNHNRESINITREDRFSQYRALEEHVPSAARNGSVNTPWRASQQPNMYGVPNSDFGSGRPSFAPAHNEATPGSFSRSYVEQTSAAHRGDNRSSGSKPWEMQQYSQQRVGYGSNSQLSDDGSYPEAQDNYVGGPSYHQNGKAPDYQAEEPRPSAYGSGVEERPAPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASEAAGETHANGAPSSSSVEEAVNGSDAARTEIEEQLEAI >LPERR05G00080.2 pep chromosome:Lperr_V1.4:5:56081:61116:1 gene:LPERR05G00080 transcript:LPERR05G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPPNPTTTTAPPPSQQDPQQLVFDAPPAAVREDYVGNAVKFLSHPKVRGSPVLYRRSFLEKKGLTNDEIDEAFRRVPDPQPSTTTPSPSPPSQQANSQNLSTLVQQPYAPAQPVTGPAPAGSIVLATQPKFSWYRAFIAAGLLLGFGASAAVFVKKLLLPRLKSWIRKVVAEGDENKGRQLKSKVDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCDSLNHNRESINITREDRFSQYRALEEHVPSAARNGSVNTPWRASQQPNMYGVPNSDFGSGRPSFAPAHNEATPGSFSRSYVEQTSAAHRGDNRSSGSKPWEMQQYSQQRVGYGSNSQLSDDGSYPEAQDNYVGGPSYHQNGKAPDYQAEEPRPSAYGSGVEERPAPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASEAAGETHANGAPSSSSVEEAVNGSDAARTEIEEQLEAI >LPERR05G00090.1 pep chromosome:Lperr_V1.4:5:60034:60733:-1 gene:LPERR05G00090 transcript:LPERR05G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEKDKGEKLEEDANMAAKRARKRSRYLSPPYTNNDNNNVVVADMLSALHAAAVLDMDAANAAAGHDLDLLRRFFTLHISSSSSSSSSTRVVTTADAELNPSSSNTQKEKGKETETNPKNRSKKKNATAAPAPTSCRRLPLTDVRKNLEKMISSLLPRSSASSSTASHATHGAKLALAGEMRGLLAKVDKMLSTTSTAARRH >LPERR05G00100.1 pep chromosome:Lperr_V1.4:5:61856:63265:-1 gene:LPERR05G00100 transcript:LPERR05G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLCSSSTARPAPLLRTSSLGFATSQLAGLTLRGLSTASTTAKLHPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >LPERR05G00110.1 pep chromosome:Lperr_V1.4:5:65342:67506:-1 gene:LPERR05G00110 transcript:LPERR05G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWVALVLLLILVASWSWWKMISSSSSCKSRRRSNCEQGDDEAAFPPAGAGAGAGWWWEWRETAWFVAEHGSGRGFYNFVQARYVGTNGSSSCCFRTALFGRVHVFVSAALPGASRVLASDPPHLAKRYARTAADLLGPHSILCCTSHSRHRLLRRALAPLFSLPSTAAFAASFDRRATARLLLAAPAPAGVLLALDAALEISYGAICEMLVAGDSKLAMLQGDVFAVTQAMLALPLRCLPGSRFRRGLHARKRITAAIRDDIIRTRNAPNDHDLLSVLMQRRQAGHPDALTDEQIEDNILTLIIAGQVTTATAITWMLKYLSDNPQIQDKLRAEALGLGLKGDYPLTLQHLNKMDYAYKAVKESLRMATIVSWFPRVALKDCQVAGFHIQKDWIINVDARALHYDPAVFHNPTVFDPSRFNDDEEGEEDGVKKGSAQQRRLLVFGAGGRTCLGMNLAKIMMLIFLHRLVTNFRWEMADDDPSLEKWAMFPRLKNGCPIVLTPINHS >LPERR05G00120.1 pep chromosome:Lperr_V1.4:5:72003:72875:-1 gene:LPERR05G00120 transcript:LPERR05G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQVAQSNRMTSSGSWNPIGTNKNIFDVGNHEVPIAVKRVFVFYLGHLPLTTKTSWVMHEYCLTNPPRVAVPSSSIDPIPSEEMVLCKISNKDLPEPPILHRDILQFPPSGLYDVAYSPILDLEPLEMEYLDVDIGDIDDDVTTDDSSDLDEEDINQNTTTT >LPERR05G00130.1 pep chromosome:Lperr_V1.4:5:75012:78787:1 gene:LPERR05G00130 transcript:LPERR05G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQDYLSRSKSNKNFELVMKDDIPQGAAKDSPVPPDSSHDVEEEYVRSSGLLSYLTCLEDADVTRKGGGSLEVE >LPERR05G00140.1 pep chromosome:Lperr_V1.4:5:79559:80311:1 gene:LPERR05G00140 transcript:LPERR05G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDVETTAAGAEILEFGAIVVCPRKLVEVESYHTLIRPSDLASVSKRFADSGEDVASAPAFGEVAERIFGVLDGRVWAGHNIRRFDCHRIRDAFAGIGRAPPEAVAVVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQRHRSLDDARMNLEVLKHCATVLLLESTLPPGILSFGQGAVTRSRTTSTPPPPAAAVASTVRKRKPQPRAGGSSCKRDSVGRPKAAAAIATPTAKPCFHMILRHSRTILR >LPERR05G00150.1 pep chromosome:Lperr_V1.4:5:81588:82608:-1 gene:LPERR05G00150 transcript:LPERR05G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYPTSFSTVAGRVDPMPDRRSRFWQMDSQPAELICPMPRRASPRPPFLPDCINRTLPVYISDPASDVLDLILSKNDPDVDTDSSSQSAGRVEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >LPERR05G00150.2 pep chromosome:Lperr_V1.4:5:81588:82608:-1 gene:LPERR05G00150 transcript:LPERR05G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYPTSFSTVAGRVDPMPDRRSRFWQMDSQPAELICPMPRRASPRPPFLPDCINRTLPVYISDPASDVLDLILSKNDPDVDTDSSSQVGFFCGSPPVRANNPVIHDPQFGKNIPSFSSLGSPFGKKSAGRVEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >LPERR05G00160.1 pep chromosome:Lperr_V1.4:5:82626:83905:-1 gene:LPERR05G00160 transcript:LPERR05G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTLGAAGAAVLALICFDLLLVLVVAGGGAAQRWKNKYARSGQDQASLRPTPTSCKSPSLLASDLLSIHPSRRKIERRPVSATVHT >LPERR05G00160.2 pep chromosome:Lperr_V1.4:5:82621:83905:-1 gene:LPERR05G00160 transcript:LPERR05G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTLGAAGAAVLALICFDLLLVLVVAGGGAAQRWKNKYARSGQDQASLRPTPTSCLRYSTYIT >LPERR05G00170.1 pep chromosome:Lperr_V1.4:5:87437:94573:1 gene:LPERR05G00170 transcript:LPERR05G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCHAAAPSEVDLLLCSTCATPWHSPCLSKPPALADAAAWSCPDCSGDPAPAPAGGGAGGQLVAAIRAIECDSTLSDQEKARRRQELLGGKAPAASDADDDDGDDVFDVLGKNISCVFCMKLPERPVTTPCGHNFCLKCFQKWINNEKRTCGKCRAHIPQKMAEQPRINSALVSVIRMAKITKNTTSVSAAAQPYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPIGPENDPRRNIGVLVGDSWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSKDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKISVQGTFKVCRYLFVRCDNEPAPWTSDLYGDRPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISKKPHLSGDPETDKQIRRVARTAQMSVADRLLKEFGCSICKKVMNEPLTTPCAHNFCKTCLLGVFGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIETLQRKSAEEGDIMKTSSDGTNGAESSGDDGNNDALEKEEDDISLNEEDNGPGDEKTGSLNVDSDANDDAKVVKAVVESKKEGPKKSKEEDGIAVEKKQAGKQDSEKGENKQEGGKQARKRKGDAGTVATNGGKRVKTGAAAGDATETAMEVDAPLGGGTPVKRSRKSGEVDAKGSPVVSTPRRMTRSSAKAGGEADGSPATRTRSARAADTDCL >LPERR05G00180.1 pep chromosome:Lperr_V1.4:5:92966:98869:-1 gene:LPERR05G00180 transcript:LPERR05G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFAPASDSSSSFFSQDLVPTERQVGFWNSESMVDQKGSKSVFASPLEKIHPNGENHAGGLETPVGQAFKSLDMLSLSNLMGQENASNSPSISWGEILTNPISRLGLSTRERAFIEQATVDQHMPCYGKGLSSSSLPEVFNGKSRETARGILCQSAGTNTSICEGDEPLESMEELEAQTIGDLLPDDDDLISGVMDGFEFTGLSTNEDDADEDIFCTGGGMELENNDSIKGDKIQNGSFKSQYSAGQSNRQPSRTLVVRNVAGNIEDSDLTVLFQQYGEIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSIPKENVPNKDNDKGILVVSNIDSSITNGDLLQILSVYGDVKEISSSPICGSKKFVEFYDVRAAEEALHDLNKGDIPGPKFKLELSQHGEARSCLRQQRSTEWKQVNSPHQPKNSSPGTIGKLGAKYQENRTLHNLFSPVNPQLESPTQCISTSGSQMLSSPIRIKSTLQRNSQAAIGDLSGSHGQGNFGQGIQTLHPRSLPEHNSSICNSSKSMTLSVRNASSRQDGVDNNIQKVGSAGFCSHSFDQNHEAFGFTEIGSCPLHGRHYTWSHTNVFPQSPSAPILWSNLQHPMRMHSYAGVPPHMLNTGTHPMEQHHLGSAPDNGGGFGSVHSFHPGSLGSVGLHGSPQLYPSEFSVFASNRGNFREPMFSPVGTGFPSSLQQMCHTINGRNPMIHVSASYDATNDRMRNRRHDGNAAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNYVRSRAGRNRSLISLDSRDGIPSTSPNQESNSIGTANSTCGPTLEQT >LPERR05G00190.1 pep chromosome:Lperr_V1.4:5:101589:105910:1 gene:LPERR05G00190 transcript:LPERR05G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGSKKNQEPPPSIQDATDRISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRILKQRRMYEGQRDMLYNQTYNLDQVAFASEGIKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESELDSELNLPAAPTGHSTTPNRQQEDELGLPTVPQASIRS >LPERR05G00200.1 pep chromosome:Lperr_V1.4:5:108302:109709:-1 gene:LPERR05G00200 transcript:LPERR05G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSGQHEHEQQLHQQSSSSLHPYCFLSAPDPLPPLPVQAAIPVMVAYDSSSAISFDTNSMSMEYSPMVMQLQESSPLSFEDAATGDKKWMPAGIQGSCTCSLGSSQDMDGSWGKSRKHRRSNVGVRGLQEKKARRVVVHGHGDVKAKEAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPMMYELGPGIDMYPDILPQVK >LPERR05G00210.1 pep chromosome:Lperr_V1.4:5:110756:112165:-1 gene:LPERR05G00210 transcript:LPERR05G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRSLPILLLLLLVATAAAAAAQDAPFVVAHKKVALSRPGPGVERLAITLDLYNQGSATAYDVSLNDDSWPPEAFQLVSGTTSKIVDKLDPGATASHNFVLETKVQGRFQGSPATVRYRVPTKAALQEAYSTPMFPLDILAERPPQQNKTFPDSYVSMCLLLVLVHDFGISNSCTSPFQAKRLVGKYGSLVSVVSFVGVFIYLVASPSKSSAAKGSKKRR >LPERR05G00210.2 pep chromosome:Lperr_V1.4:5:110756:112165:-1 gene:LPERR05G00210 transcript:LPERR05G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRSLPILLLLLLVATAAAAAAQDAPFVVAHKKVALSRPGPGVERLAITLDLYNQGSATAYDVSLNDDSWPPEAFQLVSGTTSKIVDKLDPGATASHNFVLETKVQGRFQGSPATVRYRVPTKAALQEAYSTPMFPLDILAERPPQQKFEWRLVGKYGSLVSVVSFVGVFIYLVASPSKSSAAKGSKKRR >LPERR05G00210.3 pep chromosome:Lperr_V1.4:5:110756:112165:-1 gene:LPERR05G00210 transcript:LPERR05G00210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRSLPILLLLLLVATAAAAAAQDAPFVVAHKKVALSRPGPGVERLAITLDLYNQGSATAYDVSLNDDSWPPEAFQLVSGTTSKIVDKLDPSVQLPNSFYAQFCPCRLTILFLLASSGATASHNFVLETKVQGRFQGSPATVRYRVPTKAALQEAYSTPMFPLDILAERPPQQNKTFPDSYVSMCLLLVLVHDFGISNSCTSPFQAKRLVGKYGSLVSVVSFVGVFIYLVASPSKSSAAKGSKKRR >LPERR05G00220.1 pep chromosome:Lperr_V1.4:5:113129:118206:1 gene:LPERR05G00220 transcript:LPERR05G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSFAALAIARPAAASGQRALIASSKSPSPLLSLRAARLPSPAFFAPSAPSAVQSRARFVTSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGLDIVRMIESQETDRGDRPKKKVVISECGELPVV >LPERR05G00220.2 pep chromosome:Lperr_V1.4:5:113129:118879:1 gene:LPERR05G00220 transcript:LPERR05G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSFAALAIARPAAASGQRALIASSKSPSPLLSLRAARLPSPAFFAPSAPSAVQSRARFVTSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGLDIVRMIESQETDRGDRPKKKVVISECGELPVV >LPERR05G00230.1 pep chromosome:Lperr_V1.4:5:119711:119920:1 gene:LPERR05G00230 transcript:LPERR05G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLLQLPGKHHFVEFGNLHTSAMSILVHAIIYFALITLFVIVIGVHITTG >LPERR05G00240.1 pep chromosome:Lperr_V1.4:5:121831:122385:-1 gene:LPERR05G00240 transcript:LPERR05G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKVKKKTRKPKPKKIVKQHQEEEPQDKSREIPASEPKGITAPPGWPLQPPMYLPLTPAPPPPPPAIAELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTDERASCLQCYMSNAQDPLKCAEAVKRFEACVRMARQRGNAKVAQ >LPERR05G00250.1 pep chromosome:Lperr_V1.4:5:124422:132276:-1 gene:LPERR05G00250 transcript:LPERR05G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNIAIVVGSGLLGSIFIGDDAKLPSAGEVLSGAAKFVKKHGNEGGKDSSSKGDAHTAQLLSQVNSLRQEIQSLGSRPVTVVTNAARSGPGTFTLTAVVVAGVVGFAYIKWKGWKLSDMMFVTKRGLADACNTVGSQLDNVSADVTKAQTFLEGRIKRVDISLDETQEIIEGTRDEVAVIHGDLSAFKDDLDSVNRVVQTLGSKLVSLENTQVPAAPVPPAIGSSERIVRRVASLPQTPALPALPTATPSPEPSPRAEVASQEQQQGFVRRTSSAIEGSGRLQEQRGAVSRTSSTREGSPEFSDGATSSTGASTSTSTSASGRNASTSRFGGLKLPGLGFLTSSTKLGAALDEEGKGAAAVAAAVKDANNRTALHFAAREGRTDVCDFLISDLGLPVDPKDDDGNLDLMKLILSKGVDVESESDAGTPLIWAAGHGQQEAVKLLLQHNAKPNTETDDGITPLLSAVAAGSLPCLEVLIQAGADPNIRAGGATPLHIAADGGNVEIINCLLKAGSDPNACDDDGLKPIQVAALRNNHEVVELLLPLTSPIPGVSNWNIDGIIEYTKSVEEKAQGNEATTQKADRLQKPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENSELVSAFSEMNWTRIAGKPLKPGGNSMGRTSKRQTRQMMQRQCD >LPERR05G00260.1 pep chromosome:Lperr_V1.4:5:133925:134677:-1 gene:LPERR05G00260 transcript:LPERR05G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIVVEAWKKRKEGDLWNGPNKVGPTCEQQLQEKPIEIPSVPNERTRASPPPPADKGRRSPRRGFGSLAPKTKNMVVAGGLSAFVLGVYYYTMKAVGGTDELQVAIDKFQDLKKKDAGDSSTAGS >LPERR05G00270.1 pep chromosome:Lperr_V1.4:5:138650:141565:1 gene:LPERR05G00270 transcript:LPERR05G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSNPSTEFLYLGTLATPPQPPPTTTSRDLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPEPMAAHAWNPFVPVPPQAAPISEDDGEASSPSEKSMRKTRPLHSDKLKPGSLHMKDEMINLYLNNSTMATAMPREVCLLGAPRCHGIGMLVGALGVSKEQVREAILEGNAHGLGVEALRMLTQMTLTNEEEIKLKYFKYDLSTKLCPVEAFLKAVLDVPFAFKRMDAMLYVANFYLEVNQLRMSYATLEAACQELKSSRLFHKVLESVLNFGNLMNINTGSPNSHAMEPNTLLKIVDVKGADGKAALLQYVVREIVKPEGHSPVCKTKSNTSLQYDVECRKHGLQVVSKLASELSNTKKASCIDMMKLSRDVSELGVGLGKIHDVLRLNSMVGSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFHGDLSSSDEGHMVRVFSGVREFLAMLDRICKEAGEEMKTGGYMGRGDWMAAPMTP >LPERR05G00280.1 pep chromosome:Lperr_V1.4:5:141861:149059:1 gene:LPERR05G00280 transcript:LPERR05G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSPPPSRAALEPFATLDPAALAGLPPSSPLTVRSAAVSAQHLYIGTGGGKLLLFTLQSQPQSPPEFLRLLPIPLLSRPVRRLGSLRNVSAVAASDDRSSLALSVGKKLLLLHLTLNDADELEVQTREIAAVVDAVKALAWVGDSVFVATASGYSLFSTTNTAQGLIDIFTLPESTRPPRVKPLSGGDEIMLLVDNVGVVVGRSGHPIGSSFVFNTAPDCIAEVFPYVVVAGDSKVDVYRRRNGAHLQAIPFARAATGALIVASEDAGTGSDVIVVATAYKVFCYRKVSAVEQIKALLRTKSYGEAISLLKEFEDDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKHYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCASREKELSSAEMEGVDTLLMYLYRALDLVADMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKNHASLSETDSLETSVGKMSGEEIAAIEASKILQASSDQDLVLEHLGWVADIDQELATAILTSETREKQLSPEMVVAAIDPEKVGIHQRYLQWLIEEQGCEEPHYHTSYALSLSKSAMDAVHSESNYGDKNNKEFDSDMQFIYLLREKLQLFLQASDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKEPMFTAAVRLLHNHGESLDPLQVLERLSADMPLQLASNTILRMLRARVHHHRQGQIVHNLSRATNLDAKLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASGRGRNFSNGAIFKQSWLVSR >LPERR05G00280.2 pep chromosome:Lperr_V1.4:5:141861:149059:1 gene:LPERR05G00280 transcript:LPERR05G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSPPPSRAALEPFATLDPAALAGLPPSSPLTVRSAAVSAQHLYIGTGGGKLLLFTLQSQPQSPPEFLRLLPIPLLSRPVRRLGSLRNVSAVAASDDRSSLALSVGKKLLLLHLTLNDADELEVQTREIAAVVDAVKALAWVGDSVFVATASGYSLFSTTNTAQGLIDIFTLPESTRPPRVKPLSGGDEIMLLVDNVGVVVGRSGHPIGSSFVFNTAPDCIAEVFPYVVVAGDSKVDVYRRRNGAHLQAIPFARAATGALIVASEDAGTGSDVIVVATAYKVFCYRKVSAVEQIKALLRTKSYGEAISLLKEFEDDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKHYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCASREKELSSAEMEGVDTLLMYLYRALDLVADMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKNHASLSETDSLETSVGKMSGEEIAAIEASKILQASSDQDLVLEHLGWVADIDQELATAILTSETREKQLSPEMVVAAIDPEKVGIHQRYLQWLIEEQGCEEPHYHTSYALSLSKSAMDAVHSESNYGDKNNKEFDSDMQFIYLLREKLQLFLQASDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKEPMFTAAVRLLHNHGESLDPLQVLERLSADMPLQLASNTILRMLRARVHHHRQGQCYRNQGDSASGRGRNFSNGAIFKQSWLVSR >LPERR05G00290.1 pep chromosome:Lperr_V1.4:5:149421:150440:1 gene:LPERR05G00290 transcript:LPERR05G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMMTQLHLLVLLLFVAAAAKDDDGQRQLQCHSDDEAALLAVDAALGSPYHFASWTPDTSCCDWYDVDCDADSGRVVSLTIFQDANLTGAIPDAIANLTHLRSLLLRHLPSLSGEIPSSLAALTSLTQLTISWTAVSGPIPDFIAELTALESLDLSFNSLTGAIPPSLAGLVNLSGINLSRNRLAGAIPSALFSNLDQQQADVYLWLSHNNLTGAIPPEFAAVSFAHVDVSRNQLTGDASPLFRRGRYVDVSRNGFVFNMSGVVFDEEVTYVDVSHNAIRGGVPAQVANLTSLQMFNVSYNKMCGQIPAGENMARFDAYCYQHNKCLCGAPLANACRH >LPERR05G00300.1 pep chromosome:Lperr_V1.4:5:156163:158984:-1 gene:LPERR05G00300 transcript:LPERR05G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIRFVYKRRLALRPHAKVVDNTKQISSQSVDFQSLPEGLNAHVLYQNIVSRIMSLLTLKQAVQMSMLRKEHAHDIDGWVSFAIASKARAVILDFSPYIGLYENNYSFPCHLFNDRNGSHLKVLRLDTVTLGPTPDFCGFASLKILTLEHVLVLDNFQHFLPKCPALEWLKIRICPQLHNLIVSAPLTRLKYLCVQHCAISKIELHAPNLTTFRYSGGFKVIITLHESLKLKTATIGSPFENNLGYLFTGIPNGLPHVQRLHINVIAIATQIPGFTQPPLKFNNLRHLSMTIAFGADERSGKNAVLQLAYLLEAAPFLMDCVDLTDDPPATDVITDRPHYNLKTACITGFNGNGGQVALVGFILRNAVKLEKMAIDPKGRIIKNEIIGESKGRRIIKSKIVPKDNNGVLVIL >LPERR05G00300.2 pep chromosome:Lperr_V1.4:5:156163:158984:-1 gene:LPERR05G00300 transcript:LPERR05G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIRFVYKRRLALRPHAKVVDNTKQISSQSVDFQSLPEGLNAHVLYQNIVSRIMSLLTLKQAVQMSMVSTIFKRAWIFHPNLYFSTKTMCGSSERKGILSSNWFIDTVNSILRKHSGLGVSKLVVKFELRKEHAHDIDGWVSFAIASKARAVILDFSPYIGLYENNYSFPCHLFNDRNGSHLKVLRLDTVTLGPTPDFCGFASLKILTLEHVLVLDNFQHFLPKCPALEWLKIRICPQLHNLIVSAPLTRLKYLCVQHCAISKIELHAPNLTTFRYSGGFKVIITLHESLKLKTATIGSPFENNLGYLFTGIPNGLPHVQRLHINVIAIATQIPGFTQPPLKFNNLRHLSMTIAFGADERSGKNAVLQLAYLLEAAPFLMDCVDLTDDPPATDVITDRPHYNLKTACITGFNGNGGQVALVGFILRNAVKLEKMAIDPKGRIIKNEIIGESKGRRIIKSKIVPKDNNGVLVIL >LPERR05G00310.1 pep chromosome:Lperr_V1.4:5:161852:166488:1 gene:LPERR05G00310 transcript:LPERR05G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWATIKAQQVCFIGLKSKNSTTTRLASPSPELETKAAEKGCQFPGDMAAPQARPNLDKKMIPKAEGGGASPKRQAGGHSRMARKIVEYVDEEVSKSLVQNKKNGAEVSRLIDQPRRDLQGRHPAIQGSARKMAKKIAKEGIALSALMEWEKKKLHADDLHGTKEMVMEKLKNKLKELDELLKSSTLIGAGSQDGPLAAEAEPEVEAAPGSGTEQDAGAGEAEAAATTRPRRIQKPNVRLSDTEYYLYYSTSATTASAARFDQYSLLPPQQVPLHVDRPFLLLATQVNKYSFSPMTTRTERPN >LPERR05G00310.2 pep chromosome:Lperr_V1.4:5:166498:167841:1 gene:LPERR05G00310 transcript:LPERR05G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAAAVALLLLLAGAGAAAGTAKKKDCDAGDKAALLAIKSALGDPYHFASWTPDASCCDWYDVDCDATTDRVVSLTVFQDASLTGVIPSAVAGLTHLRTLVWHHLPLISGPIPPAIAKLRRLSSLTISWTAVSGPIPSFLGDLHSLKFLDLSFNSLSGVIPPSLAALANLSGIDISRNRLTGDLPPALFSKLDTKQQGPAYLRLSRNNLTGGVPAEFAAVRFEVMDLSRNSLSFDMAGLRLQEGIESLELSHNLMYGGVPAQVAGISSLSYFNVSYNRLCGELPAGAARFDQYSFLHNKCLCGPPLPTPCKSSCRQKQNLSIYTHTNAR >LPERR05G00320.1 pep chromosome:Lperr_V1.4:5:167899:168969:1 gene:LPERR05G00320 transcript:LPERR05G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGVVFLLAAHAVLLLSIAAEATLPPRYPRCNAGDRAALLAVKAALNNASYFQSWTSDIACCHWYGVDCGGDDYDYDPTDSDRVLTLAFLRDDNLTGAIPGDAIAGLTALQDLTFFKVPGITGRIPSSLTKISGTLKSLTISRTGVSGRIPSFIGEKLNSLQSLDLSFNSLSGAIPPSIAALVNLSYIDISRNSLTGKIPPAMLSKVDTIQRGPAILRLSHNNLTGRIPAEFAGVRFMEIDLSRNRLTGDASMLFGEEKKDLVAVYLSRNELSFDMSRLQLPDRFGFLDVSHNAVYGGIPAQMANLTNLQMLNVSYNRMCGQIPAGGNMARFDAYCFQHNKCLCGEPLAPCGGH >LPERR05G00330.1 pep chromosome:Lperr_V1.4:5:170469:172353:-1 gene:LPERR05G00330 transcript:LPERR05G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGSVLLFPSTSSASARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHGESADQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGISGGSTLIHDFYSREVQNPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGYDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFIADTVASMPKLSPAAFDKLFSDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >LPERR05G00340.1 pep chromosome:Lperr_V1.4:5:172439:177939:-1 gene:LPERR05G00340 transcript:LPERR05G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSAADGAIALCPDVAVYWLNRGLCHFKRKDWAKVEEDSRRALALDDTLVKGHYLLGCALLEKVECALAIKEFNKALDLLKTSNLKDKMVEDIWQVLAKAKYLDWEIHSTQRVWKMQSLKEACENALQEHHFLSGTLVGDGATKEYTDQLKLLSEVFTKATVDDTPTDVPDYLCCKITFEIFRDPVITPSGITYERSILLEHLRKGAIHKKSSPNPNLKGTKQ >LPERR05G00350.1 pep chromosome:Lperr_V1.4:5:178410:188590:-1 gene:LPERR05G00350 transcript:LPERR05G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGVPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADCNNAEDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSTTKVVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQKMIGFSNSAMSTLKEAEFFIPDSKESGVVHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEIIYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLDSGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSNNDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGEPSFELTAGGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQNDEHFSNLIMEQKEALKSRANHLIKTLESCGWDVAAGSRGGISMLAKPTAYMGKTFKVDGFEGKLDGCNIREAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKASQINGN >LPERR05G00360.1 pep chromosome:Lperr_V1.4:5:192780:194819:1 gene:LPERR05G00360 transcript:LPERR05G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >LPERR05G00370.1 pep chromosome:Lperr_V1.4:5:196652:202235:1 gene:LPERR05G00370 transcript:LPERR05G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAQKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEAGGGAAGSVKEGKTINVAAGDANSEKKQCCST >LPERR05G00380.1 pep chromosome:Lperr_V1.4:5:197178:202674:-1 gene:LPERR05G00380 transcript:LPERR05G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVESKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSEIKKRMGTQPAVERKPTVHNSLRVKRERRLDLPTPESPMSTTLNR >LPERR05G00380.2 pep chromosome:Lperr_V1.4:5:199701:202674:-1 gene:LPERR05G00380 transcript:LPERR05G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVESKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSEIKKRMGTQPAVERKPTVHVHLRGQPIQQNSTSSSCCSS >LPERR05G00390.1 pep chromosome:Lperr_V1.4:5:204399:213910:1 gene:LPERR05G00390 transcript:LPERR05G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDLNAHQMAVFRLGQRVHAAGDPARVGTVRYVGPVEGHTGDWVGIDWDAGAGGRHDGSLAGRRYFVAAGERSASFARPTALSAGITLPDAIRARYRVDDFTQEEQDEMYVFSTSQKRVSVELVGKNKVQEKLKNLCELTSASVSYTGVSSIGPRDELTNLVPNLRQLDLTGNLLSQWKDIFSLCEALASLEVLDLTNNTMEDDFTKSSSIKNIHVLVLNYCGVTWELVEKMKVPFSCLNELHLMTNRIKIIMTPVGNIVQGFDTLRLLNLEDNHIDSWDEILKLSYLRSLEQLHLNKNMLKHITYPSNPPLVGSLGDAAVVPFEKLRVLLLGSNQIDELLSIDSLNFFPSLTDVRLSDNPIADPAKGGVPRFVLIARLGKVKVLNGSEVSLRERREAEIRYVRLVMGKAESHDPEEIKRLHPRFAELKAFHGIEDEKPISRIAGPRKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDIKLRLYVEEEGCPLPQFLEEDTASLMELGIGTGSTIIVDEES >LPERR05G00390.2 pep chromosome:Lperr_V1.4:5:204444:213910:1 gene:LPERR05G00390 transcript:LPERR05G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFSTSQKRVSVELVGKNKVQEKLKNLCELTSASVSYTGVSSIGPRDELTNLVPNLRQLDLTGNLLSQWKDIFSLCEALASLEVLDLTNNTMEDDFTKSSSIKNIHVLVLNYCGVTWELVEKMKVPFSCLNELHLMTNRIKIIMTPVGNIVQGFDTLRLLNLEDNHIDSWDEILKLSYLRSLEQLHLNKNMLKHITYPSNPPLVGSLGDAAVVPFEKLRVLLLGSNQIDELLSIDSLNFFPSLTDVRLSDNPIADPAKGGVPRFVLIARLGKVKVLNGSEVSLRERREAEIRYVRLVMGKAESHDPEEIKRLHPRFAELKAFHGIEDEKPISRIAGPRKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDIKLRLYVEEEGCPLPQFLEEDTASLMELGIGTGSTIIVDEES >LPERR05G00400.1 pep chromosome:Lperr_V1.4:5:215356:220936:-1 gene:LPERR05G00400 transcript:LPERR05G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIRNDMGVQRGPISSYWPWDGMKCENDTHFLPHSAGDGMKYRKDNHFLSHVNRGASNLDYQEQPSKSNYIADLESYGYSKEARTQGRVYHRQQPYLSPSSCSWEKSSSLNVVPSSPDATGHVVGEMRTKTNIFSARSNYISYSTDVPHMRRYPDEVKDLSPDDNSEQYRRSDRFTAFSNCNGQSSEHLEAAKGANYMDEITPISRQWCFDNRGPSLPSGLEYGNEIPSLSSKMCNGSLAPSYSSIWDYGAETSSLRQCYGDEIPSLSHHWHYRDKIPLHRGQWCHDAETHQLASYQQGASHGNGRLRENISRGDRNEQFKIITSRRAMTKPRVANRVLSSTDHSRISKDNPWRNSEDIRDQVRGPRANNSNYSSTPSTNNNTMPPLVCRDQINRPEFTVQYDHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKKCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGRVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRRAQKGRNFESTNQERLCDDFGSLVRLSI >LPERR05G00400.2 pep chromosome:Lperr_V1.4:5:215356:220936:-1 gene:LPERR05G00400 transcript:LPERR05G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIRNDMGVQRGDGMKCENDTHFLPHSAGDGMKYRKDNHFLSHVNRGASNLDYQEQPSKSNYIADLESYGYSKEARTQGRVYHRQQPYLSPSSCSWEKSSSLNVVPSSPDATGHVVGEMRTKTNIFSARSNYISYSTDVPHMRRYPDEVKDLSPDDNSEQYRRSDRFTAFSNCNGQSSEHLEAAKGANYMDEITPISRQWCFDNRGPSLPSGLEYGNEIPSLSSKMCNGSLAPSYSSIWDYGAETSSLRQCYGDEIPSLSHHWHYRDKIPLHRGQWCHDAETHQLASYQQGASHGNGRLRENISRGDRNEQFKIITSRRAMTKPRVANRVLSSTDHSRISKDNPWRNSEDIRDQVRGPRANNSNYSSTPSTNNNTMPPLVCRDQINRPEFTVQYDHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKKCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGRVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRRAQKGRNFESTNQERLCDDFGSLVRLSI >LPERR05G00410.1 pep chromosome:Lperr_V1.4:5:223332:224739:1 gene:LPERR05G00410 transcript:LPERR05G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASKCSFCVDSIMEISLITFRATGPAAAAFTAPKRRMPPVMASKSGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >LPERR05G00410.2 pep chromosome:Lperr_V1.4:5:223484:224739:1 gene:LPERR05G00410 transcript:LPERR05G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLITFRATGPAAAAFTAPKRRMPPVMASKSGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >LPERR05G00420.1 pep chromosome:Lperr_V1.4:5:225486:229379:1 gene:LPERR05G00420 transcript:LPERR05G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSRRRRSPSLSSSSSATSYSRSPASTFSSSSPSRSLPTRSPSPLPSPPSPPRKASPGPDSTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKNRSDAEKALLYLDGGQIDGNVVKVRFALTPQQRANASPKARRPSPKGDVVDYNKVGPSAEKAAKQRPREPSPQRKPAPSPRRRSPPSRRVESPRRRPDSPPIRRRTDPSPIRRGGSPPSRRPGSPIRRRSPSPPPRRPRSPRRLSPRRDRGSPIRRRSPLTRRRLTPPRRMWSPPRRQQPLRRRSRSPIRRPIRSPSRSISPRRGRGPPVRRGRSSSSYSDSPTPPRRGPRRVLRSRSPRRPLRGRSASSYSHSSSSSASPIRS >LPERR05G00430.1 pep chromosome:Lperr_V1.4:5:230004:232565:-1 gene:LPERR05G00430 transcript:LPERR05G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAPGSSGGYYYYPPPREPQHKPLRRPPPRPAARWIKHWIPQDLATSSGKCSLFKWVREDVYKNIKDRTAAPEPEAVKSEPTTEILFLCSYENCGKTFVDVGALRKHAHVHNDRQYVCQEPGCGKKFVDSSKLKRHNLIHTGQKDFICPYPGCGKAFSLDFNLRSHTKTHALENYHVCPFPACGKRFTSDSKLKSHIKGHEKTGTPITPQYVPSSDKPQSSTKPTTQAATKPTTPAVTKPTTPSPATFAERPYVCPYDGCGKAYIHGYKLNLHFKTQHPEHGQEDSGKIAAPASEHAVNDRANQYNSYAEIGDLAPNPKRSKTSSGHKTPSSKAYNVKISSVSPADISGVKNQWPGKYEDDSEETEEDQGNNNMEDGWRYGSQNADDEETEYED >LPERR05G00440.1 pep chromosome:Lperr_V1.4:5:234708:236471:-1 gene:LPERR05G00440 transcript:LPERR05G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >LPERR05G00450.1 pep chromosome:Lperr_V1.4:5:238113:239995:-1 gene:LPERR05G00450 transcript:LPERR05G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDMTPRVDHNINDGAFFLPLVADFGAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMSGESLEKHLFKRPPFWKRVTRQTMGSWAWRRRTWPSCPRSPLAAVRQKTSKLVHIKLELSATRLSGGVGYKLRYTPRRQLRAPRSMVASRHSSAR >LPERR05G00460.1 pep chromosome:Lperr_V1.4:5:251120:258945:1 gene:LPERR05G00460 transcript:LPERR05G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPPMSLRLFTKLFMYALLGNTISMNMYNISLKYTSATVGSATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLGGVLASLLKEYPNKLLATLLQCGLSTIQSLLLAAAVVRGDAAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLGEIVHLGSILGGILLVAGLYSVLWGKSKEQHISLQLTLAAAAGSTGGEEEKQQQQQQGKSVSPEQQESEMEEKKPYVIAVMIQVIYAGMFVVTKAAFDNGFNTFVFVFYRQAAATLLLLPLALLLERTNVRSMSFMLLVKLFFCAFIGNTFSLNLYNVSMKVTSATVASAASNSLPVITFFLALITRMESAKVRRLSGMAKIAGVLLCFAGVMVLALYKGPALNPINHHHLAVFTGKRSNTTTSSSRGQWMRGIFLMVVASATWSVWIVLQAAVLKEFPNKMLLTSTQCVFSTLQTFAVAAVAERDLSSWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMFSPLCLILTIFCSSFFLADIVHLGSIIGGILLVGGLYSVLWGKSIEMNNNNVASTNGESQQIIPSTSSTSEMILPVDKTISAHEQDRESTPTTKSLLQQV >LPERR05G00470.1 pep chromosome:Lperr_V1.4:5:260555:264792:1 gene:LPERR05G00470 transcript:LPERR05G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTRRCFHSTASALSKSTPHIRFAVREKRADAKSALKNILLNGGPCQESSNKQKRQQKGSGKSKLTNSSHGKNPNKKGKGAQKWRNFDEDDCSDTPYGNFGGKRSFTWYWPGENDESGSPSGFQWRDESQSTKSRERVWNESDVDEEEPCYNNLLSHRISLGLPPSGPLELDHIKSAFRASALRWHPDKHQGASQAEAEEKFRRCMEAYKALAGAFKPSG >LPERR05G00480.1 pep chromosome:Lperr_V1.4:5:261503:266649:-1 gene:LPERR05G00480 transcript:LPERR05G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSICEHACVHF >LPERR05G00490.1 pep chromosome:Lperr_V1.4:5:270323:276047:1 gene:LPERR05G00490 transcript:LPERR05G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGASSDVLRASISSAPSTSSHGSAHDDCDSLGDVYVWGEVFCENSIRVGSDTVIRSTDKTDFLLPKPLESRLVLDVYHVDCGVRHASLVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNIGLLGHGTDAGHWIPKRISGALEGLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNTASISCPREVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDRESRLKPTCVASLIDYDFYRVACGHSLTVGLTTSGKVLSMGNSVYGQLGNPHSDGRLPCLVEDRIASEHVLQVACGSYHVAVLTNRSEVFTWGKGANGRLGHGDTEDRKVPTLVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDHCFSKLKNASDSDSFNKRKYIGSHLAGESNGDTKALKTILPGNMDIIRSLDSKAARQGKRTDALSFLRTPQVSSLLQLRDIALSGSTDMNRSIPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIERLHAQVDNLRHRCEHQELELHKSAKKVQDAMALVAEESTKSKAAKEVIKSLTAQLKDMAERLPPEQGTYDVSEAKQTHIPNGIDSHIAIYSSTNGIHQPRNELFGASNPHSLNSGRSSHPNGVSSQHRLLGNVSETSEGNAQSHRITSPRKLDVPHRRAHSNSDDMLLTASNRGDDNVSIDAMSLQSGEDGYKPRGTVSISSNQVQAEWIEQYEPGVYITLTTLLDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSSAASTRSAY >LPERR05G00500.1 pep chromosome:Lperr_V1.4:5:276477:278731:-1 gene:LPERR05G00500 transcript:LPERR05G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCHIKTFSSYLQCQAVDPLSDDRLSALPDDCLIDILQRLELRNVAQTTILARRWSHLFWSMTRLKLDITEFMPRKSASSARKKAAALQAWAAVVQARAMSRYTKVIRTLLAPRSADLQQTTIRTMHLRFYPTARYLLSIGRMVDDAVQSARSLFQACPNAFRLVTSLSLWAIRFRDSDIPNLLGSCHQLQHLHLRTCDNGRNSVLKIDAPHSQLRTLKMIFCSYRKVELIHVPKLECVDCDTWMGPNPPVYFGRVPLLHKIRFCSSSHKIIQLPFKLSNWLSTVPTLTALHLDFQDEMVWILPEEPKKLFPLFRNLSDVYLYSISPDCGLDWTLFVLEGAPSIKRLYITISFHICCEDDVKSKVDKTNAVWEASSNSFKHKKLRLLDVGAFEADENMIKYIRLAIQIHLHDKEPCEDCDKTYLKMPSMSRTRFPNNEVEKDILREHLLEGSSSSVEIIIGEY >LPERR05G00510.1 pep chromosome:Lperr_V1.4:5:298596:299309:-1 gene:LPERR05G00510 transcript:LPERR05G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVNAIAKMGALNMEERTLFTVAYRNVMESHYHSLRAFTLTILGAAHRKWTAQYQKKLEKNVRDVLFNGIDLLESYLLPTSEVVEDFVLYHTMKGDMFRLVAEFTSGVTRHQLAQFSLDAYEACMLSPLLAPYEITKIWLFCYANMLLYFECSQSAYEFAKALPSRHPLCIALSINLSSMLHNIFNLPHRYFGVNCSLSGQYLG >LPERR05G00520.1 pep chromosome:Lperr_V1.4:5:302607:303644:1 gene:LPERR05G00520 transcript:LPERR05G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPASMACAAAVALLLSLSSALMASAAASSPPGVGKASSMAVFTPKVVGIGKRNSEFTCEDTRRRRPKCMATCPDRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNTFYFHGKKDRDFCLLSDAGLHINAHFIGRRNPSMSRDFTWIQSLGILFFLDSGAGDRHHHRLRLAARRASRWDAAEDHVDVEFDGDVIDLPRHDGARWSSPAVSVTRTAAANGVVVELRGVFRIVAAAVPVTEEESRVHGYGVVDGEDVAVHLDLGFKFEGVTDDVHGVLGQTYRSDYVNRLNVTSNMPVMGGADKFLSSGLFATDCKVARFGGAKAAGIAMITDAKYV >LPERR05G00530.1 pep chromosome:Lperr_V1.4:5:308799:319768:-1 gene:LPERR05G00530 transcript:LPERR05G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVLLVAGDLSRRPASAEIEIDKILSFACAPAASEMPSLQLLQLTEHGRGLLASRKKTLAVVSGALLAGGALAYARSSHGQRRRRSEDNFGDDASALARNGDGTGQNGVDGRLTGTKRKKSGLRSLHFLAAILLKKIGPNGTRYLVGLMLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSTVLQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVAYILVAGGAIRKFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGGNREAYHIMQRFKALIRHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIHELLDVSRELSGARDKSLNHNSSAGNYISEANHIEFSGVKVVTPANNVLVDDLSLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQETEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTSLETERKSDALTVQRAFMGRAKSNASSRAKEHSYTTKVIAASPKLEIEQTAQTHRVPHLRSFPRPLPPRVVAMVKILVPRLFDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISILQSAANSFVAPSLRTLTAGLALGWRIRLTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLRVAQRDTFVPSDAISAASEEIISFHEVDIVTPSHKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVSSGRVTKPSNGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKISSLHGAGNRSSATDLLDDHLKTILVNVRLVYLLEREGWDSASNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >LPERR05G00540.1 pep chromosome:Lperr_V1.4:5:324073:329541:1 gene:LPERR05G00540 transcript:LPERR05G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEAQFKNEETTEQVGKVKIVACDSKLLSQ >LPERR05G00550.1 pep chromosome:Lperr_V1.4:5:331782:335169:1 gene:LPERR05G00550 transcript:LPERR05G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAHHGFLPAAGRPHLYGHPHYQLSGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSCKPSSAEAADKGSSYEEDSYSEAPSSHCMGRPVPDSSPDLLLSQFICCSIAPPIDSPRGSEVEVDGHVSSSSDDQRKEQGVMDDASKQELEERLQRIEFVKQMLMTTID >LPERR05G00560.1 pep chromosome:Lperr_V1.4:5:334528:341986:-1 gene:LPERR05G00560 transcript:LPERR05G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAVGRSVLRFTNESMRIVMVTIIGVLLGFFIGISFPSVSITKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFRPKYLVTFTVGYAQKENINRAVKKFSDNFAIMLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEQFNAEEYIKLVKKYSLDISQPGLEPDRGLTWQMTKRRGDREVHKFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRIFEKLCENCEGTVQEGMGHVSDKNGGGGEGLLQDDGHHPSKLHTGLERMLADYSSSLPSWIQFCGGARATATAVLLSSSPAPASCLRRRFLSLTVTPYPLYYDLILYRPSHPNPPRPPRSSGDADDHHLTGGDDQSPPPPAQNLDRAKQRYLRKRRSRLLPDPDAKPSSSSSETVELKPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVSDSSSPSDDKALVFFDDDNKREDDDDAVVKKEKEGGDRGEVLERKVEDFFRSLKKGPGAGNGDAKAKAKKATEAPPRESVVEEWPQPHLASRRTELPPTWNGPTGTVVLIDKPKGYFNSILFQQITSFHYWTRIYFNSLLSLQCLSNESGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGRATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKAAASFMGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYKFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDYSVNDAWSFAELEEQITKGYL >LPERR05G00570.1 pep chromosome:Lperr_V1.4:5:345412:348523:-1 gene:LPERR05G00570 transcript:LPERR05G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWKDKWCILKEASRLYGASWDRDIGPDLRPNDYNKAKEEPDINTEEGKSEPTTVEDVLGALRGGAEKAKPVLRRMYMDRASNYTDALKNYVESYKEGLKEHLEEEALGRDLLEKLWGWDMLIPFDYSNLSYKPLYLSYLDKFFSHSNATYAHPDSVALQCLKNEEALMSEWKRVRSYNSSNAECIAKEAALMIGWLRYQSRIPCHVYLASSRIRHVALQLATFEGEGSTHIGAVLLAMAKEAELLLEIVKLNRLEGNTDDLGGKRLQEQQLEDSGENKLQKKRRLEDET >LPERR05G00580.1 pep chromosome:Lperr_V1.4:5:350351:355162:1 gene:LPERR05G00580 transcript:LPERR05G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWKKLAFGKKGGRFRDQPEKKRPFSRGETPVIPINHHPSPPIAAGDLHHDGEMPPTPPPELDTEPEFAEVDPTGRYGRYTEVLGKGAFKTVYPSSHGGLLAFDVSVCDSISGAVSFYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASHRLSAQELLMDPFLRDVDGEKIFYPLQSNMKASGSFAEEHPSDSYIHNNMDPHAAAGRVITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEELVENQGDEGAHSKTDSSEADDEISELRIEPNATHNGFVQEQLPSGHKYWSDSPRRNNEINPKHREHQHIGTSTHTVEDMCERISHLADLSNPSMMDRKSGGASVSTSPLSIDDEHIEADVTERLANLLAQQQEELNVLGRKHKADIEVILKGVPEEHREETLTRCRLKADEKNRSDKP >LPERR05G00580.2 pep chromosome:Lperr_V1.4:5:350351:354738:1 gene:LPERR05G00580 transcript:LPERR05G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWKKLAFGKKGGRFRDQPEKKRPFSRGETPVIPINHHPSPPIAAGDLHHDGEMPPTPPPELDTEPEFAEVDPTGRYGRYTEVLGKGAFKTVYPSSHGGLLAFDVSAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASHRLSAQELLMDPFLRDVDGEKIFYPLQSNMKASGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEELVENQGDEGAHSKTDSSEADDEISELRIEPNATHNGFVQEQLPSGHKYWSDSPRRNNEINPKHREHQHIGTSTHTVEDMCERISHLADLSNPSMMDRKSGGASQEELNVLGRKHKADIEVILKGVPEEHREETLTRCRLKADEKNRSDKP >LPERR05G00580.3 pep chromosome:Lperr_V1.4:5:350351:355364:1 gene:LPERR05G00580 transcript:LPERR05G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWKKLAFGKKGGRFRDQPEKKRPFSRGETPVIPINHHPSPPIAAGDLHHDGEMPPTPPPELDTEPEFAEVDPTGRYGRYTEVLGKGAFKTVYPSSHGGLLAFDVSVCDSISGAVSFYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASHRLSAQELLMDPFLRDVDGEKIFYPLQSNMKASGSFAEEHPSDSYIHNNMDPHAAAGRVITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEELVENQGDEGAHSKTDSSEADDEISELRIEPNATHNGFVQEQLPSGHKYWSDSPRRNNEMSHFGDNMPNGISKSNSADDTVSNPKHREHQHIGTSTHTVEDMCERISHLADLSNPSMMDRKSGGASVSTSPLSIDDEHIEADVTERLANLLAQQQEELNVLGRKHKADIEVILKGVPEEHREETLTRCRLKADEKNRSDKP >LPERR05G00580.4 pep chromosome:Lperr_V1.4:5:350351:355364:1 gene:LPERR05G00580 transcript:LPERR05G00580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWKKLAFGKKGGRFRDQPEKKRPFSRGETPVIPINHHPSPPIAAGDLHHDGEMPPTPPPELDTEPEFAEVDPTGRYGRYTEVLGKGAFKTAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASHRLSAQELLMDPFLRDVDGEKIFYPLQSNMKASGSFAEEHPSDSYIHNNMDPHAAAGRVITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEELVENQGDEGAHSKTDSSEADDEISELRIEPNATHNGFVQEQLPSGHKYWSDSPRRNNEMSHFGDNMPNGISKSNSADDTVSNPKHREHQHIGTSTHTVEDMCERISHLADLSNPSMMDRKSGGASVSTSPLSIDDEHIEADVTERLANLLAQQQEELNVLGRKHKADIEVILKGVPEEHREETLTRCRLKADEKNRSDKP >LPERR05G00590.1 pep chromosome:Lperr_V1.4:5:356688:357563:1 gene:LPERR05G00590 transcript:LPERR05G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQKAAAAACDIAAAATGDEIPVNGHKAGKAVTASVYRAKIAGHSRVVTLSWSRDSLLSGHSFSVSVAGADGSTAECRVDLRPWQFWRRAGSRRLHLAGAGDAIRVTWDLRRARFAGGSPEPRSGYYVAVESAGEVVLVAGDMRRDAAAASDAIAVARREHVFGKRRFAAKARFHDGGEVHDIDIECAGDGGDMEMRIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGMRPALFIFRPIVLSSSSAPAGKMVMDASSSPPPLPTGFCLYLYAWKLD >LPERR05G00600.1 pep chromosome:Lperr_V1.4:5:363627:366097:1 gene:LPERR05G00600 transcript:LPERR05G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLPFAAILLLLLSAAACATARRSDYSIVGYSEEDLSSHDRLIELFEKWVTKYRKAYASFEEKVRRFEVFKENLKHIDEINKKVSSYWLGLNEFADLTHDEFKSTYLGLTPPEPRKKKTTSSEFRFAGDDEVPKEVDWRKKNAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASSGGLHTEEAYPYAMEEGECDQKKDDGDEAAVVTISGYEDVPVNDEEALVKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGEELDHGVTAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRIKKGTGKGEGLCGINKMASYPTKDN >LPERR05G00610.1 pep chromosome:Lperr_V1.4:5:367015:369142:1 gene:LPERR05G00610 transcript:LPERR05G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVYTLEEVTKHNTKDDCWLVIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDTSTIPERTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRFYTKSESA >LPERR05G00610.2 pep chromosome:Lperr_V1.4:5:367030:369142:1 gene:LPERR05G00610 transcript:LPERR05G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVYTLEEVTKHNTKDDCWLVIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDTSTIPERTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRFYTKSESA >LPERR05G00620.1 pep chromosome:Lperr_V1.4:5:374506:375039:-1 gene:LPERR05G00620 transcript:LPERR05G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLWQQHRIVGGVLQGREQSLKPRRPRLPSFLDTPEVKERSARSTELASKYWEHDPKTGISYFTRAVLCDLTRFDLDKEYIL >LPERR05G00630.1 pep chromosome:Lperr_V1.4:5:375097:377216:1 gene:LPERR05G00630 transcript:LPERR05G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNALTHSRSGPYELMLAATPARLRRWVGLRLATLRTGEREREEAVVAAGRQLSPSRGSGWAGAGTLSEARNCDEASGVTAAQDEWQARANKLWKN >LPERR05G00630.2 pep chromosome:Lperr_V1.4:5:375097:377216:1 gene:LPERR05G00630 transcript:LPERR05G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNALTHSRSGPYELMLAATPARLRRWVGLRLATLRTGEREREEAVVAAGRQLSPSRGSGWAGAGTLSEARQTSYGRTETGKERDITRQTRQ >LPERR05G00640.1 pep chromosome:Lperr_V1.4:5:378423:380975:-1 gene:LPERR05G00640 transcript:LPERR05G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQQEEEESSRFGDLPLFVEEEDGDAAAAKQKRREESLKRRPRPTRTYYPDLDDTETMERWERSRVLASKFLDFDPKTGITYYSRVWFLDLTTFDLDQENSIIEEDHEFTNSLNVLSLKILSSDVGYPINLYGTVIARDSLDMKCITIFRRDRNNCQVVQSENEDLILTGPTRGIVSHGAIYFEIDLKIREDEEYLDREFSKGLVDENIYFIKSQPMIVRETFESWLSEVEVVFNCVSKALEGTVEIKILSDPGVFHGKITACTTDVPDDVLLYDSNQGCLTAVGDDRVMQLLRRVMVVSVKDMLVLNIHANGIHQNDNVCSHSLRFNPLTGGYDEEEIICVLYKMRVKVVCTLGLDGEPKAKDDTECQELEPLFYDEATTVAEWAAEEERRRVREEEEVEGAKSL >LPERR05G00650.1 pep chromosome:Lperr_V1.4:5:395982:396197:-1 gene:LPERR05G00650 transcript:LPERR05G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRSAEERKTSWPEVVGMTAEEAKKVILKDMPEADIVVLPAGSVVTTEFRDNRVRIFIDTVADTPRIG >LPERR05G00660.1 pep chromosome:Lperr_V1.4:5:398366:401396:-1 gene:LPERR05G00660 transcript:LPERR05G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEESFDLPLFVVDDDEEKVAKQEKQKRREQSLKPRRPRLPSFLDTPEVKERAARSTELGSKYWEHDPKTGISYYTRAVFRDFTRFDLDKETQYGPMRFTDSIIREDHRLTSSLNVLHLTVKSSDVGYPVNLYGTVIVRHGLDFNCIMIFQRNRNDCQVLRSENENIILTGPTRGIVFHGGIFIEINLKIKENEQCNDKEFSKGLLEMKIYRIQSKIVSETLVSRLSEVDLVSAYVKEALEGTVEIKVLSGPEVFHGKITACTTEVPNDIVLYDGDVVGATAVGDDRVMQLLRRVVVVSVNEILILNIHAHNIYQNDNVSSGSLRFTPFTRGADGQETICGLYKMRLKVVWSTLMMH >LPERR05G00670.1 pep chromosome:Lperr_V1.4:5:401318:409572:1 gene:LPERR05G00670 transcript:LPERR05G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPYPCPAVMAYCRRKAMAADESNDEETEIEEDKAVKAQEDIQLLLHPSQRRVDTIDRKCVYLFRRHRDDCQLINSKDDSLILTGPTRGLVLVSPIYFEVDLRIKDERLRGKKKEHSRGLFLIGGIQSRRNKVKNKVESETFDGKLGTVEMKYAVVEEAVEATVEIKVLEGYFHGEVAACTTNIQDRFVLLDSRTCCVMADNHDLQLSRRVIAVHYKEKLLLTFVDQDDIVSSSSVTQTIVFTPNINGSEVTQVTCGSVKMVVKVTWSLMVARRNWVPDAEQEDDDSCCLGLEPFFYDEAETAAEERRRARVREQQAKEDKAQKAFRKRITRFDPKRGIYYYTRYFFADPLTFDLNEESPLAPMRYTDRIYNENQEIPLPKCKSVNILSVNILSSDIGFPINVYGSVIARDSLDEKCVYLFRRPRKHCQLINSKDDSLILTGPTRGLVLMGAIYFEVDLRIKDDRLRGKKKEHSKVLLTIDAIQRRRDKGKNGVESETFDGKLGMVEMKYKVVEEAVEATVEIKVLEGYFRGEVTACSTGINDKFVLLDSRTSCVMADNHDVQLSRRVIAANPKEKLLLTIVNQDNIVPTCCVTQTIGFTPNINGSEVTQKMVVKVTWSLMVVRW >LPERR05G00670.2 pep chromosome:Lperr_V1.4:5:401318:409544:1 gene:LPERR05G00670 transcript:LPERR05G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPYPCPAVMAYCRRKAMAADESNDEETEIEEDKAVKAQEDIQLLLHPSQRRVDTIDRKCVYLFRRHRDDCQLINSKDDSLILTGPTRGLVLVSPIYFEVDLRIKDERLRGKKKEHSRGLFLIGGIQSRRNKVKNKVESETFDGKLGTVEMKYAVVEEAVEATVEIKVLEGYFHGEVAACTTNIQDRFVLLDSRTCCVMADNHDLQLSRRVIAVHYKEKLLLTFVDQDDIVSSSSVTQTIVFTPNINGSEVTQVTCGSVKMVVKIE >LPERR05G00680.1 pep chromosome:Lperr_V1.4:5:421067:425764:-1 gene:LPERR05G00680 transcript:LPERR05G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGEMAAAADREMELFRSGSAPPTVEGAMASAAAAARDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSGLGGIGDGRRQPAAAAAAQGDGLVGLPGIDLDRQGSFSSIFQENSYQRDTGKQGADRNSADFMDPSRAQYAVLRETRAVGGLHNDSNVQNLAEAQNNDSSTHAYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNSCGSSSFRRSSSAIGESDHLIAALSGMNLSSSRAASGQTVTQSELYQDVDNARKFLFDRQGDQSNGNQQHSYMKHPEQGHFKASDAYCANSPNSSMIRNQINAANFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGASNFLNYNGIGSPNAASSLQTPIDPAYIQYLQAAEIAAQLAASCEDPVMASGHLGSSYMDLLGPQKAYSSPLLQSQKNCGYYGNLGFGLGYGGSPLMSPVLPSSPAAPGSPLRHGERSMRLQSGMRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPSQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQNAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLSFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQRETILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTTAA >LPERR05G00690.1 pep chromosome:Lperr_V1.4:5:428779:436657:-1 gene:LPERR05G00690 transcript:LPERR05G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRDTSTRRQIKTTAKTSWPEVVGMTVEAAILNDKPDADIVVLPVGSPATKDLRPNRVGIFGTDTMTKTSWPEVVGMTIEAAKAAILKDKPDADIVVLPVGSPMTRDLRPNRVHIFGSDTPPQLAS >LPERR05G00700.1 pep chromosome:Lperr_V1.4:5:437436:437666:-1 gene:LPERR05G00700 transcript:LPERR05G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRSAAPAAEEAKRSWPEVVGKPIEEAKEAILKDKPDADIIVLPVGSPVTRDLRPNRVRIFGADTVAEIPRIG >LPERR05G00710.1 pep chromosome:Lperr_V1.4:5:445147:446331:1 gene:LPERR05G00710 transcript:LPERR05G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSSPTAAAAAAGGAPAPASYWCYSCDRFVRAAPHEDSAVACPDCGGGFLEEMGAPPPRAAYLRRPRAHHANDLRLRRTRRAAAAAAASDRSPFNPVIVLRRSPATAAVAGEDDSLAAATSFELFYDDGAGSGLRPLPETMSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKAAVESMPTVTIAAAHVGAESHCAVCKEQFELGAEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGAEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGVPRRISWGSRQSRSTERSAIRRIFRNVFACFGRSNSSNSQASSSSHSRPEMNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >LPERR05G00720.1 pep chromosome:Lperr_V1.4:5:449142:449501:1 gene:LPERR05G00720 transcript:LPERR05G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTAAVVNAPPVLRRPSMVRTRTSSSRSRRSWRAAAAGEVAAEGNTYLIAGAVAVALVGTAFPILFARKDTCPECDGAGFIRKSGATLRANAARKDQAQIVCPNCNGLGKLGQIDK >LPERR05G00730.1 pep chromosome:Lperr_V1.4:5:454476:458162:1 gene:LPERR05G00730 transcript:LPERR05G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARIGLASPFACSHLRPPCHVSATRRGPRRAGLAVVSAAAGGSPPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKDKIGGADDVFVGDIRDPASIAQAIDGIDALIILTSAVPKMKPGFDPTKGGRPEFYFEDGTYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELIVGKDDEILKTETKTITRADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFKALFSQVNSRF >LPERR05G00740.1 pep chromosome:Lperr_V1.4:5:458521:464645:-1 gene:LPERR05G00740 transcript:LPERR05G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELPSDNQYTITETQQTYDGSSSSSQQQPSLYNYSLSNTQFFSQPATGSRTYLSGEVTSPATYSDKNYLGQTQFQIDAGGNPEYQTIRSNNALYYISQMLMEEVDERVSLHQGEAALQAAEKPFYDILGQVYPPPRNRLPMHSANDPDILDENASSSSSIYHSRLHNSHSNYKMLQPLPTPLSPYSYGRSLFLPNQQLVSTAWTSKVCIPGLQMRRGVEEAKRFVPIIDKFVIDLDTDRLSISKMTTKAKVGDKKRYAIFEVTDQRSNPYIRDLDILEGRSSKRYAITYCEIIRNEVFDRVLLCYGVKNFTEASNLREIMVKETSKNSLNGQNKGSQRKLRGKKQLKKDVVDLRSLLIQCAQAVAADDRILASELVKKIRQHSSADGDSNQRLAFYLVDGLDARLAGIGSQVHRKLMARRISTENMLKAYSLYLSACPFERASFAFANQTILDASKGQQPRKVHIVDFGICTGFQWPSLIQQFANEGSPPKLRITGIDMPQPGFHPCKIIEETGKRLADYANLFKVPFQYQGIASRWETIQIEDLNIDKDEVLIINCMFRMKNLGDETVAMNSARDRVLKTMRMMNPRVFILGIVNGSYSSPFFITRFKEVLFHYSSLFDMIDANVPRDNETRKMLERGFFGKDALNIIACEGAERTERPESYKQWQARCLKAGFKQLPVDPATLKVIIDMKKEIYHEDFVADEDGGWLLQGWKGRVIYAISTWKPNESFSDHIKKKVTRNNHNINQPDANKKATEKPFYDILGKVYPSSKETSDNGSSNYHEHACSGSCSKDLVGSQSAHSITTNWSSEFDCLVLQFRRGVEEAKNFVPNIEKLMDDPEKNAFSACKQTTEATGQKSKHENNIRDHPHVEDLELKEARNSKHLAISISGIIRDEMFDSVLLCNRQLPGEVAHLRGMMAKEAGDNPKKAQRKGYGQGQRKPSSKKKHMEAIDLRVLLIQCAQAIACSNHPFASELLKKIRLHASPHGDGSQRLANCFADGLEARLAGTGSQMYENLMAKQTSTKDMLKAYHLYVVACPFEMVTYYFCNKTIIDVLKGKPALHIIDFGILFGFQWPCLIQRLAKREGGPPKLRITGIDVPQPGFRPHGRIEETGKRLAEYAHMFGVPFQYHGIASRWETICIEDLRIDNDEVLIINCMSRMRKLGDETENIDSARDRVLRMMKRMNPEVFVLGVVNGLYSSPFFLTRFREVLFHYSSLFDMLDTNVPRNHEGRILVEKDLFGNDALNVVACEGAERTERPESYKQWQMRILRAGFEQHPIDHAILKRSVHYKELYHEDFVIDEDSGWLLQGWKGRIMHALSTWKPR >LPERR05G00750.1 pep chromosome:Lperr_V1.4:5:466503:470290:1 gene:LPERR05G00750 transcript:LPERR05G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGSKSPATKKKEEEDKDKEGLFASCSFSDLGLHPTLCAHLQDKMGFQAPTRIQSQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWIVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLRWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEAMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLEEQNSSAHGKNRTSLLSDDEEEILVKHNVTAEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTVLKSLFERQPSHKVVIFLSTCDSVNFHHTVLSQLEWSPGLQLDMDNKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLQLHGASLTEYPLQKVLDSFPVNGQRLLKRKQISLDTHPWIMSLQRALENFVASEDITKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >LPERR05G00760.1 pep chromosome:Lperr_V1.4:5:470664:472245:-1 gene:LPERR05G00760 transcript:LPERR05G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTFQGDICKITTNHSIHTTTRRLFCCATRSHLSAAGRLGNPPAAATAAEMRKTKPSSKQSRGSQAQQQQNGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAVWIALFLAISTGFVYLYYAYLLKIDEEDFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >LPERR05G00770.1 pep chromosome:Lperr_V1.4:5:472918:476262:-1 gene:LPERR05G00770 transcript:LPERR05G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGELGGLKLELQNLEIHAVEALSNKVSRESECQLAKETISSTTAIYEKLKSLVTDNRNKRDQRTTVISNHLEAVEALEAKCKEDETHWKSIEEAVLWYSKFLGFQVVGGEGVKFIFNKVDLENPDKEYSVTLKLDKDRYTLLQCYPSIKDCEELMKDLNLTNDLFKFVRTVRQRFQAEAANVIVLVPLDSRIENVPDKSLSRGKNKTQGRPSKRRAAALSAASPGDVGIR >LPERR05G00780.1 pep chromosome:Lperr_V1.4:5:477329:484042:1 gene:LPERR05G00780 transcript:LPERR05G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPSAPGAGRLVRPRAGLRVRAAAAVAAGAGEGPSCLFVGPIETASQEMLEALYHQARDSYYSGQPLIVDDMFDKVEMKLRVYGSPSVVKYPRCSLKRQLTYADAEEDKSMFMALSSIWMLLLLFGTSAVLVPSLCILSLTFGDAYGARYLLYGAKSFDAITRANDLVLIGLGYLIGYPIASASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHKAECHVCSCPLEYRTKIERSLSGPRRTWVYGRVYLVKQGHPRKRKWLKD >LPERR05G00780.2 pep chromosome:Lperr_V1.4:5:477619:484042:1 gene:LPERR05G00780 transcript:LPERR05G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPSAPGAGRLARDSYYSGQPLIVDDMFDKVEMKLRVYGSPSVVKYPRCSLKRQLTYADAEEDKSMFMALSSIWMLLLLFGTSAVLVPSLCILSLTFGDAYGARYLLYGAKSFDAITRANDLVLIGLGYLIGYPIASASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHKAECHVCSCPLEYRTKIERSLSGPRRTWVYGRVYLVKQGHPRKRKWLKD >LPERR05G00790.1 pep chromosome:Lperr_V1.4:5:481069:483415:-1 gene:LPERR05G00790 transcript:LPERR05G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDSPCRSASSPSGGTSKFASRSGTALSSSSVSTASVPQTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLTSKRRIFRILDARLGGQYSLAKAQKAATLALRCISVEAKNRPNMEQVVAVLEQLQDSKEAGTNPQLQKKLSSKSAPSGSKSSSKGKPTSARPV >LPERR05G00800.1 pep chromosome:Lperr_V1.4:5:490428:491678:1 gene:LPERR05G00800 transcript:LPERR05G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGHAGSRPVVLQVTGVRRQVGDRYFVILSDGSAEVAQGILASSMNHLVRAGAIRTGSVIRLLDYLCNLIDVIGSTNDVRPHPSYLQPSPIPIITPIAELSPYKGKWKIKARVTAKSAIWHFANRFGETKTFFFDLRDALDGEIRAKCFSSAVDKFCDLIEVDKVYLISRGSVRPAQKGHNPFNSAYDLTLDITASIEICSSDDM >LPERR05G00820.1 pep chromosome:Lperr_V1.4:5:499154:503041:1 gene:LPERR05G00820 transcript:LPERR05G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGLYGSISSPKIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKLEHTLKKMCREGAYWGTIAGVYVGMEYGVERIRGRYDWKNAMIGGALSGALISAASNNHRDKIVKDAITGGAVATAVEFINYLT >LPERR05G00830.1 pep chromosome:Lperr_V1.4:5:506245:508254:1 gene:LPERR05G00830 transcript:LPERR05G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCGCGSGCGGCKMFPDVEASATTKTFVLAAPSNKASAGGLEMGVESGENGGCGCNTCKCGTTCSGCSCCSCN >LPERR05G00840.1 pep chromosome:Lperr_V1.4:5:512032:514998:1 gene:LPERR05G00840 transcript:LPERR05G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVARLATPCFADAVTVDGVDDGAAVPVAHILSFVDGRETPAFTGAIHGVLLPSNQSTAGGAVGGASVLNDGAMSVGSSSFDSSNSFSFRTLQPMQYSGQLEYSATSPSTSGASTTSSSLRQPRRTDKQILDELAAAKSRRRRRCQESPLLRLRNAVASLLRAAPCVFPEKSNRNDPSAATNGGEERQNGGAGGEEAAAERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLADNLYAAVCRELAADELINQNQQHREVLDAMARALRRTEEGYFAEAEARAADWPEMGMMGSCVLVVLIKGADVYAMNVGDSRAVLAHQAQPDLVLPRNGGGDGGDLAGVKEAIKRQYDACEMGELAALQLTMDHSTNVYKEVRRIRSEHLDDPACITNDRVKGSLKVTRAFGAGYLKEPRWNNALLEVFQVDYVGTLPYITCRPYIRHHRLGPQDKFLILSSDGLYEYFTNEEVVAQVEAFTARYPDEDPAKYLSHQILLRAAHQAGMGFHELLEIQQGDRRQYHDDVSIIIISLDGKIWRSSE >LPERR05G00850.1 pep chromosome:Lperr_V1.4:5:515585:520266:1 gene:LPERR05G00850 transcript:LPERR05G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGHQLRLWWRRRGRGGAVGATFAVALLAAGLLLALSYYASSLSPSSSSSADLVGLTLVRRAEEKGAVCLDGSAPGYHLQRGSGAGSRNWLLHLEGGGWCRNLRSCASRQKSVLGSSRYMERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFFGNVKDELQNGTNFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRALLPKDSRVKCLADGAFFLDVEDISRKRTMRAFYSDVVRLQDLGERLSHCGPNMDLGKCFFPTEIVKDIITPVFVLNPAYDAWQVQHVLSPEASDPQRSWLECRLDISKCDSNQLEILQGFRKELHDALSALKHKGDWGFFINSCFIHCQSLNSLTWHSPSSPKVNNKMKVSISTKSSWFTYSIFCSRYNFKSAEQTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFSRPFKG >LPERR05G00860.1 pep chromosome:Lperr_V1.4:5:522688:524956:-1 gene:LPERR05G00860 transcript:LPERR05G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQVGDLDALAALLAADQSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQAGANILMFDSVHARTCLHHAAYYGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQMLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILNGTKYSLPSPPPYDDSADEDACSEVSDAELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSACDPDKPASPQLTRRRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSGTLDKPEHDL >LPERR05G00860.2 pep chromosome:Lperr_V1.4:5:522688:524956:-1 gene:LPERR05G00860 transcript:LPERR05G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQVGDLDALAALLAADQSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQAGANVRKTAKSVSLISIIPLEKITDSMISHQILMFDSVHARTCLHHAAYYGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQMLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILNGTKYSLPSPPPYDDSADEDACSEVSDAELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSACDPDKPASPQLTRRRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSGTLDKPEHDL >LPERR05G00870.1 pep chromosome:Lperr_V1.4:5:530760:532340:-1 gene:LPERR05G00870 transcript:LPERR05G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAMKDQTSISLAKVSSTVAPELDVAIVRATSHDDAPPDERHVATVVSLTAHSRPYTAAAAASLSRRLSRTRDYVVAVKCLSLIQRLLSPDADPHFRHDLLKPPPMLAAVFQFRDEAHSASWDHSSFVRAFAAYLDARARFLVVSSSSSPAPAAVDTEALLGRVARLHELLDGVLACRPSGGARRSRVVLAALHGVVVESVRICGDVGAVLGVLLDRFFEMGYPDCGKVFDAHVDAARQIDGLLGFYAWCDDAGVARAADLAGVNRIDDKLLRTLEQFVQERGRASQSSPPRVKIDEEIKALPAPEHHAAYSPPEKSAPAAKNTPEKPSPSFSHDLVDLREPVGENPEKKLALALFSGEAAANDGEWVTFPEDDVTDATVTSAGKEDWEVALVETASKLSRQTAPSMGGGLDTLLLNGMYDHAVSSAAAVRQTAAASGSASSVAAPRHGVLGPDGDPFAASLGVAPPAYVQMAEMERKQQLLLQEQHMWASYRHGGMQGQLAAGGMPVTMTMASYSGGYY >LPERR05G00880.1 pep chromosome:Lperr_V1.4:5:537537:539029:-1 gene:LPERR05G00880 transcript:LPERR05G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNQQHQQQQQQQQQQQQHAHAHAHGHHQHQLAAGCGNGGGGGDGNSTGHELLQQQQSIMAGSGGTLADGGGGGAAKPMSMSERARIARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKSAAAAASASGASTTTTTSSTCTTATATANAPPPPPQNLPAMLGSAGGNLSILPPLLRLADFDAMSLGSTFSGAGAGMEQWRFQQMQSFPFFHHAMDQAMAAAPAPATAMAMPGMFQLGLDGGGEDGGEFHSHAMPSSKRDQAAAAAAGYPRGGMYGDHHLAGGYTSYSSATTGNHLL >LPERR05G00890.1 pep chromosome:Lperr_V1.4:5:548761:550312:-1 gene:LPERR05G00890 transcript:LPERR05G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGSGSDSDGDWSCLEPFFYDETAARADGERRLERQRQKKLKEAKEKAAWEAYTAAMEEKKVYELYDPYKWNVVYTRFYLCNPAIFDLDEESSIGPMRHTSDVHQPPLLVDDRGGDDRLRMSSPIVSSDVGFPLLVYGTVIARDVVDQKCLFLFHRTRDHCQLITSEEESLVLNGPPRAMEVDESVFFEVHLKVEEEDQDRDLSKGLIEFRSLSMPRRSQDDAAVGSCPLLDTRLSKVQLSYAYIPGAVEAAVDIQGCHYFHGHITACSNSIPDAAIVLYDSSKLLIEANSATSPPASNSTAVVDLAGRVMAVRAADELVLTSFVEYTPRINGSETKQTICGIYNLLIKVSWSLR >LPERR05G00900.1 pep chromosome:Lperr_V1.4:5:551235:553279:-1 gene:LPERR05G00900 transcript:LPERR05G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTLKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKDKEEPDINTEEGRNEPTTVEDVIGALKGGAEKAKPVLRQMYMDRASNYTDALKNYVESYKEGLKEHLEEEALGKGHQQGNEATKPPQSPPS >LPERR05G00910.1 pep chromosome:Lperr_V1.4:5:554288:554922:-1 gene:LPERR05G00910 transcript:LPERR05G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPCCPAWKLIEGPVPLSLSTRSRSPPRLRRLLRHIHRRPWRRRRDLGSRAVPRRHPSLRLRPLRRRRYCFARYDDADFAGLPDTGVSLVLLNTQNASDPESFERAQRKLMARVAGGLARETARFKEGVSIYGLGWCTRDIAAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGDVSDAGEYEMDVLNPRP >LPERR05G00920.1 pep chromosome:Lperr_V1.4:5:557804:559564:1 gene:LPERR05G00920 transcript:LPERR05G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDDAAAYAAMVGIHLRDGDLPRAEALFRAAPPHARGSYLDTVMLDGYVKAGHVNRARRLFDGMAVKNVVAWTCLVSGYCRAGRVEEAHRLFDLMPCRNVFSWTTMVQGYAQNGMLREARELFDIMPERNVVAWTVMVKGYVDSGHIEEAMELFNRMPLKNSYSWNTMITGLMCAGKVDDAVQLFDKTPHKSVVSWTIMLTGLAWNGFVCRAREFFDRMPKKDIPAWNSMITAYTNDGQLNEARKLFHMMPAKDLVTWNIIIDGYSMNELKDEALRLFLLMLRSAVSPDSITLISILVTSESTMEVRQLHGLSTRLGFQSETCLGNTLVTMYSRSGDLSSAWLAFRRLNEKDTITWTSTIQALANHGCASCALQGFAQMLRHGYKPRSTTFTAVLSACTHVGFVEKGRKIFKSIRRVYGLEPTIEHYSCLVDLLGRVGYVREAKEVVDNMQQGMCDEAILGMLLGACMMHKEVEVAKAVGEDLVKTDPSGSGGYTLLANVFASGGMWNEVASVWKILKGSNVKKTPGFSQIEVNARNHVFYSTDQMHSQCTEIYEMLNNRLFPQMKDSLSLGTDSTDQNDILYT >LPERR05G00930.1 pep chromosome:Lperr_V1.4:5:562146:566406:1 gene:LPERR05G00930 transcript:LPERR05G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERGGGGMVLGAGAAAAAVGGGEDAVVMQLAAADGQDTVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRSPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPAPSQFYLLKLFSADRKGLLHDVTHILSELELIIQRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSINCEILLAEGFQQGFSSLPSTISEELFRLELADGTNCSRSLCAEMKRMQRATINFDNFLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRYWSDKKGFREVDLFIKQADGKKIIDTEKQGVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQTASERQWEVYRFLLDDSNDFPLANSPVNRNCVVDRVRKTLLGCFN >LPERR05G00940.1 pep chromosome:Lperr_V1.4:5:574075:578150:1 gene:LPERR05G00940 transcript:LPERR05G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEAEMAKVKTSSNGIWQGDDPLHFAFPLLILQSLLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNKRYLAALFPPWSSPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFGCGVGVAFLLREHLPGAAEAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSTKSPIVSLWVLLSGAAFVTFSMIFIKPAMAWVARRSDANGGGEVWIAVTLGGVLASGLATDMIGIHAIFGAFVFGLTVSKEGEFAGRVTERVEDMVSSLLLPLYFASSGLKTDVATIRGGMAWAMLALVIATACAGKICGTFVVAMACGMSAREAVVLGVVMNTKGLVELIVLNIGRERKVLDEETFAILVLMALVTTFVTTPTVMAIYKPARNAGRRRLHHRKLQGPSAPSSPSAAGAKELRLLACIHGGHDVAAVINLIETIRGHTHPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRADIAGDQIDVAFDTYAQLGHVSVRPMTAVSALHTIHHDVVAVADDKRVSLIVLPFHKRHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEHVAHGVCVVFFGGPDDREALELAGRMAEHPGVNLTVVRFTGDAAAADQLTIRLSTNSKNVAAGDDKRYTMSTAVVDSETEKEMDEAAVGEFRRRAAAAAVRYEEKRVGINGGDVVEQVVGIGKSREYGLVVVGKGRLPSPMVAGLAVRPAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADEVPVSVVVDGHAHDAGDELTGGNNSKEMTEP >LPERR05G00950.1 pep chromosome:Lperr_V1.4:5:578216:583200:1 gene:LPERR05G00950 transcript:LPERR05G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPILNYEDFAASLPDNECRYAIFDYDFVTEENCQKSKIFFVAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDSSEVGLDVIRGRAN >LPERR05G00960.1 pep chromosome:Lperr_V1.4:5:581064:582661:-1 gene:LPERR05G00960 transcript:LPERR05G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSDVISDGTADGGIKSLPEKHCSSADGASREADAAAANADSFSEAGTGMDFSNSASFGVRKGFQKCMTFPPSGGEARQQGSCCDTDKDPKDAPTYERSVSLPPTLKIVSAMKGSREKNGMASRTESHHVKWAPDVYDPPVTSVCHSVNNSYKRQSKSRKKDKNKQKQKQKQKGRSKKNRQNAIQSSTALQAPGELDRLEDAEASSSQSSAPEDLNKHETDILDYGISSQEAKCGSSFLRETVAKMHFSTAEAS >LPERR05G00960.2 pep chromosome:Lperr_V1.4:5:581064:582661:-1 gene:LPERR05G00960 transcript:LPERR05G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSDVISDGTADGGIKSLPEKHCSSADGASREADAAAANADSFSEAGTGMDFSNSASFGVRKGFQKCMTFPPSGGEARQQGSCCDTDKDPKDAPTYERSVSLPPTLKIVSAMKGSREKNGMASRTESHHVKWAPDVYDPPVTSVCHSVNNSYKRQSKSRKKDKNKQKQKQKQKGRSKKNRQNAIQSSTALQAPGLEDAEASSSQSSAPEDLNKHETDILDYGISSQEAKCGSSFLRETVAKMHFSTAEAS >LPERR05G00970.1 pep chromosome:Lperr_V1.4:5:585966:586517:1 gene:LPERR05G00970 transcript:LPERR05G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKAKKAVGRKLGGQKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTAEKADKPAKKEKAAAKSPKK >LPERR05G00980.1 pep chromosome:Lperr_V1.4:5:587786:590632:-1 gene:LPERR05G00980 transcript:LPERR05G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARRTKAKKRQVQYTTLLISLSLSVFLFIFIFLCSLFSSLKASNPLLLGCRNNTTHISSPLGFPSSPPPLRPTSRFRSTKVAAMAPAVEAIEKKTTSAPALKAPALNERILSSMSRRSVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCEDSDPIDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >LPERR05G00990.1 pep chromosome:Lperr_V1.4:5:595761:600120:-1 gene:LPERR05G00990 transcript:LPERR05G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNARFSKSSSWPRTKTVVKKWLNLKNDEFHSDCIRESFGQGGRKSCSDKDDSLLTGRDLSGGWLVESSENLRPPARYGSQPSSSSCQPPKELRMFVGTWNVGGRSPHQGLDISSWLLDQQPESSPAHIYVLGFQEIVPLNAGNVLGAEDKGPAYKWLELIRRALNPSCSERSQSFPNNYGRYATEASPELSQKDRISFSDLLAMEDRLSMASELDDDSEPSTSNPESSSEEEMGDVATTRRRPAGQGYRLAGSKQMVGIFLCVWVRADLMPSITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTICFVCTHLASGEKDGDEVRRNSDVVQILKRTRFTRRLFLPAAARSPETILEHDKIIWLGDLNYRLTGSSCGDTKELLERNDWQALLEKDQLRMEQKEGRVFGGWEEGRIRFPPTYKYLADSDTYAAVTSSANKKRTPAWCDRILWRGSGMEQLLYARGESRFSDHRPVNSLFSVQLAAAGDNLRLLRPRATQTEAAAAIGLGSSRF >LPERR05G01000.1 pep chromosome:Lperr_V1.4:5:613869:614450:-1 gene:LPERR05G01000 transcript:LPERR05G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAFDDGEMARVLMLMSTSPAAAQQRSPAVIAGGNAAPAERAFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDLSGDGKPKLHGCSICGLEFAIGQALGGHMRRHRAITGGMPRAIVVDKKPDVVGDVGDIKRGGLWLDLNYPPCDDVAAAGDVDDDGECGHINGGGAGITFHQFLDVAGAMAVDCVGY >LPERR05G01010.1 pep chromosome:Lperr_V1.4:5:620188:622913:-1 gene:LPERR05G01010 transcript:LPERR05G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLTPGGDGEAEIGSKEDGVVSSNSKRVRPNRVKKKLDEMKKPLHKQKGQSEEQFRREVKRMRAEKRKLKKEKRRIRRLMEAEGGKVKMESEEDDESEEEGEGEVMAAEVKNQKVEKPDSKSKEPKVNDHKVQEVADHAVGSDDPIVAEESEENVKKVYVGGIPYYSSEDDIRSFFEACGSITALDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFYLKIQPYKSNREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGKDKETGDFKGYAHVDFSEGTSVAVAMKLDQKMIKGRPVRIRCAVPKKDNQKLSGNAIPDSSNNKTRMCYECGTPGHISYSCPNKKTSEAISEENKANVDSATASSKKRRTCYECGVPGHLSSACPNKKSDNAVSSNKGPDDEAKPASTISPEENKTGVETNPAASNKRRKCYECGIPGHLSSACPNKVAPIKSDMEKPYDGSSTVPSTASDENKASDGTTNSVPPKKKKRRTCYECGIAGHLSSECPNKTT >LPERR05G01020.1 pep chromosome:Lperr_V1.4:5:623675:626760:1 gene:LPERR05G01020 transcript:LPERR05G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAAAASTAARWAEGYPWREKMAKYKGELTKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKKKGHKCDRIAAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESRKN >LPERR05G01030.1 pep chromosome:Lperr_V1.4:5:630901:633260:1 gene:LPERR05G01030 transcript:LPERR05G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKAKTAAMADQAEVEEEMMVMMMSGGGNQIKKSKNNLNLSSGDADDDVSGGGGRSGMMMMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLKRTGKSCRLRWVNYLHPGLKRGRITADEERLILQLHSQWGSRWSRIARSLPGRTDNEIKNFWRTHMRKLAHQSKTNKKMQSCSSSSPATATPSSSLSSSLTSATMTSSAITTRALQESGGDDDAVDQMVAAPATTTPASQQQQMDYTMEQLWNEIAAAETTSYVDDDAAAMAAAAASPVWEYCTDYSLWRIDDEEYYKKMMLDGTLQ >LPERR05G01040.1 pep chromosome:Lperr_V1.4:5:655790:658234:1 gene:LPERR05G01040 transcript:LPERR05G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPIPLGFGDAMDATLLSSLWAFQDDLQPQESMEEVKQSLMATTMELEAAKEELKKKEQTITKLADLLRHVAKDRDHARDQLNHLLAAAKASPSPSPPPPPPPPPPPALVTSSVTDSDGSFVSSPIDITSSDKRFCGIASPPAIIAGADAALDMLAMKKPLPVKGRLLQSVMEAGPLLQNLLVAGLLPRWRNPPPVALDTLPVGVRSGVCGGYSGMSSMAPAPALGYGVIGGGGNGCGKRPMPAMSMAAAAAAGCSPAFGAKRHRLH >LPERR05G01050.1 pep chromosome:Lperr_V1.4:5:662078:665674:1 gene:LPERR05G01050 transcript:LPERR05G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQVAHFVRLRSAVRTSRYLAAADDGQAVFLSGRRAVHNTVWAVEPVAGVVPGAAGAGPYVRLRGAYGRYLMATNFESRAGGPSDGVVPEQRDLAVRPTPPPWLWQAFRRRESFVLRNGAGRYLRANGRFRRWRKGVSVAGDNASTMMQWRVEVVPPMITRPFLGDPPAQLMHRTNPPVESALSRVVRYVRADENGMYAEQEWMPVRMNTNNLNHLRLTLAERLGDGCDAGHITLCVRAGRFAHLSPLLVDLPLGNNPIHIVVLNHGTPAENVLRYPNVEV >LPERR05G01060.1 pep chromosome:Lperr_V1.4:5:666677:667768:1 gene:LPERR05G01060 transcript:LPERR05G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTTKITLKLLVETKSKKVLFAEAGKEFVDFAFSLLTLPIGAVVKLISAGTMQGSIGRLYQSVDHINASYLLPNKDKSDLLHPKVLHADARELLLLQGAGGGRSPDSSPPLARFKLYTCAGFCATAAMEAKAACPQCKQAMGTEVALVLPSVAAAAEERSGYVKGVVTYMVTDGLEVTPMSAISSITMINKFSIGGKDVELAEKFVTVGMDEGLGILKAALRSDTVLSDVFLAKKK >LPERR05G01070.1 pep chromosome:Lperr_V1.4:5:668647:674258:1 gene:LPERR05G01070 transcript:LPERR05G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAASPALPVAAGRGDKEDRRRLAARCGFAVVGIMSTLLIYGLLQEKIMKVPYGADKEFFRYSLFLVFCNRIATSVVSALVLTASKKAIDPVAPLQKYCVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTMGCSLFILYPVIPISNPVPFAAECHIISCQITASMDVSSFNKGRESTTWGVLLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLIGLILQNHLIPAVDFMFRHPDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQAIVFGALYTKSFLRSKPQKPAAASPSHGSNPNSSNS >LPERR05G01070.2 pep chromosome:Lperr_V1.4:5:668647:674258:1 gene:LPERR05G01070 transcript:LPERR05G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAASPALPVAAGRGDKEDRRRLAARCGFAVVGIMSTLLIYGLLQEKIMKVPYGADKEFFRYSLFLVFCNRIATSVVSALVLTASKKAIDPVAPLQKYCVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTMGCSLFILYPASMDVSSFNKGRESTTWGVLLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLIGLILQNHLIPAVDFMFRHPDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQAIVFGALYTKSFLRSKPQKPAAASPSHGSNPNSSNS >LPERR05G01080.1 pep chromosome:Lperr_V1.4:5:673513:678260:-1 gene:LPERR05G01080 transcript:LPERR05G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQSSQGNPPPRQTRGPHRAATRHLDLESSIPPICSSPIAAAGRSSHACGFDPRPPVCPDQVFGSIRGHGHAGRWRIRCRSDRRRRWGWGCGSGEEVLALGVVARSCLPPLQPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKADGGPGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRNNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQPEFWDAFSSAPVNSDSNTKISKDQIDSASKTSAGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESSWSLLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKSPLHQSSNHEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTTTSLRREEEVTDKSNNNGVKQISGVCYPEKCTGNASTMHAQTGSLGRTDGISEACSNVQLLVYRWPSMEKLTMFTRKDLDPKSVLIFVTPDDSRSEALKMVHIWVGGEYESTKCVDTVDWQQVAGDFFHLKELSKTLPVKVFKEHERENLLEVLNATTYRWTSSN >LPERR05G01080.2 pep chromosome:Lperr_V1.4:5:673513:678260:-1 gene:LPERR05G01080 transcript:LPERR05G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQSSQGNPPPRQTRGPHRAATRHLDLESSIPPICSSPIAAAGRSSHACGFDPRPPVCPDQVFGSIRGHGHAGRWRIRCRSDRRRRWGWGCGSGEEVLALGVVARSCLPPLQPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKADGGPGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRNNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQPEFWDAFSSAPVNSDSNTKISKDQIDSASKTSAGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESSWSLLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPPSLGISPASSNSPHTLVPSFRSPLHQSSNHEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTTTSLRREEEVTDKSNNNGVKQISGVCYPEKCTGNASTMHAQTGSLGRTDGISEACSNVQLLVYRWPSMEKLTMFTRKDLDPKSVLIFVTPDDSRSEALKMVHIWVGGEYESTKCVDTVDWQQVAGDFFHLKELSKTLPVKVFKEHERENLLEVLNATTYRWTSSN >LPERR05G01090.1 pep chromosome:Lperr_V1.4:5:680386:685829:-1 gene:LPERR05G01090 transcript:LPERR05G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLTFLFLPLLAASLAAASRRHPSPANSTAAAAAGGGGDPIYLWPLPKNFTSGSQTLLVDPDLALDAQGPGGGAAAVGEAFARYKSLIFPPWAHAARNGSGGYYGVGKLTVVVASADETLELGVDESYRIYVAAKGGVNSIVDGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIQDEPRFAFRGLLLDTSRHFLPVDVIKKVIDSMSYSKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDARDIVRGNGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVAGMQHPMLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWEDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISSQDLETTVLARLHYFRCLLNHRGIAAAPVTNFYARRPPIGPGSCFVQ >LPERR05G01090.2 pep chromosome:Lperr_V1.4:5:680386:685829:-1 gene:LPERR05G01090 transcript:LPERR05G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLTFLFLPLLAASLAAASRRHPSPANSTAAAAAGGGGDPIYLWPLPKNFTSGSQTLLVDPDLALDAQGPGGGAAAVGEAFARYKSLIFPPWAHAARNGSGGYYGVGKLTVVVASADETLELGVDESYRIYVAAKGGVNSIVDGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIQDEPRFAFRGLLLDTSRHFLPVDVIKKVIDSMSYSKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDARDIVRGNGYPKLWPSPKCREPLDVTSNFTFEVISGILSGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWEDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISSQDLETTVLARLHYFRCLLNHRGIAAAPVTNFYARRPPIGPGSCFVQ >LPERR05G01090.3 pep chromosome:Lperr_V1.4:5:680386:685370:-1 gene:LPERR05G01090 transcript:LPERR05G01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDARDIVRGNGYPKLWPSPKCREPLDVTSNFTFEVISGILSGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWEDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISSQDLETTVLARLHYFRCLLNHRGIAAAPVTNFYARRPPIGPGSCFVQ >LPERR05G01100.1 pep chromosome:Lperr_V1.4:5:688899:690934:-1 gene:LPERR05G01100 transcript:LPERR05G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQPRKAYGGEGGTYYEWSPADLPMLEVASIGGAKLSLAAGGLSLPSYSDSAKVAYVLQGNGTCGITLPEASKEKVIAIKEGDSLALPFGVVTWWHNLPESTTELVILFLGDTSKGHKAGQFTNMQLTGATGIFTGFSTEFVGRAFDLAESDAAKLVSSQPASGIVKIKAGQKLPEPSPADRDGMVLNCLEAKLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVSGSGRVQVVGTDGKRVLETHIEAGSLFIVPRFFVVSKIADASGMSWFSIITTPNPIFSHLAGRTSVWKAISPEVLVAAFNTTPEMEKMFRSKRLDSEIFFAPN >LPERR05G01110.1 pep chromosome:Lperr_V1.4:5:692447:696248:-1 gene:LPERR05G01110 transcript:LPERR05G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHVCIVLLTLPSPRIPKPPETNTGDRLPPGPTRHPHTRDTGPALGPTCHTPLPFSHFPSVSGELYKSPTPQFLRSSPSPRARRRENRNLLRSTRVRSSSSSTWALRFASRPPLATRTLSATRVLLTLEEKKVPYELKLIDIQNKPDWFLKISPEGKVPVFNNGDGKWIADSDVITQIIEEKYPTPPLVTPPEYASVGSKIFSCFVTFLKSKDPNDGSEKALLSELQALEEHLKAHGPFINGQNVSAADLSLAPKLFHLQVSLEHFKGWKIPENLTNVHAYTEALFTRESFIKTKPAKEHLIAGWAPKVNA >LPERR05G01120.1 pep chromosome:Lperr_V1.4:5:695827:696051:1 gene:LPERR05G01120 transcript:LPERR05G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAGEGGSGERQEALTVAESVRVANGGLDANLNAHVDDDDDLTLVLLRRFLFSRRRALGDGDDRRNWGVGDL >LPERR05G01130.1 pep chromosome:Lperr_V1.4:5:700147:703341:1 gene:LPERR05G01130 transcript:LPERR05G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDTVPRPKKALDRCQSDIQFNMQRHLSGGDQVYDASSEDQPCSSSADDLPELKDVFEVTEMENKEMGIGTRSGNEEPCSRRSDKADLEFVRQKFLDAKRLSTDEAHRNSKEFGEALEILYSKKDVFLEILQENSGVLPGFPGNIFGYSGLQFPPMNTETAVTKLFEQENRCSSGVQCDMLFDVPKESGYLNETYVVPLDPLAPKGTKSKGSGRRSQIVVLKPNLQRKGFTPVLSSEETLHFGQMSTHKCSKPQHHCMYRQQVMNSVPLNNDQVLASQGDIPGQKKGAKQTPKIGSRRKPSERACQLAIGSERAKGNPTSLEDNLSIFQFNHTVGSSVCRKAKKHLSERWQMACQSDEEISLPKDTITLGEMLEMTDKDAKKVTTQKISSETNYSHDNVQKAPASPVGISSKDGWKTGIHCKDDSRAGTSRNFSRSKSLPTSATNSAKLPGRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRTTFRKTKQKNGRAIVHTGKENMLPVKEIHVTSEKARHSICISDLSRASNTHNEHPNGVVSNGDHQTSCSTALDGGLQSSKEKMGWTEQKLTPPLLEAEEDILIDNQDIIVLKDKEKRSQSVEIDIAEVNPQAVHSSHIESLENQKCSNRAASLQQVCGHETAYSGIFEGVSDSIQELRLQLKILKMGDQDDTCGDDVYVLSSDECSDTDILTNQPMEEQLPVFKDADDRDFTYTTDILIEASDFLVYPEDWQVSSNVFVWLEDKYNKLLLWSKSERKLLFDLINSILADMTAQGNGLHSDMMMKCWSEMNLTKLAEKVWETVVQRRINEPFTLDFVEALPLDHRSEVETTGAEIAKMVYDDILEEYVAELISQ >LPERR05G01140.1 pep chromosome:Lperr_V1.4:5:708396:708797:1 gene:LPERR05G01140 transcript:LPERR05G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNSAMAAAAALLVLLPVTMVTAHFVPLPPVIHSPAPPPRASSFSLLRKVPTGPDPITSDPPPPPPSSPSSQFSTILRKVPTGPDPITSDPPPPPPSSPSQFSRILRKVPTGPDPITSDPPPPPPSSFGV >LPERR05G01150.1 pep chromosome:Lperr_V1.4:5:714889:720150:1 gene:LPERR05G01150 transcript:LPERR05G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRYGGHAVHYNDEPNKEYRVSLHLGLTDYRTFVGTNLNPSWENFLVPSEDDSVRCQHTSNPLGNGAIVETSDEKIIILQRSYNVGEFPGYYVFPGGHSEPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEEELRGMTKRMPGCHCGGFALYKLMRNAAKKL >LPERR05G01150.2 pep chromosome:Lperr_V1.4:5:714889:720150:1 gene:LPERR05G01150 transcript:LPERR05G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRYGGHAVHYNDEPNKEYRVSLHLGLTDYRTFVGTNLNPSWENFLVPSEDDSVRCQHTSNPLGNGAIVETSDEKIIILQRSYNVGEFPGYYVFPGGHSEPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEIRFFSNNTK >LPERR05G01150.3 pep chromosome:Lperr_V1.4:5:714889:719725:1 gene:LPERR05G01150 transcript:LPERR05G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRYGGHAVHYNDEPNKEYRVSLHLGLTDYRTFVGTNLNPSWENFLVPSEDDSVRCQHTSNPLGNGAIVETSDEKIIILQRSYNVGEFPGYYVFPGGHSEPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEEELRGMTKRMPGCHCGGFALYKLMRNAAKKL >LPERR05G01150.4 pep chromosome:Lperr_V1.4:5:714889:720150:1 gene:LPERR05G01150 transcript:LPERR05G01150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEEELRGMTKRMPGCHCGGFALYKLMRNAAKKL >LPERR05G01150.5 pep chromosome:Lperr_V1.4:5:714889:720149:1 gene:LPERR05G01150 transcript:LPERR05G01150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEIRFFSNNTK >LPERR05G01150.6 pep chromosome:Lperr_V1.4:5:714889:719725:1 gene:LPERR05G01150 transcript:LPERR05G01150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDPATAYKLLLSCPTGLPQSRVSVKFDQSFDRIPHPDAALEESINEIWNQRLQQNPSLYSGTKFRPQEIGILNHQADEKDLALINERVSREMFDGIIREVVEETGVPANSLTEPVFIGVSRREMNVRPTAFFFTKCSIDSSGVHELYSTAQDGYESTKMYAVSEEELRGMTKRMPGCHCGGFALYKLMRNAAKKL >LPERR05G01160.1 pep chromosome:Lperr_V1.4:5:723031:731277:1 gene:LPERR05G01160 transcript:LPERR05G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGVIDFELLHTSSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHIERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNIIEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNTLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLETRYPDGIALEAVFVHPSVVDMLDAAVGDAIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAVKVVVFKSSKAVVFGESCVFSNAFIKGIFDLLEKEMDSFGIKHSAGQGKPLNVIPSSEHKIGSGQFSESKDLGDNDTSSTGASSDRGPKKKRGKGSGSAKGVTLEKDDDYEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEENTNIFPDELIEQKVLTVAPELEELGGSDDLNGPLKLLSSYLRPMLMDSWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLALVKDNKLKNGMEVEDSEENVQLSTADRSSLAKDLPGSLSVKAQALIEALEGKRFDSFMDTLRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDEQDCTTDRMLERKEDLEERLMPELKSLVLGTTSKE >LPERR05G01160.2 pep chromosome:Lperr_V1.4:5:723031:731277:1 gene:LPERR05G01160 transcript:LPERR05G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGVIDFELLHTSSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHIERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNIIEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNTLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLETRYPDGIALEAVFVHPSVVDMLDAAVGDAIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAVKSSKAVVFGESCVFSNAFIKGIFDLLEKEMDSFGIKHSAGQGKPLNVIPSSEHKIGSGQFSESKDLGDNDTSSTGASSDRGPKKKRGKGSGSAKGVTLEKDDDYEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEENTNIFPDELIEQKVLTVAPELEELGGSDDLNGPLKLLSSYLRPMLMDSWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLALVKDNKLKNGMEVEDSEENVQLSTADRSSLAKDLPGSLSVKAQALIEALEGKRFDSFMDTLRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDEQDCTTDRMLERKEDLEERLMPELKSLVLGTTSKE >LPERR05G01170.1 pep chromosome:Lperr_V1.4:5:736004:742906:1 gene:LPERR05G01170 transcript:LPERR05G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSGLTSPSPKSVEKSGRDLRSGGDANGGTNTNSNSIPKGDKEKGVNVQVILRCRPMSDEETKSNTPIVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKSKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKSKKPIALMEDGKGGVFVRGLEEEVVYTAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRTINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLEADLEARDKQLVELKELYDAQQLLGAELGEKLGKTQKDLEDTKNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLREELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVVQQENHLKDMENDMQSFVAQGLRESIQKLKLLHGSGITALDNLAGEIDMNSQTTFERLNSQVQSHASSLEQCFGGIASEADNLLNELQCSLSKQEERLIHFAKKQREGHLRSVEASRSISKITVGFFDSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVSRTSHLQKEISTAEDFTSSVREKWSFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKEKTNMGAQQWKNAEDSLFSLGKQNVESVDSIARTGTEANQLLRTKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEIRELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYEELLKSFRESRASSKQANGDMKHFLEVQEATFPSITDPRSPLIARN >LPERR05G01170.2 pep chromosome:Lperr_V1.4:5:736336:742906:1 gene:LPERR05G01170 transcript:LPERR05G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSGLTSPSPKSVEKSGRDLRSGGDANGGTNTNSNSIPKGDKEKGVNVQVILRCRPMSDEETKSNTPIVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKSKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKSKKPIALMEDGKGGVFVRGLEEEVVYTAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRTINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLEADLEARDKQLVELKELYDAQQLLGAELGEKLGKTQKDLEDTKNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLREELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVVQQENHLKDMENDMQSFVAQGLRESIQKLKLLHGSGITALDNLAGEIDMNSQTTFERLNSQVQSHASSLEQCFGGIASEADNLLNELQCSLSKQEERLIHFAKKQREGHLRSVEASRSISKITVGFFDSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVSRTSHLQKEISTAEDFTSSVREKWSFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKEKTNMGAQQWKNAEDSLFSLGKQNVESVDSIARTGTEANQLLRTKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEIRELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYEELLKSFRESRASSKQANGDMKHFLEVQEATFPSITDPRSPLIARN >LPERR05G01170.3 pep chromosome:Lperr_V1.4:5:736850:742906:1 gene:LPERR05G01170 transcript:LPERR05G01170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSGLTSPSPKSVEKSGRDLRSGGDANGGTNTNSNSIPKGDKEKGVNVQVILRCRPMSDEETKSNTPIVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKSKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKSKKPIALMEDGKGGVFVRGLEEEVVYTAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRTINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLEADLEARDKQLVELKELYDAQQLLGAELGEKLGKTQKDLEDTKNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLREELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVVQQENHLKDMENDMQSFVAQGLRESIQKLKLLHGSGITALDNLAGEIDMNSQTTFERLNSQVQSHASSLEQCFGGIASEADNLLNELQCSLSKQEERLIHFAKKQREGHLRSVEASRSISKITVGFFDSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVSRTSHLQKEISTAEDFTSSVREKWSFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKEKTNMGAQQWKNAEDSLFSLGKQNVESVDSIARTGTEANQLLRTKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEIRELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYEELLKSFRESRASSKQANGDMKHFLEVQEATFPSITDPRSPLIARN >LPERR05G01180.1 pep chromosome:Lperr_V1.4:5:744654:748490:-1 gene:LPERR05G01180 transcript:LPERR05G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRSSPEEDSPLTASEESEAGDFENGGDVGVEDEEEDDDDAVDEDEDEDEEDSGMGSDELDVTELGEAGSEMCQVGEQSVAVPLDLYDLGGLGEVLSLDAWNTVLSEEDRLRLATLLPDVDQETFARTLVELLRGDNFHFGSPLAALFDRLKGGLCDPRIVLYRRGTRFAERRKHYYRLQSYHNSMVRGLWEVKDCWKGCQGYGLNERLRALDAMKLQQQQQMELQKQNEEQQQKQKELKQQKTLGLDDWAGSETDSESREYGEPSVMRLKPDRTGLKKSGKFGKERSKGLLRVGVPKGLGEEYIGGAGRDAAMALSELSRQDNTYDYDLGVLHRGKLRRSLESLDSEELGNNRDLQRIRPQRQMSNPGKKELAASYDGNLYGNNYHENHNGSYYYGRNATANQGVTVAAAYDRPYFDTTPNAKYSERDWMYGGQCVPSKALKGDEMDWPAGSHVSSMNDWQGGQSAGDYRSRKAQAGHGLKVKSYKSIEEQINDANFGSDPRSKISQGKIKGKSSSQYDGIGQKYSRSSAAYTQSEETESDSSEQFEGGGDILLKKQPEHHSGSHRPAYSAKKSKKPPKASKLNYPTATEDFEPYQSKGSHRGKVAESDHLRDVHVMATEQISEMMRPPAARGERKRKVMASVDTYDYGNSELHGSNENADESLRSPENDLKLASGSGYVDTNGDFEKRKIPLSSCSSGSKKQKGRVEATSLDEHAEDVPSAPKLVENSGSSKKKGKRKLEAVTDAVAKKKPEAVTDAVAKMKAEDVTDAVAVAEPAPVLPEENVAVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPIEDTPLAAKQLDGEDSTNRFNNVEQSKASQDASATEQAQQNHEVADASGLEKTQQGHESADASAAEQTAPSNLPALTVQEIVSRIKSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKALVSYDKSNKSWLWVGPLPSGSTDGDPNEETSPDAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEARSYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLKDSQYLNHDESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSDPAEPGMANDDLEDDGTPLASDAKKQKTDFTASGEDKDGEDPVIQDPSAGDLEGDPDPDH >LPERR05G01190.1 pep chromosome:Lperr_V1.4:5:748693:750716:1 gene:LPERR05G01190 transcript:LPERR05G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFGRRRHAEKQHTASPSISPRGRDALLWEEEEDGDVDGARAVGLGGSDGEAVHSTFPPPPRSIPHGRRRGDANTIASQPDAPLPLPVTPIPWSPSDPSLDAARVARLVARARAAITRVAASRLYRRLLLPDSLLRRRLALLAPTRLSLLGVGSFENSPSSRLQLALAALLRRDLLPDESESSDLFDPVLSAAECTAAAALGFAVARVNDSCRRRADEPTIFYMPHCEASLYDALLAANWDPQSQLRRVCVLGNSFRNYAIQAEGNRSGPAAKAKHVLAAERFAWEERVDETGGGDDDDVFARAFNETSWHFFDLDDDVAATATA >LPERR05G01200.1 pep chromosome:Lperr_V1.4:5:762931:765401:1 gene:LPERR05G01200 transcript:LPERR05G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQQSGGSSSAAAVGSTTRWCPTPEQLMILEEMYRGGLRTPNASQIQQITAHLASYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAHYYAAAHNHHHLHHLVSPTTAAAGVGVSPPHLSAAPSLMPPPMQSSYEQLLSPNSAAANTAAGYAAAAGFYYPFAAAAAAPPGRTSPATSSLFHYNQGGMILPAAAAETNGRSSSEYSLGKLVDNFGVALGEAFPAPHPPPPATTAVTFIDTMPAPAAGGFCRPLKTLDLFPGGLKEEQHDVA >LPERR05G01210.1 pep chromosome:Lperr_V1.4:5:768446:771084:1 gene:LPERR05G01210 transcript:LPERR05G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLANLAHIYHCDCEAQKYGPFSRSHPSNWIKNQADGNSVIPFASSSARFFFFRRKPRPGALDLRRRRRRRRRRRRRIWFSPARLAPMGFLQNTFSLVIGTGCGIYIAQNYDVPNMKKLIKGLMGKAIEVEESYKKPGKGQ >LPERR05G01220.1 pep chromosome:Lperr_V1.4:5:771566:775898:1 gene:LPERR05G01220 transcript:LPERR05G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMATSLLHNLFQVDPGAPGFWREFMVGMLKPLAATAVVAMAVALSFTQRLGLEGEMLYAIARSFLQLSIIGFVLQFIFTQKNPLWILLAYLFMVTVAGYTAGHRAGRHVPRGSHIACVSILAGTAVTMALLVALAVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKMQRNVVETALALGATPRQATAQQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLNDAVFAAD >LPERR05G01230.1 pep chromosome:Lperr_V1.4:5:774429:775874:-1 gene:LPERR05G01230 transcript:LPERR05G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDESADKGLFSNLMHGVAGGGGHGYPAYPPQQGYYPPPPTAYPPPPAGYGYGGGYPPAGYPGSYQGHGYGSSSHGGVGSTGMLAAGAAAAAAAYGAHKLSHNSHGGYGGYGYGYGGHHDHHHGHHGGFFGGHHGGHHGGFFGGHHGGGLFGGHHGFGGHHGHHGHHGHH >LPERR05G01240.1 pep chromosome:Lperr_V1.4:5:784324:786040:-1 gene:LPERR05G01240 transcript:LPERR05G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELRLRCVTMGGGKDKHDESDKGLFSNMMHGVAGGHGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPPGGYPQHGGYPPAGYPGSSGHQGHGSSGGGHMGPGAGLLAGGAAAAAAAYGMHKISSHGHGGHGGGGHMGYGGFGGYGHSSYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >LPERR05G01240.2 pep chromosome:Lperr_V1.4:5:785527:786401:-1 gene:LPERR05G01240 transcript:LPERR05G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRHGRFRAYPFHPHPPGGAHASVTPTGGLDSTRARARRGLLFLLYRRRHRPQDFYRRNQSPDRPPPKSSGFASSKSSESLD >LPERR05G01240.3 pep chromosome:Lperr_V1.4:5:784324:785515:-1 gene:LPERR05G01240 transcript:LPERR05G01240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPPGGYPQHGGYPPAGYPGSSGHQGHGSSGGGHMGPGAGLLAGGAAAAAAAYGMHKISSHGHGGHGGGGHMGYGGFGGYGHSSYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >LPERR05G01250.1 pep chromosome:Lperr_V1.4:5:786759:792137:1 gene:LPERR05G01250 transcript:LPERR05G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGGGLLQRSAYSLALNHQHVFLFCVFPRLLRWLDAEGRPDDFSAPLPETCSTECPRSSQLTREIETG >LPERR05G01260.1 pep chromosome:Lperr_V1.4:5:787483:791995:-1 gene:LPERR05G01260 transcript:LPERR05G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNNLHDMEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFMAVDPRKIHKSTVEGLMKRKKGGKSGHKEGGKNYGAKINKENAQEEISRLQKEILVLQTEKEFIKSSYESGMAKYWDLEKQINDMQEEVCYFQDEFNESAVLEDDEARALMTATALKSCQETIIKLQEQQKSSFSQALVESERVKSSRDKLKNIMRRHGKSLPDLVKVLENANSAKFANENVTNDSSHMVDGMYSINQEKMELQATVDRIKEYYQTDSEVSVEEMAEKIDELVNKVVDLELMISSQTAQLNRLGLQNTELEKPLHELEEEKTVLTGGPGELNSKIKQAEEDLIGVENLESSFHAEGTIVHSNFTETISRFRDISGMLWSPLVEHQDGSPPMATDETIPSIDMETSSEYDKTYSEKGPQSDEPAGEPEVNELPDHSRELEPEDLCDDGYSSTGHPETNVEKCYHEDSSEDPWCSSLEDKSSFAAASVKEEEAGNADNNSSGDDNNREDQAPEIASDDENSMQQYTVQSPEKPILEHLHHISSNGTGDYNVKKEENEQDLSTSDHGTSEGNSEQKMDKAGDSCITEDMTHISRSIDEVGDQEENMIKLQQLLMNGLQDKEKILLTEYTSILRNYKNARKRLTEVETENQARLTEMTAMMSELRSANAMKDEEIRSLHELLNSATDKDVSRKMNPTTSFSSTGRTFSGHRRTPSFSPVHQRKQSVSTISRIILESPYEGDASHDTVIDQERLILEDIKSIDVVKIENASLLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTQYEQLKPAITQLRKEEKLKTNNVHADGPSANGESDSIEKQLRELKIELQVWLEQNAMLKGELQYRFASLCSIQEEIEVAMNMDADPEEGTHFTSYQAAKFQGEVLNMKQENNKVADELQSGLDHIKGLQAEIEHVIEKIAKRTSLSGTKGNGTWKNTPSRSRVPLRLFLFPAKKKKPSLLACVNPALQKQNSDMVFFTK >LPERR05G01270.1 pep chromosome:Lperr_V1.4:5:793305:795453:1 gene:LPERR05G01270 transcript:LPERR05G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMEDAEAQSEKEWDGGGGGADAVLGLAGASLSLCYHEAFGPHDDIILLEAADELLPDLLQGRVTVRGHPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTIRPDGLNTEGDVASATDAVASIIKVASGNMELVRTAPRLDKLRKLLNERPYVLDEDLGSDLQQKKGLYTWQDLCELVQASDGELSEELSSLSAVEIDGFWRMVDDNSANTILDMILHNSVLHDWPLNSMPENDVLDVMESDGFMRKIVAHCLSRFGSKVEKEARSCWSLDERRVCLQLARRALGVGKMKLENFMDKWERSIPSGMRADLQMLEGEVMCEKLGAETWVHTFSVADLPLAPAERFAALFRERPKWEWRDLQPYIRDLRTPGISSEGLLIKYTRRTQPSADAEPIFTAR >LPERR05G01280.1 pep chromosome:Lperr_V1.4:5:796711:799906:-1 gene:LPERR05G01280 transcript:LPERR05G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSKSRSGPNESPISRGRPSTPSSTHHRPSTPSSIHRPSTPGGTRRSIGGTPSTPRSRTNGSAGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDVRSLTAKFLCNFASIVWVVDVNCVIICGMQKQPRKEAELQARVESAQEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTTESEESKNRETEELVKNLESEVSVLKGKLEEARVIEERLAEMEKLIEELKSELADAKKAESEACELFEEWKHKAGSLEVELEEVTLSEKFKSESLVSTTEELGKIQSALQDRESEIEMLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEAAASEALSNEKTANTKIEGLSEENVKLISELNNARDREEKERRAVEDLTAALSEESDKAKEAHERFLRKEDDHEHALAQIGDLKMALKSTKESYEVMLDEANYDITCLRKTVDKLEAEVNKYREECESKETDIVRLNKQSEEEITALQLEVDKAVESLQDAEDQLQAVNEENEKLQERLMYTESAVAEANKAVHEAKAEKESIEEKLIYAEAAVVETNKAVQEATAENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVREEVTDSVVENADAESENEKNPKLELDVLNGNSNGDLNHEGEKEEPKVEHEELKTECTTQESNKIVEKQLQTDRKQETVGSKDELEPKEASSTEHANGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >LPERR05G01290.1 pep chromosome:Lperr_V1.4:5:808338:810479:-1 gene:LPERR05G01290 transcript:LPERR05G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDDFVVVDVDSGGGAAAGDPTTAVPYVLTFTDLSYSVKKRGGAFLPCLPSSRRRRHTNRLASADASPPATSSSDDTSPTKKLLDGISGEARDGELLAVMGASGSGKSTLLDALAGRICRDSLHGHVALNAEPLHGRRLRAISAYVMQDDLLYPMLTVRETLAFAASFRLPRALSPDKKRARVDALVHQLGLARAADTIIGDESHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILNILDRLLFLSRGRTVFAGTPSGLKPFFSEFGDPIPDNENPAEFALDTIRELEQQQPDGVEMLVQFSSNWREPKLQHTLGELIAADNKNEKICTMPLEVAIAESVSRGKLVAGTASSSSSASASMPTYANPMYIEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVFANSAVTFPPLVILSLAFAVTTFFAVGLAGGGSSFAFFFLIVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFISRDRIPDYWIWFHYMSLVKYPYQAVMRNEFRDATRCFARGIQMFDGTPIARLPEAVKMSVLNAIGNTLGRNMTADTCVVTGADVLAQQAVLDISRWKCLLVTVAFGFFFRFLFYIVLLLGSKNKRR >LPERR05G01300.1 pep chromosome:Lperr_V1.4:5:811572:813696:-1 gene:LPERR05G01300 transcript:LPERR05G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRLSSRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDARDADEARYNLDGRDVDGSRILVEFAKGVQIPIWISEGTQRSSPRRSVSPAANEKERSPDGSRSPRSPQDRVSPPPKDNGDRNGSEHGDSPRGRENSRSPSDGYRSPVAANGRSPSPRNNESPTPMDNDSRSPRDNGSPSPRDGNGDGGSRGGSRSPRPSESPEA >LPERR05G01310.1 pep chromosome:Lperr_V1.4:5:815935:818055:1 gene:LPERR05G01310 transcript:LPERR05G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHTVLDVDSGGGAAAGDPTTAVPYVLTFTDLSYSVKKRGGAFLPCLPSSRRRRHTNRLASADASPPATSSSDDTSPTKKLLDGISGEARDGELLAVMGASGSGKSTLLDALAGRICRDSLHGHVALNAEPLHGRRLRAISAYVMQDDLLYPMLTVRETLAFAASFRLPRALSPDKKRARVDALVHQLGLARAADTIIGDESHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILNILDRLLFLSRGRTVFAGTPSGLKPFFSEFGDPIPDNENPAEFALDTIRELEQQQPDGAATLVDFNVKWQSTMSSNSSNDKPLHTMPLEAAIAESVSRGKLVAGSGSSENTASSAMSVPTFANPMYIEVWVLMKRAFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVLANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGASFLFFVLIILSSFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFSDATRCFSRGIEMFDGTPIGGLSKGVKLKVLDAISKTLGSNMTVNTCVTTGADVLTQQSVTDISKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >LPERR05G01320.1 pep chromosome:Lperr_V1.4:5:826515:827162:1 gene:LPERR05G01320 transcript:LPERR05G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSLAMARRRGEPTPPPPPDQSPAVYYNGGGMRHRVGVFDAGEGGHYYSSCSPRVVDSYSCRQIYLRSYTFSKKKESVPERTMACLGRVRNAVVFPLFPRAVGGGSDAGSVTSASSIVAGDVAGAGDGNGGKGNRRRRRRRRRRCAVVRRLQALFHRLLACTTSVDVADAAAGGSEPPSR >LPERR05G01330.1 pep chromosome:Lperr_V1.4:5:836230:842038:1 gene:LPERR05G01330 transcript:LPERR05G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGHDAPAPEKKDEFPAWARGVEECETHLGVSSATGLTSSEAAARLRAHGPNELAEHPGPTLLQLVAAQFDDTLVRILLAAAAVSFALALSSAAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWVPSLPARELVPGDIVQLRVGDKVPADMRVIRLVTSTLRVEQGSLTGETASVNKTAHAVAADDADIQAKECMVFAGTTVVNGSAVCLVVHTGMDTEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDAEGKVRSFKVDGTTYDPRDGRIHDFPAGRMDANLQMIAKVSAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGLPEGKNGLSLDPSETLGCCRWWSNVAKRIATLEFDRMRKSMGVIVKSKSGSNALLVKGAVENLLERSSHIQLQDGSVVLLDEKSRKAILESLHEMSTKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETNLIFTGLVGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALGEKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHSLVSYSQLSNWGQCSTWNNFTVAPFTAGARTFTFNDPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLATVFGIVPLSLNECLLVLLVAFPVVLIDEVLKFVGRCTSTSGPKRRSKKQKGE >LPERR05G01340.1 pep chromosome:Lperr_V1.4:5:846869:847750:1 gene:LPERR05G01340 transcript:LPERR05G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTMVIQEDYIDMDLTPTTTTPSSPRRAGRDFEFRSSSAAVFASPADELFYKGNLLPLHLPPRLQLVQKLLHDQQLQLQGIKKASSIAAAASVPEVEDDIDMSKVCAKKYSWSKRLKLMKRWTSREYIKSLFLATTTTKSGDIAAAAAGAGGGNVGAVLDQEELCGGGHRKSFSGIIRRVRLVVATKSASSTTSPLCSTSSSSSSTPSCGRAASSVFLKRSSSAGSEEGAIQGAIAHCKRSQHLHLHQQQRRSVSDVVFYSVNNTPRVSSVAAGEVAGAQPQERQEMCRG >LPERR05G01350.1 pep chromosome:Lperr_V1.4:5:846904:847305:-1 gene:LPERR05G01350 transcript:LPERR05G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDLVVVVAKKRDLMNRSSSSNGARFLDPLKLKLLVMEQLLHKLQPRRKVKGEEIALKSSSAGDAKTAADELRNSKSRPARRGEEGVVVVGVRSMSM >LPERR05G01360.1 pep chromosome:Lperr_V1.4:5:848439:850943:-1 gene:LPERR05G01360 transcript:LPERR05G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGSEFKLKIESELVWNMVFGSLEQNKVMKLVPPVYSQGTNSEMQQERAVEADKSHKDMSAY >LPERR05G01370.1 pep chromosome:Lperr_V1.4:5:851216:852879:-1 gene:LPERR05G01370 transcript:LPERR05G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVKWAAAAAASSSSPSPPALSSEVREEEEMEFLYECAATSAVEDVAAALAGVAALQARLLSLCRRIRERNGVGGELERAMAEAESYTSKDQVRHNKFISHRALREHIKNIEKIAVASLQESSEVLCLQQKLPDDKHESVQLCWAGKELTMGKKLCDYIGVNEKTKIAIKLTHVPHEH >LPERR05G01380.1 pep chromosome:Lperr_V1.4:5:855534:859182:1 gene:LPERR05G01380 transcript:LPERR05G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAQRIALTVSFFGLLAFVLGVIAENKKPPYGTPIKGKDVVICKFPSDPTVAMGSLSVVSLMLATIVGHTAIFYPYKGKSVPRGALFQSASLSVFFVIAELVSALAFAMLLWATVTEGLHRNDNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVYASDVNGSKCANRVLKRGNSSVGPAFQGCKNRHAAELVDQVAEQHRHERHRCEGVKSGAAAPRRHSSLHLAKTPASSPARFAAPGVDRLGATPRRLPPLAAAAARFNCSGEDEETVWRVDEAVASGG >LPERR05G01390.1 pep chromosome:Lperr_V1.4:5:866716:870978:-1 gene:LPERR05G01390 transcript:LPERR05G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASASAAVASATAAATTRSFKQLSGWSWAAARRGHVAMLPPSPGRHPAAVTVVAASRRNGGEGGRRGRRRRRARGADQEDGLSLGSEIETPSSTPPTRSDKESTKPAPEARISKKTSAIRRVTLVVLAAVLFGVSIALREGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQGGLWKATPLLLTVAVIELSDIAFAPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKGK >LPERR05G01400.1 pep chromosome:Lperr_V1.4:5:871355:876251:1 gene:LPERR05G01400 transcript:LPERR05G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEAGAEEGESKGAAAAAAANPGRGIRRYKCEFCSVVRSKKCLIRAHVLEHHKDEVDDYLRRGGGQSRKDIDRDCKVCGARFKKPAHLRQHMQSHSLERPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFSTKGNMQRHVQEMHKDGSPCESKKEYICPEASCGKTFKYASKLQKHEESHVKLDYSEVFCCEPGCMKAFTNLECLKAHNESCHRHVLCDVCGTKQLKKNFKRHQRMHEGSCATERVKCHFEDCKCSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVKDNHEKSSAHVYIQANFEEIDGERPRHAGGRKRKPIPVESLMRKRVVGPDDAPACDDGTEYLRWLLSG >LPERR05G01410.1 pep chromosome:Lperr_V1.4:5:875414:875998:-1 gene:LPERR05G01410 transcript:LPERR05G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRAVGSTLPPIRAAAELARQELLRRELVECQLVAGIWCHGFTVAQLRSIRSSLPPTARLVVAKNSDVAAAVAGTRWEAVRPFARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVFEGRLYGPDDFAKLESMPTRVQSYQYLLGCLQMPAVSVLAALRARREAMDQPPPPAADEESAPAPAADK >LPERR05G01420.1 pep chromosome:Lperr_V1.4:5:883083:886479:-1 gene:LPERR05G01420 transcript:LPERR05G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVADGVPEKQETTARSDSGTSESSVLNAEASGGGGAATATAEEGSSSTPPPPPPPPALGFLRPEPPRHQPPDIRILAASPAPVPAAPPPPPPPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKEFLTGGNAMLGCRYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPASQQSPKRDSSSLGLQIHHGSFDGSEFKRAKNDAPSELASRPHRFPLLTEHPPIWTAQPHPLFQNNEDASRPSSSDQKRKPEGGVVPSWAWKQVNHPPPHLPFFSSPSSSAAASSGFSKTATTAAAHTASLRFDPTAPSSSSSGRHHRQ >LPERR05G01420.2 pep chromosome:Lperr_V1.4:5:883083:886479:-1 gene:LPERR05G01420 transcript:LPERR05G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVADGVPEKQETTARSDSGTSESSVLNAEASGGGGAATATAEEGSSSTPPPPPPPPALGFLRPEPPRHQPPDIRILAASPAPVPAAPPPPPPPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPASQQSPKRDSSSLGLQIHHGSFDGSEFKRAKNDAPSELASRPHRFPLLTEHPPIWTAQPHPLFQNNEDASRPSSSDQKRKPEGGVVPSWAWKQVNHPPPHLPFFSSPSSSAAASSGFSKTATTAAAHTASLRFDPTAPSSSSSGRHHRQ >LPERR05G01430.1 pep chromosome:Lperr_V1.4:5:886274:886573:1 gene:LPERR05G01430 transcript:LPERR05G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSTAGGGGGGGGVELDPSSAVAVAAPPPPDASALSTDDSDVPESLLAVVSCFSGTPSATFRSSSITKQASNQAINRTPCDRSTQPTKLATNQTKSA >LPERR05G01440.1 pep chromosome:Lperr_V1.4:5:895935:911430:-1 gene:LPERR05G01440 transcript:LPERR05G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVSEMRLPPHLAHLLAARRLTTAKDVLSLPEVELMGVLDSGIHTARAAVAHVSEIACPPYQTALALLEAVRLRGDGRLATTLRGLDEALRGGIPAGKLTEIVGPSGIGKTQFCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGEKSFPQIFRQEGLAQKMAGRILVLRPTSLSDFTKSLEQMKVTLLQNDVKLLVVDSMAALMSSEIEKSVTGLRQHPLRWSLSFLKSIAEFSQIPVVVTNQVRSQSSDDGYHYSFEVGKKDGTNSAERLESHLIAALGIQWAHAVTIRLVFEAHSGHRFIKVAKSPMSPAVAFPFTVESSGIILLTDEGICVPGPEITSIRFQGGVRPASVRRRCHKINAMQVRTGGACGDCTWSSTMVGQPALSS >LPERR05G01440.2 pep chromosome:Lperr_V1.4:5:895935:911430:-1 gene:LPERR05G01440 transcript:LPERR05G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVSEMRLPPHLAHLLAARRLTTAKDVLSLPEVELMGVLDSGIHTARAAVAHVSEIACPPYQTALALLEAVRLRGDGRLATTLRGLDEALRGGIPAGKLTEIVGPSGIGKTQMAGRILVLRPTSLSDFTKSEIEKSVTGLRQHPLRWSLSFLKSIAEFSQIPVVVTNQVRSQSSDDGYHYSFEVGKKDGTNSAERLESHLIAALGIQWAHAVTIRLVFEAHSGHRFIKVAKSPMSPAVAFPFTVESSGIILLTDEGICVPGPEITSIRFQGGVRPASVRRRCHKINAMQVRTGGACGDCTWSSTMVGQPALSS >LPERR05G01450.1 pep chromosome:Lperr_V1.4:5:914287:918524:1 gene:LPERR05G01450 transcript:LPERR05G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSRSEPAGDSPGAGRVVDPYGGAMEQAPEQAESPKQRKGKSGVNLRKSLAWDSAFFTSEGVLDTEELAVVNSTFRKAHGSRLPGIAEELRRSGESTTSTLESESWVLESLETELFDNVRASIQRSLGNPGKAPAGTAATSKPPKSKPNVPRVAARKGVDLMPQSKTRPPISTSHGAAGVKQKPQANSKEPTTARVKTPGPTEAKPSLKPPRALPRVATMRAPTSTAAASGISDKRSSTGGVVSRQTVNKSVNSSASMHSRPAGVTKSSSTSKPSVLPSSASSGNMPGQKTKSSALSNKSRVAQRIPICSSSRTDLSKVNPARASRSKIPTRGSTDRISPSISPSSSVDSMSSVVSGASTASTVGKMSHASESFSTRSSSLSPSLRNSNDCPPTPKMRPPAITERQSSGVASNDKLKASTDVTTQGKGSKPSGLRMPTPKIGYFDAEKSIDQNIGAQIQVQPTKIQCSPQRSSAQMGTPASSTFSQAESRPAASPHERKSSVQSKMSPLLPLEVVQIELESPQAMQHNACKPQPGPVEAADTAKENIPALHQNIQPNDGPGSLAVDLICQRLSSISLGDTTDLVS >LPERR05G01460.1 pep chromosome:Lperr_V1.4:5:917818:919211:-1 gene:LPERR05G01460 transcript:LPERR05G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQENLTLLPMSAAAAAAEDSAAARSPASGRVFTAGLVAAWYTSNIGVLLLNKFLLSTYGFRFPVFLTACHMSACALLSYAAAAFSSRPGSPPVRSRRQLARVALLGAVFCGSVVAGNVSLRFLPVSFNQAVGATTPFFTAVLAYAVAARREAFATYAALVPVVAGVVIATGGEPSFHLFGFIMCVGATAARALKTVLQGILLSSEEEKLNSMDLLRYMAPVAVILLIPATLIMERDALSMVTALAREDPSFIWILICNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >LPERR05G01470.1 pep chromosome:Lperr_V1.4:5:922460:929131:1 gene:LPERR05G01470 transcript:LPERR05G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEAVMQYVVAELKNWEAEEEKNGGGEGGSRVAAALAALCDVLAMAEGDLFGVFSIGELVARLPRLVAGGEGDVPLFAARAIAEACEGVPQWAPSFVRYSAVQALRDRLLAIDCIDLAEECLRALNIISMECPKECLSHGVAAAVLQFFDFFSMNKQKLVLKIVAKVLSDFDEKDAPKAMEAAPVLCNLLQSTDKTILDSAVSCLVMVSDGARDNAKHMEKLYELNAVQSTMRLMENDGWKSLSDETLSGILGLLKDLACLSARAVKSLFELNICDLLKEMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVIMEQSRYIEQLASILTFIIELAKSAALSSICYSCAVVIRNIVELSTPSSLVEVQKTVNLSSLLASWLARKNRHIVFQTLNISKTLLNKQHKFFFEVFSREGVKQAIDTIIAQIRDTNSNQKQKGKSDLQESCLCFDLDLETSTDDTCKIDNRAILKLSEEIKKNFLVKGSAKSPHSLGCAFKSIKQFFSRLNGHATAPPVKDQELCKELSDISRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIGQLKEVQSRLQKFTHLALSVDSESSVKPLDILVEKLIDGLHVWYDSFPVILADEQCTRASNMIPLRDSGTGDEPTPVYIKFSRSTREEELEDYNSVLPVDLYSTPESIEEVLLADISAYKENTQEANGSRKSVGLTNGDGHKSSRLQFSYKGTKLRLSAPIFESILQSMHEGQTDIQIDSSFWDKEHKIVYKRRNKSKKISSQSSYNTQLSRVYGKLEMALLKDPFFSTILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLLMDEKLCQFAEGYLQELDDLKVTTSPIPRDQFVSSLLTNKLEQQMQDRLFGDGLIPSWCYYLVENCPFLVPFESRWKYFCLTAHHSFMTEEVSSSTETKKYSVIRSNILEDAASMMNKHGSDTKTIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDNTNQKCEAGFVHAPFGLFPQPWSSEKTSSRGINFSDVIQRFKLLGHLVARAVLDGRVLDVPLSKAFYKIMLGQELDIYDIPSFDPELGKSIIEFKALVKRKKFFEGRASDPKDDFSYKNMRLEDLCLDFTLPGNPEYELIPGGSEKMVTLNNLEEYVSSLADATLKSGISNQIEAFKAGINEVFALKTLQLFSEDEMERILCGEQDSWASSKLEDHIDFDHGYDANSPSVISFLEILREFGREDQRAFMHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDDELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >LPERR05G01480.1 pep chromosome:Lperr_V1.4:5:930146:931122:-1 gene:LPERR05G01480 transcript:LPERR05G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEKSAAAAEAVSTVRRPGRLRVVHPDVAEFLANFHRLDVAVATAQLEALGRWRHRHGGARRLLPVYTCHHGIDSHAVAMAPRRKRRRPRKTSSSSNVVDDDDAELKLENFEPTPQPLSQQMSTKIGKLMSRAAQQMSQSPAMIHRDNSNNNCNDPAMSLAMARCTRPAYYDESPTLKDDPFLSGLVLNFICPSAVLPAGELAKIFCQFGPIMEAKTENSYGVVMFKRRADAEAAFAGTAKISGLCSSLISFRLTYSMSPSSPIDLPESTLNNGNDHLDF >LPERR05G01490.1 pep chromosome:Lperr_V1.4:5:936070:941559:1 gene:LPERR05G01490 transcript:LPERR05G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLATIEGLKVNVGDSDKAAAEANAVLAETKQQLETAKATIDSLLAEGARLQECLRSKDTELEQSNAEIATLEEDLKKAQTAGNGHLKNEHDKDNLDGSFGSPDHGEALEKVVVTTVPNGNGSCGGSGAEIEQLRTALEVAEVRYQEEQTRMTIETKTAYEMLENAKSEYECRVRSLELELKNKNDELTETKAALAVRSDGKSSEMMQPELEAKLMKSITDMTELKASLMDKETALQSMMEENEVLKSEAGKEEELTELQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAAGAEMEAELRRLRVQSDQWRKAAEAAAAALGGGGVGVGGGNGIGRTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >LPERR05G01490.2 pep chromosome:Lperr_V1.4:5:936460:941559:1 gene:LPERR05G01490 transcript:LPERR05G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGPSDAPQKNSPATPRSSRVPKTGGNETDSAGITPTRTPTERSPKVTERRSPRSPITEKKRPSRLSELESRITQLQDELKKAKEQLSLSESRRRHIQQEAEEAKKQEQAATSKLEDLQRQLVEFSAAEESRLQELRKVSQERDRAWESELEAVKKQQSVDSTALSSALSEIQSLKQQLETTTQSDAARAKQCEFAESELEGLKQEMELRLATIEGLKVNVGDSDKAAAEANAVLAETKQQLETAKATIDSLLAEGARLQECLRSKDTELEQSNAEIATLEEDLKKAQTAGNGHLKNEHDKDNLDGSFGSPDHGEALEKVVVTTVPNGNGSCGGSGAEIEQLRTALEVAEVRYQEEQTRMTIETKTAYEMLENAKSEYECRVRSLELELKNKNDELTETKAALAVRSDGKSSEMMQPELEAKLMKSITDMTELKASLMDKETALQSMMEENEVLKSEAGKEEELTELQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAAGAEMEAELRRLRVQSDQWRKAAEAAAAALGGGGVGVGGGNGIGRTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >LPERR05G01500.1 pep chromosome:Lperr_V1.4:5:948173:953255:1 gene:LPERR05G01500 transcript:LPERR05G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIITIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLKDRVTDTQYWDTISACLRDGHACSGMRRFARNPNTGMLVPETPDMFYARDLSPIQSGCCKPPTSCAYSYVNETFWTPNPGVPTVVNDMDCNKWNNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >LPERR05G01510.1 pep chromosome:Lperr_V1.4:5:948697:955246:-1 gene:LPERR05G01510 transcript:LPERR05G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGHGGGTTYKGYTIPANKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGIIVNIVVSLNQLLLGGGGRFTALSWYSRNLRFMTTWPSPRSVTAKAKTMKKRRATTMKNMAKR >LPERR05G01510.2 pep chromosome:Lperr_V1.4:5:952330:955246:-1 gene:LPERR05G01510 transcript:LPERR05G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGHGGGTTYKGYTIPANKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEGSSSTSSSH >LPERR05G01520.1 pep chromosome:Lperr_V1.4:5:959778:960754:-1 gene:LPERR05G01520 transcript:LPERR05G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSIDTACTVDATTPTSPATMATTVVMSPCAACKILRRRCVDRCVLAPYFPPTDPHKFTTAHRVFGASNIIKLLQELPEENRADAVSSMVYEANARIRDPVYGCAGAICQLQKQANELKAQLARAQADLAAARASHAHLVALLCMEMAASASASPPPPTTPAAYSQLAAAAPVDALYVVDGAGAAGAAMASPIGWVDEPLWT >LPERR05G01530.1 pep chromosome:Lperr_V1.4:5:971954:978694:1 gene:LPERR05G01530 transcript:LPERR05G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAPAPERAKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRSNSGQPHHQLAASHLTVNQVSSSLVPASPESDAVSNGAVVDVDDVGEGDDERRRRMLIVVTTTRSGGGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTSAAAEAHAQRNAALAHVEKHRLSGVLHFADAAAVYDTSFFDQIRQIEAFGTWPVATMSAGEKKVVVEGPLCSESKVTGWFSRDFNDGTTRAVKYNTETDSNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMVAAQTSTPKTHNRR >LPERR05G01540.1 pep chromosome:Lperr_V1.4:5:983748:988540:1 gene:LPERR05G01540 transcript:LPERR05G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERQRRKLAASIPNPPDLTPSASLEVNVSVPPPPVPPPVQQIEEVKVPEVEQEQSKHVTVEAAPATVPVPVPAQTSALPLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGETWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMATKPWEGRAGTDKESNLDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPILARKKSATPKNGLSQVDDDARSVFSVQSERPRRHSIATATVRDDESLASSPSVPSYMAPTQSARAKLRLQGSAGTDGAETPEKLGSVGSVKKKLSFQAGMAPPSPMRRHSGPPKVDNVVIKDIAEPPQPEALAINGGSK >LPERR05G01540.2 pep chromosome:Lperr_V1.4:5:983785:988540:1 gene:LPERR05G01540 transcript:LPERR05G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERQRRKLAASIPNPPDLTPSASLEVNVSVPPPPVPPPVQQIEEVKVPEVEQEQSKHVTVEAAPATVPVPVPAQTSALPLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGETWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMATKPWEGRAGTDKESNLDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPILARKKSATPKNGLSQVDDDARSVFSVQSERPRRHSIATATVRDDESLASSPSVPSYMAPTQSARAKLRLQGSAGTDGAETPEKLGSVGSVKKKLSFQAGMAPPSPMRRHSGPPKVDNVVIKDIAEPPQPEALAINGGSK >LPERR05G01550.1 pep chromosome:Lperr_V1.4:5:988338:991077:-1 gene:LPERR05G01550 transcript:LPERR05G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEAALSAAVAAARPVLSAAARRAAPLSCCASYSAAASSSSFSCGSGDIEDSPPLPIPTPRGQSLLARFRGRRALAVTDITATEWCEKQMEFVLEHGRPERTQAMKAGSERHAQLEQEVIERVDVTIRSAEEMWAVKFMNFIVGANQLMLEGITRELPVFGVIEGSWMIGVIDELQMPPDGVSLHPILVDTKTRYKPTPPSEAQKRNGRLQLMCYKYLWDNLIGEKFPAENFFSYFDLDPNYLLSDDIKQFIRLLGLDAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQADHSLLEEYLFSYDASWFKDQIQEVLSFWQGARDAKFVSEEERWKCSFCKFANNCPINASSSRCC >LPERR05G01560.1 pep chromosome:Lperr_V1.4:5:1003709:1003915:-1 gene:LPERR05G01560 transcript:LPERR05G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQANSKTMKRMHKGAMKTDLDKDDGQVVDESTKALLDPWNPYFPSWPDIPPNLGPTGTIRFIKNVQ >LPERR05G01570.1 pep chromosome:Lperr_V1.4:5:1005370:1006188:1 gene:LPERR05G01570 transcript:LPERR05G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGDGRGWRRWAQAGGEGELSSRRPERRRSSIGGEKEEGEEEGGESGRKRETTLLLSAEEQMDGGGQDELSRRLERGERDEQQIEATHGRDELMVATMVV >LPERR05G01580.1 pep chromosome:Lperr_V1.4:5:1020502:1025189:-1 gene:LPERR05G01580 transcript:LPERR05G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHVRGLAVAASLLDVGRAAATCPIYTLTLHRRLIQLHLITTRTYASTTTNIHLPIILNCLLIAAPQIRIRSLEVSDRKIRSEVEDLQPTTSSRMSDGIIWDDQRNVFAACRYNGIHWI >LPERR05G01590.1 pep chromosome:Lperr_V1.4:5:1034842:1036769:1 gene:LPERR05G01590 transcript:LPERR05G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRVKLTGGFPWPRSSTPPPSLPSAPVPSPRLRLPDPSNSSAAAASPSPSSAIFVRPRFISTLPPPPTPPSTLSCYRTDKLPNLPHFPITYGDPVQLKLQFDQDVASLVHQGLDLQQLKQLYYDLVRPVLLSSGLLMEDSIDSPNTRALDNFLCTNFKKHPIYLSSLDVMKALIGLDTDKWCYLMRYLRINRILVCENWLESFGNVECVVHDDSINFSSATSETIYKGQCDAGYKKGSANLWTIIMTGINKILDCAIIRGVPCESAPHAELWAMYVLLRRAIDMGVIRLLNVKTDSKFVADTLCEKYVLKPDAPPEDICEALRCMKSYFKRFGCRWEPRENLFLVDSLLKMEGEALQNIEAIKDIWADYLLDTPQFRAHQERGSFKKNYIKKAQPVGSIYLHRMYKVAVEDCLEKRVEAIGNILLSLLPPSATILVEDYKTALVLKEKLESIEGLVEQYWMTVKDIEGCCILHVLSVKTMEGLVDRKLDVICGAQLGGEYMAIDDSLRVKILTSMEDDATLPELSAVCFLYFHGKDPIDL >LPERR05G01610.1 pep chromosome:Lperr_V1.4:5:1047681:1050751:1 gene:LPERR05G01610 transcript:LPERR05G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHTSPAISTCRPAAYGRLLGGGGGGGASSQRRSLTVTTSGSETRAVIQVKSGSSSDTATEAVAVTGQVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNRSLAKELGIKVVPTFKILKDGKVVKEVTGAKIDELIQAIETVKSSSGMP >LPERR05G01620.1 pep chromosome:Lperr_V1.4:5:1052251:1052791:-1 gene:LPERR05G01620 transcript:LPERR05G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTQVSWNAVYVNAGERSSACRVFDQMPTSWSVLIVGYCKRGSMRNAHWQYGVGTYVDRKRIERNEKVLTALVDMHAKCGNVEEALSAFREIAQPDAYPYTALISSWSCKVSTSSV >LPERR05G01630.1 pep chromosome:Lperr_V1.4:5:1055722:1067996:1 gene:LPERR05G01630 transcript:LPERR05G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQGQRLHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFNLRPKEEAYDSFQTEVKVRCICSSTMVNDSMVKCEDQRCQVWQHLSCVLIPDKPGDSTELPPVFYCELCRLSRADPFWVTTGNPLLPVKFVSSGVANDGTSVTQIVEKSFQLTRAERETVQRQEYDLQVWCMLMNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKISLSRVDTRAFCFGVRIARRRTVAQVLNLVPKETEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMVDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAATRELSQWHMPDGTLCNAKEDIKPAVQDGNEQMMEGTSDGHKSLKIGIKKNPNGNWEVSKAGEKKPSVVGNHFQNGLRPLKEIVPMSNSPSSSYRDGEDPSVNQESNRHVVDLSLNNANEFDSFSLNFGQACNTDDRPQQQNNVADVIVLSDSDEENDTMVCPPAVYDSTTTANGSGFPFTINGAGYTERYQEDAGVGTSGLGLLSTNVDDFEMSNWQMHSYTQPEQGFQFFGNDTDVHNFVGSHNSFGIQPNDYTLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALAGDDPSLQIFLPSQPSSIPLQEELSERVNAPNGVQSDDWISLTLAAGGGGNEEPAPAVNSQSQIPSKGIEPLTDAASPFLSTDIERRSGADLNPRRIENIFSHPRQPRSVRPRLCLSLDADDSE >LPERR05G01630.2 pep chromosome:Lperr_V1.4:5:1055722:1066800:1 gene:LPERR05G01630 transcript:LPERR05G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQGQRLHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFNLRPKEEAYDSFQTEVKVRCICSSTMVNDSMVKCEDQRCQVWQHLSCVLIPDKPGDSTELPPVFYCELCRLSRADPFWVTTGNPLLPVKFVSSGVANDGTSVTQIVEKSFQLTRAERETVQRQEYDLQVWCMLMNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKISLSRVDTRAFCFGVRIARRRTVAQVLNLVPKETEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMVDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAATRELSQWHMPDGTLCNAKEDIKPAVQDGNEQMMEGTSDGHKSLKIGIKKNPNGNWEVSKAGEKKPSVVGNHFQNGLRPLKEIVPMSNSPSSSYRDGEDPSVNQESNRHVVDLSLNNANEFDSFSLNFGQACNTDDRPQQQNNVADVIVLSDSDEENDTMVCPPAVYDSTTTANGSGFPFTINGAGYTERYQEDAGVGTSGLGLLSTNVDDFEMSNWQMHSYTQPEQGFQFFGNDTDVHNFVGSHNSFGIQPNDYTLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALAGDDPSLQIFLPSQPSSIPLQEELSERVNAPNGVQSDDWISLTLAAGGGGNEEPAPAVNSQSQIPSKGIEPLTDAASPFLSTDIERRSGADLNPRRIENIFSHPRQPRSE >LPERR05G01640.1 pep chromosome:Lperr_V1.4:5:1069323:1070477:-1 gene:LPERR05G01640 transcript:LPERR05G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKQLPAPPGLARLLLSKSRRGRSRRAPATSPMFVSSSRGGGGRGRAAATATATADGEPSSPKVTCIGQVRMRKGKKGKKSAAAAAKTAATLPKKGKGYCRCLKKAFLCGGLFDFDRRRPKAAPSPETERSRRSPWVFSSRDVAVAAAPPKQQQQQPDPKEEDDDNEMETSAGVFGSLEGEERKDFGINGGEGRDGDKEEDEEEEEGAELVSSATTTPPKNALLLMRCRSAPQNSSSPLTSRFPLAAAPSPTKNSSPSPRKSHKERKDEIFPVPEQEEVKEEEKDDVFVAGDEEEEEEEEEEESEEMRCSSARPLVLPRCKSEPATTAAAKMAAGGEAATAGCFWANGGSSGRRRHAPPAPATAASPVVALTGH >LPERR05G01650.1 pep chromosome:Lperr_V1.4:5:1081802:1090337:1 gene:LPERR05G01650 transcript:LPERR05G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLFHLLLILLAAVTNNGVAAAIRFDYATLTLSSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYSTPIPILHGFSTQFSFSITTLNPDSIGGGLSFLLAADDLTLGDSGPSIGIISSGAGGDAVAVEFDTRMDVEFGDVSGNHVGLDLEGNLVSVDVADLGDDLVLNSGRTVNAWVEYDGGLLEVFVSYSVKRPVMPVISRKIDLGVYVKGDVFVGFAASTQGSTEMHAVEWWTFSTPSPSPPPSSSSKPVTNSPPPPPVDSSVAVPPTPASVNPMLPPVMPGVTPVTPSSPAGMVSMAPASAPANSTAAGSNGGSNSPPRPPATHAAVTAGAATAGAFVAASFAGLALWALSRRAARARRRTTATATLGTTAAAMTSSSAMMPGAVATPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTQTAAANARSEFLSELSIIAGLRHRNLLRLQGWCSSAAGEILLVYEYMRNGSLDKALFDNKNSPFLLPWRHRHEILAGVASALAYLHHECDRRVIHRDVKSSNVMLDDAFRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATAATDVFSFGALTLEVTCGRRPIGAIDGGRCNNLVDWVWSLHGEGRILDAVDVRLAGAGDEEEGEMRRALLIGLACSSPEAAMRPGMRAVVQMLSGEADPPFVPAARPSMSFSSANHHLLLSLQDSVSDYNALVGLNDDDLMSDDDSSEDSRSSSSLTSTLRKGGHDIAGFAAGAGAGDGDDDAARLVMNCFSCFKPDKKMPSKRMEVRPVTVAKKVSTQHGASLKNSESDKLPQVHSNHKKSSVAAQNTEPPKRISVAPKTERAFSFRELATATNNFHSDCILGEGGFGRVYKGQLENGQVVAIKQMDRNGFQGNREFLIEVIILGHLNHPNLVNLVGFCSDGDQRLLVYEYMARGSLADHLLVITRDQEPLSWCTRMKIAHGTAKGLEHLHEKSNPPVIYRDLKSPNILLDKEYNPKLSDFGLAKLGPFEGDKHISTRVMGTYGYCAPEYVRTGVLTTKTDVYSFGVFLLELITGRRAVDTSRPVYEQILTYWAKPMLDDRRRYHELVDPLLRGDYPDKDLNQAVAVAAMCLQDEASVRPYMSDAVVALGFLAEVPAGCAEKINTVPQNKQDEDPSVTGTTKQDQSKFNRQQAVAEAIEWGTRRQKQKAPIQEKKAQSQGIKAPKKNIL >LPERR05G01660.1 pep chromosome:Lperr_V1.4:5:1093252:1095439:1 gene:LPERR05G01660 transcript:LPERR05G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKMPSKRMDARQVSVVKMASTQHGTSLKNSESAKLSRAPSYHKQSSQAATNTEPANGSPVTAKTGKKFTFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQLVAVKQLDLNGFQGNKEFHVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMALGSLADHLLDSTPDQVPLSWHTRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGQVGGTAHISTRVMGTYGYCAPEYIKTRELTTKTDVYSFGVFLLELITGRRAVDSSRPECDQFLVKWAKPMLKDRLRHHELVDPLLRGNYPKGDLNQAVAVAAMCLQDEASVRPYMSDTVVALGFLAEVPEGYEEKINTVPQNKQDKDSSFTGNTKQDQSTFDRQRAVAEAIEWGAMRQKQKAQIQEKKNHLQGIVAPTEANRL >LPERR05G01670.1 pep chromosome:Lperr_V1.4:5:1096684:1100095:-1 gene:LPERR05G01670 transcript:LPERR05G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRWTLAILRRAAPAGGAAARRLYSATSSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDVGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDAALPYVRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >LPERR05G01680.1 pep chromosome:Lperr_V1.4:5:1101044:1102853:-1 gene:LPERR05G01680 transcript:LPERR05G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRFVNLVEQHNGVYSLRRIDGHALFYPTAAAAVAAAGNQEMALQREEEYDNRRNWRLQFPIQPDEEKKKTGRKKKRHEVETLKRQLPPMAMSMRPNPTDSSSGNGSFDCFRLGESESKIVFTDQDGRAFLYDADERCFIGLPSLHGPEKSSPISISITTQGEEESKLYIMNGYLEPEEKDSSNLSQFEVFDHRKLNTKYWSKSWHCDTLPPPPFVFNSGDRLHRRVIAHAAVGHVIVISVKDLGTFCFDTGSHSWSHAGEWMLPFIGKGEYVDELKLWFGMSAKNGDAPCYADLSPIVRGEPPTPGYIWDDLDMPDEWGTSRMSDLVSLGSGKFCIVRTFQTWLELGHTSEVDEEFPVFTGLEVLPPASASAGGGNGNDSGRLGERKEGLRMIKHKSRRYALLDKNV >LPERR05G01690.1 pep chromosome:Lperr_V1.4:5:1105212:1107514:-1 gene:LPERR05G01690 transcript:LPERR05G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCSHDEYSDVWYSLYGRPGTWIPPPPAVTANPNAVRPRRKAMRSITASSAHATWKQAPTKPARETRRTTNPITSSGVCSIDEHVAVDPFAIHRPAPITGIDARSVARFSCISSLHC >LPERR05G01700.1 pep chromosome:Lperr_V1.4:5:1106915:1109583:1 gene:LPERR05G01700 transcript:LPERR05G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAVMLLIAFLLGLTAFGFAVTAGGGGIQVPGRPYREYHTSEYSSWLQKHIQDPKYWRPALACVVGSKACPKIAGWTPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDDDCFRWNNAPTILCYGCDSCKAGVMEKVRGDWHKISVLNVMVLVVLICICACGCCAFRNAQRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >LPERR05G01700.2 pep chromosome:Lperr_V1.4:5:1106587:1109583:1 gene:LPERR05G01700 transcript:LPERR05G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAVMLLIAFLLGLTAFGFAVTAGGGGIQVPGRPYREYHTSEYSSWLQKHIQDPKYWRPALACVVGSKACPKIAGWTPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDDDCFRWNNAPTILCYGCDSCKAGVMEKVRGDWHKISVLNVMVLVVLICICACGCCAFRNAQRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >LPERR05G01710.1 pep chromosome:Lperr_V1.4:5:1110694:1112366:-1 gene:LPERR05G01710 transcript:LPERR05G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLFGGLMETRFPKRRPGFKSRRKRASLRPKGPHYWVKCKPGEPIPSSQPNAGSVQGRKEKKRIKQRKDFIMAEKKKRQAQYSAAVKRKETERTERKMAAVARERAWAERLIELKRIETPGMASTCNLQFLLHVCYRILY >LPERR05G01720.1 pep chromosome:Lperr_V1.4:5:1114148:1121686:-1 gene:LPERR05G01720 transcript:LPERR05G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAGSAGDERRRWRRGRGGFGFGAGGRRPPRGREDANQLGLTAWVGLRLLSIPPSVFLPSEPKAILSPLSLSLSSPLLLPPPPPPSSQISAGLLLRLRLPDVVFSAPPGVAACTVATSACIDDDHHSHLPPPLKEGREVGFSCLCDCGGATAADQDRCRLCRRRVGSDRRKRSWLRRAYVICGGGRSCGRRRPRRRGCLPRRRIGGTSCTGTAAGMTAAAASPSHRQPPRRRVGATRRTTRLRRRLPSATTPGPHLAARRRRPPQGGGSYDRSYPDESLGYTPSRSERYWLEEDGYKGFSRYGGGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHLPPPLRRSPLRSIAVSTSYDPSGDRADRGDRDHHHRVTPWRPVRRRESRSDAGDAAGAGAVPVGQAAAAPVSEKDVSAQSLAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPADSAEAVVEGSPTATEQKTATQTPASEPCTSPVVAAPSLSVAAPSPAPPCKSPVPEEKSCEVTNTVAESNKNIPEADVQACNNEIPITLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLTNSSKLLLLKESISKELEKTELEIDSLEGELKSVNAEARKRTLRDPPATVTYAQDPSPSPVKEQGELTPSPNISMEQDADVKVVDPMEVETAQAHNPKAVSSEESVACPEIAQGQVSAAADVVPCDASRKIGPAIDVDNEQHEVDPCHDNLNAMKEDGSIGLTTKPCSSHDANLFHQITAVNMNEAKDASELLFKHVPADQSHLDLLTLNHLSSREKDDLIIKRKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRAAQSGSQRQRSSNRSRLSVPAGNINTFPITEMSGVANKLFSEFQLKRCRNYLKMPTQIIDEKEKVGARFVSKNGLVEDPILVEKERALVNPWTREEKEVFMEKLATFGKDFSKISSFLKHKTTADCIEFYYKHHKSESFREVKKLLDLRQQQQPASNYLGAISGKKWNPEANAASLDMLGVATEVAAQGLEYANEVKKNSAKSIMRNACGADNSSKGSEDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKMGISRMEHLLTPEADKNFDDDGTVSDQECEVDIVDWNDDEKSIFIEAISNYGKDFAQISSCVKSKSFEQCKVFFSKARKSLGLDMIRQSAADAGFPTGDGNGGRSGTDGACVAEMDSAICSAQSCPEMEIDACPVSDGDIQGHHPLSDIVSEPAEADKSNVPDIDVNVEESAVPDIDVNVEEGGSKAEKNHNIIVDHKQLCEDTRQTSCAHIDINCPESTDTLQDIEDVAPVNMHGNDATVEQAVAAHVDIRSSLHPVEVVESSRVSEGNSMDLSSKGRSHGSEFGKGGKSTLSVCLPANGVSKENIIHFSNMAGASSVGPAFTSNYQQSKLADPIQSKPKPLTPKDLLPVQFSSPLPDPTSICFEGIAAITTPSFKDHGSIVSIASGAKDVNMFPTFKDQSGNRHDALFHNVDGYMQHKRNNHLKTEVSILPETTISCNAGISQSDQFAVPKFQNGRSSSLGLSDANLGVLSTGNREEVREGLFRPSSVKASAGNEEQQKRPGDVKLFGQILSHQSSVQSSGSSVYGSKNKPPSPKVEKSASRLLSNSREPLIYSSRPPMIANLGLEERAMRGYDHLDGRAMHSELPSPKVEKSASTRLLSNSRERLIYSSGPPIIADLGLDASATRSYAHLDGRSMQPEPMVMVAKCQRSSAGVPVYSTKNGALSVFAEFQQPSMQSHPPDHKLMEIADLHKRNGMDLISGFQQPGRLGGPGVLVSGVSDPVAALKAQYGPGSKMLSNDVDTWKDIGSR >LPERR05G01730.1 pep chromosome:Lperr_V1.4:5:1131027:1131610:-1 gene:LPERR05G01730 transcript:LPERR05G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVEVEPARLSSTVSYCCGACGYDLKLSSSARNTASIVSVSVSGVGCGGGGGYRRRGVVRFDSIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHLGFAYDDRSPPSTSPPPRYDIKIRALHPVEGDDVSPPQPSDL >LPERR05G01730.2 pep chromosome:Lperr_V1.4:5:1131025:1131610:-1 gene:LPERR05G01730 transcript:LPERR05G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVEVEPARLSSTVSYCCGACGYDLKLSSSARNTASIVSVSVSGVGCGGGGGYRRRGVVRFDSIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHLGFAYDDRSPPSTSPPPRYDIKIRALHPVEGDDVSPPQPSDL >LPERR05G01740.1 pep chromosome:Lperr_V1.4:5:1138421:1145362:1 gene:LPERR05G01740 transcript:LPERR05G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYRVCCFLRRFRAASNEPSEELADVFESYADGGVGGVMGEEALRRFLREVQGVAGDDELEATAKEVMAFAAEQRLLKKGAGGLTVEGFHRWLCSDANAALDPTRRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALQDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVDLMRCLEAIKEHAFVASPYPVILTLEDHLTPDLQSQVAKMIKETFGDMLYTCETENISEFPSPDELKNKIIVSTKPPKEYLQTKNDDDEAAGVWGDEITDDKAGNLTGGDKHTAEEAAVDEEQEVESDKKTQQGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDKVSRLSLGEKGYEKAIVTHGADIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPTAKLPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYTRVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCNHKGEPLRSVKLLMRFDFT >LPERR05G01750.1 pep chromosome:Lperr_V1.4:5:1150132:1152564:1 gene:LPERR05G01750 transcript:LPERR05G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLLLLLFLPLLAASSASASTLAVAAGATACAVVSENSTVFCAGAGGANSSLSVPAGVAQFVRFTQVASGGGAVVCGIQLGGRALFCWPAAAPEQLRRVYNGPNPLSDLAVGGGAGHVAAYDPVARLIRWWRGMGRFPDQVAGVFGSVVSGDDFTCAVETISSSVRCWGPRGGAVEADFVNASVSSLSAGGSRACAVKTDGAGVICSGSGAGEGALVPRDVYVDGLAVGESHACGLRRPNRTAVCWILGGPTTTIYWPAVGTAFEFLVAGGNLTCGLVSSNFSLMCWSTDGSVAAEVNLPPILPGVCVAAGNNSSSNPCKCGVFPDSGRFCRVSGEVICNRFCDTPSPSSPPPPPSPPSPATPASRRGVSKWWIAFAVVGAVGCFAGICSIIYCLVFGFCSHKKIHNSVQPNLTAGNNTTTAAAAAIGSGGPSPYGSPNGSLGRLRRQLSRVMTRQRSGPSSFKDPAEEFPFSQLAAATRDFSPEFKIGEGSFGTVYRGKLADGREVAIKRGGGRKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEENDERLLVYEFMKNGALYDHLHPKPSSSPATAPPLSPVATSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILIDSSWTARVSDFGLSLMGTAAPAPEAMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEVLTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELAKVLDGRAPEPAPHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCDDGGGGGAGAGGVYGNSSSSTASLSITSMELSRLD >LPERR05G01760.1 pep chromosome:Lperr_V1.4:5:1155467:1159663:1 gene:LPERR05G01760 transcript:LPERR05G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYYKVLGVGRGATDDELKKAYRRLAMKHHPDKNPSPAAAADDSLFKQLSEAYDVLSDPQKRAIYDQYGEDGLKSGAPPPSSHAGAGAGFRFNPRSAEEIFSDIFGGVAGPRTPGGGGFHSPAAAAREASGRVARKTQAPAIERQLACSLEDLYKGATKKMKISRDVIGAAGKPTNLEEILTIDIKPGWKKGTKVTFPEKGNEKPNIIPSDLVFIIEERSHPRFKRDKDDLIYTHKISLVEALTGCTVQLTTLDGRNLTVPVKSVISPTYEEVVQGEGMPKTKEPSKKGDLRIKFQINFPSTLTSDQKSGIQQLLS >LPERR05G01770.1 pep chromosome:Lperr_V1.4:5:1163086:1167084:-1 gene:LPERR05G01770 transcript:LPERR05G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSPAAAGGWPEPVVRVQALSESGATTIPARYVRPETERPLISSESSTDAGDDDEITNNIPVVDMSSAAAATAVAEACREWGFFQAVNHGVSPELLRRARGVWREFFHQPMEVKQMYANAPATYEGYGSRLGVHKGALLDWGDYYFLHVRPPHLLSPDKWPHLPPHLREATMRYSEEVRRLCERLMTAMAVGLGVGLGEGRLQEAFGGAEGAGVCLRVNYYPRCPQPELTLGLSSHSDPGGLTVLLVDDRVKGLQVRRGGAWVTVDPIPDAFIVNVGDQIQVVTNAAYRSVEHRVVVNAAEERLSMALFYNPKSDLPVAPLPELVSPPERPPLYTAMTFDDYRLYIRRNGPRGKTQVDHLAAKPPSADDDAHHPCRQ >LPERR05G01780.1 pep chromosome:Lperr_V1.4:5:1180678:1183881:1 gene:LPERR05G01780 transcript:LPERR05G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLAASPPHHFDPLLDPPPPPPLPGGGEASIERERLPQWSHAETAAFLAIRADLDDSFLSTKRNKALWESASARLHSLGFSRTPDQCKSKWKNLVTRFKGTAAAATPAVDPTEQMMGTRGSSTSSSSFPFHDELRRIFESRAERARALDQMRKKVKGKDQVDDEDDQEDDDDDGDQNQHQVDEDDDAAAADTAARAKKRRRKAAERRNPTTAAEGEVEAMLREFMRRQVEMEERWMEAAEAREAERRDV >LPERR05G01790.1 pep chromosome:Lperr_V1.4:5:1191705:1192889:1 gene:LPERR05G01790 transcript:LPERR05G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTTTTRTTQYGSSCICSCFAPCAAHGRRRKSTVAVNLGKARGFPARRLRALPPELSEFLNPKLVPGSPSDTGDVSSLIPIRQVNFAVMLLFYFVSNWVVPAFVMKGLEDPKKPEEEEEAAPAASMSIADGQPDTKIKVKVKKTKKKKKAAVKV >LPERR05G01800.1 pep chromosome:Lperr_V1.4:5:1205296:1207149:-1 gene:LPERR05G01800 transcript:LPERR05G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCAHAAGGGNAGSSNAECGGGDGALVMQQLPAASPARSPCVVARLMGLDAMPPSPPPPETETEGCQPPLLLRRRRRRSRSVSSVDGWPPAYVREESDEFLVLSFSPEANNGGRRRDDEIVGAKKQSNGRPRRKLQYGGDGDGRRSPASVLDTQHSSSSETTATTMTTVSSSSEEVEPSSPPSPTSEEIRPAPNQQNSRRKLQTDFDNDLDNPSSPATSTCHVSKCSDRERRNRSVVKKAEVFTPNVSCTLQYICRLVEEDLNSMRWLTRDFEEDIAADIGSEILDQLISETTDGLIQITSSETVYSLPDCFISMRYPCSKMIRNMQATRG >LPERR05G01810.1 pep chromosome:Lperr_V1.4:5:1214264:1217603:-1 gene:LPERR05G01810 transcript:LPERR05G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHNTSPHQIAEVRMDISSSTSVAAGNKICRGAACDFSDSSNSSKDSRERMASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATTQWSYGFFRIEILGALVSIQLIWLITGIIVYEAIVRLITESAEVQGSLMFAVAAFGLFVNIIMVVLLGHDHGHGHGHGHGHSHDHDHGDSNDDHHHHEDQEHGHVHHHEDSHGGSVTVKTHHHHHSGTGHHHDADEPLLKREGDCGSAQSGAEAVKKTRRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVVVLFTTIKMLRNILEVLMESTPREIDATRLENGLLEMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERQ >LPERR05G01820.1 pep chromosome:Lperr_V1.4:5:1226934:1232153:1 gene:LPERR05G01820 transcript:LPERR05G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPEGGVRRRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEIDTLRPIKYDQIRDILNGIAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWGLSDIPIMPKGRYGIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSIIDMTSDWTNEEREMLRRKVPVTGLNTPFRDGYVRHLAEEVLQLSKNGLERRGYKEVGFLREVDAVVTSGVTPAEKLLNLYETKWQRSVDPVFQELLY >LPERR05G01830.1 pep chromosome:Lperr_V1.4:5:1233470:1236115:1 gene:LPERR05G01830 transcript:LPERR05G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVNPAPAGXXXTPTPPPPTSAPTAAGGAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDVDGREYLDMAAGIAVNALGHADPDWVAAVAAQADTLVHASNVQYTVPQVALAKRLVEASFADRAFFANTGTEANEAAIKFARKYQRVAHPNGDAPTEFMSFSNCFHGRTMGSLALTSKVQYRKPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLKGLRDACDEAGALLVFDEVQCGLGRTGYLWAHEAYGVVPDMMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLEEVAKKGENFKQLLSAKLSGNVHVKEIRGIGLIVGIELDVPAGPLVDACLNAGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >LPERR05G01840.1 pep chromosome:Lperr_V1.4:5:1235527:1237880:-1 gene:LPERR05G01840 transcript:LPERR05G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAVLAAATIMFVVITSCMVRHAHGHDYRSALTMSLLYFEAQRSGRLPPNQRVQWRGDSALADGADHRVDLTGGYYDSGDNVKFGFPMAFTVATLSWSVVEYGDRLDAAGELGNALDAVRWGADYLARAHDAAGVDALFVQVGDGDSDHRCWQRPEDMDTPRAAYAVNASWPGSDVAAETAAALAAASVAFRRADGDYASTLLVHAKQLFEFAKYHRGLYHMSVPSAAAFYASSGDEDELLWAATWLYIATGGEKVYGDYIAGPTTFGGVRSRFSWDDKFVGAQTLNNLEQFICNVVHHAGDSGAKLSPGGMLWWDPWNNMQYVTLVSLVLAVHADHMTAARSTSVKCGYGGELSPEQLTAFARSQVDYILGTNPEATSYMVGYGSRYPAEVHHRAASVPSIKSSPAKITCKAAFDYFSKGSPDPNVIAGAIVGGPGADDRYEDSRQNYQQAEPSTVTVAPIVGVLARLLP >LPERR05G01850.1 pep chromosome:Lperr_V1.4:5:1244241:1245791:1 gene:LPERR05G01850 transcript:LPERR05G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSRRSSSPESGAVAGGGDERKRKRMLSNRESARRSPAPPSTMTFRSSLTLSSAPGSRRRSPPCPSPPPPWPPTPSTSDGKSSHLSMADASLRLKTEALLLLLLA >LPERR05G01860.1 pep chromosome:Lperr_V1.4:5:1247780:1251065:1 gene:LPERR05G01860 transcript:LPERR05G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSSLSTLFPRNQENYLQELWPIVKGALKEVGVACKLNLVKGSMTVSTTRKTRDPYIIIKANQLTELLSRSVPAPQAMKILNDDIGCDIIEIASIIRSKEKFVRRRERLLGPDLSTLKAIEIVTGCYTLVQGNTITAMGSWKGLFQLREVVEDCIMNVKRPLHHIKELVIERELAKNPALADKKAQENTRLDSYIAKRRRL >LPERR05G01870.1 pep chromosome:Lperr_V1.4:5:1252524:1256070:-1 gene:LPERR05G01870 transcript:LPERR05G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSAAAAPDAGDAALARDIVTLHKALSLESSSRRRRSLPLPAADHKPTLLNPSSSTRKPSSSTSSSSSSSSFWKKSLTAISHLGRRRLDCAFTLQVHSIDNLPASLHATPISVHFRRREISTSAASTRPIAASLGAAASFEGDPPLTLRSPVYFSRSAKSAVKYEPRHFVVLASAAAAAAANSAVELGKHDVDLTRLLPLSFADLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCALVGGEQQQHKRGGGGGEVVAGMRRASSMARQVSVHNPPTPVPARSRDVRVLHEVLPTGRTVKALPYYGDAGLDVVRKEELEVSTAVESEENGSPQSKHCTSVVEVKKAHPEADCDDAEFSVVEQGVEVAIDQDSEQAKSAGNDNIPDGKEEVSRDEVGENEGEAKAVSVGDACAEEESVGLKPEEGVSDVTFESEDTGEKKDPMAVSLPTAQLDDEDELDAELEDLGSLINDLSIVEPEHFDSPTEQDKHSRRLSCVGVTDSYNSTSRMIRSRSMDASSDFVATEFLDMLGIEHSPFGATSGSDSESPRERLWKQFEKETLASGNGILGLDFEDEDVEPSCEDDAEEPSCEDATQDFDLSMIIHEAELELQNAIQPLDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPLELPPLADGLGPFVQTKDGGFLRSMNPVLFQNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWDASPALESADRYDLLDNHSMDALAGGISNATFGKKKKGRCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGVVDEDDQFTDRTSKILAAHHAKSMELVAESHNTDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEESKTEQVLEEALVKEEKIEEEDSVPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAISKPTKEAAGQSGDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >LPERR05G01880.1 pep chromosome:Lperr_V1.4:5:1258119:1262705:1 gene:LPERR05G01880 transcript:LPERR05G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDPPADRILCSVRRPLLPWGCSARKRRRSSVAYAGGESYSLGDIWLRIHSLMPMRDAARSACTSQAFLRSWRCHPNLTLNRNTLSLNPFKERKGDFVCKIDSVLRNHSSIGLKILHLDLHDDPGTFVYVDSWLQVAVTPGIEELMLMLYEKYNLPCSLLSDGVRNSIRYLRLQNCAFHPTVELGPLRSLTSLRLQSVNITGDELECLISNTLALEQLQLSSCENIIFLKIPCVLQQLKSLSVKACQKRIEIEIEAPNLYCIYFYRVKIKFLPRGALLMKDFTLHCRNSFYYARAKLLSVMPNLETLLLLSFAEVVNTPMLPTKLFYLKHLTIQIITGGAFSPSYDYFSLVSFLDASPSLETLILDVSQGRMEHESVFGGSSHLRQLPELRLDCLKRVKILGFCSAKGLVELTCCILKNAVSLEHIVLNTLCEGGCCSELDNGPSLPIGRLLEEAFRAVSAIRLYIENEVPPSVKLTVVEPCARCHSSMVVPDAS >LPERR05G01880.2 pep chromosome:Lperr_V1.4:5:1258119:1262705:1 gene:LPERR05G01880 transcript:LPERR05G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDPPADRILCSDDDGSIGASPLQCDIWLRIHSLMPMRDAARSACTSQAFLRSWRCHPNLTLNRNTLSLNPFKERKGDFVCKIDSVLRNHSSIGLKILHLDLHDDPGTFVYVDSWLQVAVTPGIEELMLMLYEKYNLPCSLLSDGVRNSIRYLRLQNCAFHPTVELGPLRSLTSLRLQSVNITGDELECLISNTLALEQLQLSSCENIIFLKIPCVLQQLKSLSVKACQKRIEIEIEAPNLYCIYFYRVKIKFLPRGALLMKDFTLHCRNSFYYARAKLLSVMPNLETLLLLSFAEVVNTPMLPTKLFYLKHLTIQIITGGAFSPSYDYFSLVSFLDASPSLETLILDVSQGRMEHESVFGGSSHLRQLPELRLDCLKRVKILGFCSAKGLVELTCCILKNAVSLEHIVLNTLCEGGCCSELDNGPSLPIGRLLEEAFRAVSAIRLYIENEVPPSVKLTVVEPCARCHSSMVVPDAS >LPERR05G01880.3 pep chromosome:Lperr_V1.4:5:1258436:1262705:1 gene:LPERR05G01880 transcript:LPERR05G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDPPADRILCSGKAAPWPGARKRRRSSVAYAGGESYSLGDIWLRIHSLMPMRDAARSACTSQAFLRSWRCHPNLTLNRNTLSLNPFKERKGDFVCKIDSVLRNHSSIGLKILHLDLHDDPGTFVYVDSWLQVAVTPGIEELMLMLYEKYNLPCSLLSDGVRNSIRYLRLQNCAFHPTVELGPLRSLTSLRLQSVNITGDELECLISNTLALEQLQLSSCENIIFLKIPCVLQQLKSLSVKACQKRIEIEIEAPNLYCIYFYRVKIKFLPRGALLMKDFTLHCRNSFYYARAKLLSVMPNLETLLLLSFAEVVNTPMLPTKLFYLKHLTIQIITGGAFSPSYDYFSLVSFLDASPSLETLILDVSQGRMEHESVFGGSSHLRQLPELRLDCLKRVKILGFCSAKGLVELTCCILKNAVSLEHIVLNTLCEGGCCSELDNGPSLPIGRLLEEAFRAVSAIRLYIENEVPPSVKLTVVEPCARCHSSMVVPDAS >LPERR05G01880.4 pep chromosome:Lperr_V1.4:5:1258928:1262705:1 gene:LPERR05G01880 transcript:LPERR05G01880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQREEEEEEQRRDIWLRIHSLMPMRDAARSACTSQAFLRSWRCHPNLTLNRNTLSLNPFKERKGDFVCKIDSVLRNHSSIGLKILHLDLHDDPGTFVYVDSWLQVAVTPGIEELMLMLYEKYNLPCSLLSDGVRNSIRYLRLQNCAFHPTVELGPLRSLTSLRLQSVNITGDELECLISNTLALEQLQLSSCENIIFLKIPCVLQQLKSLSVKACQKRIEIEIEAPNLYCIYFYRVKIKFLPRGALLMKDFTLHCRNSFYYARAKLLSVMPNLETLLLLSFAEVVNTPMLPTKLFYLKHLTIQIITGGAFSPSYDYFSLVSFLDASPSLETLILDVSQGRMEHESVFGGSSHLRQLPELRLDCLKRVKILGFCSAKGLVELTCCILKNAVSLEHIVLNTLCEGGCCSELDNGPSLPIGRLLEEAFRAVSAIRLYIENEVPPSVKLTVVEPCARCHSSMVVPDAS >LPERR05G01890.1 pep chromosome:Lperr_V1.4:5:1265163:1270204:-1 gene:LPERR05G01890 transcript:LPERR05G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGAASEASGVAGGGSSPPPPPPPASSAAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVATLLEEIGRERRNGGGGGGSAAVEIGIDPELDEFMETYCRVLERYKEELSRPFDEAASFLTGIHSQLATLCGGAAPAATDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >LPERR05G01900.1 pep chromosome:Lperr_V1.4:5:1270590:1272248:-1 gene:LPERR05G01900 transcript:LPERR05G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGGKHGRAPFRPDLTDTRTHHRIAGPTAQLPTCDLTQGPPSCSSILPKQSKQSSAPMYPAAAAASYKCSLQKLLLLLI >LPERR05G01910.1 pep chromosome:Lperr_V1.4:5:1278980:1282750:-1 gene:LPERR05G01910 transcript:LPERR05G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLDWLLPIFSPGPTVSRWPLLNLVILQRHHNLLNILPPATVTIQVAAVTTQHNHKRRCSTTSSKPARIGATQQFNELGTSHLIFSALLLSESSHLNMHLANSGLGFKCKMLP >LPERR05G01920.1 pep chromosome:Lperr_V1.4:5:1282179:1284577:1 gene:LPERR05G01920 transcript:LPERR05G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSETVTLHIQLCIQLLESEKQRKEKESEMTKMSSMRADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNSYATNSVQEHEHHSDRSDAGAALSNGMSLAEVTVSSSKLSEEESCDFFDELEELQAPASASPLPSLSFMVQESNFSDARTLL >LPERR05G01920.2 pep chromosome:Lperr_V1.4:5:1282179:1284577:1 gene:LPERR05G01920 transcript:LPERR05G01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSETVTLHIQLCIQLLESEKQRKEKESEMTKMSSMRADGSVPKRRYLLSSTYPCWFAAGGATSSLVIVLCCHCSYLYCYCCRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNSYATNSVQEHEHHSDRSDAGAALSNGMSLAEVTVSSSKLSEEESCDFFDELEELQAPASASPLPSLSFMVQESNFSDARTLL >LPERR05G01930.1 pep chromosome:Lperr_V1.4:5:1284103:1287986:-1 gene:LPERR05G01930 transcript:LPERR05G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARTYGSSGAYTKYSSYLNDEPMPDATSEKDQGNEYFKQKRFAQAIECYSRSIGLSPTANYTRSWIKYSLLKLHQQIKLKHSGNCEPNIFREEGRITCSLQVNDVDAQADH >LPERR05G01930.2 pep chromosome:Lperr_V1.4:5:1284103:1287986:-1 gene:LPERR05G01930 transcript:LPERR05G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARTYGSSGAYTKYSSYLNDEPMPDATSEKDQGNEYFKQKRFAQAIECYSRSIGLSPTANYTRSWIKYSLLKLHQQIKLKHSGNCEPNIFREEGRITCSLQVNDVDAQADH >LPERR05G01940.1 pep chromosome:Lperr_V1.4:5:1291234:1294769:1 gene:LPERR05G01940 transcript:LPERR05G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRRRSFAAAVALVVLLLAAVVTAVTADTGATTATPVIVATVCGNATAAADRQEGFDVSFVNALELIYQNVTRSGYGAASSGDGDAVYGLGQCMAYLSPTDCQLCYAQSRVKLPHCLPSTSGRIYLDGCFLRYDSHNFTAVATDAADTAACSSNLTVNSPNFAAAASALLRNVTAAAPGATGYYYAAASASAALRRARGSPPRVYAAAQCWRSLNASACAACVASAAERVVRRCLPRGAGEGYGLNAGCVVRYSTLPFYLPANVAAGGGGSSTRHIIIVVIASVFSALAVIGIALAWAKLRNTWAKLRNRRNDHHEDMDESSEIIRAFAASHLSFKYEELRKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKTALDWERRSEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGHSLMSLIWKHYNAGTLMELLDPNLREQCTEEEALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRGKELPRPTQPPFINIKGSNAKSNSSGSLSLLSNSDKSPFSLNQLSVSGVQAR >LPERR05G01950.1 pep chromosome:Lperr_V1.4:5:1302323:1303436:1 gene:LPERR05G01950 transcript:LPERR05G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADVMETSRRADFLFKAADQQQAAQEEAALATRRSDVDDDEEDGGGGRAFVSCCGGGGKPRRLLPKDVRSVAAAVTKRPVNHHH >LPERR05G01960.1 pep chromosome:Lperr_V1.4:5:1308417:1311946:-1 gene:LPERR05G01960 transcript:LPERR05G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAAQQQQLLHEEVEEEEEMIMSSSSSQPEVRVSMYRLNSSGRGKSLSFLAGGDGQQEYQLWRIPEQVRAINRDAYEPKFVCIGPYHRRRPDGAANILPGEKLKKYYLDKLLEAVVEPGDQKERNKKILLQSCRRGLESILDRVRRFYGEGDVKDMKTSELVTMLLEDGCFIINHLYNYANNYNEEYLYNTRWAPMQLRIDLGLLENQIPFFVLMELFKILAPRDVLPASAVDDVKKRTLQDMVLWYMLKGWYPLPSHQNPTEHMAIPKEAEIHHMMHLVHLAHRVKLDAAVKNRKSAIDQKIVCWVSLRQLFACVTSLPLQLMRALFCCQWRHIISGDSDGSQENIASAKLLKDLGVEVKPWLPIKHRQGGKKKKGAMLEEEGSDVVNQNYGGVLDVWLLSDKITLQMPPLSLEQATAPLLQNLVAYEQQAGASNETSKEDYFTTYAFLLYNLVSTTEDVELLQERGILRNNYGSHDTIIEYFKNLCRWNQRSNSEDTPIGKVLADLRICTQDRAYRDLAELKQYVNTPVKLFLVIISAMITISTIWQTIYSLIYPKN >LPERR05G01970.1 pep chromosome:Lperr_V1.4:5:1314546:1316126:-1 gene:LPERR05G01970 transcript:LPERR05G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGSGDGITVHVERMARELMQRQEEAASDEHHMVTSHRLNRVPAHLRDANPDAYTPRFVAIGPLHRGGDRRRLAAGERLKMAYLHSLISRGNGHAGNAGDQARQLAVIEDYIRVVAVKERQARAFYNGEDVADMFPEEFVMMLVLDACFIVEHLVNVAMGKDEPSLHATPFAAVHLSVDLILAENQIPFFVLVDIVGASRLPEFDNTSHSPPVLAMKLVLYYLAGDKGRDMAIGGGVLPAAEGIIDILHLLHAVITAARTRWELPPGIQDGAVVETAQEAARLLRRLPLLLLVPLLYPILPEERKWRASYSDADVPSATDLKRMWVRFKKPPSSPSRHGGGATPPIASVMGPIPLAVKLSHEDKLRLPQLRIDHLRTAPLLLNLMAFEQSMPLPAARSPATHDVSAYVSLMAKMVQTADDAGAMTAAEVVVVVHGGGGGESREETVRLFRQVGAAASGGEVELERSYLGKTVVELRERSRHPLFVMWADVQRNYFTLPWAVVAEFVAFVTFVSTIVQLYSSFKK >LPERR05G01980.1 pep chromosome:Lperr_V1.4:5:1316956:1319098:-1 gene:LPERR05G01980 transcript:LPERR05G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSPPCRLLRALPTCCPHPFLSSSSLGQGIRRRAGSLLRCSSPSEDASSPSGEGRREYQPSFADDLFLAFFRAKMVEEVGWDSEKPGYSGLLEVANRLMVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNARRRKELGTSSGTDGLQCPQV >LPERR05G01990.1 pep chromosome:Lperr_V1.4:5:1319719:1322919:-1 gene:LPERR05G01990 transcript:LPERR05G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSKLLSPPPRPRLAVIQNSGRRVAPLHLPFILPPGNSIRRSTSHPRLLCSSSSSDHAASTSSTAIAGAGDEESLPFVQLSSTIFLRTVDQSLLGDDTPSPESASVAGVQLKGGRGEDSHLGLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVAVLHPSTLLPVALLGLFTKLVVFVAGPLVGEVITSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHSSPAPAPPQMVLLRPWFAVLVASTAVDRLSCVALGIIAERDFVVQLAGEGRPVALAKANATLSRVDLLCETVGASIFAFLLSKNDPLTCIKLSSVISLCALPLLIFLGGEMNRLADGIFDQSQDTMLHTAAPNFSSNITKTVEEAMATIRHGWIEYLQQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVSPSVIGAFGGSSAAVGILSTFVTARLVRELGILKAGAAGLIAQCSLLGAAVVVYLSGAAVSRRSSALFVFLGLIVASRAGQMAYNAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVARDASHFGALAALSAAAVAVAAGMYCFWLVNPTDEIRRLFPS >LPERR05G02000.1 pep chromosome:Lperr_V1.4:5:1349023:1351081:1 gene:LPERR05G02000 transcript:LPERR05G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELVTMVQSRKEEEESQTGSLFHHAASSELDMDLEIQRYLYIAIVHATALRSHRLGASVVSDKVVRTEVSKYLRRMPKAMEGIDIPSFEGTTVEQMKERMDRYLYSTIVQSSAVHASYWEHKIIDGKKENSSTNITTEEKKEKKTIITRITSTITGFFIQIRNWTTSTITQTWTSITTTNKRVIAIELELKEKREMVVRIERQLQEKTEMVVRIQRELQEKETMVVSLREEFTASTTKLNACAVKADKAAAQLIVCAKRVRKLEAMINELQEQGGRNGADGLDFDWTVQK >LPERR05G02010.1 pep chromosome:Lperr_V1.4:5:1354636:1362016:-1 gene:LPERR05G02010 transcript:LPERR05G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVKVHVFPETKAIERAGKKSFPVLVQVTAPSKPSAEDIAGVDVVALLDTSKSMDRDNRLVHLKEAMKCVIKMLGDNDRLAIVEFNAKAEIRMDLTKMCNGDVRNKATTCIDKLEVDHKTNMCDALKKGAEILRNRDIDSKSRLSCMMFLSDGGNRNNPIYKDTDITDKFPVYTFGLGVEHDPRVMKYIADITHGTYSFVNQDITKIKNAFEFFIIGLKSVTTRYLKITIEAHTGVTLSRVRCGGYHDDKEKQTMKDNKVTVAIPDIYAQECKNIVVDLTVAEGESSKLITVNGSYQTLSAKETIELDKTEVCVERPPSCSPDQLAICPQVAAELTLIELEDGLKVMEKFPSSNKLTKLLNEVKGTKYYSSVPKAFLSEMENAIAEMKQGLNEDNNSGDDDDNSDDEDRTKLGHFLLSWLTCCKWQRATWVESGCIRPQVVVESDCIRPTSKENGCDPPIVDPPAPKEDGCDPPVIDPPVPKESDCDPPAPKEDDCDPSAPKEDGCDPPAPKEDGCDPPVVDPPAPNEDGYDPPAPKEDGCDPPTPNEDGYDLPIVDPPIPKEDGCDPPAPKEDECDPPTPKEDGCDLPVVDPPVPKEDDCDPPAPKEDDCDPLAPKEDGCDPPVVDPPAPKEDGCDPPGPKEDGCDPPVVDPPVPKEDGCDPPAPKEDGCNPLIVNPPVPKEDGCDPPAPKEDGCDPPVVDPPAPKEDGCDPPEPKEDDCDPPVVDPPITKEDDCNPPVVDPPTTKKNDPCWRLIINRPWLLCGVLLLALALLYFFTVDGARLPLVGPSWWWWWSTTTTISSGGIAGHANTTSALVITQHASWTKMEEDFEAVITKAKAGSDTSSLFHDTASSNLDMDHEIERYLYLAIVHATVLRMNSWSHNTAVSDKVVRSEVAKYLRRMPKAMEGVQIPSFKDTTVDQMKKGMNSYLYSTIVQSSAAHASYWENKKIIDDKEENRETTITTEEKKEKTTITRITGTITGFFIQIRNWTTLTVTQTWTSITTTNKRVLEIELELKEKKEMMIRIERELQEKTEMVVRIQRELQEKEAMVVSLREEFTVSTAKLGACTMKTDKAAAQLITCAKRVRKLEALISELQEQGGGNGEGLDFDWTVQK >LPERR05G02020.1 pep chromosome:Lperr_V1.4:5:1373753:1377982:1 gene:LPERR05G02020 transcript:LPERR05G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQLEVFEGLSSEEEERGIPSVDPLQQRECQNLDVLEHRFFAVLMDAKGVNDRDALIDLESGNNVVVVEHNNGVDANFVVAQERTAPNCAWNAAMGTNGCLKDDMNQHMCCCPPSPDSAAKNGDDRKSDGEEKLGPLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSSNLFPLVITIIFCLVILWQGFFSRTGPSVSFHGSPESSVRAHSSLISIRFYKNNHSNSRPDESMSAAPRNAETPSRLDIRIKGGISD >LPERR05G02020.2 pep chromosome:Lperr_V1.4:5:1373753:1377982:1 gene:LPERR05G02020 transcript:LPERR05G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQLEVFEGLSSEEEERGIPSVDPLQQRECQNLDVLEHRFFAVLMDAKGVNDRDALIDLESGNNVVVVEHNNGVDANFVVAQERTAPNCAWNAAMGTNGCLKDDMNQHMCCCPPSPDSAAKNGDDRKSDGEEKLGPLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSSNLFPLVITIIFCLVILWQGPSVSFHGSPESSVRAHSSLISIRFYKNNHSNSRPDESMSAAPRNAETPSRLDIRIKGGISD >LPERR05G02020.3 pep chromosome:Lperr_V1.4:5:1373753:1378237:1 gene:LPERR05G02020 transcript:LPERR05G02020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQLEVFEGLSSEEEERGIPSVDPLQQRECQNLDVLEHRFFAVLMDAKGVNDRDALIDLESGNNVVVVEHNNGVDANFVVAQERTAPNCAWNAAMGTNGCLKDDMNQHMCCCPPSPDSAAKNGDDRKSDGEEKLGPLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSSNLFPLVITIIFCLVILWQGFFSRTGPSVSFHGSPESSVRAHSSLISIRFYKNNHSNSRPDESMSAAPRNAETPSRLDIRIKGRKITR >LPERR05G02020.4 pep chromosome:Lperr_V1.4:5:1373753:1378237:1 gene:LPERR05G02020 transcript:LPERR05G02020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQLEVFEGLSSEEEERGIPSVDPLQQRECQNLDVLEHRFFAVLMDAKGVNDRDALIDLESGNNVVVVEHNNGVDANFVVAQERTAPNCAWNAAMGTNGCLKDDMNQHMCCCPPSPDSAAKNGDDRKSDGEEKLGPLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSSNLFPLVITIIFCLVILWQGPSVSFHGSPESSVRAHSSLISIRFYKNNHSNSRPDESMSAAPRNAETPSRLDIRIKGRKITR >LPERR05G02030.1 pep chromosome:Lperr_V1.4:5:1383915:1391073:1 gene:LPERR05G02030 transcript:LPERR05G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKCRLRFPLHHLRRLARRHLSASPASSHRRNLPAGSGDDAARRHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALISAIAAFARAGYADRALKTFYRAADLGFRAPPGVRVYNHLLDALLRENLVGAVVPVYDNMRKAGVVPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRLEEARGFLAETMPVRASYNAVVHALCGEFEMWEVFSVVNEMVERGLQPNVVTYTTIVDAFCKARELRMACAVLARMVTMGCPPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWEPSIISYNVLIRGLCYIGDLKGALDYFNSMKRNSCFPNAVTYSTLIDGFSKAGDMDGAMSIWNEMTSAGCKPNVVVYTNMMSVYCKKMMFDQAESLIDKMLLDNCPPNTVTFNTLIGSLCGCGKVGRAFNVFHGMRRNGCHPNDRTYNELLHGLFREGNHEDAFRMVIEMLNHGIQLSLVTYNTVISGLCQMCMSKHAMLLLGRMILQGLQPDAFTFNAMIQAYCKEGKVRIAACLLGQMNAVNCPRNIVAYTILISELCNNGKLENAVVYLLKMLYEGICPNEATWNAKTTTKPCLDLKQGNDNKEAGYPYSAKFTCIATIH >LPERR05G02040.1 pep chromosome:Lperr_V1.4:5:1386713:1392016:-1 gene:LPERR05G02040 transcript:LPERR05G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPVLEADMKTSWDVFRVAAGKYPDNRMLGWRPFKDGVIGPYIWKSYKEVYEEVLQVGSALQQLGVQPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVNFIIDHAEIDVIFVQDKKIKEVLSPNCKSAKRVKALVSFTSGTSEEITTADQIGIKLYSWNDFLKMGKDNPAQPCAPKPNDTCTIMYTSGTSGEPKGLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRKLIFNALYNRKLANMKAGYTQKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYDPLGTPSRGEICVRGNFFTGYYKNPELTNEVITDGWFHTGDIGEMNSDGVLKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPQTVEDIWVYGDSFRSMLVAVVNPHEENTMKWAESNGLKGSFAEICKSEVLKDYILKELQSVAAKNKLRGFEYVKGIVLDPIPFDIERDLVTATMKKRRNYMLKYYKPEIDKVYQQLEEQRIAAKSK >LPERR05G02040.2 pep chromosome:Lperr_V1.4:5:1386713:1392016:-1 gene:LPERR05G02040 transcript:LPERR05G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPVLEADMKTSWDVFRVAAGKYPDNRMLGWRPFKDGVIGPYIWKSYKEVYEEVLQVGSALQQLGVQPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVNFIIDHAEIDVIFVQDKKIKEVLSPNCKSAKRVKALVSFTSGTSEEITTADQIGIKLYSWNDFLKMGKDNPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAMQASSWTNSMIRSLLRSFARYGGLNKFINLCLIQLMMTTDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRKLIFNALYNRKLANMKAGYTQKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYDPLGTPSRGEICVRGNFFTGYYKNPELTNEVITDGWFHTGDIGEMNSDGVLKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPQTVEDIWVYGDSFRSMLVAVVNPHEENTMKWAESNGLKGSFAEICKSEVLKDYILKELQSVAAKNKLRGFEYVKGIVLDPIPFDIERDLVTATMKKRRNYMLKYYKPEIDKVYQQLEEQRIAAKSK >LPERR05G02050.1 pep chromosome:Lperr_V1.4:5:1401346:1405126:-1 gene:LPERR05G02050 transcript:LPERR05G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRHPPPVAAAAPPADHFKPGDLVEVLPDHPAYRGAHFAATVTASHLNPSGYSVAYDAFVDGGESARPPPPLRDVVPASQVRPRPPDSAPGGGRVVAVWFAETREGAEFDAVDVRPHLEWVAGEWLSPEKMDISNTIPYTKGTKVEVAKLEAVEKVIWKNNVLVEYNCSNSDGSALPKEIVDLKHIRPCPPHASVVSFCINDEVEGFQGNGWWLGVIAEVHPEFKYTFKEAHSGKEVQLDQKALRLRYDWTDGQWQQVSQNVLTKFAKGSKVEVTSNDEGFRGAWFQGTALKYVDNKILVEYDMLKDDDEITPLTEAIEVQHVRPCPPDITVTNGFNLLDEVDACWNDGWWVGVISKVINDQTYMVYFRGSMEEMEFQHEQIRHHCDWVGGRWMRTSLYPSD >LPERR05G02060.1 pep chromosome:Lperr_V1.4:5:1406497:1412047:-1 gene:LPERR05G02060 transcript:LPERR05G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSSRLPDLAPRDSWPPDLAIFVVEGGESSETWKMMQEEREMGERKRGRWKSRLGDSGGHREQAVLFLGGVASAPDRIDLVSSRRGVGGHPPHRSRLLPFEASSRERLHPPSPMLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGMTKYSLHMDDVLPFGPRPRPRRLWGPLSTLDHLHPYAKPRKTYHAPDYHTGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSNDVAIVRGLPKELREARKKIKFPTVSPKNSATPEYYVADVLPKLSKSKVIGIIVNGGKCLQSILPASLEEFQRLRCRVAFHALKFRPEIRALGNQIVNRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSIDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDLPHPPPPKSEKALIEEWKKAGPRPRPMPPPPARPFYSHEKVGWYGWIGENDTEPDPAPIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSVWPDYSSLIMGHRLYQTPSGITYRPDRRTIVELFENISDHRYHPPRNWTLAARQHLNNSARVEGIVTSAMLSKPVSFLAHPLPECSCRTLKSPGVQSMKDSHGRLLFGGEEECPDWMARSSAMASSKNNEPQNEDYEGDLPEDDSSQDTHQETDRSDMNKSSDQDEEMDPDD >LPERR05G02080.1 pep chromosome:Lperr_V1.4:5:1436236:1439055:-1 gene:LPERR05G02080 transcript:LPERR05G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAVGFLRHHPPSSRRPPPTTARILLLPSQQSLRRRLLRTNSSSSSPRRLLPTAAVRAQTSPTPGYLPESDFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFTEDTFIEKVKMEIVVCKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMAGGLADRLSSAIPIS >LPERR05G02080.2 pep chromosome:Lperr_V1.4:5:1436236:1439055:-1 gene:LPERR05G02080 transcript:LPERR05G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAVGFLRHHPPSSRRPPPTTARILLLPSQQSLRRRLLRTNSSSSSPRRLLPTAAVRAQTSPTPGYLPESDFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMAGGLADRLSSAIPIS >LPERR05G02080.3 pep chromosome:Lperr_V1.4:5:1436236:1438527:-1 gene:LPERR05G02080 transcript:LPERR05G02080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTAAVADRVRPARELLGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFTEDTFIEKVKMEIVVCKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMAGGLADRLSSAIPIS >LPERR05G02080.4 pep chromosome:Lperr_V1.4:5:1436236:1438527:-1 gene:LPERR05G02080 transcript:LPERR05G02080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTAAVADRVRPARELLGLLQMGIRGVTVSDVRGFGAQGGSTERHEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMAGGLADRLSSAIPIS >LPERR05G02080.5 pep chromosome:Lperr_V1.4:5:1438531:1439055:-1 gene:LPERR05G02080 transcript:LPERR05G02080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAVGFLRHHPPSSRRPPPTTARILLLPSQQSLRRRLLRTNSSSSSPRRLLPTAAVRAQTSPTPGYLPESDFYKVEAILRPWRVPYVSSVSADY >LPERR05G02090.1 pep chromosome:Lperr_V1.4:5:1443513:1445180:1 gene:LPERR05G02090 transcript:LPERR05G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSSIGVFVLLLLQLSGLMPGMTDGRCIVFNFGDSNSDTGNFIAAYGLYLGPPSGRRFFHHPTGRWSDGRLYIDFIAEKLKISYLSPYMESSGSNFTSGVNLAVAGAAVSSNKTGAIPLGLDTQVNQFLHFKNRTRDLAAGAGAAATISEGEFTHDAVYSIDAGQNDVALAFLAGNLTLPEINLQLAAAAARIGDAVRALHATGGARKFWVYNTGPIGCLPQTLALRQKPGDELDAAGCLAAYNAAARQFNAELAAVCRRLAADLDDAVVVCVDMYGIKYDMFLNHGRYGFDRPLMACCGHGGPPYNYANLKTCGQPTATACPEGERHVSWDGVHYTEDANAIVAEKVLSGHFSTPRVKLTALCK >LPERR05G02100.1 pep chromosome:Lperr_V1.4:5:1446144:1453281:1 gene:LPERR05G02100 transcript:LPERR05G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTEWEGVEVNVEDDVEDSSINASVTHVTNAAINPQPAMFHATVKEEEGQPSSSSSHVRSQFIGMGFSPMLVDKVLKKHAVYPNLLPSNLQTITFVSLMHLDSDTILESLLSQSAFQKSGSESSSSLGDLSESDGEENISHLESRKEVIQDIKVEADSFLEKRSYLLNTMNFSQREVDLALNQLGEEASLEQLVECIVTGQVAGFSGGNGNANASNERKDESLFGVMDKTLHLVQMGFTEEEVSSAIDNFGPETTVLELIDSIFASRIANSIEQKEVKVEPDILGETENSYSAYHPSNSGLRYYDDDYDDIRIKRAKHMFIDDSGGSSSHAGNQPSLNPWLKDYHATTSNGSVKEESDAMAPGVGENVRSDIANPPYFFYGNVVQIPKATWQRLSGFLYDVEPEFLNSQYFSALSRKEGYIHNLPTERRRQVVPKSPMTIEVPFTRQCWPSWDTRKQIAGVTSEVARVEDLCKRPGKMIRDSGGFLSQEKKMHIMHQVERLLGYPRNHTNLFGLSPQERIEAMKYSFQIDTLCYPLSVLKDLYPDGLRVLSIYSGIGGAEIALYRLGIPLQCVVSVEQSDIKRRILKRWWWSTGQKEENLRQMNSIWKLNINILEELVKEFGGFDLIIGGNFSSCKGGTTVNTTMGLDSNQFFEFVRVVQRVKYIMGRQQSMMHGSASHRPLAPSN >LPERR05G02110.1 pep chromosome:Lperr_V1.4:5:1453273:1457438:-1 gene:LPERR05G02110 transcript:LPERR05G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDRNLSTVKQTSSSPPSPPSSDPPAFRAGVGERERGGIHPATARLPRPPLSGEPHSDPNETSTSGDVDRLPNEMSNMNIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNFIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFIGWSV >LPERR05G02120.1 pep chromosome:Lperr_V1.4:5:1467762:1472483:1 gene:LPERR05G02120 transcript:LPERR05G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVPYHHRCVAKGVDEVVGMSAAAAAAAAAVAPPEMVADVEVAVEVPHMGLEIAAGAPSVSVEALQFVPIIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLMCPLPSAFYGVFDGHGGPDAAAYMKRHAMKFLFEDSEFPQASQVDETYVESVENSVRKAFLQADLAIADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGIAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDVSTSPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEANRLETADNLTVIVVCFVSDLGSPQREQVGQAVVRPRSCKSLSAEALCNLRSWLETDRR >LPERR05G02130.1 pep chromosome:Lperr_V1.4:5:1471941:1475888:-1 gene:LPERR05G02130 transcript:LPERR05G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSAAGGGENPPAASSARKPKTTTVASAVHRIQMSLYDGAASSNEPLLVAAAAILSGGDYADVVTERSIADTCGYPTCPNPLPAAAAADKAASPRFRISLKEHRVYDLEEARKFCSERCLVASAAYGASLPPERPFGVPPDRLDALVALFEGGGGDSSVLGFGEIGDGKVEDLGRKVEIREKEAPGTGEVTLQEWIGPSDAIEGYVPRHDRVGEGSKKESKQNDACSAEQSSNINVGSKNSPSGEYGMIIPSSSVNMPVKSEVIATKLDDLSENTITKKNEAAKTPLKMFKQDEENDMLSSCISDSIAKQLENVVLEEKKDKRKKKVTKGASKAVKSKPAKRPVGHEVDFTSTIMMGDHGSGKMDHGAVGQYNFVSSILANEQPSSSQYSAVDSVQAYTEELHEVFSDAVNIAKDETSDDSGRRALKSSLKAVGSKNARRSVKWADENGSVLETSRLFESQSQEGIDSSVRRASAEACAAALIEASEAISLGTSEVEDAVSKAGIIILPDMVNQKQFDIDYDNGKDAGENEIFDIDRGAVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWLSRSSLAYVYGLDEGSMEDLLIANGREYPQKIVLNDGHSSEIRRALDTCVCNALPVLVSNLRMQIPVSKLEITLGYLIDTMSFVDALPSLRSRQWQVVVLVLLDALSIHRLPALAPIMSDSRLLHKLLNSAQVSREEYDSMIDLILPLGRSTQRQASLPS >LPERR05G02150.1 pep chromosome:Lperr_V1.4:5:1519083:1523483:-1 gene:LPERR05G02150 transcript:LPERR05G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTTFLLLMMMCFAAAAIGVAEGEMGLLKIPSNDSLAHCPSSCGDVGFSYPYGIGPGCFRRGFELTCDNTTHPPTLFLGNTKVIIQSNISGPSNDGYRNGIGIPTVDIKITMDNQSTHEYNEYWESPAKGFTLSELNMLHTVGCGIEVLLFDLDTNETMGSCITTCLDDLAIMKKKTLDGGCGGFGCCYIVPGRELRGFRLKVVHNLDNIAPQLLHSVPSRAQVFIVFLEYGGKPYQFNVTDLTSPSWINTSSTSILGYTNLNGAITDQQICPTSTASMRNATYACTTNTECDNAPNGGYYCRCRQEINGLNPYIRLGCNVNAPVEKYNPNRKKTCRETCGNMSVPFPFGFEEGCYALEKFRVNCTSGNITTLSPIFTFEDTYNVTSISVGEGCLSVNAKTLMDDEEPEGPLGVLFDMSDSDDAKICWAVANLTCEMAKSRQLMKEYACISENSTCQMVTYAEGTAPLGYRCKCLDGYEGNPYISGGCRGISIGIGCTFGTIILALGATVLIKKWKRGIQKRIRRAHFKKNHGILLEQLILDERAQDKTKIFSLEELEKATNNFDATRVVGRGGHGTVYKGILSNQCVVAIKMSQIVEQTEIDQFINEVVILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHTDVSAKCFLSWDDRIKIAIEAACALAYLHSAAAIPIYHRDVKSSNMLLDNNFTTKVSDFGASRSMSLDQTHVVTIVQGTFGYLDPEYYHTGQLTKKSDVYSFGAILVELLVRKKSIFTDGQGKKQSLARYFVEGLQQGALMEILDSQVVEEANQEEIDDITLIAEACLKTKGGERPTMKEVEMRLQFVRTTRQRKCQNFPLTEGEMDHFLFPTTSSSDAHDSLVRSTGLSRGCVSGNYRLEQELSSSICLPR >LPERR05G02160.1 pep chromosome:Lperr_V1.4:5:1532241:1534392:-1 gene:LPERR05G02160 transcript:LPERR05G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRDQPPAPNQAPPLSMAFPPLLQISCAPMDPPFRRRRLAATRPTSATPGKSRRCRRHQICALPRRFAALLLRWWQGIKHPVIFHLEEIPDYTAAEVDIRNPKSCRPSERRLPVWHLGVLDGEPAPPRLFDTFPHHPPSPRISTYNFWQGRYEEGGDTSTNTDRPRDDHIQQADRRVDRAPADRGNRNRGGEQDRRRDAGRHDGRRGGRNHGARGGDDHPHDRDRGWRRDDRDDYGRDMDCGREPRHACDSDRGRGTDYHREHTRSPRDKDRGDGDRNGRRHHRSPCDAGSGDGGPQHGGGSSPAAALGEALKLPPTASAGGERRFHDADFPPSAAAPGNEASSATTIPLHAVFKRLKDKLKINGDLTVFTMGQLELALANIAISDPVPGTPHTPCRLSPSDTPSPCLSPLLTAAVFSSHCAPDDALPSSITPPTPLAERVALFVDSLRGPLPLVSCVTPGALPASRIRHVVSAPAGIARFRRIPLPPLVPEKEEVAVAQPPADLDVRQLEASRTSSTTIQGGATPAVQGGAIPAALHGAVAHAQAPQAEGMALVVPPAGEPMPSQVDARHHNDDRDDNAAATPLFKCTGARGWARSRWRGCRWNFGRRSTYAARWERCRITDQALADFKALFAKPLPADAIAALDDLFGFSRIDSAATDEALARFLGPSDFAASSELSVCHD >LPERR05G02170.1 pep chromosome:Lperr_V1.4:5:1536425:1544165:1 gene:LPERR05G02170 transcript:LPERR05G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRDDGDRRRSSYQLLIVASILFGYVSTATGLQVGYYNNSCPGAEDLIQTIVHGAIRNDAGNGPGLIRLFFHDCFVRGCDASVLLDADPTNVNGTVEKMAPPNFPSLRGFGVIDRAKRVVERRCPGVVSCADIVAFAARDASRIMGGIKFSMPSGRLDGRVSRAADALSDLPPASNNLTQLITRFAGKNLTADDMVTLSGAHSIGRSHCSSFSGRLYPQIDVAMNSTLGVRSRAKCPAATGGRHDRVVQLDFKTPLMLDNVYYANVMTHEVLFTSDQSLIDRNDTAALVAQYAASRKLWSQKFAAAMVKMGSIGVLTGPPGEIRQVCNKKRHVGTMRSPWLVAAAAMVLAVAVVEASPVPGPLKVGFYKQSCPQAEEIVRNAVRRAVAVNPGLAAGLIRMHFHDCFVRGCDGSILINSTPGHKAEKDSVANNPSMRGFEVIDDAKSILESHCPRTVSCADILAFAARDSVHLATAGGAEIDYPVPSGRRDGRVSIADEVLADNVPGPTFNVGELISSFARKGLTADDMVTLSGAHTIGRSHCSSFTTRLYNFSGEAGRTDPAIDGAYAVELKRMCPPATDDQNDPTTVVLDPVTPTRFDNEYFKNVLRHRVVLNSDQTLLENPWTAGVVKFHAAVEKVFLAKFAAAMVKMGNIEVLTGDKGEIREKCFMVNSKHY >LPERR05G02190.1 pep chromosome:Lperr_V1.4:5:1557460:1560188:1 gene:LPERR05G02190 transcript:LPERR05G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDPRPSSPCRVGSNLIPPPLHSPSSSSSDQIRAAAAAESIEELLKKMAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGSIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >LPERR05G02200.1 pep chromosome:Lperr_V1.4:5:1562873:1566491:-1 gene:LPERR05G02200 transcript:LPERR05G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELEKATRWPVLTSDRRHLVRQPECFGASIGRNSVWALDMSVSEKVAPSSSVSSIELDPLLKDLTEKKLSFRRNVVSLATELKDVRNKLASQEQLFARESQTRKFAETKARSMEEEISKLQKCLHDKDEQLRSSTGCTEQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSIKEHELRVNKLGEQLDLLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKVGSNKDNELLKILSDVSPRNIDNLNKLLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVAMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVILTKR >LPERR05G02210.1 pep chromosome:Lperr_V1.4:5:1570866:1571396:-1 gene:LPERR05G02210 transcript:LPERR05G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGIDAVDGRRRWIRSSPPPQDEPLPSPSRPPPSTIDVGSSRSGAADRIRPPRDRRGRICSSPSAARRRLAPPSRQHQIHALLVPSSSPSAGLRPGFRRSCRRRRCTPTSALAATVRRTMLQREMEAGAASGKGEEASALSSDGGGGWHREPSTPTPTVTTHQQREREGDDMGG >LPERR05G02220.1 pep chromosome:Lperr_V1.4:5:1573663:1575548:1 gene:LPERR05G02220 transcript:LPERR05G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVNSAELGSNLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSLVTYYTSALLSDCYRSGDELTGKRNYTYMDAVNANLSGVKMQICGFLQYANIVGVAIGYTITTSISMLAIKRANCFHKEGPHHGDPCNNMSSTQYMIIFGVAEIFFSQIPLDKISWLPILAAVMSFTYSTIGIGLSVVRVVANGGIKGSITGISIGGEVTPMDKVWRSLQAFGDIAFAYSFANILIEIQDTIKQSPSSSESKAMRRATGVSVAVTAFFYMICGCTGYAAFGDAVPGNLLAGFGFHEPYWLLDVANAAVVVQLVTVYQLSCQPLFAAVEKWAAQRWPESAFITGGLHVNPFRLTWRTVFVCVTTVVAILVQPFFSCVAFLLGAVSFWPLTVYFPVEVYIAQRGVPRWSARWIGLKMLTAGCLAVAVAASVGSVADLIHSAPNVYRPFTGSIPPARVAYFRASRTAAAHT >LPERR05G02230.1 pep chromosome:Lperr_V1.4:5:1585084:1586730:1 gene:LPERR05G02230 transcript:LPERR05G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAGVVPRGCGGDRCAFGRDAWPLHNVRHQGIFCRLCSSCVLLYHPSSFCSSCLLLLPQIPQSSAAAAGVDQVVAAPGPVSACSSCGLFVAHHSCVSDPLSFLCPTCAAAAHGTVFSYLPPGGGGGGARRVTLDERGARVLLVAARLSHESISRAAAAAREEAERRVRDAVVARRQAKEMKKKAPKSGGGEAGKDRDRLLKLNAMKKPALAFAAAAAAAASSMPLSMPSPMEEDSKPVVVTEEMQDSGDGSLSYERGPLFGTLQS >LPERR05G02240.1 pep chromosome:Lperr_V1.4:5:1587565:1591008:-1 gene:LPERR05G02240 transcript:LPERR05G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGGGAGGGRREALLGGYEMGRTLGEGNFGKVKYARHVASGGQFAVKILDRGRVVSLRAGDQIRREIATLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFERIAIKGKLSEKEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDHKGNIKISDFGLSALPQHLGVLQNRGYNGSLSDIWSCGVILYVMLVGYLPFDDRNIVVLYQKIFKGDTQFPKWLSPSAQNLLQRILEPSPMKRIDMAGIKSHEWFQKEYVPVVPYDDDDEDLQLGGCLPVKEQISEESGDKNPHQINAFQLIGMASSLDLSGLFEDEEVSQRRIRFTSAHPPKDAFDTIESSATELGFQVQRGQSKLKVIRNCNGPKNPNSFQLSAEVFELGPALYVVELRKSHGDPALYRQLCERISSDLGVCKTEQIFRTVTLEDDLQSSNAGTPLFAL >LPERR05G02250.1 pep chromosome:Lperr_V1.4:5:1609607:1614872:1 gene:LPERR05G02250 transcript:LPERR05G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGFTVTRTSQSLVPPSSPTPAETMPLSVIDRVAGLRHLVRSLHVFENGRRDDGNNAGGESSPATVLREALGKALVDYHPFAGRFVVDGEDGKVAVACTGEGAWFVEATADCSLEDVKLLDHPMMIPKEELLPEPAPDVDPLDIPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPSPRVSPSSPPRDAAIPSPPKMPAPPPKLELLHLKYFTVDLSPDHISKVKSSFFQSTGLRCSAFDVSVAKIWQSRTRSILNLAAGDNNLAAGERTVRVCFFANTRHLMLPPATAGNYYGNCFYPVTAAARGVDVASADIADVVGIIRDAKARLAGDVARWAVGGFEEDPYELTFTYDSLFVSDWTRLGFLEADYGWGTPSHVIPFSYHPFMAVAVIGAPPAPKVGARVMTMCVEEKHLPEFRDQMNAFAFAAGDNNN >LPERR05G02260.1 pep chromosome:Lperr_V1.4:5:1625261:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFGDKNKNKNKTMCNNHSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDANSVSIPYSSQTVSNYDSGSVCHDPVKSFLHNPFRFGVQSASRFI >LPERR05G02260.2 pep chromosome:Lperr_V1.4:5:1621292:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFGDKNKNKNKTMCNNHSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDASNYQTEELKKKKMMRGGRKTTGKAISDRTVPSI >LPERR05G02260.3 pep chromosome:Lperr_V1.4:5:1625261:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFGDKNKNKNKVITIIISFIAMTMCNNHSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDANSVSIPYSSQTVSNYDSGSVCHDPVKSFLHNPFRFGVQSASRFI >LPERR05G02260.4 pep chromosome:Lperr_V1.4:5:1621292:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFGDKNKNKNKVITIIISFIAMTMCNNHSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDASNYQTEELKKKKMMRGGRKTTGKAISDRTVPSI >LPERR05G02260.5 pep chromosome:Lperr_V1.4:5:1625261:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDANSVSIPYSSQTVSNYDSGSVCHDPVKSFLHNPFRFGVQSASRFI >LPERR05G02260.6 pep chromosome:Lperr_V1.4:5:1621292:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDASNYQTEELKKKKMMRGGRKTTGKAISDRTVPSI >LPERR05G02260.7 pep chromosome:Lperr_V1.4:5:1627853:1628697:-1 gene:LPERR05G02260 transcript:LPERR05G02260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFGDKNKNKNKVITIIISFIAMTMCNNHSGSVHHHPVKCVLDDALRFSVECACRFIEKEDLGILDNGTGDRDALLLTSG >LPERR05G02260.8 pep chromosome:Lperr_V1.4:5:1623363:1625352:-1 gene:LPERR05G02260 transcript:LPERR05G02260.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVRFVMTLSRASCTTLSDSVSKALVASSNSRILGSLRIALAIAILCFWPPDNCVPCSPTKPTVCSTTTAASA >LPERR05G02270.1 pep chromosome:Lperr_V1.4:5:1622638:1628744:1 gene:LPERR05G02270 transcript:LPERR05G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFGVNASSSAGDGGEADAGHGQSKKNAVVVPGGGDGGSVAFHRLFAFADATDAALILLGTLGAAANGAALPLMTVLFAGLIDAFGGAGAGGAGVVSRVSAVSVEFIYLAIASAAASFIQVSCWMVTGERQAARIRSLYLKTILRQEVSFFDKNTAASPGEVVGRMSGDTALIQDAMGEKVGKFIQLLVTFIGGFGVAFAQGWLLTLVMLATIPPLVVSGAVMSSVVSRMASIGLEAYADAAVVVEQTVGCIRTVASFTGEKEAVAKYSRALKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTAGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFTASIKDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFSTLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERVVQEALDRVMTNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELIRDSDGAYSQLIRLQENSHDSEGVNYQNKSGKKGDYGVHSGKQLFSYQSTPQRSSRDNSSNHSLSVSFASPLEIDVQGGSPKKLAEETPQEVPLSRLASLNKPEIPVLLLGSVASVVSGVIFPIFAILLSNVIKAFYEPPHVLKKDAEFWASMFLVFGAVYFLSLPIGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKVRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKIMYEEASQVANDAVSSIRTVTSFSAEEKVMDLYKTKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDNKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIIDRKSRIDPSDEAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIKSGKTVALVGESGSGKSTAISLLQRFYDPDSGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGEATESDIISAAQLANAHKFISSLHQGYDTLAGERGAQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIIAHRLSTIQGADMIAVVKNGMIIEKGKHDTLIDIKDGAYASLVALHLSAKS >LPERR05G02270.2 pep chromosome:Lperr_V1.4:5:1622638:1628797:1 gene:LPERR05G02270 transcript:LPERR05G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFGVNASSSAGDGGEADAGHGQSKKNAVVVPGGGDGGSVAFHRLFAFADATDAALILLGTLGAAANGAALPLMTVLFAGLIDAFGGAGAGGAGVVSRVSAVSVEFIYLAIASAAASFIQVSCWMVTGERQAARIRSLYLKTILRQEVSFFDKNTAASPGEVVGRMSGDTALIQDAMGEKVGKFIQLLVTFIGGFGVAFAQGWLLTLVMLATIPPLVVSGAVMSSVVSRMASIGLEAYADAAVVVEQTVGCIRTVASFTGEKEAVAKYSRALKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTAGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFTASIKDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFSTLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERVVQEALDRVMTNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELIRDSDGAYSQLIRLQENSHDSEGVNYQNKSGKKGDYGVHSGKQLFSYQSTPQRSSRDNSSNHSLSVSFASPLEIDVQGGSPKKLAEETPQEVPLSRLASLNKPEIPVLLLGSVASVVSGVIFPIFAILLSNVIKAFYEPPHVLKKDAEFWASMFLVFGAVYFLSLPIGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKVRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKIMYEEASQVANDAVSSIRTVTSFSAEEKVMDLYKTKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDNKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIIDRKSRIDPSDEAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIKSGKTVALVGESGSGKSTAISLLQRFYDPDSGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGEATESDIISAAQLANAHKFISSLHQGYDTLAGERGAQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIIAHRLSTIQGADMIAVVKNGMIIEKGKHDTLIDIKDGAYASLVALHLSAKS >LPERR05G02280.1 pep chromosome:Lperr_V1.4:5:1629265:1638958:1 gene:LPERR05G02280 transcript:LPERR05G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQAGNHLLKTSSSDSDIVPLVDYLNTQYYGVIGIGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSKYKSKKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKKQKFIEATRETSVTFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQKLLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVTEYGEMILDLLIAQLFLNSSNSVILYYLSRQIHRKYVASNGIASVVDKENLRSDALCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIAKKTFVLTPEQYIVKLEQSGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAKSA >LPERR05G02280.2 pep chromosome:Lperr_V1.4:5:1629265:1638958:1 gene:LPERR05G02280 transcript:LPERR05G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQAGNHLLKTSSSDSDIVPLVDYLNTQYYGVIGIGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSKYKSKKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKKQKFIEATRETSVTFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQKLLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVTEYGEMILDLLIAQTDPQKVCGQVGLCMFDGTRSVSNGIASVVDKENLRSDALCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIAKKTFVLTPEQYIVKLEQSGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAKSA >LPERR05G02280.3 pep chromosome:Lperr_V1.4:5:1632630:1638958:1 gene:LPERR05G02280 transcript:LPERR05G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQAGNHLLKTSSSDSDIVPLVDYLNTQYYGVIGIGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSKYKSKKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKKQKFIEATRETSVTFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQKLLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVTEYGEMILDLLIAQLFLNSSNSVILYYLSRQIHRKYVASNGIASVVDKENLRSDALCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIAKKTFVLTPEQYIVKLEQSGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAKSA >LPERR05G02290.1 pep chromosome:Lperr_V1.4:5:1636646:1641731:-1 gene:LPERR05G02290 transcript:LPERR05G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMVQKQHEEEEERSFFGREIKEVDFFSAAGAGGRSGADDGDVAIRADGVSHAGFMVSTALDLLTAVNDGDEDQIKKGESNDHHQSKTADATTVEGELRQAGEENRRLRRRLEELTTSYGALYHQLVQAQQQQQHNKQAMAGMQLLDALAASPASHRRLAADGDGDRTDSDGGGADEKPAPATLTQLTAPENNAGEQAAAAEMAPCRKARVSVRARSEAPMYGQKMAKGNPCPRAYYRCTMASQCPVRKQVQRCAEDRTILMTTYEGTHSHPLPAAAAAMASTTSAAAQMLLSGPAPLSRAPFFPYPTLSASAPFPSITLDLTNPLATSAAGLQLHRGPHKITMYGSAGAGGFQFLPGSHHRAVFPPPPAMETMTAAIARDPNFTTALAAAISSIMAGGGGVALAQAPVQETSTAAPTTTPPPLEHV >LPERR05G02300.1 pep chromosome:Lperr_V1.4:5:1654069:1657766:1 gene:LPERR05G02300 transcript:LPERR05G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTLLSSPATATATARSPPRRRHKFYLSATSRPSTSSSSSRALSHGGGSSTMLVAAQSQPPLPPPPLAAGAVRRDASTGLAFLLFVLAAVMGSFLSLIIFSFPTWRAMQKLEIAVHKLSKVVAEEVPGTLSSLKLSCMEINDLTSLLKKFRQGLMINRFGKNNNADARSQTGWPKQGNI >LPERR05G02300.2 pep chromosome:Lperr_V1.4:5:1654069:1657766:1 gene:LPERR05G02300 transcript:LPERR05G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTLLSSPATATATARSPPRRRHKFYLSATSRPSTSSSSSRALSHGGGSSTMLVAAQSQPPLPPPPLAAGAVRRDASTGLAFLLFVLAAVMGSFLSLIIFSFPTWRAMQKLEIAVHKLSKVVAEEVPGTLSSLKLSCMEINDLTSLLKKFRQGLMINRFGKNNNADARSQTGWPKQGNI >LPERR05G02300.3 pep chromosome:Lperr_V1.4:5:1654069:1657766:1 gene:LPERR05G02300 transcript:LPERR05G02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTLLSSPATATATARSPPRRRHKFYLSATSRPSTSSSSSRALSHGGGSSTMLVAAQSQPPLPPPPLAAGAVRRDASTGLAFLLFVLAAVMGSFLSLIIFSFPTWRAMQKLEIAVHKLSKVVAEEVPGTLSSLKLSCMEINDLTSLLKKFRTEGLQSN >LPERR05G02310.1 pep chromosome:Lperr_V1.4:5:1655650:1657422:-1 gene:LPERR05G02310 transcript:LPERR05G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGDGGEEGKSSSWPWPPDGPWDNPLHSLGMEFTTVTAGEVVGRLLVTATCCQPFKVLGGGVSALMAEATASIGGYLASGHRRVAGVQLSINHIRPAHLGETVMAKAKPIQLGRTIQVWEVQIWRIDPSTSECKDLVSTARVTLLCNLPIPEEMKHYQEGLIKKHSKL >LPERR05G02320.1 pep chromosome:Lperr_V1.4:5:1667527:1667865:1 gene:LPERR05G02320 transcript:LPERR05G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKARTILNQQSNSSSSNSGSISSSSDDSHHHHHRHRAKKPRRHSSSSSSSTPSTARGGGGDEAVEIRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCNAS >LPERR05G02330.1 pep chromosome:Lperr_V1.4:5:1672000:1673275:1 gene:LPERR05G02330 transcript:LPERR05G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPINLLAFFFLAASSFIVSDAGDAAAGCSHVASIVTEELYNKSFLHKDDAACPAKNFYTYAAFLRAADRFPRFGAVGGRITRRREVAAFLAQISHETTGGWATAPDGPYSWGLCFKEEIKPAINYCDNVTAAGLRWPCVAGKSYHGHAVVAFKTALWFWMTPQPPKPSCHDVMTGRYRPSRADMAANRTAGFGLTTNIINGGLECNRTDDPRVNDRIGFFRRYSGILGVNVGDNLDCAHQLPYS >LPERR05G02340.1 pep chromosome:Lperr_V1.4:5:1674545:1675018:-1 gene:LPERR05G02340 transcript:LPERR05G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKTPNPVLAPCCAWK >LPERR05G02350.1 pep chromosome:Lperr_V1.4:5:1676510:1679418:-1 gene:LPERR05G02350 transcript:LPERR05G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGASRPTAVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEVISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINSTIIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAKVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVVPSEEAGGADATEEINSRSGSKTITDYSY >LPERR05G02360.1 pep chromosome:Lperr_V1.4:5:1683199:1684480:-1 gene:LPERR05G02360 transcript:LPERR05G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKASDHEDDDSPPSSMDSCSAGEPPSLPEQSTASSGRCRPPPATTRRRRTDLHLGLTLSPCSSDYTRSDLTVTADHHYHHHHHHHHHQGDSFRRGGGGDGRRRRSLFVKVYMEGFPIGRKIDLLQLDGYSGLVAHLATMFTNPHDDLSRRHQQIFIVGEVNKKAHHRHHHVLTYEDQEGDWMMAGDVPWEYGKLAHLSLTNLINII >LPERR05G02370.1 pep chromosome:Lperr_V1.4:5:1703069:1706503:1 gene:LPERR05G02370 transcript:LPERR05G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGNDLAELLWDNGPAIRRPPAATTTPFPPFSCSVSSTPHDVFKMRGGAGAEDDDDDDTVPWLHYDGGDGDGDGDTAPLPPDYCSALLSGFSGAVVPSTSAAPAPPPATESVTKQTRTSGGGGVMNFTFFSRPLQRSQPGGETASSSASASVAVESMVVQTATNRLRTTPLFSDHRMAWLQPPPPPPPRAAPTPAPLLPTTTPAPAAAAPPPPPPAATTATATATTSSVCSGNGDRSQLKRRDNTTQSTDWSASQDELDLDDEPAAAHRRSSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMCMPPMMLPAAVAMQHLQMQQMAHFPQFGAAMGLAAGFGMAGAAAGFDMVPMPRLAAGQFPCPMFPAAAAPPPMAMFAAAPPPAPPQFPLAAAAGEQAAAPGGADAAGDVPVVPQGHQRQTKQS >LPERR05G02380.1 pep chromosome:Lperr_V1.4:5:1708027:1716162:-1 gene:LPERR05G02380 transcript:LPERR05G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTKKRRGIDDGNPAVDLTDDLIVEILSRLPVKSICRFKCVSWHWRGLISHRAHRKKLPQTLAGFISSYSVLHNDYKLVSIPHFDIIDGEEEEEHRLVPDPSLSFLPGYRDIFPKDCCNGLVLCLCVKESSSDYVVCNPATQKWMVLPEIGPGNEVTTIHLGFEPALSPYFHLFAIREHVDEYVSGLEIFSSETRRWSYREIGWAGDDHLINSVGKAAFVDGMMNFISADAAIVAVDTEGKKWKTIPLLEGMNCDCPFNGNSAFIGQSQGHLCYINFRDRDFSILSVWTLEDYCGCEWSFKYNISTSELLGWKNLRLEWHYSLIAIHPDCNVIFYVLLDDNKMVRSYNMDRGEVDTICRIRDPYWNTCDTFLPYVPVFVESLSRCREGRSGGAALNSPREVEAAAMECSTKKRCGIDDGNPAVDLTNDLIVEILSRLPVKSICRFKCVSWHWRRLISHRAHRKKLPQTLAGFISHYYDDDLVSIPHFDIIDGEEEEEEEEEEHRLVPDPTLSFMPEALSPKDCCNGLVLCLCTKESPMDEFSDYVVCNPATQKWMVLPEIDLVNQVATIRVGFDPALSPYFHLFAILDHVEEYTACMQIFSSETGRWSHQEIGWVGDDHLIYSSSKAAFVDGMMNFVSAYAAIVAVDTEGKKWKTIPLLKGMNCNCPFNGNSAFIGQSQGHLYYINFRDRDFSILSVWTLDDYSSCKWSFKYNISTSQLFGWPNLRFECQYSLIAIHPECNMIFYVIMVENGKMLHSYDMDRGEVNTVCTVRDPFWNTYDPFLPYVPVFVESLPDHD >LPERR05G02390.1 pep chromosome:Lperr_V1.4:5:1742136:1752221:-1 gene:LPERR05G02390 transcript:LPERR05G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKGLSGDSLTIYFGTVELQLAHSPLHELIYVVSSKGGRFAGQEYPCESRFVTVTTFVISFPLLAVTGGLVRHVRRPYVVRAYIKASYTSYHRYVVCCVARSRLIYISVCAYVCALWSLSVIYVSC >LPERR05G02390.2 pep chromosome:Lperr_V1.4:5:1742136:1752221:-1 gene:LPERR05G02390 transcript:LPERR05G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKGLSGDSLTIYFGTGLFHSFISSHLPLPLILGQKSELHSCRFQDSRASACSLSTPVGDRLYAGGRFAGQEYPCESRFVTVTTFVISFPLLAVTGGLVRHVRRPYVVRAYIKASYTSYHRYVVCCVARSRLIYISVCAYVCALWSLSVIYVSC >LPERR05G02400.1 pep chromosome:Lperr_V1.4:5:1745239:1748355:1 gene:LPERR05G02400 transcript:LPERR05G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTISTERTSGSSDVNPSSASALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVPPKELFLDQLTAGHESPSSCRSSGPALYFPFQQPLGYSRECGSGDGANMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNVPPENPRHGGTGIGNTTFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAESTTAMQNQSQSLYDDVIKAESQFSMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >LPERR05G02410.1 pep chromosome:Lperr_V1.4:5:1761029:1763661:1 gene:LPERR05G02410 transcript:LPERR05G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTEPLPISRRVDAAKQGNGLATGDDDSVDVAESAVEPSSFRDLRKRVSRLSVEGAAAGLNGDCRGGGGVGNNGKVSLPPPHAWLAVENNHRRKTMAGSDITEEKWTRVLQGESGVVGGGGGGVAAARNQHRQMQRRSSFSVVKRERAAREAWLDRAWEMKKSWHQRNGGAPDADTPVVVVVGKGGGGGGGAPMSPLSLSSNGGGGVAMDVEEVRACRELGLELPSDCTVEIQCYGLSAASSPTHHAAAGGGGSCCSSGAASPSAASGAAACCSSPAADDPVDVKARLKVWAQAVALASTTHLGS >LPERR05G02420.1 pep chromosome:Lperr_V1.4:5:1765248:1768684:1 gene:LPERR05G02420 transcript:LPERR05G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEAVDFDPDDDDLMDEDVGEPTPAPAPRLRSTIAGGGDDGGARRTKGRGFREDPNSRGLAGAGRADFDSIGSDGGPGPVRSIEGWIVLATGVHEEAQEDDLHNTFREYGEVKNLHLNLDRRTGFVKGYALIEYATFKEAQNAIKALDGTELLTQIINVDWAFNHQELIALEAHQGEDTEFFSHVMMQKADNIANLPM >LPERR05G02430.1 pep chromosome:Lperr_V1.4:5:1769075:1774121:-1 gene:LPERR05G02430 transcript:LPERR05G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEKGELEYLLEFVEYPDEEKTWTLVFEKNPAYGKRNSNVGRECMIRPSYPELYRGGQIPEQLPKSNVIVSVCDTPKVGDRIEWLSEGCYWTAEIIKLLSEDMVKVELLKPPIGEGRSYDAHCKDIRPALDWSLEKGWTVPRSQTHRRAAQMKRVHLMMMKSKRGNRRKEHRILHKKLQSDSYRCHNGGDSEAQLSIQIIGP >LPERR05G02430.2 pep chromosome:Lperr_V1.4:5:1769075:1774121:-1 gene:LPERR05G02430 transcript:LPERR05G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEKGELEYLLEFVEYPDEELLKPPIGEGRSYDAHCKDIRPALDWSLEKGWTVPRSQTHRRAAQMKRVHLMMMKSKRGNRRKEHRILHKKLQSDSYRCHNGGDSEAQLSIQIIGP >LPERR05G02430.3 pep chromosome:Lperr_V1.4:5:1769077:1770616:-1 gene:LPERR05G02430 transcript:LPERR05G02430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTVRTSDLLLIGAWKKVGLYPVHRQMDKAGTLLVCINLTHRRAAQMKRVHLMMMKSKRGNRRKEHRILHKKLQSDSYRCHNGGDSEAQLSIQIIGP >LPERR05G02430.4 pep chromosome:Lperr_V1.4:5:1770626:1774121:-1 gene:LPERR05G02430 transcript:LPERR05G02430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEKGELEYLLEFVEYPDEGRAVETSHR >LPERR05G02440.1 pep chromosome:Lperr_V1.4:5:1781371:1782371:-1 gene:LPERR05G02440 transcript:LPERR05G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQFPPQQQESQPGKEHAMDPRPEAIIQNYKPANKLQDKVAIVTGGDSGIGRAVCLCFAMEGATVAFTYVKGEEEKDAEVTLRALRDIQSSRGRGGGSKDPIAIPADLGYEENCRKVVDQVADAYGGRIDVLVNNAAEQYERPSLTDITDDSLHRLFRTNLYSYFFMSKHAVTHMGAGAAIVNTTSVNAYKGNKTLVDYTASKGGIVALTRAMALNLVEKGIRVNGVAPGPIWTPLIPASFAEEKVSQFGSQVPMNRAGQPSEVAPSFVFLASEQDSSYITGQVIHVNGGVIVNG >LPERR05G02450.1 pep chromosome:Lperr_V1.4:5:1783540:1786301:-1 gene:LPERR05G02450 transcript:LPERR05G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLLADPSPSSPRQSPSADPPGPPHRIPVKVADTNDAAAGGPWSGKGTQSTNIVMHFGFTHPSVGDRMGAVIKYGSKNGYIDAAKAIPELFEDVKAIFAPYAKATFKGRCHCDALMSEHDSVSRHVRVGNLKTLSSSRQCNACGCCFSLGAFLYNSAFFDGFLS >LPERR05G02450.2 pep chromosome:Lperr_V1.4:5:1783540:1786301:-1 gene:LPERR05G02450 transcript:LPERR05G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLLADPSPSSPRQSPSADPPGPPHRGPWSGKGTQSTNIVMHFGFTHPSVGDRMGAVIKYGSKNGYIDAAKAIPELFEDVKAIFAPYAKATFKGRCHCDALMSEHDSVSRHVRVGNLKTLSSSRQCNACGCCFSLGAFLYNSAFFDGFLS >LPERR05G02460.1 pep chromosome:Lperr_V1.4:5:1788936:1791251:1 gene:LPERR05G02460 transcript:LPERR05G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLHRRPRCATAVISKIFAVVPTSSMMKQREDVLVQSEQSAILLGNHIYSCASTCSCAAIIFA >LPERR05G02470.1 pep chromosome:Lperr_V1.4:5:1791604:1792886:1 gene:LPERR05G02470 transcript:LPERR05G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSFSVRRRDPELIVPAAPTPRETKRLSDLDDQDALRSLIPGVFVYRAGDGGDPVDVIRRAIAAVLVPYYPLAGRLREVEDRKLVVDCTGEGVVFVEADADVTAAELGLRPPFPCSDRLLVDGGDGAVVDSPLNHVICDAAGLVMFLDAVADHAGARRRDQAAVSPPPPWCRELLDARNPPNPSSSWFPLFHGEDHAAPPPPPPDTMMPMRSFSFSAGDIAVLKRRHHRENATSFEVLAAFVWRAHAAAAAASTGDGEEACLAFIVSVRSNGELRLPGGYYGNAAVPATATMPVSSLLRGPLADVVDRVRDAKAAAATAEYVRSTVDSMALARRRRRPMVGNFLIISDVRRTGLHRVDFGWGPPIYGGPVKTMVGATFFVAAGEDEVVVPVVLPAAAMERFASEVERVHS >LPERR05G02480.1 pep chromosome:Lperr_V1.4:5:1810083:1812570:-1 gene:LPERR05G02480 transcript:LPERR05G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVAAAAATTAAKGRRRSRGCGCDGVDDAWAVTPGTAEGGGGGVGILSNLLLLLLYNYLNLYFMEVDDLDLNKNKQQSQLTSDRVLILKTTEVA >LPERR05G02490.1 pep chromosome:Lperr_V1.4:5:1812955:1814247:1 gene:LPERR05G02490 transcript:LPERR05G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATFAVRRRKPELIGPSIPTPRETKRLSDIDDQDALRVQVPFVFFYHAGGDDDPVHVIRRAIAAALVPYYPLAGRLREIDDRKLVVDCTGEGILFVEADADVRMDELEEEDDVMAPPFPYLEQLLFDVEGSAPGVVNSPLMLVQVTRLLCGGFVLAVRINHAMCDAIGFAQFLLAVADIARGLPAAVAPPPPWQRELLDARIPPAPSFPHREFDAVSPPPPTPTPCDVIVTRTFTFAPTDIAKIKNRLRETPATAFEAVTAFLWRARTAALEIAGDETVRLVIIANVRGVAGLNLPAGYYGNACVSPTAITTAGELLHLGEAAAVEMVKEAKKSVTAEYARSTADVLVSRGRPMMATANVFAVSDQRHAGFDRLDFGWGSPVYGGAAETVFGLSLLVAVKDAVGVMVSLPPPAMERFASEMETMMINK >LPERR05G02500.1 pep chromosome:Lperr_V1.4:5:1824051:1836046:-1 gene:LPERR05G02500 transcript:LPERR05G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRRKGHWHTLKDDAEDEEPARSMHAKLLQNLRAYSGQKSFDKYMQLIDKALPKVFKTVVDEVMTLEDPSSLDNMDHVKDDLWTKEGKVLERFIGLTVQICRSLNASDFLDKLSEANLNAETLVKKLKKVLEVYRSPSTDFPCIRVSTLDLLTWMVEKDGSYREILLQCGVYEELNEVARTAKKLESFKLFHCGVGIPEQSNECISSIATRLKEKLQQSPSFNERVTMDSSAGGEKMNKSRQDLPEKKLNFVLLCSAFLYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYITVIAFVQSIGILGGYEDPAYQIFLRAPEALIKNRAVEAFERKMSWWRRRATQEQQPKENKQGKPNRRKQVEEKDTRGPGYQVFLGVAIMAAMQLGKIAAMITCIALSSKRLEKQDYVELNDIGNNEHQNIKWSLNIFYSLVLAQGIICIYILLSPLTHYLVYNVRRKYKLYGPSGRKILYRYKKYNFLEFIKGNVLTTLDMNLLTFSKNLVVSISVDDQLLGIRAMDRILRSVEFRRLALRRLEVSMEPGDLGKLVDLLGFVRTMEEEQDIIRGHAARVVLKLSPYLLVQSCPQIFYIISSSLLSTSNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATDDEDGGDILSTVIDLTNMCGHGCSMSNTISDSWIEQEIIPLLQQEHDIPLPLIKRIEQEIIVGMALNILSKLVAAPGKAGNELREETSKSMHFLTNTGLILEHVEATRVISCLAVVDEATRKNIGMLPNVIKNLKKCLVSKTPYVNITKVAAKLLLLEYTSDELLNQIQSFVEENRILEDQSFSLPISAFIQELDLDQPWIQSVVQRLDLEDLLSAPPVNHSEAAAKALILLTTDCTDNVEAFLEAIKEEELDKLVKVLYFEDAEKEKRRVLAHFEGRRNLEPKTLSTVKKILCAEVEEPARSMHAKLLQNLRAYSGLKKFDGHMKVIDEALPMVFKAIVDEVATLEDPSSLDNMGHVKDDLWIKEGKLLESFIGLALQICRSLNGSDFSNKLNDADLSVETFIRKLKKILELYRSPSTDFPCIRVSTLELMTWMVEEDNSYREIFLQCGVYEELNELARTARKLESFKLFHCGVGVPEHGTGCISFLATELQKKLRGSPNFKER >LPERR05G02510.1 pep chromosome:Lperr_V1.4:5:1834368:1834743:1 gene:LPERR05G02510 transcript:LPERR05G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISGFYSRIRHGDWWVVWRTWIELAHERAAVVQWALTVGLVAVLFWQNWKSISEGASIWRKPSSVFYWAGSGYAFGCRNPLEGAVKVPAFSFT >LPERR05G02520.1 pep chromosome:Lperr_V1.4:5:1836260:1841878:-1 gene:LPERR05G02520 transcript:LPERR05G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPAPATERFTSEMEMMYSCKILGGYEDPAHQIFLRAPEVLMINKLGAALERRLSWWRRRGREQQQQQRQARGRPRRRRRHEEVKVFTSCSIYDCKIVLGMAIYVVMWLGQVAAAATCIVISRNRLKKQDYVEPQYLGHDDHQNIKLSLNIFYSLVLAQGITFICMLLNPLSYGFMAIVQRKYRLILPSGIKILFRYKNDNYMEFITGNVRGTLNMDMVTFAKNLAVSNSADDQLLGIRAMDRILMSVEYRNLALGRLRTSMEPDELGKLVNMLGIFKTMDEQHIRGHAARVLLKLSPDLPSYPQILYIISSSLLSTSNKRVCKCNMDSDLVWFGLRILDKLTDNLENFRKATNDEDSGDLLLSTVIDLTYLCGHGRSMSKTISDSWIEQEIIPLLQKDDDIPLPFIKRIDQEIIVGMALNILSKLVALPGDAGKKLREETSKKFDFLTNTGMIMGHVEATRVISCLAVDEVARQDIGKLPEIIKKLKYCLLSKAPYINTTKVAAKLLLLEYTREELLNQIQTKAFHYQFQPSYKNLIWILPRHGCGSWHKDLI >LPERR05G02530.1 pep chromosome:Lperr_V1.4:5:1841979:1847809:-1 gene:LPERR05G02530 transcript:LPERR05G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQKQPPISFAVRRRKPELVGPSTPTPRETKRLSDLDDHETLRVHVKFAFFYRAGGDDGDDAAAVIRRALGDALVPYYPLAGRLREIDGRKLVVDCTGEGILFVEADADVRMDELEEEEDDVMAPPFPEMEQLLFDVEASAAGVVDSPLMLVQVTRLLCGGFVLAVRLNHAMCDAIGFSQFLLAVANIARGLPGAAPPLPWRRELLDARIPPAPSFPHHEFDAVSPPPPQPQPCDVIVTRTFTFAPTDIAKIKNRLPATATAFEAVTAFLWRARTAALEIAGEETIRLVIIANLRGVAGLNLPGGYYGNACVSPTAITTAGKLLRHGGGALAVEMVKEAKKSVTAEYARSAADALVMRRRPLLAMGNLFVVSDHRHAGFHRLDFGWGSPVYGGVAETVFGLSFLVAVKDAVAAVVSLPAPAMERFASEMETFYSGKFIESYVDSLLFIKQNPMPNTDKRKHVLSYANPPVAKCYQFHAKTQKWEKPVRSNGPSFQSWWRTVVRCYVQLLGVNTGDKSSLAFVIREAKEIMSETRNIVLSKVHRSQNDESKSKEISLPEEHLNIVILCTAFVYKAMNGLGTLATIWATVVLLGGFSTLIKKQDFWYVTAIAFVQSIGYAVEMVKEAKKSVTTEYARSAADVLVMRRWPLLAMGNLFVVSDHRHAGF >LPERR05G02540.1 pep chromosome:Lperr_V1.4:5:1848783:1857268:-1 gene:LPERR05G02540 transcript:LPERR05G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLSCGVVTLLSCPSSERLHTEEERQAPPPSPFFFLLLLLLLLSTPLRSPAARSPASIPSYGRPTHHRGYLVPMSTIATPPPPSSTAAQEKAASRNKRKYRAEPPSAELGPFGLEYPLTADCVGFEFMSPEKAAIAAAAAVAAAAEGVNLDLIPSGSCDCKDIHPTAEELLECQRYVNWNDPNETLLEEILLKSLDATFDNAVSLIIAMGYSEPTARAAVLRAATQYNWKESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVVNACAMDYNPASLPPVDTQVIAQPAVGSYEANPSSDLSVSITNPQTGVTFRGKLTPVPPASYNTAKADSSSATPANLNVPSSKPSISGKVQSEIPNLKLKENSVPTPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDPLHRQKLMSFDKSSRVLGSKGSLRSTKHTTSGSGVLDRKCRSLSDSTNSSLKGSSKLGKGFSASLKGSEVPAELSFTGAALPSTPSFNTKLASNSNLLPAASTDLSLSLPLPSSNDSSAPCLNHDCNAGGMDSNSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNMEESTRKKLLEMESAISRANVQLEKSQSTARRREAENAQLRIQMEAAKRHALESATNILELSKKDENSHKRSQHWESERALLQEDLAAQKSKLTQVRQQLQHAKEQKDQIQARWRQEEAGKNEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRSLEQQISQLKVSLDSLKVGAPKWGTDNKTTALHLSEGRKNGNAQILSNIAAVPQEFDFDDIHRDRECVMCLSEEMSVVFLPCAHQVVCVKCNDLHDKQGMKECPSCRTPIQRRVCARLAESINHNAFDASQLFDASGIQLMRKTGIEKMAAEAMAAESLVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDDERGCDICGVEITDGALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFLVAEKPKSQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSQHQESNVVTVT >LPERR05G02550.1 pep chromosome:Lperr_V1.4:5:1859650:1867513:1 gene:LPERR05G02550 transcript:LPERR05G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPTGPNSDHLAGAVKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPLGVDQARAAGRGIVDVVSDSDPAAGNGPNWKVYFYVSPYERTRATLREIGAAFPRGRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFEHLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLPTFFDHWNDDDEDDGDGAKENGKINNNKLLE >LPERR05G02550.2 pep chromosome:Lperr_V1.4:5:1859650:1867513:1 gene:LPERR05G02550 transcript:LPERR05G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPTGPNSDHLAGAVKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPLGVDQARAAGRGIVDVVSDSDPAAGNGPNWKVYFYVSPYERTRATLREIGAAFPRGRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFEHLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLPTFFDHWNDDDEDDGDGAKENGKINNNKLLE >LPERR05G02550.3 pep chromosome:Lperr_V1.4:5:1859678:1867513:1 gene:LPERR05G02550 transcript:LPERR05G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPTGPNSDHLAGAVKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPLGVDQARAAGRGIVDVVSDSDPAAGNGPNWKVYFYVSPYERTRATLREIGAAFPRGRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFEHLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLPTFFDHWNDDDEDDGDGAKENGKINNNKLLE >LPERR05G02550.4 pep chromosome:Lperr_V1.4:5:1859735:1867513:1 gene:LPERR05G02550 transcript:LPERR05G02550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPTGPNSDHLAGAVKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPLGVDQARAAGRGIVDVVSDSDPAAGNGPNWKVYFYVSPYERTRATLREIGAAFPRGRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFEHLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLPTFFDHWNDDDEDDGDGAKENGKINNNKLLE >LPERR05G02560.1 pep chromosome:Lperr_V1.4:5:1869991:1871037:-1 gene:LPERR05G02560 transcript:LPERR05G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFEGFEKRLELVFTPPPAIAGHGLRLLPVEAIEEVLAAAQCAVVSAAGNAAMDSYVLSESSLFVYPCRVVLKTCGTTSLLRALPVLLRRAGDLAGGGIALRSCRYSRGDYLFPADAQPFPHADFADEVRFLDDALPVELRRFRRADILSNRWHVYSASSDAASCGAGGEERMTVEVCMTELDRENAAGFYRSEGDGRNGHAIGDEMTAATGLAGGVRSVACAYAFAPCGYSMNALDGDRYATVHVTPEDGHSYASYECAAVSDDDDDVADAIASVSRAVSAFRPGAVSVSLCHTAGDTPSLCAAVADALAPLRLSCQSRAAEDFPGAGTVTYQTFTATTTTCEQ >LPERR05G02570.1 pep chromosome:Lperr_V1.4:5:1880273:1880566:-1 gene:LPERR05G02570 transcript:LPERR05G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWSSQQKRSSGGHAPAAATAIAGGAGAGDDVPVQKVPNLAPKVTFHGAGPGKIKKLESIKEDINKRADRFIQRTKAKFNQSKSFQHPSAATGRGG >LPERR05G02580.1 pep chromosome:Lperr_V1.4:5:1888870:1889205:-1 gene:LPERR05G02580 transcript:LPERR05G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSDSEVRIQKVDKLDLVFSILTKPTVFYGGAGGRNGGSNAAMAPGGGRRPGAAGGAGAGRKLAGAGVAGSGNTHATTSSRGIVSIEDINKRSENYIRDRKRMFFGQN >LPERR05G02590.1 pep chromosome:Lperr_V1.4:5:1891847:1892152:-1 gene:LPERR05G02590 transcript:LPERR05G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARNSSGNGEVSVQKVEKIDLVHNLVTKPSVYGGAGRRAKTVTSRPATTADVTVFAGRKINKQQPAASSWLHGGAVTKEYISKYIEDKKRQFNQGDETV >LPERR05G02600.1 pep chromosome:Lperr_V1.4:5:1897582:1897818:-1 gene:LPERR05G02600 transcript:LPERR05G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGAKNTSNELPVEGVVRVRKVEKIQAYNLITKPSPIAATRISPTGQAESMSVTVIRVGAVAGKTDGYIPVAIVNS >LPERR05G02610.1 pep chromosome:Lperr_V1.4:5:1900836:1901024:-1 gene:LPERR05G02610 transcript:LPERR05G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSNIRVSILDGEEVHVERVDKIEVKKAGDLNVDEIAADFIRRKKEAFQRANKQAAAMD >LPERR05G02620.1 pep chromosome:Lperr_V1.4:5:1903525:1903731:-1 gene:LPERR05G02620 transcript:LPERR05G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISVQRVERIEPVTKTKTKTPTVVKNAVQTPAAPAGGIDKASRAYIDKMKRQWAAEASAAAAATRC >LPERR05G02630.1 pep chromosome:Lperr_V1.4:5:1905736:1905954:-1 gene:LPERR05G02630 transcript:LPERR05G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISVQRVERIEPETMTETSTATVTVVNAAQTSRIPASAPPPAGGIDKASRAYIDKMKRQWAAEEAAATSR >LPERR05G02640.1 pep chromosome:Lperr_V1.4:5:1912249:1912485:-1 gene:LPERR05G02640 transcript:LPERR05G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAVDIVAGDDDQAVVCVEKVAKIGRRRREANGGGDVAMDRVEEIGGKGVDIWAQIFIEQMRQKMNSQYFSTNNR >LPERR05G02650.1 pep chromosome:Lperr_V1.4:5:1915763:1925720:1 gene:LPERR05G02650 transcript:LPERR05G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAGDAAGEQEQLLLHLKLAFLAREPPTFVLALARKAGGGSVTPQVQNFILESCTCNNAGADQNCTYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSLGSGVGLVGVCLNYVGASKVILTDGDASTLVNMKTNMEMNNLWVEQDDSQLLRENKNKVQCKYLSWEEASESDLWDCWPDIVLGADIIYDPVCVPHLIRVLSMLLRRGNNGGNNNVANKESSNEFSRSATEVPVAYIATVIRNVETFNCFEKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSTQTLDVDERGHVGHGEGGLESGGAGAGLRVAGNHHLMPLEGGGGGGAGEFEVDCVLGRRPLPSSLERHRQHAMMKLLHKNHKKSPTPQSESGPSAATIRPNPSRNWDNRRTYYLGGGEDDRGGGGDSEEGGELAAHHPKLLFQFVELWLASPLRLQEEEDKIGGFTGGTGGCGGGEGGVERPERGEKGAILILAAGGQVGDH >LPERR05G02650.2 pep chromosome:Lperr_V1.4:5:1915763:1925720:1 gene:LPERR05G02650 transcript:LPERR05G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAGDAAGEQEQLLLHLKLAFLAREPPTFVLALARIIAEAELSSDIVIDGLYEEFGQFMSSKSLGSGVGLVGVCLNYVGASKVILTDGDASTLVNMKTNMEMNNLWVEQDDSQLLRENKNKVQCKYLSWEEASESDLWDCWPDIVLGADIIYDPVCVPHLIRVLSMLLRRGNNGGNNNVANKESSNEFSRSATEVPVAYIATVIRNVETFNCFEKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSTQTLDVDERGHVGHGEGGLESGGAGAGLRVAGNHHLMPLEGGGGGGAGEFEVDCVLGRRPLPSSLERHRQHAMMKLLHKNHKKSPTPQSESGPSAATIRPNPSRNWDNRRTYYLGGGEDDRGGGGDSEEGGELAAHHPKLLFQFVELWLASPLRLQEEEDKIGGFTGGTGGCGGGEGGVERPERGEKGAILILAAGGQVGDH >LPERR05G02660.1 pep chromosome:Lperr_V1.4:5:1920348:1923419:-1 gene:LPERR05G02660 transcript:LPERR05G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGELSSLLAVSSASPVVLAPSKELHHGVLPMPLQGGGKRPPSQDAIHLEFSGAAAAASFQGHQVMVPGHAQAGSGAAGFQAAFAVPDMAALIDVQDSHPDSVQLSLGIAEQCTRQEKILKFLRSGSDVKELDVSLLAELTGHQTLPINLGTQPFIPDDKISTLPISMASQPYMPDDKLSIFELGLDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRVLFNGNGDEMRDLLSVVLEFNMYKRETSSCKTAYLVPYFDRKRRSRAGSQASNLKLANTAVDASKSTANGTSKSSSKKKQKSKNIKERDLYQKNYFHASEAFLSMLLDKDNSNSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNRLRDTITGIFRSPSDMNLNDEEVAVRIQKSMNDILFRAVTILAITAFKFA >LPERR05G02670.1 pep chromosome:Lperr_V1.4:5:1926115:1929604:1 gene:LPERR05G02670 transcript:LPERR05G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWEDDESSSAAAAATTDVDLLKRAWRKEKASPEILRFDSPLVSRVREQIQLLEETLDDFAESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVENGQIDLI >LPERR05G02680.1 pep chromosome:Lperr_V1.4:5:1930695:1936566:1 gene:LPERR05G02680 transcript:LPERR05G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYIATRWYRAPELCGSFFSKVYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTGAPPERQHNSLPRPCVVYSDSRPHNTANVTEDLSRCIIRDNTVRSQQDSASVGASRVPQGAAARPGKAVGSVLRYGNCSTSSAEQQYEQRRAVRNPPIGPNSSASLGNSYPRRNQTCKSETGDAERIDSSQAGPPKPYVANKLPATVDGRSGHW >LPERR05G02690.1 pep chromosome:Lperr_V1.4:5:1937667:1946286:-1 gene:LPERR05G02690 transcript:LPERR05G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEAEDALPVPAPPNGRRYRPVGSTDRAVIQMTSMEPGSSSTTGASGVDGVKPQPPRNLTVDPSMQEDSTGSQSDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFQESVTVVNNTLVNGTVTAGTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACHQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESTSSGAQQDDSQEAYTSAQRRISTYLSEMKETAQREGHPRMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >LPERR05G02700.1 pep chromosome:Lperr_V1.4:5:1948001:1948421:-1 gene:LPERR05G02700 transcript:LPERR05G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAKIGPWGGGGGDPQDIAGILPPPHRVVSIEVSSGGAVDALSFTYAAIDGTKHTVGPSTWWTVGPWGPHGCVVTSLAFVTGAGKRHGPFGEGGGGGEKFRVPVRGRGRVVGFFVRSGWLIDAVGVYVHP >LPERR05G02710.1 pep chromosome:Lperr_V1.4:5:1949801:1951776:-1 gene:LPERR05G02710 transcript:LPERR05G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVAADELALQRLDAATRDAKRLQLETLRAILAENAGAAYLRRHIPDADAAHLLRSPDLADEFRRLVPISSYSDYADSIRRVADGDANPDELSPLPLLCFFLSSGTSSPRPKLIPYFDSPGAKSASAAVMQANSALVRRMFPPRDPEAKTLWFLYAGEVTTTKGGYEAMAATAWGIRRGGAKSPVMSACVSPADVILGTDHQQQTYCHLLCGLRRRDAVDCIRAPYAATVARALRLLRSTWRLLADDLESGTVNAGHVTDAAMRRAVQDGVLAGPSPELAAAVRRACDGDDWHGVVRRLWPAARYVSCVTTGSMAQYVPAIEHLAGEAVPVIGGDYLASECPIGINIDRASPPEETTYVVLPTAAYFEFIPLSDDDVTDDAAAAPAPAVDIAGVEVGKAYEVVATTFRGLYRYRLGDIVKIAGFHHCSPRLQFVARAPPPAEKENPELLTESDVMAAMAAFQLMLKENEVIDFAAFVIDGDGHQRRRQAKIAVEVGEGSSMVLDAAFLRRCRAAMEGCLGGAYGRSRDAGDVAPMEIAVVRAGTFDRLAEEAIRGGAPASQYKPPKIVRHRHLVDLLESSVVCSSSCSTEAAVDH >LPERR05G02720.1 pep chromosome:Lperr_V1.4:5:1958200:1960511:1 gene:LPERR05G02720 transcript:LPERR05G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSRDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVAEIEAAEKQKMREKVQKIIGHGINCFVNMQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDAFVLSQTVNDTRVLFVGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMIPRVDGIITCAPRRREDQRIGCDHTIFYLM >LPERR05G02730.1 pep chromosome:Lperr_V1.4:5:1968904:1971036:-1 gene:LPERR05G02730 transcript:LPERR05G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPPPSQSSSAAASASTSPVATNATASASAASTSSVHGALTGGHPTSPATSDALAGRGTHSVACLTPSLTSGAPFRIDQISFYIKPTTKPTNKTQRAKSQLQRSHDLTVDYKFFTKIVLDHMWEREAVRVLC >LPERR05G02740.1 pep chromosome:Lperr_V1.4:5:1975602:1977781:-1 gene:LPERR05G02740 transcript:LPERR05G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESSMFDLPLFLEEEDADADAAAAKQKRREESLKRRPRPTRTYYPDLDDTETMERWEKSRELASKYLDFDPKTGITYYSRVWFVDLTTFDLDQENSIIEEDHEFTNSLNILSLKILSSDVDYPINLYGTVIARDSLDMNCITIFRRNRDNCQVVQSENEDLILTGPTRGIVFYGAIYFEIDLKIREDEESLDREFSKGLLDKKIYFIKSQPMIVRETFESRLSELEVVFNCVSKALEGTVEIKILSDPGVFHGKITACTTDVPDDVLLYDSNQGCVTAVGDDRVMQLLRRVMVVSVQDMLVLNIHANGIRQKDHVCSHSLKFNPLTRGYDEEEIICGRHRMRVKVVWSTLML >LPERR05G02750.1 pep chromosome:Lperr_V1.4:5:1992040:1992765:-1 gene:LPERR05G02750 transcript:LPERR05G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQFHFPIADSDRPNLNRSTYISIVTGVTSYVRSRAVAFVEGKPICVAGGYFEIILYPKPGSLLASRHQHGRVRLLFSHTNLYLQAFKAQGIWHNFSDVDLDILPQGAMAQQHAARYQFRALRFQSTYGRKGMNSALHKLSVSCDSFLEIYLALSQYNPDNHMLVPSDLLKKMMYRCCAMFPEAVRFPTLASEEAHVHGYTARGERRRFARPRVVGSTVPALERLLQGSKERPRSIRGD >LPERR05G02760.1 pep chromosome:Lperr_V1.4:5:2022115:2028629:-1 gene:LPERR05G02760 transcript:LPERR05G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRRLELAVLCIYAVGFYLVVIRKSLRLSRDYSGRLYGLRAGSLTGHLNDLSDAQWRNFRGNLPVLTIVMGAFLILANSLRYCYGLKGRGASLLWLLLVGFILVIALINYSIVKLFAQYKHCVGLIWSFNLTVLILNRVYEGYSFSLFGQKLAFLDNHRVVLRMISFGCDYCWSLRSSHFDHKERGLVAERYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDVPQRNYSIAQISWYGVRWILSFFLMEVMTHFFHYNAFVISRLWQQLSPLEIFIISYGVGGVETPENMPRYLYIPLGGAQRKLLSIWVATSVLGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMTQMLGKDALTVLAFIFISFYVGVKLMFHIRDARQNHG >LPERR05G02770.1 pep chromosome:Lperr_V1.4:5:2029169:2034158:1 gene:LPERR05G02770 transcript:LPERR05G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREPDPVPEPFTIDEEVSHLTRIRSEPTQRTLGDFRPGHKRAMSTLELLSGRESGRMRSGGFSTADCAYTARKHMPTKGPWCVDDMNSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPIVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPSEARHCRHPNDQSLATYRGHSVLRTLIRCYFSPVHSTGQRYIYTGSSDESVYIYDMVTGDIVEKLSWHGSIIRDCNWHPYNPTIVSSSWDGYLARWEASGDEDDPCLLTENEQRTSPYRQSYTRHLLL >LPERR05G02770.2 pep chromosome:Lperr_V1.4:5:2029169:2034459:1 gene:LPERR05G02770 transcript:LPERR05G02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREPDPVPEPFTIDEEVSHLTRIRSEPTQRTLGDFRPGHKRAMSTLELLSGRESGRMRSGGFSTADCAYTARKHMPTKGPWCVDDMNSEAYVSQFSSDGSLLVAGFRAYSSLSPIVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPSEARHCRHPNDQSLATYRGHSVLRTLIRCYFSPVHSTGQRYIYTGSSDESVYIYDMVTGDIVEKLSWHGSIIRDCNWHPYNPTIVSSSWDGYLARWEASGDEDDPCLLTENEQRTSPYLTKGKPPKVYSLNSSYGQIRLGST >LPERR05G02770.3 pep chromosome:Lperr_V1.4:5:2029169:2034894:1 gene:LPERR05G02770 transcript:LPERR05G02770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREPDPVPEPFTIDEEVSHLTRIRSEPTQRTLGDFRPGHKRAMSTLELLSGRESGRMRSGGFSTADCAYTARKHMPTKGPWCVDDMNSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPIVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPSEARHCRHPNDQSLATYRGHSVLRTLIRCYFSPVHSTGQRYIYTGSSDESVYIYDMVTGDIVEKLSWHGSIIRDCNWHPYNPTIVSSSWDGYLARWEASGDEDDPCLLTENEQRTSPYRQSYTRHLLL >LPERR05G02770.4 pep chromosome:Lperr_V1.4:5:2029169:2034158:1 gene:LPERR05G02770 transcript:LPERR05G02770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREPDPVPEPFTIDEEVSHLTRIRSEPTQRTLGDFRPGHKRAMSTLELLSGRESGRMRSGGFSTADCAYTARKHMPTKGPWCVDDMNSEAYVSQFSSDGSLLVAGFRAYSSLSPIVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPSEARHCRHPNDQSLATYRGHSVLRTLIRCYFSPVHSTGQRYIYTGSSDESVYIYDMVTGDIVEKLSWHGSIIRDCNWHPYNPTIVSSSWDGYLARWEASGDEDDPCLLTENEQRTSPYRQSYTRHLLL >LPERR05G02770.5 pep chromosome:Lperr_V1.4:5:2029169:2034894:1 gene:LPERR05G02770 transcript:LPERR05G02770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREPDPVPEPFTIDEEVSHLTRIRSEPTQRTLGDFRPGHKRAMSTLELLSGRESGRMRSGGFSTADCAYTARKHMPTKGPWCVDDMNSEAYVSQFSSDGSLLVAGFRAYSSLSPIVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPSEARHCRHPNDQSLATYRGHSVLRTLIRCYFSPVHSTGQRYIYTGSSDESVYIYDMVTGDIVEKLSWHGSIIRDCNWHPYNPTIVSSSWDGYLARWEASGDEDDPCLLTENEQRTSPYRQSYTRHLLL >LPERR05G02780.1 pep chromosome:Lperr_V1.4:5:2034644:2037988:-1 gene:LPERR05G02780 transcript:LPERR05G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRKGKKAWRANISTDDIDDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASASASTSGAAAAADTAPKDIPAKRKIEKKREKVLYHESVLKRNPYVQPVPSSLAAKKDKKKAKKKESKEPEEAKVVPMEDDSGEKVLDIWGEEAKGDAKAKKRSTASAIPAVEVEPPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPEPVPLIVPGEAITEEDKFFLDADDGDEGAEEGDEGQAVDALASQRKTKTKRVTRVELNKRARCKERLKAEAEAKKIENLSKEIDSLPDILNEIAKEDEEKEKRHIRQTVAKQERLKSAPPRLGKHKFEPAPVQVLLSEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSKKIRFCNAV >LPERR05G02790.1 pep chromosome:Lperr_V1.4:5:2039743:2049356:-1 gene:LPERR05G02790 transcript:LPERR05G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAALPQPAVGAEEVGGGGDDASEQARTLIGALNLLSRNLPLPPAVLHAVSSIYHGGVGGADAWEDGEGEEGEDGDGCGEIGDGEDCAVADGGADEGATLIQELEDAVLKNQGPYMSYSELTALKEGRFNTSIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDISSEYWLQKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFTMDADDIHRKKRFIERMSRLEEEEKNQAEIRKRKFFSEILNAAREYQLQQPVSYKRKKQRNDGVLAWHLRARQRILRIEKSRLQVLKTGDQEAYLRMVEESKNERLKLLLEKTNELLEGIGKAVQRQKDAEHVSHPEGSEVPKGSESDEISGLKIESPEEESQSDADADHHGSADHNKFNAGHRLDSTVHSIEEKVTEQPSALQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSIEFKTWAPSIGTILYDGRPDDRKALREKNFGDRQFNVLLTHYDLIMKDLKFLRKVHWHYLIVDEGHRLKNHECALARTLVSRYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVRLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANNEIPERPRNEFQNVLITTKRRRKEVVYSDAFGDQWMKPEDGVEDIGRMTPRAKRSVYVSDVQEVDLHERRKRHKSLESIADDASIPMWTPEKARAGVSSYSKDDNEDDAEDESTTSSLQQGGSFTWKTLRRKRSSHFSNSSSDSKGRSAF >LPERR05G02800.1 pep chromosome:Lperr_V1.4:5:2053492:2062315:1 gene:LPERR05G02800 transcript:LPERR05G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGDDAPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDSRRWMRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLSPDGDRPSARMYATASARSDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHEHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPFQSEFTSSITDKKSIDMLTEASAAEAEAVSAVWRAAQEASQASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWKAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSSSDRNSLAYI >LPERR05G02800.2 pep chromosome:Lperr_V1.4:5:2053492:2062315:1 gene:LPERR05G02800 transcript:LPERR05G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGDDAPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDSRRWMRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLSPDGDRPSARMYATASARSDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHEHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPFQSEFTSSITDKKSIDMLTEASAAEAEAVSAVWRAAQEASQASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWKAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQCS >LPERR05G02800.3 pep chromosome:Lperr_V1.4:5:2053492:2062315:1 gene:LPERR05G02800 transcript:LPERR05G02800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGDDAPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDSRRWMRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLSPDGDRPSARMYATASARSDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHEHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPFQSEFTSSITDKKSIDMLTEASAAEAEAVSAVWRAAQEASQASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVISFLLKPRNWKAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQCSV >LPERR05G02810.1 pep chromosome:Lperr_V1.4:5:2062736:2063247:-1 gene:LPERR05G02810 transcript:LPERR05G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGLFLRYHSGILSPGMQWIGGYAHIGDARNAHSVFDDMVRSAETAPNYTTLVNVITASSRGGMEGRAYEVIHLMPMRPSISVWGALLGVCKMHGKTELGRIAAEKLFELDPQDYGNHVLHSTMFASAGR >LPERR05G02820.1 pep chromosome:Lperr_V1.4:5:2066514:2076601:-1 gene:LPERR05G02820 transcript:LPERR05G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKPELAGALPLLLHDMGPRHGGGDRMTQYTIAGESRMTHTIDELRDYRCFETQQGWVLAVADVDDDNDGGEAFLNCAVLIFDLDDYEIWACKIGGGDEWENYSYTLTMYDAADNPRPRHIARRHGVAAVAGKVYFELSGNELGVITFDDSSAMELGGVDVGMVDLTMPMGSTYLVESSGDLFLVVVFFDGDDVHTIAELAVYKMDFDMPAWCKVDGIGEDRVFLLGGDRLGHSNFGASCSASASGMRGNCVYFLNHISTHENYLHVIDMGKGTEEVFLGFLILLLEALPLLHFLFHGVMAAPALGDVIGGGDEWENYSYTLTTFDAADNPRPRHIARRHGVAAVAGKVYFELSGNELGVITFDPAIDLGGVDVDMVDLPLTKPMGSTYLVESSGELFLVVVFFDGYDVHTIDELVVYKMDFAAPAAWRKVDGIGDDRVFLLGGDRLGHSNFGASCSATASGIRGNCVYFLNHISTDENYLHVIDMGKGTEEVRRPFRDMGYPLPLRPPFWLLPTD >LPERR05G02820.2 pep chromosome:Lperr_V1.4:5:2066514:2076601:-1 gene:LPERR05G02820 transcript:LPERR05G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKPELAGALPLLLHDMGPRHGGGDRMTQYTIAGESRMTHTIDELRDYRCFETQQGWVLAVADVDDDNDGGEAFLNCAVLIFDLDDYEIWACKIGGGDEWENYSYTLTMYDAADNPRPRHIARRHGVAAVAGKVYFELSGNELGVITFDDSSAMELGGVDVGMVDLTMPMGSTYLVESSGDLFLVVVFFDGDDVHTIAELAVYKMDFDMPAWCKVDGIGEDRVFLLGGDRLGHSNFGASCSASASGMRGNCVYFLNHISTHENYLHVIDMGKGTEEIGGGDEWENYSYTLTTFDAADNPRPRHIARRHGVAAVAGKVYFELSGNELGVITFDPAIDLGGVDVDMVDLPLTKPMGSTYLVESSGELFLVVVFFDGYDVHTIDELVVYKMDFAAPAAWRKVDGIGDDRVFLLGGDRLGHSNFGASCSATASGIRGNCVYFLNHISTDENYLHVIDMGKGTEEVRRPFRDMGYPLPLRPPFWLLPTD >LPERR05G02830.1 pep chromosome:Lperr_V1.4:5:2077369:2082234:-1 gene:LPERR05G02830 transcript:LPERR05G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDLDGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLSNPSRPLRLLYCTRTVHEMEKTLAELRLLFAHLPSSASRSLLALGLSSRKNLCVHPKASASAARDSVDTACRRLTASWVRDKAASDPESVPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRRICPYFLARQMVKYANVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDTWLANPALPDDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLDTENVENELPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTQGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFDRFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASSVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >LPERR05G02840.1 pep chromosome:Lperr_V1.4:5:2085268:2091290:1 gene:LPERR05G02840 transcript:LPERR05G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHEDPENLSLLRFQALWESEFSQDSILVFSTGRSPISYKGLRRQKPLLTPDITIMSVGTVIAYGEEMIHDVVWEEFLSKKWDRDIVVEETAKFSQLKPQASSMSCNSSSDRSQGPHKVSFFVNKEGAQEAMDSLPKTLKERGLDVKIIYSSGEALDVLPHGAGKGQALLYLLNKFSSHRKPNNTLVCGDSGNDAELFSVPSVNGVMVSNAQEELLQWYEENAKGNPMMIHATERCAAGIMQAIGHFKLGSNVSPRDLEFPYPKLDVIKPADVVVKFYVLYEKWRRGEIQNNPSIMRYLKRITHSNGTIIHPSGTDSLLHASIDALSLCYADKQGKKFRAWVDRIVTSSIGTNNWMVRFDKWEMEGDVRCCCLTTLLLSMKPETEEGFEMTHIHKTWLDGYSAGNEHACIL >LPERR05G02850.1 pep chromosome:Lperr_V1.4:5:2089239:2093093:-1 gene:LPERR05G02850 transcript:LPERR05G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDNGLAAGMGLTDAQSCILLTSDLPLGLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLTRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPTTEPDRPSASEVTATRYVRSQPAGQSYLLRLQGLLMRPVVRHSGDEMTSAENGTSHATVVVPTATGAIVESELPRIVVDDGHQLPDR >LPERR05G02860.1 pep chromosome:Lperr_V1.4:5:2105554:2108238:1 gene:LPERR05G02860 transcript:LPERR05G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRSLRRNLLLRASSSPLNLSSGSSSAGCGIEAAAAAADLARAAFRPPLVVVGAGGGVWWRRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYNLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTSGTTEIKEPHDQPAEDSDQEELDRFIAEIESAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPENEYRDQGRYMRDKYRRTADSRKWDDDSEIDMSGEEWDSDDDRGSLVGFDNDIDARDEHPRRFESMRHEKSRSSNEIPRYSATASDKFKESEDDELDTEDDELWGSDLKEERNSRAPKVNFSNYRSSGEDTDDNWNEDARTVYTKKNTDESWDSD >LPERR05G02860.2 pep chromosome:Lperr_V1.4:5:2105554:2111047:1 gene:LPERR05G02860 transcript:LPERR05G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRSLRRNLLLRASSSPLNLSSGSSSAGCGIEAAAAAADLARAAFRPPLVVVGAGGGVWWRRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYNLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTSGTTEIKEPHDQPAEDSDQEELDRFIAEIESAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPENEYRDQGRYMRDKYRRTADSRKWDDDSEIDMSGEEWDSDDDRGSLVGFDNDIDARDEHPRRFESMRHEKSRSNRSQNSMSESRSNRSQNSMSGGSRSSNEIPRYSATASDKFKESEDDELDTEDDELWGSDLKEERNSRAPKVNFSNYRSSGEDTDDNWNEDARTVYTKKNTDESWDSD >LPERR05G02870.1 pep chromosome:Lperr_V1.4:5:2109365:2111976:-1 gene:LPERR05G02870 transcript:LPERR05G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKSLESQKQSPANLSVANGHVCKKEAVNGECPARDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCSSENDDKNCCGSSCHIECALKHKKVGCFSLGKIIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLEGTSCLKELHSIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIQRANELLSSPDLHLRDSLPAACRFRFVDVTSSSVFIILKEIPSPSSDNIRGYKLWYWKSREEPSMEEPEILSKDQRKVLVFNLAPCTQYSFRIISFTDDGILGHSESKCYTGSKEVSLKRTTQNVVGGDSQTHRRDRTQTFKSTGFKIRDVGKVLQQAWTEEGRFEGFCEDMNEVSCDRSVTDVEQPENSEQGQLLSGACRKLKFNPFSVPDLNVEAPIPMDFSPDKCYNSKIAPVRSNDSGGSGTCAVGRSAEAEPPAVESRADLQHDVASAICPEKQLVRPSELDKDFEYCVQMIRLLECKGHIENDFRMKFLTWFSLRSTENDRRVVTTFIKSLINDPTGLAEQLFDTFGEVINCKRQRNGFCNELWHDDKAQ >LPERR05G02880.1 pep chromosome:Lperr_V1.4:5:2114810:2120003:-1 gene:LPERR05G02880 transcript:LPERR05G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNNSLLLQGRLRHAAASASAAAAAAVGRRGLATSTEEYNRRNYADNVSEYNTVFGHYLLRDAYDDMILDGVQPVRDTFHNLIIGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKCNNSDAAIMLLEEMKAHGVKLKGETYICLLNALASTGRTDQVYAIVRDMTAAGLGLNKYCYAGLITAFKNKAPTTEETMTKIIEFVQQSKGWKNVERVAKDNSENIMMNVTEEELYNLPTAEYVHRRAFVFRQMTIYHVAIHACADLKSKETLEVLLDMLTKDGFTNDAFIAMHAMRCYLQCGDINSATLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNPKMGTDLLLAASGEKTGGYTMANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLLNVSRVLDNLSIRFGPRRNSNAQAQAQA >LPERR05G02890.1 pep chromosome:Lperr_V1.4:5:2130100:2136701:-1 gene:LPERR05G02890 transcript:LPERR05G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTVEEDSRPGLKNTRSRATRLQPSSQEPRMSSMRCQGSKRDKKVHSQCSQSAPEPLPSERSQRMHRRLLRTAMEHPNKRERSQADRSCEDEEKTAKKINLGEEEEEAVSSAPSSPLLEPLLPYKVTGYDSYGQEINEAPDMDIFEAYLLKEKEFEKKIARQLTLPTLNPSKALSLFDPNLLEIRVSATKAVLGAAKFVLGLSSSIDGKSLSRNSGFLIDWNEIKKLGIVMTTCDIICSKSALDSWSGEDEYSPKAKVSVHLLDDSILEAHLIYFQPHYNLALFEISWETPVQFPSFSPRIDPAEHIFMLGRDEDMILCISHGRCGLGGIVIDLQGDVVGMTGLIEAFIPSSIILKCLELWHKFQCIPRPQLGLKLWAIKFLDIPHVEMIWRKIHISDGLIVKEVSEGSVIEKLGVRVGDIIEHINGTCICDTIQLEELLLTLCEKHFADGNGLNSKLKMTVGVFHTRKGTRSIITSTTNVSANGEIVKQGTFFVTIPTCGDISTPIPLEESALGEEGLAEAHIPTIAETQALREEGLADARRTGLSTARASDDVDGGTGSARRRRSAAARTAPSVEHGMRARTTARSGAWVRHDAGERPRTRGARRRASGRAHARSTTPSGLPVCTRGATAPGE >LPERR05G02890.2 pep chromosome:Lperr_V1.4:5:2130100:2136701:-1 gene:LPERR05G02890 transcript:LPERR05G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTVEEDSRPGLKNTRSRATRLQPSSQEPRMSSMRCQGSKRDKKVHSQCSQSAPEPLPSERSQRMHRRLLRTAMEHPNKRERSQADRSCEDEEKTAKKINLGEEEEEAVSSAPSSPLLEPLLPYKVTGYDSYGQEINEAPDMDIFEAYLLKEKEFEKKIARQLTLPTLNPSKALSLFDPNLLEIRVSATKAVLGAAKFVLGLSSSIDGKSLSRNSGFLIDWNEIKKLGIVMTTCDIICSKSALDSWSGEDEYSPKAKVSVHLLDDSILEAHLIYFQPHYNLALFEISWETPVQFPSFSPRIDPAEHIFMLGRDEDMILCISHGRCGLGGIVIDLQGDVVGMTGLIEAFIPSSIILKCLELWHKFQCIPRPQLGLKLWAIKFLDIPHVEMIWRKIHISDGLIVKEVSEGSVIEKLGVRVGDIIEHINGTCICDTIQVGVFHTRKGTRSIITSTTNVSANGEIVKQGTFFVTIPTCGDISTPIPLEESALGEEGLAEAHIPTIAETQALREEGLADARRTGLSTARASDDVDGGTGSARRRRSAAARTAPSVEHGMRARTTARSGAWVRHDAGERPRTRGARRRASGRAHARSTTPSGLPVCTRGATAPGE >LPERR05G02900.1 pep chromosome:Lperr_V1.4:5:2140291:2140746:-1 gene:LPERR05G02900 transcript:LPERR05G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDAMESAIEYAHVSGDAMDSSARVDAAYQRVFQEEDGEGHRRINLNKDLPDGHRGGRAQGQHHGHRAPGAAHLGRAAVRAPPSSCCLSAEARKGDAKELGRARRRRRRRLEVRVKVNGRVENVSKKEIMMHAIAQTMLLADISVRVTF >LPERR05G02910.1 pep chromosome:Lperr_V1.4:5:2146835:2151827:-1 gene:LPERR05G02910 transcript:LPERR05G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNECQIRKFLLTTKSAARLAPLPPPNPTPPHQPRAQGARGNANAAAPPRPPPAGDLSAASPPYASVHSALRVRATPLSAAVRSSPSRSHGGDGSRPCLLTSGHHQRLLGVDPPCSHHSTSCPASQPPPQHLQVVTTMARHHPSTFSNELATWVYDECKGSIFLVKFTPRVKAGKQKASTSFHVLGVLTPP >LPERR05G02910.2 pep chromosome:Lperr_V1.4:5:2149659:2151827:-1 gene:LPERR05G02910 transcript:LPERR05G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNECQIRKFLLTTKSAARLAPLPPPNPTPPHQPRAQGARGNANAAAPPRPPPAGDLSAASPPYASVHSALRVRATPLSAAVRSSPSRSHGRDNDGSPSSKHIFK >LPERR05G02910.3 pep chromosome:Lperr_V1.4:5:2146835:2149434:-1 gene:LPERR05G02910 transcript:LPERR05G02910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREELSKTVQCATCFVVAQNDGGLDLLTCAHTLQHVYRARVPLSVAQIHQMFQPAVICDHQENTYRSGLREDREYAPATVLHVDCKKDLLLLHVRQDLISDKTKQPCQFPHRPLVPSTHLPDPLETVVIVSWPPYMNRATAKGQISHQSRSYEDVSETNEYGYDMNLIEVDISVANGCSGAPLLDCDTNYIGLLHAAGLGCYSCFVSLSEIRAKLGKQKASTSFHVLGVLTPP >LPERR05G02920.1 pep chromosome:Lperr_V1.4:5:2154739:2160530:-1 gene:LPERR05G02920 transcript:LPERR05G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGDEETQSLDGGETPPLGSPVSDGYAPTPSEGEEDGVMYGETHALDDAETQMDSEDEHGGGVNEQDDTQLVEDSEEEEDGGGGVCEQVKNVAAKCENNAGDLVWTQLVEEHKEVVDGVGDGLETQFVEECLEEEENVNDCSDGDVGEWGKTQLVEYSDEERDDDGDDELGVDTQVVSDDEGQPNDMSEVKFGGSNVEVNAVLGDHVDTKNLVDSDASTDDEGQTVHVESEQMSELASACDAFTVNSLQQGNQQASINGAHLLRKTVDNSTSCRTFFSDRPNCGIDSDSHGYVQNHDKDGTKSTSRCSTAKKLFADTTVEGSENNGRYFAGLSYVESQEPGDLSQANALDVVDRLISINGGVSSQEPTPQKLDSAKTHVPNKRGTLLLAEKVDCNRSSKGRSEIFAWVDSCEDDGGGEFFSKNKDILLHKSADRGKSKSHYPRATKCSTKIESGENKTVECKRGKNSKLSGKFDSLPLPDSRILKCKRASGNRSKKNILKEALPVPDSRILNSGVKSKRASGNRTKKNLLKDLELDDLSNGNYLEKRQEKVNVALHDVGPDTQIALEAIEDLAQCSPAKVLSAKDESPLGNGKSKPAKGLSNNEPQKRTGSIQGGVTTRSKRRKLTELTPEPENLKAKTKDKQAKSVPAKSKVLKTSRDGNKCHGTPVAHRTRQFSRNNLDEHTELCSKKHSKRVMNLTGGISTVGEDENNHIANVPEQPITSERSTESASLCVEKESAEQTGVTDAQNLHLCRDGSLRSSETIVQGIEPCRSKLTNEVACREYCHHPKQRRTPTTIVQSKDPTATQIAANHERPQDEARPSKKRRVFIRSVTDYLKYAKREPSNGRSTSLMSTIIEKSSIASAVLNSSVSADSTTSGFSRSGHNHKDPSHVEDASKSSRSNSLIHNSAIITPSKPANELSPTFSPLNPSKSSGRSLSKPSVARELLTLDSENHQSNCQVKDSRRKKKLTNFSILFSHHLNEDVIKRQKKILARLGVCEALSIPDATHFVADSFFRTKNMLEAIALGKLVVSSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPKSLAAACKHPLLLGKRVFVTPNVKPSREVVIGLVLASSGQPLERIGRSMMKEVPDDLLILSCEEDYETCSPLIKRGASVFESELLLNGIVIQKLEYERHRLFLDCVKQTRSTRWLKDATHGQFVPVSKCT >LPERR05G02920.2 pep chromosome:Lperr_V1.4:5:2154739:2160530:-1 gene:LPERR05G02920 transcript:LPERR05G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGDEETQSLDGGETPPLGSPVSDGYAPTPSEGEEDGVMYGETHALDDAETQMVDDGLGEEGVAIDWGETQLVEDSEDEHGGGVNEQDDTQLVEDSEEEEDGGGGVCEQVKNVAAKCENNAGDLVWTQLVEEHKEVVDGVGDGLETQFVEECLEEEENVNDCSDGDVGEWGKTQLVEYSDEERDDDGDDELGVDTQVVSDDEGQPNDMSEVKFGGSNVEVNAVLGDHVDTKNLVDSDASTDDEGQTVHVESEQMSELASACDAFTVNSLQQGNQQASINGAHLLRKTVDNSTSCRTFFSDRPNCGIDSDSHGYVQNHDKDGTKSTSRCSTAKKLFADTTVEGSENNGRYFAGLSYVESQEPGDLSQANALDVVDRLISINGGVSSQEPTPQKLDSAKTHVPNKRGTLLLAEKVDCNRSSKGRSEIFAWVDSCEDDGGGEFFSKNKDILLHKSADRGKSKSHYPRATKCSTKIESGENKTVECKRGKNSKLSGKFDSLPLPDSRILKCKRASGNRSKKNILKEALPVPDSRILNSGVKSKRASGNRTKKNLLKDLELDDLSNGNYLEKRQEKVNVALHDVGPDTQIALEAIEDLAQCSPAKVLSAKDESPLGNGKSKPAKGLSNNEPQKRTGSIQGGVTTRSKRRKLTELTPEPENLKAKTKDKQAKSVPAKSKVLKTSRDGNKCHGTPVAHRTRQFSRNNLDEHTELCSKKHSKRVMNLTGGISTVGEDENNHIANVPEQPITSERSTESASLCVEKESAEQTGVTDAQNLHLCRDGSLRSSETIVQGIEPCRSKLTNEVACREYCHHPKQRRTPTTIVQSKDPTATQIAANHERPQDEARPSKKRRVFIRSVTDYLKYAKREPSNGRSTSLMSTIIEKSSIASAVLNSSVSADSTTSGFSRSGHNHKDPSHVEDASKSSRSNSLIHNSAIITPSKPANELSPTFSPLNPSKSSGRSLSKPSVARELLTLDSENHQSNCQVKDSRRKKKLTNFSILFSHHLNEDVIKRQKKILARLGVCEALSIPDATHFVADSFFRTKNMLEAIALGKLVVSSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPKSLAAACKHPLLLGKRVFVTPNVKPSREVVIGLVLASSGQPLERIGRSMMKEVPDDLLILSCEEDYETCSPLIKRGASVFESELLLNGIVIQKLEYERHRLFLDCVKQTRSTRWLKDATHGQFVPVSKCT >LPERR05G02930.1 pep chromosome:Lperr_V1.4:5:2160943:2165502:1 gene:LPERR05G02930 transcript:LPERR05G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSQSGEKPLLSRSLPSLRRRGRRRRSPTAAASASNRGFSFGIRNTESLDLKRFGTEGLQQSGGVMAGKEEKKSRKEKRKEARSEKQKLRFLSWVQHQGGKNKKPAVPSVEPSPVEENKPKKEPAGVKKRRRDPEAKPKSKSKSKFQEYLEMEKGGAVSREEDQETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGGFGLDDEAKEFDWNTVDDSKVDKKKRKKKKKKVKNDSTEELSDGGVGEENDEAVQQSENEELNVVELPMASKDKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAAIFRSVPRNIGCQVIGDEVLASMACLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNITLLLCYLCIFDVISSDLVYDLLSVLSKRCGMKLRGDDPGAMKDFVLGIQNSVNQLKLKVHPVGHDNGQEEIHSKRMDFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLKWSKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKLFNKYYTVLVSKLCSHDKNHKFSLQYCLWDHFKELDKMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRIMHFRMLFETLLQKDDALVWNVFTRIAGLPELEILRDGIVLFIKQHVIAKDSGKDLASKFKIAKKALDNTAGVLM >LPERR05G02940.1 pep chromosome:Lperr_V1.4:5:2168692:2172891:-1 gene:LPERR05G02940 transcript:LPERR05G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVATDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTSVIIGDEPDREILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGSDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVNSVDDFTEDCLGWAGLVYEHTLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >LPERR05G02950.1 pep chromosome:Lperr_V1.4:5:2175387:2183786:1 gene:LPERR05G02950 transcript:LPERR05G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPQNSSDVSNSTVGQLQGAEQDGNAQANQQAVQQHMNLAGQLSESKLVTEGLQNEMPIPSGQHNQQREQEPPHSENHQKQVEANSFQLAEKETGHAGLQNFAGPKVDVAQTSGDQQHVKQMAGQQAPPGAQDAKKRGYQPSIPFNMLIPILQAHLDRDKDMQLQAVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLNDQQVHMSTTPNQGQKSQTLSSSQAFLPSGTQVQSSMTSHDNSIQRPDAMPNRPPAMNSAISAQAMNKQQQPTQVQQASQQIYGTVNRPDQPYTRPMSGSTPLRSNTSESEIRPSLHPQGMVPAHPMTQQNPALQQSKDLKSNASNPRSNAKQDSGSAAKARPGGSSTKSQGKQGSQNASTPPAARNNKKSAGQKKSLETAGSAPPPPSKKQKTSGTFQEQSIEQLNDVTAVSGVNLREEEEQLLSALKEESWASEEARRIAQEEDEKLFVQRSPLMRKLIEIG >LPERR05G02960.1 pep chromosome:Lperr_V1.4:5:2185013:2193546:1 gene:LPERR05G02960 transcript:LPERR05G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAALFASPAYLFPSTSTSSSSSSSISSCRFRPTVARAPRHPPPGRRVTRRFDEVEGVSKRRRGIGGGGSSQAPSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVLARGIECDLALLSVENEEFWRGTEPLHLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPAGKVLRKGDVITSFDGVSVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNIMKVQTVLQPRKHLVRDFKPFILVVLNFNNFQNLQESSSSNKVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLGREEATTASSDILKEHAIPSIRSSDLSEPYVDTEQETQKPNDDFGDSPVTNYEMGVDCLLWA >LPERR05G02970.1 pep chromosome:Lperr_V1.4:5:2194317:2196194:1 gene:LPERR05G02970 transcript:LPERR05G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDASSRLLNYAHLSGLVASCGRGGDLRLGAALHATVVKHPAHFRLCGDHPHLRHVLVVWNALVYMYARCGRRDDAGKVFDEMRVRDAVSWNSLIAASSSRGGPAAASDALALLGRMLRESDRRAVACDRATFTTVLSVCARAWSGAGATSLLPACRVVHGLVVTCGFGAEVAIGNALVTAYFECGSPASAERVFHGMGEKNVVTWTAMISGMARAELYKESFMLFREMRRMVDANSATYSSALLACAGSLAAREGQQVHGLVVKAGFEADLHVESELMDVYSKCGLMDDALTVFRSCREPDEVFLTVILVGFSQNGMEEKAFELFAEMVGAGIDIDDNTVSAVLGAFGASAPFALGKQIHALIIKKCFGWNTYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIIAAFARHGHGLEVFRLFESMKADGAKPTDVTFLALLHGCSHVGSAKKGLEILNSMSSQYGILPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFVDSALLWQALMGACSFHGNSEVGKHAAEKLLLLDPNCTAAYVLLSNIYSSEGRWDDKAKVMKRMRKMGLKKDTGKSWIELEKEVHSFVIRSTSHPESAAVDDVLHHLSTVASDQDPMEGTAL >LPERR05G02980.1 pep chromosome:Lperr_V1.4:5:2197661:2198860:-1 gene:LPERR05G02980 transcript:LPERR05G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAALSDDLLREILLRLPTPADLARASLASKPFLRAARNAAFLRRFRRLHSSSSCPFLLGCLLLHSDHPDYPFPILIPSSSSSSSAGCARDGDFSLSFLPRGGWLGGGGDSGGAAWQLLDCRNGRFLVKNRASRELAVADPLARRCVSLPPLPAADRRLVGYGLVADYGDSSAFRVFFIAHDGGDGDGDGEMRALVLSSGELSWAVAGLPGRRPNLAGARAFMQANGSLYWTMEGGESMAALNTATMEFTVVELPAPLRQLSFDVVEKGEDDNGLYILTMRGFCVEVWAGVDDGAGAGAGGMSWTRVEKSVRFHKAMAMMQHDSVELYHHGLDVIGVVAGVLFLRQWNCLLSIDLETMRLRRLSDEDCSSAMIYPFAMPWPPAFLNPTEEHGA >LPERR05G02990.1 pep chromosome:Lperr_V1.4:5:2200520:2201656:-1 gene:LPERR05G02990 transcript:LPERR05G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVIDVLGDDLLREILLRLTSPEALVRAALALRSFLRAARDAAFLRRFRARHPSEPRLLLGFLFVLPDGSPPVYLSAASASSELHPMADFSLSYLPGREWDLLDCRDGLLLLRNHATMELAVVDPSRRAYCPVKFPTAAAADLPVAYGLAAGKGNSSSAFRVVCIARDSSSSSSTLRALVFSSEEFYWEDSGDAVACATSVWPMMKPMKANGSLFWRLDDGKRMAEFDATPGRKTMSLSILDLPPIPAELAFGFIDTDDGDGLRLLTMREFRLETWKFAGGDGMTSSSWTLEDTSVRLYRALEEMLGERKLSCRRDEFEIVGVVDGIVFFLQSGILLSIDLNTMKLHMLSEQDCSPARIFAITRAWPPPFLRPSED >LPERR05G03000.1 pep chromosome:Lperr_V1.4:5:2203672:2204975:1 gene:LPERR05G03000 transcript:LPERR05G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAVDELGDDILREILLRLPSPAALVRAALASRSFLLAARDAGFLRRFRARHPPAPLLRGFLFAVPRRTLNSFADFFLPFLPGGAAKWDLIDSRGGILLLRHRNAAATKLAVADPSRQVGYPVDAPTSDLPLAYGLADAGNDDSSAAFRWSASLVAAASIAPLCARWLDDGRRMVAFDASPAAAKTTAKLSILDLPPFPRGQTFNVIDTDNDDGDGLRLLTMRDFRLEVWKLAAAVAGDGGRTTTTWTLEDTSVRFYKAMEIRRRQPLPRSDEVEIAGVFDGFVFLRLSRTLFSIDLKTMKLRGGSDKNCSLATIYPYTKTRAWPPSFLNPNKDCSPATIYPYTWPQSFDDDVQDEDDVPGDEYYLLADEDFVPADEDFIPVHADYMSDYDWL >LPERR05G03010.1 pep chromosome:Lperr_V1.4:5:2205529:2205930:1 gene:LPERR05G03010 transcript:LPERR05G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPHMSLGGFCIMLFKKSWRIAYFRMFDNMYDKMYVLFVVYWAGLTRTTTEN >LPERR05G03020.1 pep chromosome:Lperr_V1.4:5:2206887:2209663:1 gene:LPERR05G03020 transcript:LPERR05G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILLLVERAHVRAPRVGNPQTPASPAARSRAAAAAMSAGVGGLRQLLAAAVTKGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQVAQWYPYDIKRDDPLVMAREEKARLAKLEMLKRRGKGPPKKGQGRRAVKRSK >LPERR05G03030.1 pep chromosome:Lperr_V1.4:5:2209203:2209568:-1 gene:LPERR05G03030 transcript:LPERR05G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKNAPAPWKTTKAASGSSSSSSAAKKTTKAKTKRKRKEKPLPPLLAPGTAVEVLRNGAWVGGGRITIRNDRTYMVCLGGGMTVLATRRRVRPAYLPPKPPAPAAAAAPADVSPKAAGD >LPERR05G03040.1 pep chromosome:Lperr_V1.4:5:2210683:2216705:-1 gene:LPERR05G03040 transcript:LPERR05G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQSRPATSTGGLESPVPNRREQRRSVDLGSSMRPRRTTSSLQDEINTLQVENEFAEERSEEGSVKSLHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEIATLRSEAKAANSLGTSVSQRLKSAGLDLRSFQGAPNRLVLSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKQEYWSSFAPLALEVVLSIGQKARDGTLSDNADMETKSKMSDASHLNDMAEDGNIESMLLVERGLRELASLKVEDAIMLALSEHRRIRPLSGPASSEGQNPSESLELSEEEQEDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPIATIDVIEVERGLNELRKLGIESQLWEATRRGLDDDFSNHGSPTGSEI >LPERR05G03040.2 pep chromosome:Lperr_V1.4:5:2210683:2216705:-1 gene:LPERR05G03040 transcript:LPERR05G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQSRPATSTGGLESPVPNRREQRRSVDLGSSMRPRRTTSSLQDEINTLQVENEFAEERSEEGSVKSLHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEIATLRSEAKAANSLGTSVSQRLKSAGLDLRSFQGAPNRLVLSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKQEYWSSFAPLALEVVLSIGQKARDGTLSDNADMETKSKMSDASHLNDMAEDGNIESMLLVERGLRELASLKRMQSCLLYQNIDASDLSQVASPKCPASSEGQNPSESLELSEEEQEDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPIATIDVIEVERGLNELRKLGIESQLWEATRRGLDDDFSNHGSPTGSEI >LPERR05G03040.3 pep chromosome:Lperr_V1.4:5:2210683:2216705:-1 gene:LPERR05G03040 transcript:LPERR05G03040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQSRPATSTGGLESPVPNRREQRRSVDLGSSMRPRRTTSSLQDEINTLQVENEFAEERSEEGSVKSLHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEIATLRSEAKAANSLGTSVSQRLKSAGLDLRSFQGAPNRLVLSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKQEYWSSFAPLALEVVLSIGQKARDGTLSDNADMETKSKMSDASHLNDMAEDGNIESMLLVERGLRELASLKVEDAIMLALSEHRRIRPLSELSEEEQEDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPIATIDVIEVERGLNELRKLGIESQLWEATRRGLDDDFSNHGSPTGSEI >LPERR05G03040.4 pep chromosome:Lperr_V1.4:5:2210683:2216705:-1 gene:LPERR05G03040 transcript:LPERR05G03040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQSRPATSTGGLESPVPNRREQRRSVDLGSSMRPRRTTSSLQDEINTLQVENEFAEERSEEGSVKSLHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEIATLRSEAKEEVVLKRCWLARYWKLCVRLGIHSDIAEEKQEYWSSFAPLALEVVLSIGQKARDGTLSDNADMETKSKMSDASHLNDMAEDGNIESMLLVERGLRELASLKVEDAIMLALSEHRRIRPLSGPASSEGQNPSESLELSEEEQEDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPIATIDVIEVERGLNELRKLGIESQLWEATRRGLDDDFSNHGSPTGSEI >LPERR05G03040.5 pep chromosome:Lperr_V1.4:5:2210683:2216705:-1 gene:LPERR05G03040 transcript:LPERR05G03040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQSRPATSTGGLESPVPNRREQRRSVDLGSSMRPRRTTSSLQDEINTLQVENEFAEERSEEGSVKSLHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEIATLRSEAKEEVVLKRCWLARYWKLCVRLGIHSDIAEEKQEYWSSFAPLALEVVLSIGQKARDGTLSDNADMETKSKMSDASHLNDMAEDGNIESMLLVERGLRELASLKRMQSCLLYQNIDASDLSQVASPKCPASSEGQNPSESLELSEEEQEDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPIATIDVIEVERGLNELRKLGIESQLWEATRRGLDDDFSNHGSPTGSEI >LPERR05G03050.1 pep chromosome:Lperr_V1.4:5:2217949:2224512:-1 gene:LPERR05G03050 transcript:LPERR05G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGQMDTSSSSPHLQIRVAPSISLNLVQQRPPGSTEVVAVEMGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.2 pep chromosome:Lperr_V1.4:5:2217949:2223942:-1 gene:LPERR05G03050 transcript:LPERR05G03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRCYDIVQKTRPIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGSDFDVGMASPQNNLQLLLTIPTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.3 pep chromosome:Lperr_V1.4:5:2217949:2224512:-1 gene:LPERR05G03050 transcript:LPERR05G03050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGQMDTSSSSPHLQIRVAPSISLNLVQQRPPGSTEVVAVEMGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGSDFDVGMASPQNNLQLLLTIPTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.4 pep chromosome:Lperr_V1.4:5:2217949:2224512:-1 gene:LPERR05G03050 transcript:LPERR05G03050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGQMDTSSSSPHLQIRVAPSISLNLVQQRPPGSTEVVAVEMGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.5 pep chromosome:Lperr_V1.4:5:2217949:2224512:-1 gene:LPERR05G03050 transcript:LPERR05G03050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGQMDTSSSSPHLQIRVAPSISLNLVQQRPPGSTEVVAVEMGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGSDFDVGMASPQNNLQLLLTIPTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.6 pep chromosome:Lperr_V1.4:5:2217949:2223942:-1 gene:LPERR05G03050 transcript:LPERR05G03050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLEALVLKSTGLSVSDHDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFLTSTLLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGILTKPLLNLLIPPRPDIAADLSSQSIIDPLIGSLLGSDFDVGMASPQNNLQLLLTIPTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSTHGSQLGTVTEAENS >LPERR05G03050.7 pep chromosome:Lperr_V1.4:5:2224097:2224512:-1 gene:LPERR05G03050 transcript:LPERR05G03050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGQMDTSSSSPHIFLSVVSFLPPASDQGGAIYLAESGAAASASGDYLNSVKAGVLRQ >LPERR05G03060.1 pep chromosome:Lperr_V1.4:5:2226066:2231937:1 gene:LPERR05G03060 transcript:LPERR05G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARISGTLAASGVCYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQPAFRFCENEKNGQTTGQSNGSLIQQVQNVRCYSYGSRNSSETKECSLEDDTDPYRDFEEHSRGTSQFADNQVAAQKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLDKRARKDVAVLGSGFLKLDARARKDTQKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADLVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFVKDGSSSANSTSFITLEKNGNTFELFPREVSTDQIAAIEEAYWSMASALSEADGIDYTDPEELELKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVSRAAARAIDELTKQWELEEGDSLRFVWNQNVASKDTADDSPSADDTRA >LPERR05G03060.2 pep chromosome:Lperr_V1.4:5:2226066:2232481:1 gene:LPERR05G03060 transcript:LPERR05G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARISGTLAASGVCYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQPAFRFCENEKNGQTTGQSNGSLIQQVQNVRCYSYGSRNSSETKECSLEDDTDPYRDFEEHSRGTSQFADNQVAAQKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLDKRARKDVAVLGSGFLKLDARARKDTQKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADLVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFVKDGSSSANSTSFITLEKNGNTFELFPREVSTDQIAAIEEAYWSMASALSEADGIDYTDPEELELKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVSRAAARAIDELTKQWELEEGDSLRFVWNQNVASKDTADDSPSADDTR >LPERR05G03070.1 pep chromosome:Lperr_V1.4:5:2233287:2234552:1 gene:LPERR05G03070 transcript:LPERR05G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKVYGVAASPYVATVLVCLEEAGAAYELVPVDMAAREQKSQHHLARNPFGKIPAFEDEEVTLFESRAIQRYVLRKYNRTDLLREGNLEESAMVDVWREVEAHHYEPAISHIVRECVIKPMIGGGTRDQAIVDENVEKLKKVLEVYEKRLSDSVYLAGDFISVADLNHFPYTYFLMTTEYATLVESCTNVKAWWERLLVRPSVRKVAAMLAPLVT >LPERR05G03080.1 pep chromosome:Lperr_V1.4:5:2234997:2235536:1 gene:LPERR05G03080 transcript:LPERR05G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSLTIAVAVLAVAAAAATEATIESTCAAAARGDGRVDARFCARQFAAYHGAAEADEWGLAKAAALIGVNLADDAVFDIGSGKIRPSPGGGGAKAKAAMDACARAYDAVGLAFAEAADELAARRYAAARKEMARVAALVRRCDGGLAGAGVRSPLPRYSADCQQIAIIGIAIAGLLK >LPERR05G03090.1 pep chromosome:Lperr_V1.4:5:2236120:2236866:-1 gene:LPERR05G03090 transcript:LPERR05G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVLEVTLVSAKDLKKVTVFSKMRVYAVASISGGDPWLPTHRTHADREGGRNPRWHAPLQFPIPAGDDDGEDTRGLALHVLLRAERVVFGDRDVREVFVPVRDLLAAAPDEQRRHVICHVRRQSSGRRCGVLHISYHVTDDAAPAPESARAFPRYASSTWQAKGGVHAITAYPAASGYHHYRHVSSGSAIHHHHHHHHYGGYGYGGDGEPWWRDGDWAWHRRCRRRGRRDDARRYARRRRRHGRAI >LPERR05G03100.1 pep chromosome:Lperr_V1.4:5:2241675:2248835:1 gene:LPERR05G03100 transcript:LPERR05G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLPHQPEGKNVQEFIRRPWHPKPKPKPRHPATKSRVSTLLRRMSDPTAAGSAVAAPSSVSGAIVLAGGGGAWPRGLRFGEMVWGKVKSHPWWPGHVYSLALTSDGEVRRGYREGLVLVAFFGDSSYGWFEPHELLPFEEHFREKAFQGGGRNFPLAIDEAIDEISRRASLACLCPCFQPAEAVRPHEADPRYLLVDVPGFDTDAEYHPEQVKAEREKIAPRAMLDYLRGAALDQVAAVDTLVGKNIPAVQMSSMLEAFRRSRYEQKDPTYAEAFGMDYEKAQAEKKALLKKARQGKRRVWWDRKTQEEPADIEDEPSNTTSAGKPTKGRKKAAENPAGGGRRGRKGGAAARLMEKIMPSAATMKPKAKKKDQYLLKRRDDARAPPPPSMPATDAVAAAATLAGGEPKKKKKKKKLAELNGVVAGASGSGSKAGSLPGKEVSDGLDLKQSYENDPPEDNSNSKNTSDAKPNTAATDGPKPKKKPAARPTGEPAAKVAAVAGVKRGPSDRQEELAVKKKAKLNKIKTMATDKKAAGLELAAASASAMPPAAATMQRKSDAIAAAAAKRKEVAAAAAPAMKTPSPTALMMKFPANSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYKFKSDAEVALKYARSNTTMFGQVAPNYHLRTVESGGAGAGSEPAEAATPPSQQQRSSELRLMETAAFRPGSSGGNGAPLPMSRAAPAPARSAAVVGQPPKSILKKTIADDGAPSRDSPRVKFMLDAGDSKLDSPPPAAPANIGADAAVPLGRNAAKSVGFAAPPLQRAPARPALQPPRPPVTQPLPPPPPLHQPRRSDAPFPPFSAPPPPQQQLPPPPPPYHLRHSDGMQLPGPPPLPPSYQLRAGGGFAGQQPLQQPYSNNGASSSSSSSSEEMPAWKRGGKEFDDEVMRVMLRIAKLVEPLTDKNGNFPYHLFSKAS >LPERR05G03100.2 pep chromosome:Lperr_V1.4:5:2241675:2248835:1 gene:LPERR05G03100 transcript:LPERR05G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLPHQPEGKNVQEFIRRPWHPKPKPKPRHPATKSRVSTLLRRMSDPTAAGSAVAAPSSVSGAIVLAGGGGAWPRGLRFGEMVWGKVKSHPWWPGHVYSLALTSDGEVRRGYREGLVLVAFFGDSSYGWFEPHELLPFEEHFREKAFQGGGRNFPLAIDEAIDEISRRASLACLCPCFQPAEAVRPHEADPRYLLVDVPGFDTDAEYHPEQVKAEREKIAPRAMLDYLRGAALDQVAAVDTLVGKNIPAVQMSSMLEAFRRSRYEQKDPTYAEAFGMDYEKAQAEKKALLKKARQGKRRVWWDRKTQEEPADIEDEPSNTTSAGKPTKGRKKAAENPAGGGRRGRKGGAAARLMEKIMPSAATMKPKAKKKDQYLLKRRDDARAPPPPSMPATDAVAAAATLAGGEPKKKKKKKKLAELNGVVAGASGSGSKAGSLPGKEVSDGLDLKQVINDLQKLPLVASYGAGRRSISDEARSFLLAFRSKTFKKSYENDPPEDNSNSKNTSDAKPNTAATDGPKPKKKPAARPTGEPAAKVAAVAGVKRGPSDRQEELAVKKKAKLNKIKTMATDKKAAGLELAAASASAMPPAAATMQRKSDAIAAAAAKRKEVAAAAAPAMKTPSPTALMMKFPANSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYKFKSDAEVALKYARSNTTMFGQVAPNYHLRTVESGGAGAGSEPAEAATPPSQQQRSSELRLMETAAFRPGSSGGNGAPLPMSRAAPAPARSAAVVGQPPKSILKKTIADDGAPSRDSPRVKFMLDAGDSKLDSPPPAAPANIGADAAVPLGRNAAKSVGFAAPPLQRAPARPALQPPRPPVTQPLPPPPPLHQPRRSDAPFPPFSAPPPPQQQLPPPPPPYHLRHSDGMQLPGPPPLPPSYQLRAGGGFAGQQPLQQPYSNNGASSSSSSSSEEMPAWKRGGKEFDDEVMRVMLRIAKLVEPLTDKNGNFPYHLFSKAS >LPERR05G03110.1 pep chromosome:Lperr_V1.4:5:2252573:2254277:-1 gene:LPERR05G03110 transcript:LPERR05G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKIDGTAAERAETLAQIDNGCEEWGFFQLVNHGVPKDLLDRVKKVCSEIYRLREAAFMESEPVRTLESLVAAEQRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRVLAGRVMSAMDENLGLEKGTIDGAFTDGGRHVAFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDRVGGLQVLKDGEWIDVQPLADAIVVNTGDQIEVLTNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEARISPAVDGGAGAGEYPEFVFGDYMDVYAKQKFDAKEPRFEAVKAPKSG >LPERR05G03120.1 pep chromosome:Lperr_V1.4:5:2258454:2260358:1 gene:LPERR05G03120 transcript:LPERR05G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKIDGTASERADTMAQIHNGCEEWGFFQLVNHGVPKDLLDRVKKVCSEIYRLREAAFMESEPVRTLESLVAAEQRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRVLAGRVMSAMDENLGLEKGTIDGAFTDGGRHVAFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDRVGGLQVLKDGEWIDVQPLADAIVVNTGDQIEVLTNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEARISPAVDGGAGAGEYPEFVFGDYMDVYAKQKFDAKEPRFEAVKAPKSG >LPERR05G03130.1 pep chromosome:Lperr_V1.4:5:2262119:2267025:1 gene:LPERR05G03130 transcript:LPERR05G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPEMAGGGSRGGGHARHPPLSSLIVSTIAAFSAVVVFAILRSAYEDAMSRTTTLLGHNLEPTPWHPFPHDIRRRRPPPRAAFRCAPSLSCLPPPILPPAANATRGRRCPAYFAAIRRDLAPWRRRGGGVTRGMLEAARRRAAMRVAITGGGRRLHVELYYACVQSRALFTAWSILQLMRRYPGRVPDVELMFDCMDRPAINRTEYAGGDGGGGGGGAPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWNEQFRDIKQGAKAMTWQDRVATAYWKGNPDVASPLRVALLSCNDTNMWHAEIMRQNWDEEAKSGYQNSKLSSQCTHRYKIYAEGYAWSVSLKYILSCGSMALIIDPQYEDFFSRGLQPEANFWPVHATGMCESIRDAVEWGEAHPAEAEAVGRRGQLLMQELDMDAVYDYMLHLLTEYARLMRFRPEAAAQEVCEASVLCLADEKQRRFLEASAATPAVSEPCVMPPDDGE >LPERR05G03140.1 pep chromosome:Lperr_V1.4:5:2265468:2271349:-1 gene:LPERR05G03140 transcript:LPERR05G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAALLSYLSLSFPLLPHYSPRGTQPHAAAAAASAEKAASLSPLGFRCLRAAAASLAAMAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLTPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKITRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >LPERR05G03140.2 pep chromosome:Lperr_V1.4:5:2265468:2271349:-1 gene:LPERR05G03140 transcript:LPERR05G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAALLSYLSLSFPLLPHYSPRGTQPHAAAAAASAEKAASLSPLGFRCLRAAAASLAAMAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLTPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKITRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >LPERR05G03150.1 pep chromosome:Lperr_V1.4:5:2274632:2281987:1 gene:LPERR05G03150 transcript:LPERR05G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGGGGEGASSAAAGAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGSIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQVENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLTDVRGFWAHDNRENLLQEEEEQVEEA >LPERR05G03160.1 pep chromosome:Lperr_V1.4:5:2282408:2289558:1 gene:LPERR05G03160 transcript:LPERR05G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEATGHISLQAKPQIPIQKAFPRVASPSPSPEMEAARESMAALVDAGLHDCAQTLGCFLVSSATASNEAGMSMKVESLVLHGDALHGEKEYRRALSAYKQAMQYSKSIPRQATGNTRSSGTATGRSPSPNSCSLAALNENEVKFKIALCHTALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYIFEAITALAEMGLSSKEFSLIFSQAPNRGSKVPGDSLDAQRWWNRYVEAQCCIASHDYKGGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKVRLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNKEAVLLLERHLRQCADDSLHIKLAQVYAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >LPERR05G03160.2 pep chromosome:Lperr_V1.4:5:2282408:2289607:1 gene:LPERR05G03160 transcript:LPERR05G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEATGHISLQAKPQIPIQKAFPRVASPSPSPEMEAARESMAALVDAGLHDCAQTLGCFLVSSATASNEAGMSMKVESLVLHGDALHGEKEYRRALSAYKQAMQYSKSIPRQATGNTRSSGTATGRSPSPNSCSLAALNENEVKFKIALCHTALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYIFEAITALAEMGLSSKEFSLIFSQAPNRGSKVPGDSLDAQRWWNRYVEAQCCIASHDYKGGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKVRLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNKEAVLLLERHLRQCADDSLHIKLAQVYAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >LPERR05G03160.3 pep chromosome:Lperr_V1.4:5:2282408:2289607:1 gene:LPERR05G03160 transcript:LPERR05G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEATGHISLQAKPQIPIQKAFPRVASPSPSPEMEAARESMAALVDAGLHDCAQTLGCFLVSSATASNEAGMSMKVESLVLHGDALHGEKEYRRALSAYKQAMQYSKSIPRQATGNTRSSGTATGRSPSPNSCSLAALNENEVKFKIALCHTALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYIFEAITALAEMGLSSKEFSLIFSQAPNRGSKVPGDSLDAQRWWNRYVEAQCCIASHDYKGGGLDIYLDLMQRFPNNVHILLEIAKVRLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNKEAVLLLERHLRQCADDSLHIKLAQVYAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >LPERR05G03170.1 pep chromosome:Lperr_V1.4:5:2289053:2289367:-1 gene:LPERR05G03170 transcript:LPERR05G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLAAQKAVVVFSKSSCGMSHAVTRLLRELGVDAGVVELDEDPAGADMEGALARMLGHAGAAVPTVFIGGRLVGSTDRVMSLHLTGGLVPLLRDAGALWV >LPERR05G03180.1 pep chromosome:Lperr_V1.4:5:2292445:2295334:-1 gene:LPERR05G03180 transcript:LPERR05G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLNMVESVDDQKIANRLDRVVADLGRKPLKILVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >LPERR05G03190.1 pep chromosome:Lperr_V1.4:5:2300242:2316639:1 gene:LPERR05G03190 transcript:LPERR05G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDESKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPGRRRRG >LPERR05G03190.2 pep chromosome:Lperr_V1.4:5:2300037:2316639:1 gene:LPERR05G03190 transcript:LPERR05G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEVEEEDGEEQQEGFIGDEEGQEEEGDGEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDESKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPGRRRRG >LPERR05G03190.3 pep chromosome:Lperr_V1.4:5:2300037:2316746:1 gene:LPERR05G03190 transcript:LPERR05G03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEVEEEDGEEQQEGFIGDEEGQEEEGDGEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDESKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPLTKEAAAGITNPVNLQEFLLQILVELAPEVASTQWSECGKRLALRVRGLGEEKRGGWLSLSLS >LPERR05G03190.4 pep chromosome:Lperr_V1.4:5:2300037:2316549:1 gene:LPERR05G03190 transcript:LPERR05G03190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEVEEEDGEEQQEGFIGDEEGQEEEGDGEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDESKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPLTKEAAAGITNPVNLQEFLLQILVELAPEVASTQLQQQEKAKGRKKILPFSGKED >LPERR05G03190.5 pep chromosome:Lperr_V1.4:5:2300037:2316746:1 gene:LPERR05G03190 transcript:LPERR05G03190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEVEEEDGEEQQEGFIGDEEGQEEEGDGEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPLTKEAAAGITNPVNLQEFLLQILVELAPEVASTQWSECGKRLALRVRGLGEEKRGGWLSLSLS >LPERR05G03190.6 pep chromosome:Lperr_V1.4:5:2300037:2315680:1 gene:LPERR05G03190 transcript:LPERR05G03190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKYGDGTDDEEEQPLSPSSSAGEEEEEDEEVEEEDGEEQQEGFIGDEEGQEEEGDGEEEEEEEEESSGGEEEAEGESEEEQAAVAEEEEVDEEEIEAVTTGAGADDDEDDGGDGGAAEESQSTEDDEVAAGKDGGGEDGGESEDATGNAEVGKRERAKLRKMQKLKKQKIQDILDSQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLISQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPILRAVKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKNALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENADDQTFGHFGRLSDVQRYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDESKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTLRLTELYEKEVKYLVQANQRKDTIGEGDDGDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQWYAKVFQERYKELNDYDRVIKNIEKGEARIYRKNEIMKAIGKKLDRYKNPWSEMKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQESDERERLARKDKKNMSPAKRSLSRGGLETSPQSSSKRRRHTDANAGPGRRRRG >LPERR05G03200.1 pep chromosome:Lperr_V1.4:5:2312401:2315576:-1 gene:LPERR05G03200 transcript:LPERR05G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCPTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAACAPSEPSSSSSPPDHDDDDQEQITVARTLASLNQTNGGKTPPQKEKQQSSNRPPSQRPSYPKSNAPFYRLQLQKHRYPSVPPEQAMYHMWHQVQPTQQKPHFSMVPTMGSTSFPPPTVLHMYPPPRGQFSMPVGQDGLGVLSRFPDAAPVLPRYFSPYPASFVPRNPSPVNVHKIHEKRLVGLGSAELPDAVVFSQYTAPDFSVTSENADPDYRKEECIESTPASMEETKVHTASSSTMHSPSQKLESNQDKEIMGDVRLESKKPREQSPSPSKVNPVLHGTGQEHHYSSDRHSDPVHRNSPQISVAAPPSSVHHGDPSHRNSPQISVATSPECWRPRVQAPPRFGAGIPVNSPGSLYQQRPPWLATSVTIRTAVPVCSARPNVVNSSAGAVHAQPAVQILKASPRQEAEAQKNSIETSSAATASSKLNNLHI >LPERR05G03200.2 pep chromosome:Lperr_V1.4:5:2312401:2315576:-1 gene:LPERR05G03200 transcript:LPERR05G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCPTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAAWFIVSNSAPSEPSSSSSPPDHDDDDQEQITVARTLASLNQTNGGKTPPQKEKQQSSNRPPSQRPSYPKSNAPFYRLQLQKHRYPSVPPEQAMYHMWHQVQPTQQKPHFSMVPTMGSTSFPPPTVLHMYPPPRGQFSMPVGQDGLGVLSRFPDAAPVLPRYFSPYPASFVPRNPSPVNVHKIHEKRLVGLGSAELPDAVVFSQYTAPDFSVTSENADPDYRKEECIESTPASMEETKVHTASSSTMHSPSQKLESNQDKEIMGDVRLESKKPREQSPSPSKVNPVLHGTGQEHHYSSDRHSDPVHRNSPQISVAAPPSSVHHGDPSHRNSPQISVATSPECWRPRVQAPPRFGAGIPVNSPGSLYQQRPPWLATSVTIRTAVPVCSARPNVVNSSAGAVHAQPAVQILKASPRQEAEAQKNSIETSSAATASSKLNNLHI >LPERR05G03210.1 pep chromosome:Lperr_V1.4:5:2318859:2324306:-1 gene:LPERR05G03210 transcript:LPERR05G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNIAVLERLVTRCRNLKTLKLNNAIPLDKLASLLRKAPQLVELGTGKFSADYHPDLFAKLAAAFGGCKSLRRLSGAWDAVPDYLPAFFCVCEGLTSLNLSYATGALFHGMVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCGRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNESYPLDSLPDETPVEKLYVYRTIAGPRSDTPACVQIV >LPERR05G03220.1 pep chromosome:Lperr_V1.4:5:2323970:2324263:1 gene:LPERR05G03220 transcript:LPERR05G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAVLNPSQETSRSTWKFLNEAAIISRHSSVTTMRLKLSSSSSGQPSAAAATHGAAAAPHEGGTRPKSAKCGLPLTSTARTEGNRSTASRGAAA >LPERR05G03230.1 pep chromosome:Lperr_V1.4:5:2331254:2338980:-1 gene:LPERR05G03230 transcript:LPERR05G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAEGDVSADRVIAELLDMGFEFDRISQAISVVGPCRADVLEFVLGGSGSGRTRPSRESRSRRGVSSNAEVRSSDGGSGLTNPGRRLKQSSITDHLASNTGSERGSGGEKASTSYPCVAASDDPLVSADVCAASKPEPQFSLANSRSESELRDKISVVLRKHFGFSSVKGFQMEALDAWFAHKDCLVLAATGSGKSLCFQIPALLSAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQSDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSSRKLKFLEHDIPLMALTATATIPVRTDIIKSLKMSEEETVIVLTSFFRPNLRFTVKHSRTSASGYGKDFRELVGIYNDSRNFKGSGQKAFDEINSDYESSSYESPDDTVSDDEDADIDSTSHEDNNFEKTKVGMPLINENAENDLDVYPGVDDFDVTCGEFLECSRPENSSVKSNKMRSNSSEIVDQGPTIIYVPTRKQTVEVANYLCKAGLRAASYNAKMPKSHLRQVHEQFHSNSLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKFADCTLYCNLMRAPTLLPNKRSEEQTKAAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCHMCDICINGPPQMHDFKEEAVVFMNVLQARSGQAAEDMGCSSIPHYVSGRRRFGEVPNLRGVVSYIREKFPRFATTDKVWWEGLARILEGRGYIQEAAETPRVLIQYPELTEAGLNLLSLQSEEEGLYAYPDAAMLVALNDPKPVSTSSKWGRGWADPEIRRQRLAGKKIGRRKRKRHSRNHPTGFTTAKQRLAQILSKRRR >LPERR05G03240.1 pep chromosome:Lperr_V1.4:5:2339026:2339577:-1 gene:LPERR05G03240 transcript:LPERR05G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQQWTDSTQAHDAGGVGNGRPPPFAGGGDDVAARRAPSDQALTAQMNRKKSQSPRATANVGPHVSKRSRGFSLLPSRDFETKTKKPSPPRREAAAAEEEDEDGDRFGFYILADSPYLPSAAGIGGGALAAGEFSDSE >LPERR05G03250.1 pep chromosome:Lperr_V1.4:5:2341656:2343586:1 gene:LPERR05G03250 transcript:LPERR05G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRAKHCHFVLPPHFLSLLPHSLNTCTNTRHHHSIIFSLLLTSFINSLRLPIFLSPAMAVSEEGPEPLRYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPPPAAANSPSAGGGGGGGKKKKKNKSKNKNPANAGEPAPPAATAEAGGGGAIPPENHEHGGPCDDEASDGEGTKQDGGGLPETAAQGKQPIANPPNGNNGGGGGGKKKGKKGGGGNGNAATAAAGDGGAIVESSPHDAAPTKQSPGNNGSLAVVVDGGGMYPPPPPAMSYYGGGHMAPPYVMSYSTAHPLRSSAYYHPMAGAVYTSGGGGGYFYTAAPAPAASYYMFSEENANACSVM >LPERR05G03260.1 pep chromosome:Lperr_V1.4:5:2349858:2355518:1 gene:LPERR05G03260 transcript:LPERR05G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSYFSRKCYKNNMILTLGILGNLLTSLVSTISFTLSTRDTNLGHFGSNNNESSVPHSVSSAEFTEGTSFPLVTWSLSNISTAEVPFPKVFFLLFFLSPFAFSPLAWEFSAELPASFSPPACGFSIELSVSITAIATVASHARISLNLSKELYTPRKTDTAFESIITLSSIPFKSSISTLPVSFSRSSTSSIFPAQSLVRATLSLSIACSGLASCVARATNASSLHSPVSTASLFPNFTGALSSMRTLACSTRTASRNIPFTVGTLVKDAASDPPAPPTSLDPNKSILTSAATSASSLAERPSPEVGTSKAATSPRAASHDSAATASTTRTSGEAQQSIAAANATATGAEAAATAASSREAGGSAAVRSSDAARRVAVAAVTSADEESPAVRSSLLRSTAAAARDSGGERARSRSGEGVSCGEGPSVESLCCAEASMEGSARPRERA >LPERR05G03270.1 pep chromosome:Lperr_V1.4:5:2352071:2355587:-1 gene:LPERR05G03270 transcript:LPERR05G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAAAAATLGGKGAALTPADVYALSLGLAEPSIDASAQQRLSTLGPSPQETPSPLRDLALSPPESRAAAAVLLNKLLLTAGDSSSALVTAATATRLAASLDLTAALPPASRDEAAVAAASAPVAVALAAAIDCCASPLVRVVDAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGGAGGSDAASFTKVPTVNGMFREAVRVLHARVRIELNAPVKLGKRDAVETGECKEEALVALATQLARPLQAMLKLSVARTRLCAGKIDDVELREKLTGSVDIDDLKGMLDKVMIDSNAVSVLRGVYNSLLKFRDILAWEATVAMAVIETDNSIEKPQAGGENEAGSSAENSQASGEKAKGDKKNNKKKTLGKGTSAVLMLLRDHVTSGKEVPSVNSALLTEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLNIGSYEIKLNHRKLLDGMLEICGVPSEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETAERIGDLVKTRGPPLEVLLELRKQGSKFLENKGSVVALNELEILFRALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQENQVRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIANHLKYATQSGIPWMVLVGESEISSGKVKLKNLTSTQEEEVDRTEFAQVLKQRLRNP >LPERR05G03280.1 pep chromosome:Lperr_V1.4:5:2356504:2360254:1 gene:LPERR05G03280 transcript:LPERR05G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAARRWRRMRATAAANPSPSALLRPPPSSSCGEGDSSSRAVHPQHRWLLPGGSSSSGAAAPRQLQQFLGVGGSSLTAAAAPSSHSSSPLRSTTLPDLMAGLQASILAVEAGQDAVIRLLLYQRADEVVPPYGKGHTVAEFTRRISGWRNGMSRCGAKDEGVKVLDRRQGAERRTVSNILGAGDDSLGFARTPAEVLRILYGSGNEQIPGGFLPRGGNGTIARGFVQLA >LPERR05G03290.1 pep chromosome:Lperr_V1.4:5:2361196:2362437:1 gene:LPERR05G03290 transcript:LPERR05G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASQEGLTHRARFDGDAAPPATATSRDPRKTTTAKRGLRSLAAAVSLSVAMTAASFYGTSSATSAAKVTVARAGTVAAEAVMALAAWMVWAEGGLHRRPVATLAPFAAQLLAAVAWAPLALGLASPAAGMACCAAVAAGAAACVRGFGAVNPVAGDLAKPCVAWAVLLAK >LPERR05G03300.1 pep chromosome:Lperr_V1.4:5:2362654:2364205:-1 gene:LPERR05G03300 transcript:LPERR05G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAPISNRPEVEEEEVTVEKTPESDSAAAAEEERLRYLEFVQQAAAQALLLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHDVPLDLLKFLDRKETDDFSVLQVGESVQEIDRRVPPVVKEAPSRARSAAAEVRQAGLVGTATGLAKSAIARAEPKARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPTAANLSARYNTAVIDGAKRGSAVATYLPLVPTERLSRVFAYPLPDSASPAPAMQPIPSQ >LPERR05G03310.1 pep chromosome:Lperr_V1.4:5:2366042:2369986:-1 gene:LPERR05G03310 transcript:LPERR05G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTDADAAPVAPVVEEKPAAPDADEVAPPPPAEQPKVAESPLAAAEEEEEEDGYGDDGEVRLEGKDEGLSGKEAENGEVEAAGGAEVEAPEGDEKDGSLAPAPTEAEAKDEGGGVEFSAEDAKAVPVAAAEEDEEEEANNGELGEGETYPDSSDAAVGEEKGEFGEETEEKAAAASEANGAVAELDEEEKPAEKKGEEEVVAIGSGDDGELGTEKEVDVSAGAVEAAQPEGKVAPEVEANGDLDDKVEEVSASTVAEEERSAPEELLEKENDVEEKEATPDPVSDESPVVIDDDSSEKIDPVNAESALEESTEMEQTVESQAGESAEIAGAEKPTEVESYVAGDDSPIISQELAPVQTDENNVAMGDEGVAEVVDREEDADAAAAAAADDEIVLAAADDEDYGTNEADEDEDGVSSDRGPARVAIIESSEAAKQIIKELGEGSATGLGSSAPSLRPTAPRQPARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKALLLEAEGKEELNFSCNILVLGKTGVGKSATINSIFGEEKSKTSAFGSATNSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIELDDYSDIEQDEDEEEYDQLPPFKPLTKSQLGRLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYGNIAGENDQDPPPENVSVPLPDMVLPPSFDTDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLSKFPANVAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRVALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVAASIYRSFRPSEPSFAY >LPERR05G03320.1 pep chromosome:Lperr_V1.4:5:2375829:2376284:1 gene:LPERR05G03320 transcript:LPERR05G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAIHLRGEQQKQQANTAASGGGGGRRLFTCLFCEKKFLKSQALGGHQNAHRKERGAAAGCLNPYAVVYSAGAAAPTTMTTLLFPHQVDGSYSRSSAAATTAAGRRDIDGSRSAVEIMADHSWWTGQISTHAGGNSAGGEVDLELRLF >LPERR05G03330.1 pep chromosome:Lperr_V1.4:5:2378721:2382268:1 gene:LPERR05G03330 transcript:LPERR05G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQWPTPWPTCHTLSPTTTPFLTHAATKSRRDRRHLSPSPASDLTAGELTIALSSLYMLLLAVYHPRNRYVLHLSADASDAERRDLAARVSTATPAIGAFRNVAVVGVPTAGTPVGSSGLAGTLRAAAVLLRLHSDWDWFITLNAADYPVVTQDDLIYVLSNVSRQLNFVDHTSDVGQKESDKVQSMIVDAGIYLAGRTNFFRATEKRPTPDAFKFFTGISCCLRLCFCWSDSLLTTIYVSFIGSPWVILNRRFIEYCILGWENLPRILLMYFNNVILPQEGYFHTVICNSLEFRNFTVNNDLRYKAWDDPSQTEPVFLDMTHYDKMVDSGAPFARRFRENEPLLDKIDGNILGRWGQGPVPGAWCSGRKSWFSDPCSQWSDVNMVRPGPQAIKLRQYINESLEEAEFGSKSCKR >LPERR05G03340.1 pep chromosome:Lperr_V1.4:5:2383362:2388914:1 gene:LPERR05G03340 transcript:LPERR05G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHSNRRAMASSEEGDETVANARKKPRIRMPPENSYSEDEIEQSHGHSEEDGGEGEDEEEDEEQEESQTEDDDGDEQEEEQEEQEESQTEDDDDGDKQEEEEQQEHTDPAAATRAVVAGVTVYDAYALDCGICFVPLRPPIFQYEVGHVVCSHCRDMLALMAVPKCHVCGSVDNGGYRRCHAMERLADAIHVACPHAAHGCAATPAYHELESHRDTCTHAPCHCPGKACYNDLAAGLPDPKQSFQFVVPRYVVGDDEESGVGISNSKRNATSRITRPGGRLLLLPI >LPERR05G03350.1 pep chromosome:Lperr_V1.4:5:2388960:2398060:1 gene:LPERR05G03350 transcript:LPERR05G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEDDTNPSTRRKKPRLMVEAENAADALDCGVCFLPLRPPIFQCPVGHVVCSPCRDKLARTCPRKCHVCGVAVVTYRRCHAMEKLVDSIHVACPHAAHGCAATPAYHELESHRDTCPHAPNCHCPGDGCGFVGSTAALLDHFAAAAPHDWPCTDQPVDQSQPQERLQLPPRLLCIHPHEVVNMRCELVILWLGGGGMHHQQSIFNLGCSDLADGLPDPKHRSQFLCHVGHVVCSTCRDKLAPAGKCHVCGVAVAGYRRCHAMEKLVDSIRVACPHAAHGCPARPPYHSLDAHRLSCTHAPCHCPGKGCGIVGSTAELLDHFADEHDWPCTDVSSDHDNLAWAEVHLNDCFNFLSVDHHIIIMMNMTSVPPLDRAISVLCIRPRAAAAVSEMKCELKLFNDTGGSHYQKSQFQLDCSDLADGLPDDLKYRCQMVVPAFVAGDDNDDGIGTNCHVVCSPCRDKLSRAPVPKCHVCGVAVAGYSRCHAMEKLVDSIRVACPHAAHGCAATPAYHELESHRDTCPHDWPRTDVSSNYMKYRMADFHLKNGFNFIAVDGRPPTLPA >LPERR05G03350.2 pep chromosome:Lperr_V1.4:5:2398063:2404522:1 gene:LPERR05G03350 transcript:LPERR05G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVTPETSGRAISVLCIRQHAAQAKMKCSLGLFISWFDFAGDGHHQKSRFQLVCSDLAGGLPDDPNHLYQMTVPGFVTGDDNDDGIRTSVRETSAHASKRLRIAPEYTDCTDQESSHRHEEDEEGDQREDERQAESDLGDGEEEERLGHEEDGDGGEEDNGGRVEEEEEERLGDDEDGAGEEIHGHEEDGSGSGEEEEEEIDTEPDDDGMEEEEIAGVVHGRVDEEMPAVDHGGDSVEAEIATADPPPPPPPRAAVAGVTVEDGDALDCGVCYNPLKPPIYQCEVGHVVCSPCHERMTPTPTCHVCRVPVTGGAYRRCHAMERLVSSIHVACPHAAHGCAAAPPYHDLESHLDTCPHAPNCHCPGDGCGFVGSTTALLAHFAAAAHGWPCVTHLRSGEDFALDDGLNFHLVKRHLIMINVTRLPLIGRAISVLCIHPHAAAADEMRCELRLFSVSRRGEDYHDQKAVFRMDHSDLANGLPAGENQRFQFVVPRHVNDDYDGAIHIRDYYESNNGTIGGGGEESHGHEEDGGGGEDEEETQGEPDDDDGVEGSESDRGDGLEERETAGVVDAREETPPADAPAAVAGVTVEDGDALDCGVCYHPLKPPIYPCEVGHVVCSPCHDRMTPTPTCHVCRVPVTGGAYRRCHAMERLVSSIHVACPHAAHSFAATPPYHDLESHLDTCPHAPNCHCPGDGCGFVGSTTVLLAHFAAAVHAWPCDTRTAAAAGDTYSLDDGFNFLRVEHHDAAGGGGGDRLVMLNMTREAYGRAISVLCIHPNAAAVAAAMRCEIRYESRVGYYYGGGDDGAPWHGHVQKSVFDVGHSDLAGGLPDPKQGFQFILPSCVMPDDGEGFIRIHVRMIVIN >LPERR05G03360.1 pep chromosome:Lperr_V1.4:5:2405870:2406679:-1 gene:LPERR05G03360 transcript:LPERR05G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTPLCSGYGASPSPSQTTAATAAAAAVGLELELYCGVCSVPLPLSPPIFRCNLSHALCPPCHNLSPSPTLCLACLLAAGNHHRRSIPLPCPHAAHGCAATAPCHCPAGDFVGSISSLLTHLSTSHGWPTTNLAAAASAAFTTIHLKDGFNFLRLSSSSSRILMLAVTPVPPLGRAVSVICCIDGGGGEGKRIQCELELNVSRFVGGGGGGVCRRHYQSSRFDLCCSDLASGLPDPCHHYQMVVPEFVAGDDSDGGIRISIRIRIN >LPERR05G03370.1 pep chromosome:Lperr_V1.4:5:2426688:2427711:-1 gene:LPERR05G03370 transcript:LPERR05G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETTYQEEDDYCRYSPDPPELQEGLRRLMEAERINSGDERAVAAVFARPGEKRAVSEIPTGWAHEWDDSLVTVKRFKSNYWAKPCMAKLMLKSGPSFTCTAAIMIHSKRQAWRRKRGVRISCFFLLEF >LPERR05G03380.1 pep chromosome:Lperr_V1.4:5:2431929:2437574:1 gene:LPERR05G03380 transcript:LPERR05G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCIMGSNLVAIEKSLHGKFYTGNTYIILSTVELKKGIRQHNVHYWVGEEAKEEHCLVASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSNKITMFRCEGEHVARVTEVPFSRSSLDHKAAFIVDTQSKIFLFSGCNSSMQTRAKALDVVKHLKENQHCGRCEIAAIEDGKLVGDSDAGDFWNLFGGYAPIPHDLQDTVITELMTTACKKLFWINKRNLVPVESHVLEREMLNSDRSYILDCDAEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNVRSVILTEGHETVEFKMHFQHWPKNVVPKLYEAGREKVAGAWTLKKGGLIQNHGAAIFKHQGYDVTEIPEDKPHHVISSNGSLKVWLVDSGSVTLLCTEEQEQLYNGDCYIIRYSYAEDGKDYHLFFAWFGQNGVNEDRVAAASLMSGMADSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSLAYKNFVLQRSDMNGWYKKDGVALFRVQGLKHDCIRAIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNMLDRMMDNFSPLKQFLLVWEGSEPDRFWEALGGRSEYSKEKHVKDWPADPHLFTCYFEQGLFKAKEVFSFSQDDLVTEEILILDCLEELYVWVGLHSGVLSKDQALDIGKMFLQAGIHQDGRRSIETTMYIITEGDEPNFFTSFFNWDYSKQAMLCNSFERKLAILKGNSQKLEPTQVVVFVAEKAAGDFVGADHAGAAITSEDVHLGLYREAREGEITGRFNRCNVTVSVDAVAVSEKPLVGCLLAAAVIVELDADHGGAAALPAVASRLVGGGRHREPSPAVSR >LPERR05G03380.2 pep chromosome:Lperr_V1.4:5:2431929:2437574:1 gene:LPERR05G03380 transcript:LPERR05G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCIMGSNLVAIEKSLHGKFYTGNTYIILSTVELKKGIRQHNVHYWVGEEAKEEHCLVASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSNKITMFRCEGEHVARVTEVPFSRSSLDHKAAFIVDTQSKIFLFSGCNSSMQTRAKALDVVKHLKENQHCGRCEIAAIEDGKLVGDSDAGDFWNLFGGYAPIPHDLQDTVITELMTTACKKLFWINKRNLVPVESHVLEREMLNSDRSYILDCDAEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNVRSVILTEGHETVEFKMHFQHWPKNVVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPHHVISSNGSLKVWLVDSGSVTLLCTEEQEQLYNGDCYIIRYSYAEDGKDYHLFFAWFGQNGVNEDRVAAASLMSGMADSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSLAYKNFVLQRSDMNGWYKKDGVALFRVQGLKHDCIRAIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNMLDRMMDNFSPLKQFLLVWEGSEPDRFWEALGGRSEYSKEKHVKDWPADPHLFTCYFEQGLFKAKEVFSFSQDDLVTEEILILDCLEELYVWVGLHSGVLSKDQALDIGKMFLQAGIHQDGRRSIETTMYIITEGDEPNFFTSFFNWDYSKQAMLCNSFERKLAILKGNSQKLEPTQVVVFVAEKAAGDFVGADHAGAAITSEDVHLGLYREAREGEITGRFNRCNVTVSVDAVAVSEKPLVGCLLAAAVIVELDADHGGAAALPAVASRLVGGGRHREPSPAVSR >LPERR05G03380.3 pep chromosome:Lperr_V1.4:5:2431929:2437574:1 gene:LPERR05G03380 transcript:LPERR05G03380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCIMGSNLVAIEKSLHGKFYTGNTYIILSTVELKKGIRQHNVHYWVGEEAKEEHCLVASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSNKITMFRCEGEHVARVTEVPFSRSSLDHKAAFIVDTQSKIFLFSGCNSSMQTRAKALDVVKHLKENQHCGRCEIAAIEDGKLVGDSDAGDFWNLFGGYAPIPHDLQDTVITELMTTACKKLFWINKRNLVPVESHVLEREMLNSDRSYILDCDAEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNVRSVILTEGHETVEFKMHFQHWPKNVVPKLYEAGREKVAGAWTLKKGGLIQNHGAAIFKHQGYDVTEIPEDKPHHVISSNGSLKVWLVDSGSVTLLCTEEQEQLYNGDCYIIRYSYAEDGKDYHLFFAWFGQNGVNEDRVAAASLMSGMADSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSLAYKNFVLQRSDMNGWYKKDGVALFRVQGLKHDCIRAIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNMLDRMMDNFSPLKQFLLVWEGSEPDRFWEALGGRSEYSKEKHAKEVFSFSQDDLVTEEILILDCLEELYVWVGLHSGVLSKDQALDIGKMFLQAGIHQDGRRSIETTMYIITEGDEPNFFTSFFNWDYSKQAMLCNSFERKLAILKGNSQKLEPTQVVVFVAEKAAGDFVGADHAGAAITSEDVHLGLYREAREGEITGRFNRCNVTVSVDAVAVSEKPLVGCLLAAAVIVELDADHGGAAALPAVASRLVGGGRHREPSPAVSR >LPERR05G03390.1 pep chromosome:Lperr_V1.4:5:2438129:2442376:1 gene:LPERR05G03390 transcript:LPERR05G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDNHHRRLHRLDLVRFDSVDGESKHGELGLVVSYTEPKAKVIMCIDGVVVDKSGVTLAVVDRSSLHPGMHVTSASDPTGQIGVVTAVSSSVDLVNDRPSGDYSDIAATSSKRRGVSPATLKRVTGFSLGDYVVNRQRWLGRVVEVCVAVDVAFDDGAVCRVTGATPLARVVDVDVARGCRREVNCKFYPGQRVTGHHMLNELSFAFKEAKWLRGYWKQSREQGTVVKVNVTAVIVVWIASAELGTGKDLVNASAPPAQQNPEDLTIFCSDNECPWALGDRCFLPESQRSQRIPRRQSTDVPTDTSLPLSPPAMTATEKENDNDRKVSAAAVAAPEKGQKPYRNKLRKFFYKRDRRGTRWGARMPAMEKTAVVAGTATTADVMWQDGTLTRGVASVGLVPFDILNAHEFFPGQHVVVGLDDDDATPAAARARRVGVVRTVDPKDQTVRVSWLDAGGEEECVASAYDLRRCTEHDVFYGDVVIRKLPATAAAAEEGGGFDMSWVGRVVDVRDGHVQVRWGDSEASTALHHEVIGVEMVVYWQLEYEVGPWLNDRPAAANNNNNAANNNVAAVAGNAGGAAGNNNVANVAAAAGNAGGAAGGNNVANVAAAAGNNNVANVVAAAGNARSAGANAPAQPPPPPPPATLTGRVGAAMQSMIDVASQLLAQGKSYLVGESSSSSSTSSSAAEIAVNDAESPPAAAPAAEEEASAPSAPAAGGDDGNGVAAEAVADVAVASSEVAGGDDAGDYFDRKGKKKIAGAGEDDSLGFAHFDVVQCPADHHYLDSKIEGTAHGNKWVKRVQKEWRILGDDNLPGTIYVRAYEDRMDLLRAAMVGADGTPYQDNLFLFDIHLPQSYPSTPPQVYYHSFGHRVNPNLYPSGTVCLSLLGTFDAGDETERWLPDKSTLLQVLVSIQGLILTVDPYYNEAGYDTYVGTAEGRRNAVSYAENAFLLTLRSTLCLLRRPPCGFEEIVVGHFRRRGRQVLAACEACRVRGVGVAGDGDERVGCSAGFRIALGNVVPLLVDAFTAIGPEGIDQFT >LPERR05G03400.1 pep chromosome:Lperr_V1.4:5:2442767:2447644:1 gene:LPERR05G03400 transcript:LPERR05G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDKDSDQQAKEKADHRALASHLKDHPAESSRPLSLPLQNSKNDLYVWPWMGILVNMPTEFVGKSANRLKEHFSSYHPLKVYSVYSKGKPTCNAIIEFGKDWSGFRNALKFESRFELNGYDKKAWLDSEHGGSEPFGWIARADDYNSSGAIGEHLKRNGDLKTLNDVENEGTVKTDKLIASLACEVKEKDVHLEKLKCVYDERNMLLGRMMESRENLLKSYDKERQKMQQFSYEQTHKIVDENQKLHLNLQSMMHELDANCKRLEELTAQADSDKKSLEIAMQKNAKKADHLRLATLEKQKADDNMLRLVEEHTREKEAALNSNMRLYEQLDMRQKLELDIAHLRGKLQVIEHTKGSEDPDLKRTIEALTEKLNEKIEDMNYVDNINQSLFEKEKKSKDELQEARKLVIDSLQRLPTDIRSKAQICVKRIGELDLKIFSDACRQKFSEDFAEVESSVLRSKWQNEIRDPEWHPFKSVWVNGKEMKVIREDDEKLQQLKEEYGQEVYDEVTNALIELNEHSISGRAPFLELWNYEKGQKLSTKEMVQQVIKLYEGYNATKKRR >LPERR05G03410.1 pep chromosome:Lperr_V1.4:5:2450648:2454348:-1 gene:LPERR05G03410 transcript:LPERR05G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYPSEKKKMGEEKLIINSEKVRFIDILSLLLLRRPLASYHFVDAGDGNSGELGSAPGEWFVALTEIIQKALAAAYYPAKYLGIVVEFFLNFVALNGGLIGIVWNIFRCKLVIPLNREAPNFRSMIAMIDGRTELKPMKPTTAGVNDDLESGGCAADSPLIIRHQCLDGEHLLAGEQYSLSEVTVMAAKIAYENAAYIENVVNNVWKFNFVGFYSCWNKFVGSETTQAFVMTERAKDASLILVAFRGTEPFNMQDWSTDVNLSWLGMGPMGHVHVGFLKALGLQEVDGKDATRAFPRQLPTNAGHDKPVAYYKLRDVVRDAARRHPNARVVVTGHSLGGALAAAFPSLLAFHGEADLLARLSAVHTYGSPRVGDATFSSFLATAPGISSNTLSSLRVVYRYDIVPRVPFDAPPVADYRHGGRCVYYDGWYAGKELAAGEDAPNKNYFDPRYLMSMYGNAWGDLIKAIFLGWKEGKDFREGIVSIVYRAAGVLFPGLASHSPRDYVNAIRLGRVAPKEA >LPERR05G03420.1 pep chromosome:Lperr_V1.4:5:2466018:2467529:1 gene:LPERR05G03420 transcript:LPERR05G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSLSWQSPAGDRWDATSLAGALKAAAVRRSPAHVTPLHAVLLKLGLSASAILATSLAHLALRCGLPGYARGMFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVASGVVPNGYSLSGALLACAGVGDDALRSGKEVHARVVKMSLHGSVDAVVENGVLDMYMRCGKVDYGRRLFGVMLVRDIVGWNSMMAGCLRNGLAEEALSLFASMVFSDVDADGFSFAISVDACGELALLKQGMQVHGRVIRGGFESDMVVKNSLVDMYAKCGCVDSAELVFSDALSSDAVLWTTMISAYGKFGRVHDAICMFDRMSQLGIKRDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNIVKVQPEHYGCMADLLCRRGYLQEALDFITNMPFDSSIAAWSALLNSCRIHGNAKLGQLAASRLLQLDPENHSNWVALSNVHASESDWHETWMIRESMSIECVKKEPGCSWVELHDGVHVFLMADQSHPELVDVLRTLDSLKEDIHW >LPERR05G03430.1 pep chromosome:Lperr_V1.4:5:2596071:2598732:1 gene:LPERR05G03430 transcript:LPERR05G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPELDDPAAPRAVKRAKVAAEEEETSPSPAPAAKKEAARLTVWCKSLVFHGEGYAVFDDADGRMVFRVDNYGGRRSRSTAMALMDHAGRVLLTVRRRQRRHCKALLILGLVETWEVYKGDVEDEDDTRNSCSSSIDRQLVMRATKDLGNSSCTVSMVGCGGDGEPAVYRMCWSRREEWSRVHCSGSGNSLVAEVRRKRGGPRKTTLLGKDVLSLTIQPGMDQAIAMAMLIISNSYR >LPERR05G03440.1 pep chromosome:Lperr_V1.4:5:2601321:2607674:-1 gene:LPERR05G03440 transcript:LPERR05G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDASSSPSPAARRRSRPPAHPEAGGGGGGDGEVGPASFRLAPDLTFLQPSERTAIERLISLGFYYRELNRFATESRDLSWINSSIDVSPPHPDKSVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDQENELSQVDAADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAVRVLRNPSPGATLQESMNQSQNLKGSYRMQSPIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQCFLEESRQLMRLPPRQSTAEADLLVPFQLAALKTIGDEDKYFARVSLRMPSFGIKASTSQKDLQKSSTPEISQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNNSATQLRKQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYESSRNMFEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSGRLRPNTAGSQL >LPERR05G03450.1 pep chromosome:Lperr_V1.4:5:2609472:2613953:-1 gene:LPERR05G03450 transcript:LPERR05G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEVRGEEEEEGVGGKEEKAAAAAAVSCSICLDAVVAGGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPSQDVNMDEWAHDEDLYDVSYSEMGRRIITPIHDFMGQHMFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPSSNNGVSEQQGVPFGTMRSARVDGDTQRRGSSVSPSFFSNGSGSRSRAPNVPPMVPQFMRAHGGMSEQYQQNSSSSLFAGAHRSGGMRTAPPPLPENPAFCLFPPGSSGHNSMETDDAGGSRFYAWERDRFAPYPLMPVDCETSWWSSQQSHGTSDPSPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >LPERR05G03460.1 pep chromosome:Lperr_V1.4:5:2622449:2631484:1 gene:LPERR05G03460 transcript:LPERR05G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLASSSAGAAGGGGGGVDPRGGVGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKEIDEDDDDMIPGSQQGPADNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEISRKEEDIITVHDSSFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPIYRNQNFKLWLSYFEIYGGKLFDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHTVVPDTRRRDRDVVNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKDQPTGPTIPPGRDSSAPSYPMPIETEEIANQIQEKRPVETSRKVAENATSVSSMEPDRNSVSMIPSYSNRGREENGSSGLNDRDRVDLNSSRTGYNNKPQPVQNSAYAQEEEKVTKVSPPRRKAYRDDKPERQSNYMKKDSGPETSRTGYKVHQAKQLQQQRPTSASASQASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKSSR >LPERR05G03460.2 pep chromosome:Lperr_V1.4:5:2631373:2636308:1 gene:LPERR05G03460 transcript:LPERR05G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSQLNPIKKLMVDLPNTKGEGQTQREREGKSSRARAWRRREEMSGEAAAAVGGGAPEENGVPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHNDVSQVGLGVNAYPGLYGAPPLSQLPFGGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNLLQGFKISKDNQMLISK >LPERR05G03470.1 pep chromosome:Lperr_V1.4:5:2636526:2638675:-1 gene:LPERR05G03470 transcript:LPERR05G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPAQTPPPTSAASTQQQQGPAESTREGMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPTTEDMLRKDIAIMEEELKTKSELIVKHKQLIEGWQKELKDQLGKHVTELERV >LPERR05G03480.1 pep chromosome:Lperr_V1.4:5:2639522:2645825:-1 gene:LPERR05G03480 transcript:LPERR05G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRTHPVRGLGAKIRWPSQIPLFKTTNAAASSSAARGGSVVVERSSRRERMAEKKGRTEMEVRPDGVAVIAVANPPVNALSIDVFYSLKDNYEEALRRNDVKAIVVTGKEGVFSGGLDINTFGVIQRNKAEQLNDYLPIDTMASTLEAAGKPSVAAIDGFALGGGLEISMVCQARISTPTAELGLPELQFGVIPAFGGTQRLPRLVGLTKALEMMLLSKTIKAEEAHQLGLVDAIVSPDDLLDMACRWALDICESRRPWVHTLSRTDKLESPDEVREILKVARAQVQKQSTNLQHPLLCIDVIEEGIVSGPRAGLRKEAIVFQDLVFTDTCKSLVHVFFSQRAAPKVPGITDIGLMPRQVAKVGIVGGGLMGSGIATALMLSHYPVILKAVSEKSLNAGIDRIKANLQSQVRKGKMTEEEYEKTLSLLTGVVGYESFKDVDLSVVEDVNVKQQVFADLEQYCPSHCVLATNTSTIDLDLIGGKTNSQDRIIAHVMPLLEIVRSKRTLPQVVVDLLDVGKKIKKTPIVVGSCTGFAVSRMFTSYTETALLLVDCGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASRMQYPENSSVSVNKSMLVPLMLEDKRTGEASQKGFYKYEGNRKAIPDPDIIKYVQKSRSMARIMPDNELLKLDNKEIVDMVFFPVINEACQVLSEGIAIKASDLDVASILGMGFPQCRGGIMYWADSIGAKRIHAKLSEWERKYGQFFKPCSYLSERAEEGVPLSAAKNNANAHL >LPERR05G03480.2 pep chromosome:Lperr_V1.4:5:2639522:2645825:-1 gene:LPERR05G03480 transcript:LPERR05G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRTHPVRGLGAKIRWPSQIPLFKTTNAAASSSAARGGSVVVERSSRRERMAEKKGRTEMEVRPDGVAVIAVANPPVNALSIDVFYSLKDNYEEALRRNDVKAIVVTGKEGVFSGGLDINTFGVIQRNKAEQLNDYLPIDTMASTLEAAGKPSVAAIDGFALGGGLEISMVCQARISTPTAELGLPELQFGVIPAFGGTQRLPRLVGLTKALEMMLLSKTIKAEEAHQLGLVDAIVSPDDLLDMACRWALDICESRRPWVHTLSRTDKLESPDEVREILKVARAQVQKQSTNLQHPLLCIDVIEEGIVSGPRAGLRKEAIVFQDLVFTDTCKSLVHVFFSQRAAPKHLQQVPGITDIGLMPRQVAKVGIVGGGLMGSGIATALMLSHYPVILKAVSEKSLNAGIDRIKANLQSQVRKGKMTEEEYEKTLSLLTGVVGYESFKDVDLSVVEDVNVKQQVFADLEQYCPSHCVLATNTSTIDLDLIGGKTNSQDRIIAHVMPLLEIVRSKRTLPQVVVDLLDVGKKIKKTPIVVGSCTGFAVSRMFTSYTETALLLVDCGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASRMQYPENSSVSVNKSMLVPLMLEDKRTGEASQKGFYKYEGNRKAIPDPDIIKYVQKSRSMARIMPDNELLKLDNKEIVDMVFFPVINEACQVLSEGIAIKASDLDVASILGMGFPQCRGGIMYWADSIGAKRIHAKLSEWERKYGQFFKPCSYLSERAEEGVPLSAAKNNANAHL >LPERR05G03480.3 pep chromosome:Lperr_V1.4:5:2639522:2645825:-1 gene:LPERR05G03480 transcript:LPERR05G03480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRTHPVRGLGAKIRWPSQIPLFKTTNAAASSSAARGGSVVVERSSRRERMAEKKGRTEMEVRPDGVAVIAVANPPVNALSIDVFYSLKDNYEEALRRNDVKAIVVTGKEGVFSGGLDINTFGVIQRNKAEQLNDYLPIDTMASTLEAAGKPSVAAIDGFALGGGLEISMVCQARISTPTAELGLPELQFGVIPAFGGTQRLPRLVGLTKALEMMLLSKTIKAEEAHQLGLVDAIVSPDDLLDMACRWALDICESRRPWVHTLSRTDKLESPDEVREILKVARAQVQKQSTNLQHPLLCIDVIEEGIVSGPRAGLRKEAIVFQDLVFTDTCKSLVHVFFSQRAAPKVPGITDIGLMPRQVAKVGIVGGGLMGSGIATALMLSHYPVILKAVSEKSLNAGIDRIKEQFRKGRNVSLHSLLSANLQSQVRKGKMTEEEYEKTLSLLTGVVGYESFKDVDLSVVEDVNVKQQVFADLEQYCPSHCVLATNTSTIDLDLIGGKTNSQDRIIAHVMPLLEIVRSKRTLPQVVVDLLDVGKKIKKTPIVVGSCTGFAVSRMFTSYTETALLLVDCGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASRMQYPENSSVSVNKSMLVPLMLEDKRTGEASQKGFYKYEGNRKAIPDPDIIKYVQKSRSMARIMPDNELLKLDNKEIVDMVFFPVINEACQVLSEGIAIKASDLDVASILGMGFPQCRGGIMYWADSIGAKRIHAKLSEWERKYGQFFKPCSYLSERAEEGVPLSAAKNNANAHL >LPERR05G03490.1 pep chromosome:Lperr_V1.4:5:2647674:2652089:1 gene:LPERR05G03490 transcript:LPERR05G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMAGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >LPERR05G03500.1 pep chromosome:Lperr_V1.4:5:2651176:2653718:-1 gene:LPERR05G03500 transcript:LPERR05G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWHSDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLITLWTFAIYTKTIAVVLTVAKVATAVVSCITALMLVHIIPDLLNVKLRERFLKDKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLGLAECALWMPTRSGTALQLSHTIHNSGALGSVVPINLPIVSKVFNSNRAERIQHTSPLASIKADKSKYVPPEVVAVRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARQEAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETKLTAEQRLMVETILKSSDLLATLTNDVLDVSKLENGSLELEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPTHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASLARSDSLRGPHVPDYHPVHSDGSFYLAVQVKDTGCGISPQDMPHTFRKFAHPDNATGRWNSGNGLGLALSRRFVSLMQGNIWLESEGIGKGCTATFFVKLGMPEKPNANLRRMVPPLPQNQGAGGPDAFNISIMDGDPRVPRVRYQSSI >LPERR05G03510.1 pep chromosome:Lperr_V1.4:5:2656078:2660921:1 gene:LPERR05G03510 transcript:LPERR05G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVSALASQLLRRRRLPAPALQRPPPRFLSSAAVVSGPLDRLKSPPFARPAAAQHRGPGISPWDRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEIFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKEVEQELDGDEEAELTGQME >LPERR05G03510.2 pep chromosome:Lperr_V1.4:5:2656078:2661576:1 gene:LPERR05G03510 transcript:LPERR05G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVSALASQLLRRRRLPAPALQRPPPRFLSSAAVVSGPLDRLKSPPFARPAAAQHRGPGISPWDRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEIFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKEVEQELDGDEEAELTGQME >LPERR05G03530.1 pep chromosome:Lperr_V1.4:5:2667185:2670984:-1 gene:LPERR05G03530 transcript:LPERR05G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPPAAAAAAPQLTHSSALQQKLEGLPAMVQAVHSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFINFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDQQTQCVIDNQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPAGDNAQNGFNFGNQQPNVPSGGFNFG >LPERR05G03540.1 pep chromosome:Lperr_V1.4:5:2674354:2683470:1 gene:LPERR05G03540 transcript:LPERR05G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTVVSIAGDGHDDAGHHRRPLLSSDDEIRPYPASPSPAPDANPDQKPRRVASLDVFRGLTVAMMILVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKTAATTKAATRAIKLFVLGVILQGGYIHGRHSLTYGVDLDHIRWLGVLQRIAIGYFIAAISEIWLINNIPVDSAISFMKKYFMEWIMAIMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPSNEVGMKMIQCGVRGSLGPPCNAVGLVDRILLGENHLYKNPVYKRTKECSVNSPDYGPPPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLVHCKDHSPRMLLWLLTSTVLTVSGFLLQLLGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACELFPALVQGFYWRSPENNLVDVTESLLQTIFHSKRWGTLAFVLLEIIFWCLAACFLHMKGIYLKL >LPERR05G03540.2 pep chromosome:Lperr_V1.4:5:2674354:2683637:1 gene:LPERR05G03540 transcript:LPERR05G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTVVSIAGDGHDDAGHHRRPLLSSDDEIRPYPASPSPAPDANPDQKPRRVASLDVFRGLTVAMMILVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKTAATTKAATRAIKLFVLGVILQGGYIHGRHSLTYGVDLDHIRWLGVLQRIAIGYFIAAISEIWLINNIPVDSAISFMKKYFMEWIMAIMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPSNEVGMKMIQCGVRGSLGPPCNAVGLVDRILLGENHLYKNPVYKRTKECSVNSPDYGPPPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLVHCKDHSPRMLLWLLTSTVLTVSGFLLQLLGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACELFPALVQGFYWRSPENNLVDVTESLLQTIFHSKRWGTLAFVLLEIIFWCLAACFLHMKGIYLKL >LPERR05G03540.3 pep chromosome:Lperr_V1.4:5:2674354:2683637:1 gene:LPERR05G03540 transcript:LPERR05G03540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTVVSIAGDGHDDAGHHRRPLLSSDDEIRPYPASPSPAPDANPDQKPRRVASLDVFRGLTVAMMILVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKTAATTKAATRAIKLFVLGVILQGGYIHGRHSLTYGVDLDHIRWLGVLQRIAIGYFIAAISEIWLINNIPVDSAISFMKKYFMEWIMAIMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPSNEVGMKMIQCGVRGSLGPPCNAVGLVDRILLGENHLYKNPVYKRTKECSVNSPDYGPPPPNAPDWCLAPFDPEGLLSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACELFPALVQGFYWRSPENNLVDVTESLLQTIFHSKRWGTLAFVLLEIIFWCLAACFLHMKGIYLKL >LPERR05G03550.1 pep chromosome:Lperr_V1.4:5:2684174:2686096:-1 gene:LPERR05G03550 transcript:LPERR05G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRGAAEMVAPARATPYEFKPLSDIDDQDGLRFYRSGIFLYRHRAGAGDPAAVLRAALAEALVHYYPFAGRIVEASPGRKLIVECTGEGAVFVAADLGISLDELGHVTGPPVPRHDELLPAMEGAGVVGRPLLYIQANFAIQKIILKICFLQVTHFRCGGFTVGVQICHCLADGSGMAQFATAIAEFAHGVPGAPTVPPVWAREILISTPRHQNNDTLVRHHRHQHPEYEPVHDAGRDMVSPLTDASVHRSFFYGRRELSTLRALASTPPLTSPPTSRFDLIAAFMWKCRTTALRYVDTDTVRIQFFINTRGCQKNSPPLPVGYYGNACTFGVAESTAGDLRRRPFSHAVGLVAAAKARAMEEGHLQSAAELMAATGRRGFSVARTYVVSDISRPGFTGVDIGWGEAVYGGPATVMLATFHMAARRNGDGEEGVMVVVRLPTPAMERMVVEVARGLGGVHGDDDVTEAPCIAARL >LPERR05G03560.1 pep chromosome:Lperr_V1.4:5:2688738:2693993:1 gene:LPERR05G03560 transcript:LPERR05G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGELLLGSADLNMEMAGRIRQRAVAMVKPMRFMRSWDGLRFYRSGIFLYRHRAGVGDPAAVLRAALAEALVHYYPFAGRIVEASPGRKLIVECTGEGAVFVAPDLGISLDELGHVTGPPVPRHDELLPAMEGAGVVGRPLLYIQANFAIQEIILKICFLQVMHFRCGGFTVGVQICHCLADGSDMAQFATAIAEFAHGVPGAPTVPPVWAQEILISTPRHQDNDTLVRHHRHQHPEYEPVHDAGRDMVSPLTDASVHRSFFYGRRELSTLRALASMPPLTSSPASRFDLIAAFMWKCRATALRYVDTDTVRIQFFINTSNCQKNSPPLPVGYYGNTCTFGVAESTVGDLRRRPFSHTVGLVAAAKAPAMEEGHLQSAAELMTATGRRGFSVARTYVVSDISRPGFTGVDIGWG >LPERR05G03570.1 pep chromosome:Lperr_V1.4:5:2690220:2691037:-1 gene:LPERR05G03570 transcript:LPERR05G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMIVDRSWRESILRSAATGLVAMKLYCPDIPHEHETQSGYLVYLFMRSWSNGELRLPTYKGCGDLRKQFLTHLLTSPENDSELSTPDGIRDLLNNIVLSLYGV >LPERR05G03580.1 pep chromosome:Lperr_V1.4:5:2694021:2695537:1 gene:LPERR05G03580 transcript:LPERR05G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFHLAVRRNGDGEEGIAVVVRRPMPAMERMVVEVARGLDSIDGDDDVIKTEACHAAALWYADTDTVRIQFFINTRGC >LPERR05G03590.1 pep chromosome:Lperr_V1.4:5:2695739:2700845:1 gene:LPERR05G03590 transcript:LPERR05G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTYIVSYISWPGFGVRLATVKLSTFHMATRRNGDGEEGVTVENLMAATAAMTSPVRRGAAEMVAPARATPYEFKPLSDIDDQDGLRFYRSGIFLYRHRAGAGDPAAVLRAALAEALVHYYPFAGRIVEASPGRKLIVECTGEGAVFVAADLGISLDELVAAGDGGVITGPPVTRFRCGGFAWGIQICHCLADAAGVVQFLTAVGEFARGVPGAPTLPPVWARELLISPPPPRRRTAAVSRRHPEYEPVHDAGRDMISPSDDLLHRPFFFGRRELAALRRAMPSSSPPSRFDLIAAFMWRCRAAALDYPDADAIRVQFVVNARNIRSPEKKTQIPIGYYGNAFAFAVAESTAGDLRRRPLAHAVNLVVAAKGKAMEEGHLQSVAELMAASGRRRFAVARTYIVSDITRSGLAGVDVGWGEPVYGGPATVTLATFHVAARRDGDGEEGVEVVVRFPAPAMERMVVEVARGLAGVDGSGGDDDVMVKCTETTSCIAARL >LPERR05G03600.1 pep chromosome:Lperr_V1.4:5:2707076:2710148:1 gene:LPERR05G03600 transcript:LPERR05G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQERGKKMKKKTRGKRKKLFSFLRRVASFPFFLNQLPHTQRRGRRSSRGRSRPEPANPLRLARSLVIPNWLEMGGGGGEAAMSPPSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARSESASYFRDEMISQYSPMSEDSDDYRCYDTQLNPNGSQTDAMVSPSTSPMSSPHRFQKPQSALLSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSPNDMCHGADLRKTALLRSVQMRVQGPHAYELSFCGRQEQEQEHAHDHDDEHHHEHLEGLGLEGEERSSSHRETISDEATYQMPENSYGRPEHDIDYIDDCTPHGCLRDLKFKQEDKDSSKLPSRDKNR >LPERR05G03610.1 pep chromosome:Lperr_V1.4:5:2711508:2714454:-1 gene:LPERR05G03610 transcript:LPERR05G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQISRKALAFLLLLAAAAVSTASADDVLALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKVASVFKLDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGVVESLAPLVKEFLGAANDKRKEVLSKMEEDVAKLSGSAAKYGKIYVNTAKKIVDKGSEYTKKETERLQRMLEKSISPSKADEFVIKKNILSTFSS >LPERR05G03610.2 pep chromosome:Lperr_V1.4:5:2711508:2714454:-1 gene:LPERR05G03610 transcript:LPERR05G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQISRKALAFLLLLAAAAVSTASADDVLALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAGAVTASILLRYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGVVESLAPLVKEFLGAANDKRKEVLSKMEEDVAKLSGSAAKYGKIYVNTAKKIVDKGSEYTKKETERLQRMLEKSISPSKADEFVIKKNILSTFSS >LPERR05G03620.1 pep chromosome:Lperr_V1.4:5:2716161:2721743:1 gene:LPERR05G03620 transcript:LPERR05G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWVGALLLLLHFVASVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGVTKPKEVRKFKGEGMPLYQSNKKGDLYITFEVLFPKTITDDQKTKLKGILA >LPERR05G03630.1 pep chromosome:Lperr_V1.4:5:2720819:2725152:-1 gene:LPERR05G03630 transcript:LPERR05G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMERRV >LPERR05G03630.2 pep chromosome:Lperr_V1.4:5:2721515:2725152:-1 gene:LPERR05G03630 transcript:LPERR05G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLVV >LPERR05G03640.1 pep chromosome:Lperr_V1.4:5:2726521:2732139:-1 gene:LPERR05G03640 transcript:LPERR05G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAAACASAPSAAIVGGGARSARGPRGGIRVCGLRGEAAGCRSLRISQGAAVRRAXXXXXXDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKDKEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRSIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTNGLGLENINVVTQRGFIPVDERMRVMDAEGNVVPNLFCIGDANGKLMLAHAASAQGISVVEQISGKDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEIGVVKTSFKANTKALAENEGDGIAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKPREGQERKPKHPPQPLLKVLSFVTSLLSSQKRNRQP >LPERR05G03650.1 pep chromosome:Lperr_V1.4:5:2733982:2737152:-1 gene:LPERR05G03650 transcript:LPERR05G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEILIPLASVIGILFAVLQWYVVSKVPVPSLAGDDDAVGKGNRSGSASDYEEEEEEEDGVDYRGVEARCAEIQRAIAVGASSFLFTEYKYLAAFTLAFAAIIFVSLGSVRRFSTSSEPCPYDPTLRCHPALANAAFTTVAFLLGAITSVVSGYLGMRVATFANARTALEARRGGHGGGVARAFAVAFRSGAAMGFLLASSALLVLFAAVNLFGLYFGDDWAGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGMKHDFAAMMYPLLVSAAGIVMCAATTLVAIDAGIAVKGVDDVAPTLKRQILVSTVLMTVAVAAVTFLALPRSFTLFDFGHHKLVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYRPVQSVARSCRTGAATNVIFGLAVGYKSVIIPIFAIAGAIYASFRLAAMYGIALSALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPAAVRGRTDALDAAGNTTAAIGKGFAIGSAALVSLSLFGAYVSRAGIETVNMVNPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFEEIPGLADGLAGVSPDYATCVRISTDASLREMVAPGALVMASPLVVGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATDEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIIFNHL >LPERR05G03660.1 pep chromosome:Lperr_V1.4:5:2753524:2755504:-1 gene:LPERR05G03660 transcript:LPERR05G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEATGERWVGAAVDFSEGSRAALRWAADNLLRAGDHLVLLHALKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKICQAINEIPMKCLVIGSRGLGKLKRVLLGSVSDYVVNNASCPVTVVKSADG >LPERR05G03670.1 pep chromosome:Lperr_V1.4:5:2757653:2761007:-1 gene:LPERR05G03670 transcript:LPERR05G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQPMPRPLLASHAAVRAAVSHSVGASARKGGRLAGDQHHPPPVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEGCPPDCSKPCEKVCPADAISLESVMIEGKHNQSDPSCGRFEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPTMTAELLKRNDVDAIEIHTTGKGTDMFSTLWSSLGESINNVNLIAVSMPDVGDLTVDFMNTIYATMRSHLGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSISNRPPGFYQLAGGTNAYTVDCLKKAGLFQSTTFPGAATSGVADREQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >LPERR05G03670.2 pep chromosome:Lperr_V1.4:5:2757653:2761007:-1 gene:LPERR05G03670 transcript:LPERR05G03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQPMPRPLLASHAAVRAAVSHSVGASARKGGRLAGDQHHPPPVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEGCPPDCSKPCEKVCPADAISLESVMIEGKHNQSDPSCGRFEGGVITERCYGCGRCLSVCPYDRISEHRKLQALLETISGAMSYVRDPTMTAELLKRNDVDAIEIHTTGKGTDMFSTLWSSLGESINNVNLIAVSMPDVGDLTVDFMNTIYATMRSHLGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSISNRPPGFYQLAGGTNAYTVDCLKKAGLFQSTTFPGAATSGVADREQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >LPERR05G03670.3 pep chromosome:Lperr_V1.4:5:2757653:2761007:-1 gene:LPERR05G03670 transcript:LPERR05G03670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQPMPRPLLASHAAVRAAVSHSVGASARKGGRLAGDQHHPPPVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEGCPPDCSKPCEKVCPADAISLESVMIEGKHNQSDPSCGRFEKLQALLETISGAMSYVRDPTMTAELLKRNDVDAIEIHTTGKGTDMFSTLWSSLGESINNVNLIAVSMPDVGDLTVDFMNTIYATMRSHLGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSISNRPPGFYQLAGGTNAYTVDCLKKAGLFQSTTFPGAATSGVADREQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >LPERR05G03680.1 pep chromosome:Lperr_V1.4:5:2765607:2765879:1 gene:LPERR05G03680 transcript:LPERR05G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKAAVAPRISPARRKRMAKNAESKERMEALRSLVPGSGEAAAEIDGGRQLDAEELLFRAADYIARLQVQVKVMQFMVDVLEHTKDFF >LPERR05G03690.1 pep chromosome:Lperr_V1.4:5:2767865:2771055:1 gene:LPERR05G03690 transcript:LPERR05G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIVSISSPPPEGKLLDQHTGIVIRWDGANKRAMLCIHLPNKSNVPTFRYDVKYGQEILILCRNEKKSLEARRGTILWADGSSSWRNHYIVDCDGGVGGLVVHKDGSSIAMLYGSLAPIISMSTALSCIEMWEQFRCVARPVFKMNLATVELLGVSIREGLSIKHNITDGFIVKHVGEDSDLERLSVRIGDIDNYLQALGWKYLQGMSWKFMTLQAQLGKPLPYP >LPERR05G03700.1 pep chromosome:Lperr_V1.4:5:2772206:2776310:-1 gene:LPERR05G03700 transcript:LPERR05G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLLSKFCPLSLILVCFSYYCCCSSVEQEADRVERLPGQPASPGVSQFAGYVGVNEQHGRALFYWFFEAQASPSPELEKKKKPLLLWLNGGPGCSSIGYGAASELGPLRVVRQGASLEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLTNLNDDFVAKDAYSFLVNWFKRFPQYKDNDFYISGESYAGHYVPQLADLVYERNKDNKSSTYINLKGFIVGNPLTNDYYDSKGLAEYAWSHAVVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYHEIDIYNIYAPKCLLNNTSAAPADHAFFANDLEQFRKRIRMFSGYDPCYSSYVEDYFNKDDVQKAFHANASGLLTGKWQVCSDQILNSYNFSVLSILPTYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYNGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGDQLPTSR >LPERR05G03710.1 pep chromosome:Lperr_V1.4:5:2790675:2796933:1 gene:LPERR05G03710 transcript:LPERR05G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSSTTPPPASQQQQDTAAAPAMIPTVDISAARTTAARACAAALGKGGFFRLVGHGVVGAAAERMDAAAAAFFGRTAAEKARAGPASPLGYGCRSIGFNGDVGELEYLLLHANPAAVAHRARSIDATHPSRFSAVVNEYIEAVKQLACEILDLLGEGLGLKDPRYFSKLITNADSDSLLRINHYPPSCTIHKLDHDDQCNIKGLVSTKASNGANLTAGGRIGFGEHSDPQILSLLRANDVDGLQVLLPDSDGKDMWVQVPADPSAFFVNVGDLLQALTNGRLVSVRHRVIATACRPRLSTIYFAAPPLHARISALPETITASTPRRYRSFTWAEYKKTMYSLRLSHSRLDLFNIDDDDNDNASEGKA >LPERR05G03720.1 pep chromosome:Lperr_V1.4:5:2802501:2816453:1 gene:LPERR05G03720 transcript:LPERR05G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPTARKRVDLGGRSNKERDRQALLDKTREDRRRRLGLRLQNSSATKIQKCFRGKRDLELARAEVRGNFCSTFGEHCQRVDLNSFGANSDFLRQFLFFFNAKRDSDIAILSQVCNLLLEYVKHGGDALSLFGGINYSSVESLVVHRVKKLALICVQTVHQKRHDWGSQLLMPSERTENPSALLLETVACLINPKLPWNCKVVAYLQQRKIYCLFRGIIVSIPQAARNLQHHNSTSALEQVLMLIASHIGHDPCFCPVVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKLASETAADIIAVCTSLLDALPTITSPTGNVEVEAVGSICAFLHVTFDTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVPSLPADSVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVFILKKALWELLWVIPAHAPPAKKVVPNPSGLKKLSIENVKIRARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLFLKHYNGDISQLELYFVIVNNEYGEQSEEELLPGGRDIRVTNENVITYIHLVANHRLNYQIRPQSTHFLRGFQQLIPNEWINMFNEHEIQVLISGSLESLDIDDLRANTNYSGGYHPDHELIDIFWEVLKSFNSENQKKFLKAGVPGMEEEDEERLPTSATCMNLLKLPPYRTKERLERKLLYAINSEAGFDLS >LPERR05G03730.1 pep chromosome:Lperr_V1.4:5:2817236:2822375:1 gene:LPERR05G03730 transcript:LPERR05G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTQVVTENYANPVTCLFHVLFKAGALAFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSIANIVGFTKCNKDAKKNIRGYFEGHAQNAITSRLTSTLQSAFGVI >LPERR05G03740.1 pep chromosome:Lperr_V1.4:5:2819373:2824339:-1 gene:LPERR05G03740 transcript:LPERR05G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSNPNNGGGGGSNKVISLRLQYYCVMAAVVVAVMVLSLAFASPSAIGAVRQNLGAVVAAAGAANSTAAAAEGESEPQPPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDKWDKKFSEIVGEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGKHDAHGCLAKYNKAAQQFNTKLSDLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVISWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLGQANSNNKKVISFKSDE >LPERR05G03750.1 pep chromosome:Lperr_V1.4:5:2824637:2831335:-1 gene:LPERR05G03750 transcript:LPERR05G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGGGGGNGGAGAGGMLKKMGLLRLQYYLVMGAVAAAVVLATLRYMPVPSTTPPVAAAGETVRSAAAAAAPVVEGGVEEKKKRHVWNDGKEEEAKGKKGKSAGIVVFNFGDSNSDTGGVAAVMGIHISPPEGRAFFHHPTGRLSDGRVILDFICESLNTHHLSPFMRPMGSDYSNGVNFAIAGSTATPGETTFSLDVQLDQFVFFKERCLENIERGEDAPIDSKGFDNALYTMDLGHNDIMGILHLPYHETLAKLPPIVAEIKKAVETLHKNGAKKFWIHGTGALGCLPQKLAMRKDDEDLDEHGCIIRINNVAKRFNKLLSETCDDLRLQFTDSTIVFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASIE >LPERR05G03760.1 pep chromosome:Lperr_V1.4:5:2843664:2845988:1 gene:LPERR05G03760 transcript:LPERR05G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRIANRALWKEPGDKTETSSTTKFSKTSPSDDIASSSSKKRPSRSKKSVVAELPPAPKPEPNPSDEKKDDSLTMNITIGTRQRQTTGFAIASRGDYLKILTCAHIVEDVYSRDRHTVTIEELNTAFTFDVVCMHQENRILEMDSTVPISRRTRMMTQVRAAEIDTSKDLLVLELNIGYLRLSEHGYCHSDHPIIPVAQIPPQVREKVILLGWPPHRSQSVSGGKVSFLNRTYDTVCHIDFNLKGYRMKLMEVSGLVYSHGYSGGPLLNNNSEFTGMYHGTIEMKGYSVSLEEIHSFLTRFEVMTKWPADE >LPERR05G03760.2 pep chromosome:Lperr_V1.4:5:2844832:2846800:1 gene:LPERR05G03760 transcript:LPERR05G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITIGTRQRQTTGFAIASRGDYLKILTCAHIVEDVYSRDRHTVTIEELNTAFTFDVVCMHQENRILEMDSTVPISRRTRMMTQVRAAEIDTSKDLTYDTVCHIDFNLKGYRMKLMEVSGLVYSHGYSGGPLLNNNSEFTGMYHGTIEMKGSAKPNPPAGDPGDAPASMVPPSRSPLLVTPN >LPERR05G03770.1 pep chromosome:Lperr_V1.4:5:2848726:2853314:-1 gene:LPERR05G03770 transcript:LPERR05G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKRTRSSARVAAAAADPVGASSSSSSPPVAAEPAERRITHLRSRQLLSFGEPETTAAAADVADRPVRKKRTKRTGLTDAAAADSDSSRVLRPYPKGGSFREVVRWLEDLERICKLPEPADAVIPCTVRREPKDRRTAMDIASSPDKDMIRKAARSVVGIISRMPDGKGIMQCTGIVVSWNETRRSATIVTCSAAVCVDGELAHQNPKLLIYLPNKSTAEGQLLFFNAHYQLALLEVSVDSPLQPAKFGSSPKFGQKVFALARDHESYLFARQGTVLWQESPYHLKYRYWLSLSTEVAPCGTGGPAIDEYGDVVGMAFESSPNSYMLSISIPKTCIEMWMRFSRVARPVPHMDLRAFHVLDVSQQEEIELEHDICNGFIVDMVNHDSAARRLGISVGAIIVSYDGHRNFILHTFEEFLLNLGWGCLTSVDSSWTINLELVIYNPVRRTTRRVDLPLGFSDTSEQVCTCNIFLSCVLFCIIWLQ >LPERR05G03780.1 pep chromosome:Lperr_V1.4:5:2857325:2860451:-1 gene:LPERR05G03780 transcript:LPERR05G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIDTPSPAPAPSAAAGRQTRAAESVRLEHQLVRVPIEALKSTARSNHRLAEKEIAAVLSSAAAPPGGGGSGDAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASASTGDDSEWEDLRLKRILVDYMLRMSYYDTAAKLAESSGIQDLVDIDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLAPWGSTHMKELQRVTATLVFRSSTNCAPYKVLFEPNQWDCLVDQFKQEFYKLYGMTLEPLLNIYMQAGLTALKTPFCFEGNCPKEDPLSLDGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNFTDLVKAYIS >LPERR05G03790.1 pep chromosome:Lperr_V1.4:5:2862068:2865651:-1 gene:LPERR05G03790 transcript:LPERR05G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLARRRAAEAVLLRRPQAAAAVAAWASAWRGYAAAASGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKDSFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKLTSHSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSILECDIVLVSAGRVPYTAGLGLDALGVEIDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTYLKAIHI >LPERR05G03800.1 pep chromosome:Lperr_V1.4:5:2885637:2889332:-1 gene:LPERR05G03800 transcript:LPERR05G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSTTPAPAGAGPPAESATIPVRRVTRLRSRELKLEPEAPPEADPRDVASDDPEVGNAAAKGKSAANSPSPPRRPPYPKSGEFEHDPGKITPCTLRMEPKDPRTARDVVTTADKIMVRKAARSIVGISSYKPDGEGIAQCTGIVVDWNEIARLATIVTCSEALCVNGALIHPEPKLLIHMPNRAIGEGRLLFFNAHYRIALLEVLTDSPLQPAMFCSSPRFGQEVFALARDDESSLIVRHGTVLWQEPPSYLAYRHWLSLGCKLAPCGTGGPVIDEHGAVIGMAFVYIPNPYMLSISIMRTCIEMWMKFSRVARPILGMNLRTIEFLDISSQEDIEVENRISNGFIVHMVYDDSTPERLGISEGDVIVSYGGKHDFTLHKFEDFLLSLGWEFLASADSNWTVDLELEF >LPERR05G03800.2 pep chromosome:Lperr_V1.4:5:2885637:2889332:-1 gene:LPERR05G03800 transcript:LPERR05G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSTTPAPAGAGPPAESATIPVRRVTRLRSRELKLEPEAPPEADPRDVASDDPEVGNAAAKGKSAANSPSPPRRPPYPKSGEFEHDPGKITPCTLRMEPKDPRTARDVVTTADKIMVRKAARSIVGISSYKPDGEGIAQCTGIVVDWNEIARLATIVTCSEALCVNGALIHPEPKLLIHMPNRAIGEGRLLFFNAHYRIALLEVLTDSPLQPAMFCSSPRFGQEVFALARDDESSLIVRHGTVLWQEPPSYLAYRHWLSLGCKLAPCGTGGPVIDEHGAVIGMAFVYDDSTPERLGISEGDVIVSYGGKHDFTLHKFEDFLLSLGWEFLASADSNWTVDLELEF >LPERR05G03800.3 pep chromosome:Lperr_V1.4:5:2885637:2889332:-1 gene:LPERR05G03800 transcript:LPERR05G03800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSTTPAPAGAGPPAESATIPVRRVTRLRSRELKLEPEAPPEADPRDVASDDPEVGNAAAKGKSAANSPSPPRRPPYPKSGEFEHDPGKITPCTLRMEPKDPRTARDVVTTADKIMVRKAARSIVGISSYKPDGEGIAQCTGIVVDWNEIARLATIVTCSEALCVNGALIHPEPKLLIHMPNRAIGEGRLLFFNAHYRIALLEVLTDSPLQPAMFCSSPRFGQEVFALARDDESSLIVRHGTVLWQEPPSYLAYRHWLSLGCKLAPCGTGGPVIDEHGAVIGMAFVYIPNPYMLSISIMRTCIEMWMKFSRVARPILGMNLRTIEFLDISSQEDIEVENRISNGFIVHMVYDDSTPERLGISEGDVIVSYGGKHDFTLHKFEDFLLSLGWEFLASADSNWTVDLEF >LPERR05G03800.4 pep chromosome:Lperr_V1.4:5:2885637:2889332:-1 gene:LPERR05G03800 transcript:LPERR05G03800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSTTPAPAGAGPPAESATIPVRRVTRLRSRELKLEPEAPPEADPRDVASDDPEVGNAAAKGKSAANSPSPPRRPPYPKSGEFEHDPGKITPCTLRMEPKDPRTARDVVTTADKIMVRKAARSIVGISSYKPDGEGIAQCTGIVVDWNEIARLATIVTCSEALCVNGALIHPEPKLLIHMPNRAIGEGRLLFFNAHYRIALLEVLTDSPLQPAMFCSSPRFGQEVFALARDDESSLIVRHGTVLWQEPPSYLAYRHWLSLGCKLAPCGTGGPVIDEHGAVIGMAFVYDDSTPERLGISEGDVIVSYGGKHDFTLHKFEDFLLSLGWEFLASADSNWTVDLEF >LPERR05G03810.1 pep chromosome:Lperr_V1.4:5:2910074:2919870:-1 gene:LPERR05G03810 transcript:LPERR05G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRSSSEKKNPSPGQGRGGASASTERRVTRLRSRELGVEPEATGAGDDPALPTRKKRNVQPRAAAPPPARLPRVIPPYPNSGKSSDVLHWAMEFQRISDLPCTIIPCTCRTEPIDHRTAIDVASTPDKNLIKKAARSVVGVVSTKADGKHIASCSGIVVSWNDTTRLATIITSSATVCLCTCQTRPLLRDNCFFNAYYRIALLEVLADSPLQPATFGSSPKFGQEVFALARDEESSLFARRGTVLLHERPKYLDYIYCQSLSCQIARGGTGGPVIDGDGDFVGMAFGRSPNADILPISIMDKCFDMWTRFSRIARPVFCMDLRAFELLDVSQQEEIELVHNIYNGFIVSVVYDDSVAARPCISPGDVIVSYNRQRDFTPHKFEEYLLSFDLDLLARADSSWTVGLEGMEGGRPKSSLGDGALTALSSWDSMPLRPVSIAFNATDGCVAEGTQ >LPERR05G03810.2 pep chromosome:Lperr_V1.4:5:2910754:2919870:-1 gene:LPERR05G03810 transcript:LPERR05G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRSSSEKKNPSPGQGRGGASASTERRVTRLRSRELGVEPEATGAGDDPALPTRKKRNVQPRAAAPPPARLPRVIPPYPNSGKSSDVLHWAMEFQRISDLPCTIIPCTCRTEPIDHRTAIDVASTPDKNLIKKAARSVVGVVSTKADGKHIASCSGIVVSWNDTTRLATIITSSATVCLCTCQTRPLLRDNCFFNAYYRIALLEVLADSPLQPATFGSSPKFGQEVFALARDEESSLFARRGTVLLHERPKYLDYIYCQSLSCQIARGGTGGPVIDGDGDFVGMAFGRSPNADILPISIMDKCFDMWTRFSRIARPVFCMDLRAFELLDVSQQEEIELVHNIYNGFIVSVVYDDSVAARPCISPGDVIVSYNRQRDFTPHKFEEYLLSFDLDLLARADSSWTVGLELEVCDLVSHITRFVTYPLQFSDESEKVCPSILFTLSLLFDALLLSILCLRNIWNCRSS >LPERR05G03820.1 pep chromosome:Lperr_V1.4:5:2932060:2933215:-1 gene:LPERR05G03820 transcript:LPERR05G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYQPAPRRAPHSLSNDSANYVAMKGAESIFLVEAIPWHLDDGREPTPGFKRDCKNMYNELADQVQLQTEEAKDGTGSSKRPREASSSQSKNKQKGISIRADETSKNKQKGVSMGITAGTKERYSTGFAIARDSPSGERLRILTCAHIFEDLYKKGMHTLTKENIEKMFKISVICVHQQREVLARDSPLPPSRRLKQPTLATVFAVDTEKDLLVLEINIGYLCLFSGTQPTEYCMFDNPPICVAPRPPQIREEVVLLGWPPQRSESSSSGNVSFPNRTYDMVCDLEFNMKGYTMTLMEIDKLLCAHGYSGGPVLNTVVQLVGTYHGIIEKKGYSVSLEDINQFLTQFGVMTHWPDE >LPERR05G03830.1 pep chromosome:Lperr_V1.4:5:2941595:2946386:1 gene:LPERR05G03830 transcript:LPERR05G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLTTSSSSRLLFLALKLALPALRDGDGGRALARALAVAASLADLQMDAEVISAGILREALDAGAISMRDVKSQIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQQIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSHETENKLLIDSYKEQLFQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDSRSDWEPRACHRTHEIIQAMWKEVPGRTKDYITRPKENGYQSLHVAIDVSEPGNMRPLMEIQIRTKEMHKSAVGGQASHSLYKGGLTDPGEARRLKAIMLAAAELAALRLRDLPTSDQGDSNCTNPVFCQLDKNGDGRISIEELTEVMEDLGAEGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLEDKDNHYRKILKEKLQTIDSAGLIQVYRKELGDKLLVS >LPERR05G03830.2 pep chromosome:Lperr_V1.4:5:2941595:2945078:1 gene:LPERR05G03830 transcript:LPERR05G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLTTSSSSRLLFLALKLALPALRDGDGGRALARALAVAASLADLQMDAEVISAGILREALDAGAISMRDVKSQIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQQIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSHETENKLLIDSYKEQLFQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDSRSDWEPRACHRTHEIIQAMWKEVPGRTKDYITRPKENGYQSLHVAIDVSEPGNMRPLMEIQIRTKEMHKSAVGGQASHSLYKGGLTDPGEARRLKAIMLAAAELAALRLRDLPTSDQGDSNCTNPVFCQLDKNGDGRISIEELTEVMEDLGAEGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLEDKDNHYRKILKEKLQTIDSAGLIQVYRKELGDKLLVS >LPERR05G03840.1 pep chromosome:Lperr_V1.4:5:2949624:2954053:1 gene:LPERR05G03840 transcript:LPERR05G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTPDVSSSAAAPRLTPAGSGGRLMAELLGVFNSLTDRMGEDLATSSSWSLLFRALKLSLPALRGAAAAVSVSGAGGSLPRALIIAASLADLQMDAEVISAGIVRHAIDAGAVSMPDAESSLGAGAAALLRDSLAVKSSPTGVDVADDATSSALRKTILSDHDIRAVILELAVRLDAMKHLENFPKHQQRTTSLEVLKVFAPLAHAVGAGAMSKELEDLSFWRLYPQAYAQIDAWLSGQEDDCKNILAAGKVELAKALAADDELRRNGVTGVDIKGRYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDVAGDVGEDGGDGHRACLRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPEPEWKKRPLMEVQIRTKEMNNAAVFGHALYKGNLADPEEAKRLKDIMLAAAEVAAQHLRDEPPTGDQAGATAAAAIAASAGNVERAFRLLDKNGDGRISMEELTELMEDLGAGGQDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVSG >LPERR05G03850.1 pep chromosome:Lperr_V1.4:5:2957195:2964152:1 gene:LPERR05G03850 transcript:LPERR05G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGVNETVTVAVDTPPARRLAPAGSGGRLMKELLGVFNGLTERMGEDMATSSSSRLLFRALKLALPALRGGDGDVGGGRSLARALIVAASLADLQMDAEVISAGIVRQALDAGALAMADVAAQLGDGAADLIEESLRVKLAPSRVDVADEEAASAARKSILSGHDIRAVILELAVRLDAMRHLEILPKHQQRTASLEALKVFAPLAHAVGAGELSLELEDLSFRRLYPQAYSYIDDWLSSQEDDCKNILAAAKINLLNALTADDELRRLVAAGGIDVKARYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDVAGDDGDGVRACRRTHEIIQSMWKEVPRRTKDYITKPKGNGYRSLHVAVDMSSPPPEAKKRPLMEIQIRTKEMDIAAVGGQASHALYKGGLTDPEEAKKLKAIMMAAAEVAAQHLRDDEPTGGDGRIAVAAGGVGNVERVFRQLDKNGDGSISMEELTEIMEDLGAGGHDAEELMLLLDANSDGSLSSDEFALFQKRVELKAKLEDTDDEYKKILKEKLQTVDDTGLIHIYRKKLSDKLVVV >LPERR05G03870.1 pep chromosome:Lperr_V1.4:5:2970958:2979062:-1 gene:LPERR05G03870 transcript:LPERR05G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRTDYKSFKKTVARLEEAAVSCRGGERLELLKRWLGALQDIEAELSGSDSKDPEDHDPSSETDTSKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKDVNKTIMNTVQDLAKALSDYKDEVLVKREELLEYTQSVITGLKKNADIMRIDAETLELWKKLDEKQKSRAQLTEDQDKSSGNISVENIEMDKLKILADSLANSSSKAEQRILDNRRQKEDALNFRVKKENEVSAVEKELLAEITDLEKQRDELEAQLKKVDISLNAAAGRLKKTKEERDQFDEANNQIIFKLKTKEDDLTKSIASCNVEADVVKTWINFLEDTWKLQSTYNEQKEKKTWEKTTQHEDDEASEKTDPRISLEEEYLETEKKIIIAFSIADHVKKLFYSEQGPTSRRDDPEVKNLITDIEKLREEFDSIERPMLSIEAHKSKPLPEERSELSPSPIPAPATPKAAHVDSPKSPMKPEQHLNPDSELANLGAELGSEDKDFSGEEINGWEFDELEEDLKN >LPERR05G03880.1 pep chromosome:Lperr_V1.4:5:2984633:2988353:1 gene:LPERR05G03880 transcript:LPERR05G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKGFCSILDCCILEKILTISYGFLAQIKFVVNALLCAIHGPLIIFSPKFSLGLVMDTNSKEIKLILSLIGIIWNLGTCNAKFTPADNYLVNCGSTIDATIGQRLFAADNSQSIVLTSPQSIAARTTLNSVLGFDNAELYQTARIFTAPSSYSFNMKSNGRHFVRLHFFPFVYQSYDLANSKFKVSTEDVVLLDNFTLPSVSSPVVMEYSLNITRDMLILTFVPEGNSTSFVNAIEVVSVPDNLITDSAQRLGVGQYLGLAAQPFQTSYRINVGGPKVTAENDTLARTWFTDQSFFSTPTVAKEVTYPGKLNYQNGSASQDAAPDSVYNTARQLVVQRNSSSNMTWQFKVDSNSSYLIRFHFCDIVSKSAFLLYFDVYVDSWSAAKDLDLSTRGFGILAMPFYMDIVLPSSDPSGNLTVSIGPSSLPNTTPDGILNGLEIMKMNISSGSVYVVKPPSAAKKQLPIILGAVLGGIGAVIIVLVLCLFVRRKKKMKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGGDHPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAVKWQKRGELDQIVDKRIVGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISDLPSNAKRISSLEISTADESRTAMDYSEMSTSNAFSQLINTEGR >LPERR05G03890.1 pep chromosome:Lperr_V1.4:5:2989160:2992552:-1 gene:LPERR05G03890 transcript:LPERR05G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDDRYDRPGHSTKLYVGCLSERTRTEDLEYLFGKYGRVRDVNLKNDYGFVEFSDHRDANDARLDLDGREFDGSYIIVQFAKGEKRGPGGSREYTARGPHASDHCFNCGMEGHWERDCTAGDWKDRCYRCGERGHIMRDCKNSPKDLKERLLKVPITSSQKEPKLWQELQPQPSALWFVFQSKKPQPSALWFAFQSKKPQPSALWRYASPSNERNNGSRRYASPPNGRDRNHRGNASPPNGRNHNLTSNGINPPSRGRDDQNGSHRRRDSDYLAHNTRASPTINGRNPSPWDR >LPERR05G03890.2 pep chromosome:Lperr_V1.4:5:2989160:2992552:-1 gene:LPERR05G03890 transcript:LPERR05G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDDRYDRPGHSTKLYVGCLSERTRTEDLEYLFGKYGRVRDVNLKNDYGFVEFSDHRDANDARLDLDGREFDGSYIIVQFAKGEKRGPGGSREYTARGPHASDHCFNCGMEGHWERDCTAGDWKDRCYRCGERGHIMRDCKNSPKDLKQERGYSRSPLPRHRRSPSYGKSGPLSQWACYGADREERLYYDRSYSRSPRRYGSSSNRRNHSPRRYGSPSNRRNHSPRRYGSPSNRRNHSPRRYGSPFNERNHSSRRYASPSNERNNGSRRYASPPNGRDRNHRGNASPPNGRNHNLTSNGINPPSRGRDDQNGSHRRRDSDYLAHNTRASPTINGRNPSPWDR >LPERR05G03890.3 pep chromosome:Lperr_V1.4:5:2989160:2992552:-1 gene:LPERR05G03890 transcript:LPERR05G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDDRYDRPGHSTKLYVGCLSERTRTEDLEYLFGKYGRVRDVNLKNDYGFVEFSDHRDANDARLDLDGREFDGSYIIVQFAKGEKRGPGGSREYTARGPHASDHCFNCGMEGHWERDCTAGDWKDRCYRCGERGHIMRDCKNSPKDLKYLPDSLASLHYPLLFMCTFDLKFHQCRQERGYSRSPLPRHRRSPSYGKSGPLSQWACYGADREERLYYDRSYSRSPRRYGSSSNRRNHSPRRYGSPSNRRNHSPRRYGSPSNRRNHSPRRYGSPFNERNHSSRRYASPSNERNNGSRRYASPPNGRDRNHRGNASPPNGRNHNLTSNGINPPSRGRDDQNGSHRRRDSDYLAHNTRASPTINGRNPSPWDR >LPERR05G03900.1 pep chromosome:Lperr_V1.4:5:3000335:3001543:-1 gene:LPERR05G03900 transcript:LPERR05G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAGKKKRRKPYTITRPRERWSDEEHNRFLHALMMFGRDWKRIELFVATKTAIQIRSHAQKHFLKARKFGLAGGLPPPLHRRRAELPPPSWGVQQNMADWMPTSYSPEDSFSPLFPISDDCSFVEAPNCSGSGESWITSDVAFLQDETIMLPISPDDLGFAEVYKFVGDVFGSGEPRPVEALLWRLQGLDAAISETILLVLKNLEANLVA >LPERR05G03900.2 pep chromosome:Lperr_V1.4:5:3000335:3005122:-1 gene:LPERR05G03900 transcript:LPERR05G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAGKKKQRKPYTITRPRERWSEEEHARFLHALMIFGRDWKRIEAFVATKTAIQIRSHAQKHFLKARKFGLAGVMPPPLHPRRAADALAAEMMPPWLPSAVAPPPPSAVQQSMTDWMPASYSPEAASFLPLIHSSECSFVEAPNCSGSGEAWITSDDAFLQDESILIPISPDDLGFSEVYKFVGDVFGSGEPRPVEALLWRLQGMDPAISETILLVLKNLEANLVA >LPERR05G03900.3 pep chromosome:Lperr_V1.4:5:3001590:3005122:-1 gene:LPERR05G03900 transcript:LPERR05G03900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAGKKKQRKPYTITRPRERWSEEEHARFLHALMIFGRDWKRIEAFVATKTAIQIRSHAQKHFLKARKFGLAGVMPPPLHPRRAADALAAEMMPPWLPSAVAPPPPSAVQQSMTDWMPASYSPEAASFLPLIHSSECSFVEAPNCSGSGEAWITSDDAFLQDESILIPISPDDLGFSEVYKFVGDVFGSGEPRPVEALLWRLQGMDPAISETFS >LPERR05G03910.1 pep chromosome:Lperr_V1.4:5:3007058:3013941:1 gene:LPERR05G03910 transcript:LPERR05G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALATAAAAATGVVAAPSSPALFRLRLVVTPRASLGHCRASSSSARSPRKGCYATTMGDETSTSVATQSQDPAAAVGAGSVKQQLSKLVIASLRTTVPEVEVEPMVEVCTAKFGDYQCNNAMGLWSKIRGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKKAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKHRFDGDPEFKDRAQQAVVRLQGGEERYRAAWNKICEVSRNEFDLVYKRLNVKLEEKGESFYNPYIPQVLEELDSKGLIKESEGARVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARLAGWLPDPKEKKFPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELENTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKLSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >LPERR05G03920.1 pep chromosome:Lperr_V1.4:5:3014630:3017809:-1 gene:LPERR05G03920 transcript:LPERR05G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRGGGGGGGSAPRSVEDIFKDFRARRNAILRALTHDVEDFYAQCDPEKENLCLYGYANEVWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKMSSKHTSDVGRVENNVKEADEGYDEDDGDHSETLCGMCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >LPERR05G03930.1 pep chromosome:Lperr_V1.4:5:3022474:3023247:-1 gene:LPERR05G03930 transcript:LPERR05G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTILAMLFLVLAAVESPTANGQTTTGAAPAPAAAAPKTITAILTKAGQFTKFLQLLQSTQAGEQINNQIKGGKSANSGGLTVFAPPDNAFAALPSGTLNKLSDQQKTSLVQFHVVSMLIPMSQFDTVSNPLRTQAGETAAGKYPLNVTAEAGGHVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPVGDKAKKKAGTGAAADAPAAGTTNVTTSDAAAVRRGLVAVAVAVAAVAWCGI >LPERR05G03940.1 pep chromosome:Lperr_V1.4:5:3024913:3032012:-1 gene:LPERR05G03940 transcript:LPERR05G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQYNNSQVSRMDHMDRLNNEPSPFGQKLFMHPRSDPANGAGSSGYVGANTTMRSNDFPSSSYAGQAYSQLNRAPGTLHASYSGHPPAGSSSCSYAPYNAQHMPALNYPHGSEDNFIPSTHVDGRRVALKRRNPIIHPTDGFSVGNYYAGSSSNPQFSRPMPPNPVPPPESCGRMPTHLGSSHWNDYHYINHEGSQRNVRGRHDHSSIHPEQSPAAACPSSSMNVPPYHTNANALFRSTPVQHDRPPLPVHPRILPPGTDGNNSITFRERSYYPAPQSTNISAPVPTLLSSCDSVPFAHGGYAPRSAHRNNLRTYPPPSFVPSSNTGAISHEPAIPSYPPAAPIYLPATSAASSSVQPFHAEVAASLRHPRHVPVGPSGSARSRRVVRDIHGFHRLVIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGDVNTGLADEKISGCVMEVACHSNSHSQEDQDNERCVICLEEYKHEDSLGRLKCGHGFHGNCIKKWLQVKNICPVCKAAAVDDGS >LPERR05G03940.2 pep chromosome:Lperr_V1.4:5:3024913:3031189:-1 gene:LPERR05G03940 transcript:LPERR05G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVDCSCVMAGHQYNNSQVSRMDHMDRLNNEPSPFGQKLFMHPRSDPANGAGSSGYVGANTTMRSNDFPSSSYAGQAYSQLNRAPGTLHASYSGHPPAGSSSCSYAPYNAQHMPALNYPHGSEDNFIPSTHVDGRRVALKRRNPIIHPTDGFSVGNYYAGSSSNPQFSRPMPPNPVPPPESCGRMPTHLGSSHWNDYHYINHEGSQRNVRGRHDHSSIHPEQSPAAACPSSSMNVPPYHTNANALFRSTPVQHDRPPLPVHPRILPPGTDGNNSITFRERSYYPAPQSTNISAPVPTLLSSCDSVPFAHGGYAPRSAHRNNLRTYPPPSFVPSSNTGAISHEPAIPSYPPAAPIYLPATSAASSSVQPFHAEVAASLRHPRHVPVGPSGSARSRRVVRDIHGFHRLVIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGDVNTGLADEKISGCVMEVACHSNSHSQEDQDNERCVICLEEYKHEDSLGRLKCGHGFHGNCIKKWLQVKNICPVCKAAAVDDGS >LPERR05G03940.3 pep chromosome:Lperr_V1.4:5:3024913:3032012:-1 gene:LPERR05G03940 transcript:LPERR05G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQYNNSQVSRMDHMDRLNNEPSPFGQKLFMHPRSDPANGAGSSGYVGANTTMRSNDFPSSSYAGQAYSQLNRAPGTLHASYSGHPPAGSSSCSYAPYNAQHMPALNYPHGSEDNFIPSTHVDGRRVALKRRNPIIHPTDGFSVGNYYAGSSSNPQFSRPMPPNPVPPPESCGRMPTHLGSSHWNDYHYINHEGSQRNVRGRHDHSSIHPEQSPAAACPSSSMNVPPYHTNANALFRSTPVQHDRPPLPVHPRILPPGTDGNNSITFRERSYYPAPQSTNISAPVPTLLSSCDSVPFAHGGYAPRSAHRNNLRTYPPPSFVPSSNTGAISHEPAIPSYPPAAPIYLPATSAASSSVQPFHAEVAASLRHPRHVPVGPSGSARSRRVVRDIHGFHRLVIEDNNLGRSAAELVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGDVNTGLADEKISGCVMEVACHSNSHSQEDQDNERCVICLEEYKHEDSLGRLKCGHGFHGNCIKKWLQVKNICPVCKAAAVDDGS >LPERR05G03940.4 pep chromosome:Lperr_V1.4:5:3024913:3031189:-1 gene:LPERR05G03940 transcript:LPERR05G03940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVDCSCVMAGHQYNNSQVSRMDHMDRLNNEPSPFGQKLFMHPRSDPANGAGSSGYVGANTTMRSNDFPSSSYAGQAYSQLNRAPGTLHASYSGHPPAGSSSCSYAPYNAQHMPALNYPHGSEDNFIPSTHVDGRRVALKRRNPIIHPTDGFSVGNYYAGSSSNPQFSRPMPPNPVPPPESCGRMPTHLGSSHWNDYHYINHEGSQRNVRGRHDHSSIHPEQSPAAACPSSSMNVPPYHTNANALFRSTPVQHDRPPLPVHPRILPPGTDGNNSITFRERSYYPAPQSTNISAPVPTLLSSCDSVPFAHGGYAPRSAHRNNLRTYPPPSFVPSSNTGAISHEPAIPSYPPAAPIYLPATSAASSSVQPFHAEVAASLRHPRHVPVGPSGSARSRRVVRDIHGFHRLVIEDNNLGRSAAELVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGDVNTGLADEKISGCVMEVACHSNSHSQEDQDNERCVICLEEYKHEDSLGRLKCGHGFHGNCIKKWLQVKNICPVCKAAAVDDGS >LPERR05G03950.1 pep chromosome:Lperr_V1.4:5:3032105:3036622:1 gene:LPERR05G03950 transcript:LPERR05G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYLSERCAAGGDWWNRHCCTRGTGQMRLEVGRPKRREAKQIQLLRRPPARGEAFTVGIRLTAGGDGKSQNIQEDV >LPERR05G03950.2 pep chromosome:Lperr_V1.4:5:3032105:3037761:1 gene:LPERR05G03950 transcript:LPERR05G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYLSERCAAGGDWWNRHCCTRGTGQMRLEVGRPKRREAKQIQLLRRPPARGEAFTVGIRLTAGGDGKSILVLQFL >LPERR05G03960.1 pep chromosome:Lperr_V1.4:5:3033075:3035498:-1 gene:LPERR05G03960 transcript:LPERR05G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFIIFVSLLFLIHPPVCSAATDTISAGEALIRDQKLVSRNGRFALGFFQPDTNSKFFPRHTPKHWYIGIWFDKVSRLTPIWIANRENPIVGHHRVSKLTIADNGNLVIFNQATRLTVWSTHATITTKNTMAVLQDNGNLILADASNSSNILWQSFDYLTDVIPLDAKFGRDNVSGLNRHLVSKKSLTDPSAGLYCLELDPTGADQFVLKLCNSSVVYWSTGVWNGQIFNSMPEMSGRTLFDYKFINNKQEKYFLCTLLEQDQLTICLLHISGQMKQLIWLERKQEWATIYTLPKDLCDIYATCGPFTICNSNALSVCDCMKGFSVKSPKDWELEDRTGGCIRNTPLDCGVKNQSRTATTDKFYPLPGVGLPTKANIIVVAGTAEQCELACLNNCSCTAYSYGNRCSVWYDDLLNVRQYNNGTTSDGGILYLRIAAKDAESWIHTKSGKGKIIGAVVASSVVVLGLLSSTVIWVLVIWRNKRKQVAGSSDNVQGGNGIVAFRYTDLQRATKNFSEKLGGGSFGAVFKGFLGESTTIAVKRLDGDCQGEKQFRAEVSSIGIIQHINLVKLIGFCCESGRRLLVYEHMPNCSLDMHLFHDNTMILNWSTRYQIALGVAKGLAYLHESCRDCIIHCDIKPENILLDGSFVPKIADFGMAKFVGRDFSRVITTFRGTIGYLAPEWISGVAITSKVDVYSYGMVLSEIISGRRNSCEQNISDDDHVAYFPVQVANKLLEGDIRSLLDKKLLDDVNMEEAARVCKVACWCIQDNESHRPTMGQVVQILEGLLELEMPPMPRLLEAVTGSSY >LPERR05G03970.1 pep chromosome:Lperr_V1.4:5:3045160:3049292:-1 gene:LPERR05G03970 transcript:LPERR05G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGGGGGGDGGKVGLPALDVAVAFPQATPASLFPPAVSDYYQFDDLLTDEAKALRKKVRSIMEREIAPIMTEYWEKAEFPFHSIPKLSSLGLAGGTIKGYGCPGLSLTASAISIAEVARVDASCSTFILVHSSLAMSTIAFCGSEAQKKKYLPSLAQFRTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNSNTNQLNGFIVKKGTPGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTTRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKSAALTKSRL >LPERR05G03980.1 pep chromosome:Lperr_V1.4:5:3054146:3056324:1 gene:LPERR05G03980 transcript:LPERR05G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCQQQQQFLHPGGYDLPSVYSGTALLPLQGGFAPEPPPPPSSLSGRSATDQVTAMRIHSEAERRRRERINAHLDTLRRILPDAKQMDKATLLATVVSQVKHLKKFATHASTASASPIPPEANEVAVQCHYTGDDDPSNTCRTVYVHATVGCDDRPGLLADIAGAFRRLRLRPLSADMSCLGGRTRHVFVLCREGEELVEVRHLKESVRQALAKVAFPEMMYSSSSRSSRSKRQRVLESRFSTTVVYSHGW >LPERR05G03990.1 pep chromosome:Lperr_V1.4:5:3069292:3078842:1 gene:LPERR05G03990 transcript:LPERR05G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGIDGMGGVMGGGAAMGAGGDEEGGGGGGGVGGGAASGAWHGGAQLYVSLKMENARISGDLVPHVYGSEPIIGSWDSSRALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAATPCIIEEGPTRHLTGGMLEGDVRIAWFKVNGDHEALEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPTAPPSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSASAGFEDHNYHNKISNQVKLDNVASPADSFKKLQVSGIVESKSVDTLTALQKQDGQKGYFVDRGVGSTKFSKSSSACSLASGLSFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDQDIIERNVRLKIQQSPDYADQPDYESGVRDFKERLTNYEKVYEPVQEGSYIKMIDMVKGHGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSENGELYSKKLATFIEKRLKSEKTASIWTSTLQRTVLTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLREVPDIEMPLHTIIEIQINGRHRCRGEEVQAHGLNILLGY >LPERR05G04000.1 pep chromosome:Lperr_V1.4:5:3104900:3108077:-1 gene:LPERR05G04000 transcript:LPERR05G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGCYPAGELEAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKEGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGALLALLVDLSASSHLESHGHHHVDEPSPPYQPIPKKASPVFELSGEMSPKKRASHLDGAGGETDRDDVALFGAKRGGAAALVRSDEVVIGCHGGGGHDVVEIGEGGGGGRELDEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGIATVGYMCVMFSVTTPLGILLGMAIFHMTGYDDSSPNALIIEGLLGSLSAGVLVYMALVDLISLDFFHNKMMSSSIKLKKISYVALVLGSASMSILALWA >LPERR05G04010.1 pep chromosome:Lperr_V1.4:5:3110320:3114983:-1 gene:LPERR05G04010 transcript:LPERR05G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQSVDLARNLRENQLGGVIFGCKHNTIEECFKKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGVFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTHYPPLMESQFRTVLGDNYYNRHHFYFELDHAQTKALIGVFKALAPANFTQVPVVSSKKTIAPLPSTKRLAPIIPHQKKASASQKDINPFSVLSHSGGAVTDNWADSDVENGSISKTSDGKESGELFSDWEDLDDNVLNNQLGCCLNQYEISQNSYNTVARVELVECSHLVVDPVNVRINNSDGDMFVNSHDVHSGPVSVDGIESEVQNESDGVMVQPERLSILKKLKELFVLRQQSQLSDQNIVYSNPEKPEETQVNASLSCPERHVPEDPHANASQSCPEQDVPEETQINASCPEQDVPEEKQVNASLSCPEQHVPEETQANASLSCPEQHAPEETHVSASLPCPDQNVPEETQDDGSFSCPEQQVPEETQVDATLTCPDQHVPQDTQYDGSFSCPEQDAPEETQINASLSCPEQHVPDNACLPCPEQHAPEETQISTIFSCEDQHALEETQSNAGLSCPDQHVPEETQVTTGISCPDQHVSEETQVNAGLSCQDQHVPEETQVNASLLCSVQHVPEETKATAAISCPDNTSFEQDQGNAELIKIVLDLKKRTDALNENQNKSREEILSLREAVKDSGTKVKQLEYRIDELQFKLDSSLSLDGGACDSLDKPSIFLIGGYNGVSWMSSLDAFSPEKDILVPLTPLSFARSYASVATLEGCIFICGGGDGNSWYNTVECYNTMCNEWMACPSLNHEKGSLAGVSLDGKIYAIGGGDGTVTYSDVEMFDPFLGKWICSPYMMNSRFALGAAEMNGVIYATGGFDGYKYLRSAERYDPREGFWAWLPSMNLIRGCHNLAVLGDALYAIGGYDGNSMVSSVEIFDPRLNSWRMGDPMNFTRGYASAATLGDNLFVIGGLQSNEQILDTVEVYNVKCGWSIPGFSSIGKRCFAAAAVV >LPERR05G04020.1 pep chromosome:Lperr_V1.4:5:3138089:3138574:1 gene:LPERR05G04020 transcript:LPERR05G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCSLHQGAASVRRILIVSPDSELERGEIYFLIPSSSVPEKKKRHTTTTLKQASSESDHGGNGRSRHVLDVSSEKKIRSSSPSLHRRRMSAGSRTAAWRPHLECIVEGI >LPERR05G04030.1 pep chromosome:Lperr_V1.4:5:3142068:3144650:1 gene:LPERR05G04030 transcript:LPERR05G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGKGEVSSGIYTYNHHCDNGFDIHEIFVKRSRFRVLLSYIGMVFLLASVSRPFLSEDKLSPGSSVWSITFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPLGKVLKPLLNECVTPVTCYWSLSLLLCDEEELMLVFQKSRPPVKMLVPVWKALGKFLNSECQTCSAVSEPGSQT >LPERR05G04030.2 pep chromosome:Lperr_V1.4:5:3142068:3144650:1 gene:LPERR05G04030 transcript:LPERR05G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGKGEVSSGIYTYNHHCDNGFDIHEIFVKRSRFRVLLSYIGMVFLLASVSRPFLSEDKLSPGSSVWSITFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPLGKVLKPLLNECVTPVTCYWSLSLLLCDEEELMLVFQKSRPPVKMLVPVWKALGKFLNSECQTCSAVSEPGSQT >LPERR05G04030.3 pep chromosome:Lperr_V1.4:5:3142068:3144650:1 gene:LPERR05G04030 transcript:LPERR05G04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGKGEVSSGIYTYNHHCDNGFDIHEIFVKRSRFRVLLSYIGMVFLLASVSRPFLSEDKLSPGSSVWSITFGILVAKCLQYKPVKKESVVIMPTFGVLKPLLNECVTPVTCYWSLSLLLCDEEELMLVFQKSRPPVKMLVPVWKALGKFLNSECQTCSAVSEPGSQT >LPERR05G04040.1 pep chromosome:Lperr_V1.4:5:3145592:3147610:-1 gene:LPERR05G04040 transcript:LPERR05G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASDWQDHYEVGRKIIKRESALSAADAAAASADDGRMMARRSCSTPAAAAGAGPGSAAVNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILNLQQQVHALESELAAVRAQIVSHKYRSSPATATTVLPSSHASQLLAGRLPVAAAGTMGVTTATLPAVASASSSTTTAAVYAAAAASSSTDYSSITNENVPYFS >LPERR05G04050.1 pep chromosome:Lperr_V1.4:5:3159607:3160227:-1 gene:LPERR05G04050 transcript:LPERR05G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGKEEVYTKEGSKLYSKMMKSSSSEAAAPLAVPSFRVYYGVASAGSVPFMWESQPGTPKSSPSMAALPPLTPPPSYYAKKSVAGDGVASSRRRRRGGGGGWIGAVLFRRPWRRRTCSSEASSSSSMSPVFTVQQATPRSHHRRAFSGDADDAAAARCFGMERECERGLIKGCGVAKAVRNALSTVVGGRAGAAPATAAAAAY >LPERR05G04060.1 pep chromosome:Lperr_V1.4:5:3168390:3170126:-1 gene:LPERR05G04060 transcript:LPERR05G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAASYPSLLAAPSSVSTAAGVGDDSMRHRPPPPSPLTASASSPSLLTAHRAGICLHWRRAPERQICHWRRSWDRIQIEWRWPTGSATDYGAGLLRHHSPRLLPPSSSLAVPASSVSPAASRILCLSPGIHGVFDGYQKNHD >LPERR05G04070.1 pep chromosome:Lperr_V1.4:5:3175816:3176211:-1 gene:LPERR05G04070 transcript:LPERR05G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTGAGNEDSGTKHHAAATAAAKATPAATSTGRGDGGVASPAKTKTHHQPPPAAFFVYRRFNGAEPSLTECVKASDRAVAGSVGRKEEQTPATARPTAVQNSYLAQIIRESYYCRRKERSIWSWFRWD >LPERR05G04080.1 pep chromosome:Lperr_V1.4:5:3177482:3179926:-1 gene:LPERR05G04080 transcript:LPERR05G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLYISLVLLLFSLHGAPPCSAANDTLAAGESLAVGEKLVSRNGRFTLGFFQPSVVSKSGNITSPNWYLGIWFSNISVLTTVWVANRDNPVTALQLNLAQLKISKDGNLVISSNDSIIWSSTIVNRTAATTMNTTSAILSNDGNLVIGSSPNVLWQSFDYPSDVLLPGAKFGWNKITNFVRKFTSKKNLIDPGLGLYYVELDNTGIGLSRSNPFKTYWSWSSEKSSNNLISLLNQLISINPQTRGRINMTYVNNNEEEYYEYILLDQSYYIYVLLDISGQIEINVWSQEKQSWQQVYAQPADPCTAYATCGPFTVCNGISRPFCDCMESFSQKSPRDWELDNRTAGCFRNTPLDCRNTTSSSDVFHTIARVTLPSNPQSVDNATTQSQCAQACLSYCTCNAYSYVNSRCSIWHGDLLSVNKNDGIDNNSEDVLYLRLAAKDMPSLSKSKSKPIVGVVIAASITGFVLVMLMLILLILRKKFGLCGAPLHDSRCGGGILAFRYSDLCHATKNFSEKLGGGGFGSVFKGELSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDAHLFQSKATVLNWATRYNLAIGVARGLSYLHQSCNECIIHCDIKPENILLDATFGPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMLLLEILSGRRNSHKVNTDNNSDQVAFFPVQAISKLHEGDVKCLVDPRLNSDFRLEEAERVCKIACWCIQENEFDRPTMSEVVRVLEGLQELDMPPMPRLLAALTDCSVLAHYDSS >LPERR05G04090.1 pep chromosome:Lperr_V1.4:5:3195273:3196546:-1 gene:LPERR05G04090 transcript:LPERR05G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQIGWPSTTACSRCWPPTATPTWAARTSNTASWTTSCGASASAPSNQHQVRVEIESLFDGVDFSEQRTRARFEELNADLLKKTMVPVRKAMADARLSKGDIDEIVLHADPQGAATAQGLYFSGKEPNRGVNPDEAVAFGAAVQASIISGNENTHNLILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGTPQIEVTFEVDANGILSVQAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEDDRRRREQVDARNSLEAYVYNIKNTVEGKMADAMESDEKEKVEEAVREVYEWLDHNHDVGKEEYEEKLRELEDVCNPVMSAAYQRSGGAATDDSNVDDDIDHDEL >LPERR05G04100.1 pep chromosome:Lperr_V1.4:5:3205319:3207466:1 gene:LPERR05G04100 transcript:LPERR05G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLRVPCPMPGRGGKVVWSDKDGGRFVRVRLWLQHGKDLTLMRYYAGEEKQDGVSEISREHGLTMTWTMEPTINDTMDKLQIKWPAGVLAVSLSMIDADSVRRPAAPSLLLPCLTHCFLIMVWSFFRPRPSDWKQFQLRKPELNTYFNGDSSGQLNKVCTCMEDILEEGRSEKMAQAHQQADRPQFTKGDVVNSVQNTKLLIDIGNNRTIKIPLRGELNILLNNSSGSGTPGSIN >LPERR05G04110.1 pep chromosome:Lperr_V1.4:5:3207492:3208687:1 gene:LPERR05G04110 transcript:LPERR05G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCGTNTLQHSSFQAALQPPNLVSDAIAAGIQHRLHIRFGQLLWLRLHAADRVSMGEKRRRADGIQGHLFLEGFSELLKITTLEQLNELVELGGLIQQVQLCSRPDDIVWKMNADGIYTSKSAYLAQFIGSYSTIDFTKLWEANSEPKHRFMGWLILHKKLLWLKIFYEDTGPVTGFVACAARPLRILAVSSKNVPTPPWFVERNVRDKAKRFPHRPNWLSHYYVVEHLARAQQKDLPKLDENCY >LPERR05G04120.1 pep chromosome:Lperr_V1.4:5:3223234:3226864:-1 gene:LPERR05G04120 transcript:LPERR05G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIQREVEIESVADDSANSVQNIRLVIEIGDRTIQVPLNGHTVVQSIGRQATPAAAAGGDTSARYASDKSVAGEDWRLPQEKDGLMYMRGWLMAVATLFAAMAFQAALQPPSWMPRPKDWFAALLAADPSSAPVSRDIAGKAMLYLIVNTCAFATSIAVLLMLLAVGGGEGGCAARRVTARLIANMMTAVALFAAAAFALCAADDYRLVAFVGTVVAVYAAVTVVFVSTALFGVQNISSGSEDDEGDEDYLNNKRGWLMAVATLFVGMAFQAAIQLPAWFPDDWSQAFTSYSIKQDSIFRVAAPPRSSDHHATGSLTKGQARGIRWYIMFNTHQYNLRLGNLP >LPERR05G04130.1 pep chromosome:Lperr_V1.4:5:3230318:3231488:1 gene:LPERR05G04130 transcript:LPERR05G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVDIGNNRSIEIPLRGKLVIQPQNDSRSGRDSDDDEEQGFGRFHKWLLKLLAGPDYDEDYLMNKRGWLMTGALQMPAWFPQDWNEVFQPDLKPRLHMATTITTSAGAPSPTSPDQQAIMSQANGVIQYIYFNTITFTVAMALLITLLMTKRSTAGISMRMATIVLWMLVFSTSITFIQGTSNDRNVTGPMLFTFAIFGFNFIFFCFGFPMVIKCMQERLKRRRDLRRAARGRLGGPEGDLRRYFLLYTAYVLISTLLNSLQFRQYSTRSQSHFVIFLDSIIWFNFCVYFLYWLHVCMA >LPERR05G04140.1 pep chromosome:Lperr_V1.4:5:3233438:3236873:-1 gene:LPERR05G04140 transcript:LPERR05G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRTAASPSPFPSLPSSSQRSPPCSRLQFSRPRNGRMVGVRRRASRFEAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNVIDIPSAGHVQKSILHGAADHVLRKVLYWAKEESQMERLKARLIELYYENLFKLDTPVKGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKAIVTDEDDMESIANRYELVQADLAIAKYSELSVINLRRLFAHKGMSFMDLQKQIIERSPPKRKLTVDTIF >LPERR05G04150.1 pep chromosome:Lperr_V1.4:5:3238311:3243731:1 gene:LPERR05G04150 transcript:LPERR05G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRSAGSLLLPVLLLLSSSLLPLSAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGLEKFHIPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDLPHIYVENHPDIRKNFWDQQSWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGVAKVE >LPERR05G04150.2 pep chromosome:Lperr_V1.4:5:3238311:3244365:1 gene:LPERR05G04150 transcript:LPERR05G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRSAGSLLLPVLLLLSSSLLPLSAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGLEKFHIPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDLPHIYVENHPDIRKNFWDQQSWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGVAKVE >LPERR05G04160.1 pep chromosome:Lperr_V1.4:5:3242328:3245587:-1 gene:LPERR05G04160 transcript:LPERR05G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIAPISERLPSLLSPFSILFIHFNPSPTTREEETTFHIKNLVFASSPSLPPPIRKATTPQISLPPSRHCLCPDPRPPRPQPGASGGGADRKDSIFAAAEMGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRTIQLSLSVLLFGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKNADVSQQQAKEGDSAPLISDSLSKVENGSGVVDDEPLKVPMWSSKYSRA >LPERR05G04170.1 pep chromosome:Lperr_V1.4:5:3256379:3258370:-1 gene:LPERR05G04170 transcript:LPERR05G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQRTYSRRSNSWWWDSHVSPKNAKWLSDNLEEMETQIKEMMELIQEGESSAEKAELLTTHIQNFHQMYRALAERYGNVTGELRKNIPSSLQSHVSFGISESDSEAQSPPSPEPDLQEKMLKQKPRSDCFDVSIGSGVSSDVSKKGSDGSSSSSESDLELDEAKEENDNSIFYALSQKIIELEDELHEVRGKLGVSEENKHVSDVETSSLQKDLDEVKSEKEALEAVLLVNKDEIDRLKKSMLSAAKQFEVELAHRDSEIDKCKQELEVLSEKYLHDISALEAENGKLQGVIKEFKDDLAKISQEKLLLESRIEELEQSANSLNYLASEILKLQELLKNTQAELENVSQEKEVLIARALEFEQLFRDFENSDLEVAKLPEIIRNLEAQIEATLQEKSVLQDRIKELEQSVHDSLQNHSLEKSCLSAELSKLSESNASLEAKLASLEAQLKQVYDEKAEESLNSEKQISDLNQDLANVKTKLELLLSEKSLVDNKVTTLLTDITTRDEKMKQMDDHLNQLQLEHAKLMTQADLTRQALSELHSRVFELEQEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHGQKGPLVMAT >LPERR05G04180.1 pep chromosome:Lperr_V1.4:5:3262251:3264033:-1 gene:LPERR05G04180 transcript:LPERR05G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKGRNIDEDKLDFKGGNVHIITSKEDWDQKIEEANKDGKIVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFIKNNKQLDKLVGANKPELEKKVQALADSS >LPERR05G04190.1 pep chromosome:Lperr_V1.4:5:3267382:3273770:1 gene:LPERR05G04190 transcript:LPERR05G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEEASVDEEEEVKLLSVSWNQDNSCFIAATTNGFRVFQCKPFQESMRRMFGQNGGIGIAAMLFRTSIFGIVGAESNTEFPPTMLKIWDDYNECRVDEYNFMSKIRSIKLSKDYFVVVLEKTINVYRFKDLKLFYQARTVSNLNGLCCLSHHVNASVFACPGTSKGQVLVEHFGLKETILIAAHASHLSCMTMTLDGTLLATASVKGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSQNVQWLAVSSDKGTVHVFSLRVKNAEDDANTTESSTAGAPANNNYNCGSMVPVTQTNTGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEVTRHITAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHRFLKTSKPL >LPERR05G04190.2 pep chromosome:Lperr_V1.4:5:3267382:3273770:1 gene:LPERR05G04190 transcript:LPERR05G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEEASVDEEEEVKLLSVSWNQDNSCFIAATTNGFRVFQCKPFQESMRRMFGQNGGIGIAAMLFRTSIFGIVGAESNTEFPPTMLKIWDDYNECRVDEYNFMSKIRSIKLSKDYFVVVLEKTINVYRFKDLKLFYQARTVSNLNGLCCLSHHVNASVFACPGTSKGQVLVEHFGLKETILIAAHASHLSCMTMTLDGTLLATASVKGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSQNVQWLAVSSDKGTVHVFSLRVKNAEDDANTTESSTAGAPANNNYNCGSMVPVTQTNTGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEVTRHITAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHRFLKTSKPL >LPERR05G04200.1 pep chromosome:Lperr_V1.4:5:3278409:3280634:1 gene:LPERR05G04200 transcript:LPERR05G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGGKESGCGGGMAAQAGRLGVVASVAFNIAALALFLRRRYFGDDADEKKGETAAAVAPSSGKPPVTPDSVINLDHGDPTMYEAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGFEREVRRLHRLVGNAVADGYHLLVGTGSTQLFQAALYALSGGAAAGANPIGVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKVFDGENYIELVCSPNNPDGGVRTAVVNSGGGGFVVHDLAYYWPQYTPITEAAAHDVMLFTVSKCTGHAGTRLGWALVRERAVAQKMSKFIELNTIGVSKDSQLRAAKIIKTITDGYEHAPVAAAVAGGDDDSGRLFHFARRQMVSRWGKLRAAVANSGIFTLPDEIPGHCTFANETVAAYPPFAWLHCEKEGVDDLEGYLRERKIICRGGAKFGVGGRFVRISMLDTDEAFAIFVDRLAAMK >LPERR05G04200.2 pep chromosome:Lperr_V1.4:5:3278322:3280634:1 gene:LPERR05G04200 transcript:LPERR05G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGGKESGCGGGMAAQAGRLGVVASVAFNIAALALFLRRRYFGDDADEKKGETAAAVAPSSGKPPVTPDSVINLDHGDPTMYEAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGFEREVRRLHRLVGNAVADGYHLLVGTGSTQLFQAALYALSGGAAAGANPIGVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKVFDGENYIELVCSPNNPDGGVRTAVVNSGGGGFVVHDLAYYWPQYTPITEAAAHDVMLFTVSKCTGHAGTRLGWALVRERAVAQKMSKFIELNTIGVSKDSQLRAAKIIKTITDGYEHAPVAAAVAGGDDDSGRLFHFARRQMVSRWGKLRAAVANSGIFTLPDEIPGHCTFANETVAAYPPFAWLHCEKEGVDDLEGYLRERKIICRGGAKFGVGGRFVRISMLDTDEAFAIFVDRLAAMK >LPERR05G04210.1 pep chromosome:Lperr_V1.4:5:3283041:3284539:1 gene:LPERR05G04210 transcript:LPERR05G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVTFPSLLSRQTPSLSLSARFPFLPHTPFGLHLRLAVVAQPPSRPTAIGQPCPLHPHPQPLRVSRRRHRRRPGPRRRHLQPGLAVAQPRLPSSILTPILARSTPLPSPPHPRHRLGLDPAGHTIHGAAVVAHPPLFGQYQ >LPERR05G04220.1 pep chromosome:Lperr_V1.4:5:3287787:3291361:-1 gene:LPERR05G04220 transcript:LPERR05G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASAAAAAAASSSSPPAAGGGGGGGLFDLMSPDPQEEADGHARRGGVANEVVPSYDFQPIRAPPAAGPPPASAASPWGSLDSNSKPAAASSNLKSAGMLESHVLKTVSHEEERSNFTAVSIADIDRTMKKYADTLLHALEGVSSRLSQLEGRAHHLEGSVGELKLTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVEAQVQLSKLQMSKVEDVQSEKASVGQADSRQQPTLPQPQHQAPPPSQPLALPALPAPNAPPPPAPQSQTPSQFPGHLPHSQVQPVSPVPPTPLAPTIPQESYYPPSAVQPTDSTHQQYQAPAIPQSQAPPAPPQHYQTPPQYAQYSQPPPHASANPPTAVPPSVPQQPEEVAAPYGPPQSYPPNVRPPPPYMPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKPQGGGGFSEPYGYSGSPSHRGNAGMKPHSPFTPTGTPSGGSGNYGRLPTAQMLPQATPVSSSPSASSGNRVPMDDVVDKVATMGFSREQVRAAVRQLTENGQNVDLNMVLDKLMNGR >LPERR05G04230.1 pep chromosome:Lperr_V1.4:5:3299988:3304020:1 gene:LPERR05G04230 transcript:LPERR05G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPAATLMACVLVALHVSCVLAVDEQGAALLAWKATLRGGGGALSDWNAGDATPCRWTGVSCNSDGGVTELTLDSVDLFGAVPSNLAAAVGPTLTRLSLTGTNLTGPIPPQLGDLTSLAHLDLSNNFLTGPIPSTLFHPSSKLQTLYLNSNHLEGPLPDTIGNLTSLRELIIYDNQLAGRIPATIGKLTSLEVIRGGGNKNLHGAIPPEIGDCVSLTMIGLAETSISGPIPASIGKLGNLTTIAIYTALLSGPIPPEIGRCRRLENIYLYENSLSGSIPPQIGDLTKLRNLLLWQNQLVGIIPPEIGSCPELAVVDLSLNGLTGHIPATFGNLSSLQELQLSVNKLSGGIPPEISRCRNLTDLELDNNQFTGGIPAELGRLPALRMLYLWANQLTGVIPPEIGRCGSLEAVDFSNNELTGAIPRSLFQLPRLSKLLLINNNLSGEIPAEVGKCAALVRFRISGNHIAGEIPPEIGMLGNLSFLDLGSNRLSGDIPAEMSGCRNLTFVDLHDNAFSGELPPGLFKDWLSLQYLDLSYNVISGEIPPEIGMLTSLTKLVLTGNRLTGSIPVEIGSCTRLQLLDVGGNSLSGHIPGSIGKIPGLEIALNLSCNGFSGEIPAEFAGLVRLGVLDVSHNQLSGDLQPLSSLQNLVALNVSFNGFTGRLPETPFFAKLPTSDVEGNPALCLSRCSGDGGGDPGEARRAARVAMAVLLSALAALLAAAALVLVGWRRRRVASPDGGGEMSPPWDVTLYQKLEIGVSDVARSLTPANVIGRGWSGEVYRASIPASGVTIAVKKFRSCVDEACAEAFAGEVSVLPRVRHRNIVRLLGWAANRRGTRLLFYDYLPNGTLGDLLHGGGGAAVVEWEVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGATASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMINGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIRHDDGAEARKAGNGVGIDADARKRTDLRQPISPTKLVALARPAQSQGQAQAQIQARANSGSLGLLNDQE >LPERR05G04240.1 pep chromosome:Lperr_V1.4:5:3305457:3308878:-1 gene:LPERR05G04240 transcript:LPERR05G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCSSSYKRPRPIIDIFVPFLLFFFFLVVSTSASSTSSISNGTEKATAMLYSGEEMLRFQRSPDGDVIDCVPVHLQPAFEHPMLRGQKPQEAPAARPKSRSHGHGGDGDDDGEHGLRQAWWDAGEECPEGTIPVRRTTEADMLRASAAAAGGGIGRFGMKPRGSGGGAARRDSSSSGHEHAVGYVSGGGQFYGAKASLNVWPAQVASPAEFSLSQIWLISGSFGNYDLNTIEAGWQVSPQLYGDNHPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGPLVGYWPSFLFSHLGIHADMAQFGGEVVNTRPSGSHTPTQMGSGHFPSEGYGRAAYFRNIQLVDWDNNLISVAGLRLLADRPACYDIVGGQGGAWGTYFYYGGPGRNARCP >LPERR05G04250.1 pep chromosome:Lperr_V1.4:5:3314028:3317876:-1 gene:LPERR05G04250 transcript:LPERR05G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARWSEMKPPPTTTRRWVYGRGGTTAPPAGALLLVCLCVFGALLFVLHGSSPSLEEGEGANPTTAAVAAGGGVGREEVEQAAAEVEEAPLPPGNVRLAFLFIARNRLPLDLVWDAFFRVRASGSPSLCSPRFRISAMLGDKEGRFSIFVHSRPGFVLTRATTRSSFFYNRQVNNSVQVDWGEASMIEAERVLLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVEDDVVLPEFQKHCRRRPLPEFWRDWDRPIKKGSIGNFARLNADHFIQPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKISDATRALIKSIKDIDNIYYETENRKEWCTSDGKPAPCFLFARKFTRAAGLKLLDMSLIAAK >LPERR05G04260.1 pep chromosome:Lperr_V1.4:5:3319801:3321770:1 gene:LPERR05G04260 transcript:LPERR05G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSDIMRNRSRRVGGSSPSMAAAATITGGGRNVGVAMDFSACSKAALRWAAASLVRPGDRLVLVHVKPSVQYEEGVANLWEQQGSPLIPLVELTDPHVSRIYGLAPDAETIAILTAAANQIGVEVVAKVYWGDPAKKVAEAVQRIPLHWLVVGNRGLGAVKRVLMGSVSTYLVNHAKCPVTVIRDNLPLQPQHPVAATAIAIY >LPERR05G04270.1 pep chromosome:Lperr_V1.4:5:3324296:3326084:1 gene:LPERR05G04270 transcript:LPERR05G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAVLPVKGPGYNYCGGYLQCILLVPFSFFVTSGHAEEAELTSQSNNLGSYFVHMSLYTPAMESKVSYTPCHHLQSSSIL >LPERR05G04280.1 pep chromosome:Lperr_V1.4:5:3326147:3329074:1 gene:LPERR05G04280 transcript:LPERR05G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKMSSSSSIVLALLLPLFYGILLAPSFIAATLDTAPATLLQVKSGFTDPNGVLSGWSPESDVCTWHGVTCLTGKGIATGLNLSGYGLSGTISPAIAGLVSVESIDLSSNSLTGEIPPEIGTMQSLTTLLLHSNLLTGAIPPELGGLKSLKVLRIGNNRLHGEIPPELGDCSELETIGLAFCQLIGAIPHQIGNLKQLQQLVLDNNSLTGGLPEQLAGCANLRVLSVADNKLDGMIPSSIGSMSSLQSLNLANNLFSGEIPPEIGNLSGLTYLNLLGNCLTGGIPEELNRLNKLEIMDLSKNNLRGEIGAISALKLKNLKFLVLSENLLEGTIPEGLCSGNGNSSLENLFLAGNNLGGGIDALLSCTSLQSIDVSNNSFTGEIPPAIDRLSDLVNLALHNNSFTGVLPLQIGNLSNLEVLSLYHNGLTGGIPPEIGRLGNLKILFLYENEMTGVIPDEITNCTSLEEVDFFGNHFHGRIPEMIGNLKNLTVLQLRQNDLSGPIPASIGDCRRLQALALADNRLSGELPESFGRLAELSIVTLYNNSLEGTLPESLFDLKNLTVINFSHNRFAGAVMPLLGSSSLTVLALTNNSFSGVIPAAVARSKGMIRLQLAGNRLAGAIRAELGELTELKILDLSNNNFSGDIPPELSNCSRLTHLNLDGNSLTGAVPSWLGELRSLGELDISSNTFTGGIPVELGGCSGLLKLSLSDNRLSGSIPPEIGKLTSLNVLNLQKNGFTGVIPPELRRCNKLYELRLSENSLQGPIPPEIGQLPELQVILDLSGNNLSGEIPASIGDLVKLERLNLSSNRLNGEIPHSLMKLTSLHILNLSDNLLSGAIPAALSSFPAASFAGNADLCGAPVTSSPSSCGAAVRRRLPGAEVSVIVAAIAVVSAAVCVALLYIMVRMWSNWRAVAAVSSSDGEESSSAVAKGGGKWCAGAGAGEGKYWKVGEEKYSSASSSPESAGAGDRKPAS >LPERR05G04290.1 pep chromosome:Lperr_V1.4:5:3335510:3335800:-1 gene:LPERR05G04290 transcript:LPERR05G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIKIAMLLTLIPLALRGSGSILGNVVVVVVAVVPSPEQQPPGYKIDGDSPSSADHQGRRHTAFTRRRFGTGGGDGFFNEDKRFSPTGSNPLHNL >LPERR05G04300.1 pep chromosome:Lperr_V1.4:5:3339039:3341512:-1 gene:LPERR05G04300 transcript:LPERR05G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSLCSATSLGWVTWVRGANYGGEDETGPEARLGHLKRFMIKEIQEATNNFDRNNILGQGGFGVVYKCRLRDSTIVAVKRMKDCTTAIADDQFHTEVEVISLIAHRNLLKLTGFCVTNTERLLVYPFMPNGNVSSKLQGCTLTRMGNAISMECNTASNVLLDEYLEAVVADFGLVKLLNHGESHAITAVRGTIGRIPPEYMTAHQASEKTDVYAFGFLLIELITGRRSMELHENEYENGGILDWANELLEKNKLSSFVDRRLKNDYVSAELEEMVQIALLCTMHSPDHRPRMSEVVRMLDGSDGSIVEKWEALKDVHRSKPSTPEFMLSPPVGHGSSEHNSIQLEADELSGPR >LPERR05G04310.1 pep chromosome:Lperr_V1.4:5:3345235:3346705:-1 gene:LPERR05G04310 transcript:LPERR05G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRAFAVMVIVMMMMTSFVVFVDSLGVVAPRALSPAREAEALVAVKLMLHDPANVLNDWKIAAGGGGPCGWALVFCNGDGHVSGLNLRNRSLSGTLSPEIGKLRQMRYLFLQHNAVSGPIPDTISMLKMLQRLDLSYNHFTGHIPGRLGQARGILFV >LPERR05G04320.1 pep chromosome:Lperr_V1.4:5:3349118:3380372:-1 gene:LPERR05G04320 transcript:LPERR05G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAGLSSPATSPAPTPAAWVGRRPRTARWSPRPPRRVVLAAAASSSSSSSSPDANSNSPGSGGGDEERGEDAAQMEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRLLKKAVEEERFEDAAKYRDELKILAPHSLLRCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPIQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMHIDKAGSSTFKCCNCTILSLSRSLETTTMKFSSKCDWVSEEFE >LPERR05G04320.2 pep chromosome:Lperr_V1.4:5:3377851:3380372:-1 gene:LPERR05G04320 transcript:LPERR05G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAGLSSPATSPAPTPAAWVGRRPRTARWSPRPPRRVVLAAAASSSSSSSSPDANSNSPGSGGGDEERGEDAAQMEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRLLKKAVEEERFEDAAKYRDELKILAPHSLLRCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPIQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDEVLL >LPERR05G04330.1 pep chromosome:Lperr_V1.4:5:3382908:3390429:1 gene:LPERR05G04330 transcript:LPERR05G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAATSTTSASSSPSLFAIWRRHVALCSSPSPPPTSSFSSPNRCPVAGAGAPVLPLGIRGGHLLLPSPLLPAARKTVATAAAAASPPADSSDGGGKAESAGISRTVQLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKIIPLALVHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSLLVLASLMPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSTGVNLQELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >LPERR05G04330.2 pep chromosome:Lperr_V1.4:5:3382908:3386578:1 gene:LPERR05G04330 transcript:LPERR05G04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAATSTTSASSSPSLFAIWRRHVALCSSPSPPPTSSFSSPNRCPVAGAGAPVLPLGIRGGHLLLPSPLLPAARKTVATAAAAASPPADSSDGGGKAESAGISRTVQLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKIIPLALVHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSLLVLASLMPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSTGVNLQELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSSK >LPERR05G04330.3 pep chromosome:Lperr_V1.4:5:3382908:3390429:1 gene:LPERR05G04330 transcript:LPERR05G04330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAATSTTSASSSPSLFAIWRRHVALCSSPSPPPTSSFSSPNRCPVAGAGAPVLPLGIRGGHLLLPSPLLPAARKTVATAAAAASPPADSSDGGGKAESAGISRTVQLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKIIPLALVHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSLLVLASLMPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSTGVNLQELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >LPERR05G04340.1 pep chromosome:Lperr_V1.4:5:3386963:3390173:-1 gene:LPERR05G04340 transcript:LPERR05G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLHGTLHVTVFEADALSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSKIYATIGLDKARVGRTRTITDETATPHWYESFHVYCAHLASDVVFTIKAKSRIGASLVGIGYLPVMEIFNGDEVEKWIPLVDDDRNPVGDGESKIHVKLQYFDISKDKSWGRGIRSGKYPGVPYTFFSQRQGCKVTLYQDAHIPDGFIPPIPLDGGRSYEPHRCWEDIFDAINGAKHLIYITGWSVYTEISLIRDAGRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFEGTDVNCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPSRRHDGSQRRIVSFVGGLDLCDGRYDTPCHSLFRTLNAEHDGDYHQPNFATAAAAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLLQLRELDVIIPPSPAMFPDDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYINAIRRAKSFIYIENQYFLGSSYAWKPDADGGVKPEDVGALHLIPKELSMKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAVAIQAKGIDADPKDYLTFFCLGNREAKNSGEYEPPEQADPDTGHFKAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPGHVAAAGRPARGQVHGFRTALWYEHLGAVDDAFRRPESIECVRKVNAMADRYWDIYAADDGEPEKDLPGHLLTYPVGVAGDGTITQLPGVEFFPDTEARVLGAKSDYLPPILTT >LPERR05G04350.1 pep chromosome:Lperr_V1.4:5:3392343:3393614:1 gene:LPERR05G04350 transcript:LPERR05G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSGITGAPRPRRRRRRAGRTPRVLLSAR >LPERR05G04360.1 pep chromosome:Lperr_V1.4:5:3394930:3398824:1 gene:LPERR05G04360 transcript:LPERR05G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRSGGVRLQCSEADWGCCFLALPPAASSVGGDSDGGFNLAWTLHQSFHPASGLFASVGQQVGVGFPATSSNPPSPETPRDPYMKYVTPEVEGHGVELREKGNKKKAFKLRIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGDSTAEVFRTIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQQKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEHD >LPERR05G04360.2 pep chromosome:Lperr_V1.4:5:3394930:3398979:1 gene:LPERR05G04360 transcript:LPERR05G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRSGGVRLQCSEADWGCCFLALPPAASSVGGDSDGGFNLAWTLHQSFHPASGLFASVGQQVGVGFPATSSNPPSPETPRDPYMKYVTPEVEGHGVELREKGNKKKAFKLRIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGDSTAEVFRTIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQQKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEHD >LPERR05G04370.1 pep chromosome:Lperr_V1.4:5:3416295:3421237:1 gene:LPERR05G04370 transcript:LPERR05G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAAAARGGSSGLPLASLNHISIVCRSLQESLTFYTDVLGFIPVRRPGSFNFDGAWLFNYGIGIHLLQSEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYVQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQVPSAPTTATQCVPSSTTNAIHVSEEAHISCA >LPERR05G04370.2 pep chromosome:Lperr_V1.4:5:3416295:3421237:1 gene:LPERR05G04370 transcript:LPERR05G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTWIPRVKWHRSRDPYLIPPTRPPSSISFSSLIIINITRLVRQNSVYSDFLIASSIQLFGDQRRRRRVSVESAAATMVNTAAAAARGGSSGLPLASLNHISIVCRSLQESLTFYTDVLGFIPVRRPGSFNFDGAWLFNYGIGIHLLQSEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYVQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQVPSAPTTATQCVPSSTTNAIHVSEEAHISCA >LPERR05G04380.1 pep chromosome:Lperr_V1.4:5:3424994:3425449:-1 gene:LPERR05G04380 transcript:LPERR05G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVEGETWWTMNVPEFEEVEEMWRWMPGFIGHSQRKLYYISDEYNPVPSNMSVWVLEDYYKDEWTVTTEQLCEKINYKYKIDLYNADCYELTIHPDFNLIYYVAGVDCTLMAYDMDPKESRVIRNLGSDYKLEYLPYVPLYSEILSNGD >LPERR05G04390.1 pep chromosome:Lperr_V1.4:5:3428973:3429654:1 gene:LPERR05G04390 transcript:LPERR05G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSGVRVLSRRLVKASDESIQPHVLPVSNINLIVPFQISMLCIYPRRRKYVGNVVTFTAVEASVEEINRKPLQEVASMVRDAIALPAPASSYDERIQESTGWRSTMGRDKKRYMDTVSVGLGSPAVSVTAFLSFAVDTEIGFGHAVMALPMSSFSARVCSAFVQLVARPGGDGSWITSAFVWPRLAAALEAGEIFKPVTTEYLGLWPASAVNYAGMITSKI >LPERR05G04400.1 pep chromosome:Lperr_V1.4:5:3434449:3438528:1 gene:LPERR05G04400 transcript:LPERR05G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGVHSLKPSAPTAATSPARPGHMLVLGAGFVGRYVSQRLLVQGWRVSGTCTSPAKKMELEMLGVDASVFDATSSSLANIQFLQDATHLLISIPPIPGIGDPLLSSNSDLQRTLKDSNLQWLCYLSSTSVYGDCGGAWVDEDHMVNPKSESAKLRYAAEKGWLNLTDNLDLSAFIFRLGGIYGPGRSAMDTIAKRKFLSQRQKLRESKQYTARIHVADIYQAILASISIRSARRIYNVVDDDPAPRAEVFAFARNLVERRHPSLLKDSAVSSTRDIIVAAEKRVSNARLKEELGVRLLHPTYKSGLESILDSWRTNSTFSKEISDI >LPERR05G04400.2 pep chromosome:Lperr_V1.4:5:3435130:3438528:1 gene:LPERR05G04400 transcript:LPERR05G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGVHSLKPSAPTAATSPARPGHMLVLGAGFVGRYVSQRLLVQGWRVSGTCTSPAKKMELEMLGVDASVFDATSSSLANIQFLQDATHLLISIPPIPGIGDPLLSSNSDLQRTLKDSNLQWLCYLSSTSVYGDCGGAWVDEDHMVNPKSESAKLRYAAEKGWLNLTDNLDLSAFIFRLGGIYGPGRSAMDTIAKRKFLSQRQKLRESKQYTARIHVADIYQAILASISIRSARRIYNVVDDDPAPRAEVFAFARNLVERRHPSLLKDSAVSSTRDIIVAAEKRVSNARLKEELGVRLLHPTYKSGLESILDSWRTNSTFSKEISDI >LPERR05G04410.1 pep chromosome:Lperr_V1.4:5:3438669:3439228:-1 gene:LPERR05G04410 transcript:LPERR05G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVDGRRRLTSSPEHRAVMRNYVGNVTTFTVAETSVEEIKQKTLAEVASMVRDAIAAPAPYEEHKGDKKRYVEMVSIGLGSPTVNVTAFSSFAVDTDFGFGHAAMAMPTSASAARLCSGFVQIVARPRGDGAFLWPQLAAALESDERCCVFKPVTAEYLGLRATSAGSAKRAGIITSKI >LPERR05G04420.1 pep chromosome:Lperr_V1.4:5:3439251:3439899:-1 gene:LPERR05G04420 transcript:LPERR05G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIINGGEVNVRVLRRRLVKASDESIQPHVLAVSNLVLLPQTIQVSILPSLLNYYFPLASRIVTDLVSGIPEIHCYNQGVELVVGKILFPYAADVALSVQVVSFACGGFTVACGTNHVVVDGCALTRAFGDGDAVAGILAETRPIRVPPDVAFTPLDGELQVNVLTTDESFVGRLYYIEASDIARLRAWTASG >LPERR05G04430.1 pep chromosome:Lperr_V1.4:5:3446510:3447397:-1 gene:LPERR05G04430 transcript:LPERR05G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGVHSPRPAAPTAAASLPRPRHMLVLGTGFVGRYVSQQLLAQGWRVSGTCTSPAKKTELEMLGVDASVFDATSSSCYVFESVHMIADVCVDRDDVTWPRDVDQFYNEKLIVEVLKIGVGVRSRNYGWILENRWVSDGEVIAGAIWRLMGDGKAIRRKAPELAVKAKGAPKKGGSSYDHVGRLMEAPLMARRSSVDV >LPERR05G04440.1 pep chromosome:Lperr_V1.4:5:3449280:3472268:-1 gene:LPERR05G04440 transcript:LPERR05G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIFHDRYDNDQKIMSYGVDSGKICLRPPTARGRGILRRRRRRRISPTVIYDYERLTHHFIRVPGTEEPSPSLNESPSLSFLPKCESIDLLGSCNGLLLCKKFDAGMTFNYVVCNPATKKWVALPDSIKHERTVRPYLGFDPVVSSHFHVFELVEETVDYDNGEFEEVDSDGNDDNVLEFYCNARVLGLRVYSSKTGAWTDVMDSGKNSVFFNGMLHFLAMESSVVTVVDVEGKNWRTIPLPHIEGSHPPSYDRVGFIDLSQGLLCFVCTDNYDGNNLSVWVLDDYNSEQWTLHHTISSTHIFGRSRRCPDLRHDYTLLTIKEGMMFFIFYDRDPPSIWLVSYEMGSGEVCFLHNFGHYFPMPCLPYLPLFLESIADGRTVSNQRPRRTAPPPPSQGEEEEMIGDDDLLVEILSRVPYKCLVRSKLVSRRWRRVISHRDHRRRLPRYHLDNTIAGFFYTDYHIERYDRQWMVHRLKAVTSAEPPPLVDPSFSFLPKCELLYLLGSCNGLLLLRCWKLNERKKFRTFNYVVCNPATKKFVVLPDSTWSRTIRPYLGFDPAVSFHFHVFELVQELVDYEEGDSELDSDGDEHVLGLRVYSSETGVWTDVMDSGWGININIQENNSKGVYFNGMLHLPAKESVVAVVDLEGKNWRTIPLPHKDGSPLYGAHPPCASHPEGFIDLSQGLLHFVSTDKYDARKISVWVLGDYNSGQWTLQHTASSSHLFGRRRRNLYFGYEYTLVLIHERKMFFIFSDRDQCDSKLMSYGMDSGEPNELHVFEFIEDGAMDVDGNFDQDNYGLHVIGVEIYSSETGAWIHRDNGWGYNIVINGNSNSVFLNSVLHLVTLKYVVAAVGVEGNTWRIIPMPQSEVEPFYGIGEGFIDLSRGCLYFIITMHAKYQYGLLRTTAVKSGLLFGRDYEVITVHPERNTIFIVGPDRRVLISYEMDSREVHFICKLGPAMAEEGASQEGIRSAESVLTDDLLVEVLSRLPFKSLCRSRCVSRRWHRVISNPDHRRPLHLTATLAGFLHSDPKSFISITGEEGSPFVLDPSLPFLPMCDVVEFVGTCNGLILCRCWGLTFPGKFEYLVVNPITEKWVKLCGWAERTRPVFLGFDPAVSIHFHVFEFLEDCDGYIIGVEIYSSKMKAWNPQDIGWDYGITTFDISNSKFFNNMLHLVAMEDVVGAVDVEGTTWRTIPKPQSDQGPLYGIGKGFIEFAQGCEVSVWVLEDYSRNQWTWRHTVSHLHLFGIERLRFGRDYKVVSIHPERNIIYLAVAPRNAHPDHRRLLPRYHLDSALAGFFHSKIFFNVTGEGRPFCDDVNFLDGCNGLLLCRQLRRSGPRAHRFDYLVANPATEQFVICPRSGRSHDNMQNVSPSHFHVFELVENGNWEIYSSKIGVWIHKDHGWGHRIKIYDYSNSVFFNNVLHFIATENVVAAVDAEGDTWRTIPIPQTEEPFYATGGFIDLSQDCLYYVNANDRAPHKVSVWALEDYSSKNWTLKHTVSHVYLFGTVLSGYHYKVLLSGYHYKVLLIHPERNTIYFVLPRDGKVMSYVMDSREVHFICKLECLSLPMAERASRRKEWIPPAEKLTDDLLVEILSRVPYKSLIRSKLVSPRWRRVISDPNHRNRLPRYHLDTTLAGFFNSESFINVRGEGRPLFDPSFPFLPKCDNLNILDSCNDLLLCRCERSTHPWRFEFDYLVVNPATEKWVALPDSGWSDRSQTAYLGFDPVVSSSHFHVFKFVEDRDEDDDWNVHHVDYGLYVEGAQIYSSKTGMWTRRDNDWGYTNHHFKSVFFNSMLHLITKEYVVAAVDVEGNTWRTIPMPQSLSELKPFYGHNSEGFINLARGCLYFVHTNRYERHNLSVWVLEDYNSGEWILKHTVSHLHLFGTEIILFGLHYKVVSIDPERNAIFSVLPQDRKVISYEMDSREVHFICELGSMAEGASRREGIPPMEKLSDDLLVDILSRVPYKSLCRSKCVSPRWRRVISHRDHRRLLPRYHLDADIAGFFHSESFFNLTAEGPPLVDPSLPFLPSYNDVEVVDTCNGLLLCRSGGSQIAGDTITW >LPERR05G04440.2 pep chromosome:Lperr_V1.4:5:3449280:3472268:-1 gene:LPERR05G04440 transcript:LPERR05G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIFHDRYDNDQKIMSYGVDSGKICLRPPTARGRGILRRRRRRRISPTVIYDYERLTHHFIRVPGTEEPSPSLNESPSLSFLPKCESIDLLGSCNGLLLCKKFDAGMTFNYVVCNPATKKWVALPDSIKHERTVRPYLGFDPVVSSHFHVFELVEETVDYDNGEFEEVDSDGNDDNVLEFYCNARVLGLRVYSSKTGAWTDVMDSGKNSVFFNGMLHFLAMESSVVTVVDVEGKNWRTIPLPHIEGSHPPSYDRVGFIDLSQGLLCFVCTDNYDGNNLSVWVLDDYNSEQWTLHHTISSTHIFGRSRRCPDLRHDYTLLTIKEGMMFFIFYDRDPPSIWLVSYEMGSGEVCFLHNFGHYFPMPCLPYLPLFLESIADGRTVSNQRPRRTAPPPPSQGEEEEMIGDDDLLVEILSRVPYKCLVRSKLVSRRWRRVISHRDHRRRLPRYHLDNTIAGFFYTDYHIERYDRQWMVHRLKAVTSAEPPPLVDPSFSFLPKCELLYLLGSCNGLLLLRCWKLNERKKFRTFNYVVCNPATKKFVVLPDSTWSRTIRPYLGFDPAVSFHFHVFELVQELVDYEEGDSELDSDGDEHVLGLRVYSSETGVWTDVMDSGWGININIQENNSKGVYFNGMLHLPAKESVVAVVDLEGKNWRTIPLPHKDGSPLYGAHPPCASHPEGFIDLSQGLLHFVSTDKYDARKISVWVLGDYNSGQWTLQHTASSSHLFGRRRRNLYFGYEYTLVLIHERKMFFIFSDRDQCDSKLMSYGMDSGEPNELHVFEFIEDGAMDVDGNFDQDNYGLHVIGVEIYSSETGAWIHRDNGWGYNIVINGNSNSVFLNSVLHLVTLKYVVAAVGVEGNTWRIIPMPQSEVEPFYGIGEGFIDLSRGCLYFIITMHAKYQYGLLRTTAVKSGLLFGRDYEVITVHPERNTIFIVGPDRRVLISYEMDSREVHFICKLGPAMAEEGASQEGIRSAESVLTDDLLVEAVAPRNAHPDHRRLLPRYHLDSALAGFFHSKIFFNVTGEGRPFCDDVNFLDGCNGLLLCRQLRRSGPRAHRFDYLVANPATEQFVICPRSGRSHDNMQNVSPSHFHVFELVENGNWEIYSSKIGVWIHKDHGWGHRIKIYDYSNSVFFNNVLHFIATENVVAAVDAEGDTWRTIPIPQTEEPFYATGGFIDLSQDCLYYVNANDRAPHKVSVWALEDYSSKNWTLKHTVSHVYLFGTVLSGYHYKVLLSGYHYKVLLIHPERNTIYFVLPRDGKVMSYVMDSREVHFICKLECLSLPMAERASRRKEWIPPAEKLTDDLLVEILSRVPYKSLIRSKLVSPRWRRVISDPNHRNRLPRYHLDTTLAGFFNSESFINVRGEGRPLFDPSFPFLPKCDNLNILDSCNDLLLCRCERSTHPWRFEFDYLVVNPATEKWVALPDSGWSDRSQTAYLGFDPVVSSSHFHVFKFVEDRDEDDDWNVHHVDYGLYVEGAQIYSSKTGMWTRRDNDWGYTNHHFKSVFFNSMLHLITKEYVVAAVDVEGNTWRTIPMPQSLSELKPFYGHNSEGFINLARGCLYFVHTNRYERHNLSVWVLEDYNSGEWILKHTVSHLHLFGTEIILFGLHYKVVSIDPERNAIFSVLPQDRKVISYEMDSREVHFICELGSMAEGASRREGIPPMEKLSDDLLVDILSRVPYKSLCRSKCVSPRWRRVISHRDHRRLLPRYHLDADIAGFFHSESFFNLTAEGPPLVDPSLPFLPSYNDVEVVDTCNGLLLCRSGGSQIAGDTITW >LPERR05G04450.1 pep chromosome:Lperr_V1.4:5:3472674:3479539:-1 gene:LPERR05G04450 transcript:LPERR05G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSSRTGVWSDKIDSGWGIKIRIQDVPKSVIFNGMLHVLVVESSVVAVVDVEGQNWRTIPLPHKDGSPLYGAQPLYSYTEKGSIDLSQGKMCFVSTDKYDAHKLSVWVLDDYCSDQWTLHHTVISTDLFGKMHPDLGHDYTLVSIQEHKMFFIFYDHDQHVHNIMSYGMDSGEDSMEWVDEHTTLVCKLYAQQVLKGNRPNNHLNAVGFDEVIEMFRQMTGIELTRRQLKNKWDKLKPDYVAWQKLTRRQTGTGWDHSKGDILGCGKFRKKPLQNLEDLKIMFSDIINDASDHWNPMSENPIIPQEEYEAGHEVGDDHQDDEDVQEVQEDAAAANDEVVEVTPPSGFARKRPRVGVDKEKKQRTGTALGTISRARRTARDDDDHQEEEKLTGNDDLLVEILSRVPYKSLIRSKLVSRRWRRVISDPDHRRRLPRYHLNSTIAGFFYKNKLDLPFYGLPDAVSQPLIDPSFSFLPKCDRLQLLASCNGLLLLCLWSKLTDPKKFNYVVCNPATKKWAALPESTGRLIKPRLGFDPTVSSHFHVFELEMDWLVNIFCGKSQVAGLRIYSSKTGV >LPERR05G04460.1 pep chromosome:Lperr_V1.4:5:3480756:3486135:-1 gene:LPERR05G04460 transcript:LPERR05G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKNVNGQVCQICGDTVGVSANGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDDEEEDVDDLDNEFDYKQGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGLTSVDWKERVDSWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILCFFFQYRVTHPVQDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLIIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >LPERR05G04470.1 pep chromosome:Lperr_V1.4:5:3488238:3493039:-1 gene:LPERR05G04470 transcript:LPERR05G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDGRLMSEDQNQGDQRASGDAEAGGLESKELRYSRSVGSITTTQRRCSDSVEISRSGNQGFRTRHVPPAMDPPSPKASHCLFCGIFRTEEPSQPPKPRSCNDENRGELSSY >LPERR05G04480.1 pep chromosome:Lperr_V1.4:5:3494963:3497374:-1 gene:LPERR05G04480 transcript:LPERR05G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAEGDEDPRWRRCNTDCVYFLASPFTCTKGAKCDYRHADGARFNRRNCWYWFQGNCVNPSCTFRHPPLENVNRTKSLADSLLSCCSTPVKAANPCYFYCNSHCSKGDQCPFLHVPLTSNDAVKASSKATTSNPAVSENYVGNEMVEESKNALMNPCQDTLWHIKEAPVSINPELNEAEAVSTALETSTDTDEYMKRSMVSDHGTGDSTMDHIEQDECRDSSPGFDVLVDDCLSNNNDLEHQLTTERGNKVLHAEYGIRDPVLYNMYYHDPEYYNYDRQAYLYLGHPHGAQEHGSEITLGHILPQSTEVISAEHRKFFNPINCTSSAADTGFPQQHTKIRQISKRRREKRKGAKGKKDCVKRRRCLEPKIGIQRIESTSSHQRKDYLMGECPPPAARTSFRGQKKKSRGKQRRVLSASSSGHSIADFTGPKTLAQIKEEKCKFNSSFSHSTARTHNVRSFSDDFEGPKSLTELLMTKSRPSIGK >LPERR05G04490.1 pep chromosome:Lperr_V1.4:5:3497556:3498776:-1 gene:LPERR05G04490 transcript:LPERR05G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHHEILTAPLGYKDNPYNPQTEEELARLTFDLELAHREARLQRAARDGAPMASRRGGVASSAWGCSLLPELELMQSAGRWKIQIGRGRTSGARSRVYLALGNGTEASSGEAVSGKLQKYR >LPERR05G04500.1 pep chromosome:Lperr_V1.4:5:3501312:3504529:-1 gene:LPERR05G04500 transcript:LPERR05G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWAAGMLRRAAGAGDGAGGSSLAARAVFARGFFDFKVDPSKVEKKEKDKARLKDEMSRSYFADISEIRDNAGKLAKANKILIPEIAAVKFPGLSVEFPDGRALNLPLVATPQNNDPQDGNAVDAQNDDRKAGDMAVPDASLVCLSFRASSQNMAESWSQPFLDAFSSAGNIQVYEPTPPGYPPGGDYPVMALGRGNLVSFIDSWLFSSSPLKGLFIKMMRKSNNPQRNIVYSFGDHYYFRKGLNIRNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDKK >LPERR05G04500.2 pep chromosome:Lperr_V1.4:5:3501312:3504529:-1 gene:LPERR05G04500 transcript:LPERR05G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWAAGMLRRAAGAGDGAGGSSLAARAVFARGFFDFKVDPSKVEKKEKDKARLKDEMSRSYFADISEIRDNAGKLAKANKILIPEIAAVKFPGLSVEFPDGRALNLPLVATPQNNDPQDGNAVDAQNDDRKAGDMAVPDASLVCLSFRASSQNMAESWSQPFLDAFSSAGNIQVYEVSFIDSWLFSSSPLKGLFIKMMRKSNNPQRNIVYSFGDHYYFRKGLNIRNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDKK >LPERR05G04510.1 pep chromosome:Lperr_V1.4:5:3504727:3507572:1 gene:LPERR05G04510 transcript:LPERR05G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSPPSQFDDSAARRQLLQQQQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLISELYDVESKRKAGVLPFH >LPERR05G04520.1 pep chromosome:Lperr_V1.4:5:3509554:3509895:-1 gene:LPERR05G04520 transcript:LPERR05G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSASSVSFSARPAAAPAASTVRPCAAVGRAGGESGKWWAPLVGWSGKADYIEAPSPAAPAVEEEGRRRPFVGGLTEEKARELRARMVETESFHDAMYHSAIASRLARSA >LPERR05G04530.1 pep chromosome:Lperr_V1.4:5:3514363:3520406:-1 gene:LPERR05G04530 transcript:LPERR05G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKGPFEGVINDFKGRLSCYRQDWHDGFRTGFRILAPTLYIFFASALPVVAFGEQLSKDTDGTLTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAVMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAIKGMLSEFSVPEGTDHSLPIYQFQWVYVNGLLGIIFSMGLLYTAINSRSARSSLYGTGWQRSFIADYGVPLMVVMWTALSYSLPSKIPSGVPRRLFTPLPWEPKSMKHWTVAKDLFSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSFMVLICGLLGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMIRTAKEGMMNNASSSEVYDKMQEVFIKMDDKTSANSVHKELKDLKDAVIPEGNGAGRVSEVFDPEKHLEAYLPVRVNEQRVSNLVQSMLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERIQLLFISPQRRYKILEGAHASFMESVPINKISAFTIFQLIYLLIVFGMTWIPVAGILFPLLFFFLIVIRQHVLPKFFDPRHLWELDAAEYEELEGVRRDPSSGEDGSVSRCSDASPEYASEILDEFTTNRGELKRRAKSFRDERLMQLNSVKMTRELSRIPSFTPPRS >LPERR05G04540.1 pep chromosome:Lperr_V1.4:5:3527458:3528558:1 gene:LPERR05G04540 transcript:LPERR05G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMRTARDDDDDDDQEEEELIGNDDLLVEILSRVSYKSLIRSKFVSRRNRILRFYDGLPAVEAPSLIDPSFSFLPKCECLQLLDSCNGLLLCRCEKIRKEISFVVCNPTTKKWVALPDSTRYLLRPHLGFDPVVSSHFHVFDFFKKWLVNLGYVPRLNKNIDSTRISLKIYSSKTGVWSDIIDSGWDIKIMMYGHPKSVFFNGMLHVLVVESSVVAVVDVEGKNWRTIPLPHKEGSPLYGAYPFHYSNKIDISQGFLCFASTHKDDINKLSVCVLDDYYCDQWTLHHTVSSMDLFGRSRWDRNLGYNYTLVSIQEQKRFFILYDRDQEVHKIIS >LPERR05G04550.1 pep chromosome:Lperr_V1.4:5:3532824:3533827:1 gene:LPERR05G04550 transcript:LPERR05G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTATNKRPRRMPAPPSREDVVGDQEEEEKLIGNDDLLVEILSCVPYKSLIRSKCVSRRWRRVISDPDHRRRLPRYELQPMDGFFYTEYHIESQSTAHRFTTVTSAERPPFVDPSFSFLPKCERLNLLDSCNGLLLLRCWTLKERKKFFKTFNYVLVQELVDYEEGDTELDFDGDGHVPGLKIYSSETEVWTDEMKSEWGINISIQENNSKGVYFNGMLHLPAKESVVAVVDLEGKNWRTIPLPHKDGSPLFGAHPPYASHTEGFIDLSQGLLHFVSTDKYDKNKISLWVLDN >LPERR05G04560.1 pep chromosome:Lperr_V1.4:5:3536278:3537910:-1 gene:LPERR05G04560 transcript:LPERR05G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLHFVLVPLPAQGHVIPMMDMARLIASHGDARVTVALTPVMASRHRAAVDHAARSGLAVDVAELEFPGPAMGLPVGCETFDMVRDMSLFNAFTDAVWALAAPLEVFVRGLPRRPDCLVADSCSPWTADVARRLGVPCRLVFHGPSVTYILTTHSLTRHGMYDRVAGDMDAFEVVPDMPVTVTTNRASSLGLFQLPGLERHRQDTLDAEATADGIVFNTCVAFEDAFLRRYAEAVAEGRPVWAVGPLCLLDADTEATAARGKRAVVDAARVVSWLDARAPASVLYVSFGSIARLHPPQAAELAAGLEASRHPFILVTKDDTDVAASELAARVGDRGVVIHGWAPQVTILSHPSIGGFLTHCGWNSTLESLSHGVPLLTWPHFADQFLNENLIVDVLGVGVRVGVKVPIIHVDVNNPVQVRHGEVASAVAELMGDGKAASARRARARELATEARAAMAEGGSSARDIADMVHHVSRRKESETDMVAVDLPTTTPENIAGDGGSHGKMASSVTSKVA >LPERR05G04570.1 pep chromosome:Lperr_V1.4:5:3545674:3547227:1 gene:LPERR05G04570 transcript:LPERR05G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLHFLLVPLPAQGHVIPMMDMARLIASHGDARVTVALTPVMASRHRAAVDHAARSGLAVDIAELEFPGPAMGFPPGCESYDMVSDMSLFAAFTDAVWAFAAPFEAFVRGLPRRPDCLVADSCSPWTADVARRLGVPCRLVFHGPSVTYILTTHSLTRHGMYDRVAGDMDAFEVPDMPVTVTTNRASSLGLFQLPGLERQQRDMLDAEATADGIVFNTCAAFEGAFLRRYAGTVAGGRPVWAVGPLFLLDADAEATASRGNRAVVDAARVMSWLDTQTPASVLYVSFGSIARLHPQQAEELAAGLEASHHPFILVTKDDADVAAGELAARVGDRGMVIRGWAPQVTILSHPSIGGFLTHCGWNSILEALSHGIPLLTWPKFTDQFLNENLVVDVLGAGVRTGVKVPLMNVDVNNPVQVRRGEVVGAVAELMGDGEAAAARRARARELAVEARAAMAEGGSSVCDITDMVRHVSQMSEGEREMVVVDPPPTTPENIAGGGGGHGKMASSVPSKVV >LPERR05G04580.1 pep chromosome:Lperr_V1.4:5:3556495:3560702:-1 gene:LPERR05G04580 transcript:LPERR05G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGASKGWIPPVEKLTDDLLVEILSRIPYKELCRFRCVSRRWLRVISHPDNRRRLPQYHLHDGIAGFFHYRSFINVTGEGHPLFFDPLLPFLPRCDNLGFVETCNGLLLCRCWGISDRQRIDYIVLKHWVVLPYSGQSDKLHNARLGFDPVVSSSHFHVFEFDGDQVGDDDENVNDGDFDDGPVKGVNIYSSKTGVWTHRDHGWDYDIAMLGYSNSVFFNNVLHFVTRNEAVAAVDVEGNTWRIIPTPHSEEREPFDEIGDGIIDLSRGYLHFVHSNCYNPYKVSVWILEDYSSEQWTLKHTVRLPRLLLNNISIAIYPEHNIIFLVLRHRRHLVSYEMDTGEQRRRIQNPNRRRRRLEPKRRRLQPPAISSAMAERASKGWIPPVEKLTDDLLVEILSRIPYKSLIRSKCVSRRWRRVISHPDNRRRLPRYHLDTTLAGFFHVNKFISVTGEGRQFVDPSFPFLPKCDSIIFVDSCNGLILCHCGWNSDTHRFDYTVFNPATQHWVVLPDSGGSNKLHYACLGFDPVVSSSHFHVFEFDEDNGVGDADKNVDDDDDDDDFFDGHVKGVNIYSSETLVWTHRDHGWDRDITILNNSNSVFFNNMLHFITMEDLVAAVDVEGNNWRLIPLPPREEEEPFNHLGERFIDLSQGCLYFVITSQFTADKVSVWVLEDYSSERWTLKHTVSLSHILVNSTSVAIHPERCIIFLVLSCERVLLSYEMVSREQVHFSFEAQFFPAFPFLHYVPFHSESLADVH >LPERR05G04590.1 pep chromosome:Lperr_V1.4:5:3563654:3565714:1 gene:LPERR05G04590 transcript:LPERR05G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLHDAPKPHFVLVPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNATRIQSTIDRARELNIPIQFVPFQLPCAEVGLPHGCENVDKVLEKDKVKKLTDAYSMLHEPLVLYLREQNVPPSCIISDLCQPWTGDVARELGIPRLMFNGFCAFSSLCRYLIHQDKVFENVTDGNELVVLPGFPHHLEVSKAKSPGNFNSPGFEKFSEKILDEEQRAEGVVTNSFYELEPLYVDAYQKRIGKRVWTIGPMFLCNTDTSTIADRGAKASVNEKHCMEWLDSMQRGSVLYVSFGSMARTVVSQLEEIALGLEASKRPFLWVIKYDDRPSEVDKVLPEGFEERTRGRGLIIQGWAPQALILSHPSVGGFVTHCGWNSTIEGISAGLPMITWPHCAEQFLNEELILNVLKVGLAMGVQSITNRTMKPHEISIVKRDHIERAVVELMGEETGANERRIRARELKEKARKAIDGGSSYSNIRQLIEYIMNRGTHTGVL >LPERR05G04600.1 pep chromosome:Lperr_V1.4:5:3574832:3578715:1 gene:LPERR05G04600 transcript:LPERR05G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPRGGASTTASPRAAVKSPATASSPDTRLTLPSSAAAVLAGVTPPRRSCDDAASCVVNDVDAFARTIATIRSKPPSSSGEQQHLATVLSHYAARWLPDASSPSGRFLLPPQSPTATWIRKRLLLESLVAALPPDDDDESPNSDGGVTCDFLLRLLRAGAMSGADASLLAELESRAARRLDQASLGAVMIPSFRCSGEASGTTSTATATATLLDVALVLRLVRGFLREGGKNGGNGGAAAAGRVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARAADDGLYRAVDTYLKAHPQTGKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFSEHNTKLTRLAESSSFRSLQSRSPADLLLSAGGARCPSKREAAAAAAAQQHELRRLREDVSRLQVQCHALQAQVDRIGSSERRRRGLFRWSALLFGGGGGGGVGDDSDSGLERTPLSGKKGVRATATATAAGGSGSTSASGTPAMARWRRSHS >LPERR05G04610.1 pep chromosome:Lperr_V1.4:5:3579846:3581210:-1 gene:LPERR05G04610 transcript:LPERR05G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDMSTTTTYTSHTACAEEFMQIKTLSTMPPSPTSPLAADTNAAAASATYFDFRAAQRVPESHAWTGLHEHEKDAAPVVNDDDAVPVVDMRDGDAAAADAAARAAEEWGGFLLVGHGVDGEVLERAEAQAARLFALPAPEKARAARTPATAYPKRMWAEGYTFTPAAVRDEFRRVWPDAGDDYHRFCSAMEDYDAAMRSLGERLLAVFFKALDLAGDGETERKIRDTLTSTIHLNMYPKCPDPERAVGLAAHTDSGFFTFILQSPVPGLQLLRRRPDRWVTVPGTPGALIVVVGDLFHVLTNGRFHSVLHRAIVNRESDRISMPYFLGPPANMKVAPLMSAGCLGRNKAVYRAVTWPEYMVIRDKLFGTSVSALTMIHVTEEASEES >LPERR05G04620.1 pep chromosome:Lperr_V1.4:5:3583208:3584817:-1 gene:LPERR05G04620 transcript:LPERR05G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMSYRDHVQRRHEEKGCLYACLFTLCCCFCCFETCECCLETLCCCC >LPERR05G04630.1 pep chromosome:Lperr_V1.4:5:3594437:3596819:-1 gene:LPERR05G04630 transcript:LPERR05G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEETALTLRLPGSSSSSSSSAAAVETDRKRAASIPDDDPDRRSAAADSPPSPKARVVGWPPVRSFRKNALAASASAAASKAKFVKVAVDGAPYLRKVDLEAYPGYDQLLAALQDKFFSHFTIRKVGNEEMKLVDAVSGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLGTESSWSIVLFLKAADVTFCMARLSIFCKNLILLFLF >LPERR05G04640.1 pep chromosome:Lperr_V1.4:5:3605805:3610312:1 gene:LPERR05G04640 transcript:LPERR05G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLVLGQYYFDSLLPRVPLPVTRQVTANLEKMKLPTKLSGVTGDSSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDDHRRSSSPSRRSGSREVPDRDRSSRDRDRSSRDYDRSSLDRDRDRDRTSRDYDRSSRERGRDRDIRDYHRRDHDSRDRDYRSRHSSERQDDRRDRESSRYRRSSSRHRSRSRSRSRSRSRSRSRGRNERSSPFGNAGKEKTAAISSNLAKLKDLYGDVAEKDDGEAPRRDSCAEEVIRLGGPRWR >LPERR05G04640.2 pep chromosome:Lperr_V1.4:5:3605805:3610384:1 gene:LPERR05G04640 transcript:LPERR05G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLVLGQYYFDSLLPRVPLPVTRQVTANLEKMKLPTKLSGVTGDSSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDDHRRSSSPSRRSGSREVPDRDRSSRDRDRSSRDYDRSSLDRDRDRDRTSRDYDRSSRERGRDRDIRDYHRRDHDSRDRDYRSRHSSERQDDRRDRESSRYRRSSSRHRSRSRSRSRSRSRSRSRGRNERSSPFGNAGKEKTAAISSNLAKLKDLYGDVAEKDDGEAPRRDSCAEEVIRLGGPRWR >LPERR05G04640.3 pep chromosome:Lperr_V1.4:5:3606993:3610384:1 gene:LPERR05G04640 transcript:LPERR05G04640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLVLGQYYFDSLLPRVPLPVTRQVTANLEKMKLPTKLSGVTGDSSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDDHRRSSSPSRRSGSREVPDRDRSSRDRDRSSRDYDRSSLDRDRDRDRTSRDYDRSSRERGRDRDIRDYHRRDHDSRDRDYRSRHSSERQDDRRDRESSRYRRSSSRHRSRSRSRSRSRSRSRSRGRNERSSPFGNAGKEKTAAISSNLAKLKDLYGDVAEKDDGEAPRRDSCAEEVIRLGGPRWR >LPERR05G04650.1 pep chromosome:Lperr_V1.4:5:3611316:3615770:1 gene:LPERR05G04650 transcript:LPERR05G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESGTGSEETDNFPLLAEHMENTGHHGHAVDIPWDSSPSTSRRDNRNGFDQLPRILESSSGTPPTPPNAQNGHLARRNDNRGRRQQSPLNSGCWISVELVVNVSQIIAAICVLSVSRHEHPHSPLFEWVIGYTVGCIATLPHLYWRYLHRNLPTTGQESTVQNIPPNNTPDANSYGETGTNGVSGNNEATVNPRVQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDFNQNRGASAEAINALGTCKFKSKKVRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYADNDDLRELPCTHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHNDSRRVGNDIESQQ >LPERR05G04650.2 pep chromosome:Lperr_V1.4:5:3611318:3615770:1 gene:LPERR05G04650 transcript:LPERR05G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESGTGSEETDNFPLLAEHMENTGHHGHAVDIPWDSSPSTSRRDNRNGFDQLPRILESSSGTPPTPPNAQNGHLARRNDNRGRRQQSPLNSGCWISVELVVNVSQIIAAICVLSVSRHEHPHSPLFEWVIGYTVGCIATLPHLYWRYLHRNLPTTGQESTVQNIPPNNTPDANSYGETGTNGVSGNNEATVNPRVQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDFNQNRGASAEAINALGTCKFKSKKVRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYADNDDLRELPCTHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHNDSRRVGNDIESQQ >LPERR05G04650.3 pep chromosome:Lperr_V1.4:5:3611179:3615770:1 gene:LPERR05G04650 transcript:LPERR05G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGHHGHAVDIPWDSSPSTSRRDNRNGFDQLPRILESSSGTPPTPPNAQNGHLARRNDNRGRRQQSPLNSGCWISVELVVNVSQIIAAICVLSVSRHEHPHSPLFEWVIGYTVGCIATLPHLYWRYLHRNLPTTGQESTVQNIPPNNTPDANSYGETGTNGVSGNNEATVNPRVQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDFNQNRGASAEAINALGTCKFKSKKVRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYADNDDLRELPCTHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHNDSRRVGNDIESQQ >LPERR05G04650.4 pep chromosome:Lperr_V1.4:5:3611405:3615770:1 gene:LPERR05G04650 transcript:LPERR05G04650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESGTGSEETDNFPLLAEHMENTGHHGHAVDIPWDSSPSTSRRDNRNGFDQLPRILESSSGTPPTPPNAQNGHLARRNDNRGRRQQSPLNSGCWISVELVVNVSQIIAAICVLSVSRHEHPHSPLFEWVIGYTVGCIATLPHLYWRYLHRNLPTTGQESTVQNIPPNNTPDANSYGETGTNGVSGNNEATVNPRVQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDFNQNRGASAEAINALGTCKFKSKKVRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYADNDDLRELPCTHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHNDSRRVGNDIESQQ >LPERR05G04660.1 pep chromosome:Lperr_V1.4:5:3622467:3622745:1 gene:LPERR05G04660 transcript:LPERR05G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAITSASASVCSTAYRNLSTSPADDTGTPLPSSSSSSELQTPSQRRKRMKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >LPERR05G04670.1 pep chromosome:Lperr_V1.4:5:3633031:3634431:-1 gene:LPERR05G04670 transcript:LPERR05G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADAKTVERLSQRLVHPSSPTPTSPLRLSWLDRYPTQMALIESLHVFKPDPSRAHLSPARSIERALATALVDYYPLAGRLAVFPDSGELHVDCAGGDAGGGVWFIEAEVRCRLEDVDYLEYPLAIDKDELLPHPRRRPCREEEDKLILLVQVTTFGCGGFVVGFRFSHAVADGPGAAQFMAAVGELARGGERITVSPSWGRDAVPDPAGAAAVGSLPDPAGARKLEYLAVDISADYINHLKSQFAAATGGARCSAFEVLIAKAWQSRTRAAGFDDESTPINLCFAMNARPLLHGRLPNGGEGFYGNCYYIMRVASTAGRVAGATVTEVVKMIKEGKKRMPTEFGRWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVTAFHDAMVDTN >LPERR05G04680.1 pep chromosome:Lperr_V1.4:5:3656891:3660778:1 gene:LPERR05G04680 transcript:LPERR05G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAEEVKIGTGNVFAALETLKRKKKPAAAGKKRAAAAEEEERERERKAEVFWAPAPLTAKSWADVEDDDDDDDYFATTAPPRPVWGTQQQVAADDADEAHRDDDEHAALEQELEELDAVLAEFGLSGQSGNAAQNETNGKKVTDQAADGERKEDAPAPAESKTSKKKKAKKDRSAKEAKEAQELNGAEEDAAGAEPDEEAASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARNAKLAAAKKKEKSHYNQQPVR >LPERR05G04690.1 pep chromosome:Lperr_V1.4:5:3668550:3669377:-1 gene:LPERR05G04690 transcript:LPERR05G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVLGGAGGETTATVVLAHGYGGSRRIWDDVAPSLAKTFRVVVFDWSFSGEVTVDNDAAVDDEMGCSYFDFAGELVAMMDDLSLSDTVFVGHSMSGMIGCIASVSRPDLFRRLVLVGASPRYINGDEGDDYVGGFDRGEVDAMLAAIEADFAAWAPAFAEVVVGGEPAAAVARFAKQLGRMRPGTALRVMRAVLTCDVRGVLRDVAAPCTVVHCERDAVAPLDVALYLRRALASGAGGEGAEVVVMESVGHFPQLTAPVEFVRVVESIVLGDQ >LPERR05G04700.1 pep chromosome:Lperr_V1.4:5:3676882:3678354:-1 gene:LPERR05G04700 transcript:LPERR05G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQAHFLIVTYPSQGHVTPARHLARRLVHAGARATVCVPFSAFRKLFPNSAADDGGEVVVDDGGGGVAYAAYSDGYDGGFDRAADDHTNYMAQVRSEGSRTVAAVLRRLAGGGRPVTCAVYTLLLPWVADVARRHGVAHAAVFWIQPTTALAAYFHYFRGHRHAVLAAAAGDGEVRLPLLPPLQVRDLPSFLAITSDDDPFAFVLPEFESLIDILDPKSGETRTYVLANTFDAMERDAIASLAPHIDVVAVGPVLSFLHDGDDEISSSHPNDLFDHDDGGDYLAWLDTKPARSVIYISFGSSSVMSKQQVAEIAAAMANTNKPFLWVIRKDNCDNEDVAAAIKKLITAAAMAVDGGGEGGMVVTWCDQARVLAHRSVGCFVTHCGWNSTVEAVACGVPVVAAPQYSDQGTNAWLVERMGVGVRAALGDGGGVVEAAELTRCVEAVMESEAVAASAAAWKAEARAAVAAGGKSERNLDEFVRRFMPK >LPERR05G04710.1 pep chromosome:Lperr_V1.4:5:3690936:3692171:-1 gene:LPERR05G04710 transcript:LPERR05G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVVFVVAVVAAVVWLAGAGGEAAVVVDPAWKFPSLRMRDAYVALQTWKQTAIFSDPGNLTGDWVGPSVCAYTGVFCAPLPDDSSSSGGGELAVAGIDLNHGDIAGYLPPELGKLTDLALLHLNSNRFCGVVPTSLRRLRRLHELDLSNNRLVGAFPSAVVLALPSLRFLDLRYNEFEGAVPSELFDKNLDAIFLNHNRLRFSLPDNFGNSPASVIVLADNDFGGCLPASLGNMSATLNELILINNGINSCVPPEIGMLREVTVFDVSFNKLAGEIPPEVKGMRKLEQLDFAHNRLTGAVPEAVCDLPRLKNFTISYNFLTGEPPSCARVVPADGGDRRNCLPNRPAQRTPQQCAAFYALPPVDCSAFQCKPFVRSSPPLPPPPPPAYPGPLPPVYPVPYASPPPPPLYR >LPERR05G04720.1 pep chromosome:Lperr_V1.4:5:3703228:3707504:-1 gene:LPERR05G04720 transcript:LPERR05G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEETQPEEPSAEESQTEVKGPPAGNPLAGLLNAIAVIASGVLAGLFGPSQEENKALQSTISTMEIKLAENEATMYNLRENYEKQILNEQAEQKKQTRMFQEKEASLLDQLTLTKITVTSLKEELRREKELVEQLKHEIHRLKDSIAQGEEDRHVFEGKFREQLETLDILKDKVNLLSQEVNAKEQSIRELSSSLSSKEEDYQKLQLIYNETEASLEYATSKIEQLEEDYSATKDDLNSKMSSIDSLNKEVQTLYTAKSGTEEKISELTKQYADLAAASEMRAIRDSELLFEKDNMLNQLEENLSSALRDTSKNKTIIAELNNELETNRSMLDNEAEAHKKLSGILQSTEGALTDSRNKVFNLSEELNRVKVSNQQLVTKISKLTDESNIAKQVLTNKIAEAEAVSKVLSDDLASVRDILQKTQEKLDVTSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLTKELEALVEQSIAESEARRALQSDLDEVTNSQKEVDESTQFLSKRLDSANSRISSIEEEKVMLSEALEQQKTSTMEARKDMEDAQNLIKMIGTERENFEMRSKKLEEELATAKGEILRLRRQISASGSLKTELPETSASATSNTNQPEQHRNDPVQNTNNAVAGETRSPTRIYRRRKTKRAT >LPERR05G04730.1 pep chromosome:Lperr_V1.4:5:3708981:3713697:1 gene:LPERR05G04730 transcript:LPERR05G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWAISAPITTRLLNHGFDESEKLRDLEARILPRLALRQQQAERIPPERMAHLERWSGRLRSAFYDAEDIVDVADYHRLRKQVTHSGSKLMFDKLQHIVSGKTSKLKKSLEKLQNIIEEGFQYLPPLASTISNDNDIANPANKETGIVTTSSASSQFIIGRDEERDEIVNMLRETPDDDEPDSNDKCYSTIGIYGVAGSGKTTLAQHVCSYERAAKYFFPVIWIHVSQSFSVRKIYQEMLEAVSGEPSREFSNLDTLQIKIEAELSGRRFLLVLDNIWTCRNVNAQYKLDQLLSPLKVGKRGSKVRDLLVTSRFKDAAKSAGAQNPMKIPDLNEKDYFNLFMHYALGGMSTDDHKLETLKMIGADIVKNLKASPLAARLVGSQLRKQLNATFWRRVGDQSMLADTMGVLWWSYQQLDEHIRRCFAYCSMFPQGHMFERDNLVKLWMAEGFMNTTHSVEEMEIVGQIYFDELVSCSFIQAKQSTSSDENEQFSMHDLLHELAVMVAGKDWFCFEYGDQIKEFPPDVLHLFVRSYDPMKLTELICKLKKLRTLIFFYGSITIGALESMLKKLKKLRILDLTDGGALEFSHFENMSNLVSLWHIRNPGRHLNYSVISSFPGVGEMKSLRELSDFTVRKEKGYELQQLMIRSLLIEQASQIEPSLKLMPHPEEIDRFTDEQTDMVDAIVMDIFGKCDSVLQKCLRGSTSLTRLTLQGIPFIKSIPSEVMRSLSMLQYLFIISCAQFTHLQGLNHLSSMRRFEIRKCPNLTALQEDEKGRALHALYIDDIHIMPKLLSRECFISLQSLVFWEAEEPREEEILQQFSYLTYLIICFCNWNRLPENLVNLTCLQQLSLLYCKNIQSLPTLPSSRRVFMLSTCDQLFMKSCQTVGHQNYQKIAHVPWKDFFSYE >LPERR05G04740.1 pep chromosome:Lperr_V1.4:5:3716675:3722880:-1 gene:LPERR05G04740 transcript:LPERR05G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPQPALPPHRTSFAVTSTCHEDTDGAEQVDRSSGDIFSSLSNRAGKPRAEEWKKFTRIPNKKGKIERASADEGSSAPNPNDIISSAAIGNTVNGKRKRPTNGTSTGVTDHVGANTHPWSKAEFSNRIQQVVHQLEEAVSEVLRLRGSDIFSSSKLHQNTIPNLRLRTSSLLQRKMYGRVEEKNSIIKLMSQATSDGVAVLPIVGIGGIGKTALAQFVYNDPVVKSTFQQRIWVWVSRNFDEVRVTREMLDVVCHQMHEGLCSFAKLQDILMTHMKSERFLLVLDDVWDDMNNCRLNKLLAPLKYNIANGNVIIVTTRILSVAKRIGTVKPIKLGALNSEDSWMLFKSCAFGDENHQPCGSLRTIGQKLIDKLKGNPLAVETAGELLCEHHTVDHWNGILKNEDWKSLQLSGGIMQSLKRSYDLLPHHLQQCFLYCSTFPIHFYFCGEDLIRLWISQGFVKRARSNKRLEEIGEGYLTLLVNLGFFQQVETEESSPGNQTWYAMYGLMHDFAKSISRTDFATIDGPECTQILPTIRHLLILTDSSFCKDNYGNIMRNKRFEERLQSIATSANKLRTLVLIGQYDSFFFNSFKNVFQRAQNLRVLHIAAKCADFNSCQCEMVKHTHIRYIKLRTVQKDGVLPQVSSKFFHLQVLDVGSHIVPTTSNGINYLVSLRHLVAGKGVCSSIIGIGKMTSLQEIDDFSVQNSSGLEIAQLQSMNELVHLGVSRLERVTSQQKACGASLKDKLNLQKLHLSWRGAKDGYDSDMSYEKRYDSGESSESENDTDGSFENESGSGKSSENGNNINRSSESENVIGMISESSMYMETGRRLPTVNTNSAPSLEHHGGQSLEPSMETSEVHVLDGLEPHQSLKHLRISGYNGATSPTWLASSLTCLQTLHLEKCGKWRTLSLERLLLLRKIVLIKMNNVEEVSIPSPEELVLIGMQKLYRCICTSMGDLISSLRVLKIKRCPVFKVFPLFEYCRQFQIEWSSCSKLTIHDCPNFLVHNPLPPSNTVSKLSISGVLSLPLISGSSSETLSIRCIPDTLIVDDKVLSFKNLKFLKRLYIENCPSLNIPFDGFKQLISLKHLEICLCGSLFSSHVPQEFTLKDMTTENCNAFPSLEFLVIKQCGITGEWVWPSIYPDDMLTTSAQDGLMCIPSNLISSLKKISISSCAGLRFYGSKEGFTALTSLEKLVVRNCPELLLSLVRNNGYDEANGRWLLPESLGELEIGFDDSLKMLEPCFPGNLTRLKQLEVWNIKGLISVRLNHCTALQELEFYCCESLKSLEGLEFLSSLKLLRACECRCLRISDHGEDERCLLPQSLEELYVTEYSHETLKPCFLINLTCLKKLQVFGAATLKSLELQSCTALEHLKIKYCESLATLEGLQFLHSLRHLKITKCPSLPLCLESLSGQGYELCRGLERLQIDDPYMLTTSFCQHLNSLQFLELEKISRGIFFGGEQMERLTDGQERALQLLTSLQELRFKYCDSLKDIPRGLHSLPSLKRLDISHCERITRLPEKGLPPSLEELDISYCSKELTGQCRMLLASKVMVIIADEDED >LPERR05G04750.1 pep chromosome:Lperr_V1.4:5:3725665:3727387:-1 gene:LPERR05G04750 transcript:LPERR05G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAAVPDAGDAADGAGAGAGNLRGGAAALFKVPRLLVGLADWDARRAGLCALIDDDGDGAVKSRLQMRPATTPRPCRPSPPDLAAAGMSVPCGRFYGDVKSGPETTVSGGAHLTAAVLADFPATGSLPATIGCPPAAARYIGSVSATEVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGDEPRRRFCEFCNMKKLDDSDLSFYRGEKVFCSGDCRGQAILTEDEESNTAVSPVSIGSSSSFSEDDVFMAGMAVLT >LPERR05G04750.2 pep chromosome:Lperr_V1.4:5:3725667:3727066:-1 gene:LPERR05G04750 transcript:LPERR05G04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAAVPDAGDAADGAGAGAGNLRGGAAALFKVPRLLVGLADWDARRAGLCALIDDDGDGAVKSRLQMRPATTPRPCRPSPPDLAAAGMSVPCGRFYGDVKSGPETTVSGGAHLTAAVLADFPATGSLPATIGCPPAAARYIGSVSATEVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGDEPRRRFCEFCNMKKLDDSDLSFYRGEKVFCSGDCRGQAILTEDEESNTAVSPVSIGSSSSFSEDDVFMAGMAVLT >LPERR05G04760.1 pep chromosome:Lperr_V1.4:5:3730572:3739160:-1 gene:LPERR05G04760 transcript:LPERR05G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEFRFFLSCDISLPLAFRVDRLLHYPMPTQPSSPQDSTALMTNKKVSELFVECKLYIDGIQFGLPVNTKLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKYEKTENLYPSLVVEFCSFEHRVVFQESGANFYAPTPVSLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSQERKDLQKIIKFPPTRSLHVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDIQEAKQAAELIRKWEKIDVADALELLSPDFESEEVRAYAVSILERADDEELLCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELVAQLGSIMKDVKQVRGSAQKKIDKLRQLLSGVFSELTNFDEPIRSPLAPTVLLSGVMPQESSIFKSALHPLRLAFKTANGGTSKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATAQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITSEENVGLKLQEKFRLDLEDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >LPERR05G04770.1 pep chromosome:Lperr_V1.4:5:3741339:3744567:1 gene:LPERR05G04770 transcript:LPERR05G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSASVAAAASVGGEDGVMVEAASAEDSGGVESTITALLCDVSQQVQEALQGMLKMTSEIGQCGGEIEAEIERAKEAVAVKGRALDDDRDRFQKAALAVLNILGGGGGAGDGGI >LPERR05G04780.1 pep chromosome:Lperr_V1.4:5:3749056:3755623:1 gene:LPERR05G04780 transcript:LPERR05G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNESCIVAVARQRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRRFDDPELQRDIGSFPFRVSEGPDGFPLVHARYLGEERVFTPTQLLAMVLSNLKGIAEGNLNSAVYDCCIGIPAYFTDLQRRAVVDAATIAGLRPLSLFHETTATALAYGIYKTDLPEHDQLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFAEKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISASVLERVKGPLEKALAEAGLTTENVHSVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVKDGFPFSIAFSWKADSENTECQQSFVFPKGCPVPCVNDLTFYKSSTFAVDVMNVSVGDLQMAQKISTYTIGPFQSSKSEKAKLKVKACLNIYGIVCVESAVMLEEDVEVPVSTMNETLKDATKMDTDDAPSDPACVTDENLQDSKCAADAADGAAENGVPDSEERSAPMDTDPKLGPLRKIVKKVDVPISELVYGALGAKGLEKAVENEFEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVVSEDKEALIAKLQEVEDWLYEDGEDETKGVYMAKLEELEKIGYPIEMRYKEWQERGSAIDQLVHCIKSFREAALSNSQAFDHIDMPDKQKVIDGCSDTEIWLVEKMQQQDALPKHADPVLVISDIKKAAESLDRLCKPIMTKPKPAPKPQTPPPTEAPTTPEPQTPEHQQPNGASEAGEATSEGGAGAEEDQEPTAEEMDTDNPDGSAEPSA >LPERR05G04790.1 pep chromosome:Lperr_V1.4:5:3760134:3761723:1 gene:LPERR05G04790 transcript:LPERR05G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITLPSFSFLLSYPEFLLAAVSFLAISSLRAARRRHRHAVPVPVSWPVVGMLPFVVSNLGHLLDAATGALRELGCTFMFRGPWLAGGADFLVTCDPAILHHCLVANHSNYDKGHDFAEMFDVVGEGLLVADAASWAPQRRLAAAVFSSAAFRSFVLSTVVRQTRRLLVPFLEHVANGRLVEMEEVLMRYSFDVSYAVAFAADVDSLSVANAAAAFPAFGEATRVTGEAVMFRHIVPARVWKVMRWLNVGVERRLADAKAVLDESVYREIANRKKKSAAVAGEGHDLLSMYMAYPRDADMTDEERDRSLRDAAVGFMFAAKDLIAAAMTWLLYMLATHPRVEAKILAELTSLHHHHHAGGDGEHREHVVFDGEAVRSATYLHAVVLETLRLYPPAPFEEKEATGDDELPGGTTVRKGTRVVFCLYAMGRVEGIWGEDCWEFRPERWLTGTGKIRQEPTYKFATFNAGPRSCLGRDLGITNIKIATAAIVYNFRVELAGGGHVVEPKDSVVLHTKNGLMVRVKRREEAA >LPERR05G04800.1 pep chromosome:Lperr_V1.4:5:3762384:3765812:-1 gene:LPERR05G04800 transcript:LPERR05G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERRLPFFGCGIGWCWFLVPLDLVRCSYSLLLQVLQPGSSRATRTCCFCCSGSHFYSSNYCYPFCFADNVYTETIEWLCLSKLTEMIAARHCCRSLLDEALTETLHRFFNYLPECIGKP >LPERR05G04800.2 pep chromosome:Lperr_V1.4:5:3762828:3765812:-1 gene:LPERR05G04800 transcript:LPERR05G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERRLPFFGCGIGWCWFLVPLDLVRCSYSLLLQVLQPGSSRATRTCCFCCSGKSFVCPVLNALLKKQSCV >LPERR05G04810.1 pep chromosome:Lperr_V1.4:5:3777702:3779346:1 gene:LPERR05G04810 transcript:LPERR05G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATAVTAQAAYRARGAPWDLAFVLFSYTALALLFLCLSLYERLPQPPPPEQGEEDDTTARRRRRRLKMAVWALSTALSVAFAWRVAAVMPSPALKAVIWGMTSTVSVAGFFVLFVYRPADVSSYTELDTCNCNKDEGRSSPKLEQIV >LPERR05G04820.1 pep chromosome:Lperr_V1.4:5:3781863:3782081:1 gene:LPERR05G04820 transcript:LPERR05G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRLLRHAWELSAALSCGFAYQVERITSVAMAVVVGGFHVLILNDDGHGSEDCHHFVVDDGEASVDIVA >LPERR05G04830.1 pep chromosome:Lperr_V1.4:5:3784471:3785004:1 gene:LPERR05G04830 transcript:LPERR05G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNAKVAAAGNADSTDSGELHDAIAAHLQGWCRACLHLASLAFLACAFVQTARRARGDPWDLAFVVAAYAILAALFAVLRRAEHLTPESPAHERRQLQRAAWALTTVLSCTFAYRVARIMPAAMAVAVWAMTATVVAGGLYFLVLNDGRGSEPDDCHVADDGKSTFHKIPVDDIV >LPERR05G04840.1 pep chromosome:Lperr_V1.4:5:3788082:3788507:-1 gene:LPERR05G04840 transcript:LPERR05G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPSLLFRAAASLLRPATGTPPPLPAPPQRRLLLFPAHHHHQRARAFSAAAAAGDYGKDVDEVNRKFAEAREEIEAAMDSKETVYFDEEAACARDAAGEALSAYESLLERLPPAEADSLRRSMGLKMEQLKAELNQLDE >LPERR05G04850.1 pep chromosome:Lperr_V1.4:5:3789654:3792158:-1 gene:LPERR05G04850 transcript:LPERR05G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFLSTAAAASQPLLPVRGLSGHKLPTTALSFPLRPRRLPPLSSLPPESHRLSSSSRSPTAGLLSPIISTSRTLLFLLVASLLSFSISGARPLPSLASPPPTTQQESKEENQQEESQQPQEEEENVEAEVEAAWQKQDDDDDDNEEEKEKEKEEDDEEVRMYLEILRADPGDVEALKCVLFAKMRRADWGGALGFARRLREAEPGEEVEWRLMEALLHELKGELVEAELVFREVLAEKPLLVRALHGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQTLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFINDVIQAARVESGERLQKDFGSEFLSKK >LPERR05G04860.1 pep chromosome:Lperr_V1.4:5:3793608:3796332:-1 gene:LPERR05G04860 transcript:LPERR05G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLQPMPNSGTLPFLPAGPAYPLPFHSRKTSTFSTPPANKSPSPLARLRLRRRRPRTLTLALPAAAAQAATMVSDGAYAGIDRVLWTEAEIAARVSEVAAELTADLRGLRLREPAVFVGVATGAFVFLADLVRRVDAPLAVDLVRAESYGDGTVSSGRPTITSDLKVDVAGKHVVVVEDIVDTGNTVSCLIAHLEKKGASSISVCTFLDKPARRSADFQLVGDGKFYRGFECPDYFVVGYGMDYAEFYRNLPYVGVLKAEMYKKDTSN >LPERR05G04870.1 pep chromosome:Lperr_V1.4:5:3798347:3802705:1 gene:LPERR05G04870 transcript:LPERR05G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >LPERR05G04880.1 pep chromosome:Lperr_V1.4:5:3813015:3818810:1 gene:LPERR05G04880 transcript:LPERR05G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHLFNNISLGGRGGKNPGQFKLYSGGLAWKKQGGGKTIEVEKSDITSVTWMVIPRSYQLGVSTKEGLFYRFFGFREQDVSALNNFIQKNMGITPEEKQLSVSGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQILWQNILSKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAAIERDLMLSEEVLAEKYKDRLERSYRGLVHEVFSKVIRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKNMKILNLGDGQGRAGGVAAVLQSTDDDAVDPHLERIKNQTGDDESDDEDEDFVADKYDSGSPTDDSGDEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQIDKKRYAEESAAYRGAAAMDVDSGPASD >LPERR05G04880.2 pep chromosome:Lperr_V1.4:5:3813025:3818810:1 gene:LPERR05G04880 transcript:LPERR05G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHLFNNISLGGRGGKNPGQFKLYSGGLAWKKQGGGKTIEVEKSDITSVTWMVIPRSYQLGVSTKEGLFYRFFGFREQDVSALNNFIQKNMGITPEEKQLSVSGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQILWQNILSKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAAIERDLMLSEEVLAEKYKDRLERSYRGLVHEVFSKVIRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKNMKILNLGDGQGRAGGVAAVLQSTDDDAVDPHLERIKNQTGDDESDDEDEDFVADKYDSGSPTDDSGDEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQIDKKRYAEESAAYRGAAAMDVDSGPASD >LPERR05G04890.1 pep chromosome:Lperr_V1.4:5:3819032:3822135:1 gene:LPERR05G04890 transcript:LPERR05G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVCAFFHEFTLDVFLDRVAEFASLTDTAAVHVLQIFRNSGEFDHAGRRCPDAAPRVPVYGFDDPIQEDDNDDAMVCIVRVPRHRLPIQEWFVRMKLFIVAEQESMVLHHLFNRLIGWD >LPERR05G04890.2 pep chromosome:Lperr_V1.4:5:3819032:3822049:1 gene:LPERR05G04890 transcript:LPERR05G04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVCAFFHEFTLDVFLDRVAEFASLTDTAAVHVLQIFRNSGEFDHAGRRCPDAAPRVPVYGFDDPIQEDDNDDAMVCIVRVPRHRLPIQEWFVRMKLFIVAEQESMCS >LPERR05G04900.1 pep chromosome:Lperr_V1.4:5:3823900:3828917:1 gene:LPERR05G04900 transcript:LPERR05G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQAGMGLTRIAILIGAGVTGSVVLRNGRLSEILGELQEILDKGEKGKDGEAGGGDMTDALTRQVRNLAMEVKQLASSRGSITVLNAGSNQAGVSGLIVPAATVGVLGYGYMWWKGTSFADLMYVTKRNMANAVSGMTKHLEQVQISLAAAKRHLTQRISKLDDKLDQQKALSGQIRDDVSDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQYIEENGGKLPERLDGPKIAGKRFAGQDLLQGLQHLAIESGNYDKETFKAFKKNSDSR >LPERR05G04910.1 pep chromosome:Lperr_V1.4:5:3831161:3837912:-1 gene:LPERR05G04910 transcript:LPERR05G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDSSKIKRARATNWPTVMSKFVLDWYLQKKKEMPPKTKFKKMHHHYCTSILNAKFETTFTVDQVHRHFRRFKEVWNIVARYMNVSGGRFDKKNKMLILPPATMASLPIAERAILAKPIPFFDHIQALFGEWSVDGAPMTDPIADADINDDDMEIQDPLNMMANCADARDPNDLDKYVLEAEDDCHEVAASSGAVPCEVMSDTSAPSAQPSGSFAESTMAALKPGLKKCKVVSKAKPNPNPKPQVPVPHNGRNTDKINGTLVGIRDSTAKPILAAPTSTDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGKEYLEAWAHKFLAGVGPTHLVLFALLLSRLRRFEPSPELSRNPPEMATGGEGGKAAPAPAPELASFLAIGLDQRTAENALANRKVTANLAAVIAEAGVSGCDKSVGNLLYTVATKYPANALVHRPVVIKYILSSKIKTPAQLDAALSFLSTLGPDYLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWHPWGDAKFIKEEIEKRLTDILGPKTEADNVKPMKKKKEKPAKVEEKKTAAAAPAAPSEEELNPYTIFPQPDENLKVHTEIFFSDGNIWRAHNTKDTLEKHLKTTGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPMEESLKLFEDMRHGLISEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLLALDQYQPYVWEYSRLNMSNNVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLDYHIREELNKVAPRAMVVLHPLKVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRAKDSKDYYGLAPGKTVLLSSDNIIEIRAEYDPSKSTKPKGVLHWVAEPSPGVDPLKVEVRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATSVLGNKFQFERLGYFAVDSDSTPEELVFNRTVTLRDSYGKAGPK >LPERR05G04920.1 pep chromosome:Lperr_V1.4:5:3844403:3852909:-1 gene:LPERR05G04920 transcript:LPERR05G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGAKALCIIALRRDIIYSEYFSSKHSAMAVSIGLNPEAFFSSYSCSSSSSPFMASYTPEFSAAATDIDFSGELDFDYSLPAPDFAGTVSDEYPDNESIMMRCENEEKRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCNVKKRVERDREDDRYVITTYDGVHNHASPGAAAAALQYYTAGDYYSPPRSSAGSPPAAYSAGSLLF >LPERR05G04920.2 pep chromosome:Lperr_V1.4:5:3853106:3854122:-1 gene:LPERR05G04920 transcript:LPERR05G04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGLSPEAFFSSYSYSSSSSPFMASYVPEVPVATIGTDFSNELDFDYSLPAPALAGTVSDEYPDNESIMMRCESEEKKARVNGRIGFRTRSEVEIMDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCNVKKRVERDREDHRYVITTYDGVHNHASPGATAAALQYYAAGDYCSPPNSSAGSPSAAYSAGSLLF >LPERR05G04920.3 pep chromosome:Lperr_V1.4:5:3844403:3854122:-1 gene:LPERR05G04920 transcript:LPERR05G04920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGLSPEAFFSSYSYSSSSSPFMASYVPEVPVATIGTDFSNELDFDYSLPAPALAGTVSDEYPDNESIMMRCENEEKRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCNVKKRVERDREDDRYVITTYDGVHNHASPGAAAAALQYYTAGDYYSPPRSSAGSPPAAYSAGSLLF >LPERR05G04930.1 pep chromosome:Lperr_V1.4:5:3864619:3867915:-1 gene:LPERR05G04930 transcript:LPERR05G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKIGEFFAHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEQAADTMLPCRLKAATIEAETDKTHHAGDPAAAKPAEHFTLVGPPFAAAFSRPHHRLLAEAKMSTKCPEGKVSLISINALHQLHIFIFFLAVFHIREWKEWEKEAVGQDFEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLFLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVVQFLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKNWRAGAKKKPAATSSKHGAVEGAGAGGDQPGSPHSGSPKADGADGVALTQRKPSGEAKDADGGAGAGTGLQAGAAQQAGGGGGGSGSKKGEEGEYDFIKLEP >LPERR05G04940.1 pep chromosome:Lperr_V1.4:5:3900762:3913101:1 gene:LPERR05G04940 transcript:LPERR05G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIPHLRLHLALLAFLAVASGNPSHGGFDRVDAEDAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDFDTRCVVPTSVRLRGPGIYISGNGSLVLVDGVALTCDRPGCVVSANLSGDIFLGREARVVAGWVSLSATNITLSTDSVVDTTALAGDPPDKTSGVPTGTYGDGGGHGGRGASCYVKKGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDMMMNGTILADGGDGGTKGGGGSGGSIYLKAISMQGGGRVSACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSLGCMDNAGAAGTLYEEVPKSITISNNNFSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSHATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDAGRDSGVASSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVVPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVKSSGTISATGLGCRGGVGRGQTLSSGLSGGGGHGGKGGDAFYSGSHAGGGAAYGSADLPCELGSGSGNISSTSSTAGGGIIVLGSLEQSLPLLSLAGSIEANGGSFAGVATHAANVGPGGGSGGTVLLFVRTLFLEEGSVLSSVGGIGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGIVDGQGFSGENGTITGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISVRGGVTEAPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGSDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNKFVDEINALAAYQWWEGSIYSVLCILSYPLAWSWQQWRKRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRFHQRLPMSLIFGGNGSYMAPFSLHSDSVVTSLGLPPSKWHRLVAGLNGQLRLARRGNLKSAFLHVLKWLEALPNEALKAHYLRVDLAWFPATALGYCQFGLVIDSVGEAVGTELQGGSRTKFDYHAQFQNTDADSQLEHSRTNDATMCNRITGRVLNVDNLSMLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLIVAFVCGLVHYKSSAKRHPSMQPWNLGGDDASWWLFPTGLVICKCIQARLIDWHVSILEIQNRAVYSNDPGIFWL >LPERR05G04950.1 pep chromosome:Lperr_V1.4:5:3916252:3916815:1 gene:LPERR05G04950 transcript:LPERR05G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELERDELKVSPNKRKAESLAAKRSDLSESKSLAAAPVVAGDGEESPSKEEKKKMWLLPKEEVRWILAQSNEPVCRQFRDLKRRNPSLVPSPEEKDKNMMLLYQCARESYDAEEEFAKFQAWVRGEYARKGFVEVDYDYFGERADNNRRCDQAWEEVFGHWDFTSDSEDDDIERLIKRTCRRFV >LPERR05G04960.1 pep chromosome:Lperr_V1.4:5:3921412:3921845:1 gene:LPERR05G04960 transcript:LPERR05G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVECDELKKVSSGKRKVESFAGEEEELCSCAAKKIDLSESKAKEKSVPVVSGAPTKMEEKKKMWLLPQEEAWVHDEYARKGFVEMDYDYFGERTEANMRCDQAREEVFGHWHFSSDSEDDDMQRLIKRTCRRFV >LPERR05G04970.1 pep chromosome:Lperr_V1.4:5:3928740:3929284:-1 gene:LPERR05G04970 transcript:LPERR05G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVECDELKKVSPGKRKAESFAGEEEEEESYSRAAKRTDLSKSEEGKKKMWLLPQEEVEWILAQSTEPCAVLRKLKQANPSLVPSPEEEKDLETMLLYECARDAYQEEAKFAKFQAWSRKGFVEVDYDYFGERAEAIRLDDQARDKYFGHWDFTSDSEDDDLERLIKRRCRRCV >LPERR05G04980.1 pep chromosome:Lperr_V1.4:5:3932095:3936344:1 gene:LPERR05G04980 transcript:LPERR05G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLAGDSGGGGRRSEDLRLVFIRVGAAVTLSLAGLLLSRRRRQPRQLRLPPPPRSSESDAMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTTTMTAMVPMPPKCRTYADDEGFLLPEFNEIVFKEFGRDIGNITTSPAPTEQDAAMRELENQLKINTVESKLYTLKIESLKSENERLQAQLTESSKVVSELEATRMKCKLLKKKLRQDAEQAKEIITSLQEMVDSLQRKEIPEGKVSAEVEKKLNKIEELENETRELRVVNSRLQQENAHLVRRLELIRLPPVSKPINSMEVKALQEADHLRQENDKLAKEIEKLQADRFADVEELVYLKWINACLRYELRNQEAPSGKSVARGLSKTLSPKSEEKAKQLIMEYANAGGDEKNLEHIEFGSEYSSSRASSGEPDDTSIDMSLMKKHRNPKTKKFFAKLRKLVLGKEKENKNIHALERRVSISSCSFDDYNGGESNDSYSSFMTETGISANPQRDYHSCRWHSLDSQTSGHLSKEVGDERSIHLGVKSASFGEGRVANFGHSSHLDNGQATIPEDAEIHKFAEALKTSRPGSSW >LPERR05G04980.2 pep chromosome:Lperr_V1.4:5:3932130:3937264:1 gene:LPERR05G04980 transcript:LPERR05G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTTTMTAMVPMPPKCRTYADDEGFLLPEFNEIVFKEFGRDIGNITTSPAPTEQDAAMRELENQLKINTVESKLYTLKIESLKSENERLQAQLTESSKVVSELEATRMKCKLLKKKLRQDAEQAKEIITSLQEMVDSLQRKEIPEGKVSAEVEKKLNKIEELENETRELRVVNSRLQQENAHLVRRLELIRLPPVSKPINSMEVKALQEADHLRQENDKLAKEIEKLQADRFADVEELVYLKWINACLRYELRNQEAPSGKSVARGLSKTLSPKSEEKAKQLIMEYANAGGDEKNLEHIEFGSEYSSSRASSGEPDDTSIDMSLMKKHRNPKTKKFFAKLRKLVLGKEKENKNIHALERRVSISSCSFDDYNGGESNDSYSSFMTETGISANPQRDYHSCRWHSLDSQTSGHLSKEVGDERSIHLGVKSASFGEGRVANFGHSSHLDNGQATIPEDAEIHKFAEALKTSRPGSRSSRRTSSFSN >LPERR05G04980.3 pep chromosome:Lperr_V1.4:5:3932095:3936344:1 gene:LPERR05G04980 transcript:LPERR05G04980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLAGDSGGGGRRSEDLRLVFIRVGAAVTLSLAGLLLSRRRRQPRQLRLPPPPRSSESDAMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTTTMTAMVPMPPKCRTYADDEGFLLPEFNEIVFKEFGRDIGNITTSPAPTVSEEDASKNREICELREMVRSLQEREKTLELQLLECYGLQEQDAAMRELENQLKINTVESKLYTLKIESLKSENERLQAQLTESSKVVSELEATRMKCKLLKKKLRQDAEQAKEIITSLQEMVDSLQRKEIPEGKVSAEVEKKLNKIEELENETRELRVVNSRLQQENAHLVRRLELIRLPPVSKPINSMEVKALQEADHLRQENDKLAKEIEKLQADRFADVEELVYLKWINACLRYELRNQEAPSGKSVARGLSKTLSPKSEEKAKQLIMEYANAGGDEKNLEHIEFGSEYSSSRASSGEPDDTSIDMSLMKKHRNPKTKKFFAKLRKLVLGKEKENKNIHALERRVSISSCSFDDYNGGESNDSYSSFMTETGISANPQRDYHSCRWHSLDSQTSGHLSKEVGDERSIHLGVKSASFGEGRVANFGHSSHLDNGQATIPEDAEIHKFAEALKTSRPGSSW >LPERR05G04990.1 pep chromosome:Lperr_V1.4:5:3936531:3937210:-1 gene:LPERR05G04990 transcript:LPERR05G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHGAVSSYCPLPSAAFSRSRSSLAAAAAATALSSPLTPVLEVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIEIDEDILE >LPERR05G05000.1 pep chromosome:Lperr_V1.4:5:3938533:3940328:-1 gene:LPERR05G05000 transcript:LPERR05G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNLRRQIVFMKKNLFDQGYLDEQFNQLEDLEDESSPNFLEEVVALFFKDSSRLLTNIEQATDKYPQDFYKLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKIKREHATLKQKLESYFQLLRQVGPRDYAVSSRK >LPERR05G05010.1 pep chromosome:Lperr_V1.4:5:3950181:3953634:-1 gene:LPERR05G05010 transcript:LPERR05G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMEMAGGGVEDAYGEDRATEEQLVTPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIMSQELQEKKLMHNLRQYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEALLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLIAALKVVGGTLADHKYLFLGAGEAGTGIAELIALEMSKQTEIPIEECRKKVWLVDSRGLIVESRKESLQHFKQPWAHEHEPVKTLLEAVQAIKPTVLIGTSGVGRTFTKEVIEAMAEFNERPVIFSLSNPTSHSECTAEEAYTFTQGRAVFASGSPFDPVEYEGKTYFPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFAKGLIFPPFTNIRKISAHIAAKVAAKAYDLGLASRLPRPDDLVKYAESCMYTPAYRSYR >LPERR05G05020.1 pep chromosome:Lperr_V1.4:5:3973237:3975272:1 gene:LPERR05G05020 transcript:LPERR05G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGFGEEGEESGLELSLGLPGYFSSSSSSPGQAGLQGKRASAAVGTKGSDGFKASSRATAPVVGWPPVRSFRRNLASSSSSKPSNGGKDAAAAGGDKVAAAAGSRGRFVKVNMDGVPIGRKLDLAAHGGYGELSAAVDRLFRGLLAARRDPAMAADEKEEEVAGILDGGEYTLVYEDDEGDQMLVGDVPWNMFIAGARRLRVLRSSDFNVSSIRAGSRKRAAVE >LPERR05G05030.1 pep chromosome:Lperr_V1.4:5:3976882:3980044:1 gene:LPERR05G05030 transcript:LPERR05G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMDLPPKGGFSYDYCRRNAMLADKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAIWSARSFNFTVMQLVVNHEFYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESQYKEGLTREEGIQLVADAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYSFTKGQTEVLYTKITQLKPKVEVTEGDAMEE >LPERR05G05030.2 pep chromosome:Lperr_V1.4:5:3977248:3980044:1 gene:LPERR05G05030 transcript:LPERR05G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMDLPPKGGFSYDYCRRNAMLADKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAIWSARSFNFTVMQLVVNHEFYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESQYKEGLTREEGIQLVADAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYSFTKGQTEVLYTKITQLKPKVEVTEGDAMEE >LPERR05G05040.1 pep chromosome:Lperr_V1.4:5:3980530:3983933:-1 gene:LPERR05G05040 transcript:LPERR05G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMAAGLAEEGGSKIKMIVSYVDNLPNGSEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPPHLMSSNSSELFGFIASALTKFVADEGHNALFNDRQRELGFTFSFPVRQTSITSGTLIKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKASAIPKLEGDIPKSGKMIFEKLISGMYLGEIVRRVLLKITLQSSIFGNIDKTKLRTHFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGNPGDKHKSVVAIDGGLYEHYTEFRQCLESTLTELLGEEASKSVTVKLTNDGSGLGAALIAAAHSQY >LPERR05G05040.2 pep chromosome:Lperr_V1.4:5:3980530:3983954:-1 gene:LPERR05G05040 transcript:LPERR05G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEQVVASLREECATPATRLDELAAAMAGEMAAGLAEEGGSKIKMIVSYVDNLPNGSEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPPHLMSSNSSELFGFIASALTKFVADEGHNALFNDRQRELGFTFSFPVRQTSITSGTLIKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKASAIPKLEGDIPKSGKMIFEKLISGMYLGEIVRRVLLKITLQSSIFGNIDKTKLRTHFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGNPGDKHKSVVAIDGGLYEHYTEFRQCLESTLTELLGEEASKSVTVKLTNDGSGLGAALIAAAHSQY >LPERR05G05050.1 pep chromosome:Lperr_V1.4:5:3994557:3997484:1 gene:LPERR05G05050 transcript:LPERR05G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNIWGGGGKKEQPAAAAASGGGGKRWSFGKSSRDSAEAAAAAAVVAESGGNAAIARAAEAAWLRSVYATADDKEREQSKHAIAVAAATAAAADAAVAAAHAAVAVVRLTSKGRVTTAPVLATGNLAAIKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMEALVRAQATVRACRSAARPRRSLQKRCVVDETRSEAAAAAAASFRRRLSASIESSSSSLYGFDRSPKIVEVDTCARPKTSSSRRASSPSPLLLDAAFAAAGGGGEDSSWCANSMSSPLPPPPARIAVPAPRHHFPTAGDAYDWYYSAPPPEKPRPATAQSTPRFAPPTPTKSVSGAGGNCPGYMSNTRSFEAKVRSHSAPKQRPETIVVSGAGAGGGGRKRVPLSEVLVVESRASLSGLGMQRSCNRVQEAFNFKTAVVGRLDHHRSSEIAAGENDRHAFLQRRW >LPERR05G05060.1 pep chromosome:Lperr_V1.4:5:4024870:4025995:1 gene:LPERR05G05060 transcript:LPERR05G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSYAVISTDARFPEIVFHFKELLTLRVHPHQYMFQIMEVCPYAKGNLMLFNYAVAKHFDVYVAGNLFTDKLVVYDLDNMTVGWIEYDCKSCVLVRDEQTGKLYEAGSYRMSSDAMWDESMCRDDGVKFHAEHATGTDDLCSRNKSEKKDVHTGLLWGTITKLLAIIGALMCYAILVSLLFIFINFCPPTRWVNMTSQKIKR >LPERR05G05070.1 pep chromosome:Lperr_V1.4:5:4027082:4030126:-1 gene:LPERR05G05070 transcript:LPERR05G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYNSLPPISKAYGTLCFLTTVLCQLQILSPSFLYLYYPFVFKRFQIWRLFTTFFFLGKFSINFGIRLLMIARYGVQLEKGPFDKRTADFLWMMIFGAISLLALSAIPFLKIYNLAVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHMYYFFSVLHPLATGRNYLKTPMRTLRSDQPTTLDQGRSVEGATD >LPERR05G05080.1 pep chromosome:Lperr_V1.4:5:4042162:4042530:1 gene:LPERR05G05080 transcript:LPERR05G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSINPHQQFRSSKNSSDQSRSVSPNLITIISYLAIAMDGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADSYAYADDDLDLVSSKNSTNAKTAAADGNKKAQDGGDDE >LPERR05G05090.1 pep chromosome:Lperr_V1.4:5:4050129:4058710:1 gene:LPERR05G05090 transcript:LPERR05G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLASLRRPKRGRPPRPREEYHAAAGDFEDEEGAEGLAARPQPKRKRAASAAWAASLEDPSLIDIIKHDTRLISHAVKRLVEDYESKPKSVMFQILAMLFEACGARHEFYEDYLAESDVDVVVLSLIDLAKKGKVDDYYSSKQKDLKNFKDNLVSFWDTLVHECQNGPLFDDLLFQKIKDYVVALSCTPTRVYRQVASLIGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQSDGPIVESLNKRLDHTHKNITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAAVRRTSILALQGLYEVDENIPSLGLFTERFYSRMIQLADDIDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNSDSSEVHIGRMLQILREFSNDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKLYHNKAQKEILESSKHEITTALLKKYPHLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQNFKAAIDLIADAFFKHGDKDTLRSCTKAITFCCTNCPADLQNYAESKLKNLEDELVSKVKTAIKEVEAGDDEYSLLVNLKRFYELQLAKPVTDDGLFDDMYRIMSHLKDMDNEVKSFILLNMYLQLAWCLNAIDGENPSEASIDELLSKQSSLFEKLYYFLVVLPTYQKEGRSTAILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLVLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITSLRKNSDNMAALFFEALKRAYERYMAHVHDAENQTLIGKSYSECQELASRLAGSYVGFTRNKNKSQILKIIQDGVSYAFMDLPKQLSFLDAALLPFVSKLPSADIPDILLDVQRRTQDTDTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGGVEDDDDDADQPLINTFRSSASKLRSLKVSQQGTSGEKGPSRASGSNS >LPERR05G05100.1 pep chromosome:Lperr_V1.4:5:4060083:4067201:1 gene:LPERR05G05100 transcript:LPERR05G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVVEELSGLTSRELGDMLKESDSFVLQVKTEDGAPKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGIRFLHTLSELAARNTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENQHGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTDTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRTVLKLGVPECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQTLAIPHDEFVSSWCSVNLPVIEEDANLEYDPFGAAELALAAAGNNLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKTDPSLESKEDLKPLQQPFLTSSTAPDPGTNNVAKDAHKMEEPTPTPPMKQEGNTSNETPKNTVALNGGFLQNSVVGQDLVHLGVARTGFLGGGTGTSTGLRCKMDLDPASSSMDHFKTPDTKESALQDDEKGETHMYDERQPKRRKRTIMNDNQINEIEKALIDEPEMHKNAALLQAWSEKLNNRKAKLARIAKERGVQSEGENADKPSTPATPHLCDSSESAGEESYLPPARIMSALGISKGSRFVSPDGIETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDTDGKEVGKGKIFQVEGRAHGKNLADSRVCIVDVTELKIEKWRELPHPSEVSGRTFQEAESRNAGVMRVAWDIIRLSPVVQ >LPERR05G05100.2 pep chromosome:Lperr_V1.4:5:4060083:4067201:1 gene:LPERR05G05100 transcript:LPERR05G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVVEELSGLTSRELGDMLKESDSFVLQVKTEDGAPKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGIRFLHTLSELAARNTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENQHGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTDTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKLGVPECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQTLAIPHDEFVSSWCSVNLPVIEEDANLEYDPFGAAELALAAAGNNLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKTDPSLESKEDLKPLQQPFLTSSTAPDPGTNNVAKDAHKMEEPTPTPPMKQEGNTSNETPKNTVALNGGFLQNSVVGQDLVHLGVARTGFLGGGTGTSTGLRCKMDLDPASSSMDHFKTPDTKESALQDDEKGETHMYDERQPKRRKRTIMNDNQINEIEKALIDEPEMHKNAALLQAWSEKLNNRKAKLARIAKERGVQSEGENADKPSTPATPHLCDSSESAGEESYLPPARIMSALGISKGSRFVSPDGIETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDTDGKEVGKGKIFQVEGRAHGKNLADSRVCIVDVTELKIEKWRELPHPSEVSGRTFQEAESRNAGVMRVAWDIIRLSPVVQ >LPERR05G05100.3 pep chromosome:Lperr_V1.4:5:4060083:4067201:1 gene:LPERR05G05100 transcript:LPERR05G05100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVVEELSGLTSRELGDMLKESDSFVLQVKTEDGAPKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGIRFLHTLSELAARNTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENQHGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTDTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRTVLKLGVPECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQTLAIPHDEFVSSWCSVNLPVIEEDANLEYDPFGAAELALAAAGNNLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKTDPSLESKEDLKPLQQPFLTSSTAPDPGTNNVAKDAHKMEEPTPTPPMKQEGNTSNETPKNTVALNGGFLQNSVGQDLFHLGVQNSVGQDLVHLGVARTGFLGGGTGTSTGLRCKMDLDPASSSMDHFKTPDTKESALQDDEKGETHMYDERQPKRRKRTIMNDNQINEIEKALIDEPEMHKNAALLQAWSEKLNNRKAKLARIAKERGVQSEGENADKPSTPATPHLCDSSESAGEESYLPPARIMSALGISKGSRFVSPDGIETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDTDGKEVGKGKIFQVEGRAHGKNLADSRVCIVDVTELKIEKWRELPHPSEVSGRTFQEAESRNAGVMRVAWDIIRLSPVVQ >LPERR05G05100.4 pep chromosome:Lperr_V1.4:5:4060083:4067201:1 gene:LPERR05G05100 transcript:LPERR05G05100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVVEELSGLTSRELGDMLKESDSFVLQVKTEDGAPKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGIRFLHTLSELAARNTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENQHGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDELSRNGGILSLSRTVLKLGVPECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQTLAIPHDEFVSSWCSVNLPVIEEDANLEYDPFGAAELALAAAGNNLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKTDPSLESKEDLKPLQQPFLTSSTAPDPGTNNVAKDAHKMEEPTPTPPMKQEGNTSNETPKNTVALNGGFLQNSVGQDLFHLGVQNSVGQDLVHLGVARTGFLGGGTGTSTGLRCKMDLDPASSSMDHFKTPDTKESALQDDEKGETHMYDERQPKRRKRTIMNDNQINEIEKALIDEPEMHKNAALLQAWSEKLNNRKAKLARIAKERGVQSEGENADKPSTPATPHLCDSSESAGEESYLPPARIMSALGISKGSRFVSPDGIETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDTDGKEVGKGKIFQVEGRAHGKNLADSRVCIVDVTELKIEKWRELPHPSEVSGRTFQEAESRNAGVMRVAWDIIRLSPVVQ >LPERR05G05100.5 pep chromosome:Lperr_V1.4:5:4060083:4067320:1 gene:LPERR05G05100 transcript:LPERR05G05100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVVEELSGLTSRELGDMLKESDSFVLQVKTEDGAPKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGIRFLHTLSELAARNTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENQHGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTDTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRTVLKLGVPECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQTLAIPHDEFVSSWCSVNLPVIEEDANLEYDPFGAAELALAAAGNNLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKTDPSLESKEDLKPLQQPFLTSSTAPDPGTNNVAKDAHKMEEPTPTPPMKQEGNTSNETPKNTVALNGGFLQNSVGQDLFHLGVQNSVGQDLVHLGVARTGFLGGGTGTSTGLRCKMDLDPASSSMDHFKTPDTKESALQDDEKGETHMYDERQPKRRKRTIMNDNQINEIEKALIDEPEMHKNAALLQAWSEKLNNRKAKLARIAKERGVQSEGENADKPSTPATPHLCDSSESAGEESYLPPARIMSALGISKGSRFVSPDGIETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDTDGKEVGKGKIFQVEGRAHGKNLADSRVCIVDVTELKIEKWRELPHPSEVSGRTFQEAESRNAGVMRVAWDIIRLSPVVQ >LPERR05G05110.1 pep chromosome:Lperr_V1.4:5:4071037:4072281:1 gene:LPERR05G05110 transcript:LPERR05G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLPPFHALLLLLSSHLAIAAAFGVWIDAASSSQAGNGGGGEEYAALQALKAAVVDDPRGSLSSWQGPNVCAYKGVYCSPPPPESSSSSSSPVVAGIDLNRANLKGTLPAAISLLTHLTFLHLNSNRLTGAVPESLRELQYLTELDLSNNLFSGEFPTSTLLIPSLVYLDLRFNAFSGELPSEAFTKPNLDALFLNNNQFNGEIPATLWSSPATVITLANNRLTGPVPPAYGAAAGGRVKEVLFLNNKLTGCIPEELGFLPTIEVLDLSYNSLSGHLPTTLSCLAGIEVLNIAHNQFTGELPDLVCDLKRITNLSVSFNFFSGISQHCDRLAGRSVFDFVGNCVPGRGLQRPPPECDGAPGDAGLSCLRIPVTRPVPCATQAAVSVGVGVGVSFGGASPVFGAGGVVTVTVP >LPERR05G05120.1 pep chromosome:Lperr_V1.4:5:4079596:4088860:-1 gene:LPERR05G05120 transcript:LPERR05G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIHSLHTHLLYSSLLIKKLATMATARLLLLLTVSTFCFCSGAIIGIGDELAVEDQRADAAPSCGSLRTAVEARNIVGWKTVPPHCADYVESYMTGERYQRDSDIVINQAIAYAESLKLSSSGKEIWIFDVDETALSTLPYQANHGYGTQLFDNTSFQQYVAQGNAPALQGTLRLYRRLLELGIKPVFLTDRTEDQRAVTTNNLLQQGYYNWEKLVLQPVGLQISTLAFKKCERQKLVNAGYIIVGNIGDQWNDIRGSPDGARTFKFPNPMYYVD >LPERR05G05120.2 pep chromosome:Lperr_V1.4:5:4079598:4088860:-1 gene:LPERR05G05120 transcript:LPERR05G05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIHSLHTHLLYSSLLIKKLATMATARLLLLLTVSTFCFCSGAIIGIGDELAVEDQRADAAPSCGSLRTAVEARNIVGWKTVPPHCADYVESYMTGERYQRDSDIVINQAIAYAESLKLSSSGKEIWIFDVDETALSTLPYQANHGYGTQLFDNTSFQQYVAQGNAPALQGTLRLYRRLLELGIKPVFLTDRTEDQRAVTTNNLLQQGYYNWEKLVLQPVGLQISTLAFKKCERQKLVNAGYIIVGNIGDQWNDIRGSPDGARTFKFPNPMYYVD >LPERR05G05130.1 pep chromosome:Lperr_V1.4:5:4088912:4090018:-1 gene:LPERR05G05130 transcript:LPERR05G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTARLLLFFTVATFSFCFSSGQDAETPPAAPSPPICGSVRMAIEAHNIVGWRTVSPECAEYVAGYMSGDRYGRDSDIVIDQAIAYAESLKLSGQGKEIWVFDVDETALSTLPYQANHGYGAQPYDQSSFLQYVAQGSAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTNNLLQQGYCNWEKLVLQPTGQQISTQAFKTCERQKLVSSGSIIVGNIGDQWSDILGSPEGCRTFKFPNPMYYVA >LPERR05G05140.1 pep chromosome:Lperr_V1.4:5:4115749:4117594:-1 gene:LPERR05G05140 transcript:LPERR05G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTSMLPLLLLLATAATSAWEMNIRMPTDRLVKDGGAALIHALRPVLGSGGQLAARAGVACDSWRVGVEAHNVIGWKTVPARCEGYVGHYMLGGHYRRDSALVVDEAIAYADKLTLAGNGKEVWIFDIDETSLSNLPYYATHGFGATPYNDTSFREYVAEGSAPALPETRRLYRRLLEIGVKPVFLTGRTEDQRAITVANLRRQGYSGWEKLLLKPAVHAAGELQGSAVAYKSGERQKLQNAGFIIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >LPERR05G05150.1 pep chromosome:Lperr_V1.4:5:4132249:4132800:-1 gene:LPERR05G05150 transcript:LPERR05G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLERDELKVSPNKRKAESFAAKRSDLSESKSSAAAPVLAGDGEEEAPEEKKMWLLPKEEVRWILAQSNEPVCRQFRDLKRRNPSLVPSPEEKDKKMMLLYQCARESYDAEEEFANFQAWVRGEYARKGFVEVDYDYFAERADNNRRCDQAREEVFGHWDFTSDSEDDDIERLIKRTCRRFV >LPERR05G05160.1 pep chromosome:Lperr_V1.4:5:4142441:4143025:-1 gene:LPERR05G05160 transcript:LPERR05G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVESDELKVSSGKRKAESFSGKEEEEFCSHAAKRIDLSKSKAEEKSAPVIAGDTGQEDKKKMWLLPQEEVEWILAQSNEPVRAEYRELKRRNPSLVPSPEEEKDESVMLLYEFAQIVYDAEEEFANFQAWVRGEYARKGFVEVDYDYFAERAEGNKQWDQTREEVFGPWDFSSDSEDDDLDKLIKRKCRRFV >LPERR05G05170.1 pep chromosome:Lperr_V1.4:5:4150445:4154796:-1 gene:LPERR05G05170 transcript:LPERR05G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVSGSLGTKPDDDHRSSRRCPSCGHDLDCNKTFDMAGLPAGVRFDPTDQELIEHLDAKVKDGGSGAHPLIDEFIHTIKGEDGICYTHPENLPGWPEQALLPPAASKSTHVAAETRWHKTGKTRPIMVRGQPKGCKKILVLYTNFGKKRKSEKTNWVMHQYHLGELEDEKEGDLIVSKVFYQTQTRSAVVAADLPVARQGGLLHGSVASSGAAMAMNVQRQQHQVLKQADGQLRPLPTKKRLHEDVVAQVRVNHGGEKRDHRYMPGQRHFSLNLKATPVPTTSSTSSERLSQVSTLTTSIERHNPLIPVVMGKQLHSPVRQFQSEHLHVGKRFNSSTPKGRLASATLAS >LPERR05G05170.2 pep chromosome:Lperr_V1.4:5:4150445:4154796:-1 gene:LPERR05G05170 transcript:LPERR05G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVSGSLGTKPDDDHRSSRRCPSCGHDLDCNKTFDMAGLPAGVRFDPTDQELIEHLDAKVKDGGSGAHPLIDEFIHTIKGEDGICYTHPENLPGVTKDGLSKHFFHRSAKAYTTGTRKRRKILADQPDDQQAASKSTHVAAETRWHKTGKTRPIMVRGQPKGCKKILVLYTNFGKKRKSEKTNWVMHQYHLGELEDEKEGDLIVSKVFYQTQTRSAVVAADLPVARQGGLLHGSVASSGAAMAMNVQRQQHQVLKQADGQLRPLPTKKRLHEDVVAQVRVNHGGEKRDHRYMPGQRHFSLNLKATPVPTTSSTSSERLSQVSTLTTSIERHNPLIPVVMGKQLHSPVRQFQSEHLHVGKRFNSSTPKGRLASATLAS >LPERR05G05180.1 pep chromosome:Lperr_V1.4:5:4157471:4161956:-1 gene:LPERR05G05180 transcript:LPERR05G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHVSLNGPAPSVRPNFSLQFTSTRIRDNPRKKSPPISSLPKGQNPNAAAAAAMTSSPARRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALLDAGVSPSDLACVCYTRGPGMGAPLQVAAAAARALSLLWGKPLVAVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKSNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCGERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGVTTPLEESTFTQRFRTDEVHAIWREKEMPVLNNIHAHAMAEVSMDEASVPTIAAANSWKGNQTYID >LPERR05G05190.1 pep chromosome:Lperr_V1.4:5:4161974:4162186:-1 gene:LPERR05G05190 transcript:LPERR05G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDECGGDPSSGEQPWRKAPRQLGFLALGIWEIFRARERRPFPSPIRLDPTDGEEAEASAHQWPMGRKS >LPERR05G05200.1 pep chromosome:Lperr_V1.4:5:4174333:4177661:1 gene:LPERR05G05200 transcript:LPERR05G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAPIPASADAAAAAKALEKKLLDLKLSPPLPTPAKKAAAAAAKVAAPLKKKLSGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVTHKGSSRGTHFRRAGPRQRVYYAADEVSAAIVTCGGLCPGLNTVVRELVCGLHDMYGVKSVAGIEGGYRGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGINQVYIIGGDGTQKGAASIAGEIARRGLKCSVVGIPKTIDNDIAVIDRSFGFDTAVEAAQRAIDAAHVEASSAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFHLDGHGGLLEFAERRLRDNGHMVIVVAEGAGQDLIAKSMSFADTHDPSGNKVLLDVGLWLCAKIKEHFKKKANFPITLKYIDPTYMIRAVPANASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSLEDVEKAGQEEDEPNVPLVEGENSLVKASPLLCNGNGAHCNGAA >LPERR05G05210.1 pep chromosome:Lperr_V1.4:5:4177913:4182657:1 gene:LPERR05G05210 transcript:LPERR05G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIRVPPSPSPSPSSSGAARKIARKLEKTLIVVFFWMGQKIKDPVSNNGIVHALEINEDI >LPERR05G05220.1 pep chromosome:Lperr_V1.4:5:4207561:4208679:-1 gene:LPERR05G05220 transcript:LPERR05G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAHAPPWQHVSPVSGVDGGEVAPYHLLDALRHYLPSNDSSSVAAAEEEDEAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRRYSYSGAACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPAAANGSPRSPAAAAESYDGSPLRRQAFESYLAAKSAMSSSPTSTLASPPRSPEFSPPLSPDAAGAAALRHGWVGTSPVSDVLVSLRQLRLGSSSPRSPAASTAAAFLYGSPKSPAAAALYGSLPSTPTAVTVTTASGATVTVEPLDLGLIDEEQQPVERVESGRALREKVFERLSKEATVTVSGDGGGGGAGPDVGWVSDLIN >LPERR05G05230.1 pep chromosome:Lperr_V1.4:5:4239964:4241325:-1 gene:LPERR05G05230 transcript:LPERR05G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRSFENSISSSSNNNSVATTATTTALANSSSSLRLFGVQLQVGGSSPLKKCLSMECLPSPAYYGAVASPSVSSSSSSLVSIEENTERVSSGYLSDGLMGRAQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHYVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDVVEGGKRAVMVMAASASASELQIPSMSLSIGAAKDEAIMPPSLNLMSSSSGSQPSPSLTMLAKPQVQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIKVT >LPERR05G05240.1 pep chromosome:Lperr_V1.4:5:4262108:4269287:1 gene:LPERR05G05240 transcript:LPERR05G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFGSMQGLGWAIGSSYEVDLNVKKQHQESLCFHANLIDLIDLLLLVVYLLTLLIAACKNQFFVRAPRNLPWLCLVASPCCVLLAAAFVFLGVSSSSPGGGELVVRGILWVFVAFSVVIRPTRLSMVAAVAWWTAMAAMTTAFGLYTVATKGISPPVVDIAAWALTLVLLLSAFVVVRAESRVLAAGDETEPLLTPASGGRSPAPAPAFGKAGFMSRLLFTWMDSLLRLGYKKPLTLADVPPLDADDEAAEACAKFLQEWHRRRPSPSPSPSRVVFSVLASCYKKDLFLTALYTLLRTLSFGAMPLMLYAFVSYSYSPRRRRDLAAAARLVAALVAMKLVESLSQRHWFFGSRRLGMRMRSALMAAVFDKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLVLSLALLFWTVGAGTLPALVPVAAIGVLNVPFAKLLQFYQSRFMAAQDERQRATAEALNAMKVIKLQSWEETFAENVRRLRDVEVTWLAETQLKKAYNSALYWMSPTVISAVIFAGTAALQSAPLDAAVVFTILATLRVISEPMRMLPEALSVMIQFKVSLDRIGKFLMEDEFKEDAVERTSLPTKSGIVMTMNSGVFSWEPSKGIATLKSISVTAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVALSGSIAYVSQTPWIQSGTVRDNILFGKPMNNGEYDKAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYDELLQAGTAFEQLVNAHKDSKTTLDSDDRREGAKELGAFQYQVPLIQQNSEAEISAGTLPSVQLTEDEKTELGEIGLKPYKDYVSVSKGWFLLAMILVMQCAFFGLQCLATYWLAVAIQNQQFSVAVVIGVYAAMATLSCLFAYVRSLITAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDFSILDFDIPFAMTFVISGSIEIASTILIMILVTWQLVLVAIPVIFALLYIQRYYIATARELVRINGTTKAPVMNYAAESMLGVITIRAFAEMKRFIQTNLQLIDTDAALFFYTNAALEWVLLRVEVLQILVIVASSILLVSLPEGAVAPGYLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMNLPSEPPAVITDRRPPPSWPSEGRIDLENLRVKYRHNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSALFRLIDPYRGRILIDNLDIRTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALDKCQLKKIITALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEESAFCKLVAEYWSNYS >LPERR05G05240.2 pep chromosome:Lperr_V1.4:5:4262108:4270225:1 gene:LPERR05G05240 transcript:LPERR05G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFGSMQGLGWAIGSSYEVDLNVKKQHQESLCFHANLIDLIDLLLLVVYLLTLLIAACKNQFFVRAPRNLPWLCLVASPCCVLLAAAFVFLGVSSSSPGGGELVVRGILWVFVAFSVVIRPTRLSMVAAVAWWTAMAAMTTAFGLYTVATKGISPPVVDIAAWALTLVLLLSAFVVVRAESRVLAAGDETEPLLTPASGGRSPAPAPAFGKAGFMSRLLFTWMDSLLRLGYKKPLTLADVPPLDADDEAAEACAKFLQEWHRRRPSPSPSPSRVVFSVLASCYKKDLFLTALYTLLRTLSFGAMPLMLYAFVSYSYSPRRRRDLAAAARLVAALVAMKLVESLSQRHWFFGSRRLGMRMRSALMAAVFDKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLVLSLALLFWTVGAGTLPALVPVAAIGVLNVPFAKLLQFYQSRFMAAQDERQRATAEALNAMKVIKLQSWEETFAENVRRLRDVEVTWLAETQLKKAYNSALYWMSPTVISAVIFAGTAALQSAPLDAAVVFTILATLRVISEPMRMLPEALSVMIQFKVSLDRIGKFLMEDEFKEDAVERTSLPTKSGIVMTMNSGVFSWEPSKGIATLKSISVTAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVALSGSIAYVSQTPWIQSGTVRDNILFGKPMNNGEYDKAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYDELLQAGTAFEQLVNAHKDSKTTLDSDDRREGAKELGAFQYQVPLIQQNSEAEISAGTLPSVQLTEDEKTELGEIGLKPYKDYVSVSKGWFLLAMILVMQCAFFGLQCLATYWLAVAIQNQQFSVAVVIGVYAAMATLSCLFAYVRSLITAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDFSILDFDIPFAMTFVISGSIEIASTILIMILVTWQLVLVAIPVIFALLYIQRYYIATARELVRINGTTKAPVMNYAAESMLGVITIRAFAEMKRFIQTNLQLIDTDAALFFYTNAALEWVLLRVEVLQILVIVASSILLVSLPEGAVAPGYLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMNLPSEPPAVITDRRPPPSWPSEGRIDLENLRVKYRHNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSALFRLIDPYRGRILIDNLDIRTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALDKCQLKKIITALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEESAFCKLVAEYWSNYS >LPERR05G05250.1 pep chromosome:Lperr_V1.4:5:4268864:4271280:-1 gene:LPERR05G05250 transcript:LPERR05G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSVSVDIERISFGGKEHQVRTRCGSLSVAVYGDEDKPALITYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHINPQGHELGAAPIPSNVPVPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPICRAPSWSEWLYNKVLLNLLYYYGTRGLVKECLLQRYFSKEVRGSGHYIESDIVQACRSLLNERQGENIWRFLHSINERHDLTDALRKLQCRTLIFVGENSEFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLHRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDF >LPERR05G05250.2 pep chromosome:Lperr_V1.4:5:4268864:4271280:-1 gene:LPERR05G05250 transcript:LPERR05G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSVSVDIERISFGGKEHQVRTRCGSLSVAVYGDEDKPALITYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHINPQGHELGAAPIPSNVPVPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFAVSVPTKYRERVIGLMLVSPICRAPSWSEWLYNKVLLNLLYYYGTRGLVKECLLQRYFSKEVRGSGHYIESDIVQACRSLLNERQGENIWRFLHSINERHDLTDALRKLQCRTLIFVGENSEFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLHRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDF >LPERR05G05260.1 pep chromosome:Lperr_V1.4:5:4288073:4298031:1 gene:LPERR05G05260 transcript:LPERR05G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITTILNEDTEPSIPPGFGPFAALALRGMQNDTKPADTHSTSVQALQSIRKDSEECQSSSAISRSDTPCSTSGSQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKGVSSTRRRQQLPKGVLRGCAECSDCQKVIAKWNPAGACRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKSKRGGGMKRRKLSEPEENSAIGHTQIGIQQNPERFGFEPGPEFTLESFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWMWYGAPGKDAENLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKNICGADSTICKALKARIETELAQRKILGILSQSRKMDAEFDSVDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLTLSSCVKREKVQDSMTVRRLTDGPRRSYMSQASAVSLVPSFSSNGQKDEGNKILKIDSPQTHNACPSVEQVKSENISPSKEPCVRNELSCPTNSDTTRPQYNELGGHKGSVPGLSVSSSQPFSSNGASRPISASSASMKIVQGLVASKGCIQASSRTGDSRLLLSEHHNRSPTMIHDGTNMKSILQSSNSSHRLMASDYTATQYHSSNDQLLVTPGTNASVMTLKDSSQVHTASSHQFGRTGPVTQSASHEASPSASAMKSFVDLPAMKSQCAPFTQGSAHTGLGGRLQQPNFGNQQPNDGRFQQPNFGNQQPNDGRLQKPNFGNQQPNDGRLQQPNFGNQQPNDGHFQRTSESLPGVEARARGHPIVMQQPALEIHNRNGGAQKGPRIANVVHRFKCSVEPLEIGVALSGTLWSSSQAIFLKGFRSRVKYFSIVDPTQMAYYISEILDAGMQGPLFMVTLENCPGETFINLSPTKCWNMVRERLNMEIRRQLNMGRPNLPTLQPPGSIDGLEMFGLLSPSIVQAIEARDRDRVCTEYWRSRPHGVIEDPNNRHMLPQGPPLLALRGLIQRANRDELQVLRSFMMNSNNMDNSSRQQAAHIIEEEIAKRWC >LPERR05G05260.2 pep chromosome:Lperr_V1.4:5:4288073:4298197:1 gene:LPERR05G05260 transcript:LPERR05G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITTILNEDTEPSIPPGFGPFAALALRGMQNDTKPADTHSTSVQALQSIRKDSEECQSSSAISRSDTPCSTSGSQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKGVSSTRRRQQLPKGVLRGCAECSDCQKVIAKWNPAGACRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKSKRGGGMKRRKLSEPEENSAIGHTQIGIQQNPERFGFEPGPEFTLESFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWMWYGAPGKDAENLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKNICGADSTICKALKARIETELAQRKILGILSQSRKMDAEFDSVDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLTLSSCVKREKVQDSMTVRRLTDGPRRSYMSQASAVSLVPSFSSNGQKDEGNKILKIDSPQTHNACPSVEQVKSENISPSKEPCVRNELSCPTNSDTTRPQYNELGGHKGSVPGLSVSSSQPFSSNGASRPISASSASMKIVQGLVASKGCIQASSRTGDSRLLLSEHHNRSPTMIHDGTNMKSILQSSNSSHRLMASDYTATQYHSSNDQLLVTPGTNASVMTLKDSSQVHTASSHQFGRTGPVTQSASHEASPSASAMKSFVDLPAMKSQCAPFTQGSAHTGLGGRLQQPNFGNQQPNDGRFQQPNFGNQQPNDGRLQKPNFGNQQPNDGRLQQPNFGNQQPNDGHFQRTSESLPGVEARARGHPIVMQQPALEIHNRNGGAQKGPRIANVVHRFKCSVEPLEIGVALSGTLWSSSQAIFLKGFRSRVKYFSIVDPTQMAYYISEILDAGMQGPLFMVTLENCPGETFINLSPTKCWNMVRERLNMEIRRQLNMGRPNLPTLQPPGSIDGLEMFGLLSPSIVQAIEARDRDRVCTEYWRSRPHGVIEDPNNRHMLPQGPPLLALRGLIQRANRDELQVLRSFMMNSNNMDNSSRQQAAHIIEEEIAKRWC >LPERR05G05260.3 pep chromosome:Lperr_V1.4:5:4288073:4298031:1 gene:LPERR05G05260 transcript:LPERR05G05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITTILNEDTEPSIPPGFGPFAALALRGMQNDTKPADTHSTSVQALQSIRKDSEECQSSSAISRSDTPCSTSGSQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKGVSSTRRRQQLPKGVLRGCAECSDCQKVIAKWNPAGACRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKSKRGGGMKRRKLSEPEENSAIGHTQIGIQQNPERFGFEPGPEFTLESFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWMWYGAPGKDAENLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKNICGADSTICKALKARIETELAQRKILGILSQSRKMDAEFDSVDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLTLSSCVKREKVQDSMTVRRLTDGPRRSYMSQASAVSLVPSFSSNGQKDEGNKILKIDSPQTHNACPSVEQVKSENISPSKEPCVRNELSCPTNSDTTRPQYNELGGHKGSVPGLSVSSSQPFSSNGASRPISASSASMKIVQGLVASKGCIQASSRTGDSRLLLSEHHNRSPTMIHDGTNMKSILQSSNSSHRLMASDYTATQYHSSNDQLLVTPGTNASVMTLKDSSQVHTASSHQFGRTGPVTQSASHEASPSASAMKSFVDLPAMKSQCAPFTQGSAHTGLGGRLQQPNFGNQQPNDGRFQQPNFGNQQPNDGRLQKPNFGNQQPNDGRLQQPNFGNQQPNDGHFQRTSESLPGVEARARGHPIVMQQPALEIHNRNGGAQKGPRIANVVHRFKCSVEPLEIGVALSGTLWSSSQAIFLKGFRSRVKYFSIVDPTQMAYYISEILDAGMQGPLFMVTLENCPGETFINLSPTKCWNMVRERLNMEIRRQLNMGRPNLPTLQPPGSIDGLEMFGLLSPSIVQAIEARDRDRVCTEYWRSRPHGVIEDPNNRHMLPQGPPLLALRGLIQRANRDELQVLRSFMMNSNNMDNSSRQQAAHIIEEEIAKRWC >LPERR05G05260.4 pep chromosome:Lperr_V1.4:5:4291976:4298197:1 gene:LPERR05G05260 transcript:LPERR05G05260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITTILNEDTEPSIPPGFGPFAALALRGMQNDTKPADTHSTSVQALQSIRKDSEECQSSSAISRSDTPCSTSGSQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKGVSSTRRRQQLPKGVLRGCAECSDCQKVIAKWNPAGACRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKSKRGGGMKRRKLSEPEENSAIGHTQIGIQQNPERFGFEPGPEFTLESFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWMWYGAPGKDAENLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKNICGADSTICKALKARIETELAQRKILGILSQSRKMDAEFDSVDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLTLSSCVKREKVQDSMTVRRLTDGPRRSYMSQASAVSLVPSFSSNGQKDEGNKILKIDSPQTHNACPSVEQVKSENISPSKEPCVRNELSCPTNSDTTRPQYNELGGHKGSVPGLSVSSSQPFSSNGASRPISASSASMKIVQGLVASKGCIQASSRTGDSRLLLSEHHNRSPTMIHDGTNMKSILQSSNSSHRLMASDYTATQYHSSNDQLLVTPGTNASVMTLKDSSQVHTASSHQFGRTGPVTQSASHEASPSASAMKSFVDLPAMKSQCAPFTQGSAHTGLGGRLQQPNFGNQQPNDGRFQQPNFGNQQPNDGRLQKPNFGNQQPNDGRLQQPNFGNQQPNDGHFQRTSESLPGVEARARGHPIVMQQPALEIHNRNGGAQKGPRIANVVHRFKCSVEPLEIGVALSGTLWSSSQAIFLKGFRSRVKYFSIVDPTQMAYYISEILDAGMQGPLFMVTLENCPGETFINLSPTKCWNMVRERLNMEIRRQLNMGRPNLPTLQPPGSIDGLEMFGLLSPSIVQAIEARDRDRVCTEYWRSRPHGVIEDPNNRHMLPQGPPLLALRGLIQRANRDELQVLRSFMMNSNNMDNSSRQQAAHIIEEEIAKRWC >LPERR05G05270.1 pep chromosome:Lperr_V1.4:5:4301449:4306712:-1 gene:LPERR05G05270 transcript:LPERR05G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAMHGEDSPYFAGWRAYDEDPYDSITNPKGVIQMGLAENQVSFDLLEDYLKEHPEASDFGVGFRENALFQDYHGMKSFRKAMASFMETIRGGKAKFDPDHIVLTAGATAANELLTFIIADPGDALLVPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFRLTAGALQAAYDVAVAAGTRVRGVLITNPSNPLGVTIDRAVLADAIEFVARNDLHLISDEIYSGSVFAAPDLVSVAELATAAAEHEQRVHVVYSLSKDMGLPGFRVGVVYSLNDAVVSAARRMSSFTLVSSQTQRTLAAMLADEAFAAAYVRSNRERLRDRHAHVVAALRRRAGVECLRGGNAGLFVWVDMRWLLVGDGEAATVEGELRLWRRVVEEARVNISPGSSCHCDEPGWFRVCFANMSMETLDVALERLSCFVPTGANTSRIQSGYII >LPERR05G05280.1 pep chromosome:Lperr_V1.4:5:4314607:4316037:1 gene:LPERR05G05280 transcript:LPERR05G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDDATTMNAVDLGLATPPALRRQLSYNCSREPMNHERKLSHSYSSSAVSSPASSTTSSRKSYYHAAMSNKSSSCDSIPAVDVIPAPELEKQPSSASSYESFIQLEADDLNRISETQPPAVQTMSGGGGGGYDPGRLPSSMFRTHSMSPANNGGGDAGWSFNSSESLFSIPLSHSGDISGDLYYDAGAGGSGSFRRVASARHDPPPPAAGGGLCVSESCARCSSAGGKTRKSVRFASADEIVSVSISGESGSGINQSPIFPTLADALGEARALAAVERRKTTSSAAAATQGWCCLPTPPSVWWARCACRGGGCCGVFGCGDYCRC >LPERR05G05290.1 pep chromosome:Lperr_V1.4:5:4317992:4324177:1 gene:LPERR05G05290 transcript:LPERR05G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDDGGGGAHSPPPQPVPHRRPPRPRGSDGVAEGFAAALRRRLSSGAAVAARASFGGDSGDESAAGEPSSPSSSRRRGGDNGEASSAAAGDVGHGGGGGDFAAFTFRAAAPVHRKAKESPLSSRAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGAFAVEKLAFRNLISDAVATCLHIILSTTEIVYPVLVILKCDSAVLSAFLLIFIACIVWLKLVSFAHTNHDIRQLTIGGKKVDNELSTADMDNLQPPTLGGLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPTVVNSQHPLKGGLLHAVETVLKLSVPNVYLWLCMFYAFFHLWLNVLAEILRFGDREFYKDWWNARTIDEEVAVLISFLVSAVLHELCVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCILLYYHDVMNRIEKAR >LPERR05G05300.1 pep chromosome:Lperr_V1.4:5:4326158:4326914:-1 gene:LPERR05G05300 transcript:LPERR05G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSLLFLTLLALAAGHGVVVAFDPNPLQDFCVADTTSKVRVNGQPCKDPTTVTSDDFFFPGADAPTGAGATASRRYNFTAKSVTIPGLNTLGASHARVDVSPGGAFPPHYHPRASETALVLAGEVYFGFVTSYPENRVVARVLRRGDVFAVPQGLVHFLYNNGSEPAAIYASLSSQNPGLVLVADVLLAAPLPGELVAKTLLTDLGTAEKIRENFRRH >LPERR05G05320.1 pep chromosome:Lperr_V1.4:5:4358196:4360480:1 gene:LPERR05G05320 transcript:LPERR05G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDIISDVEIEELGNSMKDELRNYLSSNIVHADCSEFCLIPRIHEYIRAIDRDSYEPIALSIGPYHNGSPEFSSLEREKWNCLDYILKLNWGFNEFLMPQAHRCMDYSSHARTLEGDLGLRHQSVTGTNEIQQINAGTHNAMKGNSLEHGNVNRSHSREGYSVPEIELSSETSEDQKAQYKYQENTQRIGKWYNIFVSCDLFLIENQIPFFIIQGIYKEVVSHLPNKMAATDACANSIVQSIEQLVQYYPVAIQELNRPKDFDHLLHLCHIYFRPGLNQDERHGHTSHYIHNFLQLGQDYLNLVYKQEAANFSSSQNGHFPYQWRRATQYHEAGIKFRRRVYLKCNPHSLLDIKLRDSVLEIPFLFVDETTSFLFRNFIALEQTSPKIGNDVTTYVLFMAKLMSMPDDVALLSRNGIVAHHLRTDRELSQLFTKLMKGVVFDMYGNYYLKPLCLALEAHYQNRLHKWIAWLRHNHFSNPWVAVAGLAGAIVLFCTVAQTVLTVFSYINPSSWKRSSSSPAGHTKESFLIKHERIDSTLSSSSSGRKDSFSEAKGVAIIRPIFHPPYIAPCAGIASVRTRMNMKGERLC >LPERR05G05330.1 pep chromosome:Lperr_V1.4:5:4360623:4363697:1 gene:LPERR05G05330 transcript:LPERR05G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALPRLLSNATHRNSFLASLAGRCLFRGLTALANHRRFPAPVSPPHVDITIHWRQGCNYFPTPLITAISLCHSSSEGPQLLPHAASPRSLSLPVP >LPERR05G05340.1 pep chromosome:Lperr_V1.4:5:4364506:4366526:-1 gene:LPERR05G05340 transcript:LPERR05G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMLTELYDSTDVVDIDELVDSMRADLNRRTTLDECRKNGNKCGLICKIVHRVRLTDRNVYEPSVLSIGPYHHSESQLIAMETEKWICADYILKLNRNGGETRGSYGEDTEMDSKEFLQMLLLDSCFILVYLGGMRGLCRDKHTQEVSDDGPGIQEDGDTVEWYNSSAVYDLLLLENQIPFFIVRAIYQLFSRDTVATTPLLTSDISEFMEGILYHFPKVITEANRPGDFYHLLHLCHMYLKPGQKLDEQHHGHAGKSHCFDYIYYFGKKVFCFGREQNMYHELNKLYSPQLVNRWRRAVNYHEAGIEFRKREFDEEDPHSLLDIKFRKGVMEIPCLLIDDKSSLLFRNLVALEQTCPQVGEDITAYIVLMSQLISTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEHVGFDYNGEYYLKSLFYVMEAHYQSRLNRWMALLWHKHFSNPWLGFAAITSAFIVLCKLFLHFCRISDKAIGSIK >LPERR05G05350.1 pep chromosome:Lperr_V1.4:5:4385322:4385841:1 gene:LPERR05G05350 transcript:LPERR05G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVSCEAPMLGLAPFGGGEAPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELDEAAEEAAASFLASVSF >LPERR05G05360.1 pep chromosome:Lperr_V1.4:5:4392467:4396022:1 gene:LPERR05G05360 transcript:LPERR05G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVAVVRRSFSHFLTQTNGLMAASLSSASCADEVAKAEGAGCRDDAAAMRLKGVAMATILLAGVVGVGLPLVGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPSSPWRRFPFPGFVAMAAALATLVLDFLATKYYEGKHRAETARVKAAAAAALAASSAANDDDGITVVTVAAAADDESKAPLLQTHSHSHHGHGHGHAELAQPESGGDGEGEVPAHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTISAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMIGTTRQQVMAYIALFLGAMSMSSLAIWA >LPERR05G05370.1 pep chromosome:Lperr_V1.4:5:4460936:4476893:1 gene:LPERR05G05370 transcript:LPERR05G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCSGISGFGCHLVWLVLVCSWTWKITTAQAQQSPKTDPLEMTALNTILGRWGKKASSEWNISGEPCSGFAADKNDWENYPNINPFIKCDCSFRNNTVCHIIKLRVVKFSVVGQIPSELQNFTYMQDLNLGYNYLTGAVPSFFGKALSFNPLSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQRMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPASLSNLTKLTRLRIGDIVNGSSSLSFISNLTSLSNLILRNCKISDNLGPVDFSKDLSFNNITGQIPQTILNLRNLEFLDFSYNQLTGGLPSWATQNNLRFNRSTRGFDGMIYEADAPNLGAASYYVTSETRWGVSNVGNYFFAIDGTNILNSPQKFQNAVDSKLLETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKTAGGKSFTAINRSYPATVSKNFLEIHLFWAGKGTCCVPILGYYGPMISALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLAALFGIFMFVKKRRKIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEGGYGPVYKGKLPDGRVVAVKLLSETSHQGKTEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSNFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVVLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQALRVVDPSLKEFDEDEAFRVIRVALLCTQGSPHQRPSMSKVMAMLSGDVDVADVFTKPSYVTEWQPRDGWSSSYAGSSNTDFSRQKETNPLTQSPTITKANLKGR >LPERR05G05370.2 pep chromosome:Lperr_V1.4:5:4460936:4476893:1 gene:LPERR05G05370 transcript:LPERR05G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCSGISGFGCHLVWLVLVCSWTWKITTAQAQQSPKTDPLEMTALNTILGRWGKKASSEWNISGEPCSGFAADKNDWENYPNINPFIKCDCSFRNNTVCHIIKLRVVKFSVVGQIPSELQNFTYMQDLNLGYNYLTGAVPSFFGKALSFNPLSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQRMRASDNEFTGKIPDYLGSMPNLEDIRIGDIVNGSSSLSFISNLTSLSNLILRNCKISDNLGPVDFSKDLSFNNITGQIPQTILNLRNLEFLDFSYNQLTGGLPSWATQNNLRFNRSTRGFDGMIYEADAPNLGAASYYVTSETRWGVSNVGNYFFAIDGTNILNSPQKFQNAVDSKLLETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKTAGGKSFTAINRSYPATVSKNFLEIHLFWAGKGTCCVPILGYYGPMISALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLAALFGIFMFVKKRRKIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEGGYGPVYKGKLPDGRVVAVKLLSETSHQGKTEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSNFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVVLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQALRVVDPSLKEFDEDEAFRVIRVALLCTQGSPHQRPSMSKVMAMLSGDVDVADVFTKPSYVTEWQPRDGWSSSYAGSSNTDFSRQKETNPLTQSPTITKANLKGR >LPERR05G05380.1 pep chromosome:Lperr_V1.4:5:4487234:4487818:-1 gene:LPERR05G05380 transcript:LPERR05G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGAARKRKRVRGEGRPKPKARGGGKATKPKGKAAMVEKAEAEHVVAVEEEEDYVNVEGITEESIAEVMSWLELEIKLASSSTTTTAAPFVPFPPPPATMAANGGGTVQAANGRGGGGHVQEGSCGASFSGSASTVMASIDLRGGAPAPPPLPWPLPSVLPTPATAAAAVEEDDDAWVDQLLTDGPTVQE >LPERR05G05390.1 pep chromosome:Lperr_V1.4:5:4494031:4494885:-1 gene:LPERR05G05390 transcript:LPERR05G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMASAANGAATASFPAPKSQMYQRPIYRPQSVKRRRGRSCRCSFCCCFCWALLIVILLAFAAAVAGGAIYLLYRPHRPTFTVSSVKLAALNLSTSPTSASLTDAISVSVVAKNPNKKVVYFYDDFSFSASTAANSVPLGSATSPGFTHDAGNTTVFTATIAANSVSVDPDAAGKDIKKSGSFSVAVDAETRAGVKLGSIKTKKIGIQVHCEGIKVTPPAPKAVKGKNGTLLAPAPAPVESDTTATTATVSTAAHSCNVRVRVKIWKWTF >LPERR05G05400.1 pep chromosome:Lperr_V1.4:5:4503837:4509413:-1 gene:LPERR05G05400 transcript:LPERR05G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHRHHHAAAASIAVAVADDDEAKPRRPYSSTFASPRAPISSSSASTHRLLLLFSLTCLLIAAASLAFAFSASRRRPPPPSAAGAETAAVAFRCGRAEDTLRAFLASSPRRNESAAGREKVLAVVGVHTEIGSAARRAALRATWFPPNPEGIFGTWNWFVVGRTKDKEKMADLQKEVDMYHDFLFIDAEDDTKPPQKMLAYFKAAYDMFEADFYVKADDAIYLRPDRLAALLAKDRLHHRTYIGCMKKGPVVNDPNLKWYESSWELLGNEYFSHASGLLYAVSSEVAGSLAAAKNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSTCTPTSIAVWDNSCNPAEIVKQLHNTTLCSKSPTLPPEVEEDE >LPERR05G05400.2 pep chromosome:Lperr_V1.4:5:4503837:4509413:-1 gene:LPERR05G05400 transcript:LPERR05G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHRHHHAAAASIAVAVADDDEAKPRRPYSSTFASPRAPISSSSASTHRLLLLFSLTCLLIAAASLAFAFSASRRRPPPPSAAGAETAAVAFRCGRAEDTLRAFLASSPRRNESAAGREKVLAVVGVHTEIGSAARRAALRATWFPPNPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFIDAEDDTKPPQKMLAYFKAAYDMFEADFYVKADDAIYLRPDRLAALLAKDRLHHRTYIGCMKKGPVVNDPNLKWYESSWELLGNEYFSHASGLLYAVSSEVAGSLAAAKNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSTCTPTSIAVWDNSCNPAEIVKQLHNTTLCSKSPTLPPEVEEDE >LPERR05G05410.1 pep chromosome:Lperr_V1.4:5:4535862:4539857:1 gene:LPERR05G05410 transcript:LPERR05G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSWMLQASAVGGGEHDAVMQQQLSQIYMLMDMEEHNDPYAGRVAPSPSSSSFHSGDSSLILAAATTTSTTTATSYHHHQQPEVSSQIPPPVYGTAVDVANPKESRQSAVASAGAFRPYSRWLGPKRNILRPGTGQRAFKKAICVLSKIHSARIAQYYQAMEMAAAAAQGRPSPATGSSDDSNHQQLQLQHVLSERKRREKLNDSFKALREVLPPATKKDKASILMRAKDYVNDLKARIAELEEKNRMHVDVDKDEECGDDKNTETGDKIEVDIDRSVEENATQVDKCQELHVKIVLGSSSGCSAMDAVASILQGLNDKRDNVSLLAMNTANVSSSGRLPHLKSSSPRPTVRLQVKSSSCDKETLKESVVKVVREVIQSEKVKP >LPERR05G05420.1 pep chromosome:Lperr_V1.4:5:4556349:4559433:-1 gene:LPERR05G05420 transcript:LPERR05G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLPLPRRFVASAAASSSSSPPQWVLSPTASRADPPRRPRLAAAPARHRRLKAHAAVSDRSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPR >LPERR05G05430.1 pep chromosome:Lperr_V1.4:5:4565259:4569534:-1 gene:LPERR05G05430 transcript:LPERR05G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSDGAPPPSGGSTSSPLDFISRAKARGATALAERRPWRELADPRAASVPRGFRDAYLRARANLAHFTMNYAIVVLAVVFLSLLWHPISLIVFLACLVAWLVLYFLRDEPLALCGHVVGEGVVLSFLSVITLVLLLLTGATVNILTSLAVGLVVVLLHAVFHRPADSIDEEAGRWYTPVPPQPTY >LPERR05G05440.1 pep chromosome:Lperr_V1.4:5:4577311:4582894:1 gene:LPERR05G05440 transcript:LPERR05G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAAVGLSWPLILAASVAAALLVAAVILRPFSGGGAKPRRHPAGTLGWPLVGETLAFISAAYSSHPESFVEKRCLRADAEASRAVLQSDAAAFVPWYPRSLMELMGDSSILVLGGALQRRVHGLAGAFLKSPELKARVTADMSSRLAAAMDAWRGRAAGDGVVRVQDEAKSIVFEILVRALIGLEQGQEMNYLRQQFHVFIAGLISLPIKLPGTQLYRSLKAKKRMTCLIQKIIQEKRRIFEGNDLCAASRDLIDILMSNGSDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDAGETLEWTDYMSLTFTQHVITETLRIGNIINGIMRKAVRDVEVKGYDGVIIPKGWCVLVYFRSVHLDAAVYDDPYAFNPWRWKERDMNISSGFFTPFGGGQRLCPGLDMARLQASIFLHHLVTNFRWVAEEDSVVNFPTVRLKRGMPIRVTPKT >LPERR05G05440.2 pep chromosome:Lperr_V1.4:5:4577311:4584368:1 gene:LPERR05G05440 transcript:LPERR05G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAAVGLSWPLILAASVAAALLVAAVILRPFSGGGAKPRRHPAGTLGWPLVGETLAFISAAYSSHPESFVEKRCLRADAEASRAVLQSDAAAFVPWYPRSLMELMGDSSILVLGGALQRRVHGLAGAFLKSPELKARVTADMSSRLAAAMDAWRGRAAGDGVVRVQDEAKSIVFEILVRALIGLEQGQEMNYLRQQFHVFIAGLISLPIKLPGTQLYRSLKAKKRMTCLIQKIIQEKRRIFEGNDLCAASRDLIDILMSNGSDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDAGETLEWTDYMSLTFTQHVITETLRIGNIINGIMRKAVRDVEVKGYDGVIIPKGWCVLVYFRSVHLDAAVYDDPYAFNPWRWKERDMNISSGFFTPFGGGQRLCPGLDMARLQASIFLHHLVTNFRWVAEEDSVVNFPTVRLKRGMPIRVTPKT >LPERR05G05450.1 pep chromosome:Lperr_V1.4:5:4582544:4590263:-1 gene:LPERR05G05450 transcript:LPERR05G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGEKRGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPNVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGDHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLMIEEDDDEQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTNQRSILGSNRLVNFT >LPERR05G05450.2 pep chromosome:Lperr_V1.4:5:4582201:4590263:-1 gene:LPERR05G05450 transcript:LPERR05G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGEKRGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPNVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGDHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLMIEEDDDEQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTVFGVTLMGIPRLSRTVGKLTTLSSSATHLRHSSYSKHQFFHHMHNICLGNLLHIVVISFQNLKPW >LPERR05G05450.3 pep chromosome:Lperr_V1.4:5:4582544:4590263:-1 gene:LPERR05G05450 transcript:LPERR05G05450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGEKRGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPNVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGDHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLMIEEDDDEQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTNQRSILGSNRLVNFT >LPERR05G05460.1 pep chromosome:Lperr_V1.4:5:4595919:4596656:-1 gene:LPERR05G05460 transcript:LPERR05G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPTPARRPPMEAGMARRLWHVVLAVCHMLRRGLCRKRVMMDLHVLLGRGKLAGRALRSLLAQHHAAVAAASYSSSSSSAMAPFYGRRPREVEFSCTTTPSSFTSYYGGLFPFKRGGRRGGGEYGGLDAAAVARAFEMMSAEVEEGAATPASATSARGSAGWATATPSPMVAWILGRTPAGVRPLRVTDSPFPAVAEDGGEPHHERVDDAEFEDFINKFYEQLRMQPSAATPECQLSRRRRRG >LPERR05G05480.1 pep chromosome:Lperr_V1.4:5:4648400:4648981:1 gene:LPERR05G05480 transcript:LPERR05G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGARRKVELEWKGRVTAAAPAATAQEAWARLSDFTAFHRWHPRVAKCRRVAGACSPRSPGCVRYCEGVPGVADAGAAAAVDWAHETLLEHDAAGMSLRYEMNDNNMGFGAFFATLSVVPGAGGGGGCELRWEFECEPVVGTEKEALAARLQDGIDGMARRVQEAVSGGRRGDGAAATNSGDVKLGTSIAV >LPERR05G05490.1 pep chromosome:Lperr_V1.4:5:4654924:4657028:-1 gene:LPERR05G05490 transcript:LPERR05G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISNSSLTGVEIEVRRSCKSRGEPHSLHNHTRTSDKEWRLGRLTWVLPRRRLAGNGISYGGGQQLGGSAAEGEAPGAGATVATALLGEGDGVGGAAVWWQRREKRERRALVACRPVVMGN >LPERR05G05500.1 pep chromosome:Lperr_V1.4:5:4664757:4665395:1 gene:LPERR05G05500 transcript:LPERR05G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQKAAAAADDDQQQQQKDHQQWEGEVVARLPSTRAAAAWPHVASFFSLHLYLPGIDVCDRVSGDDGVPGCVRLVASRRPPPPRSEEDDAAGDVESETMTWAKEELVERDDARRRLVYAVVGSNMGFGRYVSTITILDDGEQEEGHDVISPGCRLVWAFECEPVEGWSREGLHGYLDGAAKGMAERIEAAAAAIAVAGEEDGGAAPACS >LPERR05G05510.1 pep chromosome:Lperr_V1.4:5:4669746:4670634:1 gene:LPERR05G05510 transcript:LPERR05G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATSFEPRKDFQLHLKNKDQAELRRSYSECTNTTTAITDELVTGAVAVAVDGGSSEVETARCACCSVTEECTAAYIRRVRAAHCGDWVCGLCAEAVSERMRRRSPAAADDDDGGGGVKEALRWHMEVCREFNATTRLNPKLSLAGSMRDIARRSFNRRTSSAAATCHDQLRSGKTMGRTLSCQPRFLA >LPERR05G05520.1 pep chromosome:Lperr_V1.4:5:4677692:4679085:1 gene:LPERR05G05520 transcript:LPERR05G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCSNCDCNDSSQCTKKGNSYGVVIVDAEKSHFEMAEVAYENDGKCKCTTGCTCAGCTCGK >LPERR05G05530.1 pep chromosome:Lperr_V1.4:5:4679954:4680355:-1 gene:LPERR05G05530 transcript:LPERR05G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIGASAAALLLLAAAVVLTSTPAEAYIGGGSGMLSSAAHLAAPCDGELGQCAAAIGDDDEEDGGLLRRELAAARRKPSNKYVSYAALGSNQVPCNKRGQTYYQNCGKDKQVNPYRRGCSAITRCSRNMN >LPERR05G05540.1 pep chromosome:Lperr_V1.4:5:4685424:4686566:1 gene:LPERR05G05540 transcript:LPERR05G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPAAAAMPVSAAAAVAADEYTPRSILITGAAGFIASHVTNRLVREHPTYRVVALDKLDYCGSVRNLSPATRSSPSFSFVRGDVGSTDLVLHLLAAERIDTVLHFAAQTHVDNSFGNSLEFTKSNVLATHSLLESCRLHGLIRRFVHVSTDEVYGETGAAGDAADEEESRLLPSNPYSASKAAAEMLVMAYARSYGIPAITTRGNNVYGPRQFPEKLIPKFILLAIRGEPLPVHGDGSHVRSYLYVEDVAEAFDVLLHRGHVGGVYNIGTTRERRVVDVARHVCGMFGLDPEVAVTAVSDRPFNDRRYFIDDKKLKALGWEERTPWEEGMRKTVEWYVKHGEEWWGDVSAALLPHPRMLTPQQNFDTMAMNNFDFE >LPERR05G05550.1 pep chromosome:Lperr_V1.4:5:4687209:4695177:-1 gene:LPERR05G05550 transcript:LPERR05G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYEEQDAEPSTGRMVKEVTAPSPGSGGLGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKANSDTSNSSTVAEINAQHYQQESAKLKQQITNLQNSNRTLVGDSITTMNHRELKQLEARLDKGLGRIRARKNELLCAEIEYMQRREMELQNDNVYLKSKVVESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMQQPQYYPEQEDRKAFISDER >LPERR05G05560.1 pep chromosome:Lperr_V1.4:5:4705087:4714626:-1 gene:LPERR05G05560 transcript:LPERR05G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTPASRRPIVGAAGDFPPMPAPRFRWWVDELHAAVSSISPPAPAPEARKKRRSISDIFADNQWKKKPRSEEEDDCFGKMKKKGILFSSSTPKTFQVHERQKDINIEKAGKFKKNTQRCVGGTKTVNTYKLINSGMVHPKHSTQKKHTKRSSVTVSINKEKCSNLKETESKELLHKLGKHVTFSGVDGHCNVYSDNSNEAEKLVPANTSSHKNKETYFKANQSERDIYDRGASESRGAEDPLNLIDLNHVVPCIPDFNCTFISGSEVPALAHTGDATSEPQIPGDVREAFLKHKIELHSRSQQLQGEPSNCDEGRIINSRSKASLLPDDAIRIADRGMIGHPLNSREVTKLYGDYDRSSVRESFMKGKAPCILPHHTVQYTSQFSESWYTNVNLGNFHHTGRKFSSCPCQNQLNAEKPMLHSDIDVQRDHAFISQHTIRLMGKDLTVSTTGGKCIDETGKEHVDAPSTSHDYVGHRMHHVRRRFSENDVFSGNGIECKDRPLRNFSYLNCSQNAPVRLSPLQGNCNKRSGQNLLSAKTFLPTFMPHVKKPSVYHANSTRTHNPYPANLLVHPPDPDGTNFGKEQNQIISGVAEIPSAVDIVSRDTVRMTMKANVDNCNISSGPVKLRPGAKHVLEPRQNTDDGNYPPRA >LPERR05G05570.1 pep chromosome:Lperr_V1.4:5:4711140:4712409:1 gene:LPERR05G05570 transcript:LPERR05G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFHFDFIEITPTSSRRLFGDGGDDSDGAQGCGLRCARGLRARGPGGEFARAVSENRNARFPGGGGSRDRAT >LPERR05G05580.1 pep chromosome:Lperr_V1.4:5:4739775:4742177:1 gene:LPERR05G05580 transcript:LPERR05G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCCADEAALCSRCDRDVHAANRLAGKHLRLPLLGPAAANSSSSTAASPSPPPKCDICQVSAWLFLGCGFLDLGLDLGIDFSPAAMAAVVVLQECHAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVRVGQEQDDHSSAAADPPEPSPPPPPPAPTIYGGGGRGGGGFRWDAADTPPAAAAASGLHDWSSVVNEQFGSPAQPLRHAETPVAKRSPRGAAAAAFGGQGGMMDWPLGEFFGGFSDFNAGFGFGDSGTSKADSGKLGGSTDGSPYYRSSSEDDRNDDELFGQVPEIPWSVPELPSPPTASGLHWQRRPAAGHAAGAAPDTVPDISLRQCFPAAASKRRRQC >LPERR05G05590.1 pep chromosome:Lperr_V1.4:5:4750495:4753552:-1 gene:LPERR05G05590 transcript:LPERR05G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQSEETRAMEEGSIGGGDQARCENSEQDGRSKSSSKNSMFSVQFVQKLIAEVFGTFFLIFAGCAAVAVNKTTGGTVTFPWRQLPAYAAAQLAGSAAASLVLRALFGGEGEPEHFFGTVPAGSEVQSLVMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTVGPAIVLGRYTSIWVYIAGPVSGAVAGAWAYNLIRFTDKPLREITQTASFIRSTRRS >LPERR05G05590.2 pep chromosome:Lperr_V1.4:5:4750495:4753552:-1 gene:LPERR05G05590 transcript:LPERR05G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQSEETRAMEEGSIGGGDQARCENSEQDGRSKSSSKNSMFSVQFVQKLIAEVFGTFFLIFAGCAAVAVNKTTGGTVTFPGICITWGLAVMVMVYSVGHISGAHLNPAVTFAFATCGRFPWRQLPAYAAAQLAGSAAASLVLRALFGGEGEPEHFFGTVPAGSEVQSLVMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTVGPAIVLGRYTSIWVYIAGPVSGAVAGAWAYNLIRFTDKPLREITQTASFIRSTRRS >LPERR05G05600.1 pep chromosome:Lperr_V1.4:5:4755658:4756590:-1 gene:LPERR05G05600 transcript:LPERR05G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKSPPPAPALLPRSTTGSPAKKTPSPASSRRRRVIKQRPRVSTTTPVGLKSLAASLDTSLRSCRRRLLKLFSRLALLRSPSKRRAAAAGFRRLRSPSSSPPPPKPSQVAAVPPLPLPPPVDPEKKTLFLDLDETLIHSQTDQPAATAAHDFAVRPVIGGQAITFYVAKRPGVDAFLAAAARLFEVVVFTAGLPEYASLVLDRLDPAGEVFSHRLYRGACRDRAGDGRLVKDLAATGRELGRAVIVDDNPNAYALQPENAVPVAPFIDDAGDRELERVMAILAVAAEFDDCREAIKHYKDLVDAS >LPERR05G05610.1 pep chromosome:Lperr_V1.4:5:4783712:4783993:1 gene:LPERR05G05610 transcript:LPERR05G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHMSRVILFTLLLASSSSCLAQARLMPSRDHGQVNAKESSASKEASPHDFLEAMAPPLPPSPPATDIIHPDSSGWMMQGSVPSPGIGHRV >LPERR05G05620.1 pep chromosome:Lperr_V1.4:5:4796077:4796406:1 gene:LPERR05G05620 transcript:LPERR05G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLHSAAVTILFVFLLASSSMSVLQARMVPASHDHHVNKESTTASNPTPGSTVDVSADSLAAMAPPMPPPTPAGKAPETTTTTTAPVGKRWGKAQLQGSVPSPGIGH >LPERR05G05630.1 pep chromosome:Lperr_V1.4:5:4799318:4799644:1 gene:LPERR05G05630 transcript:LPERR05G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVATIFCFLVLASSQSLVQAARLMPVGAHRPQQSPVAIASSTTDTAASSSTSQDMLQEFMAPPRPYAATIAGKPEIAVVAAKHRRATIQVQGSVPSPGIGHH >LPERR05G05640.1 pep chromosome:Lperr_V1.4:5:4809686:4811014:-1 gene:LPERR05G05640 transcript:LPERR05G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMAAELPQQKSGGGLARRLSRLLRRKRSVAGGGGEYDESSIDSSINSVSKLKLSAATLDMLFRNAAAEKTNSPATEKTNPEKEKDKTDSTGAHAFVASLFAGVSAVKAAYAQLQQAQRPYDAEGIQSADAAMVAELTKLSEQKRLYARDPLAAVANCVSAAAELAAHADEQRHLVRTYEITAGKLATELRARDAEAERARAALAEELRVHLSGLDATHFLAASRRAARAVRAFARTMVREMRRTGWDPSAAAAAVHPGVALRHGDAAFAVESFVALKVFDGFHRKDFGISSLRDRRSYDRRRFFDEFNAAASPAFGEFLRERYMSVVHERIESAFFGSAAQRDAVASGGEPGTPWLAEFAEMARRVWRLHCLFFSFEDGEASVFQVHAGARFSEVYMESVADDDGGGGVVGFTVVPGFNVGRTVVQCRVYLTRPAPRQP >LPERR05G05650.1 pep chromosome:Lperr_V1.4:5:4832305:4832751:1 gene:LPERR05G05650 transcript:LPERR05G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEVVRRWHAIEKVTMRLVNHLVTCTFAIQDGDYIAVAGSLSDAREILTKIPTHVGIGRVLTIFADALSEQLFLTFPNLALPPPLPHTKQHDLFHGFYEVGPHLKFPHFIANRAILKAFESCGIVHVIDFALMDDVQWQPIIKVMAV >LPERR05G05660.1 pep chromosome:Lperr_V1.4:5:4832813:4833301:1 gene:LPERR05G05660 transcript:LPERR05G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTWGFPSPSLQGLAVLHTIPSEAIAINVVIQLHRLLVNQGTTMAVSSSVPIVDVLDLITSLNPKEADHNKLSLLERFNNSLFYYAAMFDSLEAASRTSEAMSPATRSSRHYCRGRSANTVSHEGSSCVQRHKLMTRWMERIQRAGLTQVPHD >LPERR05G05670.1 pep chromosome:Lperr_V1.4:5:4836740:4838481:1 gene:LPERR05G05670 transcript:LPERR05G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGFGGWSPMDVTAALAKAINAINNSNNGQAVYAPLTPGMVVPPPGVSSALQIEAARRWQEMEKLALQLVSHQVTCAGAIQAGDYAAASGSLSNTREILIKIPTGVGIGRVLTHFADALYERLFLVFPNSMSPLQPPRAERRELFRGFYEARPHLKFSHFTANQAILEAFKGCGVVHVIDFALMDGIQWLSFIQAMAIRPGGPPILRLSRVGPLATDDHDELREVGIRLAEFSRSCNVPFAFHGIAVEKIDELRTWMFQTVPSETIAVNAVLQLHRLLVDQDAVVAASSPAPINIVLDLIMSLNPKVFTMVEHKADHNKSSLLERFTNSLFFYGAMFDSLEAASRHDGGDGTGNPLAEAFLQGEIADIVSHEGSSRVERHEPMTRWIERMQRAGMTQIPQSQNELWQAAMHVRSSTDFRV >LPERR05G05680.1 pep chromosome:Lperr_V1.4:5:4840057:4841448:1 gene:LPERR05G05680 transcript:LPERR05G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLSSSYHLAAALRREPDAAAALRLFLNPTSAAPSSAPPPFRYSLRCYDIIVCKLAAARLFPEMESVLAGLPPSLRPREPLLCRVISAYGRARLPFAARRAFAHPAFPDPRTSRALNTLLHALLACRAPLSEILGECRASGIAPDACTYNILMRAAAVDDSGSVEDARNLFDEMLQRGIAPTIVTFGTLVTAYCGAGRIEEAFKVKEVMSSQYKIRPNAYVYASLMKALCQKGKVDDAVRLKEEMVRDKELVLDSGAYATLARALFRVGRKEEVVGLLEEMKGKGITVGREVYNAMIAGFCEDEGDLDAAFAALDDMQKDGCKPDAMSYNTLVAKLCKMGRWRDASELVEDMPRRGCRPDVVTYRMLFDGICDAGEFSEARKVFDEMVFKGFAPSKDGARKFIGWIEREGDDEVFLESVLCQLARINALDSSGWEKAVGGMLHEPPEQMAVKLLDDLKLT >LPERR05G05690.1 pep chromosome:Lperr_V1.4:5:4846838:4850256:1 gene:LPERR05G05690 transcript:LPERR05G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR05G05700.1 pep chromosome:Lperr_V1.4:5:4855595:4859206:-1 gene:LPERR05G05700 transcript:LPERR05G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEPMMLDAQPPAAVACEKEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMNHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANSSPELISRLIPEHVRRQAGHNFFNIGS >LPERR05G05710.1 pep chromosome:Lperr_V1.4:5:4865712:4872462:-1 gene:LPERR05G05710 transcript:LPERR05G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLQSLHLPKRASSPPPPPPMPRPPPAAAQGKESPSSNSASDGAAAAAVVAVGGERGGVVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKACNPGKAIQIPNLVAGQQAHGMVTWSRWERFSTFHED >LPERR05G05720.1 pep chromosome:Lperr_V1.4:5:4877120:4890414:1 gene:LPERR05G05720 transcript:LPERR05G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDFGVERRSDPTTPLPCAPFFPGTEEAAASPPHTAGRSFRSAASEAAAVVPSPPPRRGPTQGSQLPPPSSPLAFSSAHNPSARQGGEEQAAAATASGEEEGREEGRRLQPLFSIPPLSRLQMNQAEVSGLLCVGLHQTDAGDKLYATECLKTNQDSPHETDKLDVEMPDSAMHNDHNNANAYVDDYQHTIQATEEVGFDSTQPFELQSEGIVPDSEEESLPSSPETSSTSNYDMSCGLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTQYVGPQLTFSSDGFKIEYLYRDSCENDEMVARNWKLSDITCIDCKWAQSVGSALITLHVESSTETGNSGHDRVEFCIIDPQWPRKQQNIWHLASRYQEIWNSISFTEDFEDVIYPKGDHDAVSISKRDVDLLLPETFVNDTIIDFYIKYLTTRIEPTEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHCDTNISSKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSNKFLNLRFISLELPQQDNSFDCGLFLLHYVELFLTDTPRSFNPLKIDSFSNFLSDDWFPPAEASLKRALIRKLIHKLLKEPSQDFPKLVCCSEQLDNTHQRSENAEQEQTKELPGQKCSDSEPDSVCTVLGTQKPSTSTCFNDSDVNGPTVSGCISETGKVPTVPVDNLHELEACSPNKGTNVCLSIHDEKNEPPPADSYNHIDLSSCNSEDVDTFKGSAVVELDNNTNKDEEHNRTSEDIAQSVTMLGGSKGDTELNPEGTFCEPGVGNCDHSEDTDFIELGHSNKDAAKLSLDRKISEAEDIKCEDILVDHIMVKDATQHDANETSAAANKINDNELIVSSELKEGNNGSVMTCSTPCEMEERIIDNVMVGDNRNGTDEARSQDAHENFATAETVPCKDDTTGITDAEIRHKDSSGCRESETISDNTSSDAKRPLPDSTYEEHIPDDKCSQKDDQGADAKIERHYKRRKILASEASSLD >LPERR05G05730.1 pep chromosome:Lperr_V1.4:5:4893177:4897289:1 gene:LPERR05G05730 transcript:LPERR05G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQTAAAATGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAAQVTKNMLANEGVSSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPVAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKQIGI >LPERR05G05740.1 pep chromosome:Lperr_V1.4:5:4898141:4899848:-1 gene:LPERR05G05740 transcript:LPERR05G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKGRPGGAAVVMGRYELGRLLGHGTFSKVYHARSLVSGEAVAVKVIDKEKALLAGAGMAGQIEREIAAMRLVGRHPNVVRLHEVMATRTKIYFVMDLVRGGELLARLVAVGGRLGEAPARRYFRQLVAAVDYCHSRGVYHRDLKPENLLVDDDDGGNLKAWFKVSDFGLSALRRPAGGGDGRLLHTTCGTPSYVAPEIIGNKGYDGATADVWSCGVILFLLLAGHLPFFHSNLMEMYNKITKSEFRFPDWFSLDARSLISRLLDPNPKTRITIDDIINHPWLNKGHHNPTPATSSNNFKSIEEEEEDKPANLMKPASLNAFEIISLSHGFDLSGLFCNDQIEKKQEVFVSGRTASAIVSRLEEIAEAEEFEVEKKRKKKKQSESEGMTVKMKGWREGRKGRLAVDAEILEVSPSCYVVEVKKTAGDTMEYQAFCSRGLRPSLKDVCWST >LPERR05G05750.1 pep chromosome:Lperr_V1.4:5:4907015:4908929:1 gene:LPERR05G05750 transcript:LPERR05G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFTGSSVDGSLAMDQNTCMDVSDGSDSDDSRDLLDLNCYTQHEEPTGEDSNTLPSPTAKINIDNSSSTSRASKKRPRGNKSPTKKPSNSKSRFAECTDEISAKMKLIQETFVATVPPQMPQFTDPHAILWQKKEATPLTPDQRVLVEVKAMAQVMDKEAMEETMTNQVEQLLSFIWD >LPERR05G05760.1 pep chromosome:Lperr_V1.4:5:4913318:4918294:-1 gene:LPERR05G05760 transcript:LPERR05G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEEIALPAVQKAAASPVADVPEIDLGWEDAAAAVVAACEGNGFFKVTGHGVAPELLARVEAAAAAFFAMTPPEKEAAAGVPPGSPFGYGSKRIGSNGDLGWVEYLLLAVTAAGETAPLSAGGEASPSPAFCLFRDLLNEYVVAVRSMACNLLGLIAEGLGLREKDALVRLVSHEESDSMLRVNHYPPHPELKLPLQLQGHGNLTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWASVPPDRNSFFVNVGDLLQVLTNGRFRSVRHRVMVSSPRPRVSVIFFAGPPPQERLVPLPWLVAEDGGHRRYREFTWREYKASADRTKLAENRLCHFETEDD >LPERR05G05770.1 pep chromosome:Lperr_V1.4:5:4926685:4931397:-1 gene:LPERR05G05770 transcript:LPERR05G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAGPLPYSMRDVDGGGAYNNAKFRHRSRLKMATQALFTNSSKYQCGKFTVGKFLSLLMVFGLIYLFAQKSSDGFVSGELHDKVENRHTKKDSLKIRTFWRKPPRLPPRLPPNEIYKNNSLLLQSPPSEWASRQKKVKEAFEHAWSGYRNYAMGYDELMPLSRRGVDGLGGLGATVVDSLDTAIIMGAEDVVSEASKWIEDNLMKKISEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGADSGVPVTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYNYLSTISGDSKYELEAMKVLQHMHTLSTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQEKYRNTSLKYLFEMYTEAMKGVRHLLVRKTIPNGLVFVGELPYGRNGGFNPKMDHLVCFLPGTLALGATKGITKKKAIENHLLTAEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGDSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSVEKISHSMSHPVHKLLRSYLVIQGSAAAKLVPGEGKPG >LPERR05G05780.1 pep chromosome:Lperr_V1.4:5:4933549:4934214:-1 gene:LPERR05G05780 transcript:LPERR05G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSVAAAATAPSGCLPADQSCFALSSSSDVSPYTTSRRDGAATARACCTTASYVVVLGISFGSLLAILLILCLIRWYLVWRSARHNHNRHDGDTDDAVAGEAPGSAAKKPSSGLDADAIAALPVFSYRREEDSGVEEDEVECAVCLAVMADGEAARRLPRCAHLFHRGCVDVWLREHSTCPVCRADVVVTRQESSTSRALVASPAAAAAERDLEAQL >LPERR05G05790.1 pep chromosome:Lperr_V1.4:5:4936625:4939589:1 gene:LPERR05G05790 transcript:LPERR05G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLARLFAPTHIAMAFSTASSVSASASPSRRLTYLTRHLLLSSSGELSSSSVGAPAAAARPAYLASPKGYAAVLVCLFEDPQGGDPRVILTKRASSLSSHSGEVSLPGGKVEEGDADAKATALREANEEIGLDPALVSVVTVLEPFLSKNGLHVTPVIGILADKALFEPVLNKSEVEDIFDAPLEMFLKDDNRTTQEMSWMGMNIPVQSFEYQSEDKTFVIWGLTAHILTRAAAVVLQREPSFVELPRYVSSPLGDRNETKP >LPERR05G05800.1 pep chromosome:Lperr_V1.4:5:4940716:4941985:1 gene:LPERR05G05800 transcript:LPERR05G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCCCGGGDDRHGHDDGCKCRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >LPERR05G05810.1 pep chromosome:Lperr_V1.4:5:4948074:4948610:-1 gene:LPERR05G05810 transcript:LPERR05G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDQILYQNMLDLLQRVWVSFTSKELGEWKVSPSVNANKQREGTNLYAFYVAESIMSRGQRTYSALDNLEYRRDRVAKEDKHKAI >LPERR05G05820.1 pep chromosome:Lperr_V1.4:5:4965962:4969329:1 gene:LPERR05G05820 transcript:LPERR05G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSINSHQHAVTRDHRITQQHESPATQARSWLINTMTTTTTRAALLVVLVACAACAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGNTFFRRPTGRCSDGRVIVDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATSMDASFFKSIGLSDKIWNNGPLDTQIQWFRQLLPNVCGRDCKNYLSKSLFVVGEFGGNDYNAPLFAGRSMSEVRGYVPQVVSKIIRGLETLIRMGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDGNGCLNSYNGLSAYHNRLLKRSLSNLQRTYPHARIMYADFYSQVTAMVRSPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGSSACSDPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILR >LPERR05G05830.1 pep chromosome:Lperr_V1.4:5:4992530:4999390:1 gene:LPERR05G05830 transcript:LPERR05G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWMDEIQLMNPPLTAVVVVLLLVHGGAAASGDQHRRRFSSIFSFGSSYSDTGNFILQSAGLPSIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEGLGLPLVPPYLAADENFTAAGGGGANFAIVGGTALDAGFFLRRNAASVPPFRSSLRVQIGWFRSLLGRRDGNATAAQRRERLAATSLFVVGELGGSDYRYLLSAGKSLDQAKSFVPDVVRAICRGVERLVEEGARYVVVTGTPPAGCMPMELTKYAASAAAYDRRTGCLRRLNGLAQYHNWMLREAVGRMRAKYPATKLVYADFYKPVASIVRRPAKFGFTQQPLKACCGGGGPYNYNPAAACGSPGASTCSNPSAYVNWDGIHLTEAAYKFVAGGWLNGVYAYPSILSLLDQ >LPERR05G05830.2 pep chromosome:Lperr_V1.4:5:4990041:4992889:1 gene:LPERR05G05830 transcript:LPERR05G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARMVVVLGLLCLAAAMVATGEPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFRENNVWSIPPFNTSMSVQLEWFQEIKETICSTTSECREFFSKSLFVFGEFGGNDYSFAWKADWSLEKVKTMVPHVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYPSQDQSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPESRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATQCDDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >LPERR05G05840.1 pep chromosome:Lperr_V1.4:5:5001097:5010137:-1 gene:LPERR05G05840 transcript:LPERR05G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPERREVFKQVCKWKIASRDLVPIIENYQADRNMVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDRLERDSFTEDDWKLVQLVLTLFRNVLAIQEITLTQKASGEATQLLCLADRFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLLEIFHYLFLGRDPELIARASTEGSKEHVEGNIDTSVHSLRLMMEEEERKKRMFRQRNSENHSISGIFTCTAVDGSKSLCKGNPNSASANSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMLRNFLDQFLSGGYNVLMQSVCDDIVKEHHSIQKSDITTFFKVARFALAFQHEKASNAQKSNAGVQLPEASLGNHLDDNLPFIGDICGPVAATLNEDMFNLVISRWREAYDSLKELKDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRETQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKNKVSKNKSEETNPSAEDMDKSNVGSTDGTKCPPESLPDLINADSVAEPPVIEQGQVSNGMDVPDKLAGTSASPDSALHCTGEPSCADNSKMKENLIEEDESSDSSLDDQPPATSEVDFNVSRLISSLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILADQKLSTSKEYANIVNFLSKVVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLSDVAKDSGNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPQAPYNADKDEDSSSGEREAVHKRNVGITNKSNRILSFSDSEADDDDRIQKPPKGEVVPFLVKIKRRYKDDRKCTHLIAEALDPSGKISSAQVSRKLTQLGLRNVMRRTKVPEAPLLAQGLGTEMDQMLGEDNYGTKSESTRRRRKRQNVSRASYENNTSDGKSSDEETLQAIKSRAKNKKEPSVGTPSTSQHQDVLEDPDSDDETIGSMLRSGKKKRLLTSDHTISIKKHDESPDSTNTSNYSPHISQQQGALQDTYSGDDIISSMNRSGKKKRLLKSDLTANTQEHQEPFKNIGQDDETASSKNTLHYGLNSSNNSGGAGQTDLLDDFIEPELDNGENTEQRITEYRDMENSFDNQKPGQKRRHKLVIDDEDDD >LPERR05G05840.2 pep chromosome:Lperr_V1.4:5:5001097:5010137:-1 gene:LPERR05G05840 transcript:LPERR05G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPERREVFKQVCKWKIASRDLVPIIENYQADRNMVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDRLERDSFTEDDWKLVQLVLTLFRNVLAIQEITLTQKASGEATQLLCLADRFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLLEIFHYLFLGRDPELIARASTEGSKDGSKSLCKGNPNSASANSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMLRNFLDQFLSGGYNVLMQSVCDDIVKEHHSIQKSDITTFFKVARFALAFQHEKASNAQKSNAGVQLPEASLGNHLDDNLPFIGDICGPVAATLNEDMFNLVISRWREAYDSLKELKDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRETQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKNKVSKNKSEETNPSAEDMDKSNVGSTDGTKCPPESLPDLINADSVAEPPVIEQGQVSNGMDVPDKLAGTSASPDSALHCTGEPSCADNSKMKENLIEEDESSDSSLDDQPPATSEVDFNVSRLISSLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILADQKLSTSKEYANIVNFLSKVVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLSDVAKDSGNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPQAPYNADKDEDSSSGEREAVHKRNVGITNKSNRILSFSDSEADDDDRIQKPPKGEVVPFLVKIKRRYKDDRKCTHLIAEALDPSGKISSAQVSRKLTQLGLRNVMRRTKVPEAPLLAQGLGTEMDQMLGEDNYGTKSESTRRRRKRQNVSRASYENNTSDGKSSDEETLQAIKSRAKNKKEPSVGTPSTSQHQDVLEDPDSDDETIGSMLRSGKKKRLLTSDHTISIKKHDESPDSTNTSNYSPHISQQQGALQDTYSGDDIISSMNRSGKKKRLLKSDLTANTQEHQEPFKNIGQDDETASSKNTLHYGLNSSNNSGGAGQTDLLDDFIEPELDNGENTEQRITEYRDMENSFDNQKPGQKRRHKLVIDDEDDD >LPERR05G05850.1 pep chromosome:Lperr_V1.4:5:5014160:5018481:1 gene:LPERR05G05850 transcript:LPERR05G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPGSLADAFAAAAALRDDKPDSLPPGRLSPVSPLLRATPTSSSSGSSGSVPPPRTAAAAAAAARRGGDYCYGEGFGGSPLIYTSPCSGAGAAGSSSTATSAFATGAAFPAGNICPSGRLATAPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAATAISEDHASAAVRMMPTASATAAAAADAAEEIRHAGNEQYKSGCFEEALRLYDRALAVWPDSAACRANRAAALIGLNRLGEAVRECEEAIRIDPSYGRAHQRLASLYIRFGRTADARKQIGMAGLQPDVVELHKLEAVEKNLGRFLDARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCTQDIMFCGFQANSYIYYVRAQVDIAFGRFDGALSSVEKARKIDSGNTELMAMHKNVRNVSQARSLGNELFHSGKFAEACLAYSEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWEDSVKDYEVLRKELPGDPEVAEGHFHALVALRSSRGEDISNMKFGGEVEALVGTEQFQMATTLPGVSVVHFMTPLNQQCSNIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSVRQYEV >LPERR05G05860.1 pep chromosome:Lperr_V1.4:5:5021656:5022135:1 gene:LPERR05G05860 transcript:LPERR05G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAEATGEARADATAAATGGDDGELLSPPPPPPPPSRMIQMPPEYVDWVLSQKRDAHYPDPEENPITRTNNPIKLPGLSAEWIEKDRERILTAAALFKQFDEFQDQVRTEVAEKGVYEVSEDYFVRKAEYEAWLDKKWAEYDFSRISFADEEDED >LPERR05G05870.1 pep chromosome:Lperr_V1.4:5:5025637:5026560:1 gene:LPERR05G05870 transcript:LPERR05G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLRIRNFLVRVHHCMYIYVRTHTRGCTSSSIEKKKHRRTNQLQACLVRTTLMTMPLDLRLAFLFSPLLQASSRHSSLCSFALLATANLVLKITSTCTGEELSAATNVGSMEWELAIDLEPFRQFRRLNIYIPT >LPERR05G05880.1 pep chromosome:Lperr_V1.4:5:5049370:5049777:1 gene:LPERR05G05880 transcript:LPERR05G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLQRSHFIQLLTRTIHIMKRLRRRHGNNEEPSSVGDRRRKLIGAMAAPKAHHDRKIYDGNKKKPAAAAGVLRVKLVLTRKEAARLVSLAGEGRRRTAVQLLRELRRMDDAGLVDGSPATAWKPVLEIISEEW >LPERR05G05890.1 pep chromosome:Lperr_V1.4:5:5053561:5056005:1 gene:LPERR05G05890 transcript:LPERR05G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAALPAKLPPPPPPPPPPKSTSPRHPNPLSAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRRLLRGDLLDSDAVVANCLLTLYSKCGAVAAAHNVFDGMRGRLHDIVSWTAMASCLARHGAERESLRLIGEMLESGLMPNAYTLCAAAHACFHPELYHLAGGMVLGLVHKMGFWGTDVAVGSAMIDMLARNRDLESARKVFDGLTEKTVVVWTLLISRYVQGECAEEAVELFLDFFEDGFEPDRYTMSSMISACTELGSVRLGQQLHSLALRMGLASDGCVNCGLVDMYAKSQIERSMDYAIKVFERMNKHDVMSWTALISGYVQCGVQENKVMALFGEMLNEGIKPNHITYSSILRACANISDQDSGRQVHAHVIKSSLAYVHTVGNALVSMYAESGSMEDARMVFNQLYEKNLTSFSTPLDHQIARMDVGISSSTFASLISAAASIGLLTKGQQLHAMSLKAGFGLDRFVSNSLVSMYSRCGYLEDACQSFNQLKDRNVISWTSMISGLAKHGYAERALSLFCAMISAGVKPNDVTYIAVLSACSHVGLVKEGKEYFRSMQRDHGLIPRMEHYACMVDLLARSGLVREALEFTNEMPLEADALVWKTLLGACRTHDNIEIGEIAAKNVIELEPRDPAPYVLLSNLYADAGLWDEVARIRSAMRDKNLNKETGLSWMEVENTTHEFRSGDTCHPQAQDIYAKLDTLIREIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGQCSCGEYW >LPERR05G05900.1 pep chromosome:Lperr_V1.4:5:5056907:5059367:-1 gene:LPERR05G05900 transcript:LPERR05G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASAATCSGVCETRLVDRCIDAAARSASTVEAWRRQRRSLERLPAHLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHFAIDAEWMAYLGSFRYLRVLNLADCKNLNSSAVWALSGMSTLKELDLSRCSKITDAVIKHLASIESLEKLHVSQTGLTDNGVMAISSLENLRLLDLGGIRITDKALWSLQVLTQLQHLDIWGSEITNKGASVLEAFTSLSFLNISWTHVTCLPFIQTMRCLNMSNCTVHSICSGDPKAVIQLEKFIVSAASFGTVPSLITLSLAHTKVDDSALWYISMMPSLRILNLSRTCIKGFMIENSVKMLSMSALEELKYLESLNLDNTQLTDDVIPPLASFRALKYLFLKSDFLSDPALHALCSASSLIHLGFCGNILSSSGLLKFVPPAMLRVLDLSGCWILTGDAISAFCRRHPVIEVRHELMQELQTNCSGTFQLYKSRQPQQVNAKVSKSLAGPDERIKYSKEELMELQHLATSNSLMHVMWLPPELRRST >LPERR05G05900.2 pep chromosome:Lperr_V1.4:5:5056907:5059367:-1 gene:LPERR05G05900 transcript:LPERR05G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASAATCSGVCETRLVDRCIDAAARSASTVEAWRRQRRSLERLPAHLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHFAIDAEWMAYLGSFRYLRVLNLADCKNLNSSAVWALSGMSTLKELDLSRCSKITDAVIKHLASIESLEKLHVSQTGLTDNGVMAISSLENLRLLDLGGIRITDKALWSLQVLTQLQHLDIWGSEITNKGASVLEAFTSLSFLNISWTHVTCLPFIQTMRCLNMSNCTVHSICSGDPKAVIQLEKFIVSAASFGNIDEVFSSILPSSLTYLDLSSCSLSNLSCLENMKNLEHLDLSNNRIINDAIEYVANIGMNLKFLSLSNSHVTSQALCVLAGTVPSLITLSLAHTKVDDSALWYISMMPSLRILNLSRTCIKGIPDLQRMTGFMIENSVKMLSMSALEELKYLESLNLDNTQLTDDVIPPLASFRALKYLFLKSDFLSDPALHALCSASSLIHLGFCGNILSSSGLLKFVPPAMLRVLDLSGCWILTGDAISAFCRRHPVIEVRHELMQELQTNCSGTFQLYKSRQPQQVNAKVSKSLAGPDERIKYSKEELMELQHLATSNSLMHVMWLPPELRRST >LPERR05G05900.3 pep chromosome:Lperr_V1.4:5:5056907:5059367:-1 gene:LPERR05G05900 transcript:LPERR05G05900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASAATCSGVCETRLVDRCIDAAARSASTVEAWRRQRRSLERLPAHLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHFAIDAEWMAYLGSFRYLRVLNLADCKNLNSSAVWALSGMSTLKELDLSRCSKITDAVIKHLASIESLEKLHVSQTGLTDNGVMAISSLENLRLLDLGGIRITDKALWSLQVLTQLQHLDIWGSEITNKGASVLEAFTSLSFLNISWTHVTCLPFIQTMRCLNMSNCTVHSICSGDPKAVIQLEKFIVSAASFGNIDEVFSSILPSSLTYLDLSSCSLSNLSCLENMKNLEHLDLSNNRIINDAIEYVANIGMNLKFLSLSNSHVTSQALCVLAGTVPSLITLSLAHTKVDDSALWYISMMPSLRILNLSRTCIKGFMIENSVKMLSMSALEELKYLESLNLDNTQLTDDVIPPLASFRALKYLFLKSDFLSDPALHALCSASSLIHLGFCGNILSSSGLLKFVPPAMLRVLDLSGCWILTGDAISAFCRRHPVIEVRHELMQELQTNCSGTFQLYKSRQPQQVNAKVSKSLAGPDERIKYSKEELMELQHLATSNSLMHVMWLPPELRRST >LPERR05G05910.1 pep chromosome:Lperr_V1.4:5:5060605:5063248:-1 gene:LPERR05G05910 transcript:LPERR05G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGGAHVAATLFGLLALASMVKPSFGAGGHDYAMALKKSILYFEAQRSGVLPPNQRVTWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKEMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRAAYPGYANLLLVHSKQDLGDIAACSQLFEFADKYRGKYDASITVARNYYGSFSGYGSLTVPVSPWRGASRRRWIFVEHLVGMTDTDMTPLPHVVQDELLWAAAWLFEATEDRFYLDYIAGNGDELGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALKRYRDNAEFFVCSCVGKSGAGANVPRTPGGVMYHQRWNNLQFVTSASFLLTVFADFSSVSGRGAVRCPAGPAQPYDILKFVKSQVNYILGDNPRGTSYMVGYGSSYPRQVHHRGASIVSIKRDPSFVSCHEGYSEWYGRQANNPNLLDGAVVGGPDEYDDFADERNNYEQTEAATYNNAPLLGVLARLASSCGGLKESEEINQITTDSPPIVNDNSNHTLAMLSHGGESVIGIEQKVTRTWGMRRRTYYRYEVRVRNRSRRKTVRELHLGVSEIRGRMWGLHEARYGYVPPRWLPVLRPGRSFTFVYVQPAPPANVWVTGYKLV >LPERR05G05920.1 pep chromosome:Lperr_V1.4:5:5077556:5083741:-1 gene:LPERR05G05920 transcript:LPERR05G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSQDGESKTKLHPLVQGDDEVKSEHPNTIATDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLAPTQNGIRPMPVNNLPMGYPVLQQPGIPAPGQPHVNSIACGPPGCHVVNGIPAPGGYNPIRMNSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQVGPDGGDSSSLGQQIWNFSLSDLSADLTNLGDLAALENYAGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >LPERR05G05930.1 pep chromosome:Lperr_V1.4:5:5102916:5105533:1 gene:LPERR05G05930 transcript:LPERR05G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGAARGWRAVGEEAGGGGVAATAAVAVAGAAAEADHMRRLHSHAPGENQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIITVHPENIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >LPERR05G05940.1 pep chromosome:Lperr_V1.4:5:5110111:5111260:-1 gene:LPERR05G05940 transcript:LPERR05G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVCLAVFLLAALASATSNNPLTAAQKASHGATIEEEKRILPAKQDEGELKAVSKAVQAGELEHQQVYNNIDISMKLRIDTLIYTQGSGVHRRYPYIIDWAFMLNRYFLINFTKPRIL >LPERR05G05950.1 pep chromosome:Lperr_V1.4:5:5115212:5116876:1 gene:LPERR05G05950 transcript:LPERR05G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRARADDTPTPPPPPAPPLRKAPSQLKPVVVFAHGAGAPSSSDWMVHWKDMVKDALDAVEVVTFDYPYLSGGKRRAPPKAEKLVDHHLGVVKDVLAEHPGHPLILMGKSMGSRVSCMVADSDDITISAVICLGYPLKGLKGAVRDETLLKLKIPTMFVQGSKDGLCPLAKLESTLKKMTCKNELHVIDGGDHSFKIGKKYQQSSGVNQQDAESEAVKAIAKFVENSIVGTCT >LPERR05G05960.1 pep chromosome:Lperr_V1.4:5:5118515:5120666:-1 gene:LPERR05G05960 transcript:LPERR05G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAAPILTFKRIIKKASIEEYSCIPYILALFSCLTYSWYGFPVVSYGWENLTVCSISSLGVLFESTFISIYVWFAPRGKKKQALLMSSLVLVVFGLTVFFSSFSMHNRHIRKIFVGSIGLVSSISMYGSPLVAAKQVIRTKSVEFMPFHLSLFTFLTSLTWMGYGVLGKDPFITAPNCIGSMMGILQLVLYCIYSKCKETPKVLHDIDQPTALKIPTNHVDTKGDNP >LPERR05G05970.1 pep chromosome:Lperr_V1.4:5:5158370:5162077:1 gene:LPERR05G05970 transcript:LPERR05G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKICQSPNPTAPVSALDLELEISPPMASTSRWWWSSWAPLPSSSSCRHHKGGDGWGLGGPVVVKAVGWLLLAGLLFRVLFSFPSSPPEISSKEKCNLFDGEWVPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLHWKWRPYDCELPQFDEVRFLTAMRNKSWGLIGDSILRNQIQSLICLLSKAEEPVDVYHDKEYRNRRWHFQSYNFTVSLVWSPFLVKSAVFENENGQSTSEIQLHLDILDPIWSNQYENFDYVVIAGGQWFLKIAVYWENGRVIGCHYCKDKKLPELGFEQLYRRTLQQVFRFIGSSNHKPVVLFRTWAPDHFENGEWYNGGTCSQVSPYKKGEYRGNDIDHIMRPIELEEFRKAMAALGGSQNAANLKLLDTYSLSSMRPDGHVGPYRYPFVKGDKDAKSVQNDCLHWCVPGPIDAWNDLVMEMVLN >LPERR05G05980.1 pep chromosome:Lperr_V1.4:5:5162082:5162557:-1 gene:LPERR05G05980 transcript:LPERR05G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCLSSVLAIIKGPTAGEAEEVATRDALLRRRLLVGETAAATEREAAANLEEEVAALECAVAAADAAADELVLAAAAKGRRIRELELEIQAVNNMTSRWRWA >LPERR05G05990.1 pep chromosome:Lperr_V1.4:5:5164490:5165161:-1 gene:LPERR05G05990 transcript:LPERR05G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALARLVTEEHGVPFYTFFISSWMFLSLFLHLPAIDDDAGGVEHRDGTEPVRLPGCVPIHTHDLPGSMLADRSSDRYAGFLAMARDGANADGILVNTFRELEPAVGDVANGVMMPPVHAVGPLAWTRPVSIDRDHGCLSWLDQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSHHRLIWAIKRPDQDTSSGAFFGTTHHGEDDDEIEFLPEGFIKRTRC >LPERR05G06000.1 pep chromosome:Lperr_V1.4:5:5175012:5186174:-1 gene:LPERR05G06000 transcript:LPERR05G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRGAPPAALGSTRPRVLLVCSPCIGHLIPFAELARRLVADHGLAGTLLFAAATSPPSEQYLAGAASARAERINLVALPAPSPSPPDAAASVRDRVARAVVTGVPRVRDATCSLAPIAAVVADMVGAPARAVAEEHGVPFYTFFTSSWMLLSLFLHLPAIDDDDAARAGGGEHRDATGPIRLPGCVPIHTHDLPGSMLVDRSSDTYAGFLVMARDAAFSDGILVNTFRELEPADGDMADGVKMPPVHAVGPLAWTRPVSMDRDHGCLSWLDQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSHHRLIWAIKRPDQDTSSGAFFGTTHHGEDDDEIEFLPEGFIKETKGVGLVLQSWAAQMAILGHKSTGCFMIHCGWNSMLKTWPLYAEQKMNAAMMEVQAKVAVRINIGPERVIGKDEIAGVIKKVMEGEEAKRLMSMHALSKDGCSSSALAQVKHLGRRPVLNDDHVERSTCVPPTRSGSKTPDTRSSHFNPLMLLVKWAAGGGSECDEGEVDDIRQIPQPPPVVCSGVAAAAVTMRAASNLLHDAVPVSKHQAGQIVLSWEADRLHRVTVLTASMPPVHAVGPLAWTRAVSMDRDHGCLSWLDQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSHHRFIWAIKRPDQDTSCGTFFGTNHHGKDDDEIEFLPKGFIKRIRGMGLVLQSWAAQTVILGHKSIGCFMTHCGWNSILESVSNGVPMVAWPLYAEQKMNAAMMEIQAKVAVRINVGPERVIGKDEIAGVIKRVMEGEEAKRMRNRVREMKDKAMYALSKDGCSTSALAQVTHVWISTAVPGNVLDKDRSLDLTQIHAS >LPERR05G06000.2 pep chromosome:Lperr_V1.4:5:5175012:5184962:-1 gene:LPERR05G06000 transcript:LPERR05G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAMMEVQAKVAVRINIGPERVIGKDEIAGVIKKVMEGKDEIAGVIKRVMEGEEAKRMRNRVREMKDKAMYALSKDGCSTSALAQVTHVWISTAVPGNVLDKDRSLDLTQIHAS >LPERR05G06010.1 pep chromosome:Lperr_V1.4:5:5214950:5216096:-1 gene:LPERR05G06010 transcript:LPERR05G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDLVLKVIKKSSTKDSPLERIAPLAEKANQAQEELAILRNEVAGYRNTRSDFKEKLIDFLGHDPTVLEAKKQAEEQVLKLQAELTQLKDENKAKDSAEKKLTHAIALNVKSHEQANYYKDKSETLSKRHEDLKKKAANELSAMKIKHNEEFMKMKAELEKARRMNAELCQAAEPILDNLHTATAESNTSSLQSVIEHLQSAPARLKKIILESASVACGQTLAVIKSLYPMLDLEPITSGYAEGTTDEKALELLDQVDGMAQIMAKDALYPEEEDNV >LPERR05G06020.1 pep chromosome:Lperr_V1.4:5:5230756:5232796:1 gene:LPERR05G06020 transcript:LPERR05G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIPSHRTLHPQPPTMPPPPFHSTTLLSCPLHSFPLPGSVHRICRRRFSRALDGQIGPPSPPAMPTSASAIATGSGGIGGCLGAASAARTVATSACGTRRRPGAGKRGARAHNGDEYTAHGDDDDDLDHVQLAAASLLLPPRLFKPMDTPVVAASGF >LPERR05G06020.2 pep chromosome:Lperr_V1.4:5:5230756:5232796:1 gene:LPERR05G06020 transcript:LPERR05G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIPSHRTLHPQPPTMPPPPFHSTTLLSCPLHSFPLPGSVHRICRRRFSRALDGQIGPPSPPAMPTSASAIASAPRTPGQPDLVALVAASGRHQQHARWPRARAAHGGARERASAAQGRTTATSTRRTATTTMTSTTFSLLPPASSSLHEFVLPDLLRPRPQAAFSSPIQDSSSFTLFKPMDTPVVAASGF >LPERR05G06030.1 pep chromosome:Lperr_V1.4:5:5230792:5230973:-1 gene:LPERR05G06030 transcript:LPERR05G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVGMAGGDGGPIWPSRARENRRRQIRCTEPGRGNECRGQERSVVEWKGGGGMVGG >LPERR05G06040.1 pep chromosome:Lperr_V1.4:5:5243798:5251137:-1 gene:LPERR05G06040 transcript:LPERR05G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRKHTSSGKDNGKNVESPSESEWDLSEPDCELETDEEDDDDEEENVEKRWCTGLACQLGYGGTILVLFETPTGFALFMYDGVKLLRPDALEHVWAEFVNEDMAKKVAFLKCVKTFEDKVGAINPVTGLSKELALMIKDHIKTDQTLAVGNEDYKEIIQKSLGISCLCGPAVDELMWGLRFQMQSLLPKENSERPEDLFPLCEGMKILLNRHSFKVKPDMMVTKRIIDVTSVVYECDYCVNKHRDSLRMAGEYLKDISGIDTQDWDLMKLAVALKMICCPEEKIAATRWLFSRQQLKRLKDDAPKYKNKIFKMPCLVVYNAIYSAREIRTDAARILVRLFKKARKESEAEQECEATGDHESCPDGKNTYPGINPDGKNTYPGINPAMIDEITEYGTMLSAQRKEKQVPSTLAPIGTLQGYTQISRHPLHRTENPGILSIDIHPLKDIVATGGMDTNVVLFDLPSGQVLCTLTGHSKKITTLKFVNRNELFVTGSADMTVRVWQGSEDGNYRCIHILKDHTAEVEAVTVHATQKFFVTASKDNSWCFYDISTGSCLTKVGEASVQEGYTSASFHPDGCILGTGNTDAIVKLWDVKTQSDFAQIEGHDGPVTAMSFSENGYFLATASVDCVKLWDLRKLRSFRTYSPDDSGTPISAVEFDYSGSYLAIGGSDVRVYQVADVKVEWNLIKELMVPSGTGNVTSVKFGADAKYIAAGSMDRSLRIFGP >LPERR05G06040.2 pep chromosome:Lperr_V1.4:5:5243798:5251137:-1 gene:LPERR05G06040 transcript:LPERR05G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRKHTSSGKDNGKNVESPSESEWDLSEPDCELETDEEDDDDEEENVEKRWCTGLACQLGYGGTILVLFETPTGFALFMYDGVKLLRPDALEVAFLKCVKTFEDKVGAINPVTGLSKELALMIKDHIKTDQTLAVGNEDYKEIIQKSLGISCLCGPAVDELMWGLRFQMQSLLPKENSERPEDLFPLCEGMKILLNRHSFKVKPDMMVTKRIIDVTSVVYECDYCVNKHRDSLRMAGEYLKDISGIDTQDWDLMKLAVALKMICCPEEKIAATRWLFSRQQLKRLKDDAPKYKNKIFKMPCLVVYNAIYSAREIRTDAARILVRLFKKARKESEAEQECEATEYGTMLSAQRKEKQVPSTLAPIGTLQGYTQISRHPLHRTENPGILSIDIHPLKDIVATGGMDTNVVLFDLPSGQVLCTLTGHSKKITTLKFVNRNELFVTGSADMTVRVWQGSEDGNYRCIHILKDHTAEVEAVTVHATQKFFVTASKDNSWCFYDISTGSCLTKVGEASVQEGYTSASFHPDGCILGTGNTDAIVKLWDVKTQSDFAQIEGHDGPVTAMSFSENGYFLATASVDCVKLWDLRKLRSFRTYSPDDSGTPISAVEFDYSGSYLAIGGSDVRVYQVADVKVEWNLIKELMVPSGTGNVTSVKFGADAKYIAAGSMDRSLRIFGP >LPERR05G06040.3 pep chromosome:Lperr_V1.4:5:5243798:5251137:-1 gene:LPERR05G06040 transcript:LPERR05G06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRKHTSSGKDNGKNVESPSESEWDLSEPDCELETDEEDDDDEEENVEKRWCTGLACQLGYGGTILVLFETPTGFALFMYDGVKLLRPDALEHVWAEFVNEDMAKKVAFLKCVKTFEDKVGAINPVTGLSKELALMIKDHIKTDQTLAVGNEDYKEIIQKSLGISCLCGPAVDELMWGLRFQMQSLLPKENSERPEDLFPLCEGMKILLNRHSFKVKPDMMVTKRIIDVTSVVYECDYCVNKHRDSLRMAGEYLKDISGIDTQDWDLMKLAVALKMICCPEEKIAATRWLFSRQQLKRLKDDAPKYKNKIFKMPCLVVYNAIYSAREIRTDAARILVRLFKKARKESEAEQECEATGDHESCPDGKNTYPGINPDGKNTYPGINPAMIDEITEYGTMLSAQRKEKQVPSTLAPIGTLQGYTQISRHPLHRTENPGILSIDIHPLKDIVATGGMDTNVVLFDLPSGQVLCTLTGHSKKITTLKFVNRNELFVTGSADMVEAVTVHATQKFFVTASKDNSWCFYDISTGSCLTKVGEASVQEGYTSASFHPDGCILGTGNTDAIVKLWDVKTQSDFAQIEGHDGPVTAMSFSENGYFLATASVDCVKLWDLRKLRSFRTYSPDDSGTPISAVEFDYSGSYLAIGGSDVRVYQVADVKVEWNLIKELMVPSGTGNVTSVKFGADAKYIAAGSMDRSLRIFGP >LPERR05G06040.4 pep chromosome:Lperr_V1.4:5:5243798:5251137:-1 gene:LPERR05G06040 transcript:LPERR05G06040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRKHTSSGKDNGKNVESPSESEWDLSEPDCELETDEEDDDDEEENVEKRWCTGLACQLGYGGTILVLFETPTGFALFMYDGVKLLRPDALEVAFLKCVKTFEDKVGAINPVTGLSKELALMIKDHIKTDQTLAVGNEDYKEIIQKSLGISCLCGPAVDELMWGLRFQMQSLLPKENSERPEDLFPLCEGMKILLNRHSFKVKPDMMVTKRIIDVTSVVYECDYCVNKHRDSLRMAGEYLKDISGIDTQDWDLMKLAVALKMICCPEEKIAATRWLFSRQQLKRLKDDAPKYKNKIFKMPCLVVYNAIYSAREIRTDAARILVRLFKKARKESEAEQECEATGDHESCPDGKNTYPGINPDGKNTYPGINPAMIDEITEYGTMLSAQRKEKQVPSTLAPIGTLQGYTQISRHPLHRTENPGILSIDIHPLKDIVATGGMDTNVVLFDLPSGQVLCTLTGHSKKITTLKFVNRNELFVTGSADMTVRVWQGSEDGNYRCIHILKDHTAEVEAVTVHATQKFFVTASKDNSWCFYDISTGSCLTKVGEASVQEGYTSASFHPDGCILGTGNTDAIVKLWDVKTQSDFAQIEGHDGPVTAMSFSENGYFLATASVDCVKLWDLRKLRSFRTYSPDDSGTPISAVEFDYSGSYLAIGGSDVRVYQVADVKVEWNLIKELMVPSGTGNVTSVKFGADAKYIAAGSMDRSLRIFGP >LPERR05G06040.5 pep chromosome:Lperr_V1.4:5:5243798:5251137:-1 gene:LPERR05G06040 transcript:LPERR05G06040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRKHTSSGKDNGKNVESPSESEWDLSEPDCELETDEEDDDDEEENVEKRWCTGLACQLGYGGTILVLFETPTGFALFMYDGVKLLRPDALEVAFLKCVKTFEDKVGAINPVTGLSKELALMIKDHIKTDQTLAVGNEDYKEIIQKSLGISCLCGPAVDELMWGLRFQMQSLLPKENSERPEDLFPLCEGMKILLNRHSFKVKPDMMVTKRIIDVTSVVYECDYCVNKHRDSLRMAGEYLKDISGIDTQDWDLMKLAVALKMICCPEEKIAATRWLFSRQQLKRLKDDAPKYKNKIFKMPCLVVYNAIYSAREIRTDAARILVRLFKKARKESEAEQECEATGDHESCPDGKNTYPGINPDGKNTYPGINPAMIDEITEYGTMLSAQRKEKQVPSTLAPIGTLQGYTQISRHPLHRTENPGILSIDIHPLKDIVATGGMDTNVVLFDLPSGQVLCTLTGHSKKITTLKFVNRNELFVTGSADMVEAVTVHATQKFFVTASKDNSWCFYDISTGSCLTKVGEASVQEGYTSASFHPDGCILGTGNTDAIVKLWDVKTQSDFAQIEGHDGPVTAMSFSENGYFLATASVDCVKLWDLRKLRSFRTYSPDDSGTPISAVEFDYSGSYLAIGGSDVRVYQVADVKVEWNLIKELMVPSGTGNVTSVKFGADAKYIAAGSMDRSLRIFGP >LPERR05G06050.1 pep chromosome:Lperr_V1.4:5:5253039:5261162:-1 gene:LPERR05G06050 transcript:LPERR05G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDFHFAFFPLSPATAQYFGSLQYSNTTSLQSRSAAAAQLVKEEGTKEPPPRGTWEGSERHVGAVQLLFVPDRGAARDLRLHLHQDPVSV >LPERR05G06060.1 pep chromosome:Lperr_V1.4:5:5262425:5266769:-1 gene:LPERR05G06060 transcript:LPERR05G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLVPEMIRTAPRLCTGVLLILGGSLVRPLFAYDNTISHGRFDAGSANERGTSKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLARCPCTLNLPLDFLPKRDFRYSKKVVPLQMCIKCNTAGVQMYTQQITSMLDASNCASELSMLSGNVSVPPFPASH >LPERR05G06070.1 pep chromosome:Lperr_V1.4:5:5305578:5308278:1 gene:LPERR05G06070 transcript:LPERR05G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIFRSRPRPRVGRNDSGGSGEGLRAGEGHGHDEAQQTAATVRRSRRRRRLHGSAGMGAGRDPGPFPGEEARGKIATGLLACTYDWKPNPRDNPGLIRTVSRGTNPRLARLNMDLQVTGVEIVQFQQNDYQTKMSRITFAAFYKILTT >LPERR05G06080.1 pep chromosome:Lperr_V1.4:5:5318961:5319170:1 gene:LPERR05G06080 transcript:LPERR05G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDFSLPDLAATAPVAAGRSVQTLAVAVTRILPPCSLPLLPLPPPSPPLPLTGCGNGLTAFLSFLAA >LPERR05G06090.1 pep chromosome:Lperr_V1.4:5:5319212:5319460:1 gene:LPERR05G06090 transcript:LPERR05G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLPPPPLALVPPPRRRCILILLHRRSADSAPPVANPLTSHRRSPIRRHRRPPTPRKLPIPVPEPPIADLPMQTPSDRS >LPERR05G06100.1 pep chromosome:Lperr_V1.4:5:5319582:5320813:1 gene:LPERR05G06100 transcript:LPERR05G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLIDSAVHKGVRRIQSRYSEPLIVLAVSAPNSTLWENTKATSTGMNEHRDDLDPSHLEIDTISVLFVRKTHTDSYEIIRWEG >LPERR05G06110.1 pep chromosome:Lperr_V1.4:5:5326990:5330273:1 gene:LPERR05G06110 transcript:LPERR05G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVGNAGWEKTKTTRTTAVTMNSHDRHGRGRGRRARAVTRRLVFYTGSGKRVATPRPRPDPSTDRMRLLAAHVRVASGDSLLLVECRRDPHQPDAPVHWRGHELRCHALRLQPYHRTKDTSWCQFAGILTQSDRPDGWPDRYAVAWVNLDDDINDCGEEKRGFVMRWFLSEKGEWDKQVVGLPLKPSLLPLTTRRLDARDVVAFAGHLWWVNVSWGAVTVDPFSDRLELYFVELPRGSVMEPFKDGKGLVFAWKLDRYRRIGVSEGRLRYAEVSHKEPFVLSSFVLEQDSGAGR >LPERR05G06120.1 pep chromosome:Lperr_V1.4:5:5347812:5348634:1 gene:LPERR05G06120 transcript:LPERR05G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDAVWRLVDRLLENVACAHPWDEQTPWIGVLIHWMHASMICVIIGDHMLTVDMDRREKPLHGTVFLP >LPERR05G06130.1 pep chromosome:Lperr_V1.4:5:5349199:5351839:-1 gene:LPERR05G06130 transcript:LPERR05G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHASVANLSLKIVKGGLRPMIPRRCPRAIAQIMRKCCDANPEKRPNMDEVVQRLDALAKKIDRRMAQLNSPPEAGCFCMSLGRSSACALVGYRSSRRQDGELASRWRGQLEDHQLWHGVHKGQTKGDDRKKGSTPMYMAPEVLKGKPYNHKCDVYSFGICLWEIYCCKTSYMDKDASVVDLSLKIAKGGLRPKIPRCFLRAMARIMRKCWDANPEKWPEMDEVVQRLVTLDAQLESPPTADCFCLSLGRGRA >LPERR05G06140.1 pep chromosome:Lperr_V1.4:5:5351887:5352171:-1 gene:LPERR05G06140 transcript:LPERR05G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAESKLAYKDVVSFALAMARGLSHLYLFGIIHRDVKMENMHFDGEGTLKIAGFGVVRIKADPKEMTGKTGSAPMYMAPEVLKGKSYNYKCDV >LPERR05G06150.1 pep chromosome:Lperr_V1.4:5:5356510:5358281:1 gene:LPERR05G06150 transcript:LPERR05G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVKAPPLHTQQFGEGAAADRRGCGGGSAREPQIGEGGVARIGEAVAEIGETATDRRGYGGEDRRGGGKDRQGGGEDRRRQISEGRQRIGEGRGRGEKSVTGEGEKGRQRRGELGRPRGGRRRIGACGSAGQEEICARATLNNGVLANLPSNNSTPSTITPPPVLHPSKFSNFSPSTGKMLHLLRRSHSTAHALSTAAVSRSCPWWAMIDHTDLSMAPSMMRATFELVEPPCATRLLVPARLIDPQPCPTGMIHAFFGHARSSGDDGLLLVVFLDGLAPDPNFTSGRMTYHLDVHKIDPDMTRFVCNPLTGELFRLTDIDGKKNTITHLRVGILTQSDRPRAPPDRYAVALYASPRVGGEEWTFDVRRFLSEKGEWDKLPGLPSPLPVPGRLFIVDSPTLAVCGGELLPDQPELQFIKLPRGSVTTEFVEISYRSMLARYRRMGVSEGRLRYAEVSQKEPFMLSSFAFDDDSGCWTLEHPGGAEQSPGGWRTKRLYGSGTFFLSSCRIEYLDVNLEY >LPERR05G06160.1 pep chromosome:Lperr_V1.4:5:5377954:5378235:-1 gene:LPERR05G06160 transcript:LPERR05G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAPQEAYPSTQTQRNVEEAEGDYSSDLLVGAIISDVQESYASDGDDNSESNNSRGIHTINETQLERHTLSLWDTCATSHNNATNTQLDAL >LPERR05G06170.1 pep chromosome:Lperr_V1.4:5:5381639:5381830:1 gene:LPERR05G06170 transcript:LPERR05G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNNPIAILGQRIDETTAMGNLPVHYQTRPSPARWRRPETIRVLRDSNSSVTPQRSHKS >LPERR05G06180.1 pep chromosome:Lperr_V1.4:5:5402170:5406760:-1 gene:LPERR05G06180 transcript:LPERR05G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESERHTNDTGGEHSIFTRTDELPELEAGYDSPEVKDKEITSRGVKRLRGKREIGPVFPILIHFSDDSDNADVFAEDYEESDDYAEESDDEEDHKKASEEPLYSSLNEQFHNNSDTDNSLYEDDISPESRPLVVQLRTLKSLRIAADQRRELRSKYIIAEGVDRQEALRRVKRARNMYSSLHYFRLYSEGTKGKKSKGLKSGETDSENTKSGETAFAFDPLLEQLCVLRLGRYKCAGPKADRLNLVLGIMEKILEVEDEDIRENIIHYMYNTELRQAGLKDSRLKERVVKAVVTITKMLKVPRESLGIRASPKGLIKGPLKLFIEDELISDCSLGGRTGNLIPAQADLITRIEKTKDVKFVLILEKDTVFYHLAAKHFSETENCILVTGKGQPDIATWILLRKIKLQFPDICFYVLVDWNPSGLEIFSTYWVGSEERAHDNLFLTLPDLQLLDFTVADVDRNDCMALDPKEVAKAKMMQEKVYLDDTTMGLHLRESLFEMETNLVKVDIDVLIKDRKLPQFIREKIELAKETRLQADREWATRTKKKLRLADR >LPERR05G06190.1 pep chromosome:Lperr_V1.4:5:5439712:5440356:1 gene:LPERR05G06190 transcript:LPERR05G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDPSPYVAGEVAVEDINDENPSGEGEVDPRDRPRSITLPLPPSRTAVTTKNQGQPPGVAHGAVASGSTPSKCTRGPRHGAASNPPRFGSPKGALCAAEALQSNPHIDPTVAPNLQQWVKDVAAMVTMAQCHLAHDAHMVSSSSRRTASTMSSAWRRARRAVAASHHPGGAPPPAAVAPNRDNLYPGRDPQLAIEHSREARRTGRAPDSASS >LPERR05G06200.1 pep chromosome:Lperr_V1.4:5:5465316:5467321:1 gene:LPERR05G06200 transcript:LPERR05G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLVHLPTLAGACRPSPIGLRRDRCVRLSVSAAVPNGPVKEVEEEEEKKGRKERIVIRVSNPMRERRLPSSLFSSSKPPAANRETVAETSDHNSIGYPQDIPSPELHN >LPERR05G06200.2 pep chromosome:Lperr_V1.4:5:5466817:5472826:1 gene:LPERR05G06200 transcript:LPERR05G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLFHLPNLAGARRPSPADLRRDRGARLSVSAAAPGGPVKEEEEEEKEKGTRRKERIVIRVSDPVRERRLPPPLFTSPDSPPEPPAAKRRQGEGDEEEERRQYYVNVGDAIRTLREELPAAFYREPSFHIYRDDIAFKDPINNFVGIDNYKRIFWALRFTGQIFFKALWIDIVSIWQPVEDVIMIRWIVHGSPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVENVARNSPTKFKVLPVAELIRSLGCPSTPKPTYFKTSSLQLIALLPFWLRLTWMRCCLSLYLILAGLSKE >LPERR05G06200.3 pep chromosome:Lperr_V1.4:5:5463261:5472826:1 gene:LPERR05G06200 transcript:LPERR05G06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVHLPNLAGARRPSPARFQWDRGAWLSVSAVAPGGPMKEKGTRRKERIVIRVSDPVRERRLPSPLFTSPDSLSDPPAARRRQGEEDGEEERRRYYVNIGDAIRTLWEELLAADDIAFKDPINNFVGIDNYKRIFWALRFTGQIFFKALWIDIVSIWQPVEDVIMIRWIVHGSPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVENVARNSPTKFKVLPVAELIRSLGCPSTPKPTYFKTSSLQLIALLPFWLRLTWMRCCLSLYLILAGLSKE >LPERR05G06200.4 pep chromosome:Lperr_V1.4:5:5463261:5465574:1 gene:LPERR05G06200 transcript:LPERR05G06200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVHLPNLAGARRPSPARFQWDRGAWLSVSAVAPGGPMKEKGTRRKERIVIRVSDPVRERRLPSPLFTSPDSLSDPPAARRRQGEEDGEEERRRYYVNIGDAIRTLWEELLAAISILRSPELNK >LPERR05G06210.1 pep chromosome:Lperr_V1.4:5:5472253:5472492:-1 gene:LPERR05G06210 transcript:LPERR05G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNSKPEEKSSSSTHRVTAESKKPEAATTTFAGSPSDGKRSGSGDGAGELPAWKLDCLCRESGGMSAAVISGGFPCF >LPERR05G06220.1 pep chromosome:Lperr_V1.4:5:5474427:5479374:-1 gene:LPERR05G06220 transcript:LPERR05G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNKRVDTFNYTAIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMMLAVIGMIWYGNASSKPGGKERQVYSTPSEKTQKHGIPSSQSELDQKNIRLFKLKFKMGIPRLDDHAATISLANTS >LPERR05G06220.2 pep chromosome:Lperr_V1.4:5:5474427:5479374:-1 gene:LPERR05G06220 transcript:LPERR05G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVTSVGIIMVNKALMATHGFSFVLCFLEILFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNKRVDTFNYTAIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMMLAVIGMIWYGNASSKPGGKERQVYSTPSEKTQKHGIPSSQSELDQKNIRLFKLKFKMGIPRLDDHAATISLANTS >LPERR05G06230.1 pep chromosome:Lperr_V1.4:5:5482483:5482787:-1 gene:LPERR05G06230 transcript:LPERR05G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPFALVAAAVLVFAVTAVAAQAPAPAPASDGTSVDQGIAYLLMIVALVLTYLIHPLDALSPYKLF >LPERR05G06240.1 pep chromosome:Lperr_V1.4:5:5487430:5491772:-1 gene:LPERR05G06240 transcript:LPERR05G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSVASGDDNDDDDDVVATTARTTMMARRQWPGRWRRRDDNDDALIFVICMPQNKEDSHFLELWNIVTVKTTVDWYVI >LPERR05G06250.1 pep chromosome:Lperr_V1.4:5:5496461:5497708:1 gene:LPERR05G06250 transcript:LPERR05G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMFVFLLLGPTINSLPIDVSTIIFCSAALLISHLSVNQNTCSLVTMARPIAALLLLLVAAAEASHGAATTPAELYWKIALPTSPMPGAIRDLINNPAMRLSGSVSSSQDEDTAVGSVFFLEKDLFPGSKMTLRFTRATAGAPLLPRGRADAIPFASDKLPQILSRLSVPAGSPAADAMRATLAECEAAPQAGEAKRCATSLESMAFAVELAGEDGSTRVEAVAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWLRN >LPERR05G06260.1 pep chromosome:Lperr_V1.4:5:5510555:5512303:1 gene:LPERR05G06260 transcript:LPERR05G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAALLLLLLTAAAGASHGAATTAAELYWKIALPTSPMPGAIRDLINPVGSGSVSSSQEEDTAVGSVFFLEKDLFPGSKMTLMFTRATASAPLLPRGRADAVPFASDRLDEILSMLSVPAGSPAADAMRATLAKCEAAPLPGEVKRCATSLESMVEFAAAGLGTRDVHAVSTELMGGGDGMAASTARQAYTVEGVRPVRVVNGEMVACHGMRYAYVVFGCHTTMMKAYAVALAGEDGSTRVEAVAACHTDAASGIAIKAYRRLGIAPGSVPVCHFLPEDDTLWLRN >LPERR05G06270.1 pep chromosome:Lperr_V1.4:5:5515582:5516193:-1 gene:LPERR05G06270 transcript:LPERR05G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGDGTEIVLVVDDADQDEATSSSFFDLDLTLSGSGDHPSATSDGEEEKVDVEVDSAGGEEAAINVETSSDEESCRGVVVAPAARLRGLLLRKLRKPKAAGKPCTVGDSAVSPSRFVATPRPDGDERRRRRAVPSPREAARKYMSKITANLARRGQPGVRDRSSPPRRSRDGSPAQHLQDGIESAIAHCKLSMGEATRRQ >LPERR05G06280.1 pep chromosome:Lperr_V1.4:5:5520544:5525546:-1 gene:LPERR05G06280 transcript:LPERR05G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQRVWTTCHRDPLPPDAANTRSGRGPTPATAPGGAHHVRAVTATPTPPPPAVPSASPPTPSSTPTPAVPSAPTPSSSPATPAPPSDTPSPPSSGGGGNSPPSSGGGGRSPSTPSRPSPKSPSPPHSPGGGGGSGVSTSLVVGVAVGGLVLLLLASFICLCCLRKKRRRPPPHPQQHYGYPPPPPPYKEDAYGGSYQSWQQNAPPPPPPEHVVKMHPSPPPAYANRPPQQPPTPPAAMINSSGVLPTGKEIAVKQLKIGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELIIGRRPVDTSQTFMDDSLVDWARPLLMRALEDGNYDSLVDPRLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEMESRATKKSGYSGYSSGYSGAS >LPERR05G06280.2 pep chromosome:Lperr_V1.4:5:5520544:5525546:-1 gene:LPERR05G06280 transcript:LPERR05G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQRVWTTCHRDPLPPDAANTRSGRGPTPATAPGGAHHVRAVTATPTPPPPAVPSASPPTPSSTPTPAVPSAPTPSSSPATPAPPSDTPSPPSSGGGGNSPPSSGGGGRSPSTPSRPSPKSPSPPHSPGGGGGSGVSTSLVVGVAVGGLVLLLLASFICLCCLRKKRRRPPPHPQQHYGYPPPPPPYKEDAYGGSYQSWQQNAPPPPPPEHVVKMHPSPPPAYANRPPQQPPTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYDELVRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKIGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELIIGRRPVDTSQTFMDDSLVDWARPLLMRALEDGNYDSLVDPRLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEMESRATKKSGYSGYSSGYSGAS >LPERR05G06290.1 pep chromosome:Lperr_V1.4:5:5541327:5542202:-1 gene:LPERR05G06290 transcript:LPERR05G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGGGKNGGGRRKKLKDQLARLLRPANSLLRSPCSSSSSTSTATTTFTAAPTTLSSSTATTAAFLPPPRGVEPFSAALDLLRRPIPPPGQKKKTTNVRRCFSSRPHFGVGGGWKTKLSSNPYGFTTSDSDADAADDDDDITDAFFSSRSLVSSDSSGFYNHYQQKLLPPKGKNHRHHQRRQRRRRRRRRAASSCVEDACGVREFRPVVVTRVEEEVRKGAAVLKRSRDPYGDFRESMAEMIVERQVYGAAELERLLGSYLSLNPPRLHPVILQAFSDIWLVLRGGGG >LPERR05G06300.1 pep chromosome:Lperr_V1.4:5:5556360:5563303:-1 gene:LPERR05G06300 transcript:LPERR05G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYAALSSGPGALALLESPTGGGGDDDEPDWMRDFTPLPPEKPIAKKGKKHPSKAPMRKAAGAEKSEGFGEGEDEEFLLDEYESGGEDGVRREKGKRPHCGSSSESEEDGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGRRLRTVCLGSRKNLCINKDVLKLGSTNRINERCLELQKTKKSTKTKTEGDSRKVCQSKTSCGCPMIRKRSLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSLVIIDEAHNLADSVTSMYNSKITSSQLKAILSHLEAYLNRFQNVLGAGNRRYIQTLTVLTRSFLKVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKLVVNQDGVNHASHHQQYDEGSSIASFQRLADFLRSLLNCNENGRIIVARKKISGQPEDLYLKFVMLCAEKIFSEVTYDAHAVILAGGTLQPIEETRLRLFPNLSPSNIKFFTCNHIVPPESILPIAVTRGPSGMAFDFSYSSRSSLTMIKELGRFLCNVVSIVPEGVVMFFASYEYERCVYDAWAASGIISKISKKKYIFREPKNSVDVEMTLNKYKEAIQSCNKRFQDTGITGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELMETIKHIDYISNSVLVGDDSSSSSRYDDECKLQPGFDVLMKCNKGGREYYENLCMKAVNQSIGRAIRHVNDYAAMLLVDSRYAHTSSNRSSSCPTDKLPQWIKTRLTCAQNYGEKHFGC >LPERR05G06310.1 pep chromosome:Lperr_V1.4:5:5566950:5569183:-1 gene:LPERR05G06310 transcript:LPERR05G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGSKPSGGEVPSLLADVEVSNLAGFDTMAPSPRPQPSPRPLLHPNTPTRPRVPLDTLPPGFRSSAHPVSVSLPLSPSGFSAPIPVGGGGGGDAADVDLRRQAMANAAHHQQQRSPASSSSRDKVGNSVRFEPPSQPERMMFMSQPIPIGQPPSRAATRGAGGGRAMSRDKRYDSFKTWSGKLERQLTHLAGVAPEVPTDTERDIDGDAGGGAITSHRTSSLPKVDRFFAALEGPELDQLRSEEELVLPSDKTWPFLLRFPISSFGICLGVSSQAILWKTIATSSPTTFLHVTTKVNLVLWLISLALMLTISTIYLAKLVFFFEAVRREYYHPIRVNFFFAPFIACLFLAIGLPPSIASPSTTLPAWLWYVLMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLNEGPIFFFAVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARISGEFGLGARVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNGFTKAVCVALSAVATLTVTGLFLTTMVHAFVLRNLFPNDIAIAITDGVSMNPIKELHEMRRRDGDDDDDDIEAAGAGKGEAAMARNDYKD >LPERR05G06320.1 pep chromosome:Lperr_V1.4:5:5583807:5587638:-1 gene:LPERR05G06320 transcript:LPERR05G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGWVVRLAAALVVGFVLGSVDASPGDVDPRYRTCVEECHTTGIIGENIISHCQSPGNEVSVGSSWYTQEPLYMQWKQLNCRTDCRYYCMMQREGERQSHGLSPVKYHGQWPFIRVSVFQEPLSAALSAVNLLMHFTGWVSYFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFDVKDEATRVMFAAPMLAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGVTQHPSRFKLWVVVLGGALAMLLEVYDFPPYKGYADAHSMWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >LPERR05G06330.1 pep chromosome:Lperr_V1.4:5:5590442:5591323:-1 gene:LPERR05G06330 transcript:LPERR05G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIAPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRRKLYGGRSRRSHGRSRSPSPHHRRGNRDRDDYRRDRDGYRGGGEGYRGGGEGYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKQ >LPERR05G06340.1 pep chromosome:Lperr_V1.4:5:5605797:5606945:1 gene:LPERR05G06340 transcript:LPERR05G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIAHQSIAGDDDDDDEEIGVFTAERYFSGAADDVVDTLWCGGSSSSLSTTSAFKNGGGGGRQQEYWSAAPTTLTAATSSSENSWNSRSVLLPTATAVESSEEHAVEKPGTILTAASPSPSHNILLRWLLGVAACACAGGAADDNARADDVTAAGGEKRSTEAAPAARVARLGTRDDDVLDAVTGMPPLAEPRRVRAADAGDVSARALNPRTSAFAALADERRRRSLDAFPPAAATMAHQNSPFTIVAGGNTAARVSVAVAGAGEDDAAASECVYPPSEASVVWSVVTADGVASAGNFSSAASGYYHHFYSHHHNGGGGGGKSNRRSIAGGGGLLTMGCMSYRAVDADSPARTAHRRRRLPRGMPPVGDGAVDEAARMD >LPERR05G06350.1 pep chromosome:Lperr_V1.4:5:5607799:5610540:-1 gene:LPERR05G06350 transcript:LPERR05G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQLLLLLPAPSRTFWKPLPRLRLSPVYATRRAAARRDLLRCGMKRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIQHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLISRIPFPSDGDSPKTSKDTREKRS >LPERR05G06360.1 pep chromosome:Lperr_V1.4:5:5612366:5616897:-1 gene:LPERR05G06360 transcript:LPERR05G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKANNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >LPERR05G06370.1 pep chromosome:Lperr_V1.4:5:5623046:5628177:-1 gene:LPERR05G06370 transcript:LPERR05G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGGGGGGGWAGALSPAARYAESGGASLTWENLTAVLPGAGGRATKKLVQGVYGYAVPGRVVAIMGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETVTYSALLRLPSSMSKAEVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVIETLRQLAVDGGRTVISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTTEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVKGSQASWWKQLTTLTRRSFTNMSRDFGYYWLRIIIYILMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLSHSKWLDLAMIFVLLFAYRLIFFLILKAKEAAAPYIRVAYTQFTIKRLERRASFRKTLAMSSMSKRHNQPSHPMAVQEGLNSPLPY >LPERR05G06380.1 pep chromosome:Lperr_V1.4:5:5669049:5669579:-1 gene:LPERR05G06380 transcript:LPERR05G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGVKAADGGGGGGGMPMAELEQVFRRYDANGDGKISAEELTSVLQALGAPPGPGEVRRMMDEMDSDRDGFVDLTEFAAFHNGGGKGKGGDGGGVDGEDAAAAEAELREAFRMYDADSNGKISARELHRVLRQLGEKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGAGARR >LPERR05G06390.1 pep chromosome:Lperr_V1.4:5:5672912:5684068:1 gene:LPERR05G06390 transcript:LPERR05G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCMVLSGWIKMERAYTVGPSHQEPLPSHGKHRNPLEETTLYHRCQASPHPINEQLRLHLAKTTEGHVAHRFREKEEERMSAPWDSDSKDCSRPEHRVYVRNLPYSTNESSLTNSFASYGALHSEIAWNNETGRSRGFGFVTFEDSKSANDAVQGMNGKDVGGRIVTVEHAQQRSSRWRR >LPERR05G06390.2 pep chromosome:Lperr_V1.4:5:5672909:5674121:1 gene:LPERR05G06390 transcript:LPERR05G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSEYKDRCPQEDRVYVGNLPFSADEKTLMDYFADYSPISAEIAWNRETGRPRGFGFVNFGDRKSVNDAIEGMDGQDIGGRTVTVQHAQWRPRRWRA >LPERR05G06400.1 pep chromosome:Lperr_V1.4:5:5691189:5697780:1 gene:LPERR05G06400 transcript:LPERR05G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCPSVTRSSKQNNCSPTLLGLSACGKQRRRVSALLLPPYPTCEQYKGREILRRSLGSGDFCVASRDREQAVLLLGVAAASNPIDPVSSRSRRATASGCFLRILPHRQSTMVFLKVEMSLNVLISPGKLSPEGLLLRKAVIVGLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGVFLKSGPIESIFLPEKTMSDYKYIGGENAVFMSEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGHYLGPLIPSDPSFATTFVFAITTSNVAQVRNKGGDGIAFVFSSTNKFINHSLGGQYLGLFNASNKGNTSQNILAIKLGTVMNPDLNDIDDNHVGIDVNSLIAINSRTVGYITSNGEFQFLRLLDDRYQSLQLWVDYDGKSHQLNVTLGLPDSPKPDYPLLSSTVNLSSLFPSSAYIGFSASTSALETRQFILGWSFKNDGKAPPLDYSTLSVPERYGWGANNYFAPPPPHPELNSHQVHIPLRILLSTVIPSCVLLVAVAFLGSYCMKRWKNAGPQEDWEIKCRPPSFIYKDLHNATAGFSDKMLLGKGGFGRVYRGFLPASKQNIAIKRISPESKQGMKEFMSEIAILGNVRHRSLVQLLGYCRNKHELLLVYDYMPNGSLDKYLYGEHKLALGWSQIFRIIKGVACGLFYLHEEWERVIIHRDIKSSNVLLDEEMNGRLGDFGLARLHDHGVDAHTTHVAGTYGYIAPELARLGKSTKGTDVFAFVVFMMEVACGKKSIEVNASGEPQVLSDYVLNTWQCGSIINSIDPSLKEDYIAEELELVLKLGLLCSHSSPKVRPSMRLVMQYLEKEATLQDFTFSFFSTNEDNIEVYGQNVVSNPSVATTITSLTGGR >LPERR05G06410.1 pep chromosome:Lperr_V1.4:5:5700217:5712789:-1 gene:LPERR05G06410 transcript:LPERR05G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDENPVSPPAAADDSPPGGGGGGGGGDGDDGAEEAGGFRAGLEPLWTVLFGSPEELEPMWSPPRGFEFDAAPEVEPDMDVAGAWDGLPWRSTGLVAGEGAGFAEFGGEIGTSAGANSVDAAPEVSALDSGADCSPELPLSSSSPPVDLELRAFEPVDDSAPATDSRIPTSVASSEANLDGRMVECTLNSVPSPPFPSPDDIGLGAEDTIKDCSPLEKVALGTLASVDMDVEDPQMNAAGNCMTGASGTVDTSLRRSPRIAKVKSEAAASSIPLNQNADSPRVSKRNLTDSKKRRSSEGSKLPVFARPVSLNTNDLINGVEIEGLRDIVAVRSKSSSYENKNNECLHNQVVVALPAVNSDKGASVHSPRKTRPASKALVNSDRVSAISPMVNGGPPVQKSDSYTPTKRHKLAVAECLPNLDGVVGGGGGIVSCNSRLKSAKPQVVNKMPQGRGGRSSQPPKTKRGKTLSVKYLEKLKRAENANGSKFGSPRVTVMSELSTKHKLILDKQLTDSDMFEMDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKFSTLNDERISGQTFANVGKPVLDVKCHYLQAKVSRYTFCIGDCAFIKGPEGKPNYIGRLLEFFETKAGEYYFGVQWFFRAEDTVMEKQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSMDGKSKSDTSFDYYYDMKYSMDYSTFSTIEMGSANNVVQSSYTGINNDKMKINVNEKHKSPTLEKRDLSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDVDEIACESLRHNHPETRVRNETTDDFLQLLKEWEKLCKTYLKHSKAKACPDSTTESNNETPDCSTAPPEEFEVWKLVDICFGDPNNVSKRCLYFKVRWKGYSLHYDTWEPVEGLRNCKEAIRDFVIEGHKHKILPLPGDVDVVCGGPPCQGISGYNRNREFEEPFKSRLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNNTPMQLAKPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNAEVPGPKARVAKDSKLSKLYDHRPLALDNDNYQRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTRERILLSSGRPLVLDCILSYENGKCLRPFGRVWWDEVVGTVLTVPNIRMQALIHPAQDRVLTIRESARLQGFPDNYRFCGTVKDRYRQIGNAVAIPVGRALGYALAMAYLKKAGDDPLMVLPPNFAFSHDIGGFT >LPERR05G06410.2 pep chromosome:Lperr_V1.4:5:5700219:5712789:-1 gene:LPERR05G06410 transcript:LPERR05G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDENPVSPPAAADDSPPGGGGGGGGGDGDDGAEEAGGFRAGLEPLWTVLFGSPEELEPMWSPPRGFEFDAAPEVEPDMDVAGAWDGLPWRSTGLVAGEGAGFAEFGGEIGTSAGANSVDAAPEVSALDSGADCSPELPLSSSSPPVDLELRAFEPVDDSAPATDSRIPTSVASSEANLDGRMVECTLNSVPSPPFPSPDDIGLGAEDTIKDCSPLEKVALGTLASVDMDVEDPQMNAAGNCMTGASGTVDTSLRRSPRIAKVKSEAAASSIPLNQNADSPRVSKRNLTDSKKRRSSEGSKLPVFARPVSLNTNDLINGVEIEGLRDIVAVRSKSSSYENKNNECLHNQVVVALPAVNSDKGASVHSPRKTRPASKALVNSDRVSAISPMVNGGPPVQKSDSYTPTKRHKLAVAECLPNLDGVVGGGGGIVSCNSRLKSAKPQVVNKMPQGRGGRSSQPPKTKRGKTLSVKYLEKLKRAENANGSKFGSPRVTVMSELSTKHKLILDKQLTDSDMFEMDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKFSTLNDERISGQTFANVGKPVLDVKCHYLQAKVSRYTFCIGDCAFIKGPEGKPNYIGRLLEFFETKAGEYYFGVQWFFRAEDTVMEKQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSMDGKSKSDTSFDYYYDMKYSMDYSTFSTIEMGSANNVVQSSYTGINNDKMKINVNEKHKSPTLEKRDLSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDVDEIACESLRHNHPETRVRNETTDDFLQLLKEWEKLCKTYLKHSKAKACPDSTTESNNETPDCSTAPPEEFEVWKLVDICFGDPNNVSKRCLYFKVRWKGYSLHYDTWEPVEGLRNCKEAIRDFVIEGHKHKILPLPGDVDVVCGGPPCQGISGYNRNREFEEPFKSRLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNNTPMQLAKPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNAEVPGPKARVAKDSKLSKLYDHRPLALDNDNYQRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTRERILLSSGRPLVLDCILSYENGKCLRPFGRVWWDEVVGTVLTVPNIRMQALIHPAQDRVLTIRESARLQGFPDNYRFCGTVKDRYRQIGNAVAIPVGRALGYALAMAYLKKAGDDPLMVLPPNFAFSHDIGGFT >LPERR05G06410.3 pep chromosome:Lperr_V1.4:5:5700217:5712789:-1 gene:LPERR05G06410 transcript:LPERR05G06410.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDENPVSPPAAADDSPPGGGGGGGGGDGDDGAEEAGGFRAGLEPLWTVLFGSPEELEPMWSPPRGFEFDAAPEVEPDMDVAGAWDGLPWRSTGLVAGEGAGFAEFGGEIGTSAGANSVDAAPEVSALDSGADCSPELPLSSSSPPVDLELRAFEPVDDSAPATDSRIPTSVASSEANLDGRMVECTLNSVPSPPFPSPDDIGLGAEDTIKDCSPLEKVALGTLASVDMDVEDPQMNAAGNCMTGASGTVDTSLRRSPRIAKVKSEAAASSIPLNQNADSPRVSKRNLTDSKKRRSSEGSKLPVFARPVSLNTNDLINGVEIEGLRDIVAVRSKSSSYENKNNECLHNQVVVALPAVNSDKGASVHSPRKTRPASKALVNSDRVSAISPMVNGGPPVQKSDSYTPTKRHKLAVAECLPNLDGVVGGGGGIVSCNSRLKSAKPQVVNKMPQGRGGRSSQPPKTKRGKTLSVKYLEKLKRAENANGSKFGSPRVTVMSELSTKHKLILDKQLTDSDMFEMDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKFSTLNDERISGQTFANVGKPVLDVKCHYLQAKVSRYTFCIGDCAFIKGPEGKPNYIGRLLEFFETKAGEYYFGVQWFFRAEDTVMEKQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSMDGKSKSDTSFDYYYDMKYSMDYSTFSTIEMGSANNVVQSSYTGINNDKMKINVNEKHKSPTLEKRDLSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDVDEIACESLRHNHPETRVRNETTDDFLQLLKEWEKLCKTYLKHSKAKACPDSTTESNNETPDCSTAPPEEFEVWKLVDICFGDPNNVSKRCLYFKVRWKGYSLHYDTWEPVEGLRNCKEAIRDFVIEGHKHKILPLPGDVDVVCGGPPCQGISGYNRNREFEEPFKCEKNKQIIVFMDIMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMCYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNNTPMQLAKPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNAEVPGPKARVAKDSKLSKLYDHRPLALDNDNYQRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTRERILLSSGRPLVLDCILSYENGKCLRPFGRVWWDEVVGTVLTVPNIRMQALIHPAQDRVLTIRESARLQGFPDNYRFCGTVKDRYRQIGNAVAIPVGRALGYALAMAYLKKAGDDPLMVLPPNFAFSHDIGGFT >LPERR05G06410.4 pep chromosome:Lperr_V1.4:5:5700219:5712789:-1 gene:LPERR05G06410 transcript:LPERR05G06410.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDENPVSPPAAADDSPPGGGGGGGGGDGDDGAEEAGGFRAGLEPLWTVLFGSPEELEPMWSPPRGFEFDAAPEVEPDMDVAGAWDGLPWRSTGLVAGEGAGFAEFGGEIGTSAGANSVDAAPEVSALDSGADCSPELPLSSSSPPVDLELRAFEPVDDSAPATDSRIPTSVASSEANLDGRMVECTLNSVPSPPFPSPDDIGLGAEDTIKDCSPLEKVALGTLASVDMDVEDPQMNAAGNCMTGASGTVDTSLRRSPRIAKVKSEAAASSIPLNQNADSPRVSKRNLTDSKKRRSSEGSKLPVFARPVSLNTNDLINGVEIEGLRDIVAVRSKSSSYENKNNECLHNQVVVALPAVNSDKGASVHSPRKTRPASKALVNSDRVSAISPMVNGGPPVQKSDSYTPTKRHKLAVAECLPNLDGVVGGGGGIVSCNSRLKSAKPQVVNKMPQGRGGRSSQPPKTKRGKTLSVKYLEKLKRAENANGSKFGSPRVTVMSELSTKHKLILDKQLTDSDMFEMDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKFSTLNDERISGQTFANVGKPVLDVKCHYLQAKVSRYTFCIGDCAFIKGPEGKPNYIGRLLEFFETKAGEYYFGVQWFFRAEDTVMEKQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSMDGKSKSDTSFDYYYDMKYSMDYSTFSTIEMGSANNVVQSSYTGINNDKMKINVNEKHKSPTLEKRDLSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDVDEIACESLRHNHPETRVRNETTDDFLQLLKEWEKLCKTYLKHSKAKACPDSTTESNNETPDCSTAPPEEFEVWKLVDICFGDPNNVSKRCLYFKVRWKGYSLHYDTWEPVEGLRNCKEAIRDFVIEGHKHKILPLPGDVDVVCGGPPCQGISGYNRNREFEEPFKCEKNKQIIVFMDIMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMCYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNNTPMQLAKPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNAEVPGPKARVAKDSKLSKLYDHRPLALDNDNYQRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTRERILLSSGRPLVLDCILSYENGKCLRPFGRVWWDEVVGTVLTVPNIRMQALIHPAQDRVLTIRESARLQGFPDNYRFCGTVKDRYRQIGNAVAIPVGRALGYALAMAYLKKAGDDPLMVLPPNFAFSHDIGGFT >LPERR05G06420.1 pep chromosome:Lperr_V1.4:5:5714049:5715222:-1 gene:LPERR05G06420 transcript:LPERR05G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRDPDPVSPPVAAAAGRRRRWLGRRRRGGEGGGIQRGAGAAVDGPLWLPGGAGAHVVTSEGVRVRRRVGCGAQHGRRRGLGWSPLEVHRPRRRPGHPRFGKVAWADMVAGVDMAILENTIVVMTYTMELGDAGKAISTLAPTLVPYQILGIRPRNNKRTQGDYVSQYIYVALLFSNLTYMLCVFYRAIWIN >LPERR05G06430.1 pep chromosome:Lperr_V1.4:5:5726291:5726905:1 gene:LPERR05G06430 transcript:LPERR05G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPTPRKLVKHTRDHQDNKEALNPDRLVGAIISDLEASITPDGEDSYSASKPDNSRGNDTASTSATPAQRLAAMQQILDETLFNTAENPELVSWADQLRENARNLDSTFREAQTEAPEQPTESEVARRATAANAGAPAQAIGQPPNTGVLVRPTIEAVPANGNINTNNEVADEEPADEERRIPRGRANRAQTPPADHHCTDGR >LPERR05G06440.1 pep chromosome:Lperr_V1.4:5:5758730:5764073:-1 gene:LPERR05G06440 transcript:LPERR05G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDREDMATGGGGTSAAAAAAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFHRLSDRHFRDTPWPPAEAVAPHCDGDHVFLLLYRELWFRHAHARLQGQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLKNKTQEEINLLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYVDGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPFTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSINSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMINQLDKYKEETYRKME >LPERR05G06440.2 pep chromosome:Lperr_V1.4:5:5759278:5764073:-1 gene:LPERR05G06440 transcript:LPERR05G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDREDMATGGGGTSAAAAAAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFHRLSDRHFRDTPWPPAEAVAPHCDGDHVFLLLYRELWFRHAHARLQGQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLKNKTQEEINLLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYVDGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPFTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSINSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMINQLDKVQFD >LPERR05G06450.1 pep chromosome:Lperr_V1.4:5:5774082:5783191:1 gene:LPERR05G06450 transcript:LPERR05G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSANLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSHKELRAAHVNFVKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCALSRRQGVEHSLLRAASLQCLSAMVWFMKEHSYIFADFDEIVESVLENYRVDESAAGDEERLAPQHNWVDEIVRREGRAGLGGGNDVNCNSTTIRLRSARDCSALTREERESPEVWAHICVQKLAELAQESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAPELVVAGDLCRHLRKTLEAMESVSIEELSLNESLQNFLQDCLLEVVRGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSTAPMLFPEALLQQILKSMIHPDVDTRVGAHHMFSAVIVRGPCRPRSESDFQYEAKKWQSRTTSVFASATALLEKLRREKESLGSDRPGNMVHDDEKEKNIREEESKHVWARKNSAYFSKLVFSFTDRYATLTSSAEEANIVMLTEDQTNQLLSAFWLQANQSDNTPFNYEAIGHSYSLTVLSSRLKDSRNSNNIQFFQLPLSLRSVSLTPSGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDLLRCFTTCNMDPYLRIGEDLQLYVRLQSDLGNYGSDRDQEVARSILSDCRTKVGINDQQVLDVIACALCNLTEMDKDVLAKELTEMFTPEEVPLFGSNSALDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTASSISKVTLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESTVRMSLEPCSSSVKLPPASPFDNFLKAAYRA >LPERR05G06450.2 pep chromosome:Lperr_V1.4:5:5774282:5783191:1 gene:LPERR05G06450 transcript:LPERR05G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSANLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRAAHVNFVKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCALSRRQGVEHSLLRAASLQCLSAMVWFMKEHSYIFADFDEIVESVLENYRVDESAAGDEERLAPQHNWVDEIVRREGRAGLGGGNDVNCNSTTIRLRSARDCSALTREERESPEVWAHICVQKLAELAQESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAPELVVAGDLCRHLRKTLEAMESVSIEELSLNESLQNFLQDCLLEVVRGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSTAPMLFPEALLQQILKSMIHPDVDTRVGAHHMFSAVIVRGPCRPRSESDFQYEAKKWQSRTTSVFASATALLEKLRREKESLGSDRPGNMVHDDEKEKNIREEESKHVWARKNSAYFSKLVFSFTDRYATLTSSAEEANIVMLTEDQTNQLLSAFWLQANQSDNTPFNYEAIGHSYSLTVLSSRLKDSRNSNNIQFFQLPLSLRSVSLTPSGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDLLRCFTTCNMDPYLRIGEDLQLYVRLQSDLGNYGSDRDQEVARSILSDCRTKVGINDQQVLDVIACALCNLTEMDKDVLAKELTEMFTPEEVPLFGSNSALDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTASSISKVTLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESTVRMSLEPCSSSVKLPPASPFDNFLKAAYRA >LPERR05G06460.1 pep chromosome:Lperr_V1.4:5:5783642:5791939:-1 gene:LPERR05G06460 transcript:LPERR05G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARSPAATMLTLLLVVAAAAVAEAAVPAIASQAVRHVNRWGPFLGVVVPNGFEMEPLLRSPDFSPAKELPYLDVAGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPNLHIGDVTIPRYWAHTGLWNWQRYGAGPENELALESNGDYTRKYGALNFSDYTVLAAGEKSGNLLNSVWYQPEEVFPTNGTPESRRHAFWVPVDDRYYRLSKNLESITLERCVNATTCLARRPAVARVERGCSASAFVDNAAYRGFLRSRLGVTAIDMETAAVALVAAQQGAPFIAVRSLSDLAGGGSAESNEAGVFAALAARNAVAVAVEFIKLLG >LPERR05G06470.1 pep chromosome:Lperr_V1.4:5:5797198:5798658:-1 gene:LPERR05G06470 transcript:LPERR05G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSGFTNWSPMDVATAAVVPAKEINGNSSGEVAYVPLPPHMVVPPSSVPSTMQIEAARGWQGMEKAGMLLVDHLVTCAGAIQAGDYAAATGSLSDAREILTKIPTHVGIGRVFTLFTNALSERMFPVFLNSESLPPPPCAEQHELFRGFYEAGPHLRFAHFMANMAILEAFEGCDAVHVIDLAIMDGVQWQSLIETLAVRPGGPPFLRLTGIGPLSVGDHDKLRDMGIRLTEFARSCNVPFTFRGIAEDQIDSLRPWMLETVPGETIAVNAVLQLHRLLVDQDAAVVESSPAPIDSVLNLFTSLNPKVFTVVEQEADHNKSSLLERFSNSLFYYSAMFDSLEAASLHASGDNVCNPLAEAFLEGEINDIISHEGSSRVERHEPMTCWKERMQRAGLTQIPHGRNKLWQAGKHIHEFSGTGFGVQENGGFLTLTWHNQKLYTASVWHLSVTGPRVVSGGSTVMDLMERENSSGGGSGQCVFIGNV >LPERR05G06490.1 pep chromosome:Lperr_V1.4:5:5808150:5815601:-1 gene:LPERR05G06490 transcript:LPERR05G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGSGTGTATGGGGSLMGSLKPYHEQDQETSPNMPSLSSPSVIFSQQFHHSSSGLVPMNGGAGAATSLPSLHDGGQENNMPESWSQLLLGGLAGDQERYTATAALLSKGLENWGDHAAAAASACMVGGMKEEGSMAQQGGTAAAAAAAAYSFYGSHLGGDQHEIQASAAGGGANNKSQLSQILLASSPRSCITTSLGSNMLDFSNTAPPELRSHHHNSDNSSECNSTATGSALKKARVQPSSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYMGDGNGTGPMQNGPVGERNPGLFPEYPGQLLNHNGNNGAQQPAAQPEQQGVKDEGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILRTHQFRGKAEDISKKGNTKNS >LPERR05G06500.1 pep chromosome:Lperr_V1.4:5:5838335:5838889:1 gene:LPERR05G06500 transcript:LPERR05G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHAGALDSIVDEAASSPAAAAKDEEDDAAPAPATAASTRPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPPPPPREAITTVSRNVDCYSQYRHLAFPSPASGGGGFAMYYGSVSGSVDAGPRELSLFDAAATTTARDLGVGRGGGGDEQRGTAGSEQHAGELPAEKDQVDLELRLGRRTKH >LPERR05G06510.1 pep chromosome:Lperr_V1.4:5:5886752:5894050:1 gene:LPERR05G06510 transcript:LPERR05G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNEKEKKKSSPFRWGGCLTVLGQRPIFLKHVGPNIRIQCLNYVTRPEPIKLPGQHSLESLASLFPPSSSPPFLLPLRRRSRKTTCLGFRVRGEVAGEGSDLEGRRKEAALPQVSHRRSASRIRLRYNLCSLKTMVPQLKYVYKHREGHSKGCDSGVKYKIKGYKQLSWFKLTLPTNIQKLRDYGPFLTVIKISKNYSLDFLSGRTYKFDSASVEMADKQIKTHAVVITGYAIDYMLPLWEMMKSYGSVHQNSSLIGPTSDLQIEPVLSCFPLLLAYLPYLQPIDL >LPERR05G06520.1 pep chromosome:Lperr_V1.4:5:5896525:5905598:1 gene:LPERR05G06520 transcript:LPERR05G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRLLLLLLLIGFLAAASLATLAAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIIWRHVIEKNDLVDQLNVVTLSSGGSILRAWNLPDGQMIWETNLQVSKASKPLLHVLSNNIVAKDNLVIVSSGRRIYAISSIDGAISWEKEFSLDGLEIKQVVQSPESDIIYAIGLAGSSKLNLYQLNVKTGEIVKHIQESFPSALCGETVLGSHNVLVALDETRSGLYLIEFKGESISYSKVHISDLVQGLSGTVKLLPLLSNGVIALQASSTVSLLKLKGTDGLEVIQSFEHPAVVSDALTITEKDEAFAVVQHAGSEIEFVVKFTSDVSNEFIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGAVVWSREDGLASIVDVTTSELPVEKDGVSVANVEHDLFEWLKGHMLKLKGTLMLASTDELAAIQAMRLKSSQKNKMTRDHNGFRKLIIVLTKAGKVIALHTGDGRIIWSNLLPSLRASKSGEMPSALRIYQWQVPHHRVMHENPSILIVGKSGADSAAPGVLSVLDSYSGEELNSLRLDHSVAQIIPLTLKDSSEHRLQLIVDSHSRGHLYPRSPDALNVFLHEMSNTYLYTVDIEKNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIALSETRKMNEVVHTQAKIIADQDVMYKYLSKNLVFVATVSPKAAGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLQAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTYSVKAIAVTQTAKGITTKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYVTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIAALVAAIVFTWIWSEKKELSDKWR >LPERR05G06520.2 pep chromosome:Lperr_V1.4:5:5896525:5906876:1 gene:LPERR05G06520 transcript:LPERR05G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRLLLLLLLIGFLAAASLATLAAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIIWRHVIEKNDLVDQLNVVTLSSGGSILRAWNLPDGQMIWETNLQVSKASKPLLHVLSNNIVAKDNLVIVSSGRRIYAISSIDGAISWEKEFSLDGLEIKQVVQSPESDIIYAIGLAGSSKLNLYQLNVKTGEIVKHIQESFPSALCGETVLGSHNVLVALDETRSGLYLIEFKGESISYSKVHISDLVQGLSGTVKLLPLLSNGVIALQASSTVSLLKLKGTDGLEVIQSFEHPAVVSDALTITEKDEAFAVVQHAGSEIEFVVKFTSDVSNEFIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGAVVWSREDGLASIVDVTTSELPVEKDGVSVANVEHDLFEWLKGHMLKLKGTLMLASTDELAAIQAMRLKSSQKNKMTRDHNGFRKLIIVLTKAGKVIALHTGDGRIIWSNLLPSLRASKSGEMPSALRIYQWQVPHHRVMHENPSILIVGKSGADSAAPGVLSVLDSYSGEELNSLRLDHSVAQIIPLTLKDSSEHRLQLIVDSHSRGHLYPRSPDALNVFLHEMSNTYLYTVDIEKNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIALSETRKMNEVVHTQAKIIADQDVMYKYLSKNLVFVATVSPKAAGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLQAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTYSVKAIAVTQTAKGITTKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYVTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIAALVAAIVFTWIWSEKKELSDKWR >LPERR05G06530.1 pep chromosome:Lperr_V1.4:5:5919512:5923812:1 gene:LPERR05G06530 transcript:LPERR05G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPVATTAAAAADNDLNGTELRLGLPGSQSPDRPASTTLDLLPAKGAKRGFSDEAARPPPSSAADAAGKGKKVAEEDEEEEEDDGKKVAAAPQAPAAKPYIGMDVCQFLSQTQDYERFNLAVHTLRNAVASRVLNLFDYAEAHIV >LPERR05G06530.2 pep chromosome:Lperr_V1.4:5:5919512:5923812:1 gene:LPERR05G06530 transcript:LPERR05G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPVATTAAAAADNDLNGTELRLGLPGSQSPDRPASTTLDLLPAKGAKRGFSDEAARPPPSSAADAAGKGKKVAEEDEEEEEDDGKKVAAAPQAPAAKPYIGMAVASRVLNLFDYAEAHIV >LPERR05G06530.3 pep chromosome:Lperr_V1.4:5:5919515:5924045:1 gene:LPERR05G06530 transcript:LPERR05G06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPVATTAAAAADNDLNGTELRLGLPGSQSPDRPASTTLDLLPAKGAKRGFSDEAARPPPSSAADAAGKGKKVAEEDEEEEEDDGKKVAAAPQAPAANLQAVASRVLNLFDYAEAHIV >LPERR05G06540.1 pep chromosome:Lperr_V1.4:5:5931401:5936248:-1 gene:LPERR05G06540 transcript:LPERR05G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPIVASASSLHLAAASRLRLPSLSSSVARREALFVGSRVAAAARAPVRLARRGVSAGAEAAGGSSAAAAAQVIGQDEAMEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNGGKITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >LPERR05G06550.1 pep chromosome:Lperr_V1.4:5:5970932:5972321:-1 gene:LPERR05G06550 transcript:LPERR05G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLLDTTIRRFLQARNWSIEHATKSLKETVKWRRQYRPEKICWEDIAEKEYECRRAYITDYFDAKGRCVLVTKPTIKAKVSGKEVIKHFVYILESLAIKSADEKEEHVTWLLDCKGWAISNTPLSITRESMHIIQNYYPGIIAVAILSNTPRIFQSFWKIAKHFLEAKLHEKVMFVYTNNPESQRIVSEMFDLDKLETAF >LPERR05G06560.1 pep chromosome:Lperr_V1.4:5:5989360:6002018:-1 gene:LPERR05G06560 transcript:LPERR05G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPNVVVLISLLALFQSTISDAAGAPQLQALNCSTAGNYSSTDAYAVNLNQLLAAMPKNAVSNNGGFFKGTVGALAATVYGLALCSADFSRADCNDRLTATTASAGGMVKLCPGSTAAAAMFDQYNVCEDRCKRVLREHLQRELEAGFGLPECAGGNLAASQTRPYALVQCTWDLPSDRCKACLGTLSASASDLFAIAQDGEQKSYSCRVRYSNSSFTVVPLVTASQNATSYFSHEKKIGEGAFGVVYEAELKELKIPVAVKRILRVDERAVKDYKNEIRIIGTLSHPNLLPFVGSCDENGELLLVYELIPNGSLDSHLHDTNTVLSWNRRYKIAFGMASALKYLHEHESDRFVLHRDIKPSNVMLDEEFNAKVGDFGLVKQLPANGTSHSMTLIIGPLGYIEPEYINTGKASKASDLYSFGVVLLELASGKRPEYVQDNEQMVNTLVDKVGELDGRNELLLAADPRLDGNYDREQMVRVMRIGLQCVQPDRRQRPSSKQVHDYLTGKVSVPQLHGQSKRATISQAIQGMRDSYMFPWRSLVMFYQLQPQIFRKYLDGVHMVRSIKVNWEIILDIASALVYLQTAHDTCILHRDIKPSNVMLDGSYGAKLGDFGLVREIDQDSTSKTLTVGGTPGYLEPEYYSTKQASRESDIYSFGVAMLQMVLGEKPSHEIRGDELKNLFVEKAQNWFNTNKILHKVDKRLNGNFNKEEMERVIHAGILCVHKDRDMRPSCTQLINHLKGLVPITQILAPTIQDASPMQDADVGSSTAAGPPSAASITRQDSDTLMLTARPSFTR >LPERR05G06570.1 pep chromosome:Lperr_V1.4:5:6020991:6023723:1 gene:LPERR05G06570 transcript:LPERR05G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVDSCDHGGEIVDAGCVRAVLAELVLTFVFVFTGVAATMAAAGGTPEVAPGAAMPMPALAGVAVATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSVLYIAAQLLASSLACILLRYLTGGMVTPVHTLGLGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPMLTGLIVCANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYETLFLVKRTHEPLLDNSF >LPERR05G06580.1 pep chromosome:Lperr_V1.4:5:6024800:6026251:-1 gene:LPERR05G06580 transcript:LPERR05G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGLSGDYYRWTCPQLEFVVDMALAPIFAVDQTSPAALLRLFFHDCQVQGCDASILLRTDDRRNMTSELTSRKNFGIRHVSTIGLVKSAVDRACPGAHVSCADVVVLAAAAAVSHTGGPRITGRVPLGRRDAVSPATIAAADAALPDPFLGLDGALSILAAGHGMSLEESVAILGAHTLGGGHCATVDSSSSPRRDAAFEAALRMACGGGGGGGAAADLVPVVSDGTPAWFDNLYYWNLAAGKGIFVVDAEEAADGRTAGYVRRFAADRRRFFRAFSSAFVKLAMAGVLTREEGEIRRHCDVPNH >LPERR05G06590.1 pep chromosome:Lperr_V1.4:5:6025138:6026786:1 gene:LPERR05G06590 transcript:LPERR05G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSRDMPWPAARMERAPSRPRKGSGRAASAAAMVAGETASRRPRGTRPVMRGPPVWETAAAAARTTTSAHDTWAPGQARSTADLTSPIVLTWRMPKFFREHLNLAVMEEETKESRRRGLIDGEDRREGHIDDELQLWASPPVIVAGEAAGGGGHGC >LPERR05G06600.1 pep chromosome:Lperr_V1.4:5:6031751:6035221:1 gene:LPERR05G06600 transcript:LPERR05G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIHVSVALGKREYNGSIGQEDFSFPVTTLRESMVMQLYNEDRSLISQAELKTKAVVESGTMDVVFSLDNGGSIILRLQFLLSNADRKRVQEMRNSAVKRKQQELLSDGYGLSQDIPLSKQLVENISDISSEGDQPTVWKSMSLDDLQEKAVFSATTSDLQMKDTRDSLGQSASSSAVKKMISSIESSSPQDLTRIKSESSLKVLSASSENFIESSSENSSFSSATKDVSGHTPTSLVAGTSGKAQHPSGDKSYSSRSGKHILFSNKKSDASEQQAILSGTSEGRSRRLFRENDPNNSDMVITSQNRAKKRSAPKRRRFIGPYFLEHMHPRVCITTASRQLKELLEVEPPLDLDSFVLIGKIDTKKPDVRGSIMQDQGVRVVIVIIACGAIFLNNR >LPERR05G06610.1 pep chromosome:Lperr_V1.4:5:6037699:6041976:1 gene:LPERR05G06610 transcript:LPERR05G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPHMQGGSSSSSSSCRAADAAVWDAVQQQKRQRCQGSSSNDQVGSSTENNSFQASEPEQNFHCGEDEEEDYYLYDEDEVCYDDDDGDAYEFDETDFNQQLADKFDDLDLPPGVEATVPWLQKRADSDGPGNFKSMAEIDADITKKYEFFKQFDVVEDFSDHYYAKKPVTGKTGKEWTKKIQHDWALLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPATPPVVHYHAGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNPTQSTMLQVLISIQALVLNEKPYFNEPGYENYANSTNGQKSAMDYNDNTFQYSCRTMLYSLRRSPQHFEDLVAGHFRERGHAILAACKYYMEGHKVGCLVPSDDENGKQQEDINAGGSSSSSGANKKSDLCPNRPPSFKINMAVLFEELLMEFNVKGADTKKFCVEKLKKNQQAAA >LPERR05G06620.1 pep chromosome:Lperr_V1.4:5:6045309:6045752:1 gene:LPERR05G06620 transcript:LPERR05G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGAASLLRRRLPPRPATASASTAAIDRHPLRPVHPGLRAPAPAAAAAGSIRRLSDFGLPERRRMARRIPPTRPEGYSTSDGEVDGRGAYWSEDGETDDDDEEAEEVLLERMPAEDVEAGKDWEGFSLEYDDDAAASEDEEKEEK >LPERR05G06630.1 pep chromosome:Lperr_V1.4:5:6057973:6060124:1 gene:LPERR05G06630 transcript:LPERR05G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSSCCLKTDVSNCFRCDHRPAIDEIVREQSLVSQLRAVVLPALETKADERAEIVAQLFGSILDCSRKVICALRSHYVGVLESPPPEAVVIDKRRAKRKNSEGSKKMGDGAKSKSVHEHKRRRYTNSTSQVTPVPHYDGHQWRKYGQKNINNSNHQRSYYRCTYKHEQNCKATKTVQQLYNAGETIMYTVVYYGQHTCKTNTSNASLHVIETSTPQSISTTCSDLGDTRQKLDNMHTPELAEVCSEFGSYHTLEEEHNTLGLEDEDMHKLLDTFACGPLDLDSWEIDAIVRSGFC >LPERR05G06640.1 pep chromosome:Lperr_V1.4:5:6072723:6074744:-1 gene:LPERR05G06640 transcript:LPERR05G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIYPPAKIKKIANRRAAAAIGRPRRRRPRPLRRASRAPAPPSTTAAHSRSRSGEGKAGPPPSRRRRRHCCRLVPELRLPTAGRPRAAAPSLRPSARATDDSLPAAAVSRRHGAAGGRYSSGSSLCTPPRLVVVVAPRAAASRPPRGQRVADLTAPGPNLPLPRRRALAHCTRRRSPAAVAAACWATVEGRLEGGRPLASRWILLLAGLLLAR >LPERR05G06650.1 pep chromosome:Lperr_V1.4:5:6075094:6076738:-1 gene:LPERR05G06650 transcript:LPERR05G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIGQSVNGVFVMIVDEDSCHAKYARDMLSSLNFHVRVYSSPVSALTFLENNAQDVGFILAAVDMKQLSGFEFLQAAIQKCEDLQVIMMSAETTVATMMRCIRLGACSLLKKPLSDDAVNNLWQYVNLKVLRIERIKELLQVHGWETMDIMSDDEQASKEAEADEAEEVGEVNSSEAKKNVEAVQVESNDMRDGNIKLPNADVAEGVMDKTSYELSDDLKVTIGDGHLVPEANDNADTKESIGSNSSDEEVSCETKSNANIGKVRLVDYPDSEDDETNKPTST >LPERR05G06660.1 pep chromosome:Lperr_V1.4:5:6101577:6103982:-1 gene:LPERR05G06660 transcript:LPERR05G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKEGYPTDRLNVMVIDEDECHAYSTSSMLSQFNYCATVYTSPIKSLKVLENHAQDFDLVLTAVHMEELDGLTFLTSAREFYRSIQVIMMSTETTMHTMKRCVNLGARFLVNKPLDVVTAQNIWQHLDRKVLSIEKIKCLLQDASPKNKKVVNNVGTIDRNQIPNVQVLKIVYKTAYKTAYKKHRCPNFIPLKLHKRYKNHQLDMEKDMQEFTGNTEICNVYTTIRRSLQLGAIFDEFNYPSDHCGKDYKAGEDEIVGGHGCVSEANATQSNDDHQVVVPVLPCNVADASQEIMNKTTIDNQQASRRSDKPTDLSADEATVISTGNMQINVAVAYNAYVSQESIKNTTSDDLNNHKGSKEATFRLVNYSDSESDDETEAS >LPERR05G06670.1 pep chromosome:Lperr_V1.4:5:6106574:6108814:-1 gene:LPERR05G06670 transcript:LPERR05G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGQSSDGTFVMIVDEDKCHANYARDIVSRRNFHAIQKTMRTVSYEEKVLEGFEEDDDEEMGEVNSNEAKNVESVEVVSYEVGHGNAKISNFDATQGNVHKTSYELFNEKVSRVDGGSSSLGEQISYKIKIDAGVSMVSLVDYTDSEDE >LPERR05G06680.1 pep chromosome:Lperr_V1.4:5:6138934:6141119:-1 gene:LPERR05G06680 transcript:LPERR05G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVPHLLGAGICFGANIIANTLSFLPDEIREPEPNRKPKASEAILSKIVASDGPSPPEARTVAERYLEGLVSFLTTYFRHLPTCDALRYLSLARADLLVAVSLIEKDRCYRRKDQFRIRSHAVKIALKCAAWSARQPNFDNFFAGCFALVSHINKITRRTLSAKGCCRLTVQDISWLSGLLAIPLTPRSDKPMKLASKRFRFHDPEIRASIVNVPGELTESLQGVLMDRVHDHYLKAIFSLLKAGYCFGPFHPVSNIIMNTVWYDTAFPPSKEFEVDMIFTLRHVESRSVNGLITFLRACIPVISEHEAMVYLLKSNLDVCKAIQMAKSQGCDVSVCDDSGYKAAAKAASHPNLEAYCDAKSLDPTIELTKDALKCITNYKEDFLTQQSFVRRKVEAALRNYEQSKGCCYDLGVICGVNDNVGKETGIFDTKFQYTHANFWATQDNGTAALFFTEFSNDEDVDHKPFCYPVSGLSTQGIILSPLRCCYCELKGTRIMHPFEGGWEGTRGFEKIACGEHTITNEEIVSRMKLVDNLVQGIFVQDYIYLDPAQDAKLIQAVNRAEWVMNLNIDDEMRRIKSLPAGSHYSPQASFVI >LPERR05G06690.1 pep chromosome:Lperr_V1.4:5:6143876:6146320:-1 gene:LPERR05G06690 transcript:LPERR05G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQTSSASETPIETKAVITASTMLSTPTRQTIHDPAQDTPTSKLTAIETPKKTYVVSHLHNKTKAILQDTASSASEAIQSPHIGCTNAEKKVLAYTNLTQPIVVKGKEAAIDDPYAKKILPSDTTQQTLSPAVKVEGKKRSGSSAPPAKRLFKDPGHHRPNDRCC >LPERR05G06690.2 pep chromosome:Lperr_V1.4:5:6143876:6146320:-1 gene:LPERR05G06690 transcript:LPERR05G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQTSSASETPIETKAVITASTMLSTPTRQTIHDPAQDTPTSKLTAIETPKKTYVVSHLHNKTKASSASEAIQSPHIGCTNAEKKVLAYTNLTQPIVVKGKEAAIDDPYAKKILPSDTTQQELELSTKDDDAPVQTLSPAVKVEGKKRSGSSAPPAKRLFKDPGHHRPNDRCC >LPERR05G06690.3 pep chromosome:Lperr_V1.4:5:6143876:6146320:-1 gene:LPERR05G06690 transcript:LPERR05G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQTSSASETPIETKAVITASTMLSTPTRQTIHDPAQDTPTSKLTAIETPKKTYVVSHLHNKTKAILQDTASSASEAIQSPHIGCTNAEKKVLAYTNLTQPIVVKGKEAAIDDPYAKKILPSDTTQQELELSTKDDDAPVQTLSPAVKVEGKKRSGSSAPPAKRLFKDPGHHRPNDRCC >LPERR05G06700.1 pep chromosome:Lperr_V1.4:5:6167327:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPCKCLATPSPARNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQTRLLWQVVLSGVRTTPGFG >LPERR05G06700.2 pep chromosome:Lperr_V1.4:5:6167748:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPCKCLATPSPARNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQSRT >LPERR05G06700.3 pep chromosome:Lperr_V1.4:5:6167327:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPCKCLATPSPARNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQVVLSGVRTTPGFG >LPERR05G06700.4 pep chromosome:Lperr_V1.4:5:6167327:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQVVLSGVRTTPGFG >LPERR05G06700.5 pep chromosome:Lperr_V1.4:5:6167327:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQTRLLWQVVLSGVRTTPGFG >LPERR05G06700.6 pep chromosome:Lperr_V1.4:5:6167748:6169248:-1 gene:LPERR05G06700 transcript:LPERR05G06700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEEIRALPPSATRPFYPRALERGTRSLKEPRPNNIGIGKMWELECYTSPRVKYKKRWQQEGNERLDGYSGLSLLTMIKVFQIDGVKEKKTGGLPTMTNNELYKVSDWAWVDQNDFAAMSSAIADGYPLICLYKCGPRLVNLKPGDIYVPPLDGVLGGHASLLVGAHQEGGVKFLYFLTCNSERFCQRNVSEGDGIRGGIGAIIHGRFDFNPVQIFRFNERRCNSSRQSRT >LPERR05G06710.1 pep chromosome:Lperr_V1.4:5:6172304:6175939:1 gene:LPERR05G06710 transcript:LPERR05G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKTVENIDEAAKELPHANYNLVLQSGDKIDLKHFRSVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMFNHNKGLAATGLISVITREDCGRRKSSHGCRARHLTTTHGFRNKLPTALAGFLPPFDN >LPERR05G06720.1 pep chromosome:Lperr_V1.4:5:6178708:6181260:1 gene:LPERR05G06720 transcript:LPERR05G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANNGSATAAAMDDGFEVIVPQHPLISHWVSVLRDRDTLSHAFRSAMGELGRLLVYEATRDWLPTIVREIQSPMGSAVVESVNHLEPIMIVPILRAGLALAEFAASVLPSTKTFHLGMARDERTLQPSVYLNKLPDRFSKQSHILLMDPMLATGGTVAAAVDLLKDHGADIGQIRIISAVAAPVALKKLHEKFPGICVYAGAMDQTVNEKGFIVPGLGDAGDRSYGT >LPERR05G06730.1 pep chromosome:Lperr_V1.4:5:6190000:6218236:1 gene:LPERR05G06730 transcript:LPERR05G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLAGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELIAPRRGRPSLEAISCVGSFAKAMGSAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCIAQALPKSSVRPGAAVGRGSRSSSLQQFVESSGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLIMAAVADADVGVRSSEYHVRELAISVTGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRINNDDIRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHLRYRKWDEIENQLLRREPLISENLSVQKYTQCPPDVISDPLDDFDGVPSEEADEAQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADSRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFSRLQDLSVQLATATNSYSGALASQVATTNAVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVNIEMWLVVLPQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCVQYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLGFAPDYDNLPLIAKVEVFQHALENTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTHHGNEHLASGDAREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >LPERR05G06730.2 pep chromosome:Lperr_V1.4:5:6190000:6218236:1 gene:LPERR05G06730 transcript:LPERR05G06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQISSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLAGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELIAPRRGRPSLEAISCVGSFAKAMGSAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCIAQALPKSSVRPGAAVGRGSRSSSLQQFVESSGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLIMAAVADADVGVRSSEYHVRELAISVTGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRINNDDIRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHLRYRKWDEIENQLLRREPLISENLSVQKYTQCPPDVISDPLDDFDGVPSEEADEAQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADSRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFSRLQDLSVQLATATNSYSGALASQVATTNAVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVNIEMWLVVLPQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCVQYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLGFAPDYDNLPLIAKVEVFQHALENTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTHHGNEHLASGDAREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >LPERR05G06740.1 pep chromosome:Lperr_V1.4:5:6220069:6222996:1 gene:LPERR05G06740 transcript:LPERR05G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRARKLDLAVAPFRKVTLRLVRDDPATAAALPTLEHRNIVLRMSRSIVFISSPLPEGESFIPCTGIVISWDGATKCARVLTSYVYERHELQPKLCVQLPDKSIVEGRLAFVNPHYSIAILEIVSDLPLQVPTFQYGAKYAQEILALSRDKNMCLVARRGTILWGGKGGLVVDNGGSPVGMVYDEGPFAPIISMSTALSCIGMWEQFRCVARPVFKMDLTTVQLLSVSMREELSIKHNINDGFIVKDVDEDSDLERLGVRIGDMIFFQDECGSTLPQIDDYLLSLGWKYLRGMKSMVLKLEVHDIAGPVRETITLPLEFFCRICRGA >LPERR05G06750.1 pep chromosome:Lperr_V1.4:5:6238324:6239705:-1 gene:LPERR05G06750 transcript:LPERR05G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPCDCASEVGVPHVFDDVVSQFSGVKQLLITVAVDGDDDGDVIGLLAVLDVYVDDGDHVVRDVVVVRWRRWGLRLGLAEAHRQGRRRLCGCNDLLLDSSYSSTVPLLFWDSILHDSAFLEEHAGVTSNRPVLVMMDKYPQENFLQAVNS >LPERR05G06780.1 pep chromosome:Lperr_V1.4:5:6266477:6270255:-1 gene:LPERR05G06780 transcript:LPERR05G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVAKHGRLVGCFSCGKRSEGFLSMARVCDDSDFTFVPAKTNAGALTLKQVADQPGTKKVHHLTKRVVSKPKIRRSPRVKAKPKEAEASSSQPANTKRKREEGERKKKFVSNAGFEKLLCLQPCSVPIRLTLWLIQHVNTKMGTLEVCGKSIPVKALIEKVIGAPKGQSSVETSKEADTTVKLKFSENGREMPIPAAIDRMLKEETEDEFIVSFMLVALSIFLCPGSKIAINRDYIPAVQNWKVIKDTNWCDHIAIVLMDGIIDFKVSATKNVNIKGCVHILNVIFIDFVSTISVPHGTPHIGHVTTNHINVVLSKSINADFASLLLRDFEATIYAEGSTGQEEPAERDDSIPVDVANSDMQDKNAEAEQHGDGPNIETTSHAPPPSSRADNWHSQARHGGFRDTRTGSYEVAAHNLMEEVVNIGVSTARDACTSHQEEAEEVLSARLSQIGLHINEQQPHEHEPAIHHHDSSLHPPFDATKEGDSINSNGKDATTGNNGDKGDANTKDSEGDQGCTLTEDKTIGMSSFNDTSIGTPWRDAIVVEDSPPHSRPSDLKLPELTEPIDGGECFPDTGSVPSTSKHRAKRCKSSHASVDAIAGLRKGTQIK >LPERR05G06790.1 pep chromosome:Lperr_V1.4:5:6282670:6289805:1 gene:LPERR05G06790 transcript:LPERR05G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEPFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVDQQFKYTEVPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSVDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTAILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEARKVLVESYVTLRRGDSTPGTRVAYRMTKLLPGVIWKEFVESSEVDLSDFQDADDGTNVPADNDAGQPAETDAVPQQEGPENDQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGAAPAADDGGARRTSESRILAVNPNYVID >LPERR05G06800.1 pep chromosome:Lperr_V1.4:5:6290289:6302869:-1 gene:LPERR05G06800 transcript:LPERR05G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKTGNKSNMAQIVLTHQSWRHTSHTKKKEYGTDSGRAFYARQMVLSAQGIFAGLTVSQLDQKIREKLTQGIRGCEYYLKTKIVQLELDMMYDMLYTKAMVIQTWTGCICSPYGSMCYWCNNVIITNMGTFKNKDMSQLAPQERYWSRGQRLAVPISLGQFSLATSVLRDRSTPRLILKAMNAFGLGKLYRNTRDIKYIEACKMVGHFTNSFGIASNMIFIGSSESLGHVLDTGMLAQHFEVSIIIMHLLTAGFTSWAYFLAHDMSMTQEVRQTILAVTRECEKLSNDMMYLLLIHPSVLPVSSDYTVTGLSNHLCSTRDLTKSVPELDAITDKTFVERMKLLDSALDQLQLIIPRANVPVSTSRRLLRVKWFYSTLSQVLHELKGLWIRLIVYAASKSCGELHARRLGDGGEFLTFIWLLLAHHGLGNVAEVGLRLLLFAGILSRYDYKSKMGNGSFRETDSLTFICVPFILVHLGGQDTITAFSIEDNNLWLRHLLNLVVQYAERTWALKHGSLEGLQSSVKSYKDKEQEGQKDNKFESYSSRISYARRMVQYARGLFAGLTVSQLERIVRKSLTQGLRGCDYTLKMKIVILELGMMYDTLYRWFSRHGLAQHGHRTVDVVITYTATHCLPVPSLRKPVLSVREEKTTVLISVGQFSLATSALHDRSTPRLKLKVKNAFGLEKLYRDLWHVKQVEGHELVEHFVEWFNTSYLSNIRTMDVRFNLLDILSQRFEMFVKPWILFYLAMEIGEPTIKKLPEECEKLSNYMMYLLVVHPSMLPVSSDYAAMELFDRMKQTDIRSRTDLPKMFMKQEDSIRLTLLESTLDELRMQIPEINGSVKSAMDLLRGFRSRDQLLPMFDKLRKVWILLLVYAASKSRGELHAMERSSSPSSGYSWHITASVMWLR >LPERR05G06810.1 pep chromosome:Lperr_V1.4:5:6314776:6318804:-1 gene:LPERR05G06810 transcript:LPERR05G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISAGEICVGVLEIGAAGSLLCLYLIEKTLDEDVDADSDGIIGSASGRELDNLVIQLRMQGLPFGKSVGMLPSGAAGEYDYELAGGFQTAPLLLPKGTCVQFAPAFLAHHCVTADCGKNSLSQNEDLSSATYLKPTFQMTSTDDAGHSVAEIFVGPAQMVSLLSNSIPEQEKVKDAKATNWFKSTGPCISRFMAKILMFMMITSSTVGVNANSAMATCDISKHAENIALFCHDRPTLMCCDMLLNATQGCGNIYSLCIIGQSPPILGSWHQALPALMCFPGSVAPEKDSDVKLYDQRSQVVNVFADKKEPTSNHDGGIKKLLTELGLLLIGGGFTVTICISIRVFVCRRSLRGVVQQQQMTDCKDHMPLVKLPLSKFKHHLLNSKHQLCNCPHPSLFPKITKLGTQLPR >LPERR05G06810.2 pep chromosome:Lperr_V1.4:5:6314776:6318804:-1 gene:LPERR05G06810 transcript:LPERR05G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISAGEICVGVLEIGAAGSLLCLYLIEKTLDEDVDADSDGIIGSASGRELDNLVIQLRMQGLPFGKSVGMLPSGAAGEYDYELAGGFQTAPLLLPKGTCVQFAPAFLAHHCVTADCGKNSLSQNEDLSSATYLKPTFQMTSTDDAGHSVAEIFVGPAQMVSLLSNSIPEQEKVKDAKATNWFKSTGPCISRFMGSVAPEKDSDVKLYDQRSQVVNVFADKKEPTSNHDGGIKKLLTELGLLLIGGGFTVTICISIRVFVCRRSLRGVVQQQQMTDCKDHMPLVKLPLSKFKHHLLNSKHQLCNCPHPSLFPKITKLGTQLPR >LPERR05G06830.1 pep chromosome:Lperr_V1.4:5:6368434:6372614:1 gene:LPERR05G06830 transcript:LPERR05G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHENQRLTVALSKISPSLSPNNRLPKIRRWPKSWRKSPPRLRAPSPPPPHPQNPNPSPPRRRGSRRRRRSGSPWLRRWSEMSSRAAARRSSVAAEGAVAAAQSERRVPLRNLTNVVGGRARAGKAEVDTKSIVTSTDAVVKKGSLASLRNLNIEQCSVPKPTSSQFDQAILHEDCTLELVCCPSLPTIVPSVGRHDCSPGLCDDSNSSEHAMSTSNSTESPDIDCLDDGDSSVASSLQHSADQKLHISDNKDVADMAWRKHGPNSVEIDYIIDIDSNHEDPQLCTTLALDIYKHLRVAETKRRPSTNFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRKYEEICPPQIEELCYITDNTYTKDEVLKMEVSVLNYLKFEMTAPTTKCFLRRFVRAAQVCHEAPVLNLEFLANYIAELSLLDYSLICYVPSLIAASSIFLAKFILKPRDNPWNSTLSFYTQYKPSDLHDCVKGLHHLFLVGPGSDLRAVREKYSQHKYKFVAKKYSPPSIPAEFFQDPSN >LPERR05G06840.1 pep chromosome:Lperr_V1.4:5:6374015:6377386:-1 gene:LPERR05G06840 transcript:LPERR05G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRQVHIVLSVILAAVAVHAQRDACGAELNTFLPAPFNSSVLRCKSVWNNFILRYSDLQDEDNITNIVLSAAYSSGWVGIGFSNDGKMVGSSAMVGWIDNHGRAYIKQYYLSSQTSSGVKVDEKLPMTDVLSAAVLYGDNMYLAFQVKFPLHIAQRSVILASSKITPYEFHLAEHDEKTTLFFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLLPLGAIVARYLRHKDPLWYYLHVFLQFLGYIIGLAGVVSGIALYNRTHSNFTTHRSLGISVLALASVQVIAFFLHPDKDSEVRKCWNQYHHWVGRFCIFLAAINIVLGIELSDTNISWKVIYGAIVSVILISATFLEIMMCTRLPKEGTCSGGLQMPTHQPNS >LPERR05G06850.1 pep chromosome:Lperr_V1.4:5:6374467:6377366:1 gene:LPERR05G06850 transcript:LPERR05G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVQSNARNNTSKPNDVTQKLQEDMKRSTDELKGAGRKVFSSAPQASLCAWTATAARITDRTI >LPERR05G06860.1 pep chromosome:Lperr_V1.4:5:6379261:6382763:1 gene:LPERR05G06860 transcript:LPERR05G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSSEHNPTSFDDEFSIRSTISKPSSAYTGQITTSDKKPKPKNNKPNNHADKTANPAPPPQKPDIAASTTPVTTTTTTTPPPPAASRVEAVSNGSLQESSISSSGNTRSNSLESTTTTTVSATPAPAISSSSSSTSSVSSSSVTSSSSSSSHVKRHTGGDSRWEAIQAAATRDGGGPMNLGHFRLLKRLGYGDIGSVYLVELRGTAAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLIKSSSVHATASGGSSSNIGIGSSKGGGGDSNTDGDGIPATQGCIQPSSFFPRILPRRSRKSSKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPTDRDGGGGASCASAVARDLIRGLLVKEPHKRIAFTRGATEIKQHPFFEGVNWALVRSLTPPSVPEPVDFRQYVSTTPKEKKPAPAEGVAATAAGDGGVAKSSTGGEAYTDFEYF >LPERR05G06870.1 pep chromosome:Lperr_V1.4:5:6385972:6387618:1 gene:LPERR05G06870 transcript:LPERR05G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKDVLAHEHNTIPRRKRTRREAIILMDHTAEEAINANGSKSHEDEVIIVGNVVVDGSEKADEYCSDKADESEREKERQRKRKGKMVAVDDNHSPTSTPNIPPGEKKLMGDAIRAGGGRRRPPHATTTATATTTTKLTLNPNRFWAGLGHDGTSTSSAGSEMCTSLDGIAVVDERLRQFLKGLGATTPVRVYGKKMWPSDRDTYQNRFQMSCKSWHDTEGVFPLDVILTQAEKKAILPKPKEEYEYDNDGKKKKNKESHGLEVMAYDRTGEPYNLKLSYASSNTSYRLITNWGNFLRKRNLVERDETDVKNNNKKKKGIKVVEKPENVDTEKAKKIVDEAMIDVWVFRSPKLPVGKDEHEDGRLGVVMVHYFKGEAPHADAGFNAHEELLQARPAPAVKNGKDKDQQEAASSSSSSSSSSSHEEKQKQVIIMEDLPAKEEEEEPNGDAAAVMEIAAAVAAVAVAGEDEAAAGVVQPPHVVEEVVEVAEAALLQEEPNGDAVVLQLPPWEIAEVPRDLPPGVTLDVYWASEILLDIARERPTVRRALL >LPERR05G06880.1 pep chromosome:Lperr_V1.4:5:6398376:6401861:1 gene:LPERR05G06880 transcript:LPERR05G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGTIAMAMAYYNAIANKKLTSLVVCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCCFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >LPERR05G06880.2 pep chromosome:Lperr_V1.4:5:6394234:6401861:1 gene:LPERR05G06880 transcript:LPERR05G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDSTMDVDDVDAVGVGVGVTGGGGGHHHGHHGLPPHPGHHLAAGLGVGGGAGRGFPKVNVAGVGGAGGAAAGGAVAGGGPGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCCFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >LPERR05G06880.3 pep chromosome:Lperr_V1.4:5:6394235:6398091:1 gene:LPERR05G06880 transcript:LPERR05G06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding QTPSPQSILQRRDRERKRRKDVTPTPTQPVRVHHTTTAPRRRRRQPRTPRPHEPRTLISRRLAGGGGRHGHGQRGVPLPPGLHHGRGRRRRRRSRGRGNRRRRRAPPWPPRPPAPPGPPPCRGAWRRRRRGAGVSQGECRWGWRRGRRCGGGSGCRRGPRWRASGHQRARAARVPRLHQLHVPAHPPGIV >LPERR05G06890.1 pep chromosome:Lperr_V1.4:5:6405069:6405761:-1 gene:LPERR05G06890 transcript:LPERR05G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPPRYYAAAGTGAGYHRASSSSSVVASCVVAALFILLLAGGAAAALFLLYRPQSPAIAVTAVQLPSFSTANGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGRIDGGRTQYMATSFTVPAFAVAVPAAAAAGGSQTIITVPASGPSPAAVVAGAAVALQEGQAPPAMEVDSLLVVKGKVTILGVFTHHVVAAKVCRIGVSPADGRVLGFRC >LPERR05G06900.1 pep chromosome:Lperr_V1.4:5:6418266:6420410:1 gene:LPERR05G06900 transcript:LPERR05G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGQSSNGTFIMIIDEDKCHANYARDIVSRHNFHVTVFTSPSDALIFLKNNAKDVAFILAAVDMQQLSGFQFLQAAREKRQDLQVIMMSAERTISTMRLCVQLGACFLVKKPLSNETVRNLQEYVNLKALRMEKINELLEDGTEEDDDEEMGEVNSNEAKNAKSVEVVSYEVGHGNTKSSNFDATEGNVHKTSSELFNEKVSRADGGSNSLGEQISYKIKTDPGVSKVSLVDYTDSEDDETKKTTST >LPERR05G06910.1 pep chromosome:Lperr_V1.4:5:6420945:6422736:1 gene:LPERR05G06910 transcript:LPERR05G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILTKHVVISCIIFHNIASITCGLMRSDHVRSTTVYTSPIKALKFLENHAHDFDLVLAAVHMEEVDGFTSLTAAREIYNSIQVIMMSTEMTKHTMKRCVKFGSRYFMNKPLDVVRPQNIWQHLYRKVILMVNIKCLLQGSIATYLFVFRIGKKFDAFQSCICKSST >LPERR05G06920.1 pep chromosome:Lperr_V1.4:5:6438303:6444477:1 gene:LPERR05G06920 transcript:LPERR05G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGEGLSVFRFLTALPACDDGSGGPGLGRCGSETCTAVSSAWNVFRTVIASSSPRRHHRRYRVVFIVSAGDRFSMPPPCFLLPNTPPRVSTSRGVGLLRRRHWVLPRALHGLAGGRGPGAYRRPSSSPETLPSNTLRLF >LPERR05G06930.1 pep chromosome:Lperr_V1.4:5:6445182:6446294:1 gene:LPERR05G06930 transcript:LPERR05G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVPFGLRKQLEAAEKCFADGNIKVGKMHADMAAALFSSSPEAQSAQAAFKVHAAAAAIKNDHYAVLGIEKPNP >LPERR05G06940.1 pep chromosome:Lperr_V1.4:5:6447695:6451981:1 gene:LPERR05G06940 transcript:LPERR05G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGAEQPDGGSVLSARRREEYAFRRKSDFRIINDVAVTQAIVAKLVTGTGFLSLTWSTVVLLGGFVSVLPIKEFWFLSTVLASTVLSIQHYSIDTFTALNNAGLHLLVGGLFKILQRSEYRRCGFVKFLFGGLVKYMIKNSLSLFILVLIEAAVIINLVGPMASVVISVLRLAQHNYDGTDEPNKGKLRAAFFIFYSLALTHGVCFYCWFLLQFSLMKRAWLMFTKCGLEKYHWCRRLRLQYVRETVTMCANDLTLPNGWNLVTYAVGLLETASQDDHLDGLRMLDVFVVEKTRSIRLELLSSRQSIENLITMLEWTSPDLKDQEMRERAARIMADVADALHVAQMPTGALQCISSLLEASPQKHCLQGEAKEQKQDHQDEEKEEQQDHLEEEKEPAIETSVDPARGLDQSSGIAVGQDETLDITENISLKELLEVYKDRFRGGDERVLPDIQEMMEIKYPFKTKGTKELIHQGLQILEKLAYNEHNCREICKTSWLLPKITVSITSPDFLETEYDNEWVNTLSILLKLVMRLISAPGEAGTSLSREISASKDAVHNLLGILDGKIECSMQLQKNAMEILTEIAIGGPAVMTENLVKKLREIFLANDRMSTLRIKAGKSLVKLLSVSAPSFTKVFSKSESMDAMDVTTCDTESTIVAQLTDILFKDMDCRTSAATILEYLCSYAELSNQDILNLLMKIVDLILSCKMDRNTETVIEAKERTNSEKHNDIENQLPQHADQKSLAEKDDVLSEERKYLAALLSILVVICHKLVQNVHSDVISVNEALVKKLNKIIDTNNENTADCLGIAKLACQVVVALIQLKPSCLKDFQENNFLDVLHKALKKMSDIDTCMLFAVKDHELTKPTRTLSSLVKDARKLLKRTQETGNSSS >LPERR05G06950.1 pep chromosome:Lperr_V1.4:5:6461853:6462767:-1 gene:LPERR05G06950 transcript:LPERR05G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVTPPEAFFWTGIGDDQKSTSSAGSNTGASLENIAVVDDRLRGVLKDRLGVVLPSPERVYGKKMTPSDRVVGQSRFQMSCKSWHSSEHGGDPFPIDEILTPEEKLAAVNPNTEHGGLPVRAYDRAGRPYDMQLKHLDCVKAYRLMTQWGKFLKENGLDVVKGKKSKEAADANPAMIDLWAFRSPKLEIGQDGHEDGRLGLVIVHYFRGDAPHADAAFEADDELKGQGTMRKKKKGGATAMEDLPKEQPVGVDEHSAAAVVVNEEPVQVQGGAPEPPLVMTPATAAQNLYSAVAGVLRMWDY >LPERR05G06960.1 pep chromosome:Lperr_V1.4:5:6467026:6470682:-1 gene:LPERR05G06960 transcript:LPERR05G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENNIDDDYFQENVMSHGNGTNIGGSNMEILTRVELEIPFASEKLLNLEMLVMEIARKATDFEPPILEDESVPSETAESAFELDILYGILDAEVGELDDLITSLQNDIENVERKVYEDESGGKIKSKLDAAMANVKQMKDLISDIRKESAKFEKAIVFSHDKEGITEDGEYENGIMSSHTGMPTEDQRRNYLQMLQQSLANELDLEKQLSESRFVIEELKLNLHHHDQEKYFLEESIESLCGRTFAAENASEVLLGTSKGFVDIISTIQFQLSASRSRENALKSKLEESSMRLSSLEEESRHSGATGTVYNLTHPSPEFSFLQDKVDDLEKQLRESASELQLAKASAETFQEEQNVLQSEKSTLENIINSLKDDVSRAESRAQNAETRCMQLTEANVELNGELSSLKSHGSDKASFLERKLKDTNTQLEHAKASFDAIVEQQSMLRSTMSDMEHMIDDLKGKVFKAETRAQNAESKCTLLTDTNLELSEELSFLRGRVESLENSLHEANRVKMSTVKDIGIRTKMITDLVTKLASERERLHHQISLLTKKNKILAQKCNKSVKDGTLLSKNVTGKDVELHSTKLAEEIVPDFPSSQIKVEKPVDPISEEEKTRSSEDDDSAEESIPEAMRTIQPSLLNWKYIVVAFLILLVAVFIYLPQPEGSSPV >LPERR05G06970.1 pep chromosome:Lperr_V1.4:5:6471453:6471911:-1 gene:LPERR05G06970 transcript:LPERR05G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWAEEDERQKQKETLRPMGLHVIQSVGLSFLKKGGERESGGATESGHLIPVASLPDGGDRAPATATATAAPPPPPIPQVNGNSLPRSAFASSFHGPAVAFAGRIALSVVCSLAVLAVRSVRFG >LPERR05G06980.1 pep chromosome:Lperr_V1.4:5:6471996:6476859:1 gene:LPERR05G06980 transcript:LPERR05G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGMAAFQYKYGGGHVTNRHWRSINHLAFFLLFRFLMNHNPHGKDKMSSSYDEGKSFARRDLLLKIQSESQKCWEENNVFEANAGDKPPTPGEKFFGNFTYPYMNGMLHLGHAFTLSKLEFGAAYHRLHGSNVLLPFAFHCTGMPIKASADKLVKEIQQYGNPPEFPSADKNPKDELTNDRIYGQTTASQEKYRSKRSKAVAKSGSYKSQWEIMRSFGLADGEIVEFQNPHHWLSYFPPLAMEDLKAFGLSCDWRRSFITTDMNPFYDSFVQWQMRKLKKMGKIVKGKQYMIYSPLDHQPCGAHDRASGEEAEPQEYMLIKMKVIPPFPPKLMVLEGKNVYLTAATLRPETMYGQTNCWVLPNGNYGAFEINETDVFILTARAARNLAYQMLSRVSEKPTCLVELFGKDLIGLPLKSPLSFYEIIYVLPMQNVLVNKGTGIVTSVPSDSPDDFIAFQELVKNQDFRVACEVKDEWIFPFEAIPIINVPGFGNKSAEKICFDLKIDGPDEKDKLAKAKEITYMKGYNDGIMIVGEFYNQKVQEVKPLIKEKLLKADIAVLYYEPEEKVISRSGDECVVALTDQWLITYGEAEWKRKAIECLDKLNTFSVEARNSFEHTLNWLNPRACSRSFGLGTRIPWDEEFLVDSLSDSTFYMAYYTIAHLLQNGNIYGSDNSYIRPEQMTDEVWDYVFCNGPAPQTIIPSIVLTKMKQEFEYWYPFDLRISGKDLMQNHLVFCIYNHTALLPQHHWPRGFRCNGHLLLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFIFETANSVILRLTKEISWMKEVFDDKSSYRLGPPSTYADHAFANEINFAIKDTEKNYDAFMFRDALKTGFYDLQAARDEYRFSCGIGGMNRELLWRFMDVQTRLITPICPHYAEHVWQKILKKEGFVVRAGWPIADDPDSTLRMANKYLQFCMVLMRKSLHKQESCHKDAKKGAAASTTLVKNKLSVGLIYVNEHYDGWKEQCLRVLRDKFDGKTRSFAPDEDIIDALVNCSFGQEQNVKQVQKLCMPFIKFKKDEAQNIGFQALEPKLPFGEMEILEENKEQIKRQLGLDHIEILSSSDEAAASRAGSYASVLRKNPPSPGNPIAIFLSKLEYQSQT >LPERR05G06990.1 pep chromosome:Lperr_V1.4:5:6477687:6480968:1 gene:LPERR05G06990 transcript:LPERR05G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSYTAAASLLSLPPSIPSQRVAAAIPSLSRARGSRRPLPSPPRSLSQAAAARSGTTPTASSSGGNDSEVGDVRRSYIKCGRRRMGYTTATSLLSRCQQGCPFPCFPTNCSA >LPERR05G07000.1 pep chromosome:Lperr_V1.4:5:6484893:6494676:1 gene:LPERR05G07000 transcript:LPERR05G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRTLLDIGGGAAVAVPRRLRFREPASPSLGGSSALPLRRPSSVPSLAPPCLLCGVGGSSARRLPPRRRTRQGKQSLWDLQRDTLLLYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPVNSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWLSLAPVVIGVSMASLTELSFNWTGFVNAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLMQHGFKDAIAKVGLAKLVSNLLVVGVFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSFIKAKIEEDKTQLKSA >LPERR05G07010.1 pep chromosome:Lperr_V1.4:5:6496442:6497041:-1 gene:LPERR05G07010 transcript:LPERR05G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGLSPAIHRDHQPGGRPPPFDEDGERTLVVLLTFGIFFSFVILYLVAGLVWSIVITASAIAMSFLYLKVRRRRAVMMARRGVGGGGDIVFVVGGSAHQAGGGGGADDSGVLSAMIPAFEYKRQVVNGGEDGGGGWAQCVICLGMVQVGEVVRRLPACKHMFHVDCIDAWLSSHSTCPICRADVVVDLAAGRLEPPV >LPERR05G07020.1 pep chromosome:Lperr_V1.4:5:6500629:6505134:-1 gene:LPERR05G07020 transcript:LPERR05G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLQPFLEFVRRNNLQMEFFSIGSNQYLVTSIHEHWFCARCVNTIQPEGEGVIIMEIGAYLYDGSLGSASQAMVAVDQFAWNFNRRSH >LPERR05G07020.2 pep chromosome:Lperr_V1.4:5:6500629:6501196:-1 gene:LPERR05G07020 transcript:LPERR05G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSIGSNQYLVTSIHEHWFCARCVNTIQPEGEGVIIMEIGAYLYDGSLGSASQAMVAVDQFAWNFNRRSH >LPERR05G07030.1 pep chromosome:Lperr_V1.4:5:6506718:6509221:-1 gene:LPERR05G07030 transcript:LPERR05G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDREEVQSSPLWKLPETGWWANPSSPIQVSQIPSTQVTKSIDPTKQRQPLAIFVKGRPRLQKMWCPLSPPLSDSERTLMGGEAKEA >LPERR05G07040.1 pep chromosome:Lperr_V1.4:5:6511572:6514573:-1 gene:LPERR05G07040 transcript:LPERR05G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKPFLPPQSISPPPRPRLLAPTALAPTPRRAQPSSVACSAAAAGGNAESQPPGGGRSPFEALVEVPRALWRRTLRPLGDYGFGGRSVWEGGVGLFMVSGAALLALVVAWLRGFQLRSRFRRYHAVFEFTQACGICVGTPVRIRGVTVGSIVRVDSSLERIDAYAEVEDDKIIVPRNSLVEVNQSGLLMETMIDITPKDPLPTPSVGPLDPDCSREGLILCDKERIKGQQGVSLDAMVGILTRLGRDMEEIGVDKSFKLAEKVTSIMEEAQPLLSRFEALAEEIQPLLSEVHDSDLLKDVEVITKGLAEASGDLRKLKSSMLTPENTDLIRQSIFTLVFTLKNIESISSDISAFTGDETTRQNIKLLIKSLSRLL >LPERR05G07050.1 pep chromosome:Lperr_V1.4:5:6515740:6516257:1 gene:LPERR05G07050 transcript:LPERR05G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRPKRKREQSNNLDSSEGSSNSLLSDAESGRTKEDDVEVVSKDLTDIAVKSTEAIRTFVGRLTPIVVVQAIDWDDLELNKLSKEIAARNITESFPKIQGFHNLIRKIRESQGKDVNMDQIRYIWK >LPERR05G07060.1 pep chromosome:Lperr_V1.4:5:6523487:6523980:-1 gene:LPERR05G07060 transcript:LPERR05G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRPNMKGEQSHNLDSSEGSSNSLLSDAESGHTEEDSIEVVSKALTDIAVKSPEAIRTFLGRLTLIVVVLAVDWDDLESNKLSKMAGGQCRWSEPKICYFLECCLEEIAAHNITVPPASPSSASASAPSSSSTPAAIAE >LPERR05G07070.1 pep chromosome:Lperr_V1.4:5:6526889:6529645:1 gene:LPERR05G07070 transcript:LPERR05G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIYLLTMFTVILLCVANTLGFSFMAAKIFRGDTTKLTASSVRKSTKYMLVVACVVELAVILASLRLAADRRALLGEVDHMRDQITTLEDGLKQYEQPFSALSDYLGLDVSDLGNAVRRLREKEDHLTKEYEDLKLDVEQIKSEIQSLRHEKEGRSNVKETVGGTSNQQNQRNNQKLKQPTIDDIMKSLRAKATKLQQVKISFPWEKLKKAKNIFSMDFKLRP >LPERR05G07080.1 pep chromosome:Lperr_V1.4:5:6532576:6533766:-1 gene:LPERR05G07080 transcript:LPERR05G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTENKVDPPEEIIKEEKFMYAEDLDLARVDLFLEEKMAATALGHHRLSISSNGGDGEKDEIITVAPAAAAEAAWEMDFSKLHIPKNVEIKKGYHGVLFRADYGGRDVAVKILQWGADGYSTPDQIAHLRASLKEVAAAWHEIDHPNITKFHGASIGADLDDIPAAAADEISSSVAARAASVSWVVVEYLTGGTLKHHLIQHIDSKLPYKDVVDLALAMARGLSYLHSRKIVHRDVKTENMLLDDEGNLKIADFGVARIEVDPKEMTGNTGTLIYMAPEVLDRKPYNHKCDVYSFGICLWEIYCCQMPYVDCNFADLSWKIVHSHLRPEIPRCCPKDLAKIMQRCWDANPEKRPEMEEVIRLLQALNIKKGRGMLPRKKKSSGCFCLPLGRRSS >LPERR05G07090.1 pep chromosome:Lperr_V1.4:5:6547709:6548895:1 gene:LPERR05G07090 transcript:LPERR05G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFMTLRVALKGNSWPMVGAVVDRLTYLHTLRLWLLSLSFGVAGMSGTVVVLFNGLISSFPAAFVALAVTNVAGALAALSTLAGTILIERKWVVVITGGKAESDQPELQDAGAGAVRVHHQLRLHGGLRRVEPRCSVGPVPPLRLCLRLYAGFLSLSTATRLAATTDNKSPPLSLSLIILCWESWVVYGRQEVFLPGNGIPAYVISLAHGVSAVVGIAATWAYPVARSWLSTIRTGLWSVWAQWCCLLACVASVWDFGELIVLSLHLVTCAVVIYKLHVYGCGRTYSISTGSCPR >LPERR05G07100.1 pep chromosome:Lperr_V1.4:5:6559569:6559952:-1 gene:LPERR05G07100 transcript:LPERR05G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKHNDEFMKMKAEMEEGRRINAELCQAAEPILNILHAATAESNTSSLQSVIEHLQSAPIRLKKIILESASVACGQTLAVIKSLYPKFDLEPITSGYAEGTTDEKALELLDQVDGMAQVMAEEDNV >LPERR05G07110.1 pep chromosome:Lperr_V1.4:5:6577765:6581834:-1 gene:LPERR05G07110 transcript:LPERR05G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMSRSHLLQRASLLLLLLLLVISSSISDAKRATPTPPSIRLPVRAVCLGGWLVTEGWILPSLFDGIPNKDLLDGAQLQLKAVAAGAYLAAEHGEVVANRTQSPSASETFKLWRMNETVFNFRASSGQFVGVGGGGGAAVVAVAAAPGESETFELVRDDGNKSRVRIRAPNGHFLQVAVGSNLVTADYGETTSWGDDDPSVFTVTKVLELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISKSGLTAVRIPVGWWIASDPNPPPPYVGGSLQTLDNAFKWAEKYKLGVIVDLHAVPGSQNPWEHSSSRDGTQEWGTSDANIAQTVQVVDFLASRYAKSPSLLAMELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVVMSNRLGQGNPTELLQFANGFPRSVIDVHYYTVFNDLFNNLTVQQNIDFIRTNFSSDLKSVTTQNGPLTFVGKFFFSREWVAEWRVPNATKEEYQRYAKVQMDVYGEATFGWSYWTLKNVNNHWNLQWMINNGYISLKN >LPERR05G07120.1 pep chromosome:Lperr_V1.4:5:6585758:6588695:-1 gene:LPERR05G07120 transcript:LPERR05G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAKGGEKPALRKPVFVKVDQLKPVTNGHTLTVKVVSANPVPARGRSGGSGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLKPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTDPASFTVKEDNNLSLVEYELVNVHE >LPERR05G07130.1 pep chromosome:Lperr_V1.4:5:6590785:6592686:-1 gene:LPERR05G07130 transcript:LPERR05G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEQGYHDHVPVYASGSEVLQKLEEKWKSTKQQRYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTATISDGYLYELDSHLDRLLTSASKSKIKSPFSHETLRAILLQMTAASNCRNGSIKYWLSAGPGDFLLSTNGCTGPAFYAVVIAGAATSPAAAGHPRLAAGVKAITTTVPMKHPFFAATKTVNYLPNALAMAEAEELGAYAPVWVDDAGHVAEGPTMNVAFVTRGGDLVVPAFDGILGGCTAKRVLALAPRLVDAGVLRGVAVAKISAGDARRCAEMMFVGSGLPLLPVVEWDGKPVGDGEVGKIALALSNLLCEDMKAGPDRVLIPYDETS >LPERR05G07140.1 pep chromosome:Lperr_V1.4:5:6594989:6595507:1 gene:LPERR05G07140 transcript:LPERR05G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVLSPRRILPFLGTLAAANHRCLDPVSAAYSTASMLALVLWFWSMNAVARAPAGPRRQAAVVALSVALTGLVNLQIYYPTMPRSTAVAIWAVCATFISAFFLVVSARPQGLTVN >LPERR05G07150.1 pep chromosome:Lperr_V1.4:5:6597498:6597839:1 gene:LPERR05G07150 transcript:LPERR05G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLLSPTCIRLFVSSVMAKIIGGRHDPVFAAYSTASMLVRVLYFCAMRAVALAPAGPGRQRRRATVVALAVALTSLVQLLVYRAADPRSMALATWAVNAVFLVAFASPRVA >LPERR05G07160.1 pep chromosome:Lperr_V1.4:5:6599244:6601682:-1 gene:LPERR05G07160 transcript:LPERR05G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHRLINAALRLAAAAAAAAAAVIMVTSHETTTIFGIQMEAKYSYTPSFIFFVVAYAVTAAYSLLVLVMPAGSALSRLALTTDVVLGMVLAGAVAAAGAISDIAKNGNSHAGWLPVCGQIQAYCNHVMMAVIAGFVALVVHFFATMYSLHIVTDVIFPCQ >LPERR05G07170.1 pep chromosome:Lperr_V1.4:5:6611682:6612668:1 gene:LPERR05G07170 transcript:LPERR05G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVGWIHLFMGHACAKPWRQGSGTAAAEGRVGGGRKPWRRASGWWQGGPAAGVRAAAGRPGGGCPGVGRSGQQHRAAAGQEQQWLLERSIHPFFINPELVSHLLWGSSDLWSHKRRHTSNDIPVNL >LPERR05G07180.1 pep chromosome:Lperr_V1.4:5:6619204:6622096:1 gene:LPERR05G07180 transcript:LPERR05G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISEKNKQNCLLVKYHQTTGSHCFSAHLHEYTLMRWMPLRSAIPAKKKGLSDVAQEALLAMKTMMEDPEANSDAPISSSEVEALVAEKQNSALLREEVDTLKKKAEIADEALAKINQQQEENNLLLRRILSLSQVNS >LPERR05G07190.1 pep chromosome:Lperr_V1.4:5:6638615:6650264:1 gene:LPERR05G07190 transcript:LPERR05G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFHEVVAFAGRLWWADLGWGVVSADPFSDRPELRFIELPRGSVLQVPTTGQELSAMMVAQGMYRRIGVSEGRLRYVEVSQEKPFVLSSFTLDDDCCCWMLEHQVMLGRLLENVDQWKEKIPWIAAIDPLNASVMCVIVGDHVLAVDMDSREVVGCSHLGDFEYQQRTICFGSFLPCVLPSWLGSSRIPSAAPALRRRGGRDRAATLSTAAPPSRTPMPWAMIYHAPVVRSTAPRATLLLAEPPCASDLLVPDHLFDRRPGPDPDDGDKVGLLGGMVCATMGEGLLLLGYLDSHVTAPVIDMERGLINADMDPDITRLVCNPISGELFRLPDIDGTKRTLRCRPNAGLLTRSAAGHGPPFEYAVALLNEDITIRGIGGLRSFFTMRRFLSRTGEWEKLAVGLSCRLPSPEEDGDAVAFDGRLWWVDPTWGAISADPFSDRPELRFVELPADSVWPVPPSTDLIIQPLGMYRRIGVSEGRLRYVELPEKYPFVLSSFALDDDGSSWTLEHRVALGPLCREINGGGRRPLKQDTLRIGAINPVISSVICVLVGKRAVAVDLEMGKVLGSSPIDESEGPPWAIATVLKPCLLPPWLASFQIPTAGFMKTIDRCFTGIRKLMNGSLVNSRFGKLGEERFGPRNLPSVLFRE >LPERR05G07200.1 pep chromosome:Lperr_V1.4:5:6658010:6660454:1 gene:LPERR05G07200 transcript:LPERR05G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRLERPGALELKEFREFKDKSSAINRDTGVSLDPTILIMRWLSCPEQKIAVGKPEHKEIIERSLGVHCLFDEIVMEVTWGLKNLMHFLVPQEKVKLSKQDRLPMSQGLKLLLNRYDFDINPEMVNEEIIRLACLLFDCEYTDVKNTKPLRLAGQCLEEVSGIDSKDWELMKLATALRIVCYPAPAQRTRAEEGMFKPDELSKLVRDAHKYEDKIVKEVCLSIHNEMWESRDIIAEKREVLGSLIKEANEACGAEQSA >LPERR05G07210.1 pep chromosome:Lperr_V1.4:5:6663404:6664673:1 gene:LPERR05G07210 transcript:LPERR05G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWMRGEASESDNGWFLMKRRNMRKVLDTGRDRDLVIKPDHVHLDQRHRPGAHREAVHQNDGLWVLLLPYGDLGHRRWKGVWPPHLRLLHGLASCLPRPRSRLSVMLLLTPEFGLGPE >LPERR05G07220.1 pep chromosome:Lperr_V1.4:5:6666615:6669595:1 gene:LPERR05G07220 transcript:LPERR05G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLRRALSAAATSSAQFRRAISTGGGASRPPPPWAVIRHAPAYESPSPRASFLVADPPRSSHLLVPDSLIEERPAPEPGSDMVGYLNGVVSATSGDGLLLVSYMDTHAPASVVPKLIAGTIPKKPSDLDGLDVFDPDMTRFVCNPVSGELFRLPDIDGTKKTILYNSPGLLTRRSSAGQGPPDSYAVAFLREDRNRGGTFNMRRFLSRAGEWEKLEGLPSPILIPRRIKLYTEVVAFAGRLWWADLTWGLISADPFSDRPELSFVELPRGSVWAMPWSGLVQMQGVYRRVGVSEGKLCYVELSQKDPEFILSSFALDDDARSWTLEHRVALGRLSGVNLNGGSLKDAPRIGVIDPLNSSVICVIVDKHLLSVDMDMGKVLDFSPINEKNEAPAWGITSVVKACVLPPWLASAKIPTAGTYSSNKGNAETNTLSDILVRVDKDKN >LPERR05G07230.1 pep chromosome:Lperr_V1.4:5:6672918:6673373:1 gene:LPERR05G07230 transcript:LPERR05G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEETGTRRRRHCRPEGRTFARKLPTLPCLGPPAKVRSHRHPKPTTHSPCAEASSPVDHQEEAPRSSTRSKSIRAVGLEGKRVIEKDGSPLPSLTYPTRPSCDDKVSTQENKSLDLSGLKPTRRPGAPTLRCHHHLRLGQGSRDIVALDC >LPERR05G07240.1 pep chromosome:Lperr_V1.4:5:6673493:6673729:1 gene:LPERR05G07240 transcript:LPERR05G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNIGKAGLKARTSKPPWDSASLTKAQRQQKRSARNIGHLCVCLSPPGPLVIWPPRHTSTGLLATFARGPNACPKIW >LPERR05G07250.1 pep chromosome:Lperr_V1.4:5:6675091:6679955:-1 gene:LPERR05G07250 transcript:LPERR05G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHEADYFMAAAAAYPPEFDSRFAEADEDESVNAQIEQVERKEGEVEEEDDEDWPNAHGGVLSRPFQPISNRTQKLASHIRASPLEEWEGRMNVGMSNSLTTAIRDSIRETAIGKTRNTDKADRATVEQAIDPRTRMVLFKMLNQGFFNNINGCISTWKEANVYHASKADGQEDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVKEAGIRCPIPLQLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFKGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVATMTVMKLFNFVINQDISDEDVDYYLEKIQQNILEKGDVVANDDDITPTVLVQTLDYVKQCEADIANMSMIQRSSLPHEPTADKLYNQPLLGFVLTKYEPTKNQVQSEEPSDLENKCSLEHSSESCTSSAKDDSWHETTKVGREDRKAARKENKKKVKEEKREKRETRKKRSQRL >LPERR05G07260.1 pep chromosome:Lperr_V1.4:5:6682985:6683872:-1 gene:LPERR05G07260 transcript:LPERR05G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLSALVPIFVLLLSSFLLIGPATAQQGIGEVVVFWGRNKDEGSLKEACDTGLYTTVIISFLSAFGHGSYKLDISGHPVSAVGADIKHCQSKGILVLLSIGGQGGEYSLPSSQAAADLHDYLWNAFLPGGRQGIPRPFGDAVVDGVDFFIDQGSTEHYDELARLLFAHNKYYKGRLGVMLTATVRCGYPDQRLQAALATGLFSWIHVKVFGDGRCTWGRRDSLEKWAAAYPDSKIFVGIVVSPEQDRDAYMSHKDLYFDVLQFVNKLHNYGGVMVWDRYWDKKTGFMHGDVF >LPERR05G07270.1 pep chromosome:Lperr_V1.4:5:6703735:6704622:1 gene:LPERR05G07270 transcript:LPERR05G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPVLVLLLSTYLAAGPAPATAQSTGDTVVFWGRNTEQNEGSLKEACDTGLYTTVIISFLSAFGRGGTPKLDISGHPVSAVGADIKHCQSKGILVLLAIGGQGGEYSLPSSQAAADLDDYLWNAFLLGKRQGITRPFGDAFVDGIDFFIDQGATEHYDELARLLNAHNKECRGRPCVTLTATARCGYPDQRLQAALSTRLFSRIHVKVFGDGRCAARREELEKWAAAYPESRILVGIVASPEADPQSYLSHKDLYYDVLQFINKLPNYGGLMIWNRYWDKKTGWTAGNEP >LPERR05G07290.1 pep chromosome:Lperr_V1.4:5:6728239:6730540:-1 gene:LPERR05G07290 transcript:LPERR05G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRNTDSGVLPKADPYKSWRFKYKELQIIMNDWRNDGTAVAVKVESQTSLRGNHKQVLAEVQHLTRVHHKNLVSLIGYCDDKERRCHVYEYMDGGTLEGQVRKLNLNHSLAATPKHRARICQWSLQTSHPGESNDVYSFVVVLMVIITGKPAIVTINGTERNLAQCVRDWLSSGGIEAITLRGYEITATSVL >LPERR05G07300.1 pep chromosome:Lperr_V1.4:5:6735283:6736140:1 gene:LPERR05G07300 transcript:LPERR05G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQRLVSLAVLLLFSACLATGDTVVFWGRNKYEGSLREACDNSHYTTVVISFLSAFGHGTYKLDISGHPVSAVGEDIKYCQSKGKSVLLAIGGQGGEYSLPSSKAATELHDYLWNTYLRGNGANRPFGDAVVDGIDFFIDQGATEHYDEVARLLYEHNKPNVCRATVCVMLTATARCGFPDQRLEAALDTGLFNRIHVKLFGDGRCPKAQRRATFERWAAAYPGRVLVGVVASQDADAEAYIAPESLYNELLQYFNKLPNFGGVMIWNRYYDKLTNYSARAHL >LPERR05G07310.1 pep chromosome:Lperr_V1.4:5:6737793:6739403:-1 gene:LPERR05G07310 transcript:LPERR05G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLRRALSAAARASTAFRRALSAATSAPAPVRRALSTAAPPPWPWAMIRQAPAVRSPSLRASLMHADAPRDSYLLVPDHLVGRRPGPDPGSDIRGLLCGTVYATSGDGLLIVYMDFQAPSPIFSKFAAGVTPPMDDLNGFDINDPDFTRFVCNPLSGELFRLPDIDGTKKTMLLTRSAAAGHAPPDSYVVAMLAEDHNGGGGFTMRRFLSRIGKWEKLVGLPSPLPFPRRMDIYPEGVAFAGRIWWADLTWGVISADPFSDWPEHNFVELPRGSVWLPSSDVVQVQGMHRRIGVSEGRLRYVEVSQKDPFVLSSFPLDDDGCSWTLEHQVAIGPLWEVKGGGPKDTPRIAVIDPVNSSVILVIVDEHLLAVDMDMGKVLDCSLADESEGPAYAITSVLKPCVLPPWLASSKLPVADL >LPERR05G07320.1 pep chromosome:Lperr_V1.4:5:6741997:6743990:-1 gene:LPERR05G07320 transcript:LPERR05G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLRRALSTAASVRRARALHTAAASPPSRTPPWAMIYHTPPVRSTAPRATFLLAEPPCPSHIHVPDHLIDRRPSSDPDDGDTECLLGGLPSATSGDGLLLLTYVDMHSPAVASHITGAREHKLFALDNDPRITRFVCNPLTGELFRLPDIDGPKPRPSVGLLTRSDAGHGPPDRYAVALLNDDGSSNGEERAFTMWRFLSQRGEWEKLVGLPCRLPLPRKMCTSVEAVAFDGRLWWVDPTWGAISADPFSDRPELRFVELPRGSVWPGIVRADLVRIGGMYQRMGVSEGRLRYVELSEKYPFVLSSFALDDDGSGWTLEHRVALGRLCKEGISGGDHPSKQNNLRIGVIDPLNASVVCVLIGKLVVAVDMDMGKVLGCSPIDESFEDVPFIITAILKACVLPPWLGSSKIPCAVHLLYGMYKKIVYICNVPTNCELLIFFLWYNWSCRSIEGTPSSNNSKTLSDILVRVDRDKNS >LPERR05G07330.1 pep chromosome:Lperr_V1.4:5:6751241:6751636:1 gene:LPERR05G07330 transcript:LPERR05G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKRLEWKYSRRNRDPLVARYIDNEPPNEWIVDEADDNDTPAIGAEAATTLKRKAKSKASSSKSKKLRVEEGQEEDNNEEEDDNEEEEEEEEEEEEEEEEDDEDDEEEEEDNEEEDDEDEDEDEEEDDDE >LPERR05G07340.1 pep chromosome:Lperr_V1.4:5:6758782:6762951:-1 gene:LPERR05G07340 transcript:LPERR05G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPKSILGNWIQEIQRFCPVLRAIKFLGNPKERNNLHELSSLLNFLLPEIFCSAMTFDDWFQIAGENDQHKVVQQLRKILCPFLLRRLKSDVEKGLPPKKETILKFGMSEMQKQYYRALLQKDVEVINAVGERKHLLNIAMQLHKCCNHPYLFDGAEPGPPYTSGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRLDGNIGGEDRDASIEAFSKLGSDKFVFLLSTSAGGLGINLATADVVILYDSDWNPQVDLQAQYRAHRIGQTNEVQVFRFCTEYTIEEKVIERAYKKLALDAMDENKLEFKKMVIDNWIETTSRRDKKINYCESDYFKQALGQGAPPKPRELWIPRMPHLHDFQFFNNRRLNELYEKDVIYLAGFLTWTRRDFNSFIRACEKYGRSDIKSISSEMEGKTEGEIMVELLTALRKGKQRIFRKDDIMRAIGEKMGRYKNLLCMVYKLGYGNWDELRAAIRMSPLFQIDWFVKSRTSQELARRCETLIRLVEKENKKHDDSERFLRNDKKNMSPTKHSSSRGGPETSPTELFQEETPYYANADP >LPERR05G07350.1 pep chromosome:Lperr_V1.4:5:6767717:6768520:-1 gene:LPERR05G07350 transcript:LPERR05G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVPVSPIDKSANRNVLLTHDLNLLCLHKLLDGFEFCSSLANYIHGQNNKGKERLKYLLQQTEIFAHFARENNSTDKKSRGRGRHASKMKEFEEDKEYLMDGEDAL >LPERR05G07360.1 pep chromosome:Lperr_V1.4:5:6768577:6770117:-1 gene:LPERR05G07360 transcript:LPERR05G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAIDDGVGEGDEEYEHGEEEEEGAEEEEEVDEDEQSLSLSSSASEEEKLDEWEEEEKESSGREEEVQGVDEEQEFAEEEEMLSPSSSASEMEVDEWEEEEEEESSGREEEVDGEGQEEQGSEGEEKEEEEEESSFREEEVHGVDEELEEEIEEEEIEEEMLSPSSAAMEEEEEWESSGREEEESGEWVEEEESAWEEEDVDEEEIEAVTTGAGDDGGSTEKESQSTEEDEVASGEDDDSEDSDEVGVFFFSDLMAGGGDYARIYVHLVAGASDYARFTLIFHFYETKIIGFLLIIYAYNLSEDASRNEEVGKRERAKLRRCKS >LPERR05G07370.1 pep chromosome:Lperr_V1.4:5:6788889:6789532:1 gene:LPERR05G07370 transcript:LPERR05G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAEELVEPPDDDEEFTEVPYKSTDRWEIDFSKLETPDADKIEVKRGLHGMLYRSKYGGSDVAVKFLEWGALTPDEIGHKRSLLREVANQWELLCYPNIAKFYGASIGTTDVDILENLDRSISSSACCVLSIG >LPERR05G07380.1 pep chromosome:Lperr_V1.4:5:6796142:6797304:-1 gene:LPERR05G07380 transcript:LPERR05G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLRLRRSILSASTPAFASGRALSTAAASRPRWAMIVDAHEPSSSPAALRASLKFAEPPRPSHLVVPEQVINPRPRPGPSSDRMRLLSAHVRAASGDGLLFECSEGFATAHVVGVAPTGLMRQFTGMDTSFDVTRFVCNPITGELFRMPDIDGTKDTSWCQFAGILTQSDRPDGRPDRRLDAREVVAYAGRLWWVDVSWGAVTVDPFSDRPELRFVELPRGSVTEPFVPVMDGKGLGFIRNLDRYRRIGVSEGRLRYVEVSQKKPFVLSSFVLEEDSGCWTLVHRVALGRLAGLGMDGLHLEEDDTPRIGVIDPLSASTMYLTISGSCVSVDNGAG >LPERR05G07390.1 pep chromosome:Lperr_V1.4:5:6804301:6807320:-1 gene:LPERR05G07390 transcript:LPERR05G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFRASPPSIRRLRRSLLKISGSPASGEASPVSLSEDLPRRRSFIWRLGTKDGACLARGPVSARLFFGDRDRSRSRSPPRGERRRPSGWDVPPPQEAAPLRRPSSRPAMERRRKGGGGRRHGTSPVRERSRSPRVRLLHAEEDTTHQAAQVSSTFLLPDPAAALAFLDVFPQNENSPDPMIDEFASQQNNSCMQVMASQVAQV >LPERR05G07400.1 pep chromosome:Lperr_V1.4:5:6809691:6818650:1 gene:LPERR05G07400 transcript:LPERR05G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMGSIPTYCSYQTNGVGALKQSPHMQFQQSFNYGVRFLNKDTMCVQNNTQLTKRRATNDDLRSKSRRSHTPIVCSTGMPILFATTEVDPWCTTGGLGVVLGGLPPALAAMGHRVMTVAPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCHKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGDDYRDNQLRFCLLCLELVGDKENFRGMEEGIERTREHRRNCPSFNVLEQSLPSSENVVFVANDWHTAILPCYLKSVYQANGIYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHVKPVVGRKINWMKAGITESDLVLTVSPHYVKELTSGPDKGVELDGVLRAKPLEVGIVNGMDVYVWNPATDKYISVNYDATTVTEARALNKERLQAEVGLPVDASIPLIVFVGRLEEQKGSDILIAAIPEFAEENVQIIVLGTGKKKMEEQLMLLEVKYPNKARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPADVTAVATTVKRALKEYNTPTFQEMIQNCMAQDLSWKGPAKKWEEVLLALGVEGSQPGIEEGEEVAPLAKENVATP >LPERR05G07410.1 pep chromosome:Lperr_V1.4:5:6819375:6832962:1 gene:LPERR05G07410 transcript:LPERR05G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATTSGGSRPPPWGLGLVEVQVVAVSLRRSEVFHVMKELLGSVLYMHHQIPSMEKATSSGGSQPPPPRGLGLVEVQVAAASLRRSEVFHVVKELLGFVLYMHHQIPSVLQNLENEFASLKEEMTEMALPPAELKPSDRRKYNTRKREVRRRIKKQEKLMNGLSSLCSALQKALDEVPSIEGVLLILGGSLVRPLFAYDITISHGRFDAGSANERGTSKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLARCPCTLNLPLDFLPKRDFRYSKKVVPLQMCIKCNTAGAQMDTQQMTSILDASNCASESSISDVIWFQCKHTIRGLPCKASLEE >LPERR05G07410.2 pep chromosome:Lperr_V1.4:5:6819375:6832962:1 gene:LPERR05G07410 transcript:LPERR05G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATTSGGSRPPPWGLGLVEVQVVAVSLRRSEVFHVMKELLGSVLYMHHQIPSVLQNLENEFASLKEEMTEMALPPAELKPSDRRKYNTRKREVRRRIKKQEKLMNGLSSLCSALQKALDEVPSIEGVLLILGGSLVRPLFAYDITISHGRFDAGSANERGTSKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLARCPCTLNLPLDFLPKRDFRYSKKVVPLQMCIKCNTAGAQMDTQQMTSILDASNCASESSISDVIWFQCKHTIRGLPCKASLEE >LPERR05G07420.1 pep chromosome:Lperr_V1.4:5:6835577:6839440:1 gene:LPERR05G07420 transcript:LPERR05G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFYSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVAFGCLAMGISTIFF >LPERR05G07430.1 pep chromosome:Lperr_V1.4:5:6839955:6847277:-1 gene:LPERR05G07430 transcript:LPERR05G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEVAPLTGSLIAMDATIGDSPTSVEAVFEKQPLPEFGELVTLRSMAVSVVLSIVFCFVGMKIQMSAGIVPALNMPASILSFFLLKWLIRLLQRCGFAMLPFTRQENMFLLTCVITCLNLSLTSGFATNIIAMTSIVARTLGDDPDPRDIMDHVPIGKWMVYLFLIGMTGVLSTVPFNQVMVIDYKLLFPTGTVIAQLINSFHTPQGAYIAKFNFDFSATYIGLGMICPHIVNFGLLFGAIISWGFLYPFLETKRGQWYQTDSHTSLTGLNGYKVFISVTLIVTDGMINFLTLITTASINFYRLRKEQDSGLTNYIKKHPSLNYDDRKRIEMFLANQVPVSMPVAAYIICAAISTIAIPAMFSQIKFYHVVVLYIVIPVLGFCNTYATGLTDWSVAPTYAKFSTFIFAAWIAKPGAVVASLLASGLIMAALHISSQAMQDLKSGHMTQTSPRAMVTGQLFGVAIGSIISPCVFLAFQSTAKPNAPVGSKQSDYPCPLAGLYRAIGVIGTGGVKELPKHCVKFCVVAFCMTVVIDAIVLISQKRGWRIHSYIPSMTVIALPFFAGSFFTIDMCIGSLLLLVWIRMNAKSAEMLSSSVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFISSGQEVEMRNQGGVGVWIRAMGVGEEGEPGYGAISNRNVAVAALRLSARGRRRRC >LPERR05G07440.1 pep chromosome:Lperr_V1.4:5:6847342:6861315:-1 gene:LPERR05G07440 transcript:LPERR05G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGDPAAALSVERAFEGRPQAAGVWGQVTPRAMALAVPLGIVFCFVALRIHMVVGIVPGLNMPTSILSFYGLKWVITLLRHCGVVALPLTRQENIFLLTSTNICIAIALTGGFATYIIGMTSTVAKTLVDDLDPREIVEHVPTGQWMLFLFLIGLMGILSIIPFKEIMIIDYRLLFPMGTVTAHLINSFHTPQGAYLANLQAITILKSFAGSFSWSLFQWFYTAGQDCGFQSFPTFGLELYKRRFYFDFSATFIGFGMICPTLVNFALLAGAIISWGFLYPYLEGKKGQWYHTDSPTSLDGFNGYKVFLGITMILTDGIFNFLTIIITTLIDFYYKRQENYSGNFIKHSSLNYDDRKRSEVFLNNRIPLSAPLAGYITFATVCSVVIPTIFNQIKFYHVAALYIIVPLFSFCNAYGTGLTDWSVAPTYAKFTIFVIAAWIGKPDGVIASLVSCGIISAAVQCSAQAMQDFKTGYMTLTSTRAMIMGQIFGVIIGAIINPCIYHAFETTAKRNAPIGSRESEYPCPYSGVYRAFGLIGMGGVKELPQYCILFCLIAFFITMAINSFRLVSQKKGWAVQNYVPSMTAIAIPFFTGSVFTIDMCLGHVLMLVWKKINKQSGELLSPAVAAGLICGEGLFQMPSALFSILHLHPPICMKFIPSGKEVEVVDSFLRTEERPDHRPAMDATIGDPRLASVEAVFEKQPLPDFWGLVTLRSMAVSVLLGIVFCFVGMRIQMTTGFVPALNMPVTVLSFFLLKWVIMLLQKCGFSMMPYTRQENMFLMTCAITCLNLTVTGGYATAIVGLTSTVAKTLSDDVDPKDIVDNVPTGKLMVYFFLIGMAGILSNVPFHQVMLIDYKLLFPTGSVIGQLINSFHTPEGAYVAKFYFDFSATYIGLGMICPHIVNFGLLFGAVISWGFLYPFLESKHGEWYETDNPSNLNGVNGYKVFISVTLIVTDGLINFVTLITSAAINFYYIRQEHGTGVANYINKHPSLNYDDRKRIEMFLAYRIPISWPAAGYIACASISMVAMPAMFSQIKYYHVAVLHVVIPVIGFCNTYATGLTDWSVSPTYSKFILFVFAAWVGEPGAIVASLLASGMTMASLHVSSQAMQDLKSGHMTLTPSRAVVAGQFIGVALSAVASPLIFLAFQLTAKPGIPVGSKDSSYPCPYAGLYRAIGIVGMGGAKELPKHCIEFCAVAAAVTVAVDVFLLVSQKKGWVFHKFVPSMTIIALPFFIGSFYTIDMCVGDLVLILWRKLSSQSAELLSSAVAAGLICGEGVFTLPAALLNLFNVQPPMCMKFLPSGHDVVVVDSFISSSGTSRT >LPERR05G07450.1 pep chromosome:Lperr_V1.4:5:6865616:6867162:-1 gene:LPERR05G07450 transcript:LPERR05G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCPNLEREDALDTVLEVPVPELHRDAPSRRRRGAGGGKVKSWVRSRMVRRNGVAAPPPSRAEVQLMLGVSGAPLVPQPVVARKAMAAGRRVEEEEEETNSKARYIVEQYVAAAGGEAAIGAATSMYAMGKVRMRTTMNKGKVMGVATGGEVSGGFVVWQKKPELWCVEMVVAGGVKMSAGSDSKVAWRQTPWQEAHASRGPPRPLRRCVQGLDPKSTADLFSTAAWVGERRVDDDDDCFVLRVDADRAALRARSTGDVEVVRHAVLGYFSQRTGLLVRLEDTHLLRIGESAYWETTMDSTVGDYRAVDGINIAHAGRTVVSLSRFDSADDADARRGRRAYATTTMEETWSIEEVDFNVLGLSMDCFLPPRDLLLNDCNKKTQQKQKEDAVGDHAGKDAGKAVARKAIVPAMTGLGWFGPAKVVAVDDTVDDDK >LPERR05G07460.1 pep chromosome:Lperr_V1.4:5:6877728:6878767:-1 gene:LPERR05G07460 transcript:LPERR05G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAEELVEPPDDDEEFTEVPYKSGDRWEIDFSKLEIPDADKLEVKRGLHGMLYRSRYGGNDVAVKFLEWGALTPDEIAHKRSLLREVANQWELLCYPNIAKFYGASIGTTDLIGVLRPSGIPPRRNTEGPHHLMKHAESKLAYKDVVSLALEMARGLSHLHLFGIVHRDVKTENVLFDGEGILKIADFGVARIKADPKEMTGKKGSTPMYMAPEVLKGKPYNYKCDVYSFGICLWEIYCSQTPYMDKDVSIADLSLKIVKGGLRPKIPRRCPRAIAQIMRKCWDANPEKRPNMDEVVQRLDTLAKKIDRRMAQLNSPPAAGCFCMSLGRSSA >LPERR05G07470.1 pep chromosome:Lperr_V1.4:5:6880710:6882267:-1 gene:LPERR05G07470 transcript:LPERR05G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMRRCWDANPKKRMEMDKVVRRLEVLDVQLKSPPAGGCFCLFKPDADAGGVVARTASESEKKD >LPERR05G07480.1 pep chromosome:Lperr_V1.4:5:6886239:6887135:1 gene:LPERR05G07480 transcript:LPERR05G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDATICLEKKNTTSVRTEKAEEHRVFQSRLRAMEGRNATLCFLLLLLFLGNPSIAAAECWETTSSSPICVGFLCKATCWIGAKVWKGKVKVHKCMGPAWSSSCYCYICDNKP >LPERR05G07490.1 pep chromosome:Lperr_V1.4:5:6933530:6934229:1 gene:LPERR05G07490 transcript:LPERR05G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRNTAAVLVVVSTLVMACQGRRLLSENDKEPTIIDPNTCSMEQVAVYQSPVRPLPSGIPSYEAQIINRCGTCTLYNVHLACGDFASTELIDPNIFRRVERNDCIVNNGGPFGPGEAVVFQYSNTFSYPLRVSSVDCH >LPERR05G07500.1 pep chromosome:Lperr_V1.4:5:6938675:6944678:1 gene:LPERR05G07500 transcript:LPERR05G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGVIAGEHRRRFVGDFAGGFSVITGERDSAEIFACGFVCVGGKFVGCSPSPVPLPFPIREMLVATGSMQRKGKTAALTVLVMCNNYRESKCKSIEVEEIVCLIRCFKLHTNMSYVVGKPVTGGR >LPERR05G07500.2 pep chromosome:Lperr_V1.4:5:6938675:6944743:1 gene:LPERR05G07500 transcript:LPERR05G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGVIAGEHRRRFVGDFAGGFSVITGERDSAEIFACGFVCVGGKFVGCSPSPVPLPFPIREMLVATGSMQRKGKTAALTVLVMCNNYRESKCKSIEVEEIVCLIRCFKLHTNMRFWTGFLSPDV >LPERR05G07500.3 pep chromosome:Lperr_V1.4:5:6938675:6944678:1 gene:LPERR05G07500 transcript:LPERR05G07500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGVIAGEHRRRFVGDFAGGFSFMSGVMCNNYRESKCKSIEVEEIVCLIRCFKLHTNMSYVVGKPVTGGR >LPERR05G07500.4 pep chromosome:Lperr_V1.4:5:6938675:6944875:1 gene:LPERR05G07500 transcript:LPERR05G07500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGVIAGEHRRRFVGDFAGGFSVITGERDSAEIFACGFVCVGGKFVGCSPSPQCKSWTKKKVTSF >LPERR05G07510.1 pep chromosome:Lperr_V1.4:5:6941136:6943642:-1 gene:LPERR05G07510 transcript:LPERR05G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHLHTPDATNFANTSGEDSIETASAADQQTYRQLHDDVQQRFQVGRVNKSRIIDDADNLDNNPSSLELENDEHQEIATHTAKSAIPVEQDVAPDEQRPPPQDATHEAGGHETGNAENQNEEMRAEKDTENSGRRCNTHEWDTAGEIYLMLGNNTHRVANSSKESKLQNLHKVQNRCKVNSKKGKGRLMFL >LPERR05G07520.1 pep chromosome:Lperr_V1.4:5:6947430:6948403:1 gene:LPERR05G07520 transcript:LPERR05G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLPSGIPSYEVQIINRCGTCTVYNVHLACSDFASTELVNPSLFHRVAHNNCVVNVRDKD >LPERR05G07530.1 pep chromosome:Lperr_V1.4:5:6948925:6949387:1 gene:LPERR05G07530 transcript:LPERR05G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGPSLAHGVITKKINDWFAWSTSSTHTMPPNQADQRLDQDWFAWSPTHLQLRDFDYTSLATISLPQQILCTRLYDYINNKIVVDDYIANARRCRFVHKDGHHRVPDSTSARRFIDDSNDRPVLYDGNDRDSDNGIDRVIYKDVL >LPERR05G07540.1 pep chromosome:Lperr_V1.4:5:6955046:6955914:1 gene:LPERR05G07540 transcript:LPERR05G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCGNRRFPVWQVLVCSWLFTAAQPQQAPKTDPTEVAALNKILGRWGKKASSEWNISGEPCSGVASDQSNWDNYPNINPIIKCRCTYNNNSVCHITKLYV >LPERR05G07550.1 pep chromosome:Lperr_V1.4:5:6964001:6971427:1 gene:LPERR05G07550 transcript:LPERR05G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLAMAMNPLSGPLPKELGNLTNLLSLYIDSSGFSGPFPSTFSKLKNLKTLRASDNEFTGKIPDYFGSLTNLEDLRIGDIVKRTSSLAFISNLTSLSTLILRNCKISGSLGPVDFSKFAALILLDLSFNNITGQVPQSILSMGKLEYLFLGNNSLTGRLPDVISPSLKAIDLSYNQLTGSFPSWANRNNLQLNRSARGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFVLASNGMNIIYSSQHFPNAVDSKLFETARMSPSSLRYYGLGLENGKYSVVLQFGEVAYPDSETWKSLGRRVFDIYIQGSLREKNFDIRKMAGGKSFTVVNRSYTATVLNNFLEIHLFWAGKGTCCIPNDGYYGPMISALSVTPKLFSLIGRPDVFSNTELRVATDNFSSQNILGEGGYGTVYKGKLPDGRVIAVKQLSQSSRQGNSQFVTEVATISSVQHRNLVKLLGFCIDSNTPLLVYEYLQNGSLDSALFGIACGLTYLHEESSVRVVHRDIKASNVLLDIDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDIFAFGVVVLEIVAGRPNTNNSLEESKSYLFEWLWDLNEKGQVLGIVDPSLMDFDKDEASRVIRVALLCTQGSPHQRPPMSKALAMLTGEVEVSEVVMKPSYISEWLHRDVNRSYTSSFSGYTTPEFSAQKEIEPLNQS >LPERR05G07550.2 pep chromosome:Lperr_V1.4:5:6964001:6971427:1 gene:LPERR05G07550 transcript:LPERR05G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLAMAMNPLSGPLPKELGNLTNLLSLYIDSSGFSGPFPSTFSKLKNLKTLRASDNEFTGKIPDYFGSLTNLEDLRIGDIVKRTSSLAFISNLTSLSTLILRNCKISGSLGPVDFSKFAALILLDLSFNNITGQVPQSILSMGKLEYLFLGNNSLTGRLPDVISPSLKAIDLSYNQLTGSFPSWANRNNLQLNRSARGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFVLASNGMNIIYSSQHFPNAVDSKLFETARMSPSSLRYYGLGLENGKYSVVLQFGEVAYPDSETWKSLGRRVFDIYIQGSLREKNFDIRKMAGGKSFTVVNRSYTATVLNNFLEIHLFWAGKGTCCIPNDGYYGPMISALSVTPKLFSLIGRPDVFSNTELRVATDNFSSQNILGEGGYGTVYKGKLPDGRVIAVKQLSQSSRQGNSQFVTEVATISSVQHRNLVKLLGFCIDSNTPLLVYEYLQNGSLDSALFGIACGLTYLHEESSVRVVHRDIKASNVLLDIDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDIFAFGVVVLEIVAGRPNTNNSLEESKSYLFEWLWDLNEKGQVLGIVDPSLMDFDKDEASRVIRVALLCTQGSPHQRPPMSKALAMLTGEVEVSEVVMKPSYISEWLHRDVNRSYTSSFSGYTTPEFSAQKEIEPLNQS >LPERR05G07550.3 pep chromosome:Lperr_V1.4:5:6964001:6971427:1 gene:LPERR05G07550 transcript:LPERR05G07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLAMAMNPLSGPLPKELGNLTNLLSLYIDSSGFSGPFPSTFSKLKNLKTLRASDNEFTGKIPDYFGSLTNLEDLRIGDIVKRTSSLAFISNLTSLSTLILRNCKISGSLGPVDFSKFAALILLDLSFNNITGQVPQSILSMGKLEYLFLGNNSLTGRLPDVISPSLKAIDLSYNQLTGSFPSWANRNNLQLNRSARGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFVLASNGMNIIYSSQHFPNAVDSKLFETARMSPSSLRYYGLGLENGKYSVVLQFGEVAYPDSETWKSLGRRVFDIYIQGSLREKNFDIRKMAGGKSFTVVNRSYTATVLNNFLEIHLFWAGKGTCCIPNDGYYGPMISALSVTPKLFSLIGRPDVFSNTELRVATDNFSSQNILGEGGYGTVYKGKLPDGRVIAVKQLSQSSRQGNSQFVTEVATISSVQHRNLVKLLGFCIDSNTPLLVYEYLQNGSLDSALFGIACGLTYLHEESSVRVVHRDIKASNVLLDIDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDIFAFGVVVLEIVAGRPNTNNSLEESKSYLFEWLWDLNEKGQVLGIVDPSLMDFDKDEASRVIRVALLCTQGSPHQRPPMSKALAMLTGEVEVSEVVMKPSYISEWLHRDVNRSYTSSFSGYTTPEFSAQKEIEPLNQS >LPERR05G07560.1 pep chromosome:Lperr_V1.4:5:6989289:6998664:1 gene:LPERR05G07560 transcript:LPERR05G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGLKAPSEWNISGEPCSGVASDESNWDNYPKDPAIKCDCSFNNNTICHIIKLRVNKLNIVGQIPAELQNLTYLQDLNLNQNYLTGAIPSFIGKFAFMKYLGLGFNLLSGPLPRELGNLTNLLSLGISLDNFIGEFPEEIGNLTKLEQLYIDSSGFSGPFPSTISRLKNLKFLKASDNEFTGKLPDYLGSLTKLEDMAFQGNSFEGPIPASFSNLTKLINLRIGDIVNGSSSLAFISNLTSLSILVLRNCMISGNLETVDFSRFATLTLLDLSFNNITGQVPQSILNLGMLQFLFLGNNSLTGKLPDAISSSLKTIDFSYNHLTGTFPTWATQNNLQLNLVANNFVLGSYKDRLFLCG >LPERR05G07560.2 pep chromosome:Lperr_V1.4:5:6989289:6998664:1 gene:LPERR05G07560 transcript:LPERR05G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCIRRFFRQGKLPDGRVIAVKQLSESSHQGKKQFVTEVATISAVQHRNLVKLHGCCIDSHTPLLVYEYLENGSLDRAIFRDSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAIRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWDQYEKEQSFAILDPRLKEFNKEEAFRVICVALLCTQGSPHQRPPMSKAVAMLTGEVEVPEVVTKPSYITEWQMMDENRSYVASSSSGFTTHELNSQNERSNL >LPERR05G07560.3 pep chromosome:Lperr_V1.4:5:6989547:6998664:1 gene:LPERR05G07560 transcript:LPERR05G07560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGLKAPSEWNISGEPCSGVASDESNWDNYPKDPAIKCDCSFNNNTICHIIKLRVNKLNIVGQIPAELQNLTYLQDLNLNQNYLTGAIPSFIGKFAFMKYLGLGFNLLSGPLPRELGNLTNLLSLGISLDNFIGEFPEEIGNLTKLEQLYIDSSGFSGPFPSTISRLKNLKFLKASDNEFTGKLPDYLGSLTKLEDMAFQGNSFEGPIPASFSNLTKLINLRIGDIVNGSSSLAFISNLTSLSILVLRNCMISGNLETVDFSRFATLTLLDFSYNHLTGTFPTWATQNNLQLNLVANNFVLGSYKDSLPSGLNCLQQDTPCFRGSPEYYSFAVDCGSNTSTRGSDNTIYEADATNLGDASYFVTSQTRWGISNNTVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPNSQTWLSLGRRVFDIYVQGELRERNFDIRKAAGGKSFVAINRTYTATVLRNFLEIHLFWAGKGTSGIPTQGYYGPMISALRVTPNFTPTVRNGVPKRRSKAGTIAGMSIGVLVLGLGVLFGIFMLIKKRRIMAQQKEGSFDRLPDGRVIAVKQLSESSHQGKKQFVTEVATISAVQHRNLVKLHGCCIDSHTPLLVYEYLENGSLDRAIFRDSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAIRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWDQYEKEQSFAILDPRLKEFNKEEAFRVICVALLCTQGSPHQRPPMSKAVAMLTGEVEVPEVVTKPSYITEWQMMDENRSYVASSSSGFTTHELNSQNERSNL >LPERR05G07560.4 pep chromosome:Lperr_V1.4:5:6989547:6998664:1 gene:LPERR05G07560 transcript:LPERR05G07560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGLKAPSEWNISGEPCSGVASDESNWDNYPKDPAIKCDCSFNNNTICHIIKLRVNKLNIVGQIPAELQNLTYLQDLGLGFNLLSGPLPRELGNLTNLLSLGISLDNFIGEFPEEIGNLTKLEQLYIDSSGFSGPFPSTISRLKNLKFLKASDNEFTGKLPDYLGSLTKLEDMAFQGNSFEGPIPASFSNLTKLINLRIGDIVNGSSSLAFISNLTSLSILVLRNCMISGNLETVDFSRFATLTLLDFSYNHLTGTFPTWATQNNLQLNLVANNFVLGSYKDSLPSGLNCLQQDTPCFRGSPEYYSFAVDCGSNTSTRGSDNTIYEADATNLGDASYFVTSQTRWGISNNTVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPNSQTWLSLGRRVFDIYVQGELRERNFDIRKAAGGKSFVAINRTYTATVLRNFLEIHLFWAGKGTSGIPTQGYYGPMISALRVTPNFTPTVRNGVPKRRSKAGTIAGMSIGVLVLGLGVLFGIFMLIKKRRIMAQQKEGSFDRLPDGRVIAVKQLSESSHQGKKQFVTEVATISAVQHRNLVKLHGCCIDSHTPLLVYEYLENGSLDRAIFRDSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAIRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWDQYEKEQSFAILDPRLKEFNKEEAFRVICVALLCTQGSPHQRPPMSKAVAMLTGEVEVPEVVTKPSYITEWQMMDENRSYVASSSSGFTTHELNSQNERSNL >LPERR05G07570.1 pep chromosome:Lperr_V1.4:5:7012204:7020029:1 gene:LPERR05G07570 transcript:LPERR05G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCCSSSSSISHLLWLPLVLLCSWKIISAQAQRAPKTDPLEAAALNTILGRWGKKASPEWNISGELCSGFASDLSNWDDYPNINPFIKCDCKYNNGTLSHINKLRVVKLDVVGQIPSELQNLTYLEDLALPFNPLSGPLPKELGNLTNLLSLGISYCNFTDELPEELGNMTNLQQLYVDGSGFTGPFPSTFSKLKNLNTLRASDNEFTGKIPDYFGSLTNLVDVAFQGNSFEGPIPASLSKLTKLRNLRIGDIVNGSSSLSFINNMTSLSNLILRNCRISDNIEPIDFSKFATLILLFLGNNSLTGRLPDVISPSLKAILFAEIFPTTSSLEAFLLGQAGTICNYSFAVDCGSNRSMRGSDNTVYEADPINLGAGSYFVTDQTRWGVSNVGKFDQATNGMDIIYSSEHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQSWQSLGKRVFDIYVQGALKEKDFDIKKTAGGKSFTAVNRTYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSATPQFIPTVRNGVPKRGSKAGIIAGILIGSLVLGLAAIFGIIFVGKLPDGRVIAVKQLSQSSHQGKSQFVTEVTTISSVQHRNLVKLHGFCIDSNTPLLVYEYLENGSLDQALFRDNSLNLDWAMRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTDNSLEESKIYLFEWAWDLYEKEQPLGIVDPSLEEYDKNEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVSKPSYITEWQLRDGNRSYVTTSYSGSTTHEFERQNEIDPLT >LPERR05G07580.1 pep chromosome:Lperr_V1.4:5:7029314:7036489:1 gene:LPERR05G07580 transcript:LPERR05G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFDYLRVFKLNVVGEFPSELQNFTYMEDMNLAFNPLTGELPKEIGNLTNLRSLGISFNNFTGKLPEELGNLVKLEQLYIDSSGFSGPFPLTFSKLQNLQILRAQDNDFTGKIPDNFGSMSSLRDMAFQGNSFEGPIPASLSNLTKLTNLILRNCRISGNIGLVDFSRFTALTYLDLSFNNITGQVPPSILNLRSLEFLFLGNNTITGGLPDQISSSLKTLDLSYNQLTGRFPSWVTKNNLQLNLVANNFVLVGTNSSTLPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSSSDNTIYEADPMNLGTASYYVTGQTRWAVSSVGNFFQGTNGMDRIYTSQNFQNTVNSKLFETARMSASSLRYYGLGLENGNYNVLLQFAEFSYTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYAATVSKNFLEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGVVIGASVLGIAALFGIFLFVKKRRTMEQQREVKPFEALVALCLKYASNFRNVAMAGKSPLLVYEYLENGSLDRALFGNSSLNWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTLGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRPNTDNSLEESKVYLFGWAWSLYENEQALGIVDPSMNEFSKDEALRVIRVALLCTQGSPNQRPPMSKVVAMLTGDVEVADVITKPSYITAWLHRDGDSSYFTNSYAGSYTPDFSREKESDSHPVMIDQQS >LPERR05G07590.1 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPESLSNLTKLTRLRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLDLSFNNITGQIPQTILNLGNLKFLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQLNLVANNFVLGSTDHSTLPFGLNCLQQDIPCFRGSPEYYSFAVDCGSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEGGYGPGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.2 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPESLSNLTKLTRLRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLDLSFNNITGQIPQTILNLGNLKFLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQLNLVANNFVLGSTDHSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEAFCNLSVQNIHVTSGKFFLRQGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.3 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPESLSNLTKLTRLRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLDLSFNNITGQIPQTILNLGNLKFLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQFNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEAFCNLSVQNIHVTSGKFFLRQGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.4 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPESLSNLTKLTRLRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQLNLVANNFVLGSTDHSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEAFCNLSVQNIHVTSGKFFLRQGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.5 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDMAFQGNSFEGPIPESLSNLTKLTRLRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQFNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEAFCNLSVQNIHVTSGKFFLRQGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.6 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDIRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQLNLVANNFVLGSTDHSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEAFCNLSVQNIHVTSGKFFLRQGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.7 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDIRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLKFAGCYKPFLEHDRFFLQPAHWKLAILGYPEQLAIVKIWWQTTLYLVALTTDYSFAVDCGSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEGGYGPGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07590.8 pep chromosome:Lperr_V1.4:5:7079320:7103285:1 gene:LPERR05G07590 transcript:LPERR05G07590.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYCSSWISGFACHLVWLVLVCSWSWTWRIAAAQAQQSPKTDPLEMTALNTILGRWGKTASSEWNISGEPCSGLAADKNDWDYYPNINPFIKCDCSFSNNTVCHIIKLRVVNFSVVGQIPSELQNFTYMQDLNLGYNYLTGALPSFFGKALPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMRASDNEFTGKIPDYLGSMPNLEDIRIGDIVNGSSSLSFISNFTSLSNLILRNCKISGNLGPVDFSKFAALILLFLGNNSLTGSLPDAISPSLNTIDFSYNQLTGSLPSWATQNNLQLNLVANNFVLGSTDHSNRSTRGFDGTIYEADAPNLGTASYYVTSETRWGVSNVGNYFLATDGTNIINSLQKFQNAVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAEFGYPDSQTWVSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRTYTVTVSKNFLEIHLFWAGKGTCCVPIQGYYGPMVSALSVTPNFTPTVRNGVPKRRSKAGVIAGISIGALILGLVALFGIFMIVKKRRTIAQQKEELYNLVGQPDVFSNTELKLATDNFSSHNIIGEGGYGPGKLPDGRVVAVKQLSETSHQGKGEFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGKSSLNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDSDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRSNTNNSLEESKIYLLEWAWGMYEMGQTLQVVDPSLEEFDEDEAFRVIRIALLCTQGSPHQRPSMSKVVAMLSGDVDVADVFTKPSYVTEWQLKDGWTSSYTSSSYAGSSNTDFSRQKETNPLTLSPTITKANLEAR >LPERR05G07610.1 pep chromosome:Lperr_V1.4:5:7112102:7112724:-1 gene:LPERR05G07610 transcript:LPERR05G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCFSFLIFFSQSSEAWVAEVAIASCCLASGVPAQGLSRWPLPPPPIFFACRKEVLELTSRKHGGKVFFKCEDNKEDDPESCNFFKWYESYKKLVERQCSDYLFSDSAIERAEPVAATPPPPVKARLK >LPERR05G07620.1 pep chromosome:Lperr_V1.4:5:7115607:7118013:-1 gene:LPERR05G07620 transcript:LPERR05G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKARSEPNQSRSPSSEELQEKTLPSAASSEQGTGARSRPPSLSKKLSSGGVSTDLRKFEDIAEKDYEGRRAYIADYLDAKGRCVLVSKPAIKAKVSGKEQIKYLVYILEILAMNSADEQEEHVTCLLDCKGWAISNTPLSITRESINIVQNYYPGMIAVAILSNTPRIFESFWKVKFVYTNNPESQKIVFEMFDMDKLETAFGGRNELTVDIESYAERMKRADLVRGASSMGRDINLVKL >LPERR05G07620.2 pep chromosome:Lperr_V1.4:5:7115607:7118013:-1 gene:LPERR05G07620 transcript:LPERR05G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKARSEPNQSRSPSSEELQEKIVHVRELLGSLIAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPEKICWEDIAEKDYEGRRAYIADYLDAKGRCVLVSKPAIKAKVSGKEQIKYLVYILEILAMNSADEQEEHVTCLLDCKGWAISNTPLSITRESINIVQNYYPGMIAVAILSNTPRIFESFWKVKFVYTNNPESQKIVFEMFDMDKLETAFGGRNELTVDIESYAERMKRADLVRGASSMGRDINLVKL >LPERR05G07630.1 pep chromosome:Lperr_V1.4:5:7146103:7147281:-1 gene:LPERR05G07630 transcript:LPERR05G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISISSSSSKRVIRTAQDEEDEDGNDDLLVEILSRVPYKTLIRSKCVSRRWRRVISHPDNRRRLPRYRLHNTIAGIFYYSNHELQFYGLPGEPSPLIDASFPFLPKCERLKLIDSCNGFLLCLCSKFTDPKKFNYAVCNPATKKWAALPDSTGCLRRPHLGFDPAVSSHFYVFELVVNWLENIFCGKSQCSGLRIYSSNTGVWSDKIDSGWGVEVKINGELKSVFFNGMLHVVAMESSVVAVVDVQGKNWRTIPLPHKEGSHPQENFIGLSQGLLCFVTTEKYDGSKLSVWVLDVYFRDQWTLHHIVSCMDLSWTSMMDPDILHDYNLVSIQERKMFFIYHDRYDNDHKIMSYGVDSGKVCFLHSFGYNCVKPYLSYLPLLLQSLADGP >LPERR05G07640.1 pep chromosome:Lperr_V1.4:5:7156973:7159622:-1 gene:LPERR05G07640 transcript:LPERR05G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKAKSWVSQEKAAGSLDEQHDKINEVRELLGSLTAEMPSFLSESTIRRFLRAKSWSTEQATKALKETIKWRRQCRPDTICWEDIHEREHEARRAYIADYLDKNGRTVFISKPAIKSKLSSKDQIKHLVYNLEILAMLSENEQDECVAWLTDFQGLMAVAILSNPPKIFESFWKIVRHFLEPEMNQKVKFLYTNNPESHKTVADMFDLDNLETTFGGRNKVAFDMDKYNERMKRNDQMRGASKHANA >LPERR05G07640.2 pep chromosome:Lperr_V1.4:5:7156973:7159622:-1 gene:LPERR05G07640 transcript:LPERR05G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKAKSWVSQEKAAGSLDEQHDKINEVRELLGSLTAEMPSFLSESTIRRFLRAKSWSTEQATKALKETIKWRRQCRPDTICWEDIHEREHEARRAYIADYLDKNGRTVFISKPAIKSKLSSKDQIKHLVYNLEILAMLSENEQDECVAWLTDFQGWVLTCTPFSMVRESMHIIQNHYPGLMAVAILSNPPKIFESFWKIVRHFLEPEMNQKVKFLYTNNPESHKTVADMFDLDNLETTFGGRNKVAFDMDKYNERMKRNDQMRGASKHANA >LPERR05G07650.1 pep chromosome:Lperr_V1.4:5:7173759:7174844:-1 gene:LPERR05G07650 transcript:LPERR05G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLAREPPAAKEHKASTSPRCTTTRRAETQKKRKKKTVLEPSTLDVETASSDDAIDARWHRFRRAKTPMMLLLRHQDLSHPFKQHIGLRMHRHRLPSLLLSSIKDLHRPTNQLPVKSNRHTLIIESHHQENTFGFDISEFVLDDEEITSQPLSVSEDLKSKLKDIAGYLDIPIDSLVVDTSVIKSRVYDIQDQLDIGLIKNLLPAAHLDSYQLQVSRARQQMLDREAYILRRATWESAVSATKKKKEDLDSTSAAVPSLIENLEKLKQHKTELEMLLADVNANIQSAEQAIINHPASVETCREEVKAAIIYAQKELKLISGTDATDAALINEAD >LPERR05G07660.1 pep chromosome:Lperr_V1.4:5:7194344:7200218:-1 gene:LPERR05G07660 transcript:LPERR05G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHHRLPLIKAVQLVVLLWSAAAATAVSGHAGDRIFYLPGQPPVDFMMYSGYVTVNEPAGRALFYWLQEATEAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRSDGATLFLNEYRWNKVANILFLDSPAGVGFSYTNTSSDLYNSGDKRTAHDSYKFLTKWFEKFPQYKYRHYVPQLSQLVYRHNKGVEKPIINFKGFMVGNGVTDDYHDYIGTFEYWWNHGIISRDTYRRLNESCVHDSGEHPTTACLAALNASTVEQGDIDMYSLYTPTCNETSSSATERQRRLKHGHYPWITGSYDPCTEKYSTEYYNRPEVQKALHANVTGINYTWATCSDILNDYWRDSPRSVLHIYQELIAAGLRIWVFSGDTDAVVPLTATRHSIDALGLPTTISWYPWYDAKKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQAFILFEHFLQGKHMPDAPTEV >LPERR05G07660.2 pep chromosome:Lperr_V1.4:5:7194344:7200218:-1 gene:LPERR05G07660 transcript:LPERR05G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHHRLPLIKAVQLVVLLWSAAAATAVSGHAGDRIFYLPGQPPVDFMMYSGYVTVNEPAGRALFYWLQEATEAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRSDGATLFLNEYRWNKVANILFLDSPAGVGFSYTNTSSDLYNSGDKRTAHDSYKFLTKWFEKFPQYKYRDFYIAGESYAGIYSAFAAGHYVPQLSQLVYRHNKGVEKPIINFKGFMVGNGVTDDYHDYIGTFEYWWNHGIISRDTYRRLNESCVHDSGEHPTTACLAALNASTVEQGDIDMYSLYTPTCNETSSSATERQRRLKHGHYPWITGSYDPCTEKYSTEYYNRPEVQKALHANVTGINYTWATCSDILNDYWRDSPRSVLHIYQELIAAGLRIWVFSGDTDAVVPLTATRHSIDALGLPTTISWYPWYDAKKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQAFILFEHFLQGKHMPDAPTEV >LPERR05G07660.3 pep chromosome:Lperr_V1.4:5:7194344:7200218:-1 gene:LPERR05G07660 transcript:LPERR05G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHHRLPLIKAVQLVVLLWSAAAATAVSGHAGDRIFYLPGQPPVDFMMYSGYVTVNEPAGRALFYWLQEATEAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRSDGATLFLNEYRWNKVANILFLDSPAGVGFSYTNTSSDLYNSGDKRTAHDSYKFLTKWFEKFPQYKYRHYVPQLSQLVYRHNKGVEKPIINFKGFMVGNGVTDDYHDYIGTFEYWWNHGIISRDTYRRLNESCVHDSGEHPTTACLAALNASTVEQGDIDMYSLYTPTCNETSSSATERQRRLKHGHYPWITGSYDPCTEKYSTEYYNRPEVQKALHANVTGINYTWATCSGDTDAVVPLTATRHSIDALGLPTTISWYPWYDAKKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQAFILFEHFLQGKHMPDAPTEV >LPERR05G07670.1 pep chromosome:Lperr_V1.4:5:7211886:7213297:-1 gene:LPERR05G07670 transcript:LPERR05G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLSVSDGGDASQVLFTGIRDHADLLIEVLLRLPPWSLCQLRCVCKLWLERTTSSIFLSAYADRHASNPSNWFLLDKTIFIDTAPTPRGPMRALLRKSEPPKVSSIIVSSRLCPIRQKGFWLDQSPMVISYSGGLILLSGNDNNYYVCNPFTGDTFLLSVPKPQLRNAESLGIVARDGDYVVAELVTACLRCFSSVNGHWEKSVVCPEFSKSDMVFSSGRMLHWVDLKHGILSCDPFASAPTVLFINLPEANARPSRGLDEWFAHEDDGESGSMSLWALGGNSGEWVLEYKMGFEDLSEHESYDDYSLEGEVPLVGLVDPLNEQSLRKETSNSDSQEAGSSGKPQKSAKGRRRKSKT >LPERR05G07680.1 pep chromosome:Lperr_V1.4:5:7214536:7217902:-1 gene:LPERR05G07680 transcript:LPERR05G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPFHGHLHHRHGLSSAAADDDMPAFSLILTRFDAGYFRISLSLCGQALLLRTLCDSGDGDVPPPRALCATSVLLWSLALLSLVALTALYATRCFLRFAAVRAEFRHRVAVNYLFAPWASWLLLLQSEPPSLAAAPRRVLWCAFTAPVVALDVTVYGQWLTEGREALSMAANPTGHVTVVANLVAARAAAAELGWREGAVVVFAVAIAHYAVLFVTLYQRLQLGADDATLPGVLRPVFFLFFAAPSMASLAWGAISSSFDIACKMLFFLSLFLFASLATRSALFRRAMRPFSVAWWAFPFPLTMLAVTAIEYAREVGGHAAVVLEIVLSALSAVVTVAIVVCTAVRTSDLLPHGDDDPLAGTSSMVVPQLMNSKADITPDD >LPERR05G07690.1 pep chromosome:Lperr_V1.4:5:7233814:7235993:-1 gene:LPERR05G07690 transcript:LPERR05G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCGRRRQRGQKGSGSCRHFHVHYHLPRRVSAFSFPRLLPRFFSLLSAWVLVPPVLFLALLVFLALFVWFTLLYFIRSLWNKDINSEFFERNSNDHGSDSNENQGEEVAEGQVEEKVLKVSSELFTANSSAAEDCTKRFVIEEVHTDSGEYRNIPMAASSGDCVNYDVQTDDEKFIEEVIIFEIIRWGPEAIASSERHQVQDFSIDWFEGEIKSRNGTKSGDSFPTVLSTVCAGFRDFSGKNELVELTMDSFNEMDQNMPVLQDSSSHCCVGENHCKYGEECFDDKEAPASKSSETSDFIDKYETRDVVLDDCGNNDTVITVIALGSIDGSAKHGIDSERGEDILEQKDTNNLAGLLDSIPVVVDPQQEIHKVVVSDESKLPEVFFLNAKQIDHSPGESSSFPNENRISGFPFNSVCKDKNGNVAYSSALSLCNAGVKDEQCEDNIEEASSFSSAYRGSVDENQKTQEVPDCLANGDNTCQVSSLESSIREDVGDHKRGPQHHGGIYVELLMCLLDVKIKIEMLEGRLQKT >LPERR05G07700.1 pep chromosome:Lperr_V1.4:5:7249159:7253292:1 gene:LPERR05G07700 transcript:LPERR05G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPARRLALLAIDRAKRGRGPVMVRTVAATMFVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFIGLIIDRLHHYIRELRTMKKNMEAVTKQSRALEEAKHGSTEEIQQYQKEISGLNKKVQELKHLSETKTEELKTAEANALALQKQSEGLLTEYERLIAENEEFRNQLQSMDRRLSHSDNKKNT >LPERR05G07710.1 pep chromosome:Lperr_V1.4:5:7250370:7255254:-1 gene:LPERR05G07710 transcript:LPERR05G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAADAAAAAATVLTILGFVLFLAASSAAQSITTHGGGGSYVSAVGDPGMQRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLENGELVHRVTDADNSLRAGDPFPGGSAAANTTDNVDRYAAAKELYLGDLCQVSNSPGAAPWQFWMVMLKNGNLDTTAAICPENGRPTRPFAQTSRFPCPGGAGCMNQPLVFHNRTALDAAGRRLSGGLFGTGGLFGTYDLDAAASLGSRDVSYYSVTWEKEIGGDGGWVFHHKLRTSPKYPWLMLYLRSDATTGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDATTDVTRYSEMIINPETPSWCTPRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAVQPTTYCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSSHAEAEWTLSGFDILVPEDCVGSSEGGAISQCDKRRSIDCSWFLNSSFSAMRRSYSNDCLVDAGKIIHHLISTQVAGQQSNVQDV >LPERR05G07710.2 pep chromosome:Lperr_V1.4:5:7250370:7255254:-1 gene:LPERR05G07710 transcript:LPERR05G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAADAAAAAATVLTILGFVLFLAASSAAQSITTHGGGGSYVSAVGDPGMQRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLENGELVHRVTDADNSLRAGDPFPGGSAAANTTDNVDRYAAAKELYLGDLCQVSNSPGAAPWQFWMVMLKNGNLDTTAAICPENGRPTRPFAQTSRFPCPGGAGCMNQPLVFHNRTALDAAGRRLSGGLFGTRDVSYYSVTWEKEIGGDGGWVFHHKLRTSPKYPWLMLYLRSDATTGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDATTDVTRYSEMIINPETPSWCTPRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAVQPTTYCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSSHAEAEWTLSGFDILVPEDCVGSSEGGAISQCDKRRSIDCSWFLNSSFSAMRRSYSNDCLVDAGKIIHHLISTQVAGQQSNVQDV >LPERR05G07710.3 pep chromosome:Lperr_V1.4:5:7252434:7259539:-1 gene:LPERR05G07710 transcript:LPERR05G07710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAEAATLLVLFLFLSCCSVARSIRKNAAGDGVVGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLENGADGGHGGKTAYTVVHRVTDADNHLRAGDPFPGAGGNITRDVDQYAAAKELYLGERCQVADRPGATHWQFWMVMLKNGNLDTAAAICPENGRPARPFPQGRFPCPGGAGCMNQPLVFHNRTSLDAAGRRLRGGLFGTYDLDATANNLESKEVSYYSVTWEKDVWRGKWLFHHKLRTSPKYPWLMLYLRSDATKGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDATTDVTRYSEMIINPETPSWCTPRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAVQPTTYCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSSHAEAEWTLSGFDILVPEDCVGSSEGGAISRCL >LPERR05G07710.4 pep chromosome:Lperr_V1.4:5:7257073:7259539:-1 gene:LPERR05G07710 transcript:LPERR05G07710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAEAATLLVLFLFLSCCSVARSIRKNAAGDGVVGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLENGADGGHGGKTAYTVVHRVTDADNHLRAGDPFPGAGGNITRDVDQYAAAKELYLGERCQVADRPGATHWQFWMVMLKNGNLDTAAAICPENGRPARPFPQGRFPCPGGAGCMNQPLVFHNRTSLDAAGRRLRGGLFGTYDLDATANNLESKEVSYYSVTWEKDVWRGKWLFHHKLRTSPKYPWLMLYLRSDATKGFSGGYHYETRGMTKIVRVTLEVKQGGGPNSQFYLMDMGGCWKNDGRPCDGDVTTDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRDDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSHALYFYQDPGTPPAKRRWSSLDVGTEIYVSDHAEAEWTLSGFDILVPKD >LPERR05G07720.1 pep chromosome:Lperr_V1.4:5:7269039:7270232:-1 gene:LPERR05G07720 transcript:LPERR05G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGVRPNRHTFPSLLKSTAGLGDAAAATAAIHGQCHRRGLDDDCFVACSLLVAYGRDGCLVRDARKVFDEMASPDLATCNAMLDVLCLSEDMCTARCLFDRMVVRDVVSWTTIISGLTRNGCHWDAIEMFRGFLLWNKSRLTEATLVSVLSACANLDAAVGLVAGMFVHGYVVRHEVQFTAFLGTALIDMYGKYGKLTCCSHAFHLVRDKEVCTWNALLSALANHGKEAEALVKFEMMRSEGFSPNHITFVAVLTACARAGLVETGLDLFERLFTEYKVVPMMVHYGCVVDLLGRAGCFTEAIQIIERMPFLADASVWGALLGACKIHGNIELSAQIREKLIALGPQQPGRYVTVRNMYLEEGNWHAATRMGEVMQEAGIKKIVGLSGVVLNSRT >LPERR05G07730.1 pep chromosome:Lperr_V1.4:5:7271261:7272887:1 gene:LPERR05G07730 transcript:LPERR05G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYVGAIWLNVEGIFEVQGLGDGWPMWDFQKYIYGLKSFWPMDENVRLFEIGKTHWPCERSPHPRCKCGILATVGVVTSELGYGYYCGNAYGKYWEGRTCNWKDFSGLTKLREQLGRQSEPLKSNMIEKIRRKLRNKYDIPLPEREVEAMLSEDMRRHKGQPTRGYYTYEECITYWRLHREKYPVDLTPEEKIAKRQKIKEE >LPERR05G07740.1 pep chromosome:Lperr_V1.4:5:7274040:7277692:-1 gene:LPERR05G07740 transcript:LPERR05G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPEYPLLELAYDNKHHGHLISDNHEVEWEPYSHAGLDNFEFSPMCRRDTTMWRSTTPLIFFHVVEMHLPQRVMRQFDDFGNDTFEIDKENGYDDGISLGWEDVDLDEVVVEDVCEDDVGDGVETEELDEETSQLPSGQHDDDRFNYTERELQLLKEVHVELPSTANAKDISMCHKARVRRSCHRMALRLNCMSAQDVAHAPPSAATATASGASRRTPARSVSTTSHATSAAAARASGSRGKEPAEESDDDARNRSSEQDNPTYGEELQMSQLFDAPPPTQTQGESSQVATPTERELRIHRRTRDHTDIGSANILPTDPPRQRRPRDPFSPPDQRRRQN >LPERR05G07750.1 pep chromosome:Lperr_V1.4:5:7290522:7296793:-1 gene:LPERR05G07750 transcript:LPERR05G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATVLLLILAATAAMATTTAVPVRRSRFLMMQQAAGADPYYYCTKKSAATVCLAAGSPGATCCGGQCMDTITSGDHCGGCNKACKHGRTCCGGRCVDLLSDRDNCGSCANHTSKTIPVVGRSRFLLLAHGGDGDPYFRPLPSIYGCSEKSPALCLAAGSPGATCCGGRCVDTATSVDHCGGCSKACKHGRTCCGGRCVDLLFDRDNCGSCSNRCGKRCTYGLCNYAQ >LPERR05G07760.1 pep chromosome:Lperr_V1.4:5:7303531:7304349:-1 gene:LPERR05G07760 transcript:LPERR05G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVNSSPFYPLQAQEDNRMWGRARRQEQLNHGKVKPSRWRHGRGRQIQSVPRCVRPDLQPAI >LPERR05G07770.1 pep chromosome:Lperr_V1.4:5:7307720:7312062:-1 gene:LPERR05G07770 transcript:LPERR05G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDFQRRRRQDLLPEGSEGRAPILQATGSELATLRRRPRLRMARTTQPRLLSDSRHNPRRRRRRMVSTRNLHEMLLMLMAGSNQCGKLAARDMIDALWHSALSTQAQGWRFFKKKAAGSTRPREAAGRLRPCSTRQAGAWLWTSSSDAVQRGCRAPWSAEDGYSSGSFLNLLQDPTVVANLSQSSTPPGFASFNSFPYAHVPFPLFSTQPPPSAAVEKAGPSSRRQKRVTAEAPATNVEAAPADQAAHKGDGPGRMFYRVEEDILLISEKKIEATNNILMAAEKKLEAKKLDHKKQLLFKLTEMLKEDTSKVEPWAKELHQVTITKITDALWRDGGN >LPERR05G07770.2 pep chromosome:Lperr_V1.4:5:7307720:7311567:-1 gene:LPERR05G07770 transcript:LPERR05G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGGTGGQDFYFCCKNNSTTAVKMIDALWHSALSTQAQGWRFFKKKAAGSTRPREAAGRLRPCSTRQAGAWLWTSSSDAVQRGCRAPWSAEDGYSSGSFLNLLQDPTVVANLSQSSTPPGFASFNSFPYAHVPFPLFSTQPPPSAAVEKAGPSSRRQKRVTAEAPATNVEAAPADQAAHKGDGPGRMFYRVEEDILLISEKKIEATNNILMAAEKKLEAKKLDHKKQLLFKLTEMLKEDTSKVEPWAKELHQVTITKITDALWRDGGN >LPERR05G07780.1 pep chromosome:Lperr_V1.4:5:7343901:7344278:-1 gene:LPERR05G07780 transcript:LPERR05G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITAFLLFALVVSTAATATAVPVQLPVRRSRFLLATNSLLYSCSKKSSAVCLAEGSPGATCCGGRCVDTITSGDHCGGCNKACKHGRTCCGGSCVDLLSDRDNCGSCSSQCSKKCYYGFCDYA >LPERR05G07790.1 pep chromosome:Lperr_V1.4:5:7362513:7364277:-1 gene:LPERR05G07790 transcript:LPERR05G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAWLHELCPICCFGAPSSPNKHHSEMGPAAGDEVVAITHRTVDANGLRMHVAEAGPAGAPAVLLLHGFPQVWYAWRHQMRALAAAGYHAVAPDLRGYGDTDAATSAEQYTAMHVVGDLVALLDAVVGAGKPAFVVAHDWGALTAWNLCLFRPDRVRALVALSVAFTPRSSARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMTPKSGWGSPDDKVPLPSWITEEDIKYYASKFDNTGFTGGLNYYRALNKTWELTAPWTGAQIKVPAKFIVGDADLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHIISFIGKFSTEMLAPK >LPERR05G07800.1 pep chromosome:Lperr_V1.4:5:7383830:7388961:1 gene:LPERR05G07800 transcript:LPERR05G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTICAQAQRSSLLTPSTVASYRHSSPAGEPPKSMGGGGDLSPSSVPTSPSSSSEPLPHEFPDYVAVSSVIEGDSDECCVCDDPEVEAFLHGSRLQDRSLREAKEFVRRYKPGDLIEGVYGTKAEDYVLPDITTLILVGPRDAGKSALVNRITRVFDKDDDPDAPDRAQVSCNSKSTGTSSLREYRVPRNSNSICIYDTRSLSNNHENNFKMLRQWMTKGLSHGDMVTWDNDNYSKIQNIKSMGRQYRFLRCKTRKVNFVIFVVNDDKPAVVVTHGDRLSLQQRIHVRNELVELLGIPLQQIFDVSGCDDYETDLSVLDMLRYCIQHAEQNFPIKMNFLLEMNGRETLSKVAVRLMGLDAIIEAAIIFLCIVVFLLRVSDKILQW >LPERR05G07810.1 pep chromosome:Lperr_V1.4:5:7413010:7415964:1 gene:LPERR05G07810 transcript:LPERR05G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >LPERR05G07810.2 pep chromosome:Lperr_V1.4:5:7413210:7415964:1 gene:LPERR05G07810 transcript:LPERR05G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLRRLLFFLNLYYLGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >LPERR05G07820.1 pep chromosome:Lperr_V1.4:5:7419030:7421420:-1 gene:LPERR05G07820 transcript:LPERR05G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGSGVFPNKPTLPVGPKKRAPPPNTVATPLLPPTPPPSRSSLPLDSLLLHLTAAAPPPPAPAPRRPHPTPTPPHSFLSPAAQSLVLAISSQPLDSLHAFLSSRRDELLRAADLPSLLKALELSGHWEWALALLRWARDEGAADAAALEMVVRALGREGQHDAVCDLLDEMPLPPGTRLDVRAYTTVLHALSRVGRYERAIQLFAELRRQGVAPTLVTYNVVLDVYGRMGRSWTRIVALLEEMRASGVEPDKFTASTVIAACGRDGLLDEAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYGNVGRVDEALALFDRMKNNGYVPNVNTYNLIFGMLGKKSRFTTMLKMLEEMSRSGCTPNRVTWNTMLAICGKRGMEDYVTKVLKGMKSCGVELSRDTFNTLISAYGRCGSRTNAFKMYDEMISAGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMMKKGFKPNDQSYSLLLQCYAKGGNAAGIESIEKEVYDGTIFPSWVILRTLVIANFKCRRLEGIEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKKSGLSPDLITYNSLMDMYAKSNESWEAEKILRQLKSSHVKPDVVSYNTVINGFCKQSLIKEAQRILSEMIADGLAPCVVTYHTLVGGYANLEMFNEAREVVSYMIQHNLKPMELTYRRVVDSYCKAKRYDEARDFLSEISDTDQNFDQKLWQMLEARIKDAQFGR >LPERR05G07830.1 pep chromosome:Lperr_V1.4:5:7423176:7424009:-1 gene:LPERR05G07830 transcript:LPERR05G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVASCVNLLPVAIHRMRDRLTKQGSEGMEVVVTDMLDLPFECKIFDLMIEKGTMYDI >LPERR05G07840.1 pep chromosome:Lperr_V1.4:5:7428027:7430864:-1 gene:LPERR05G07840 transcript:LPERR05G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGCRFLRPLSFNCRYHRHRRLHSSPSHADAGIEAGAATDTTVLGRLTRLLLLHRFPAAERLLSSSSSPITPALLHATLRLVRLDPDAALHLFRLAPCRQISILAHAQLLHILARARRFHDARAILSSLLSRHADADPLFPHLAEVYRDFSFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSVRSCNRLLNKLVQGGDPGLVVTVYEQMRIAGVLPDEFTVAIMAKTYCRDGRVARAVEFVEEMEETGLEVNLVAYHAVMDCYCGMGRTEDARRILESLQRKGLQPNVVTYTLLVKGYCKDDRMEEAERVVRDMKENEEIIVDEVAYGMMINGYCERGRMEDATRLRNEMRDAGLHANLFVYNTIINGYCKLGKMEEVRKVLQEMEDGGVRLDKYSYNTLIDGYCREGSMSKAFEICCTMVRNGFAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITVNTVINGLCKIGRMAEAEELIDRMKELRCLPDSLTYRTLFDGYCKIGKLGKATHLMNKMEHLGFPPSVEMFNSFITGHFLAKQWRKVNDIRGEMSARGLSPNLVTYGALIAGYCKEGDLHEACSLYFEMVNKGMTPNVFICSSLMSCFYREGKIDEANLVLQTLVNFDMIPGCSISTIEIDKISQVVDAIADGNPHSANVMWNVIIFGLCKSGRIAHAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDAMLSVGLTPNIITYNSLIYGLCKSGKLPRAVNLFNKLQSKGILPNVVTYNTLIDEHCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCAQGYMEEAIKLLDQMIENNVDPNYITYCTLIHSYIKSGNMKEISKLYDEMHICGLLPTNWIGNGKQSDPVLSIWNRKEGHMKMYSQC >LPERR05G07850.1 pep chromosome:Lperr_V1.4:5:7449766:7456468:1 gene:LPERR05G07850 transcript:LPERR05G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGVILRHISSLKDMLDKVNEEIEQNIQNTREIESEIVKHSDTEKEYLLKESELMKGVSIAEFELNGIMQVAAAEKDSLKVMEGNLEFKKATLNGIRKRFSDKMEKFTDESKGFQANMLGDLNKDLVLLLKEKASLGDESENLKMKIKAIEILESELQYRISEYTDVLKDISNLKALFSSINS >LPERR05G07850.2 pep chromosome:Lperr_V1.4:5:7449766:7456468:1 gene:LPERR05G07850 transcript:LPERR05G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGVILRHISSLKDMLDKVNEEIEQNIQNTREIESEIVKHSDTEKEYLLKESELMKGVSIAEFELNGIMQVAVLESELQYRISEYTDVLKDISNLKALFSSINS >LPERR05G07850.3 pep chromosome:Lperr_V1.4:5:7449766:7454207:1 gene:LPERR05G07850 transcript:LPERR05G07850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGVILRHISSLKDMLDKVNEEIEQNIQNTREIESEIVKHSDTEKEYLLKESELMKGVSIAEFELNGIMQVAAQT >LPERR05G07850.4 pep chromosome:Lperr_V1.4:5:7454135:7456468:1 gene:LPERR05G07850 transcript:LPERR05G07850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFHPARLHHPSRVGGREKFTDESKGFQANMLGDLNKDLVLLLKEKASLGDESENLKMKIKAIEILESELQYRISEYTDVLKDISNLKALFSSINS >LPERR05G07860.1 pep chromosome:Lperr_V1.4:5:7460238:7467409:-1 gene:LPERR05G07860 transcript:LPERR05G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKTLPSPSASTESSPPPQLPPPADAWAVENRRLLPQWESTRDSSKIVIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEGLEGPGLSVSQKILYCVSTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGGRYKTIVERILKARLVYGSPKMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSSDEANCPICRSSPSIPFVALPCIAIIACKHVVQPQAHIDVHGVMR >LPERR05G07870.1 pep chromosome:Lperr_V1.4:5:7467841:7470351:1 gene:LPERR05G07870 transcript:LPERR05G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRLLRPTSSAARLSARRLLSSASSSPPHRSSNTNSPVAFDWSDDDNDNDNGGGNPSPPPKSPVLPPPYDPFSKKHAAGDPADPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHQALELFALIKDKGAMPDVVAHTAVLEAYADAGPVRWRDAVRTYDRMLASGVAPNAYTLAVLVKGLAASDRFAEAGKYLVEMLDRGMRPNATTYLAVFEAYVRMEKVEEGRVLLDTMKSKGFAPSEEAVRSATVKRGHVFRGVMNMLFDNASWWNSTEQGANRRENE >LPERR05G07880.1 pep chromosome:Lperr_V1.4:5:7482074:7484736:1 gene:LPERR05G07880 transcript:LPERR05G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAVKPMKATSDGVFQGENPLQAALPLAILQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGILLGPSAIGRSSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGSGALAIAVAGISLPFVLGIGTSVVLRSTVARGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPLVSLWVLLTGVGFVVSAFFFLRPLLAWMARRSPEGEPVKEIYICATLSIVLAAGFVTDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTITGGESWGLLVLVVATACIGKIGGTVLASLVVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRGPPYKNRAVQRANPDDELRMMACFHSTRNIPTMINLMESSRGTRRRGVTVYAMHLVELSERSSAISMVHKARRNGMPFWNRRRNGDGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLRHAPCSVAILVDRGLGGAAQVAASDVSYNIAVLFFGGRDDREALAYATRMVEHPGIALHVLRFVPQSSGGASEDEAFLADFRGNVAGGNESVRYEETPAGGGKSDVVETIKAIGRCNLFLVGQGTPCVQLADRSTDCPELGPVGSYLALPEFSTVASVLVMKQYDPTAEHYDLVEEVPDIAMDIDTPGPKGASSRRG >LPERR05G07890.1 pep chromosome:Lperr_V1.4:5:7502946:7508844:1 gene:LPERR05G07890 transcript:LPERR05G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVVQVVVRVRPAVSLPTDGKDLFFVRKTSPSSVAVGDRSFAVDGFLDDRASQADAFDLVGVPMIESALAGFNSSLVCYGQCGEPSRPWFTAAPTTPIGASCLASSRTSLHKSKVEKRAHQRSRQATNADARFLRSDMMQILLCAFQIRENADNGILVENLTDEYVSTVEDVNQILMKDELIRTKSGDAGACKNASASHQTPKVNEVVCLLRGCAPHPKVMEGGGNFDGTMRVPGPIDPARDSRWVATLASVALRVYLLQGFYIVTFFISPMVDPEANAPVEFKPFTHRLPEFNFWSILSPMMDPEASSEGMGLMEMT >LPERR05G07900.1 pep chromosome:Lperr_V1.4:5:7516648:7517916:1 gene:LPERR05G07900 transcript:LPERR05G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPPPLAVPRGCGGDRCASGRDAWPLHHVSHDGVFCRLCSSCVLLYHPAAFCSACLFLLPPSSASARVDPVLSPLPGPTADCSICGFFVAHQSCVPDPASFRAARILLIAARLAHDSVARAAAAARQDAERCVREAAAARKRSREMLDAAFRALEAEATQSREVKKPKKKTPKSSEANRDRDKLLKLNAMKQPALAFAAAAAAAAASSMPLSIPSSREDKKLEKQQTQGDLERIKVRQTDH >LPERR05G07910.1 pep chromosome:Lperr_V1.4:5:7518606:7521423:-1 gene:LPERR05G07910 transcript:LPERR05G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHLRRCGAAAFAMVRSLRHFPPVVSASPPVGWKCLSPRIYSTNEVNQQLPANLVGVMEQRMKLIEQRSEYLHEQINQPDASPEEYSRANKELHGLESTMDMIKELRSKQAEIEGLKSLVTNSVEEKDLREIAAEELLDALEEEKQLQHKLFQSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYERYSQKNGWKFDVIDIMESAVKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLHNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERRRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIQDVMEGESLDIFIDSLPNTVSS >LPERR05G07910.2 pep chromosome:Lperr_V1.4:5:7518882:7521423:-1 gene:LPERR05G07910 transcript:LPERR05G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHLRRCGAAAFAMVRSLRHFPPVVSASPPVGWKCLSPRIYSTNEVNQQLPANLVGVMEQRMKLIEQRSEYLHEQINQPDASPEEYSRANKELHGLESTMDMIKELRSKQAEIEGLKSLVTNSVEEKDLREIAAEELLDALEEEKQLQHKLFQSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYERYSQKNGWKFDVIDIMESAVKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLHNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERRRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIQDVMEGESLDIFIDVLLLREEMDAIASFAS >LPERR05G07910.3 pep chromosome:Lperr_V1.4:5:7518882:7521423:-1 gene:LPERR05G07910 transcript:LPERR05G07910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHLRRCGAAAFAMVRSLRHFPPVVSASPPVGWKCLSPRIYSTNEVNQQLPANLVGVMEQRMKLIEQRSEYLHEQINQPDASPEEYSRANKELHGLESTMDMIKELRSKQAEIEGLKSLVTNSVEEKDLREIAAEELLDALEEEKQLQHKLFQSLLPKDEADERDCILEVRAVKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLHNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERRRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIQDVMEGESLDIFIDVLLLREEMDAIASFAS >LPERR05G07920.1 pep chromosome:Lperr_V1.4:5:7521461:7523940:-1 gene:LPERR05G07920 transcript:LPERR05G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKPQNPRGNQ >LPERR05G07940.1 pep chromosome:Lperr_V1.4:5:7543587:7553505:1 gene:LPERR05G07940 transcript:LPERR05G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYEQNLEMLELARMVFPCKKCRHHSRRGSPSSLEWTSIGIASDTRNQRGRLPPLWLCSPSQGHGGRRRLRRHGGCIVYLDRSTPHATGRWVATLAGVALRVYLLQGFYIVTFFISPMVDPEANAPVEFKPFTRRLPEFNFWSVLAPMMDLEAVTSSPSSSHHIKDGRTYSRISKSWDQDSSIAAKATDYKMSTTCLSFAEQVVPCNGMVACRRQLPLGTVLVRGSPWHGGSTRLSHLPLIASSRQCHNGISSVF >LPERR05G07940.2 pep chromosome:Lperr_V1.4:5:7543587:7553065:1 gene:LPERR05G07940 transcript:LPERR05G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYEQNLEMLELARMVFPCKKCRHHSRRGSPSSLEWTSIGIASDTRNQRGRLPPLWLCSPSQGHGGRRRLRRHGGCIVYLDRSTPHATGRWVATLAGVALRVYLLQGFYIVTFFISPMVDPEANAPVEFKPFTRRLPEFNFWSVLAPMMDLEAVTSSPSSSHHIKDGRTYSRISKSWDQDSSIAAKATDYKMSTTCLSFAEQVVPCNGMVACRRQLPLGTVLVRGSPWHGGSTRLSHLPLIASSRQCHNGISSVF >LPERR05G07950.1 pep chromosome:Lperr_V1.4:5:7581680:7581967:1 gene:LPERR05G07950 transcript:LPERR05G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMGSQTSGSAEVSQALAVTSLQAAAMATGSRAAVAAGTSTQAAAAGTGTQVAAVAAMTSSQLAVVAAATCSQAVVGMSRSCRQQLGWIPDGLE >LPERR05G07960.1 pep chromosome:Lperr_V1.4:5:7605587:7606621:1 gene:LPERR05G07960 transcript:LPERR05G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAALVLLALLCALASQAADAQWIQGTATFYGGSDGSGTMGGACGYGNLYNAGYGLNNAALSSQLFNDGAMCGACYTITCDTRNTKWCKAGTSITITGTNLCPPNYAKPSDNGGWCNPPRQHFDMSQPAWTSIAIYQAGIVPVLFKRVPCQKTGGIRFAINGRDYFELVTVTNVGGAGVVAAMSIKGSNTNWMAMSRNWGANWQSNAYLNHQSLSFMVKLDDGRSVTVYDIAPSNWNFGTTYTSNVNF >LPERR05G07970.1 pep chromosome:Lperr_V1.4:5:7623295:7624609:1 gene:LPERR05G07970 transcript:LPERR05G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPCATVAVLLLAILPSPSTAGDPDLLQDICVADLTSAVKVNGFSCKAAVTEDDFYFKGLAAAGNTSNTYGSVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTGNKLYTKTISAGDVFVFPRGLVHFQRNSGESPAAVISAFNSQLPGTQSLATTLFAASPEVPNAVLTKALQVGTKEVEKIKSRLAPKKS >LPERR05G07980.1 pep chromosome:Lperr_V1.4:5:7631817:7639260:-1 gene:LPERR05G07980 transcript:LPERR05G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGTLRFASPPPRAAAATAGDISLGNGDHCAVPPPPEDGDVDKARTETTKGSYRWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTCVTKLNRKELCLVGAGRTDTGVAHFTTPFPYYCLDNIHSAINGLLPHEIRVREISAARPEFHARTSTKSKIYHYKIYNGAVMDPFHNLYAYHCAHKLNSQAMQEAAKHFVGIHDYTSFANAVHNDRVRSPIKKIVRFDVIEMGALLQLEVEGTGFLYRQVRNMVALLLQVGREALPPDIVPKIIAAKDRRELAKVALLAPPHGLYLMSVNYDKEMLKPPEGAPPISFGRTHQISRLEMWYPSFLSFAY >LPERR05G07980.2 pep chromosome:Lperr_V1.4:5:7631817:7639260:-1 gene:LPERR05G07980 transcript:LPERR05G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGTLRFASPPPRAAAATAGDISLGNGDHCAVPPPPEDGDVDKARTETTKGSYRWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTCVTKLNRKELCLVGAGRTDTGVHAWGQVAHFTTPFPYYCLDNIHSAINGLLPHEIRVREISAARPEFHARTSTKSKIYHYKIYNGAVMDPFHNLYAYHCAHKLNSQAMQEAAKHFVGIHDYTSFANAVHNDRVRSPIKKIVRFDVIEMGALLQLEVEGTGFLYRQVRNMVALLLQVGREALPPDIVPKIIAAKDRRELAKVALLAPPHGLYLMSVNYDKEMLKPPEGAPPISFGRTHQISRLEMWYPSFLSFAY >LPERR05G07980.3 pep chromosome:Lperr_V1.4:5:7631817:7639260:-1 gene:LPERR05G07980 transcript:LPERR05G07980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGTLRFASPPPRAAAATAGDISLGNGDHCAVPPPPEDGDVDKARTETTKGSYRWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTCVTKLNRKELCLVGAGRTDTVHNDRVRSPIKKIVRFDVIEMGALLQLEVEGTGFLYRQVRNMVALLLQVGREALPPDIVPKIIAAKDRRELAKVALLAPPHGLYLMSVNYDKEMLKPPEGAPPISFGRTHQISRLEMWYPSFLSFAY >LPERR05G07980.4 pep chromosome:Lperr_V1.4:5:7631817:7639260:-1 gene:LPERR05G07980 transcript:LPERR05G07980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGTLRFASPPPRAAAATAGDISLGNGDHCAVPPPPEDGDVDKARTETTKGSYRWRMVAHFTTPFPYYCLDNIHSAINGLLPHEIRVREISAARPEFHARTSTKSKIYHYKIYNGAVMDPFHNLYAYHCAHKLNSQAMQEAAKHFVGIHDYTSFANAVHNDRVRSPIKKIVRFDVIEMGALLQLEVEGTGFLYRQVRNMVALLLQVGREALPPDIVPKIIAAKDRRELAKVALLAPPHGLYLMSVNYDKEMLKPPEGAPPISFGRTHQISRLEMWYPSFLSFAY >LPERR05G07990.1 pep chromosome:Lperr_V1.4:5:7646264:7651940:1 gene:LPERR05G07990 transcript:LPERR05G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADSLEWVAYGPCGHREVCSTCVVRLRFVMGDRHCCICKTHCPSVFITKAMGDYTKVINDFSVFPPEPTEGKVGEYWYHEDTQAFFDDADHYKMIRAMCRLSCSVCDKAEDQASQTAQARRKSKFRSIEHLKGHLYHQHRLCMCSLCLEGRKVFICEQKLYTRTQLNQHVQTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYIHMTREHYSCHMCQRQHPGQYLFDYFRNYDDLERHNAMEHGGRMSRAQRNAALQIPTSFIYRRYEQDERRGRGRGRNSHRDGSDRDIPSVQNGSAITDNGLPSRVDNITGSVSSSSGQGESGQTSSNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQSRYAHILSQSSLSARLGDESLFPPLPGSSSSRGSASTQQGLQSLAKNTLASRLQQRSKGNVKVLHSARPRPSENPELVPPVSSAPQMWPKPDQGLLHPSSSQLRIGRENGIMPSASSSAWNPGNGSSNKMKHSVSTPNLVSGGSSVQASSTSNCGNKNQQPPQSSQILPAADDVRAANKTLVERMRSALDMNEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYYTNMRFKSLQENSDGLTITSKEGNCKKKGKGKAHDVTETNAVPAKDVKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRPSKGKVQHITGGLSSSADMGLDTNPGVILKVSAAKDDISNGGSSSSSINNNNKQSKKTSKFLRARLGDNSLATLDLSRPNMNPERPERESQGPQMGLPVRGVWKNGGGQKLFSSNGRK >LPERR05G08000.1 pep chromosome:Lperr_V1.4:5:7668749:7679569:1 gene:LPERR05G08000 transcript:LPERR05G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDTTDEYCTIFYEKEKIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLLETP >LPERR05G08010.1 pep chromosome:Lperr_V1.4:5:7688106:7692438:1 gene:LPERR05G08010 transcript:LPERR05G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDTTPHNPKWHLSHCLSLRHVSPFSWPSSPSLFVSTREHKCGLWRRLNLQRLKSQKTQRSRALSQNIREPVVIDATLALQQFSILALLLARGEQESTSFVPCFLKQSMGGGGASRLGLPRLMAAAFVLFALCGVGVHGRSHIHKKPHGGGRHRGGGTVVSSPAVPPADEQTKPPPAGIVPSDPAIPAEPEQCVFDVRAFGAVGDGATDDTEAFRAAWRAACAVDSAVILVPSDGSFTITTTTFAGPCKPGLIFQVDGVLMPPNGPDCWPPSDNRRQWLVFSNLNGLTLRGAGTIEGNGEDWWNLPCKPHRVGPNGSTLRGPCDSPTLVRFFMSQNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTERVAIYNSMISNGDDCISIGTGSYDVDIQNVTCGPGHGIR >LPERR05G08010.2 pep chromosome:Lperr_V1.4:5:7688106:7692438:1 gene:LPERR05G08010 transcript:LPERR05G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDTTPHNPKWHLSHCLSLRHVSPFSWPSSPSLFVSTREHKCGLWRRLNLQRLKSQKTQRSRALSQNIREPVVIDATLALQQFSILALLLARGEQESTSFVPCFLKQSMGGGGASRLGLPRLMAAAFVLFALCGVGVHGRSHIHKKPHGGGRHRGGGTVVSSPAVPPADEQTKPPPAGIVPSDPAIPAEPEQCVFDVRAFGAVGDGATDDTEAFRAAWRAACAVDSAVILVPSDGSFTITTTTFAGPCKPGLIFQVDGVLMPPNGPDCWPPSDNRRQWLVFSNLNGLTLRGAGTIEGNGEDWWNLPCKPHRVGPNGSTLRGPCDSPTLVRFFMSQNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTERVAIYNSMISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGISFDTVTMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLKCR >LPERR05G08010.3 pep chromosome:Lperr_V1.4:5:7689314:7692438:1 gene:LPERR05G08010 transcript:LPERR05G08010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVQRMEALPPISTFGHGLSSSIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGISFDTVTMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLKCR >LPERR05G08010.4 pep chromosome:Lperr_V1.4:5:7689320:7692438:1 gene:LPERR05G08010 transcript:LPERR05G08010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVQRMEALPPISTFGHGLSSSIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGISFDTVTMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLKCR >LPERR05G08020.1 pep chromosome:Lperr_V1.4:5:7697989:7698540:-1 gene:LPERR05G08020 transcript:LPERR05G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAYGQLVPLLAAVALLSSGLLPHVAQAKKRGGAVPPQVADICSRTPFPDVCKSTAGRHASKYPVIDSVAVLNMQVDAFAKRTAQARKHVIKVSRGSLPQQTQALSFCDTMYMNTQDTIGAAQRAITFKDKATAKIMLQLAVQDFESCDRPFKQGGIRNPLEKFDIMLNQMAQNCMTLANMI >LPERR05G08030.1 pep chromosome:Lperr_V1.4:5:7708367:7713083:-1 gene:LPERR05G08030 transcript:LPERR05G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSVEWLEYLGRDGSLEELVVKNCKGVSQYDLLKFGSGWMLQKFEFEINDNYRQSGTMDRSYMPHYPYVYNTLTPSIDDSLKALALYCPILQTVELKFTFCSSMWPSEIGFTQEGIVTLIQLCPIRVFVLNGAHIFEDKGMKGLSSAQFLETLELVHCEGITDAGMSFIVHTPPLINLTLRKCKNVTDDGMAELAPLPHNQRKELKVDTRSRYEFYGGLHALGVTGDRAVPQQTSWLARCRRSVPQWYSAIKPLAMIKCVDACARHVEILETSFLVRHRGAVYRGVMPLSCRHVAIVGEGNGQRGHHATDEENHGSTTVNHRGLSCPDPEGSGSGGLGPLLASPSFGLLATGSGAVGPPNLSGPYGFSKGRKHLRPPDKGAGYRSGTKEAVGHHSAQGLP >LPERR05G08040.1 pep chromosome:Lperr_V1.4:5:7720011:7722635:1 gene:LPERR05G08040 transcript:LPERR05G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHVLIALLVVVGVVEFGNAEKYKPKESILVNCGSDKDDQDIDGRKWVSDKDSSWLLDGGKSSVMANADFQDPSLPSPVPYMSARVFTKETMYNFSVGEDRHWVRLHFYPAAYHGLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYTIREFTLPPSTAGSLSLTFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDISGNEVSTTDGSMQTIYRLNVGGSYVAPANDSGLSRDWYDDTPYIYGAAVGVTYQANDTVQIKYPKTDPEAEYVAPANIYLTSRSMGPDPKVNQNYKLTWVLEVDGNFTYIVRLHFCELVMSKPNQRVFDILINNKTAQSGADVIGWGGGQYVPVYKDYATIMPGGPGDKVLWVQLMPSVGSGSEFYDSVLNGLEIFKMSDSSGNLAGPNPDPSKMLEDAELAVGEAKFKSKTSNLKATVIGGAAGGAAAFGIVAAICIVVYQTKKRRVLNNSTSHSSSGWLPVYGGNSHTSTSKSSTGGKSAAGLNPNITAMCRHFSFAEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPGLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADSGGGSSSTTSAADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >LPERR05G08050.1 pep chromosome:Lperr_V1.4:5:7731567:7735374:1 gene:LPERR05G08050 transcript:LPERR05G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLREFPKSVLSFRRFVRRNFLGSRRRPAASTATATGAAMPPADKLHDQTVMVDLESWLLRSPMSTFPYFMIIAVEAGSFLRGLLLLLMYPLLWLLLGHDMRLKAMVMVSFFGLREKEVVRIGKAVLPKLFLEEMAMEGLEVVRNAKKVVVVSAVFPRVMVDGFLKEYIGVNAVIGREVKVKAGRYVGLLVKDGGLVEGVMEEMKSGKGDVGAVGLAGAGSRMHHLFSRYCKETYAVCDADKKEWQPVPRDKYPKPLIFHDGRLAFKLTPFAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSCADGANNNNGGGGGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRVDMFYGTSTMPAAKWLDPFYFMMNSRPEYRVEFLERVSTAPVDHGGDVMSTGHWHSINAANRVQRVLGEALAFELTEQTRKDKYKILAGNEGNVRT >LPERR05G08070.1 pep chromosome:Lperr_V1.4:5:7752678:7754253:-1 gene:LPERR05G08070 transcript:LPERR05G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLTHLRAVSEDEGKALAEEGLFFLETSAMEAVNVEEAFQTIISEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSTAGNTKRACCST >LPERR05G08080.1 pep chromosome:Lperr_V1.4:5:7774210:7775740:-1 gene:LPERR05G08080 transcript:LPERR05G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPARQTPYEFKMLSDIDDQDILRFNRSGILFYHHNPSKSGVDPVKVIKAAVSETLVHFYPLAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDDLGTALAPPVPCYDMLLCEPESPTADVVDRPLLFVQVTRLACSGFVFGIHICHCMADGSGIVQFLTALTEFARGVPGAPTLKPVWEREALTTRWPPTITHDHQEYAPLPNPSKDVLSPTDAYAHHAFFFGASEIRSLRSQAPPDLRAAISRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASAPAGELCRRPFGHALRLLVEAKARASEEGYVQSLANFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEAGEAGIMVPVRLPNPVILRLIQEVKKGLTARVTSEFEALDDAKANVVPDYVLAKL >LPERR05G08090.1 pep chromosome:Lperr_V1.4:5:7781942:7782907:-1 gene:LPERR05G08090 transcript:LPERR05G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWMLARRKLQLGDQKAPRFPNPHITVGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGATAEDGAGREENSHRMPPHQSYMIQPCPPQIGALQHAYGPNPSSAPLIAAETNPNSMCGVVAYPARSLLTSSRIAWGKQVLSAPLTSPKSPSAGRENGKREMMFPVAVRLAQDNERSANRVSSNLDLRFGENELRMSALGCRSRRDYMNENDGDDEETVQVSNKRRRIDLEVNPLALSSPSSKHQQQDGDDDQHHEKVLNLCHSSSVEELDLELRLGDAPKVK >LPERR05G08100.1 pep chromosome:Lperr_V1.4:5:7803550:7810972:1 gene:LPERR05G08100 transcript:LPERR05G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLSMLQRDLLLLVEVGIAIALLVPSRGHARVCPPCGSTEVPYPLSTGDGCGDPDYKVRCAAGGSTPTLLFDALNGSSYPITSISASAQRLVVSPAPFVSQGACVSEGAQASRGVQLNSSLPFNVSSSNTVMLLNCTDILLRSPLNCSSNSLCHVYANATGSTASACATLPLCCTFVAGGSSTSYSIRLGPQSCSAYRSFVGLDPSQPPSTWGSRLGLELQWATPREPRCRTQADCEDAATATCADDPLAPSGSGTLRRCLCVSGLVWNPIAGACQQQNLTDCQSTGNCNGSNHAPLIAGIVCGLGGALLVAVAGLLTYRRQHRIRLARERLAKEREEILNANNSSGRTAKNFSGRELKRATGNFSHDNLLGAGGYGEVYKGVLADGTVVAVKCAKLGNTKSTDQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGSMSQPPLPWRQRLAIAHQTAEGIAYLHFSAMPPIYHRDIKSSNILLDSRMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSKRAIDFGRGPDDVNLAVHVQRAADEERLMDVVDPVLKDNATQLECDTMKALGFLALGCLEERRQNRPSMKEVAEEIEYIINIEAGNTDLKEMQSL >LPERR05G08110.1 pep chromosome:Lperr_V1.4:5:7814442:7814740:-1 gene:LPERR05G08110 transcript:LPERR05G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLAWGGRFDQVAVRWAVPADRDVMVDQRVGADLIRDGTCRVDDEGQMVELMAKGVPGGVEVSPLLLVSSTI >LPERR05G08120.1 pep chromosome:Lperr_V1.4:5:7827597:7828511:1 gene:LPERR05G08120 transcript:LPERR05G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAGSMGGGLDIRNHLAQFREQAPTTPNSSGSNNHDDSSSGAAAGAQEDSPTAGGGGGESSRSPASGGGSSSGRRPRGRPPGSKNKLKPPIIITRESPNALRSHVLEIAAGADIMEAVAAFARRRQRGVSVLSGSGVVANVTLRQPAAPPPGAVVTLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELVASGPVMVVAATFSNATYERLPLADDEQAGEAAAAGSEGVQLPEGSPAPGGDHGAAAGLPDPTSMPFYNLPPNLMPNGGGGQMAPHDVFGSFRPPPPPAF >LPERR05G08130.1 pep chromosome:Lperr_V1.4:5:7835546:7844440:-1 gene:LPERR05G08130 transcript:LPERR05G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPALDLLPDARRGASAMATERWCRVWWPRGRLQPDEPVPSPARLVLLGWLFARPASVDVVVAAALPQEEILRSSPTLDQLQTVILSSNTRMPARLQECAAFTILGDCVHLPREFEVCCSKQNYQPLGAQSVQEEHFDITQNSSVVSSGSMESGDQDRSCYDSKWEYDCSILDGFLDACKKSVVKEGNWVHFCCKSSKSLKCNLIQFPVLHHLYLDHQKFETTHCHVILYDVPTAGRNHFSLGEDVPCRLKSNFRKPNWINNLGHKQLEFELDPIVLALNCSNAARLSVAQECASIQVIWHCMAVLLASISTIFYIFIQVLQKYLSNVYRHSWKNIHLRCCQILYWPIILQDRSLSSTVNVQYAHKAAIQKHTLWSNIVMDILMGLFFGAALLLKTEIICSWTSALVRYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMVSLNAIQIYSTLWSIVGGFLRHIICGLAFSGVLFGLTVPVSFFIDVIRLATLHVTLLQWLISLIYSRQIQAVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTTSIFYIFFSILSTAIISLCILLEITVSIIHSTPYSELIIWAARRQGFPTGLFFHPVIWSSVSSVDGDGLLSTKGYRETEHLTLGQLEPVASELRCNYATLGHIIRPNYQKTFNGVAISFCRQLAYGILRGERIPTTLHLQFSHFPWMHVGIREYWMLCRSSVLSCVRKR >LPERR05G08130.2 pep chromosome:Lperr_V1.4:5:7835546:7844440:-1 gene:LPERR05G08130 transcript:LPERR05G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPALDLLPDARRGASAMATERWCRVWWPRGRLQPDEPVPSPARLVLLGWLFARPASVDVVVAAALPQEEILRSSPTLDQLQDPIVLALNCSNAARLSVAQECASIQVIWHCMAVLLASISTIFYIFIQVLQKYLSNVYRHSWKNIHLRCCQILYWPIILQDRSLSSTVNVQYAHKAAIQKHTLWSNIVMDILMGLFFGAALLLKTEIICSWTSALVRYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMVSLNAIQIYSTLWSIVGGFLRHIICGLAFSGVLFGLTVPVSFFIDVIRLATLHVTLLQWLISLIYSRQIQAVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTTSIFYIFFSILSTAIISLCILLEITVSIIHSTPYSELIIWAARRQGFPTGLFFHPVIWSSVSSVDGDGLLSTKGYRETEHLTLGQLEPVASELRCNYATLGHIIRPNYQKTFNGVAISFCRQLAYGILRGERIPTTLHLQFSHFPWMHVGIREYWMLCRSSVLSCVRKR >LPERR05G08140.1 pep chromosome:Lperr_V1.4:5:7849769:7852636:-1 gene:LPERR05G08140 transcript:LPERR05G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALTSNAPSFRPLSTPLARRRPAATFLCRAGAGADEEPKKRAPFFINLSDGKSLIPAFPSPASAAGSLFAGGRGRKDPQTVFVAGATGQLGIRVAQTLLRQGFAVRAGVPDLESAQELARLAAAYRLISPAEARRLNAVQSDFDDPEAIAKSIGAATKVVVTVSAAEKGPGGGVVTTDEALQVVQAADLAGVAHVVVVYDQAAGGLSGESTYNVLDGFTSFFSNLFSRAQSLPLNDFLAKVVETDVRYTLIKTSLTDDYSPESSYGLVLDKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVTENKVVQVSTSSSVTSKPIEEAFSAIPEDSRRKEYQEAVAKAQAEEAARPSQRAREAEEDASNLKAEGKKTPSEEAAASAASEAQASLENLLSRARGFSTDFSWDKLSTQLAGAATRNSSEEEEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQAESKSEVRPVFGGLFKQETIFVDED >LPERR05G08150.1 pep chromosome:Lperr_V1.4:5:7858587:7865709:1 gene:LPERR05G08150 transcript:LPERR05G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVREDFISYGVGDAVLNELQALWEMKMLHCGAISSTIDRSKAAPAASAGTTGAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPDTGMYNIPTGPSDYAPSPISDVRNGMAMNGSDPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPSQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQMVEFVVSKDNAQQLWSSIVNKQRASSTKETIIAPTIPQQDGIQDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGDDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >LPERR05G08150.2 pep chromosome:Lperr_V1.4:5:7858587:7864922:1 gene:LPERR05G08150 transcript:LPERR05G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVREDFISYGVGDAVLNELQALWEMKMLHCGAISSTIDRSKAAPAASAGTTGAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPDTGMYNIPTGPSDYAPSPISDVRNGMAMNGSDPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPSQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQMVEFVVSKDNAQQLWSSIVNKQRASSTKETIIAPTIPQQDGIQDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGDDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKVVNMIF >LPERR05G08160.1 pep chromosome:Lperr_V1.4:5:7866442:7866645:-1 gene:LPERR05G08160 transcript:LPERR05G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKSSWPEVVGLPAEEAKRTILRDRPDVHVIVAPVGSPGITDFNEKRVRVFVNDAGIVVEVPRTG >LPERR05G08170.1 pep chromosome:Lperr_V1.4:5:7870315:7870521:-1 gene:LPERR05G08170 transcript:LPERR05G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKSSWPEVVGLPSQAAKQIILNDRPDVHVVVLRVGSFVTTEVNPKRVRVFVDVSGTVAQVPKTG >LPERR05G08180.1 pep chromosome:Lperr_V1.4:5:7892204:7894063:1 gene:LPERR05G08180 transcript:LPERR05G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLSAPSFLAHQSIRSNRPLKKLAPYPQLPRTISVKIRAAKLPAGVEVPREQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELSH >LPERR05G08190.1 pep chromosome:Lperr_V1.4:5:7893698:7895382:-1 gene:LPERR05G08190 transcript:LPERR05G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIISICLFASIPSISSSSSPPTPISTNNASCGGSGNPIDDCWRRCDPGWADDRQRLADCGVGFGRDAVGGGKGGRLYVVNDSSDDAERPGTLRHGLGQDEALWIVFARDMTIRPAHELVVRPRKTVDGRGARVVVGDGGACFAVRGARDVVIHGITIRGCRPKPKAAAAADESMGSSSSHDDDVSDGDGVSVINSTDVWIDHCTFEDCADGLIDVVEGSTRVTLSNNLLQNHDKAMLLGHSDEYTDDAAMRVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIDWEMYAVGGSSAPTIVSHGNRFSADKAKEVTKRDGDAPESVWCKWNWISEGDLMLNGAFFKTSGKAGPDLKAPSFARSASSVPSITSSAGALSCKEGSPC >LPERR05G08200.1 pep chromosome:Lperr_V1.4:5:7898939:7901628:-1 gene:LPERR05G08200 transcript:LPERR05G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAHPLLSSSSSPFSPPTLPIPRLRLLQPSRPSKRPSHSCSRSAIPARRNYRPGGHRFSGQQDDAPGDEDDKWRWAPSSGDDGDSVGGLGWGDAARGGEVGEWDPPVSPFRGRVEVVHHHHEEEEEEEEEDEDGIGSAWSDPAFFLRSLEEEGQASSVSITTAAMEEILAFARSPAAGGQAFARFLAGYGSGALSVEECVELMRRMGEEGLALGCLHLLRWMLASEEPLLSSPKAWLLAVVALGRAQVADEVMEIVESLPRERRFGEVVLYNAAMSSLAYCGRYDDAWKIFKLIEENNIQPDHMTSLIVLNVMNKSSTSAKEAWEFFQLMDRKGVKWSLDTCISLIKIFCDEGLKTEALIIQKEKKDEFWKNRKKRSMMNQVYGYPRKKFL >LPERR05G08210.1 pep chromosome:Lperr_V1.4:5:7904742:7921964:1 gene:LPERR05G08210 transcript:LPERR05G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHTNPGEDETNDNNKNDASDEMEASCTIFPSFHRHVSHIITKEEVNALLEKKYKFKWEVPVEDIPKSKWVGTGEEIKGTHVDIISGVKGKLRDHWQNTLSDQLNSRLNYRDIMHCNKKPFYLKGGSAADCSTMDSYLMHALNHIHKTRDIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRLVKRLIQLSPLSQKDNVMGIFNGKFGESDDEVEEPVQSNKPADFDLLFAGDTGDEFLFGLKYTKKSIKLFSNFYLSDIIVASPLALIKKINGVEDMGKEPAKERDFDFLSSIEIVVVDHADVILMQSWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHACYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEYKGVLSKIQFEAPQVYERFDASSITEADDARFDHFCQKVYPKIQESDEDDVTARYITCKTLIRGAHHLVIYSLPGRKEFYPELVNMLGESENRKCTVFFSRLDLLKNVKWGCETTKRDVGQQCGNGYGCKMWGMPPWLDLEPGWRR >LPERR05G08210.2 pep chromosome:Lperr_V1.4:5:7904742:7921964:1 gene:LPERR05G08210 transcript:LPERR05G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHTNPGEDETNDNNKNDASDEMEASCHIITKEEVNALLEKKYKFKWEVPVEDIPKSKWVGTGEEIKGTHVDIISGVKGKLRDHWQNTLSDQLNSRLNYRDIMHCNKKPFYLKGGSAADCSTMDSYLMHALNHIHKTRDIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRLVKRLIQLSPLSQKDNVMGIFNGKFGESDDEVEEPVQSNKPADFDLLFAGDTGDEFLFGLKYTKKSIKLFSNFYLSDIIVASPLALIKKINGVEDMGKEPAKERDFDFLSSIEIVVVDHADVILMQSWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHACYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEYKGVLSKIQFEAPQVYERFDASSITEADDARFDHFCQKVYPKIQESDEDDVTARYITCKTLIRGAHHLVIYSLPGRKEFYPELVNMLGESENRKCTVFFSRLDLLKNVKWGCETTKRDVGQQCGNGYGCKMWGMPPWLDLEPGWRR >LPERR05G08210.3 pep chromosome:Lperr_V1.4:5:7904742:7921964:1 gene:LPERR05G08210 transcript:LPERR05G08210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHTNPGEDETNDNNKNDASDEMEASCHIITKEEVNALLEKKYKFKWEVPVEDIPKSKWVGTGEEIKGTHVDIISGVKGKLRDHWQNTLSDQLNSRLNYRDIMHCNKKPFYLKGGSAADCSTMDSYLMHALNHIHKTRDIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRLVKRLIQLSPLSQKDNVMGIFNGKFGESDDEVEEPVQSNKPADFDLLFAGDTGDEFLFGLKYTKKSIKLFSNFYLSDIIVASPLALIKKINGVEDMGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHACYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEYKGVLSKIQFEAPQVYERFDASSITEADDARFDHFCQKVYPKIQESDEDDVTARYITCKTLIRGAHHLVIYSLPGRKEFYPELVNMLGESENRKCTVFFSRLDLLKNVKWGCETTKRDVGQQCGNGYGCKMWGMPPWLDLEPGWRR >LPERR05G08220.1 pep chromosome:Lperr_V1.4:5:7924337:7928650:-1 gene:LPERR05G08220 transcript:LPERR05G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTLAPTALSLRDVQGWKCQITVPTWKRLHNGPRNGIRTFAAKSNERKRRKSQSISKGPTLVSEDASSSSGENPTTSLEVSHFACSNGWPVADPMDLSFNFEIWHLELVAGLVIVVSSSRYILLQTWSDFRNSSEAANRQILTSLETFDYILVACLPGISEELLFRGALMPIFGLNWIGALVTGAIFGVLHLGNGRKYSFAVWATFVGVAYGLATLASSSIIVSYRVPVQY >LPERR05G08220.2 pep chromosome:Lperr_V1.4:5:7924337:7928650:-1 gene:LPERR05G08220 transcript:LPERR05G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTLAPTALSLRDVQGWKCQITVPTWKRLHNGPRNGIRTFAAKSNERKRRKSQSISKGPTLVSEDASSSSGENPTTSLEVSGHDVPNDEKVSVAPRNAVLQACTLTSGLLLAGGLVLREVSHFACSNGWPVADPMDLSFNFEIWHLELVAGLVIVVSSSRYILLQTWSDFRNSSEAANRQILTSLETFDYILVACLPGISEELLFRGALMPIFGLNWIGALVTGAIFGVLHLGNGRKYSFAVWATFVGVAYGLATLASSSIIVSYRVPVQY >LPERR05G08220.3 pep chromosome:Lperr_V1.4:5:7924337:7928650:-1 gene:LPERR05G08220 transcript:LPERR05G08220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTLAPTALSLRDVQGWKCQITVPTWKRLHNGPRNGIRTFAAKSNERKRRKSQSISKGPTLVSEDASSSSGENPTTSLEVSGHDVPNDEKVSVAPRNAVLQACTLTSGLLLAGGLVLREILTSLETFDYILVACLPGISEELLFRGALMPIFGLNWIGALVTGAIFGVLHLGNGRKYSFAVWATFVGVAYGLATLASSSIIVSYRVPVQY >LPERR05G08230.1 pep chromosome:Lperr_V1.4:5:7943846:7959539:-1 gene:LPERR05G08230 transcript:LPERR05G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTPPISPPPLGISAISRPLRLPLPHRRRRCCRRRNDPNAAASPASPPPPKTSQTWGFIFYLHGTAHLRNRAIVLFGRQDNNDINTCSNIGSWCPGTSSSPEKFNWYCVCIIWVIKILTPKEWSAYSVTQGGNGSEDPRGPMATESYQMNGIINDTHNGKHASVSKVVEFCMALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATSEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPNALTAKGIIFLGPPASSMHALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTSRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIADRVQAERPPWHISVVGGALYKTVTANTSTVSDYVGYLTKGQIPPKHISLVYTTVALNIDGRKYTIETVRSGHGSYRLRMNGSEVEANVQTLCDGGLLMQLGGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFGDSFPKMGLPIAASGQVHKRCAASLNACQMILAGYEHDIDEVVQELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKLKFDSGMINDFPAKILGGIIEANLACVSEKDKATNERLVEPLMSLLKSYDGGRESHAHFVVKSLFEQYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEKSKGPSMHKRELAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIEAYIARLYQPHLVKESIKMKLIESGVIALWQFPEGNFDTRNGTILGEKRWGAMVIVKSLESVSMAVKTALEETSHYNSSEGNMMHIALLGADNKINIVQDSGDDDHKVAKLPLILKDNVTDLHASGVKAVSCIVQRDEARMTMRHTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNELKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSASNKFLSGQIGDIEVGSDEPLSFTSTSILRSLITAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATACSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESPKLVYHSATLPAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVEKSWYSNTSVVSKGVENTQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVIANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEHYARISTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVPDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVSDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQPDSHEQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELIDLKAKLEAANRNGSTEIKSLQASIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEITAVAGEQFSHQPAIELIKKWYTASQPAEWDDDDAFVAWMDNPVNYRDYIQDLKAQRLSQSLSNLSDSSSDLQALPQGLSILLDKMDPSRRAQLAEEIRKVL >LPERR05G08240.1 pep chromosome:Lperr_V1.4:5:7979700:7980021:-1 gene:LPERR05G08240 transcript:LPERR05G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDDALQPKEKASHEVSSAPTIVEGSSGRQGEKRNWPRLLTARSQASGSETSIILVYYLIGYKLRLPSSKAANDEQNQVCENL >LPERR05G08250.1 pep chromosome:Lperr_V1.4:5:7983216:7990969:-1 gene:LPERR05G08250 transcript:LPERR05G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLLSSSAAALRRLSSAATVIRSAQLKKFDRVRRFATAAMSTSSGSKEAPANNPGLQPPAEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNIIGSVTKDGS >LPERR05G08260.1 pep chromosome:Lperr_V1.4:5:7994491:8003512:-1 gene:LPERR05G08260 transcript:LPERR05G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGFLADVNDPWLKPRLLRAVVGERLPKPGVDIPPAELASVLGAVRAHGLLTERLPVLHDPKLAEAWRAAVDAWVERVGELMESDLPYSRWLGTCFLGLTFQECSNERFAESYSNWFEKILSNLQEPSSMQLVIVVSCTSMTDLFVRLSRFFNFKKEASSFAGRVVEPALLLLNRNGPVADEAVDLLRTVIKLYPSSVNRHYNKVESAIATNLMSSEVSVKLKEKFARALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFIGLEEEKSGHGILMLLVPPGNDPPPMLGDQKKWSGGNVHVTKKFRVYTVPTISVLIHCCCVMLTSYYPVQVNVPVRALIALTRRVLSVDGSLHTKLFPSTTSLHQELICFELPSLHSTFLDLLSATIKGMRSQLLPHAANIVRLITKYFEIAKLPTMRTKVYIIVQQLLTSMGVGISMHLLEAIVSNSVADLDSSCGQDMTSLSTKPAIVTNESSSKSYSKKRKQEADAQNLHVSGSEKTAISPKKRRNSSMSTTPETTADVGTLTPLSVKLAALETLEILLNVGGSLRTDHWRAEVDLLLINIARSACGTGGRYEYKLSSAAGETSIADFQLASLKALLASFLSSSHARPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALSLESAVPSGLTYGASEKAIFGTEQYKFSLSGNKPQALEDDNTYDDWLTSSKVSEPTGSPAKDSPVGKGKAIEMSQGEKRSTPVEEHQQTDANKACEQNSIVPAAIQDVPTSSKSDIEMVDAATTETVNLKTGDNPSSTNAALTPPVRALLPDLNQQAAVVSDEGHTDLVDHLQNKTSAFDVPLSKTVTGGEAPPSGSSSHLMPSSKTDDSDVESEDSMPGIVDGDPDSD >LPERR05G08280.1 pep chromosome:Lperr_V1.4:5:8016008:8016721:1 gene:LPERR05G08280 transcript:LPERR05G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGWQHGAVRVNRSKLLRIAAAADAEAAAAKAKAPAAGALVRAPSKPTNASRGGKCRRGAAAAEKAERAKGAHKLRACDVALNHRLVSWRVVDSAGAWAAGTGIPDYKGASASAVLAYLAGGNSWHEEDDDDDAALEAAPTSAAGTGLSDLYDLIVGHHAAAARKESDTAARATDIELAADKDGIEEEPGQDAAAAVASTGEEEEEDDMGFCMVGITIALEFSDGEEDWIVVEEI >LPERR05G08290.1 pep chromosome:Lperr_V1.4:5:8021431:8022504:-1 gene:LPERR05G08290 transcript:LPERR05G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHRPVLPCLAVEHGTNSDGQSKSTAFFSAADAESNDNKKPVAAAAVLALPPELLESKPSAVFCPTPLGWILVRECGDAATGSGITYLLHPQAPEKKIQLPPLRGIDDGPLIRCNCLLSDQPTSPAGGCVILLVQQNDTVIWYHRISSGSDVWIRREYDIGTQGDDYFREKIPIVPIAAYQGKFYFNCTPTDMGVLHFSSSPDEDPVFSSITTDAVPSPWGKGGSARVFLLESNGELYMVHLTLTVPRNFQPLATGSSSYPAILVYRMDFSHRRWLLVKDLRDRAFFVASPNSFGASCLAAAAGVEPNCVYSICNKSFTIYNIKNGTSRVHSFAHPSESIRRMSWMLPTDPKA >LPERR05G08300.1 pep chromosome:Lperr_V1.4:5:8026167:8027241:-1 gene:LPERR05G08300 transcript:LPERR05G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQQAFVSNLQPFTTSPVAPAPRAGRPSWTGASCRPRRRSVSVRSMRNGSTESLHLQRAPKGRPQQQQGTPSPSPRRRAIQTTPFGGLWDSFPEARTLDQMMRTMERIMDADGNDDRLLVVPASAVTAASPAAAPRAVDNGAAAAAYRPRGRTPWEIREREKAYLVRYDMPGMTREDVRVSVQDRTLVVVAEKAGKEGEEEDGEGEGEAWPAASFGRYRTRVELPENVEVERIVAEVRDGVLYLTIPKVASGGKVVNIQVQ >LPERR05G08310.1 pep chromosome:Lperr_V1.4:5:8033265:8033757:-1 gene:LPERR05G08310 transcript:LPERR05G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSISRRAKSLLKYLLAPVVSPAPSPSLNPRPSNKPFHCLCPPPSPTPDSSAASLRRVREPQGRENVLCPAPGRTAGYSYVAVRHAAPQRPSVGNAEDEQHPSRRKELPEASFLISFGLGMVLERVQSTGLVLSAAYMT >LPERR05G08320.1 pep chromosome:Lperr_V1.4:5:8035747:8038437:1 gene:LPERR05G08320 transcript:LPERR05G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRGLTHADEQISTSPKHIRLQVQTPRLLLPRLWLAATHPICFARRQERSGGWRRAAARPEFSLNSRDSAIYPTAVQEAGAQCLLGWLLGDIQQLELEPNFATPPLPFTLLPYRVVGTESSQSSIPFNRGLKDQSRKQSKLATASFSIEVQAFRHLWCTHSTLVCASR >LPERR05G08320.2 pep chromosome:Lperr_V1.4:5:8035747:8038708:1 gene:LPERR05G08320 transcript:LPERR05G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKCNMAYKITY >LPERR05G08320.3 pep chromosome:Lperr_V1.4:5:8035747:8038915:1 gene:LPERR05G08320 transcript:LPERR05G08320.3 gene_biotype:protein_coding transcript_biotype:protein_coding LQRGLTHADEQISTSPKHIRLQVQTPRLLLPRLWLAATHPICFARRQERSGGWRRAAARPEFSLNSRDSAIYPTAVQEAGAQDQSRKQSKLATASFSIEVQAFSNDLFPNYHVNFYVASPGCITEAKGELRTGSEELFSTSACSSRSRALLGARLAR >LPERR05G08320.4 pep chromosome:Lperr_V1.4:5:8035747:8038437:1 gene:LPERR05G08320 transcript:LPERR05G08320.4 gene_biotype:protein_coding transcript_biotype:protein_coding LQRGLTHADEQISTSPKHIRLQVQTPRLLLPRLWLAATHPICFARRQERSGGWRRAAARPEFSLNSRDSAIYPTAVQEAGAQCLLGWLLGDIQQLELEPNFATPPLPFTLLPYRWTVAVLGLLLGWIDQSRKQSKLATASFSIEVQAFRHLWCTHSTLVCASR >LPERR05G08320.5 pep chromosome:Lperr_V1.4:5:8035747:8038437:1 gene:LPERR05G08320 transcript:LPERR05G08320.5 gene_biotype:protein_coding transcript_biotype:protein_coding LQRGLTHADEQISTSPKHIRLQVQTPRLLLPRLWLAATHPICFARRQERSGGWRRAAARPEFSLNSRDSAIYPTAVQEAGAQDQSRKQSKLATASFSIEVQAFRHLWCTHSTLVCASR >LPERR05G08340.1 pep chromosome:Lperr_V1.4:5:8046200:8048284:1 gene:LPERR05G08340 transcript:LPERR05G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITAPFPVHLLAVTSLLLAVLYTVRQLLLVVVSRGKQNSPATIHRRLPPSPWRLPIIGNLHQVITAGRLPHRALRKLAAVHGPDVMLLRLGSVPTLVVSSREAAREVLQEQDHAFATRPSLAIPTRLLYGCTDIAFAPHGAYWRAARKTAVRHLLGPARVRSYRAVREQEAAELVRRVEEDATSRGGVVVELTDVLSAFAKDVAGRIILGVRASGGSGWQAKVDSLLHEANALLGVFHVGDYFPWLAWVAALDGTDAKARRAFKEIDRILEEIIVAAESDDDDGDEVAWAGRQDDAFVHVLLALQDDLTKTDARLNRDNVKALLEDLFGAGTDAIIIVLEWAMAELLCNNKAMRKLQHELRCSTKTNNLITEQDLPSMVYLRAVIKETIRLHPSGPLLIPRECMQHTNVHSYDVPRGTRVVINAWAIGRDPTVWDCADEFWPERFIDNKVDFRGQHFELIPFGAGRRMCPGIGFTMPLVELALANLVLRFNWEVPTLVGGAPKVLNMEEASGFAARKKVPLRAVAALPL >LPERR05G08350.1 pep chromosome:Lperr_V1.4:5:8049055:8052932:-1 gene:LPERR05G08350 transcript:LPERR05G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSKCHLAWPGKHAGAGAGASSSVAASTSAAASLPPQTSSCYFLPSAGAASCPHRHGHRPSLLHKSTKDFKGLGIFYYLIQQQVDEHKVPFGTVLAPHRRPSPFLFGITQPATKIDLLWNELEFDMTGVMFPHHLRFLDLSHNRITGKVAKSLMDVRLDQHFNVSDNELCGGIPAGRFMASHGGGLLCSQQLISQQ >LPERR05G08360.1 pep chromosome:Lperr_V1.4:5:8052638:8061316:1 gene:LPERR05G08360 transcript:LPERR05G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPVRTGSGTGAGEEITRRRLWRKRGGGAGGCRDAGGGAGAGAGVLADAARGRCDVGERSARAWGDLIHKWSNFPRDSVARPPRPALALSDRPRSSPRPPYRRPPVRMAPARIQEEKRISKNYSKTTCRKKVSKKRSAQQGVNGRFVVPQLCKFPKFIRLFSLQTMLILRQRKSKWEQQEDEVELLSKDEGCSNTFFWRDPDTMFCVICGDKGNHLELMCPYNYLSPSAYVPCRARLALWGNYTTTPHHKCSRHKEEEQSERTPVDDEANTRRLGFLRSLVRVSNLPESCSPEQLVNLFRRFGSLRMWYVAARGSGACKGYGCIVFRQHQHAEEAVEALSCWDFGDRKLRVDWAYPSLNC >LPERR05G08370.1 pep chromosome:Lperr_V1.4:5:8072310:8072756:-1 gene:LPERR05G08370 transcript:LPERR05G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPKSPEQNICYICGDDEHMEHSCPYNYIFGRYFIDTCRGECPLGEHRITSGDHRKFLRRFVRVSNLPLGFRLWDLEDLFIPFGPLLMWDVPKLHSAVCGCPTEIRMSFGVVVFKRRKDGERAINELNGHVTGGRKLRVDWAYPSFV >LPERR05G08380.1 pep chromosome:Lperr_V1.4:5:8088708:8093885:-1 gene:LPERR05G08380 transcript:LPERR05G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLDPQQDISWDIIKRYSAFEDRSDKQQLEVIGQEIATKCGGVPLAARALGYTLRPKTADRWLALNNSKIWDECSSQEISSVLPSLKLSYDNMQSYLQTCFAYCAIFPKGSNIEKDDLIHQWITLGYVEPHGIFSVTQNAEDYTSQKDDKVVTLTMHDIAHDIARSVIDDELIVLDGWNDSRVFLQVIKLNYLSLDGSPEMSKLPESIARLEALTCLKLSGCSQLLELPESFGKLKYMEHLNLSACSQLSFGYLESLTRLNLSACSVVLELPESFRKLKNLEHLDLSNFTNVKDVFENFGGLYSRYGTQLYGRRRLPEALGNLSKLQYLDLSLEISNLQMGKEASQVRLGWVMRAKSGLNSNFLGSLTELKYLNLSEHLDLSGNLYLSNLTECVGNLKKLRTLDLSNCFHLKNLPESLSEINCLKSLNVTGCRELESVISRSQIHQNLVLLPNFVVQAGDRELGSNIFLLQDVNPVELQISCLENVQSAGEVKRIKLLQKTSISKLTLDWTRHAKRFTNDMNILGELMPPINFRQFELRGYSYVHLPGWLTCISSYLPNLVQIVMDEIPSCGNLPPLGQLQNLKELTLRRMPRISIIDGDLCGGGRAFPQLIKFTLDSMESLEEWRTSYEDHGHKFTRIGHIALPQVKVEAMGTYLQWKISNSDNVVRSCGEGQYSSASSSSSTTTLDVEHCKVPLDHWRLLCHLPALHELRIYECDNLTCSSPEIIQSLSSLKLMTVESQGMEELPAGLCELKSLPTLILWKCLKLKSLPQSMKHLTSLQPLWLVSCASMTLLPECLGNLTSLLELNINNCPHLKFLPESIQVLPMLEVVKISDCPELKRWCEIGENKMKLAHIRKKPFALVI >LPERR05G08380.2 pep chromosome:Lperr_V1.4:5:8088708:8091956:-1 gene:LPERR05G08380 transcript:LPERR05G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKKFDWSYETSHYCTDAPYHLSGMEDILISLRELRCIKCISTLSNLEHLDLSGNLYLSNLTECVGNLKKLRTLDLSNCFHLKNLPESLSEINCLKSLNVTGCRELESVISRSQIHQNLVLLPNFVVQAGDRELGSNIFLLQDVNPVELQISCLENVQSAGEVKRIKLLQKTSISKLTLDWTRHAKRFTNDMNILGELMPPINFRQFELRGYSYVHLPGWLTCISSYLPNLVQIVMDEIPSCGNLPPLGQLQNLKELTLRRMPRISIIDGDLCGGGRAFPQLIKFTLDSMESLEEWRTSYEDHGHKFTRIGHIALPQVKVEAMGTYLQWKISNSDNVVRSCGEGQYSSASSSSSTTTLDVEHCKVPLDHWRLLCHLPALHELRIYECDNLTCSSPEIIQSLSSLKLMTVESQGMEELPAGLCELKSLPTLILWKCLKLKSLPQSMKHLTSLQPLWLVSCASMTLLPECLGNLTSLLELNINNCPHLKFLPESIQVLPMLEVVKISDCPELKRWCEIGENKMKLAHIRKKPFALVI >LPERR05G08380.3 pep chromosome:Lperr_V1.4:5:8091965:8093072:-1 gene:LPERR05G08380 transcript:LPERR05G08380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKKIRGQNTYRYVFLANYDEPKELSTILHGRIRALHLEDCSKTELNDDTFSSAKRLCLGFKLLLHEEVTRFYQSTEPVKISSYPMCSGWNDSRVFLQVIKLNYLSLDGSPEMSKLPESIARLEALTCLKLSGCSQLLELPESFGKLKYMEHLNLSACSQLSFGYLESLTRLNLSACSVVLELPESFRKLKNLEHLDLSNFTNVKDVFENFGGLYSRYGTQLYGRRRLPEALGNLSKLQYLDLSLEISNLQMGKEASQVRLGWVMRAKSGLNSNFLGSLTELKYLNLSGCPDMVVLPESFGNLENLVDLNLSGC >LPERR05G08390.1 pep chromosome:Lperr_V1.4:5:8093994:8095462:-1 gene:LPERR05G08390 transcript:LPERR05G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEVLASIVLREVARKLGSAAGDQITAQWNFTKELDGMRMTLESVRALLRDAERRSAREEAVRLWLKRLKNAAYDISDMLDDFQTNASDAGKKKGVFHSFATTTSRFPMANKMKKMKKELAKITEEHKNFSFVPIPNTASTEQDPVDPRPQLPEWTDETAIIGRSQDKRNIMDALLKRGSNDGIMILPIYGIGGVGKTTLAHMIYDDWHSTKYDCYAWVHVSQTFDLNKIGNTIIAHIAHPEHPEKKSSVLDNNELMRKRLGNLFDGKKILIVLDDIWESNEFNMDILKFMLNVGKNGSEVDVIVTTRTEQIARKVCTAEPYKLEPLQHGTKIR >LPERR05G08400.1 pep chromosome:Lperr_V1.4:5:8100648:8105211:1 gene:LPERR05G08400 transcript:LPERR05G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGYAVESIRSVQIRSVLGQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >LPERR05G08410.1 pep chromosome:Lperr_V1.4:5:8110305:8114545:1 gene:LPERR05G08410 transcript:LPERR05G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQSQSQSKKSHCPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDDDDDHLASPHAAAANRAAVARRLLHDCDPNPNRPNPNPNPNPNRLIRAGYGGWLLYTAAAAGDAQFVRELLRRQPLLVFGEGEYGVTDVLYAAARGGSSDVFRLLLNAVLSPAAGDEEGGGGGGASVGGFMFRREMMNRAMHAAARGGNLEVLRELLQGCSDATAFRDAQGSTILHAAAARGQIEVVKDIVASFDIVNSIDEQGNTALHIAAFRGHLPVVKALITASPSLMSATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGAIMNLSSIINMQNEDGRTVLHLAVIGNLHSNLVELLMTAPSIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIMSSMDRETRSAIASQLKMHSIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQTAAKHLKILLRWPLRKEKKSSGASKELDEDASSVDSVKSWSHGETPTPLRQRYSRMSSLFNNKRTFAAKIRSPSPSMKKSFADGLAHGVMQPESPSASGSWSSSSLLDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRPSRMFRSSLLSAA >LPERR05G08410.2 pep chromosome:Lperr_V1.4:5:8110305:8114545:1 gene:LPERR05G08410 transcript:LPERR05G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQSQSQSKKSHCPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDDDDDHLASPHAAAANRAAVARRLLHDCDPNPNRPNPNPNPNPNRLIRAGYGGWLLYTAAAAGDAQFVRELLRRQPLLVFGEGEYGVTDVLYAAARGGSSDVFRLLLNAVLSPAAGDEEGGGGGGASVGGFMFRREMMNRAMHAAARGGNLEVLRELLQGCSDATAFRDAQGSTILHAAAARGQIEVVKDIVASFDIVNSIDEQGNTALHIAAFRGHLPVVKALITASPSLMSATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGAIMNLSSIINMQNEDGRTVLHLAVIGNLHSNLVELLMTAPSIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIMSSMDRETRSAIASQLKMHSIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQTAAKHLKILLRWPLRKEKKSSGASKELDEDASSVDSVKSWSHGETPTPLRQRYSRMSSLFNNKRTFAAKIRSPSPSMKKSFADGLAHGVMQPESPSASGSWSSSSLLDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRPSRMFRSSLLSAA >LPERR05G08420.1 pep chromosome:Lperr_V1.4:5:8118989:8121803:-1 gene:LPERR05G08420 transcript:LPERR05G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHEIAFVVNLIGDGLDGWTPVGPRTVLSAHVDDSAMCGRYVVAAGRDNEEDGLRHAVPGGALVPRVTYRVVGWVGVAVQGQGGGVQGQSHTVRVGLRVDDGGEGDERCRWLDCGVVCAEVGGGWAEINGAFRLGARPRVAAVHVHGAAAGVDVMVRDLRVFATNRKARFRLLKEEIDKVRKRDVVLKFVGMSGASIRVVQLDNHFPFGSCINKTAIQNPKFVDFFCNNFDWAVFENELKWYTTEPQRGQINYRDADELLDFCRRHGKAARGHCIFWAVDGDVQKWVKDLGRDDLASAVQGRLHGLLSRYAGRFRHYDVNNEMLHGRFYRDRLGDGIAPLMFREAARLDPGAQLFVNDYNVLRGNDPNATPEKYVELVDALRRGGAAVGGIGVQGHMDSPVVGQVIRAALDKLAAAGGAPIWITELDVSEPDVRLRADDLEVVLREVYAHKAVEGVMLWGFMQGQMWRNDAYLVDADGTVNEAGQRFLQLQREWRTDTRGTVDADGHFKFRGFHGTYIAQVTSVTGKMLKTFSVDKGDNSLVLEMDI >LPERR05G08430.1 pep chromosome:Lperr_V1.4:5:8128896:8132020:-1 gene:LPERR05G08430 transcript:LPERR05G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPAAAMVAFPPMAAFPATATAYRWGDGICGRRFPPPAAAFPAVVPHQINSFEFSVDK >LPERR05G08440.1 pep chromosome:Lperr_V1.4:5:8134301:8134510:1 gene:LPERR05G08440 transcript:LPERR05G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSVGCRPTSLQAGCHPDTLPAGHSPPTSLPVAVIQPPVSCAVRCLHPPRLTEQRRPPPHSHDPDDR >LPERR05G08450.1 pep chromosome:Lperr_V1.4:5:8152184:8152949:1 gene:LPERR05G08450 transcript:LPERR05G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKPRTGRKKIEIKRIDDAAARDVCFSKRRQGLFNKAGELSLLCDANIAIVVFSRTRKGFSFGHPSVDDVANRLASMTMGIADNPSVGGGSHDSGEMDRRHWRRRRVENETLEKEMGGHLIQTVNSEANLLGLDEVEELHYKLSVVHADISARRYQKLQDPKEARLTLPQPQPHMEMTRPSQFLHEEQTVVPTNAHLPGSNYGLIVEIDASCMLSSVQGGGGSRGSQNRQFGG >LPERR05G08460.1 pep chromosome:Lperr_V1.4:5:8156234:8161140:-1 gene:LPERR05G08460 transcript:LPERR05G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHHGRRRPSPSSVSARTAAAVYGRAGGGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAAGPTPGADAAAGSAGPSETPGGDEGDVIDADFTDSQ >LPERR05G08470.1 pep chromosome:Lperr_V1.4:5:8176610:8177596:-1 gene:LPERR05G08470 transcript:LPERR05G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVNTAQRQLAADLASSSHRQRGSHTTVSSSSRRRARRAAAATRAEEIPPAAAQAKASRDPLYTERDARIRIEQLCDERRAGRAPDCTSSSGGVKARQEKIESKPPPDHHRALRACRPGGPQGSGSGLDP >LPERR05G08480.1 pep chromosome:Lperr_V1.4:5:8177662:8178037:-1 gene:LPERR05G08480 transcript:LPERR05G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGYILRTASGSLTTPERDLAEYEIEEINADEYNPNFRHRRSSWNGTTSTAPVEVAAVGRGGLTSHEAANMAAGSGPRPNRHAGEPRRGDVHRRLEFGTPEGALQAA >LPERR05G08490.1 pep chromosome:Lperr_V1.4:5:8219677:8225241:1 gene:LPERR05G08490 transcript:LPERR05G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTQVAAAAAAAAAASSSSRLLLRVVEFDTMANSRAGGFKMSNLSEASKEAGSAGDSSVVQKAGAWSSTLSILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIKHDGLNLKTMWKFLPASVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSLIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLYPLEMLLMGELNQMKVDSSNATNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPNVEPKEESDEEQQKLMEMQQGHESNSTQKQASS >LPERR05G08490.2 pep chromosome:Lperr_V1.4:5:8219677:8224732:1 gene:LPERR05G08490 transcript:LPERR05G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTQVAAAAAAAAAASSSSRLLLREASKEAGSAGDSSVVQKAGAWSSTLSILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIKHDGLNLKTMWKFLPASVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSLIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLYPLEMLLMGELNQMKVDSSNATNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPNVEPKEESDEEQQKLMEMQQGHESNSTQKQASS >LPERR05G08500.1 pep chromosome:Lperr_V1.4:5:8225626:8227538:1 gene:LPERR05G08500 transcript:LPERR05G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKKEWHYLKFLDGDRDVTLVIPWLSLKDQELVYPNKIVFELPFEHDAYVRHWIEDSISFRPSFSIKFYPAKFFTELRSILPVGDITECIPDELADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKRKEWASDCLFHEVHEHLGYPDLLPQGNVNAIVRLSGATQDMPRSVVCNIHGVNPKFLEVVKLKLNQVQNGEIAFTKGAYYYIGKMVWSKGYRELLDLLSKYQSKLAGLEVDLYVLVRILMKSENLQNF >LPERR05G08510.1 pep chromosome:Lperr_V1.4:5:8232105:8236053:1 gene:LPERR05G08510 transcript:LPERR05G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGPPSVLQKIHGQSMLFSSISPYSSMRNPALYNANTSYSVPLRSYSGMNGNTGLSSVTSVSPVFASAPKEKGLSGFFIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFGRTVRDEGFIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYSKTLKSDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGSKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >LPERR05G08520.1 pep chromosome:Lperr_V1.4:5:8238103:8238873:-1 gene:LPERR05G08520 transcript:LPERR05G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGILDSLAAEERWLYPPFLAMYAAIYCAGHLALLSRRWARPLRLDGASCLISLAHGTPAALAAAGAILAMHPRARGFAAPNSRLQEHVLDYSVAYFTMDLLHYLAFLPGDALFIAHHLATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNAWTLAGIWRAEKPAAARVYRALSPPFYFLYTLVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERNQTVAKKST >LPERR05G08530.1 pep chromosome:Lperr_V1.4:5:8263393:8269006:1 gene:LPERR05G08530 transcript:LPERR05G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPREPHEEGAPRRAGEKRRVMRGRTPSPEPPQDLAASGACDNNSAGATTTNGKWHPDESYRPEIDDAPVFTPTEEEFKDPIEYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECIEFNTRVQQVDKLQNREPIKKKPQPQKKRKRRKRLRFGMSHRRPSSDCADAEEKFGFQSGSDFTLEEFKNYADEFKQQYFGMKGSDEIPLSEIKKKKKIWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKPSSDSNKQDPYGLSCWNLNNIPRIPGSVLSFETEDISGVVVPWLYIGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTANEAVRQIWMNLCDNKSEHGVYKWQDTCRKNGTLISAVKTRVKMEEAAQRANASLQYKKMDRDYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLKHTNLLCSCEMDKKIALFRYSIEELDTLVAALEGDQTAVYQLGQNDLGLVCPSGTMQYKIDLGESTKYQESATDVNFESLATASNQTRSKSECSCSLSLNHASELPSSRIQIGNSTLTSTTAQNLFSVDTKCNLAKSSSGQISQLVKTNSSQPNEGLKYPVELLDNGKIMVGKKWCNQQAIFPKGFRSRVAFHSVLDPTRTCCYISEVLDAGLEGPLFRVTVEGLPEVSFTHTSPTQCWDSVRDRVNEEIQKQQSVGKSGLPDLLSYNSVNGLEMFGFLYSPIIKEIEALDPHHQCLEYWLSRLSSVGTEFPSESVVAAMVNDSTEPPMNLLGFEISRKESEQFSSFNNSCAEELILGRLLKKAKLPEGAENNDAYFR >LPERR05G08540.1 pep chromosome:Lperr_V1.4:5:8269783:8277436:1 gene:LPERR05G08540 transcript:LPERR05G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATIRGTRGHLMPRASSSIPPASDTERRWRCTGGAAAMATTPVHGEGELMQRTAPAGSRRRRAARCGAQASCPGARRADARRLCLHLGSPPRRSAGSRRASLDLARPTEAGAGSVRDVGGGSSCTAALMLCTPRTETTEPAKTRARGRADATRGEFRRSRTLNRICIGTSVSGVHLSMTGVSYRSLLSAQQIDKVEEPWKTSSGASLEDLEKPGVDDEPQQVALYEDAYQITEIFFELVDHRNLVCFMDTSVFDKHGNLECLRALTAFRYSHWQSYFQMGGIDEAALDCLSLVTEMTKHIRVRANGGKSTAS >LPERR05G08550.1 pep chromosome:Lperr_V1.4:5:8278161:8278844:-1 gene:LPERR05G08550 transcript:LPERR05G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMDETLLGLCGVLLSGCISSSLWVGDVEAKEVSAVAHTADCILSEPLAIGLVGRLCELFQHR >LPERR05G08560.1 pep chromosome:Lperr_V1.4:5:8279230:8285782:1 gene:LPERR05G08560 transcript:LPERR05G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQYCAQASLLTSCKFGRLSNFHAHVGPLCQRPAEPGLGWAVASPAKMVAATMIGGAAAGEPNRENFCKGLVIFEVQARRSEVAGSSAAIAGRGGRWQPVKSSGLGTKLRRGFDRSSTYGAPGGLPSHRQAPARLLWLRRRRRGPAAAQGWRRHVGSPIGSISCSGKQHGS >LPERR05G08570.1 pep chromosome:Lperr_V1.4:5:8289904:8295280:1 gene:LPERR05G08570 transcript:LPERR05G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWMAAGRGINQLLRRTLHNQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >LPERR05G08580.1 pep chromosome:Lperr_V1.4:5:8302377:8306785:-1 gene:LPERR05G08580 transcript:LPERR05G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQDCVAPPCSLAFVDGFLLAAFRIDKNQPIFGSAIYFRAPRMSDLRGEKEAELVVCANDAGVVYDINTSEKIMCIQDCVAPPSGLAFVDVFLLSSSRMDKNQPIFGSAIYFWAPSKVCFL >LPERR05G08590.1 pep chromosome:Lperr_V1.4:5:8333020:8337313:-1 gene:LPERR05G08590 transcript:LPERR05G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDGSLSPTRPFDKCLDESVNAEAILTALNGVASSSKNDSKDDDWASSDDDADAMEEDDDPEAEKGRLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKSSQAKAVGKKAADSDNTPPPQT >LPERR05G08600.1 pep chromosome:Lperr_V1.4:5:8340120:8344633:-1 gene:LPERR05G08600 transcript:LPERR05G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPLLRRVAAAILLLLVSLASAPAARADLVITRADRKVDLTSHIVRVLTSLKVENSGPEPVSQFLLAFPNVQAKNLAAIRAFGTEGKVKGASTLLPIEVVQPSGVPPELTFFSASLSKALEERKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNAKLSDSELKYGPYEDLPPFSYSPMVVHFENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYIRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFSSDGKRFLNITFGSPMEEILIEKLIVKVVLPEGSKDIEVSVPFPTKQGQEVKYSHLDIAGRPVVVLEKPDVIPEHNLYFQVHYRFNNISLFREPMMLITGFFLLFMACIVYMHTDMSISKNSPSYLAKAQWDEIQSIIQQIQAVFDQSLAVHDKLEATLRDLSRSGDVQSCKAARKAADAQFKELAKELKPLLTSLQSSPQSYQIWPKVEELVTKERDLQDKLMTRHSTVVDSFEKKLRGQDVENRIAVQQQKVAALRQEVESLLEYISEI >LPERR05G08610.1 pep chromosome:Lperr_V1.4:5:8357018:8362886:1 gene:LPERR05G08610 transcript:LPERR05G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRSSPHSSGNGVLGDVTNLSVGEIRRNRERERYAALSDEQKKARLAKNRQYKQQHKHQPTTSLPVAAPTPGIEHSTSSAVCTPASVITATVSTLDIINNCMGTSSTDELVSATVSTPCNTPATPPTTDTG >LPERR05G08610.2 pep chromosome:Lperr_V1.4:5:8357018:8362886:1 gene:LPERR05G08610 transcript:LPERR05G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRSSPHSSGNGVLGDVTNLSVGEIRRNRERERYAALSDEQKKARLAKNRQYKQQHKHQPTTSLPGTLDNITNLSAIELRRKHGRERYASLTVEQKENWLQKMRERYQRNKSSVSSSENINHPPPITPRRLPFTDNTGCQPTRPVVTPRRLPFTSDVFDSFSCEPTGIIDPTTQDNIMGIAVSSEYTGIETMQPAVTPRRLPFILFFCGERVRFH >LPERR05G08610.3 pep chromosome:Lperr_V1.4:5:8357320:8362886:1 gene:LPERR05G08610 transcript:LPERR05G08610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNTAVIFKFSVPIGNGVLGDVTNLSVGEIRRNRERERYAALSDEQKKARLAKNRQYKQQHKHQPTTSLPGTLDNITNLSAIELRRKHGRERYASLTVEQKENWLQKMRERYQRNKSSVSSSENINHPPPITPRRLPFTDNTGCQPTRPVVTPRRLPFTSDVFDSFSCEPTGIIDPTTQDNIMGIAVSSEYTGIETMQPAVTPRRLPFILFFCGERVRFH >LPERR05G08620.1 pep chromosome:Lperr_V1.4:5:8364194:8372492:1 gene:LPERR05G08620 transcript:LPERR05G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELFAFTKADKSRTVEAFPIPRQFQLMQFISPGGAQTARPGFCQLQYWPSSSHHQPPHLSCLYQPPVASPFQNVSDSSVHCLPEHHQKELPHELGGKRHWYPPHCDHQLSCDAE >LPERR05G08630.1 pep chromosome:Lperr_V1.4:5:8383216:8387612:-1 gene:LPERR05G08630 transcript:LPERR05G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKEAVLVVSADDAGVVYDINTSEKIMCIQDCVAPPSGLAFVEGFLLAASRTDKNQPIFGSAIYFWAPSKIKEVQKSFVAEAIGPIACSKDGVYLVGGASSGHIYIWEAGI >LPERR05G08640.1 pep chromosome:Lperr_V1.4:5:8402703:8402990:1 gene:LPERR05G08640 transcript:LPERR05G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTRAGGVGADGTLVTRQDLRQVQEEIEELRGMREEISEIRQMIGELVHGPPRETPQVQGFQQRPTSAPPSAAVGMRAGNFHQTFPDPHKSQP >LPERR05G08650.1 pep chromosome:Lperr_V1.4:5:8404767:8409682:1 gene:LPERR05G08650 transcript:LPERR05G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSFFMLVCWRIWKERNARTFQQMCKTAEALVAEIKEEAALWSSADRDRQGVKAAHAKPEGRRRGWKAAAAVTGEVGSGDGGRGSGAEKAPSREGWGGREGGGRCVYSIGRLRGDDGCV >LPERR05G08660.1 pep chromosome:Lperr_V1.4:5:8414179:8420818:-1 gene:LPERR05G08660 transcript:LPERR05G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPEVICAGEVVMVPAQEAGAKEVAAVVAKKQGKKAAAKRKSGESKGVPVGGSGISDPEKDNRKTCHQCRQRTINFSGSCHNMKKGKMCTILYCRKCLINRYGAEEHKASMDDTWTCPKCRDICNCSLCMKKKGLQPTGILAHAAKASGCASVHHLLKMGDDAVAAAQSTQKARSAPAKKKQKKELVLGAAADPLVEGDENVCIDFNAFSAPIKKQKRTRKVYNGAPLVKDESPDAPQEEVVLPKGIPVTNVAGAEWDSDDVGSALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSIIADFHITLLSIIQEDRGIKPVTYSRETDTWIVDVGVCLSESGLAFDSLRQGVSGYKNLSPSCKLRILNFLCDESLSTEKLRNYILPPETKKPSREKVQSAKEKEDPNEETIKSNTDELMLLQTEGAAVSQHKDAKEVKNADKNEKKHGGFVRTNPVVVNKAEIYWKLDDHCNNTTMMLQEVDADDLLGNKDKWFMLNEDEEKIVENYAQTQGEKACIILIILSRFLTWVKVGGLRRAIFATENLCTYRRTKNCWRIIHRS >LPERR05G08660.2 pep chromosome:Lperr_V1.4:5:8414179:8420818:-1 gene:LPERR05G08660 transcript:LPERR05G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPEVICAGEVVMVPAQEAGAKEVAAVVAKKQGKKAAAKRKSGESKGVPVGGSGISDPEKDNRKTCHQCRQRTINFSGSCHNMKKGKMCTILYCRKCLINRYGAEEHKASMDDTWTCPKCRDICNCSLCMKKKGLQPTGILAHAAKASGCASVHHLLKMGDDAVAAAQSTQKARSAPAKKKQKKELVLGAAADPLVEGDENVCIDFNAFSAPIKKQKRTRKVYNGAPLVKDESPDAPQEEVVLPKGIPVTNVAGAEWDSDDVGSALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSIIADFHITLLSIIQEDRGIKPVTYSRETDTWIVDVGVCLSESGLAFDSLRQGVSGYKNLSPSCKLRILNFLCDESLSTEKLRNYILPPETKKPSREKVQSAKEKEDPNEETIKSNTDELMLLQTEGAAVSQHKDAKEVKNADKNEKKHGGFVRTNPVVVNKAEIYWKLDDHCNNTTMMLQGLLQIVMQQTFLPLISILQSKIIDLYIMSVSEVDADDLLGNKDKWFMLNEDEEKIVENYAQTQGEKACIILIILSRFLTWVKVGGLRRAIFATENLCTYRRTKNCWRIIHRS >LPERR05G08670.1 pep chromosome:Lperr_V1.4:5:8431531:8435121:1 gene:LPERR05G08670 transcript:LPERR05G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTTSTSPPTAQMPEPPPPQSTPPTPPAAATTTTPQFLTKTCEGILIEASKKRKLAEAAATDANAAVAAVAAEPLSPVLFVNRCNVCRKRVGLTGFRCRCEKLFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >LPERR05G08680.1 pep chromosome:Lperr_V1.4:5:8434833:8439091:-1 gene:LPERR05G08680 transcript:LPERR05G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAGGGGWRRSRKTLVALGLGQFVSLLVTATGFASSELSRRGINVPTSQSLLNYLLLGVVYGSILVYRWKPLQMKWYYYLVLGLVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTKYRFRKYSGVGICVAGLVLVVFSDVHAGDRAGGTSPVKGDALVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQMYHVLSFYRILPFFFFIRSIFERNEIESLQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLISDMWAILIRVFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNNEDKRGQAAEATDVEGKLPDGECAAVDSCQGAASSGIRQLDDGKTLPTVKT >LPERR05G08690.1 pep chromosome:Lperr_V1.4:5:8443851:8447599:1 gene:LPERR05G08690 transcript:LPERR05G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRTCDSTARGLNVLSGDGRGGAPATSPLVVCYFIMERRKSTSTPLVIHIDTVKLTTIAHLTYKLGDSVASKYTWSTWVTYKEEPIRSRSISKPRALAIAFFSGLAVTSRLLSHG >LPERR05G08700.1 pep chromosome:Lperr_V1.4:5:8455015:8462477:1 gene:LPERR05G08700 transcript:LPERR05G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLLFRGFTSTLQAYESELSTEIARNFQVDKILDLVFSVYIPKYQLDRLQSLFTFFKQCFTSPADAELISALVKLELSVLRYYVVNALKSGRQDKVVEFFGASGNYLLQKREEWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLERKEDEISQLRRNGTGDDLGDTNAPNTSASDSSLEGQDMPRVCEESSASGSIAQGIDSQSSSSKKYSTRDEKLHKSSQISNAENDQILVAEDDFPEVKVNFQETFLGHNSSISCCRFSASGSNIASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSREFPRL >LPERR05G08700.2 pep chromosome:Lperr_V1.4:5:8455015:8461938:1 gene:LPERR05G08700 transcript:LPERR05G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLLFRGFTSTLQAYESELSTEIARNFQVDKILDLVFSVYIPKYQLDRLQSLFTFFKQCFTSPADAELISALVKLELSVLRYYVVNALKSGRQDKVVEFFGASGNYLLQKREEWQAWFAMPYITSPSLDPQFRVYFSKEWSDTLILSFRNFLSGTFNGLRIPALLKISTEKNTIKCLKNDIKQLNNKLSELQALLERKEDEISQLRRNGTGDDLGDTNAPNTSASDSSLEGQDMPRVCEESSASGSIAQGIDSQSSSSKKYSTRDEKLHKSSQISNAENDQILVAEDDFPEVKVNFQETFLGHNSSISCCRFSASGSNIASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSREFPSVLDLKCSPIEPVFVSAAASRRSGSTLFDRTGFASLTVWNMKTWKPVTILPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDTPVSSVLFGPAETSIFSLGSDGKILQSR >LPERR05G08700.3 pep chromosome:Lperr_V1.4:5:8455015:8461938:1 gene:LPERR05G08700 transcript:LPERR05G08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLLFRGFTSTLQAYESELSTEIARNFQVDKILDLVFSVYIPKYQLDRLQSLFTFFKQCFTSPADAELISALVKLELSVLRYYVVNALKSGRQDKVVEFFGASGNYLLQKREEWQAWFAMPYITSPSLDPQFRVYFSKEWSDTLILSFRNFLSGTFNGLRIPALLKISTEKNTIKCLKNDIKQLNNKLSELQALLERKEDEISQLRRNGTGDDLGDTNAPNTSASDSSLEGQDMPRVCEESSASGSIAQGIDSQSSSSKKYSTRDEKLHKSSQISNAENDQILVAEDDFPEVKVNFQETFLGHNSSISCCRFSASGSNIASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSREFPRL >LPERR05G08700.4 pep chromosome:Lperr_V1.4:5:8455015:8461938:1 gene:LPERR05G08700 transcript:LPERR05G08700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLLFRGFTSTLQAYESELSTEIARNFQVDKILDLVFSVYIPKYQLDRLQSLFTFFKQCFTSPADAELISALVKLELSVLRYYVVNALKSGRQDKVVEFFGASGNYLLQKREEWQAWFAMPYITSPSLDPQFRVYFSKEWSDTLILSFRNFLSGTFNGLRIPALLKISTEKNTIKCLKNDIKQLNNKLSELQALLERKEDEISQLRRNGTGDDLGDTNAPNTSASDSSLEGQDMPRVCEESSASGSIAQGIDSQSSSSKKYSTRDEKLHKSSQISNAENDQILVAEDDFPEVKVNFQVRIIYRY >LPERR05G08700.5 pep chromosome:Lperr_V1.4:5:8455546:8461938:1 gene:LPERR05G08700 transcript:LPERR05G08700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLLFRGFTSTLQAYESELSTEIARNFQVDKILDLVFSVYIPKYQLDRLQSLFTFFKQCFTSPADAELISALVKLELSVLRYYVVNALKSGRQDKVVEFFGASGNYLLQKREEWQAWFGAYS >LPERR05G08710.1 pep chromosome:Lperr_V1.4:5:8469094:8477099:1 gene:LPERR05G08710 transcript:LPERR05G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPATSVAAATFSPSPQSLFQHQTPRGHRTSTSVVAFARPRRFRGVNPSTSSDDRPSRVARQQQQQSTSTARDDGFGALEAELWRLQRTAELRLHRLAAEADEAYRDLRSAARDVGGDRVVLTLRRSSLRFAAAALLWSLALSAATWVALGWAFRAWSRRGLGLGGGDGAPVVRRRDRSLGGKEVVVAVSTPSPVAMAPASRVAKPAKVRRREPKARVPEWWPELGEEAVDQGPGMERYYIPSFTIFMKTCCFVSQTFQSFLVPAIIDSRITGRDYRYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDNCSRAVQENGATQINGENPRIFLAGLASNIGLDKFRATTLVCASVAARTRALFLQCWALEMQGKRSEALDELSKIYRIHNMFPPEENSAEMEMVAGGLKKNLQVEERVHLLSLYRGICTTGNIRTAAEALGLSLPDQ >LPERR05G08710.2 pep chromosome:Lperr_V1.4:5:8469094:8477099:1 gene:LPERR05G08710 transcript:LPERR05G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPATSVAAATFSPSPQSLFQHQTPRGHRTSTSVVAFARPRRFRGVNPSTSSDDRPSRVARQQQQQSTSTARDDGFGALEAELWRLQRTAELRLHRLAAEADEAYRDLRSAARDVGGDRVVLTLRRSSLRFAAAALLWSLALSAATWVALGWAFRAWSRRGLGLGGGDGAPVVRRRDRSLGGKEVVVAVSTPSPVAMAPASRVAKPAKVRRREPKARVPEWWPELGEEAVDQGPGMERFATLANRLVRAIIDSRITGRDYRYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDNCSRAVQENGATQINGENPRIFLAGLASNIGLDKFRATTLVCASVAARTRALFLQCWALEMQGKRSEALDELSKIYRIHNMFPPEENSAEMEMVAGGLKKNLQVEERVHLLSLYRGICTTGNIRTAAEALGLSLPDQ >LPERR05G08710.3 pep chromosome:Lperr_V1.4:5:8469094:8477099:1 gene:LPERR05G08710 transcript:LPERR05G08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPATSVAAATFSPSPQSLFQHQTPRGHRTSTSVVAFARPRRFRGVNPSTSSDDRPSRVARQQQQQSTSTARDDGFGALEAELWRLQRTAELRLHRLAAEADEAYRDLRSAARDVGGDRVVLTLRRSSLRFAAAALLWSLALSAATWVALGWAFRAWSRRGLGLGGGDGAPVVRRRDRSLGGKEVVVAVSTPSPVAMAPASRVAKPAKVRRREPKARVPEWWPELGEEAVDQGPGMERFATLANRLVRGVKVSFDTENARDSFYRAAINFVLDNCSRAVQENGATQINGENPRIFLAGLASNIGLDKFRATTLVCASVAARTRALFLQCWALEMQGKRSEALDELSKIYRIHNMFPPEENSAEMEMVAGGLKKNLQVEERVHLLSLYRGICTTGNIRTAAEALGLSLPDQ >LPERR05G08720.1 pep chromosome:Lperr_V1.4:5:8480060:8483471:1 gene:LPERR05G08720 transcript:LPERR05G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAEALTREEVLRRRRRRAKRLVDVYRRLYWTLGEELRARHRQYVWELGRSPLESEQPQPPQPPPHATGVGGELVVVRPASATVPRRKKCGFAGCKVRAMAMARFCHSHILSDPKQVLYKSCAFITKSSQQVGQMTCGKPIIKASVPSLCNTHFPKCQRLITQGYKKVGFNPPPTGNPSPNFSLLVAECVRQIQAKRRESPSVAARKISLKDGKMLDTVFAPHAGGGAQAKRICEVVLEWFAWLQGCCVNNCFASHTEGPD >LPERR05G08730.1 pep chromosome:Lperr_V1.4:5:8492409:8497622:1 gene:LPERR05G08730 transcript:LPERR05G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDTVDLSVDLSAASAAEEY >LPERR05G08730.2 pep chromosome:Lperr_V1.4:5:8492409:8497962:1 gene:LPERR05G08730 transcript:LPERR05G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDTVDLSVDLSAASAAEEY >LPERR05G08730.3 pep chromosome:Lperr_V1.4:5:8492409:8497635:1 gene:LPERR05G08730 transcript:LPERR05G08730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNIDAMV >LPERR05G08740.1 pep chromosome:Lperr_V1.4:5:8513893:8516540:-1 gene:LPERR05G08740 transcript:LPERR05G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWVVNVIFVVGTCTIKYSPYATAEPPPPDPDLEGSGRRGRPATCAVVVVIFIASSKLPGWLLWSVNSARIIQGFVSSENANDSHRESIRLLTDYMRHEHRMDSLSGQRSELGTEPRSMKGYRYMVLGEVKKLKKREAEQAIDEVEAAQAIKRLLMALKEKDKKLITLERIWNHQAHNHNGCLCGLPPGRLVLDVVTCVDQKGDAVRAFRVSEAEVAFLNDFFNSRYAIIFARGFPWLRLVLSTLLLGGISIMAVAIHEFSKIAKIKERGSVQRGVYFTWAILSLLGAKEIWEMTTYAFSDWTKVLLLCKYVEQPWWLRGRVGIVALPFVRMFLCRRPLFKRWHGKVGQFNMLFSRTYTRIPQYSFSILLSQQVKNALVGSIKKFLGESPPSLDNYLDQALAAKTGINEQVIKDAVRDLQLRDDVHRLLVWHIATCYCELQLAKTREVRVSVYSKGQSFSRRNPSNVHKMPWWRHYLVARTLSQYCCYLLKLASPLVPGNSLMAKAVFDEVYREKNRLLDIKHETFARCTSSVQILDRLIGYRSGNIVLGANEQGNTILKKGAVLGSTLAEATGDNSEALWEFLSEFWSGFVVYMAASTRASQHKMHLTTGGELMTNLWALLSHAGTLGTTLHGEQVITTVLPQPQT >LPERR05G08750.1 pep chromosome:Lperr_V1.4:5:8519414:8522083:1 gene:LPERR05G08750 transcript:LPERR05G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSELVKWFFLFQKTDKAMLMRIEFLVVAVAILFLSMSFLDMFRPRSRNSAIKGILLILDAICDAILIYTIGLMQTAPFKNDLFPVWALVLVISAYGIPDQENRRISEAARVVALLGVAYLNSTFNTQFRHLIWALWALQQLRIFYISWAYFRATRSFLHGWSSPLLTAYMGTFDGINARLDPTTMEGYKYLVSGEQKQKIKLKPPEYNFSLYVPKRTKRMLVTLDKAWQPSNQQNSGNNPGNEAAVADNSTTDICTPQMKDMCLSFALYRLLRCRFDDLSLPGNSVTSTRKLISEIIGKNSRDLSAEINHYSKRSFRIVRYPVLFWRGFPLIAAWYPVVTIALFLWLGRNLHKIYKPKKGETAHVIHGVNVDIIITWLFMIIIVLKEIWKIMAYMLSDWTKVMVLCEYTAGSLKYLPRWLCKAIVWFFCTPRSTIVHHWHKKIDQYEFLQSFKYNPWKSNTMHYVTLGLVEKRSDGEKPSNAINLPEEVKPAILRSLIKLDLNQDFLEDKMPSLEANFQSLLSFFKFPTCSHILLVWHIATSLCEIELAQHYNTCLNNSEVLRAVKAAMNCCSSQPYIVKEERIEGELRANYIVASCISRYCGYLLISEPDLLPDTYLTSTEIFVSTVAEASEVLKGSDNLQSIYRKLMRHGDAVNDDNMIRRHPNMILKKSAQLAMSLIKIDDMDRWKVLADVWVDMLVHIAPSWNAAAHRKCLSTGGEFITQIWAILSHCNIQYSKLWPQQKSPQDKAGEQEACEGVNRASVEQQAAEGNGGLMSEMATRHNVAGTSGTKKDGQVESGSPWIWQEDQQTQDAAGLQFGGETNRVESQLFLAGQNQTQYGGSCESQEEEAAAAAAAAAAAEEEEEEEEEEEA >LPERR05G08760.1 pep chromosome:Lperr_V1.4:5:8527380:8529515:-1 gene:LPERR05G08760 transcript:LPERR05G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPWHPAPALATPWLRRPGSCSLQSIVRRLGCNLQVGCWDCSQVTAAPLLEKNMLKPRDEDPLINSDDERPESFEYEFRRKEMRRGIDLATTLEHIFASDSFLQLTEYSREEILGRNCRFLQGPETDCATVRKIRDAIDNQAEVTVQLINYTKCDDPSYTVKFNSKAHVS >LPERR05G08760.2 pep chromosome:Lperr_V1.4:5:8527380:8529349:-1 gene:LPERR05G08760 transcript:LPERR05G08760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSVEKNMLKPRDEDPLINSDDERPESFEYEFRRKEMRRGIDLATTLEHIFASDSFLQLTEYSREEILGRNCRFLQGPETDCATVRKIRDAIDNQAEVTVQLINYTNYNNSDDPSYTVKFNSKAHVS >LPERR05G08770.1 pep chromosome:Lperr_V1.4:5:8539757:8545951:1 gene:LPERR05G08770 transcript:LPERR05G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCPKLWDRSAAAATSAAQAGNVVINGTVVVANHFGLSAPGKSTTIRLISGTQVDHETRKGRLSGEAALRGGKKTKHGKASTTTYNVTFLVDPDFGTPGAVAVKNGNRNDQFFLRHVHLDLAEDRSIHFDCNSWVYPYKKTNSDRLFFINTSYLPEKTPEALRLLREEELRSLRGNGRGERKDWERVYDFDYYNDLGNPDNDDHVRPVLGGTKQHPYPRRCRTGRPLSKTDGVTETRKHKVINLDMYIPADERFSPGKLAEVLAMGVQAVTHFVVPEARSLFHGDVVNFNSLDQLRADLYAKPPQPAADGRVMDELKSSVPSHKTYKQVSKIVKDNPVKFPTPQVIQHDKEAWRSDEEFAREMLAGLNPVVIKRLTVFPPNKSTITADHIESQLQGLTAEQAVEEKRMYILDHHDYLMPYLRRINTLQGVCVYASRTLLFLKDDGGLKPVAIELSLPSDGVGGGEISRVFCPATQGTDAHLWQLAKTHVSVNDSGYHQLISHWLYTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLSAGGLLEKTMYPGKYAMEMSSDIYAHWRFTDQSLPNDLIKRGMAYKDEKARGGVSLHIEDYPYAVDGLDVWVAIESWVRNYCNHFYHGDAAVAGDLELQAWWDDVRRVGHGDLSHDRACWLDLHTVPNLVNTLTTLVWIASALHAAVNFGQYGYAGFPPNRPTRCRRFVPLPGSPEMVQLEADPDRFFLEMVPDRFTTTLGLALIEVLSNHTSDEVYLGQRATSTWTDEGEVLLLLDGFRDELRRVEKRVEERNKDPRLGNRRGPVRVPYTLLYPDVGNVAGEKGITGKGIPNSVSI >LPERR05G08780.1 pep chromosome:Lperr_V1.4:5:8548622:8549247:-1 gene:LPERR05G08780 transcript:LPERR05G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATTMVFVYGTLKRGFPNHPLLAASSSTFAGAASTATPASLVVGPYSVPFLLPRTSSSASGGHLVSGELYAVSPRDLLDLDTFEGTHLGVYERHKVTVVVADQDGGGAVEAEAYFAHTSYADALWLRCGGEAAEIREYTMDHAASYVPPSRRSLDASGLLQAIHVFLATSLASPSP >LPERR05G08790.1 pep chromosome:Lperr_V1.4:5:8590420:8599895:1 gene:LPERR05G08790 transcript:LPERR05G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPIIKDPDKNYTCCGICGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGSSASHQRTLYKGKEEIRQIVNSLHVGGGDTIIDKAHRYYELAVDKNFTRGRRTTHVAAACLYIACRFAKFCYSPHIQLSKSLLTPAFSYIVLQNRDNAVSDAALRIVASMKRDWMQASTLSPNTFFGFSFVITGRKPSGLCGAALYIAALSHGYDCTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQGPAIKISPKSGEVLCKHKDKGGQHFAHGLCEKCYNKFIKLSGGLEGGSDPPAFQRAEKQRLEAAKKAIEAAATKEGALESNCETHDSDVENNIMKPTKNVIGDKHSTITSGEIADDSIPSKDPEGAGKYDEAVEEPESLSDIDDVEVDGYLHNEETQYKKIIWEEMNREYLEEQAAKEALAAELAARGVVVGEGKKKRRRQSEDTKNSTPAQTPAEATQNMLKRKRFGSKINDEAVNKLYNTKDKDGKADKDMDLNNDEYGQDNGDGETFEGGYDYPDYSYDGYGDGAYNDYDGVDF >LPERR05G08790.2 pep chromosome:Lperr_V1.4:5:8590420:8599895:1 gene:LPERR05G08790 transcript:LPERR05G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPIIKDPDKNYTCCGICGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGSSASHQRTLYKGKEEIRQIVNSLHVGGGDTIIDKAHRYYELAVDKNFTRGRRTTHVAAACLYIACRFAKFCYSPHIQLSKSLLTPAFSYIVLQNTGRKPSGLCGAALYIAALSHGYDCTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQGPAIKISPKSGEVLCKHKDKGGQHFAHGLCEKCYNKFIKLSGGLEGGSDPPAFQRAEKQRLEAAKKAIEAAATKEGALESNCETHDSDVENNIMKPTKNVIGDKHSTITSGEIADDSIPSKDPEGAGKYDEAVEEPESLSDIDDVEVDGYLHNEETQYKKIIWEEMNREYLEEQAAKEALAAELAARGVVVGEGKKKRRRQSEDTKNSTPAQTPAEATQNMLKRKRFGSKINDEAVNKLYNTKDKDGKADKDMDLNNDEYGQDNGDGETFEGGYDYPDYSYDGYGDGAYNDYDGVDF >LPERR05G08800.1 pep chromosome:Lperr_V1.4:5:8603739:8606171:1 gene:LPERR05G08800 transcript:LPERR05G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCSFCDSEAMHKLAQFLLPGLAAVCVDSTTGDLFKKPSVVAVDMRKEMVDYVTQRSETFISDALIASEATQDQESDMPEDPFEIISIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLDRREAIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCNFRPVACPNDGCRSKVSVRCMQDHDSACPFKILTCEQNCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPECNLEQHCSEFLQPHLLKVLKVIHKKGFTDDGFKDHALLLEKYDNDGKLAKSRDVRSLTNVVKNLEVKMKEDNSS >LPERR05G08810.1 pep chromosome:Lperr_V1.4:5:8610514:8615397:1 gene:LPERR05G08810 transcript:LPERR05G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRLALLAPRTPARCSTICFTAVAAAAGATDEFVLDLVEQSTFQKQGIMHLFMTSRDEVVVSVSQAIERSKELHKVLVQHNNSSFYSQGRGRRR >LPERR05G08820.1 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNSKVESDNAGGRQCPSFQRNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTWCNAGEGISDDNDASASSSQVVYLFGGGLEGRKWKAAMRLHKGADFQSWDEEEKSLRYTIALKTKELEHAENERVIFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08820.2 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQFSSGERQRRRQAVPFFPAVKRCAEMSRKLGFFSNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTWCNAGEGISDDNDASASSSQVVYLFGGGLEGRKWKAAMRLHKGADFQSWDEEEKSLRYTIALKTKELEHAENERVIFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08820.3 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNSKVESDNAGGRQCPSFQRNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTWCNAGEGISDDNDASASSSQVVYLFGGGLEGRKWKAAMRLHKGADFQSWDEEEKSLRYTCEVHILKCVVSLYYLAMLAKDDKHVSQGNENLRSQIALKTKELEHAENERVMLELAMKNKEINYLQNFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08820.4 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNSKVESDNAGGRQCPSFQRNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTWCNAGEGISDDNDASASSSQVVYLIALKTKELEHAENERVIFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08820.5 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQFSSGERQRRRQAVPFFPAVKRCAEMSRKLGFFSNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTWCNAGEGISDDNDASASSSQVVYLIALKTKELEHAENERVIFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08820.6 pep chromosome:Lperr_V1.4:5:8615244:8619803:-1 gene:LPERR05G08820 transcript:LPERR05G08820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNSKVESDNAGGRQCPSFQRNQINKAGVKSSVRPGKAAMQPAIDLEELEAKLGQHENDLLEMNTNSEKLLQTYNELRIQFGGGLEGRKWKAAMRLHKGADFQSWDEEEKSLRYTIALKTKELEHAENERVIFLCNPNLLVLSSYVMYGRNYQRRKKGNAVAQSTAREGRVCC >LPERR05G08830.1 pep chromosome:Lperr_V1.4:5:8625393:8631267:-1 gene:LPERR05G08830 transcript:LPERR05G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGTRPDHFTLPYVLKACGELPSYRCGSTFHGLICCNGFESNVFICNALVTMYSRCGSLEEASMVFDEITQRGIDDVISWNSIVSAHVKGSNPWTALDLFSKMTLIVHEKATNERSDIISIVNILPACASLKAVTQTKEVHGNAIRNGTFPDVFVGNALVDAYAKCGLTENAVKVFNMIEFKDVVSWNAMVAGYSQNGNFEAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALDVFRQMLYSGSLPNVVTIISVLSACASLGAFSQGTEIHAYSLKNFLLVLDDDFGGDDEDLMVHNALIDMYSKCRSFNAARSIFDNIPLEERNVVTWTVMIGGYAQYGDSNDALKFFVDMIQEPYGVAPNAYTISCILMACAHLAALRIGKQIHAYVLRHHQYESSMYFVANCLIDMYSKCGDVDKARHVFNSMPQRNAISWTSMLTGYGMHGRGREALDIFDKMQKAGFVPDDIAFLVLLYACSHCGMVDRGLAYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALDKLVEMNAENDGSYTLISNIYANAGRWKDVARIRHLMKKSGIKKRPGCSWVQCQKGTASFFVGDRSHPLSPQIYALLERLIDRIKAMGYVPETNFALHDVDEEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVPYETLVERVVSPENEEIASRLTQRFEKKRIPYIVVDEWK >LPERR05G08830.2 pep chromosome:Lperr_V1.4:5:8625393:8630857:-1 gene:LPERR05G08830 transcript:LPERR05G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLPKAIQPIGARFLSGASAACFGVDVYPVHFASLLKECKSANTVRQIHQQIIASGLLSLPAPFLSVSLPPLPSQPFISPRSLGTGVVASYLACGATNDALLVLERVTPSPPVWWNLLIREYIKQGRLDRAIALSCRMLRVGTRPDHFTLPYVLKACGELPSYRCGSTFHGLICCNGFESNVFICNALVTMYSRCGSLEEASMVFDEITQRGIDDVISWNSIVSAHVKGSNPWTALDLFSKMTLIVHEKATNERSDIISIVNILPACASLKAVTQTKEVHGNAIRNGTFPDVFVGNALVDAYAKCGLTENAVKVFNMIEFKDVVSWNAMVAGYSQNGNFEAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALDVFRQMLYSGSLPNVVTIISVLSACASLGAFSQGTEIHAYSLKNFLLVLDDDFGGDDEDLMVHNALIDMYSKCRSFNAARSIFDNIPLEERNVVTWTVMIGGYAQYGDSNDALKFFVDMIQEPYGVAPNAYTISCILMACAHLAALRIGKQIHAYVLRHHQYESSMYFVANCLIDMYSKCGDVDKARHVFNSMPQRNAISWTSMLTGYGMHGRGREALDIFDKMQKAGFVPDDIAFLVLLYACSHCGMVDRGLAYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALDKLVEMNAENDGSYTLISNIYANAGRWKDVARIRHLMKKSGIKKRPGCSWVQCQKGTASFFVGDRSHPLSPQIYALLERLIDRIKAMGYVPETNFALHDVDEEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVPYETLVERVVSPENEEIASRLTQRFEKKRIPYIVVDEWK >LPERR05G08830.3 pep chromosome:Lperr_V1.4:5:8626341:8630857:-1 gene:LPERR05G08830 transcript:LPERR05G08830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLPKAIQPIGARFLSGASAACFGVDVYPVHFASLLKECKSANTVRQIHQQIIASGLLSLPAPFLSVSLPPLPSQPFISPRSLGTGVVASYLACGATNDALLVLERVTPSPPVWWNLLIREYIKQGRLDRAIALSCRMLRVGTRPDHFTLPYVLKACGELPSYRCGSTFHGLICCNGFESNVFICNALVTMYSRCGSLEEASMVFDEITQRGIDDVISWNSIVSAHVKGSNPWTALDLFSKMTLIVHEKATNERSDIISIVNILPACASLKAVTQTKEVHGNAIRNGTFPDVFVGNALVDAYAKCGLTENAVKVFNMIEFKDVVSWNAMVAGYSQNGNFEAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALDVFRQMLYSGSLPNVVTIISVLSACASLGAFSQGTEIHAYSLKNFLLVLDDDFGGDDEDLMVHNALIDMYSKCRSFNAARSIFDNIPLEERNVVTWTVMIGGYAQYGDSNDALKFFVDMIQEPYGVAPNAYTISCILMACAHLAALRIGKQIHAYVLRHHQYESSMYFVANCLIDMYSKCGDVDKARHVFNSMPQRNAISWTSMLTGYGMHGRGREALDIFDKMQKAGFVPDDIAFLVLLYACSHCGMVDRGLAYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALDKLVEMNAENDGSYTLISNIYANAGRWKDVARIRHLMKKSGIKKRPGCSWVQCQKGTASFFVGDRSHPLSPQIYALLERLIDRIKAMGYVPETNFALHDVDEEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVPYETLVERVVSPENEEIASRLTQRFEKKR >LPERR05G08840.1 pep chromosome:Lperr_V1.4:5:8632498:8637831:-1 gene:LPERR05G08840 transcript:LPERR05G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPAVVRYAKIAMSLPDKTVRDVALRCRWMARKESNKKRKEELSKKNKEKKERSNDSSSKGPAPLVARPNAPSYSLPVLPMDDDDVSYKTIGGPTGELLEHNAQMLNQIYTNISNMQVQENIPLLCQTRDNILSVLKDRISDVTEVMKQMPPLPVKLNEDLANSMLPRPPHA >LPERR05G08850.1 pep chromosome:Lperr_V1.4:5:8653306:8662436:1 gene:LPERR05G08850 transcript:LPERR05G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLFLLLFLCCCLASSRIASADTNPQDEAALRSLMKKWTKNVPASWRKSNDPCVWDGISCDGNSRVISLNLFGMNLEGTLSDDIGSLTELTVLDLSSNRGLGGTLTPAIGKLVNLVTLALIGCSFSGNLPTELGNLSQLDFLGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLQAQHFHLNKNKLQGSVPDYLFNSSMALKHILLDRNNFTGPIPASIGVLRKLEVLRLNDNAFTGPVPALDQTTLHVLDLSNNSFTPSEVPSWFTSLRKLMTLNMQSVGLSGQLPQKLFSISDLQYVVLSDNQLNGTLDMGNISDELHVDVRNNKIVSVAVYNNFKGEFLELTGNPVCGNSLLSSMKPCTDQTTESLQISPSPNVQCANPFVETIIFRAPSFGDVVKFLPSLRINLSSKLSSCTPNQLDLVSSNSDAYLNVKIIACPENKKRFNYSQVLNCFNLTRQTYKPPEIFGPYYVEAHPYPFHDKASRAVLIGVVTGTVLLIIGLALVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARSFTLEDLKLSTNDFKEINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVNDSEEGRFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIYKQKYIVREVKMALDMGENVYCGLKDVMDPVLQKAGNLRGFARFLKLALQCVEESGPDRPSMNTIVREIEVIMQENGIRTGTTSSSSSFSIDSRTMVAPKYPFSNASTSSTTFDMDSRAFEYSGMFPSEGSLKNRNT >LPERR05G08860.1 pep chromosome:Lperr_V1.4:5:8659858:8667697:-1 gene:LPERR05G08860 transcript:LPERR05G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGLVPITRAYLARYYDKYPLPQLPDAAAALADRLRALAAVAPLTPDEDLLEKEASGIPAHKIDENLWKNREQMEEILFLINKSRRPDALQQKSTAEDTETFSVLDDIESNMKFMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLSIRLYLHVSLARYGQRKIEKDDIAVLQQAVVIYTEEFEKYTKFIGEVFVNAPFFISAEDAGAESRNSDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVIEPAIEP >LPERR05G08890.1 pep chromosome:Lperr_V1.4:5:8687977:8689195:1 gene:LPERR05G08890 transcript:LPERR05G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSWMTYTPRRMHAFVSSSDATRAVPVELWKALHLQRARARDEWLVKPHREPPSEAHKARHERRRKRKPSVAETRATSSPPTAQRGPNVRGKQRVTAKRHRTPRVQVARGPNGAPYTTPPVTTSPSAYREKEWENKGNEGRREGKAPADPTENRREEAHGKAPAEDDDNNLLGCQSSEH >LPERR05G08900.1 pep chromosome:Lperr_V1.4:5:8696491:8697365:1 gene:LPERR05G08900 transcript:LPERR05G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSELGHLLVFGFVYFLGIFMVAPAMTDVTMEALCPGRDECSLAIYLTGLQQAFPFSPPAFGEMRDIHRPVVYMVMEKA >LPERR05G08910.1 pep chromosome:Lperr_V1.4:5:8696826:8714055:-1 gene:LPERR05G08910 transcript:LPERR05G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQDVLGHGVEESAVMLNTVTAAVAACSDEEDLVGGRVVKVGRDGDELKPDDITFIGVLSACAQAGLLKQATAPALVPFLYILVSDYSQRKHGAFIEKIPDNVDAVMLGALLATCRKCKNVEVGERDQAAGTLKLVELCGVVQGSDGLDESARMRGLTRESGVSKTPDCSWVVVIGKGMNHSIVQKVYQVLDLLVDEMRLEGYVPNAHVRRSIANKAFAIVPSEHIPVLTQCIMLNQISISTLYKLFNGSMEGRQSGWEGEFSSSSPARSSDAPPSVSWTKALMYTAAATGTLRKRAATVPTTKPAVQTPENTPKATARRDWGTLSATSIPNGRMDAVAGSMSNALRPYLSDRLPTIGVATRAPSPMAREHSSRPGHRASIVTSVIAGATMKMPKK >LPERR05G08910.2 pep chromosome:Lperr_V1.4:5:8696826:8714055:-1 gene:LPERR05G08910 transcript:LPERR05G08910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQDVLGHGVEESAVMLNTVTAAVAACSDEEDLVGGRVVKVGRDGDELKPDDITFIGVLSACAQAGLLKQATAPALVPFLYILVSDYSQRKHGAFIEKIPDNVDAVMLGALLATCRKCKNVEVGERDQAAGTLKLVELCGVVQGSDGLDESARMRGLTRESGVSKTPDCSWVVVIGKGMNHSIVQKVYQVLDLLVDEMRLEGYVPNAHVRRSIANKAFAIVPSEHIPVLTQCIMLNQISISTLYKLFNGSMGIPVRGVKTIGDITLAVELIPGTLRKRAATVPTTKPAVQTPENTPKATARRDWGTLSATSIPNGRMDAVAGSMSNALRPYLSDRLPTIGVATRAPSPMAREHSSRPGHRASIVTSVIAGATMKMPKK >LPERR05G08910.3 pep chromosome:Lperr_V1.4:5:8696826:8714055:-1 gene:LPERR05G08910 transcript:LPERR05G08910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQDVLGHGVEESAVMLNTVTAAVAACSDEEDLVGGRVVKVGRDGDELKPDDITFIGVLSACAQAGLLKQATAPALVPFLYILVSDYSQRKHGAFIEKIPDNVDAVMLGALLATCRKCKNVEVGERDQAAGTLKLVELCGVVQGSDGLDESARMRGLTRESGVSKTPDCSWVVVIGKGMNHSIVQKVYQVLDLLVDEMRLEGYVPNAHVRRSIANKAFAIVPSEHIPVLTQCIMLNQISISTLYKLFNGSMGTLRKRAATVPTTKPAVQTPENTPKATARRDWGTLSATSIPNGRMDAVAGSMSNALRPYLSDRLPTIGVATRAPSPMAREHSSRPGHRASIVTSVIAGATMKMPKK >LPERR05G08910.4 pep chromosome:Lperr_V1.4:5:8696826:8714055:-1 gene:LPERR05G08910 transcript:LPERR05G08910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQELKPDDITFIGVLSACAQAGLLKQATAPALVPFLYILVSDYSQRKHGAFIEKIPDNVDAVMLGALLATCRKCKNVEVGERDQAAGTLKLVELCGVVQGSDGLDESARMRGLTRESGVSKTPDCSWVVVIGKGMNHSIVQKVYQVLDLLVDEMRLEGYVPNAHVRRSIANKAFAIVPSEHIPVLTQCIMLNQISISTLYKLFNGSMGIPVRGVKTIGDITLAVELIPGTLRKRAATVPTTKPAVQTPENTPKATARRDWGTLSATSIPNGRMDAVAGSMSNALRPYLSDRLPTIGVATRAPSPMAREHSSRPGHRASIVTSVIAGATMKMPKK >LPERR05G08910.5 pep chromosome:Lperr_V1.4:5:8696826:8708505:-1 gene:LPERR05G08910 transcript:LPERR05G08910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSIVQKVYQVLDLLVDEMRLEGYVPNAHVRRSIANKAFAIVPSEHIPVLTQCIMLNQISISTLYKLFNGSMGIPVRGVKTIGDITLAVELIPGTLRKRAATVPTTKPAVQTPENTPKATARRDWGTLSATSIPNGRMDAVAGSMSNALRPYLSDRLPTIGVATRAPSPMAREHSSRPGHRASIVTSVIAGATMKMPKK >LPERR05G08910.6 pep chromosome:Lperr_V1.4:5:8708596:8714055:-1 gene:LPERR05G08910 transcript:LPERR05G08910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQMGAVVANELKPDDITFIGVLSACAQAGLLKQATAPALVPFLYILVSDYSQRKHGAFIEKIPDNVDAVMLGALLATCRKCKNVEVGERVINRIKQLELSNSWNYVVLSKARMDWMSQQG >LPERR05G08920.1 pep chromosome:Lperr_V1.4:5:8701561:8709676:1 gene:LPERR05G08920 transcript:LPERR05G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVILACNRTKAFFYAFYITRMLTGMVAEGSMQCLSLAYVADKVPQSRRAVAFGVFSGVCTAGFVVGTVAARFLSVPCTFQVSAVATVAAAVYMRAFVQETDGGASLLRAGDEEENSPSHPLCLPSCSSSSSSGEDVASPTIPPLRKAASLSDMAALLTTSSTFSRAALVTFFNSLGETGLQTALLYFLKAQFHYSKDQYANLLLVIGIAGSLSQLIIMPILAPKMGEQKLLVIALLGSCMHAILYSIAWSSWVPYLSASFVIISILVNPCGMVQGCLTGISSTASVISPIVFTPLTAWFLSETAPIDFKGFSLVCAGFATLIALTASINM >LPERR05G08930.1 pep chromosome:Lperr_V1.4:5:8727096:8739201:1 gene:LPERR05G08930 transcript:LPERR05G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDEGQPEIGRMDTFSDLGFVGSDIDDKDSGKEISDNHASQGVAIAVDTPATTFLQQVANPTAGAGNGEVGDVQGEHTSTPDENVPIEVADMQFSWDIHIHPKAEIQGACEILKDPSYRDAFFLGYGSCDSDQMIGVGDSQYKLARPSSPEKVYADNSDATGSNTVKFHQQISTDGNIKSRSELSRDAIGGLLGEGNPLAKSGKQVEQRMVKSLSAHSYNPVYPVSQGVSSCTSSSQHIVGSSGAAKYTRNSGQEMQVACGIARQPSNIALYGNKNPDELIGLSDPRDKFIAPSSFQGVNISSISNTSSGMNLPINSAQEKTEYNQLKLKKQIGGYQRFEIGSTLNMDGVGGLTEVPENVWVGQNMVNTLSAEQYQPVLNVSHGTSASLSLQHPNETNQLVEHREYHPRIHCTQLSLQPTIKDVSTSLTLRINDPCYQENFCLADNSLSAPGISDIGDGNQGMSFLRGTAISTRDAAENQFLTMTDTVHSYVSEIYSTSGHQNSADSIQIQHVRQAAWQPTQQSLHTEVAETYSPFGHQFPVNIIQRQFAPLVTTQASHSSLHGSNNCNMPTGFCDDSQKELFRSSSSHRNSTDVISRELPMINLHGETMMGKADFKWQNLQRQVGHHESTVSRYFGTYPELPRTSSFPAQKGSDFSQLNSVAMRYLPCSERSSTTVEKEAEQSAMMGPALPFVTVSGGVSPLPGSPVSSVSRGSSLGSNPNNQQGIGFSTTYRPGYNTNWTLSLRMKAHAIDALSKATRQSRQEYFDPAERNSQCAKKIDLNESRNSNMSIAQQHLQRYLYRHLQRNHKKVVATTSYAVQGNFETSNMRDQAKEFLKIVRDHEACFLSFYHPGPIPSHIMNMLNRCFNVVKEREMLSPKCYARHGHCTPRLAHQDGISGLERSYMDYTHTYDSKRLDKKELNALLVQRKFNILSKGNYQLFHIEGHVFQCSIDQCGSRFIQHKLPTATPKEKFMVYKENLPRAIELMIEEVALFQKREIIACFLGSVLSISCQMYGCRVIQKAVEFADLDQKIQITRELNNDIMKCIHDQNANHVVQKCIEHVPQQFIQFFLEGIYGHVVELSVHPYGCRVIQRILEYFNDPLIHEIFLKEIIEDVYYMAQDPFANYVVQHILQHGNPLARSAIVEKFHGNIITMCKQKHSSNVIEKCLVFGSYDEKQKIIDEILSSCSGLITSGETEALMVMVKDQYANFVVQKVIETCDEWQRKLIIDCLRMHHRQVGNNTYAKHVMGRLERLIEAGRRIQNEHAAQAVPSTWKGI >LPERR05G08940.1 pep chromosome:Lperr_V1.4:5:8742277:8748934:1 gene:LPERR05G08940 transcript:LPERR05G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVVGCGHGRAAVSPKPRGRRRIVGGVSGGNDRCRRRGRRRVVGRRWEKREGGGRPADFNGIVGVYRCRLGGGQSIRAGAAAATATVMIPTDAEAPPVVRARWPRRTLDSPVIPPPLTLICRCPPASDLTARLLHRPLPASAGPRKPFKMVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKAAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQNKINETRDVMKKRANELDKMRMERGKLDKGGYSSISGPRVVEKTFSDMSITGSGFGSGSGLGGLSMDMDSFASKPIGGRPSAAANAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRLSPLPPSDPVTVTIEEKLNVTVKRDGGVNDFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRAPFDTLNQSTGFHEANVSFYPDVYTYHEGQLLAIPAYLHGHINNFV >LPERR05G08950.1 pep chromosome:Lperr_V1.4:5:8789934:8791349:1 gene:LPERR05G08950 transcript:LPERR05G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNAAASATAAAEAAKVEKGEAVSLTVWRRSLLFNGKGFTVFDGAGNLVFRVETYAGGSPREVVLMDADGHALLTIRRKKLSLADEWLIYDGDAAAPAPAPAKRFTARRHISLRPTKSLAHLSPARGGGGGCRYDVEGSYAGRCLDVFASGGGAGEERRRVAAVCRKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMHAS >LPERR05G08960.1 pep chromosome:Lperr_V1.4:5:8798560:8810015:-1 gene:LPERR05G08960 transcript:LPERR05G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLLDQAMASRLVSPLHTLALLTPRVVPNRRAQPEAYRLYLELIAQYTVAPVYSERAETKAMLINSIDDALHLSDSYGFQKMDFGHTVILFVLSIIKILTDCIMEDCGLPRIDYDGHDKLFSIGAEKNKNLDGMGSSLDRRDEHRECLRRKNIVMTLEVVEKITANKNTQVFLRLVYHNRPENFSSLLQRLQLMGALKSKNFVSANNLLDSLMLNIRNVITTGHQLYKGRLLGNIVSTKPCSSAARCVFGAGKSSCWIPFDMFMENAMDGRHLHTISAIEALIELSKTLQVLNRATWQETFQALWISALRLIQRDRGTLEGPFPHLHSRLCMLLAIVPLSIASILKEESDKLEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVRSANSAARKALGALSILKDESENIHNSKDSSSNKAVKDTCAVEESPWSALMEGSPLMALKDALMVTPASSLAELEKLQPFAVSGSDEEKLAVSKILCGASLLHGWNIQEHVIQMVLKLLSTLLPLDSRSDGFYIHHMPMLHALISGISSIDAVHILSMYGLVPDLAAILMPLCEIFGSLPPSDHRRCSFEEASVYSVFSCAFLCLLRLWKFHTPPVEYALSKHGAFVRSELSLDFLLLLRNNRFAWKSPCDVIRSSILQLDPSFHKPVYIDSFPKLRAWYFQNQACIASTLSSAYKKKCILQVANMILKIICHKMSKGGIFPVSSQSTSNSSTSGSPLGVQEDLCQGPPATAWEVLEAVPFVLETVLTACAHGRLSSRDLITGLRDLVDFLPASLAVIVSYFSAEITRGIWKPVMLNGTDWPSPAAALLAIESEIKEVLASAGVHVNISPQSRPPIPMLPLPMATLISLSITVKMEELTHIQGIIGQGVEICATSSSWPSMPIIGALWAQKVRRWHDYIILACSETPFTSDKNAVAQLIRSCFSCFLGPLVDGRSCFVPERGVTSLLGQAYHERGPKLSVSPGLLYIRCCRMFPDNFVACEEIFKVVIERARALANECDSSRPYLLRSGRMTLSSVACSVEQIASLAAAMLCHAGGMKLIRLLYEQVLPTMLLSAGEAKLGSAGPVCSTFEGFALAYVVLVSGASIWGVGETSPVYTSVYTSKRQRVVDRHLDFLAKVMEGNIELGCGPVTWRTYVICFVSLLVDFVPTWIPEVKLETLQKLASGLWKWHERDLALSLLERGGPQAVTTVVEYIM >LPERR05G08970.1 pep chromosome:Lperr_V1.4:5:8837634:8838363:-1 gene:LPERR05G08970 transcript:LPERR05G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHKHLGEAEEMSHHHGLGFQTPKDLPDKKITAHKLCPRSHGDRAGAEKAVATAQAATAAPRPDLCAPWPDLACNCVFR >LPERR05G08980.1 pep chromosome:Lperr_V1.4:5:8838956:8842094:1 gene:LPERR05G08980 transcript:LPERR05G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFPDQELDVKFESRSSIFVLPVSPHRFPRTPLATVRPVKERGDGLGNAFFSASPAAFFSAAAFVRVFPRLGGTTTGLDQLLLPHHNGQTLQRHQLLCDEAAAAAIYPLRTRDEHGTAHVHVHRLVPKSNTLNSSPSMTHSSVIGTECMGFPIFVFDCQQGAEGKSANYEQIIKMRNQILRRRSQFCTLRRIVNYRA >LPERR05G08980.2 pep chromosome:Lperr_V1.4:5:8838956:8842094:1 gene:LPERR05G08980 transcript:LPERR05G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFPDQELDVKFESRSSIFVLPVSPHRFPRTPLATVRPVKERGDGLGNAFFSASPAAFFSAAAFVRVFPRLGGTTTGLDQLLLPHHNGQTLQRHQLLCDEAAAAAIYPLRLVPKSNTLNSSPSMTHSSVIGTECMGFPIFVFDCQQGAEGKSANYEQIIKMRNQILRRRSQFCTLRRIVNYRA >LPERR05G08990.1 pep chromosome:Lperr_V1.4:5:8843811:8848456:-1 gene:LPERR05G08990 transcript:LPERR05G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTLHSPTHAFARPPAPAAPRETPSRATDHAIVAVKDTTWRDLNRPREGMMSESEEHGSLLEKINEKIHEYKGSSSSSSSSDSDDDKKHHHKSKSKKARLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHSLIVFLTVCFIWSNAASFINRSPPKFPDVILSEVQCLKIAHLLRKEINEAFLTLRHVASGKDLKTYLLTIGGLWFISIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALVEIKKQYVVLDHKFLSKIPITRHDELRAPGRRTLSLTSHAVRTTTGRLSTPRTVAVPGTAVRTVSRTSPSPAATSAYSCGVARPAATRRSARTAKRTASVSVPRAAVVDLGAGVGCGVVGERGDGARVADGEDGVERGVEGDGGERQRGEAERGDRGVSRGMTGGGDDDDDGGEEECLDGDGDADAEAAAGRGGAVVVAERGGGGREWGGHGAVVTVFSGLLGDRVSKLE >LPERR05G09000.1 pep chromosome:Lperr_V1.4:5:8851605:8852162:-1 gene:LPERR05G09000 transcript:LPERR05G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPPSSTLTAGAGAAARPPASVAQNNKQQRQGRQAEALRLRRVFEMFDRDGDGVVTPAELSGALGRLAAAPARHEVDAAVAAYIAPGMAGLRFADFEALHAELAGGRAMAAAEEEMREAFGVFDEDGDGYISAAELQAVLSRMGVPEAACMARVRDMIAAADRDSDGRVDYDEFKAMMAAAAN >LPERR05G09010.1 pep chromosome:Lperr_V1.4:5:8865476:8870606:1 gene:LPERR05G09010 transcript:LPERR05G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVVRRLGDPTLPLGGEASPFAPVSGDHPVPGLASPTSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGVVDAVGPAVRRFRPGDRVCGFVSLGSFADLLVAEEKQLFLVPDGCDLVAAGALPVAFGTSHMALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAIVIAVARGTEKLQYLKSIGADHVIDSSKESIIESAKSFLKARGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTIHGLYWGSYSIHRPPVLIDSLNELFSWLSKGLIKIHISHTYRIPEAHLAFAALRDRKAVGKVMIVMDSLAKSKL >LPERR05G09020.1 pep chromosome:Lperr_V1.4:5:8871360:8875107:-1 gene:LPERR05G09020 transcript:LPERR05G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVVLGEDQSDIENLKEIGMEIVTRCDCLPLAIKTVGGLLCTKNRTPRDWEEISKSTAWSMAGLPDEVNTAIYLSYADLPPHLKQCFIHCSLFPKDVVIRRVDVVQMWIAEGFVQDDGSSTMLEDIGKQYYKELVMRNLLEPNNQYYDQSGCTMHDLLRSFANYLAKDEAQFLGQGQNLCNINTRRKLRRLSIASEEVQLSDLNDQKELRALMLFRSGKVELDTFLHHLPRLRVLHLGGVNLTSLSSSLCNLKHLRYLELSGTMVAVIPESIGDLKYLQYIGLINCINLTYLPGSIVKLHKLRALHLKGTNVNEIPRGLGRLKNLVELTGFLAHGDHATAWNSLQELGDMLQLSLLYVNNLENACARSVAKKAKLQSKQHLRYLCLECTTRTSGLGNQDEEFTEEEKHQIEDVFDDLCPPPCLETLSLVHFFGRRFPKWMSSAGLIALKNLKALYLEDCTYCVQLPALGHLPSLDFLLIKHAPAILTIGHEFMRSSNFIQMNNKISLFPRLEKLGFDGLDGWEDWIWEKELEQAMPKIWSLKIIKCKLKSLPPGLVHQTRALQELYISEAQNLLAIRNFVFLNELHVYDNPNLKTLANLPKLRRLVIIQCPKPNSLEGLTGLQSITLQDYGADIFPQYLEESNVIKLEVFCNIELLKLVSIKAGSEWCKIQHIQHVKVYASDEEILGDYKN >LPERR05G09030.1 pep chromosome:Lperr_V1.4:5:8875148:8875399:-1 gene:LPERR05G09030 transcript:LPERR05G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWRHGGQVARGPGGVSREAHSETPDNACGPLLLSMGSGSADGNLWWIRHAVCRASLADVGGRGDVYCDDVGDGDLSVIGVA >LPERR05G09040.1 pep chromosome:Lperr_V1.4:5:8876019:8877620:-1 gene:LPERR05G09040 transcript:LPERR05G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFMPTLGRVVAEVVKEQLDMLLGVADEMKRLERKRFADTAVEDWVRDLKDVMYDADDLLDLWRLKSSTVASTSQRPARSPRPRCCVPLLTCFRNPATAHAIAGHVKELNQRLEVVSKRSSMFHFVRAAAASSSSQRRHLRLAGATKTSPVIVHGDLLTSDDPRDNVVVLAITGAGGIGKTTLAKRVFDDQRVRDEFERRVWVCVSQDVDEADLLRSVILGTPTTATDELELDGGARDRSWLEPALQRALSGKKVLLVMDDVWSDAPWNAVLRDAFRSGAGGGSRVLVTTRNDMVAMRMKALHPHHVNKLLPEDGWRLLKNQEQHARLDSAAAIAFRPHPPSHSPRRKDSGGEAISSPRRSWEMTSMAGRGTSSFSDWRAKDSGTSVDGEQQRWGRLLRGTRGVICTAPHRRRSGGNGAVVSGGFPPDPAPCGRIWPLVGGSSRKRWGNTGGDQRLLNG >LPERR05G09050.1 pep chromosome:Lperr_V1.4:5:8889690:8891199:1 gene:LPERR05G09050 transcript:LPERR05G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAHSPQPDRSCCILLILIYRYLYATERIARARHHQHHHLLPLLPPPPPLYLIHLASSSIVANRENLRHHLLSDFSQSRTTYRPLYRRRRSYQAQVRVFGLLHQIPKSIAIVDPNPKLKITSPSNQKELKELDRSSPRTPTLLQP >LPERR05G09060.1 pep chromosome:Lperr_V1.4:5:8911700:8914116:1 gene:LPERR05G09060 transcript:LPERR05G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEIGVYFATWWVLNVIFNIYNKKVLNTFPYPWLTSTLSLAEPPSTNLDFWKALSPRSRTPSGKSGEPTFSVLVSMFFLGEHFPAPVYFSLLPIIGGCALAAITELNFSMIGFMGAMISNLAFVSQNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFALAMEGHKGVGCRCIGWCLDKAIHTQHGHRRWWQRRLVKD >LPERR05G09070.1 pep chromosome:Lperr_V1.4:5:8918853:8923456:1 gene:LPERR05G09070 transcript:LPERR05G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSSSSSSAPLTNLLSKCRSLAGVKQLHAHLLLDSTHRPFPYNHFLSNLLSLSSAGAAAAGDYVLLLLASHPSPTAFSYNVAIRFFAASRPGTSLRLFLLMLRSSLRPDSYTLPFLLLAAARHPAPSLARSAHALLQKIGLSSHDHTVHSLITMYSYSNDHGAARKVFDGIPCRDVVSWNAMMKAYGRVGMAREVGRMFRAMVEEGKVAPNAVTLAVVLAACRDEGDLVLGRWVEEWSRYAGMETCSLVGSALVGMYEKCGEIVEARRVFDSITDKDVVAWNAMITGYAQNGMSNEAITLFHSMRKVGVRADKITLVGVLSACSAVGALELGSELDRYASHRGLYNNVYVGTALVDMYAKCGDLDKAIEVFGKMSCRNVASWNALICGLAFNGRGHEAIQHFELMRNEEELKPDDITFIGVLSACVHAGLLKDGKRWFNSLTSEFQITPKVEHYSCMVDLLARSGHLEEAWNFIEQIPHKVDAVMLGALLAACRKCKNVEVGERVINRIMQLEPSNSWNYVVSSKIYASSDRLDESARMRGLMRERGVSKTPGCSWVEVSGKVLEFYAGDEPQHDANDMYQVLDLLVDEMRLEGYVPNLEVV >LPERR05G09080.1 pep chromosome:Lperr_V1.4:5:8923328:8925983:-1 gene:LPERR05G09080 transcript:LPERR05G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTAATAAAELPCDRRLMERIESERLAVRDLLKKAEALVARRKNRNGAAAKKPVSAPHSEARALPRRGKKIRPVVIPTTDGDASPKKRMKTGPMVEVEVIEPTTPMAQRDRLYGLLSSLSAEMPLPPHILGFIRSQCCCFACPDSDEMDVDLRSTKDAVLFKLLNLLDEFAQQETKIQMLQVQEPPKIEAVDSSDAVSRSSVCQLEEGEIVDEDMDICGGVSPLVVLDNVQFSPLTKPQGEEDELIDISEEKPLLEEQQQVTEQDKKVITERAASPDTEMQELIARSQQKQRLQHRKRAREQLEEMKSTAQPVFESIDPRLMKQLGITKEVEYMEGEIVDEDLDICGGVSPLVGVDNVQFTLLPKQQEEDDELIDICGGVSPSATSLKLLLVLARAAAAALLDHHPAAKIPHLQAVPPLLDHPRRAAVLLVLDHPAAVHPLQTATLQSDDDEDSASSSPKDSSKLPTEAEAKPLLEEHDKKLITEETAASQMKMARELQRKKSREQLEEMKRTAQPIYESIDPRLMKQPGITKEVEYMVSPLFSRDNVRRHRGGLLQQKLGFFLKE >LPERR05G09090.1 pep chromosome:Lperr_V1.4:5:8927960:8931972:-1 gene:LPERR05G09090 transcript:LPERR05G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASRKTLAAASAAARPLASAAASRGVTTVSLPDLPYDYGALEPAISGEIMRLHHSKHHATYVANYNKALEQLDSAVSKGDAPAVVHLQSAIKFNGGGHVNHSIFWNNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENAAP >LPERR05G09100.1 pep chromosome:Lperr_V1.4:5:8933322:8936719:-1 gene:LPERR05G09100 transcript:LPERR05G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTSSSSHAAAVSFSLPSPPGRASCRRGHHRPPPRRGPSLLRAASTAAPTSPSPGSLSIQLSPRASPPASTAPTHHVASLARDRAEDLQAESRAMARAAAATVFSPELLASRYGSRPLKAIIPPMLRASYFYVALRAAEVLSKIGAFALKVLLDEQRGPSSSAMRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSSISPSPIAAASLGQVYKARLKYSDKLVAVKVQRPGIEDIIGLDFYLLRGLGFLINKYVDIISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQVYVVMKWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKITEGEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >LPERR05G09110.1 pep chromosome:Lperr_V1.4:5:8953176:8953460:-1 gene:LPERR05G09110 transcript:LPERR05G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQSTSRLFRFLPPHRRPQGTDITAVAGWTVAAVATAIWLVQPFDWIKKTFLEKQEPEEGRSQA >LPERR05G09120.1 pep chromosome:Lperr_V1.4:5:8954181:8956702:-1 gene:LPERR05G09120 transcript:LPERR05G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLAALSKSAAAARRAATAAACRSRLLVLPAVTSLVPEPSSPALLRCIRLMPQQEGPRTPLPMPLGRGFSVVAGGAGAVLELEGGDSELVVVSFYRFADFPDHAELRRPLKELCEELRVSGGIILAPEGINGSICGTPEAVEKVLNFIQADDRLKGLRMIQSPVTPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVALGDPDVMPTKMVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAESDSQPSSGNNDSSTDGQLEDLNSCEPKKLPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFEAWGVIGGPDKGRSPKKLEAKHTVEEGKELSSSV >LPERR05G09130.1 pep chromosome:Lperr_V1.4:5:8963061:8964176:-1 gene:LPERR05G09130 transcript:LPERR05G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAPAPPPYAQVMEDMEKGQELAAKLQVLLRDSPDASHIVDQIILSFARTMSALDKAVLAAIAGAGEGSEVQSEVTCAGVGSSAGGKRKAAAGGAAADRRANCRRRTQQSSGETVILKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQHCAAQRQVQRCDDDEASFRVTYIGKHTCRDPATNAATHQIAACAGDDDGGLHAGSRLISFGAGAADPTASTTTTTGTAPGPMLQPLKVESGVGCDQEEVLSSLTPGGGGGAGAFGPDQGDVTSSLHWSYDGGAGMEFFRDDDGVFDLDDLWV >LPERR05G09140.1 pep chromosome:Lperr_V1.4:5:8979209:8979454:1 gene:LPERR05G09140 transcript:LPERR05G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSHHRPVLPYLAVEVEHGNQKSRSAIFLSATTAESEAAALLRRNRYSTGRCSAPPRWAGSSSVNQHHVPAATATAT >LPERR05G09150.1 pep chromosome:Lperr_V1.4:5:8979785:8980273:1 gene:LPERR05G09150 transcript:LPERR05G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKKKNRCSAPSPWTPPLPRHPYWEEENRQLESDGDLYMVGLTLIKSQAPPAMEKGHRRSHSHRVHVYQMDFTRRRWLPVQDLRDRAFFVAPYNFGASCLAVADDNEGVEPNCVYSIDRMGDKSFTVSNVKDGTSQQHSFAHLPGTIKRASWMLPAHPKD >LPERR05G09160.1 pep chromosome:Lperr_V1.4:5:8988403:8992555:-1 gene:LPERR05G09160 transcript:LPERR05G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHALPACRLWLALRLSTSSLQVVGQLLPLQNSAAISKAARGVQRLRWMSPLRGLLLHPPHTVTRRTPRVADPVSLLLSPPSLLPLHALQVWDIPEMVLGLSKALTRGGARGPAGQPVYELVARQAGLAARHVELGSAGGRLGGDEPASQADGTA >LPERR05G09170.1 pep chromosome:Lperr_V1.4:5:9000443:9004498:-1 gene:LPERR05G09170 transcript:LPERR05G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLAQISEGFRLAGELMSELPATQNDPGYLASRCLAIADAYSAAVRALQARHGASVDVATPFGGEGYLQQQQHHRPPLDFLRVCCSPEAAAGAGASTFLAAPPPSASYAWAPSDAYGAGTSGGPVRRVSSSSRSPPSPSPVQPRQPGGRRRRENGEKVTVMVAAQRTGNTDLPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEVTYCGNHSCLTSTTPLLTLPTATAAAAAVTNSPANADRQQQQPLSTSIHLGISWPTMAPATASQFAGVGEGRTSAATAARDSTDHFPVADLADVMFNSGSSGGSSILDGIFSSHDRRDN >LPERR05G09180.1 pep chromosome:Lperr_V1.4:5:9043861:9048537:1 gene:LPERR05G09180 transcript:LPERR05G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLMEKVLLGLFVAAVLAVVVAKFTGKKLRLPPGPSGAPIVGNWLQVGDDLNHRNLMAMAKRFGDIFLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVRRDPAAATTGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLGRCHDLKAQRMKLFEDHFVQERKKVMDQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPSIQSKVREEIATVLGGAPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLAGYDIPAESKILVNAWFLANDPKKWVKPDEYRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQSFQLLPPPGMDKVDTTEKPGQFSNQILKHATVVCKPIEA >LPERR05G09190.1 pep chromosome:Lperr_V1.4:5:9049105:9049567:-1 gene:LPERR05G09190 transcript:LPERR05G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTLPSTLLLLPLLLLLISAISKPVADATCTMPPCQGKQSWPELLDKDDNTAYITIKRENPQVTDVVFLISNSVDLEEDGDFCCNRVVVVIADRPGGGEWVTKVPQVG >LPERR05G09200.1 pep chromosome:Lperr_V1.4:5:9053126:9053928:-1 gene:LPERR05G09200 transcript:LPERR05G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCGGLAVAAVRRPLQPRDTNVVASPAALAGKAPKAKTKAKARAASSPPSVRSYAKAAGSCGVVLREVSLKEELEKARERRGRMRAAREVTDRALEERAAALMREAREWDRRADEQSRLVAELMRLIGMPEVYTPVESLRSKEERKRREGNVHSGSLDTASTLQDDVRESSSDQELVETTETSS >LPERR05G09210.1 pep chromosome:Lperr_V1.4:5:9058208:9060228:1 gene:LPERR05G09210 transcript:LPERR05G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQDVAFGVNLIGNNGSAPADDASASSLAGWAPLGSRTTLSTQSEEDRDVVVAAHRDGQEDGLRHALPDGALVPRVTYRVAGWVAVQGGGEGEAISHVVRVSLRVDDGGDGESRVLDCGAVCAGASGGWVEINGVFRLKETPRGSVAVHIHGAAAGVDVKVMDLRVFAADRKARFRQLKEKTDKVRKRDVVLKFGGGAGLAAAGTSGASVRVVQMDNVFPLGTCINGSVIQDPNFVDFFTNNFDWAVFENELKWYWTEAQRGQLNYRDADALLDFCDRHGKPARGHCIFWAVDGDVQQWIKDLGHDDLASAVRGRLNGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPGAQLFVNDYNVECANDPNATPERYIELIDALRRGGADVGGIGIQGHVSNPLGDVICDALDKLATTGLPVWITELDVSEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVNLRREWTSDARGTIDGDGNFRFRGYHGTYVVQVTTAGGKMLKTFTVDKGDTSLVLDMEI >LPERR05G09220.1 pep chromosome:Lperr_V1.4:5:9061893:9067128:1 gene:LPERR05G09220 transcript:LPERR05G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLEELKNENVDLESIPIQEVFAVLKCSPQGLTTADGTGRLEMFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAAGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLNKDAIVLYAARASRTENQDAIDASIVGMLADPSEARADIQEVHFMPFNPVDKRTAITYIDSKDGSWHRVSKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQRVPEGNKDAPGSPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVMGFLLLALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPMPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTRTFGVHPIGESNEELMAAVYLQVSIISQALIFVTRARSWFFVERPGFLLVTAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNTAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTVQNHYTV >LPERR05G09240.1 pep chromosome:Lperr_V1.4:5:9081793:9084789:-1 gene:LPERR05G09240 transcript:LPERR05G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPTPLPLLCFPVLFLVLSSCSLANGGDAPSSFPPGQSPVTTSIPAAAAANGTAALSSTVPAPPPVVVIVVRHHHFHRELVIAAVLACVATVMIVLSTLYAWTLWRRSRRIHGGKAGRSSGLAPIPSILIEILVLELSVCTILALSNPIYVSAFSDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATAKFSESNLLGVGGFGCVYRAVFDAGVTAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEKNHYIVYELMEKGSLETQLHGPSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPTELGGTLRAAEPPSPNLRNSPC >LPERR05G09250.1 pep chromosome:Lperr_V1.4:5:9089198:9090206:1 gene:LPERR05G09250 transcript:LPERR05G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLFPAGAAPSPSLSHVATSAAHGEDSPYFAGWKAYNEDPYDAVTNPDGVIQMGLAENQVSLDLLEDYLREHPEVAGSWVAGDSFRDNALFQDYHGLDAFRNPRRTTVADLDDVDVDVATAAGRVHVVYSLSKDLGLPGFRVGVVHSRNDAVVAAARRMSSFTLVSSQTQRTLAAVLSDEAFVDAYVRANRERLRARREHVVAVLARAGVPCLRGNAGLFVWMDMRRLLGVDGEATFAGELRLWDMMLREVKINISPGSSCHCSEPGWFRVCFANMSLATLDVALERIGRFMDKWSNATVGKINYLQPNRHEVNFSAN >LPERR05G09260.1 pep chromosome:Lperr_V1.4:5:9100766:9102349:-1 gene:LPERR05G09260 transcript:LPERR05G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSSSASANANNLTLGQAMDMPVKTIQLFGTHLHIPATSTATATAPAAANTGSATALSLNAFAGLTQGQGNLSLSLQPAGVLAPTMAPPTMLEPLAMGPAAPVAQNTALATVANAPAWQRNNLAAERATVLVRHLRRCAEALVMARSVDVDAELTSIMRLASPDGDAVQRMAAAFAAALARVAISPWRGVSAALFATDGRDDGTAVALEAAVARQNFLKVCPLLRLAAVAANELIIEATKDDKLIHIVDLGGVNPGQWVELMVAFTSRYQGRPSVRLTVVIKEPGQSYSQAATILTGEATRLGLPFELHVVDSSLDELKLDTLGVRSDHALIVISTLQLHRLGTGTSYMAVAPGIASSLPVAMSSSTVDNLLSGLHRLSPSLFIVTENEANHFWPRFRERFTSALGYYEQLFRSMEEASVLCQPAERKAVERHILKEEIKDIIACENGPRWTRHEQSGMWIGRIDAAGFELWPMGIILAAGRIRSVASQQYGGSHRYGVTEGNGWLILNRMNKPIFCVSAWRSK >LPERR05G09270.1 pep chromosome:Lperr_V1.4:5:9108199:9110985:-1 gene:LPERR05G09270 transcript:LPERR05G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYREKTRGKALSSLLHCLLFFLLGALAMAASVAILHLSSSEWRCSRLTDVNDDDPSSSEVVEEWGMVRTRGVQFVVGNGGSRPFYVNGFNTYWLMVLAVDPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKALDFVVSEARKHRMRLILPLCNNWDDYGGKAQYVRWAQAAGVIDVNLTTSGSVSADAFFSDETIKGYYKSHVNTILTRVNSYTGVAYRDDPTIMAWELMNEPRCASDPTGDTLQAWIGEMASHVKSVDPAHLLTVGTEGFYGRSSSSPVRLRVNPNADVALVGTDFVRNHRVHGVDFASAHIYPDTWLPAGATREEQVRFAESWVEAHVADGEGAAAVGGKPVLFSEFGVSVSGAKKAAAFNATSRDAFVEAVYGAVLRSTRRGGGGAGALLWQVFPEGTEYMDDGYVVVLPRAAATAGIIAAHSRRLQAFNSRCKTMTRNVDVRLVKYLLMMFPLECTLEFFIF >LPERR05G09290.1 pep chromosome:Lperr_V1.4:5:9123977:9126604:1 gene:LPERR05G09290 transcript:LPERR05G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPSLLATIQCLTLSSLLSVALSAGNNSTTSAPIFLNCGASGVQPDNNNNRTWDGDASSKFAPSVTGVQAKATYQDPSLPSTVPYMTARVFTSNYTYSFPVSPGRMFVRLHFYPAAYGNFASTDAYFGVTTNSLILLDNFNASQTALATNFVYLIREFSVNITSGSLELTFAPSTRNGSYAFVNGIEIVSTPDIFKSTTSTTDPPNLDPTTGFQTMYRLNVGGQAITPQGDTGFYRSWDNDAPYIYGAAFGVSFSKDSNISIKYSSNEPNYTAPIDVYATARSMGPNAQINLNYNLTWIFPVDAGFSYFLRFHFCEIQYPITKVNQRSFFIYINNQTVQTQMDVIIWSGGIGMTTYTDYVVQTVGSGQMDLWVALHPDLSTKPEYFDAILNGLEVFKIEDLGRKNLAGLNPPLPPKPDVNPNGGLSGGKPKSAVPAAIGGAVGGLAVLLIVCFGVCIICRRKKKVAKDFAKSEDGRWTPLTDFTKSQSGTSGKTTTTGSHSTLPSNLCRHFSFAEIQAATNNFDKAFLLGKGGFGNVYLGEIDSGTKVAIKRGNPMSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALNPSLPKEQVSLADWALHCQKKGVLGEIIDPRLQGKIAPQCFLKFAETAEKCVADRSIDRPSMGDVLWNLEFALQLQESTDDSSDLTEGTSASMSPLVVTRLHSDEPSTETTTTSTTTTTVSITDRSIASVQSDGLTPSNIFSQLMTPDGR >LPERR05G09300.1 pep chromosome:Lperr_V1.4:5:9147172:9150602:1 gene:LPERR05G09300 transcript:LPERR05G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVFDYHFDCRVRDLADRRCPGVVPRVPMYAFVDPSKEDDSDDAMVEDVKVAIDPSPAASRSALLKHHRRIYLQAHT >LPERR05G09300.2 pep chromosome:Lperr_V1.4:5:9147172:9150602:1 gene:LPERR05G09300 transcript:LPERR05G09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVFDYHFDCRVRDLADRRCPGVVPRVPMYAFVDPSKEDDSDDAMVEDVKVAIDPSPAASRSALLKHHRRIYLQAHT >LPERR05G09300.3 pep chromosome:Lperr_V1.4:5:9147172:9150602:1 gene:LPERR05G09300 transcript:LPERR05G09300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVFDYHFDCRVRDLADRRCPGVVPRVPMYAFVDPSKEDDSDDAMVEDVKVAIDPSPAASRSALLKHHRRIYLQAHT >LPERR05G09310.1 pep chromosome:Lperr_V1.4:5:9151195:9153521:-1 gene:LPERR05G09310 transcript:LPERR05G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFAATASYQDPSLPSPVPYMTSRIITLNYTYSFPVRPGRMFVRLHFYPVAYDSYATADAYFGVTTNNLILLDNFNASQTALAANYAYIFRELSVNVTSGNLDLTFSPSTRIGSYAFVNGIEIVPTPDIFTTLTPAYVIDGNPDPSDIDSAMGFQTLYRLTVGGQAISPLGDCMFYRLWEDDSPYIYGAGFGVAFGKDSNVTITYPSTKPNYIAPVDVYASARSMGPNAEINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQWSFFIYINNQTVQNQMDVIIWSGGIGIATYTDYVIITVGSGQMDLWVALHPDLSSKPEYFDAILNGLEVFKLEDIARKNLAGLNPPLPPQSDVNPSNNRESRERKSKRIVPTTIGGAVGGTAALLFACVCIICQRRKLRKDSGKTDKNCLTYRTEFNNSPSNLCRHFTFHEMQVATNSFDEACLVGRGGFGNVYRGEIDNGTKVAIKRSNPSSKQARGLHYLHTGAKETIIHRDVKTANILLDDKWVAKVSDFGLSKSSSDIHTSHISTLVKGTFGYFDPEYFRWKQLTQKSDVYSFGVVLFEVLCARPAVNFELPDEQVSLRDWALSCWKKGMLGEIIDPHLQGEITPDCLRKFTDCAEQCVADQSIDRPHIGVVVRNLEVALKLQESAEHNSKFSEETSTPEMMTIMGTDTPSTYSTMSITGQRTIFSDIMHLESR >LPERR05G09330.1 pep chromosome:Lperr_V1.4:5:9186045:9187819:1 gene:LPERR05G09330 transcript:LPERR05G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLNVGGQTISPKDDSGYYRTWDKDSPYIYGDSGVNFFKDENLTIRYPPTMPSYIAPVGLYETARSMGLKAYANLSGTVGNGQTDLRVALHPGIETNPEYTDAIMNGLEVFKLQNINKSNLAGTHPVPWKRRDRDPIVATIGGAVFVLVVLIAGGFGNVYKGKLDHGMMVAIKRGNPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCGDESEMILVYDYMENGTLQEHLYGTQRPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSSNILLDDKWVAKVSDFGLSKVSTDMDKNYVTTVVKGSFGYLDPEYFRRLKLTKKSHVFSFGVLLFEVLCARPVINPELPDEQVSLRDWALSCQKKGILGEIIDPSLRGEITPRCFRMFTETAEQCVADYSMYRPSMGDVLSNLEVALQLHESQDDNCGLCNETSLNISTTMSISGQKAVFSGLMHPDGR >LPERR05G09340.1 pep chromosome:Lperr_V1.4:5:9195671:9197823:1 gene:LPERR05G09340 transcript:LPERR05G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDDRAPAEITCDPESHLKDALLKMFAASFEHQEGEGAEGNVQVETSGTNSKPDKPYENEVFKNCKKLVCMASEACRTQEGYNLALRSMEELSDKLATIDLTRQDSHLPQPNIWDKSGKGITVGKSKTLAEAVVSKDCLKESTRIRFAAFDNNIESARKRNKASKTSSEAL >LPERR05G09350.1 pep chromosome:Lperr_V1.4:5:9199607:9207636:-1 gene:LPERR05G09350 transcript:LPERR05G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSDMSILQRIATSDVPLVKEYGLPGIIGAILLAIVIPIVLSSVFNKNGKKRAVQADVGGEAGLAMRNSKFSNLVEVPWEGATTMAALFEMASKKYSQHRCVGTRKLINREFVESPDGRKFEKLHLGEYEWNSYSEAFNRACNFASGLIKLGHQQDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSIKHVIYIEDEPVEADTLNQMKHWTTLSFGEVEELGKASHTDARLPSSTDTAVIMYTSGSTGLPKENKYKQRGVMITHGNMVATTAAVMTIIPNLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDSLIFKPIRSMLGGRIRFVLCGVLNYLRCSVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLISWEEGGYKISDSPLPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSSYVDNIMVYADPFHNYCVALVVPVHQAIEKWAQSSGINYKNFDELCHNDQAVKEVQQSLSKAAKAARLEKFEIPAKILLLPEPWTPESGLVTAALKLKREQIKTKFKDDLNKLYH >LPERR05G09360.1 pep chromosome:Lperr_V1.4:5:9223355:9224084:-1 gene:LPERR05G09360 transcript:LPERR05G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESLAAGRSRRVGAKTPRPKRVRVYFVDADATDTDSSGDERSRRRVREVIDIDVGGDAASSPSPSTRWLCVWPRRRRRCWRGVAPGWRAEEAAAVYDHAALRIKGSHAVTNFDSPYPSAKRRHTTPQRANATVAAAASSPSPSPAQPEDDSAAAPASEAFNPFASPTSVLRYEADEVVVAAPSFEHLLDEVLGDLSAPPPPPTKAAEFDWLPWWEGEDFVTAGMTASNAVSVI >LPERR05G09370.1 pep chromosome:Lperr_V1.4:5:9228618:9228908:-1 gene:LPERR05G09370 transcript:LPERR05G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFRGQQSKEGCDGSGNMRRLPSVPARSGAHRSELLTAVAHSGFIFSHLPFPPFCTQPPPPPSPPAAPQ >LPERR05G09380.1 pep chromosome:Lperr_V1.4:5:9261331:9263500:-1 gene:LPERR05G09380 transcript:LPERR05G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHWDPHPGTTKKKPPPTSSSSSPSSSTKSAVAKLLLRCRGRSGNSAAKDESIEFFSALRNSPPDRGAAASDHDAAHVERGKAKRTGGDGDGNGNGGKLMSTGTGKNDYNWLLTPPASPLWTPATSAAAGHRAATPTPAPAPSRLERASSASAANAKGNSRLPSAARRESGSPAPAASRLARSSSSSTSQFNAHRPPYGRALSSSASASSINTVSNASASSTPRGSSAATSPRTPATSAAATRTRHRDRTQALHVFGSVSVAAAAGGGKPINSFSSSASHSRSRPSPTSGASPRATAGATAATSSPRSTAATTRQRGANNVARSGSTPQPTSPSPRPRDANHLAAGAGASRVAPARQPPAPAPEKTTQRWRPAPTTAAATVASGRNGRREDPVAVAHGSPRTSDIRRKNIDAANTSGGAASRRASTDGGSPTSTTGGRNKPSTTATADTDAKRLWQGAAARRHLIAGAARRDTTTTRGGRSSVASRSRLGIASSGAGATVTPAKGKTAPAAAAAASARVVAVAADAFPSTRYDAMLLREDPRNLTWLHGCDDDGDEDDGIGGDGGLVEAFDVAAGSSSSSTGMALNFGANLDGSHVI >LPERR05G09390.1 pep chromosome:Lperr_V1.4:5:9264190:9270780:-1 gene:LPERR05G09390 transcript:LPERR05G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAERDGEEQRRPLLSASSPPPPAAEPEHQHRHQQQYQYLGRSSSSALRGGGGGWGVGPEVSAAEVRSAASISSGNYYPPPPAIHQDVYPPDIHSAVHSPSPSPAPTPAHSHGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEEREISTNKEPYEGGKVDGRDKGPVLGVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCEGRGEMPCPTCNAGQEHGFYKANQMTRCSACYGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCTGYGSLLAKSTAHTLSTKKVSATRGAASVPEEVFHTAQGVQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPAARVISERHIISVVPVIRVTMANRKQCFSFYVVGYSRDVFIRDYPSKFCWGLCCCFEWLRN >LPERR05G09390.2 pep chromosome:Lperr_V1.4:5:9267839:9270780:-1 gene:LPERR05G09390 transcript:LPERR05G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAERDGEEQRRPLLSASSPPPPAAEPEHQHRHQQQYQYLGRSSSSALRGGGGGWGVGPEVSAAEVRSAASISSGNYYPPPPAIHQDVYPPDIHSAVHSPSPSPAPTPAHSHGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEEREISTNKEPYEGGKVDGRDKGPVLGVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLGTNRRLITIELRRKALRCHEMIKA >LPERR05G09390.3 pep chromosome:Lperr_V1.4:5:9264190:9267299:-1 gene:LPERR05G09390 transcript:LPERR05G09390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQSGAKSEGSGLGSRQQASEDEEDCEGRGEMPCPTCNAGQEHGFYKANQMTRCSACYGRGLLAHQDGSDTVKTLSTKKVSATRGAASVPEEVFHTAQGVQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPAARVISERHIISVVPVIRVTMANRKQCFSFYVVGYSRDVFIRDYPSKFCWGLCCCFEWLRN >LPERR05G09400.1 pep chromosome:Lperr_V1.4:5:9276098:9280450:1 gene:LPERR05G09400 transcript:LPERR05G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGVVVLFCLFFVLVRDVSAVAETEIGDVLRVVQKAPDRELESAIKPDGSKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQAYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKVHNIPEGLAVSMLLSSKGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSITFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILRIVNRKKSSVNVITSSSGLSLSVLTMQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >LPERR05G09400.2 pep chromosome:Lperr_V1.4:5:9276098:9280347:1 gene:LPERR05G09400 transcript:LPERR05G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGVVVLFCLFFVLVRDVSAVAETEIGDVLRVVQKAPDRELESAIKPDGSKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQAYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSKGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSITFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILRIVNRKKSSVNVITSSSGLSLSVLTMQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >LPERR05G09400.3 pep chromosome:Lperr_V1.4:5:9276098:9280450:1 gene:LPERR05G09400 transcript:LPERR05G09400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGVVVLFCLFFVLVRDVSAVAETEIGDVLRVVQKAPDRELESAIKPDGSKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQAYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSKGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSITFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILRIVNRKKSSVNVITSSSGLSLSVLTMQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >LPERR05G09400.4 pep chromosome:Lperr_V1.4:5:9276397:9280450:1 gene:LPERR05G09400 transcript:LPERR05G09400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGVVVLFCLFFVLVRDVSAVAETEIGDVLRVVQKAPDRELESAIKPDGSKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQAYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSKGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSITFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILRIVNRKKSSVNVITSSSGLSLSVLTMQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >LPERR05G09400.5 pep chromosome:Lperr_V1.4:5:9276483:9280447:1 gene:LPERR05G09400 transcript:LPERR05G09400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGVVVLFCLFFVLVRDVSAVAETEIGDVLRVVQKAPDRELESAIKPDGSKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQAYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSKGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSITFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILRIVNRKKSSVNVITSSSGLSLSVLTMQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >LPERR05G09410.1 pep chromosome:Lperr_V1.4:5:9283942:9290193:1 gene:LPERR05G09410 transcript:LPERR05G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGGSSDRRGAGAGAGAGGAGAGAAPSGLRRYGFRFSASSLLQAPLAALLEYSGVVPSGPAAPQPGAPSALSPRPSSSSEADGLLSAAAAVGDGEVSIRIQGGPGDADNAAASAAEDSIEATAAADVDQASAAAGRGGADAEASGGGADGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYKNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQATMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVVAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >LPERR05G09420.1 pep chromosome:Lperr_V1.4:5:9290775:9293896:-1 gene:LPERR05G09420 transcript:LPERR05G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQQPPPPAFEHHHPPSVGPASPPPQDSLAAQAMRASAAHRDASSLSSAYSSSPSAAAASAAAAPARRSHHEPSVSTPSPNSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQQTSRDAPSVGVQYTRTHQPPSETWKSETPPSQKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEPAQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDEGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPARTGSESCPVVPASPNSLSRTSSKQ >LPERR05G09420.2 pep chromosome:Lperr_V1.4:5:9290775:9293896:-1 gene:LPERR05G09420 transcript:LPERR05G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQQPPPPAFEHHHPPSVGPASPPPQDSLAAQAMRASAAHRDASSLSSAYSSSPSAAAASAAAAPARRSHHEPSVSTPSPNSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQQTSRDAPSVGVQYTRTHQPPSETWKSETPPSQKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEPAQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDEGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPARTGSGLSRSVTPATPRTASSSPSSTSIVVPESCPVVPASPNSLSRTSSKQ >LPERR05G09430.1 pep chromosome:Lperr_V1.4:5:9297350:9298331:1 gene:LPERR05G09430 transcript:LPERR05G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSCNVVTDDDDTRQSKKMMKTAVTSTASGPLVLPFVDYLVWEEILMQLPSTSLARLRCTSRSWNERITSDGFVEGYLQRQQKLAMATDNHKLVLPPLSKGHAIGSWRRSPLQARLCRDCPRMVGARPCRGLVLFCRPCAAQTYSVCYPSTGGVLHLPRPSDDDDDDECIIADADPVLANGRLHWTLSAKFLTDNPAPQAGILVFSIGDETFTTVPMPPFASADLVQCGSVYSEPYMEHIRPSRSRNGDYVYTPAGTVLAELDGCLCMVRDLRRRARAGESCMFEIWKLSSSIRHTMTTPPAAAGHWIIR >LPERR05G09440.1 pep chromosome:Lperr_V1.4:5:9298391:9298768:1 gene:LPERR05G09440 transcript:LPERR05G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYLSDDDTEYHSSPTTGNKKLLLATTAHEAHVHDPATGVLHTVASMPDDERRSCDTPFYLDNRLRLALHQESPVQCPAWNTTM >LPERR05G09450.1 pep chromosome:Lperr_V1.4:5:9306653:9318864:1 gene:LPERR05G09450 transcript:LPERR05G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCCPSPRCHLSNPNPTPNPGRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEAHKSLRRARKDTAAAAASNALLPKEEEEGALAAEEEARFLAAAAEYRALMGRPWRGGGGGGGALAPTRGALEGEGLDGLREMLAARRADKFEWLLDDDVEEEEAVVGRRGRVGAGWDSDFREEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKSWRPTEALRVFNIMRADAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMKQKPPKRVMKMRRRDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLTPTGATFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVLVRSYWEQGKVNEAVEAVKDMEQRGVVGAASVYYELACCLCNKGRWRDALLQVEKLKQLPLTKPLEFTFTGMILASFDGGYILECISIFESMKGCCTPNIGTINVMIKVYGRCDMFGKARDLFETIKVNLPSSNHSSHKADAYTYSSMLETAASAQQWEYFENVYREMTLSHHHLDQNKYSWMLIKASKAGKLYLLEHALDSILERGETPNVQLFCEMICQNIAQSNHAKTLHLLNIMNEASSDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIVKSGSALSFVRALQSQCGTTFVKDTSFLADGTCTGQSQLSLTENITKSSNGNPDQLPGMNSMNTNVFPDENVSSEFSDYIRDTQQFGANAGLSMDIVIGSHFGSKQKEQHDLGHLGTGVSAVDEETTPEVEVYAPCTPNSYTYNCLLDALAKAGRADDAQARLQEMVARCGDESVDKYTLTSLLRCYCNAGRPDDANEVFQRMSDRGWVDEYVLTTLAVAFSKWGKVDGAVELVVRMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMTSYGFVVDLAMYSVLIEGLCQRKDIEKAVTLFEEMKSSGVAPDARLLKKMVEAFCTEGNFTIVGPFINENAEYLKSGSVVTVYNVVLEGLVCRGEVEAAYHLLHSMLCGGHGVNHDVAVGAHMLHITDDAKPNSDSFNIVVCGLCKDKKLDMALALTKDMISLGCKGKILMFNDLIHELCIIDRLEEGFGIFNQMKDLGLIPSEFTYNSLFYGICRRKDPKAALDLLEEMRKNGHSPWIKNCTEMVQQLCSSGRITEALQFLDGMLQIGFLPDIVTYSAALNGMCKTGEVNSAVGLFRGISCKYYLPDVVAHNIMINGFRKSSRLDEAQKVMEEMLDKGLFPSVVTYNLMIDVCCKTGMIEKAIGYLDKMVAEERRPTVITYTSLIDGFCSAGRPDEAIKLWREMSEKGCAPNDIAYIAFVNGLCKCGRIEIALTYFEEMLTKGFELDTFSLLYFINFLISNGHSTKGCELLNEVLQKDTYGNNLKMVGLINKAVVELSKDGRTSSYILKFLEKASIYWCQPCGAQSCILFIPSVYISICFMQLLSSSITLMSTLWCQVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGFAMEVMLKAKKYDFVQKFFEKMQKSGELIARAQQKQKLQDRKRTRDQLEEMKSTAQPVYEGIDPRLMKQLGITKEVEYMVSPVFSRDSVRRRGGGILQKLGHYLKP >LPERR05G09450.2 pep chromosome:Lperr_V1.4:5:9306653:9318864:1 gene:LPERR05G09450 transcript:LPERR05G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCCPSPRCHLSNPNPTPNPGRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEAHKSLRRARKDTAAAAASNALLPKEEEEGALAAEEEARFLAAAAEYRALMGRPWRGGGGGGGALAPTRGALEGEGLDGLREMLAARRADKFEWLLDDDVEEEEAVVGRRGRVGAGWDSDFREEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKSWRPTEALRVFNIMRADAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMKQKPPKRVMKMRRRDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLTPTGATFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVLVRSYWEQGKVNEAVEAVKDMEQRGVVGAASVYYELACCLCNKGRWRDALLQVEKLKQLPLTKPLEFTFTGMILASFDGGYILECISIFESMKGCCTPNIGTINVMIKVYGRCDMFGKARDLFETIKVNLPSSNHSSHKADAYTYSSMLETAASAQQWEYFENVYREMTLSHHHLDQNKYSWMLIKASKAGKLYLLEHALDSILERGETPNVQLFCEMICQNIAQSNHAKTLHLLNIMNEASSDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIVKSGSALSFVRALQSQCGTTFVKDTSFLADGTCTGQSQLSLTENITKSSNGNPDQLPGMNSMNTNVFPDENVSSEFSDYIRDTQQFGANAGLSMDIVIGSHFGSKQKEQHDLGHLGTGVSAVDEVLDSMNLYSDGSYGEMLSASEILELWEQERINDMFSAKKAEPLCEDSCTPNSYTYNCLLDALAKAGRADDAQARLQEMVARCGDESVDKYTLTSLLRCYCNAGRPDDANEVFQRMSDRGWVDEYVLTTLAVAFSKWGKVDGAVELVVRMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMTSYGFVVDLAMYSVLIEGLCQRKDIEKAVTLFEEMKSSGVAPDARLLKKMVEAFCTEGNFTIVGPFINENAEYLKSGSVVTVYNVVLEGLVCRGEVEAAYHLLHSMLCGGHGVNHDVAVGAHMLHITDDAKPNSDSFNIVVCGLCKDKKLDMALALTKDMISLGCKGKILMFNDLIHELCIIDRLEEGFGIFNQMKDLGLIPSEFTYNSLFYGICRRKDPKAALDLLEEMRKNGHSPWIKNCTEMVQQLCSSGRITEALQFLDGMLQIGFLPDIVTYSAALNGMCKTGEVNSAVGLFRGISCKYYLPDVVAHNIMINGFRKSSRLDEAQKVMEEMLDKGLFPSVVTYNLMIDVCCKTGMIEKAIGYLDKMVAEERRPTVITYTSLIDGFCSAGRPDEAIKLWREMSEKGCAPNDIAYIAFVNGLCKCGRIEIALTYFEEMLTKGFELDTFSLLYFINFLISNGHSTKGCELLNEVLQKDTYGNNLKMVGLINKAVVELSKDGRTSSYILKFLEKASIYWCQPCGAQSCILFIPSVYISICFMQLLSSSITLMSTLWCQVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGFAMEVMLKAKKYDFVQKFFEKMQKSGELIARAQQKQKLQDRKRTRDQLEEMKSTAQPVYEGIDPRLMKQLGITKEVEYMVSPVFSRDSVRRRGGGILQKLGHYLKP >LPERR05G09450.3 pep chromosome:Lperr_V1.4:5:9306653:9318864:1 gene:LPERR05G09450 transcript:LPERR05G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCCPSPRCHLSNPNPTPNPGRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEAHKSLRRARKDTAAAAASNALLPKEEEEGALAAEEEARFLAAAAEYRALMGRPWRGGGGGGGALAPTRGALEGEGLDGLREMLAARRADKFEWLLDDDVEEEEAVVGRRGRVGAGWDSDFREEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKSWRPTEALRVFNIMRADAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMKQKPPKRVMKMRRRDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLTPTGATFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVLVRSYWEQGKVNEAVEAVKDMEQRGVVGAASVYYELACCLCNKGRWRDALLQVEKLKQLPLTKPLEFTFTGMILASFDGGYILECISIFESMKGCCTPNIGTINVMIKVYGRCDMFGKARDLFETIKVNLPSSNHSSHKADAYTYSSMLETAASAQQWEYFENVYREMTLSHHHLDQNKYSWMLIKASKAGKLYLLEHALDSILERGETPNVQLFCEMICQNIAQSNHAKTLHLLNIMNEASSDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIVKSGSALSFVRALQSQCGTTFVKDTSFLADGTCTGQSQLSLTENITKSSNGNPDQLPGMNSMNTNVFPDENVSSEFSDYIRDTQQFGANAGLSMDIVIGSHFGSKQKEQHDLGHLGTGVSAVDEVLDSMNLYSDGSYGEMLSASEILELWEQERINDMFSAKKAEPLCEDSCTPNSYTYNCLLDALAKAGRADDAQARLQEMVARCGDESVDKYTLTSLLRCYCNAGRPDDANEVFQRMSDRGWVDEYVLTTLAVAFSKWGKVDGAVELVVRMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMTSYGFVVDLAMYSVLIEGLCQRKDIEKAVTLFEEMKSSGVAPDARLLKKMVEAFCTEGNFTIVGPFINENAEYLKSGSVVTVYNVVLEGLVCRGEVEAAYHLLHSMLCGGHGVNHDVAVGAHMLHITDDAKPNSDSFNIVVCGLCKDKKLDMALALTKDMISLGCKGKILMFNDLIHELCIIDRLEEGFGIFNQMKDLGLIPSEFTYNSLFYGICRRKDPKAALDLLEEMRKNGHSPWIKNCTEMVQQLCSSGRITEALQFLDGMLQIGFLPDIVTYSAALNGMCKTGEVNSAVGLFRGISCKYYLPDVVAHNIMINGFRKSSRLDEAQKVMEEMLDKGLFPSVVTYNLMIDVCCKTGMIEKAIGYLDKMVAEERRPTVITYTSLIDGFCSAGRPDEAIKLWREMSEKGCAPNDIAYIAFVNGLCKCGRIEIALTYFEEMLTKGFELDTFSLLYFINFLISNGHSTKGCELLNEVLQKDTYGNNLKMVGLINKAVVELSKDGRTSSYILKFLEKASIYWCQPCGAQSCILFIPSVYISICFMQLLSSSITLMSTLWCQQWKGVFWVFQQMRRNSLIPTGATFGFAMEVMLKAKKYDFVQKFFEKMQKSGELIARAQQKQKLQDRKRTRDQLEEMKSTAQPVYEGIDPRLMKQLGITKEVEYMVSPVFSRDSVRRRGGGILQKLGHYLKP >LPERR05G09450.4 pep chromosome:Lperr_V1.4:5:9306653:9318866:1 gene:LPERR05G09450 transcript:LPERR05G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCCPSPRCHLSNPNPTPNPGRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEAHKSLRRARKDTAAAAASNALLPKEEEEGALAAEEEARFLAAAAEYRALMGRPWRGGGGGGGALAPTRGALEGEGLDGLREMLAARRADKFEWLLDDDVEEEEAVVGRRGRVGAGWDSDFREEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKSWRPTEALRVFNIMRADAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMKQKPPKRVMKMRRRDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGFAMEVMLKAKKYDFVQKFFEKMQKSGELIARAQQKQKLQDRKRTRDQLEEMKSTAQPVYEGIDPRLMKQLGITKEVEYMVSPVFSRDSVRRRGGGILQKLGHYLKP >LPERR05G09460.1 pep chromosome:Lperr_V1.4:5:9326810:9327355:1 gene:LPERR05G09460 transcript:LPERR05G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKPRTGKKKIEIKRIEKTAARDVCFSKRCRGLIKKAGELSLLCDANIACVVFSRGGKAFSFGHPSVDDVANRLASMAMGTSNNPSVGGRSHNSGEVTDILQRQLKHEHVELKKSLLTHEEDKQTMEKQEMEKETVEKETGGHLMEWLNSEVNILGVDELEELQYKLLVVHVDVSARLY >LPERR05G09470.1 pep chromosome:Lperr_V1.4:5:9332429:9333703:1 gene:LPERR05G09470 transcript:LPERR05G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWKAPPPATAAALPCDRRLMERIESERLAVRSLLKKADALVAHRKSRNGSASKAAAAPRSDACAPPRRGKKTRPMVIPTTDGDASPKKRRKTGPMVEVEVIGPTMPMAQRDRLAGLLSSLSTLPDHIVELIQSQCCCVGDGMAIDVDSTKDAVLFKLLNLLDEFAAQQQTNVEAVDESDAVSQLVEEGEIVDELDICGGVSTPVVVENVQFTPIPKQQEEDDELIDICGGVSPVSVSNFPETVTSPSPSSSSSSSSSSNSSGSSDSDSPSSPSTSRGTTSGSSSSSSDSDSDEDTASSSPQDASDLPIEAEAKPLEQQQQVTEEGKLQLLTERAASPDTEMQELMARAQQKQRLQQRKRAREQLEEMKSTAQPVYEGIDPRLMKQLGITKEVEYMVSPVFSPDSVRRCGGGILQKLGYYLKP >LPERR05G09480.1 pep chromosome:Lperr_V1.4:5:9335750:9336637:-1 gene:LPERR05G09480 transcript:LPERR05G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEGAGVLLPGLRFDPSDGGLVGQYLLRRLQGQPLPLHGVILEADPLSAQPWKLLAEHGCDGDDAFFFAEARAKNGKGKRQKRTVEGGGFWQGQRICVDGQKLLVPDGGGLEIAWRKYLLSFFADSEKGSSGWVMHEFAVTAPADLAASPIRLYRVRFSGHGKKRKREPQCPVNDGDQERPAKAAHLLEDQNLSGVMMMGDDQLLPPDYLPGRIDGDMMPDLFVSRADTGESSSSPDNQNYDWFELPDSIEEMLNSCASMEPDASCFGFTMDELFDGLPADYSVLEIERDER >LPERR05G09490.1 pep chromosome:Lperr_V1.4:5:9340320:9341450:-1 gene:LPERR05G09490 transcript:LPERR05G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEVSCGLLLAVSSSLSESESLSDELLHDDPEPLLEDEEESRLLLLLELGEFSVSGKGDAATDVDQLIILLLFLGKRGELKIVHDEQGRNAAADVQVLIDDLPVLQMTDGVGRVHRLDLGPFRLSNLNKTESLVERRSTSISSLSGHTKQQHWLRINPTMCGGKGISAESEERRPVRRSLCAMGMVGPITSTSTIGPVFLLFLGNASPSVLGMTTGRVFLPRREGAHASERGAAASFAAAPLLALRWATKPSAFLRRAHTARRSDSIRSISRRSQGNAAAMAGGGAVPTAMSQIDRPTESMGGVGIEKRAGGEERWIESSGRKGGDRWMDWEWKGKRRSAAAEDFCRA >LPERR05G09500.1 pep chromosome:Lperr_V1.4:5:9340495:9341796:1 gene:LPERR05G09500 transcript:LPERR05G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTAPPPAMAAALPCDRRLMERIESERLAVCALLKKAEGLVAQRKANNGAAAKEAAAPRSDACAPSRRGKKTRPVVIPSTDGDAFPKKRRKTGPMVEVEVIGPTMPMAQRDRLTGLLSSLSAEMPLPPHIVGFIRSQCCCFVCPDSDEMDVDLRSTKDSVLFKLLNLLDEFAQQQTKIQKLEEEEKPERPKIEAVDSSDAIGHLEDGEIVDEDLDIGGGVSPLLVVDNLQFSSLPKKQEEDDELIDICGGVSPVSVSNFPETENSPSSSSSSSRDSSSSSSSGSGSSCSSSSDNDSDSDSDEDTASSSPQDTSGLPIEAEVKPLLEEQQLVTEQHKELITERAASPHTEMQELIARAQEKQKLQHRKRAREQLEEMKSTAPPVYEGIDPRLMKQLGITKEVEYMVSPVFSRDRVRRHGGGILQKLGYYLKP >LPERR05G09510.1 pep chromosome:Lperr_V1.4:5:9342623:9345819:-1 gene:LPERR05G09510 transcript:LPERR05G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPALSFPTTLHPPLHQHPRRRRRRRGAEPPACVYSERGITFDPGSAFYRSDSAAGRDLAVLAATLHRRRLRRPDPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVIVNNLSRFERAAAPEAMARRWVVSHLDATRLLAERFLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAVREAAILGFHITPLFSYYAYHGPIFRVMVQLCYGKQDGISNYGFICHCKSCGQSRTFGFDELGQITCGCADKTDPSSITVVGPLWIGPLHDTTFLTEMLSLATEWGWANTIENGVTLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTSCPIDACLEVAREIRNLR >LPERR05G09510.2 pep chromosome:Lperr_V1.4:5:9341617:9342613:-1 gene:LPERR05G09510 transcript:LPERR05G09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVDIAEKIVAVEEHRSVFGIRCPSRFPASIGFQNLRRTLTKAVVAELLKNATAVATHSVTREHRADHVLDLFGDPQLLHQPWVDALVDWRRRTLHLL >LPERR05G09520.1 pep chromosome:Lperr_V1.4:5:9348681:9349481:-1 gene:LPERR05G09520 transcript:LPERR05G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHEKFRLSHLIPNSWFYKLRDMKRPRPTASQIRSTGATAARSSRRSSSSSSSHYHYLHGHGTTTPKPLPLSPHRYSYYPYLQLQPPKQMPQFDKQSHLISRSPLYQISPASAVAGEDDDEFHDLQLRPIRTRPPASSSAATELTSGISGTCPSSPRLRSRRLHVLGGCESSSKASIGDDGGAVSRRCRRRSLAVVKASSEPARDFRESMVEMVVENGVRAPEDLIELLQCYLSLNSPEHHGVIVEVFRGIWVEIVADADCCVEV >LPERR05G09530.1 pep chromosome:Lperr_V1.4:5:9358072:9359301:-1 gene:LPERR05G09530 transcript:LPERR05G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRDRLLMERIESERQAVSALLNKAEDLVARRKGVNAKKTAAAHSEARADGDASPKKRRKTIPMVEVEVIEPTMPMAQRDRLTGLLSSLSTLPDHIIELMQSQCCCVVDPNGDGMAIDLDSTKDAVLFKLLNLLDEFAAQQQTKIEEAVDASDVVSQLVEEGEIVDEDLDICGGVSPLLVVDSVQFSQLPKQQEDDDELIDICGGLSPVSVSNFPETCPSPNSSSRSSSSSGSSSCSEDSSSSSSDASSRSSKKSSGSSSGSSCSSSSSSDSDSDDDEDTASSRPKTSDLPIEAAANPLEQQEDVTEEGKQLITERAAASPDTEMQDLTARAQEKQKLQHRKRAREQLEEMKSTAQPVYESIDPRLMKQLGITKEVEYMVSPVFSRDSVRRHGGSILQKLGFYLKP >LPERR05G09540.1 pep chromosome:Lperr_V1.4:5:9362226:9363113:1 gene:LPERR05G09540 transcript:LPERR05G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEGAGVLLPGLRFDPSDGGLVGQYLLRRLQGQPLPLHGVILEADPLSAQPWKLLAEHGCDGDDAFFFAEARAKNGKGKRQKRTVEGGGFWQGQRICVDGQKLLVPDGGGLEIAWRKYLLSFFADSEKGSSGWVMHEFAVTAPADLAASPIRLYRVRFSGHGKKRKREPQCPVNDGDQERPAKAAHLLEDQNLSGVMMMGDDQLLPPDYLPGRIDGDMMPDLFVSRADTGESSSSPDNQNYDWFELPDSIEEMLNSCASMEPDASCFGFTMDELFDGLPADYSVLEIERDER >LPERR05G09550.1 pep chromosome:Lperr_V1.4:5:9369432:9370121:1 gene:LPERR05G09550 transcript:LPERR05G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSAASCVTCGLLAPCRRALARLFRLPARSVRAFRFRTLRRAASNITPRHHRRRRRQRQRTTFHSVRNVFWPLVPSPAPATTTTSTDRLQGERVVEAPPPAAAAEAEAAVVQAPVPSPETPAYVRMVARLRSSRSSGGEEEGACRSFESRLMEMLLEEGKVRDLQDVEELLRCWDRLRSPVFVDLVCSFYGELCKDLFSPEEEEDGGGGDELGTSSSTTGGAHGLIK >LPERR05G09560.1 pep chromosome:Lperr_V1.4:5:9379254:9385574:-1 gene:LPERR05G09560 transcript:LPERR05G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYSCFSIKLFKAFRKARRHVALVRRRVFDRKNLALLAFLPTTTTTGAAAWIVTMSVSGGLTLARRAVEAVRRTPRWQKRLLVLTVGAGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCKSDNGTNIDGNGDFVVDYDYLIVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVMKCFERASLPNLTEEERKKNLHFVIIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVTDKAITMTNSATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMGFHDLLKDSEGNAIKELKELDIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNRMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIYGRDSSSL >LPERR05G09570.1 pep chromosome:Lperr_V1.4:5:9395242:9396615:1 gene:LPERR05G09570 transcript:LPERR05G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELEKNGNILLQRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLLAGYLPFQDSNLMEMYRKIGKAEFKCPAWFPSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLIHKNVETKESVTALADVNVVFSSISSSSSKKTEEAQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVATCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNHGDTLEYQNLWKQDMKPALKDIVWAWQGERQDRQPGDHGQA >LPERR05G09580.1 pep chromosome:Lperr_V1.4:5:9408346:9410070:-1 gene:LPERR05G09580 transcript:LPERR05G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSTSGVEAASTTTTKLGRLNAAVERSWIGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTVDDCDAPSPGCKFPPVVDPGYAACVSRARRDLIVATAASSVIGSFIMGVFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISVVGLRSRLAKFIPKPVRISSSAGIGLFLAFIGLQNGQGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKSVKGAMIYGILFVTFVSWPRGTAVTAFPDTAAGDEAFAYFRKVFDAHRIRSTAGALDFSGAGHGHFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASIPAWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVALLRSRRNAAREERMRNGGNGNGNDGAAAGDGGEQGKSLEMA >LPERR05G09590.1 pep chromosome:Lperr_V1.4:5:9429976:9430494:-1 gene:LPERR05G09590 transcript:LPERR05G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASRILPSFFRRRRVVSPEELLELEIRLWDIAPAAAYELQKRRHWTPEMVAREAEKQRWVAEQKRLIAKESKRSRRRRGKSGAVVDGSVADLDKALGEEFERRRFYEELRLQSAGLAARRIAPPPRPPTEYSSVALDNGENDGDLPARGNEGYVTRRREILGSYSLTPAY >LPERR05G09600.1 pep chromosome:Lperr_V1.4:5:9431679:9434155:-1 gene:LPERR05G09600 transcript:LPERR05G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSACNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELSNLNKAQTANSRR >LPERR05G09610.1 pep chromosome:Lperr_V1.4:5:9437493:9439846:-1 gene:LPERR05G09610 transcript:LPERR05G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVVLMFIIIVILLGDTSLAFDNAVGEKISNVETSQKIKVNPQLCQICEEFATEALFYLNENETQIEIIATLHQACSKFPSFKLECTKLVDYYASLFFTKVTSLSPEEFCESVSLCDKVAFIRLPRHEDTCTLCHEVVDDILSNLEDPDMELKIIEVLLKGCNNAENFVQKCKKLIIQNAPVILEHIKKFLKKRDFCNSIHVCGSKIIPARARVLGGLSAA >LPERR05G09620.1 pep chromosome:Lperr_V1.4:5:9445276:9446661:-1 gene:LPERR05G09620 transcript:LPERR05G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDDESPAVAAAAAGDTFSKVLQGRYELGRVLGRGASSKVYRARDVRDGAHVAVKAIRKPSSPYCCTPEDAVAARRCVEREVAALRRLDGHPHVVRLLDVLATRSTVYLVLELARGGTLLSALDATAGGGRYGEPAARRLFAQLASAVAHAHSRGVFHRDVKPDNLLLDGGELSLKLADFGLSAFAAEEHEHEHDQHQLLAATHCGSPAYVAPESLLKRRPYDAGKADVWSCGVVLFVLAAGYLPFNDGNLMAMYRKICSAKFRFPKWFSPELRSLIGRLLDPEPDTRIKIGDIFDHPWFQQDGKPSFGFIQAACSHPSYDVVKWEAELEQARELNAFDIIGFASGCDLSGLIGALPHRVRFVVPGADVKSTLDRVEKLGREEGLAVMRKEEARYGGVHLEGTSGKFSAYLMVYLLPKEMLMVEAKRASGSEIPKFWQELYHRLLGNCNIITKFTFMQQM >LPERR05G09630.1 pep chromosome:Lperr_V1.4:5:9469840:9471854:1 gene:LPERR05G09630 transcript:LPERR05G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKADEYLKSLGLPEFKYKELSAIIAEYRKLLASEIWFHKDNLAIVDLTV >LPERR05G09640.1 pep chromosome:Lperr_V1.4:5:9516011:9516706:1 gene:LPERR05G09640 transcript:LPERR05G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKSSSQKTLRAAILKERASATAGGATMASRLLSPDVSVTNPSFRVYYSVASAGSVPFLWESAPGTPKNDAVSGAALLPPLTPPPSYYSTIKKGGGGGGAKAKAKLVKSSSSKRLLSSSKQAASFVNSIIPKQLRRSHTMPSPRSKDAATGGGGDGEQVMQCGVARRSKRLVASPRSSFSSTSRGDDDDGEVASPTSTLCFRTRHSGGGGGGGGAARRLHGLLASVVGGN >LPERR05G09650.1 pep chromosome:Lperr_V1.4:5:9524373:9528567:-1 gene:LPERR05G09650 transcript:LPERR05G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQEFTKDGSVDLRGRPAIAARTGRWKACSFLVGYEAFERMAFYGVASNLVVYLTTELREDTVSSVRNVNNWTGSVWMTPIAGAYIADAYLGRFWTFTFSSLLYLTGMILTTLAVSLKALRPQCTPGGGCEPATRSQVAFFYAALYTIAIGAGGTKPNISTFGADQFDDLDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGIGYTIPTVGLALSLILFYVGTPFYRHKPVHRRNSANAAGAGPVRLVGRVFRAAFANRRRKVITGDDQFHEHDAAWYAAAAGTKRRLHRTAAFRFLDKAALVVGEESPVAAACTVTEVEEVKLVVGMVVVWLTTLVPCTIWAQVNTLFVKQGATLDRAIGRNGVRIPAASLGSFITISMLLSIPVYDRVLVPLARRRTGDPRGITLLQRLGVGCALQVAAVACACLVELRRMRVIRHSSLPITRGGGTVPMSIFWMLPQYVLIGVGDVFNSVGILEFFYDQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRITRRGGAGKSWIGDNLNDSHLDYYYVFLLLLAVINLAVFVWVASRYEYKKEYLLEDDGTASRETEMAAAGGKGKVVERSKVIDAPLVAVEDVRAV >LPERR05G09660.1 pep chromosome:Lperr_V1.4:5:9534497:9535923:-1 gene:LPERR05G09660 transcript:LPERR05G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHDKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELACAQNMNICEEPSPVVRVQVLEKGFLINVFMDKSSPGLLASILEAFDGLGLTVLEARASCSNSFRLQAVGAEHDEADGLIDANAVELAVMQAIKSFPGN >LPERR05G09660.2 pep chromosome:Lperr_V1.4:5:9534499:9535923:-1 gene:LPERR05G09660 transcript:LPERR05G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHDKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELACAQNMNICEEPSPVVRVQVLEKGFLINVFMDKSSPGLLASILEAFDGLGLTVLEARASCSNSFRLQAVGAEHDEADGLIDANAVELAVMQAIKSFPGN >LPERR05G09660.3 pep chromosome:Lperr_V1.4:5:9534499:9535923:-1 gene:LPERR05G09660 transcript:LPERR05G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHDKLQILRTLTHSHAVRVQVLEKGFLINVFMDKSSPGLLASILEAFDGLGLTVLEARASCSNSFRLQAVGAEHDEADGLIDANAVELAVMQAIKSFPGN >LPERR05G09670.1 pep chromosome:Lperr_V1.4:5:9561164:9563737:-1 gene:LPERR05G09670 transcript:LPERR05G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPASTARLLLPPSASLVPRSLRLPAASRSRSSRVGVAVRAASAEVAAPDAAPAYTSDSLVLYFKAEGTMEERAIPKITEALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFEDFNEDVATVAGEEDDQAAE >LPERR05G09680.1 pep chromosome:Lperr_V1.4:5:9571807:9572049:-1 gene:LPERR05G09680 transcript:LPERR05G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSSPAPLTGPSKSSSSALLAGGGGCRASLAYRRILSVEEAIVPRVLYYSSLALPPLLFARARGPLTPPPLLAVEEGR >LPERR05G09690.1 pep chromosome:Lperr_V1.4:5:9585431:9585802:1 gene:LPERR05G09690 transcript:LPERR05G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVKLNVAYLNPIKISKPAHTFQINRKSEAYKEMSESEIDEVVRKETEWGRMAVGVYIARLMLEPLALLCLISKRPSGHRVRSNGRQT >LPERR05G09700.1 pep chromosome:Lperr_V1.4:5:9592368:9596762:1 gene:LPERR05G09700 transcript:LPERR05G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHAVAVDGESLRRPADGKKKKGGWITFPFIATALIGFGLAAGGATGNLVVYLVTEYHVPSVDAAQISTIVNGCISVAPVAGAIVADAFFGCFPVIAVAMAFAVLALVVFTLTASVPGLRPAACAAPPGCEAATAGQMAALYAGVVLMCVSAAGARFNQATMGADQFDALADRDVFFNWYFIFFYGSAILGSTVIVYVQDAVSWELGYGLAGAAAVVGLAALLLGARYYRRPAIRGSPFTGIARVVVAAVRKRKLNVVAASEKLTFYYGGRGGDVVHDDGGGKGSDDVNVAPSDSFSFLNRAAVITDGDIDDDDAAAEDGAKIRPWRVCTVRQVEDLKAVLRILPLWISSIFLSVSIGVQLNFSVLQALAMDRAVAGGRFTVPAGSMIVGNLAGVVVFLGLIDRALLPLWRSLTGGHVPTPLQRIGAGHVLTVLSMAASALVERGRLATVHAHGEEANPNTATGMVAMIVALGFYLSTALVDIVRRATAWLPDNMNASRLENLYWLLAVLVFLNFGYYLTCAKLYKYQNFAM >LPERR05G09710.1 pep chromosome:Lperr_V1.4:5:9600087:9606337:-1 gene:LPERR05G09710 transcript:LPERR05G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRVDKATSELLLGPDWTLNIDICDGVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDYVHSQVVERDILHEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPQRSPDAAPVLTPPITHPTSLESYHQPSYGVPVNSSSRLDEAMSSNRPSLSSSDVERMLSAVELLSEMLKAVDPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRIQILLGKHDAIASGSPLPAEEPDMMNEGPAEATSTPVATVAPRAAVATAIVPTNVFEDEEGEDEDDEFFQLARRSTNAESTSSGVGTSLLTAHDDGITGSASSGTSTVSPPVQSNALSLPDPPAPVRTVEEQVMSDLLALTISSNPSPPHTPPTPQIGSNQGDSPANDHPQPSYPNQGNGAESYNSYVAPWAQPQSQTAGVQVQQQPPSQSQLPYNSSLPPPPWASEDTTESNPFIAASSVKHPSTSNSPMNVPPNLRPLQQSHSFGVPLRSSGLQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGSSIGGGASSPYKG >LPERR05G09710.2 pep chromosome:Lperr_V1.4:5:9600087:9606337:-1 gene:LPERR05G09710 transcript:LPERR05G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRVDKATSELLLGPDWTLNIDICDGVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDYVHSQVVERDILHEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPQRSPDAAPVLTPPITHPTSLESYHQPSYGVPVNSSSRLDEAMSSNRPSLSSSDVERMLSAVELLSEMLKAVDPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRIQILLGKHDAIASGSPLPAEEPDMMNEGPAEATSTPVATVAPRAAVATAIVPTNVFEDEEGEDEDDEFFQLARRSTNAESTSSGVGTSLLTAHDDGITGSASSGTSTVSPPVQSNALSLPDPPAPVRTVEEQVMSDLLALTISSNPSPPHTPPTPQIGSNQGDSPANDHPQPSYPNQGNGAESYNSYVAPWAQPQSQTAGVQVQQQPPSQSQLPYNSSLPPPPWASEDTTESNPFIAASSVKHPSTSNSPMNVPPNLRPLQQSHSFGVPLRSSGLQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGSSIGGGASSPYKG >LPERR05G09720.1 pep chromosome:Lperr_V1.4:5:9619052:9621779:1 gene:LPERR05G09720 transcript:LPERR05G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPDGGSAAAGGYYPPPPPVAAAPVVPVDFMVKRRLVGGGDMEVRDASWGLAFQFAAAASGGRALLDAAGGVLVTVRSGEVTGEWQAFRGNSLDYKDIMYTAKLISVSSNRREVHVFMPPRSNFQDTKPSYRLIGNTSRRACTIINGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >LPERR05G09730.1 pep chromosome:Lperr_V1.4:5:9667567:9670037:1 gene:LPERR05G09730 transcript:LPERR05G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDVKNPSISSISEAQLSSVECPTGTIPILRNNKQDNMSILNTKAVITTGEQQEVTGIKYSDDIYGIRATINIYEPMVKHFWDLSGSWIQINNGPGHGDVIGAGSWVSPSTSGDNFARFHISWNNEAQKKSCTDHGCPGFVQVSSSVVLGGRVHPVSVYNGPQYGIKVLIFKDPKTKNWWLAYGEKKAIGYWPSSQFSYMNEMATSAFWGGYVQGKTAIEDSPQMGSGHFASEGFGKAAFIKDILMVNERNKYQAPNPVKAQPGSTNPTKYTYADYGINSNGMHIYYGGPGNYI >LPERR05G09740.1 pep chromosome:Lperr_V1.4:5:9671202:9676360:-1 gene:LPERR05G09740 transcript:LPERR05G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDRLAEVDYVPTKEDVLHARVRTNGVVQIQFSPVGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVERVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >LPERR05G09740.2 pep chromosome:Lperr_V1.4:5:9671202:9676360:-1 gene:LPERR05G09740 transcript:LPERR05G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDRLAEVDYVPTKEDVLHARVRTNGVVQIQFSPVGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVERVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >LPERR05G09750.1 pep chromosome:Lperr_V1.4:5:9678969:9683273:-1 gene:LPERR05G09750 transcript:LPERR05G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQPHGVSFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPIMLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLLRLKNIDTHDASSYAETIGATLFVTSAKAGTGIDDIFSDIAKRLLEKRKNSSDGLSPSHPKKGILIVDDEPEKEPPPKCCS >LPERR05G09760.1 pep chromosome:Lperr_V1.4:5:9687557:9692418:-1 gene:LPERR05G09760 transcript:LPERR05G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVGLAPNSSESKEATLAGDRETPQEVTVASKETGEQSLELIGSQVAEWYLLRIMDSKAHPRGHFLATPPPAGRETEGITNGRVHGGREAMTMEAGEAAGAVLFLLVAVVSITSQRLPSMRLSSAIPTRLFLVYDMVLSQTDQTELASDKADANFAGDGDATGGGSKAVVEELYRALERGDGEAVRRLLNPDVDWWFHGPRAQQHLVLMRLLTGVGGGLPFKVRSVEAFGETVLAEGTDAATGKLYWVHAWTVGPGGRVTGVREYCNTALVVTRLAGGGGGKGGEEETEQVWQSRLPDRARCRNLFPALVLAI >LPERR05G09770.1 pep chromosome:Lperr_V1.4:5:9693787:9695147:1 gene:LPERR05G09770 transcript:LPERR05G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFHLDNLHQFRHNLPNSMQQSSTQPPSCNFKLILGHLESRCKTRYLALRARRDLARNVHPRLHDLLALISMLPKICAASFAIPAPHASVIFEQGAYKFNISLSLGRSVKSWIFVAQVNDLDQEDFPCFNITTMEQSRHSTRSLLFSLLPLENSNLNLFPTIHCRYTTKAAVPLNPIAADVAPLSIAQSSALQPVTLQQTLALLHNQPIILPSPPIRSLSPPVLPLVLPTAQGGPDPSALNVIALPPFNEHLVSNSLEVWERKKAADAEAEAEYLAALAAPADPRTLQLLPIQIPDLEPMGVDEHPPALLPDLNEFDLNELPEQGSVRRSLRIRKAYNGERIDPVERASRRVEAAYSSNSSTSASCSNKMKPKKKIKKQKKLEDIMQLPTNAAPPPTSKKTLIELAEFCGLNRAQLMEEALKSTASKELFVGDHE >LPERR05G09780.1 pep chromosome:Lperr_V1.4:5:9703939:9704321:-1 gene:LPERR05G09780 transcript:LPERR05G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQVDDEGMRRRAAANVRLVERLYAAGPRAYWVHAWAVEGGRIARLREYFNTSVTVRDVGGGGGCHHQHDGRRRAAVCWQSQRGCDDDKDRSSLPGLVLAI >LPERR05G09790.1 pep chromosome:Lperr_V1.4:5:9715931:9721679:1 gene:LPERR05G09790 transcript:LPERR05G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGGSRAGAEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDETNDDEKQ >LPERR05G09790.2 pep chromosome:Lperr_V1.4:5:9715931:9721679:1 gene:LPERR05G09790 transcript:LPERR05G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGGSRAGAEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDETNDDEKQ >LPERR05G09790.3 pep chromosome:Lperr_V1.4:5:9715931:9719631:1 gene:LPERR05G09790 transcript:LPERR05G09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGGSRAGAEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDETNDDEKQ >LPERR05G09800.1 pep chromosome:Lperr_V1.4:5:9732382:9734710:1 gene:LPERR05G09800 transcript:LPERR05G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGMGDMLAGAGAGGERSPRGFSSSRGVPKFKSSQPPSLPLSPPPMSPSSYFAIPPGLSPTELLDSPVLLSSSNILASPTTGAIPAQRYDWKASADLIASQSNNQDDVTTRGNGNDFSFHTNSDAMAAAAAATNFPSFKAEQEQHQQQQVVAVAAATSNKSSGNNNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNAGGAACAAAGDDAANDQYSAATPENSSVTFGDDEADNNNGSHRSDGGDEPEAKRWKVEDGEENEGCSGGGIGGGGGAGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGGGGGGGRAPAAAIRPSAVGAQQQQQQAGPYTLEMLPNHAAYGGGYGAAFQRTKDEPRDDLFVESLLC >LPERR05G09820.1 pep chromosome:Lperr_V1.4:5:9738709:9745704:1 gene:LPERR05G09820 transcript:LPERR05G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVTRWKFVMVPTLSSSPMQDDCFIQMWIVDKFVLQHASVHSRYHRLATGRWPIFYLDATGPDVIRPVPKWTTDLLNASLDGQLAPDRISTLAGLE >LPERR05G09820.2 pep chromosome:Lperr_V1.4:5:9738709:9745704:1 gene:LPERR05G09820 transcript:LPERR05G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGIRATDPWEFEHRQGVGEQFEHRQGVGEASGAVRIYLVVFTEEVRTTCSVKCQTGGQGMRGIFFPVFWAPHQAAGSVHGDSQFHNM >LPERR05G09820.3 pep chromosome:Lperr_V1.4:5:9738709:9747256:1 gene:LPERR05G09820 transcript:LPERR05G09820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGIRATDPWEFEHRQGVGEQFEHRQGVGEASGAVRIYLVVFTEEVRTTCSVKCQTGGQGMRGIFFPVFWAPHQAAGSVHGDSQFHNM >LPERR05G09820.4 pep chromosome:Lperr_V1.4:5:9738709:9742552:1 gene:LPERR05G09820 transcript:LPERR05G09820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGIRATDPWEFEHRQGVGEQFEHRQGVGEASGAVRIYLVVFTEEVRTTCSVKCQTGGQGMRGIFFPVFWAPHQAAGSVHGDSQFHNM >LPERR05G09830.1 pep chromosome:Lperr_V1.4:5:9747992:9748447:-1 gene:LPERR05G09830 transcript:LPERR05G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARSGGGKLNPWAEPFVPAYYGRCGGGVAVEEVEDFSPEWWRLVAASPAFRDRWLRDYSALGLLDDDEVFLLPDDDDDIFSSSGPDTAAEKEMDLAVGGGKKEVVAWGIDKWWRAHSSPPEVPRYAEKAPRRVAAGAARVSPRPIQQPR >LPERR05G09850.1 pep chromosome:Lperr_V1.4:5:9755352:9755829:1 gene:LPERR05G09850 transcript:LPERR05G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVCLASLKPERRAERARQTKAKKAMLMDAADESVNTLSAPSLIRFVIGIAADTADISRWQQG >LPERR05G09860.1 pep chromosome:Lperr_V1.4:5:9755358:9757236:-1 gene:LPERR05G09860 transcript:LPERR05G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASSGAADVEKGAAADTTSNNNVVDGGEGVVGGVVRRWRRQDLLEKAVSALRVAAWAFSLLAFLVMAANDHGDWRQFEHYQEYRYVVAIGVLAFIYTTLQLVRHGVRLTGGQDLQPKVALLVDFAGDQVTAYLLMSAVSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALLSGFKLAKQTYI >LPERR05G09870.1 pep chromosome:Lperr_V1.4:5:9772624:9798604:-1 gene:LPERR05G09870 transcript:LPERR05G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSTSRKGCRGSTKGWAAPRFDSLPHVVHILISKDREGEIQFLKKATSSRTWSSTPTTKASTRPSRTTPRSVGHVYLTTGKMSHDNGIFDGLPIPVDKSISTPSPFVKKPNGIYLKERFSWIDQGWAAVWFDLLPHVVHFVISEDREGEIQFLKEQSDLIEDVVDKVVHAPTTMASTRPSRTTPKSVDRMSRGGARRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLIAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDSEEVPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASVTADGIPKTSSVDGGSSFDGPDDDSSLDMRESDGGRSRRDSKIISREVPIFLSCATPDEFLESMKKADASLGVKYLKTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRVYSEESLKSNIEKAAKGTSDVSHSNGHVARYLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQHAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNVNTPHMANGDANWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKDGEETRQYHKKGMEQRVNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIISKEVLGWVQLMPNYATELVEYVRTFLERTYERCRASYMEAVLEKQSYILLSRNDVESLMRMDPANLSLQNSSGQVHHSVPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTTLENKNHNHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIEESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSEKEYLSVLKVNVPGREMPMDAERRIYQLLGH >LPERR05G09870.2 pep chromosome:Lperr_V1.4:5:9772624:9798604:-1 gene:LPERR05G09870 transcript:LPERR05G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSTSRKGCRGSTKGWAAPRFDSLPHVVHILISKDREGEIQFLKKATSSRTWSSTPTTKASTRPSRTTPRSVGHVYLTTGKMSHDNGIFDGLPIPVDKSISTPSPFVKKPNGIYLKERFSWIDQGWAAVWFDLLPHVVHFVISEDREGEIQFLKEQSDLIEDVVDKVVHAPTTMASTRPSRTTPKSVDRYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLIAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDSEEVPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASVTADGIPKTSSVDGGSSFDGPDDDSSLDMRESDGGRSRRDSKIISREVPIFLSCATPDEFLESMKKADASLGVKYLKTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRVYSEESLKSNIEKAAKGTSDVSHSNGHVARYLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQHAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNVNTPHMANGDANWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKDGEETRQYHKKGMEQRVNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIISKEVLGWVQLMPNYATELVEYVRTFLERTYERCRASYMEAVLEKQSYILLSRNDVESLMRMDPANLSLQNSSGQVHHSVPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTTLENKNHNHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIEESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSEKEYLSVLKVNVPGREMPMDAERRIYQLLGH >LPERR05G09870.3 pep chromosome:Lperr_V1.4:5:9772624:9798604:-1 gene:LPERR05G09870 transcript:LPERR05G09870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSTSRKGCRGSTKGWAAPRFDSLPHVVHILISKDREGEIQFLKKATSSRTWSSTPTTKASTRPSRTTPRSVGHVYLTTGKMSHDNGIFDGLPIPVDKSISTPSPFVKKPNGIYLKERFSWIDQGWAAVWFDLLPHVVHFVISEDREGEIQFLKEQSDLIEDVVDKVVHAPTTMASTRPSRTTPKSVDRDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLIAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDSEEVPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASVTADGIPKTSSVDGGSSFDGPDDDSSLDMRESDGGRSRRDSKIISREVPIFLSCATPDEFLESMKKADASLGVKYLKTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRVYSEESLKSNIEKAAKGTSDVSHSNGHVARYLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQHAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNVNTPHMANGDANWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKDGEETRQYHKKGMEQRVNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIISKEVLGWVQLMPNYATELVEYVRTFLERTYERCRASYMEAVLEKQSYILLSRNDVESLMRMDPANLSLQNSSGQVHHSVPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTTLENKNHNHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIEESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSEKEYLSVLKVNVPGREMPMDAERRIYQLLGH >LPERR05G09880.1 pep chromosome:Lperr_V1.4:5:9813572:9817358:1 gene:LPERR05G09880 transcript:LPERR05G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTATPSPAPAAAAPKSQPPPPPSSGAKRSLMSSLMEATALLRSSSFKEDSYVASALPASDLRALADLRALLSTHPDPISIWGVPLNPTPGCGEEDAAADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVVDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFAMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRTGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEDSYTLCVERTRKVPAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >LPERR05G09890.1 pep chromosome:Lperr_V1.4:5:9833790:9845396:-1 gene:LPERR05G09890 transcript:LPERR05G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKELLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVRQQQTAIKMYKTVGEESGGEKLLPLAEALLKKHINSHSLHEPEALALYVSILEQQSKYGAALEVLSGDLGSLMGREEDKLRLQGRLLAQECNYTAASEIFQKILESCPDDWESFLHYLGCLLEHGMNLPKSRISETTLPLCVDAALALKTSLSEELVESRLASALSFVQTLQGNDTSDCVRGPHLANIEIERQRRLNGNPTDRKFIEALVNYFHRFGHLSCAASDVERYLHMLSSDEITELLDTISRSFDASSLSVKVLGFTITTYKVQELLGIFFSKSTTELQSIAKGMVDTFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAIMVLEFGLTVRKYVTLEVKNILLESASHHILPQMLSSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLEEMESILENVNYGVRLVELSKEDNMKHLTFNEDRQARPWWTPTASVNFLSEPFDEASTSACFRAKACEHKSTENNDPKIKETQRKSLLPRLVYLSMHGCASSLRETELNGSGLDTDAAEMKPLLEKYARSIGYSVDDALSVILGMSSGKKSIKDFAPDIVSWMSFAVFINAWNLWSNESVIPKADQSSPSSWQIVDSLVKICIEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCMRSMAPQGKKKKKGGPSERPNIPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGASEDGPGHISCILEENSARHNPELGERVAQSLETWSSAGVVRRIVGAERELLVELKKICDSKLKLLGSLLVSLSSALH >LPERR05G09890.2 pep chromosome:Lperr_V1.4:5:9833790:9845396:-1 gene:LPERR05G09890 transcript:LPERR05G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKELLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVRQQQTAIKMYKTVGEESGGEKLLPLAEALLKKHINSHSLHEPEALALYVSILEQQSKYGAALEVLSGDLGSLMGREEDKLRLQGRLLAQECNYTAASEIFQKILESCPDDWESFLHYLGCLLEHGMNLPKSRISETTLPLCVDAALALKTSLSEELVESRLASALSFVQTLQGNDTSDCVRGPHLANIEIERQRRLNGNPTDRKFIEALVNYFHRFGHLSCAASDVERYLHMLSSDEITELLDTISRSFDASSLSVKVLGFTITTYKVQELLGIFFSKSTTELQSIAKGMVDTFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAIMVLEFGLTVRKYVTLEVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLEEMESILENVNYGVRLVELSKEDNMKHLTFNEDRQARPWWTPTASVNFLSEPFDEASTSACFRAKACEHKSTENNDPKIKETQRKSLLPRLVYLSMHGCASSLRETELNGSGLDTDAAEMKPLLEKYARSIGYSVDDALSVILGMSSGKKSIKDFAPDIVSWMSFAVFINAWNLWSNESVIPKADQSSPSSWQIVDSLVKICIEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCMRSMAPQGKKKKKGGPSERPNIPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGASEDGPGHISCILEENSARHNPELGERVAQSLETWSSAGVVRRIVGAERELLVELKKICDSKLKLLGSLLVSLSSALH >LPERR05G09900.1 pep chromosome:Lperr_V1.4:5:9846150:9851471:-1 gene:LPERR05G09900 transcript:LPERR05G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPESSRLRGSAHTVDGNCESVSPPVHHPLVKYPKRSTRSSPIPNSPSPNKEIEIPSGGAPALHGRGGNLRRRRQIWRRNPIVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFRQQPGSQHYHYKMDNSRHYTVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNQNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVERDGARGRTRKEESKDGSDQRILANFLENWDLSDAMFVVGSEKKVVPAHKVVLSSCGDFPFNLMNGAVIELPSVSYPVLHSLLEFIYTSSTQISKWQLISLLQLSSQFKVKPLVMCCEEIIGCLKMNDTGPTSSENLQLSSGGSEAHQFDYYPFKTPLNTQKIEQFLVSGEHSDVNIYVNGHGLVAHAHKLILSLGSVIFDKMFTNGMKESSASSVFFEDVPVEAFFLLIQFMYSGQLKADSKEITPVLVELLLLSDQFGITVLQFECCKRIMEFLSEDTVCSVLRAVSSIPSCKLLEEACKRNFAEHFDYCTTACTDFHVDMTVTSEEKVLDAILTWCMEACDCLNWTFVHELLSTARPEMLFGGRLTAINTLLPLVRFPLMQLSLLQLMEKSNLAKIEVFRQLVAEAIEFSNAGLCMATNTSIDAPVIKSFNIFRMVITMVLSTMPNITVTASSPNSRYTDPKALVSKNYQGTCFAGPRLEGGKMCSWWMVDIGQDHQLMCNYYTLRQDGSTTFMRSWVLQGSMDGRSWTSLRVHEDDQTICQPGQFASWPITGPSALLPFQYFRVMLTGPATGVSNTWNLCICFLELYGYFR >LPERR05G09900.2 pep chromosome:Lperr_V1.4:5:9846150:9851471:-1 gene:LPERR05G09900 transcript:LPERR05G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPESSRLRGSAHTVDGNCESVSPPVHHPLVKYPKRSTRSSPIPNSPSPNKEIEIPSGGAPALHGRGGNLRRRRQIWRRNPIVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFRQQPGSQHYHYKMDNSRHYTVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNQNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVERDGARGRTRKEESKDGSDQRILANFLENWDLSDAMFVVGSEKKVVPAHKVVLSSCGDFPFNLMNGAVIELPSVSYPVLHSLLEFIYTSSTQISKWQLISLLQLSSQFKVKPLVMCCEEIIGCLKMNDTGPTSSENLQLSSGGSEAHQFDYYPFKTPLNTQKIEQFLVSGEHSDVNIYVNGHGLVAHAHKLILSLGSVIFDKMFTNGMKESSASSVFFEDVPVEAFFLLIQFMYSGQLKADSKEITPVLVELLLLSDQFGITVLQFECCKRIMEFLSEDTVCSVLRAVSSIPSCKLLEEACKRNFAEHFDYCTTACTDFHVDMTVTSEEKVLDAILTWCMEACDCLNWTFVHELLSTARPEMLFGGRLTAINTLLPLVRFPLMQLSLLQLMEKSNLAKIEVFRQLVAEAIEFSNAGLCMATNTSYKELQYISDGDNNGVIYHAVTASSPNSRYTDPKALVSKNYQGTCFAGPRLEGGKMCSWWMVDIGQDHQLMCNYYTLRQDGSTTFMRSWVLQGSMDGRSWTSLRVHEDDQTICQPGQFASWPITGPSALLPFQYFRVMLTGPATGVSNTWNLCICFLELYGYFR >LPERR05G09900.3 pep chromosome:Lperr_V1.4:5:9846150:9851471:-1 gene:LPERR05G09900 transcript:LPERR05G09900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPESSRLRGSAHTVDGNCESVSPPVHHPLVKYPKRSTRSSPIPNSPSPNKEIEIPSGGAPALHGRGGNLRRRRQIWRRNPIVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFRQQPGSQHYHYKMDNSRHYTVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNQNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVERDGARGRTRKEESKDGSDQRILANFLENWDLSDAMFVVGSEKKVVPAHKVVLSSCGDFPFNLMNGAVIELPSVSYPVLHSLLEFIYTSSTQISKWQLISLLQLSSQFKVKPLVMCCEEIIGCLKMNDTGPTSSENLQLSSGGSEAHQFDYYPFKTPLNTQKIEQFLVSGEHSDVNIYVNGHGLVAHAHKLILSLGSVIFDKMFTNGMKESSASSVFFEDVPVEAFFLLIQFMYSGQLKADSKEITPVLVELLLLSDQFGITVLQFECCKRIMEFLSEHVDMTVTSEEKVLDAILTWCMEACDCLNWTFVHELLSTARPEMLFGGRLTAINTLLPLVRFPLMQLSLLQLMEKSNLAKIEVFRQLVAEAIEFSNAGLCMATNTSIDAPVIKSFNIFRMVITMVLSTMPNITVTASSPNSRYTDPKALVSKNYQGTCFAGPRLEGGKMCSWWMVDIGQDHQLMCNYYTLRQDGSTTFMRSWVLQGSMDGRSWTSLRVHEDDQTICQPGQFASWPITGPSALLPFQYFRVMLTGPATGVSNTWNLCICFLELYGYFR >LPERR05G09900.4 pep chromosome:Lperr_V1.4:5:9846150:9851471:-1 gene:LPERR05G09900 transcript:LPERR05G09900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPESSRLRGSAHTVDGNCESVSPPVHHPLVKYPKRSTRSSPIPNSPSPNKEIEIPSGGAPALHGRGGNLRRRRQIWRRNPIVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFRQQPGSQHYHYKMDNSRHYTVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNQNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVERDGARGRTRKEESKDGSDQRILANFLENWDLSDAMFVVGSEKKVVPAHKVVLSSCGDFPFNLMNGAVIELPSVSYPVLHSLLEFIYTSSTQISKWQLISLLQLSSQFKVKPLVMCCEEIIGCLKMNDTGPTSSENLQLSSGGSEAHQFDYYPFKTPLNTQKIEQFLVSGEHSDVNIYVNGHGLVAHAHKLILSLGSVIFDKMFTNGMKESSASSVFFEDVPVEAFFLLIQFMYSGQLKADSKEITPVLVELLLLSDQFGITVLQFECCKRIMEFLSEHVDMTVTSEEKVLDAILTWCMEACDCLNWTFVHELLSTARPEMLFGGRLTAINTLLPLVRFPLMQLSLLQLVAEAIEFSNAGLCMATNTSIDAPVIKSFNIFRMVITMVLSTMPNITVTASSPNSRYTDPKALVSKNYQGTCFAGPRLEGGKMCSWWMVDIGQDHQLMCNYYTLRQDGSTTFMRSWVLQGSMDGRSWTSLRVHEDDQTICQPGQFASWPITGPSALLPFQYFRVMLTGPATGVSNTWNLCICFLELYGYFR >LPERR05G09910.1 pep chromosome:Lperr_V1.4:5:9853397:9854724:-1 gene:LPERR05G09910 transcript:LPERR05G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQDAHFVRLRCSVVRRGKYLAADDDGRRVCLSGQRGAHNTVWAVEHVTAGGVPGAAPGACYVRLRGAYGRYLVATDLQARAGPAHGVTAEQRDAAHHPTPPAWAWQAFRRRATSFLLRNGTGRYLRANGRYLRWRNAVTVAGDNASSMLLWAVEVVPPKPGRVTLVDPPAQLMRRRRGPATEGETTRVVRFVRADESGEFHESEWRAMRVNTNSLMHLRLTLANRLGHNRDALHTTICVRAGCYGRLSPLLVDLPIGNDRIDVVVLSHGTPADDALKYPSVDA >LPERR05G09920.1 pep chromosome:Lperr_V1.4:5:9860453:9869582:1 gene:LPERR05G09920 transcript:LPERR05G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPPPPNLPADADGDMESLPLAPTASDYASVSSTFDPLLSSASLPTPIAAAPFPLSPSSFVDPPSYADVSASSSSFSPLSSAPSSSPRSARAAACEYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRSGGAGGGGERRYAVRRRFRDFVTLADRLAESFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGASDELRVFLQAEGKMPLPTSTDVASRMLDGAARLPRQLMGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVKPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYNSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKTRHRKVEELRETIRATEDAKCCALREYERIKAAYAEKIVEGWETVAEETSGYARRSDNNLAW >LPERR05G09930.1 pep chromosome:Lperr_V1.4:5:9866677:9869466:-1 gene:LPERR05G09930 transcript:LPERR05G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDQNTAVKAPVEMPPVQPGSLTWFIQSLIRVCLFCLVFLVTRTCGGEASTGYLDSVEPIAGALTCNEHALLAQQTPKGDAPSVGSKMWKKRPRRSRDGPTSVAETIKLWAELNKELEHDPKGPKRARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRLSRLWLGTFPTAEAAARAYDEAARAMYGPLARTNFPGQHPPAAAAQVASAVVHGVLPGGLPACESRASTQVVPAAVRDVLPDGLSACESSTTTSNNHTDVASTLCKPEVSDISSPLRAPAIILEDGSSYENKAEAVTYDENIVSQQHGPPEAETSNGSGEELFEPLEPIASLPENQGDECFDIDEMLRMMEADPTNEGSWKAFPDVEGNRDGVDSMLELYQDEPFYFHGVDPDMLDSILSEPGPWSENPDMFISGGFDDAKSSEDPDMFISGGFDDAKFFEGL >LPERR05G09940.1 pep chromosome:Lperr_V1.4:5:9873737:9876049:-1 gene:LPERR05G09940 transcript:LPERR05G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYQKMYTARRKIQKDKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDLAGNRKAVVIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTESA >LPERR05G09950.1 pep chromosome:Lperr_V1.4:5:9876460:9881555:1 gene:LPERR05G09950 transcript:LPERR05G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISTSIGTGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPAVCDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGMKKTRSRDAYEVVRDGITIDKF >LPERR05G09960.1 pep chromosome:Lperr_V1.4:5:9881615:9883548:1 gene:LPERR05G09960 transcript:LPERR05G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNALTHSRYIAFPLFVRSGPYELMLAATPARCGEEVVNGVSAHSGGSGCGWRCFEPVSGHGRRRWWRRGVNSPPVGDLVGLDPGRCRRPGMHAPPRHPSYLPNLQIHGRRSQIWLRALIPLCAAFMRSGKSSVLESVVGRDFLSRGSGIVTRSLVLQLHKTDGKQGYAEFLHAPWKRFTDFSKGSANKLWKN >LPERR05G09960.2 pep chromosome:Lperr_V1.4:5:9881615:9883348:1 gene:LPERR05G09960 transcript:LPERR05G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNALTHSRYIAFPLFVRSGPYELMLAATPARCGEEVVNGVSAHSGGSGCGWRCFEPVSGHGRRRWWRRGVNSPPVGDLVGLDPGRCRRPGMHAPPRHPSYLPNLQIHGRRSQIWLRALIPLCAAFMRSGKSSVLESVVGRDFLSRGSGIVTRSLVLQLHKTDGKQGLPIKNGFQLY >LPERR05G09960.3 pep chromosome:Lperr_V1.4:5:9881615:9883698:1 gene:LPERR05G09960 transcript:LPERR05G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNALTHSRYIAFPLFVRSGPYELMLAATPARCGEEVVNGVSAHSGGSGCGWRCFEPVSGHGRRRWWRRGVNSPPVGDLVGLDPGRCRRPGMHAPPRHPSYLPNLQIHGRRSQIWLRALIPLCAAFMRSGKSSVLESVVGRDFLSRGSGIVTRSLVLQLHKTDGKQGQTSYGRTETGRERDINKIVKRR >LPERR05G09970.1 pep chromosome:Lperr_V1.4:5:9888545:9891942:-1 gene:LPERR05G09970 transcript:LPERR05G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLKIALGLFVERIVVEGDDLVLVQLLRGSGPECRVKLQGIRATDPWEFEHRQGVGEQFEHRQGVGEASGAVRIYLVVFTEEVRTTCSVKCQTGGQRYMALLSFACLLDGNSSISGQSCCICIYRMKFLLDESIGAKVFLVVSVIIKSSLWDLIWTQKTRRKWKAKDFCKSQGMPSIRESGECGSTSGRRSGQVLGGGVFGGGAHHLFGEMPDWLRGDPGAVLRVAVSHIVYTEASDVLHQVYNAYGAMVVQELYIGLGHNYIGSGEAAQMLVPLTSHREYLDATGPDVI >LPERR05G09980.1 pep chromosome:Lperr_V1.4:5:9892462:9893211:-1 gene:LPERR05G09980 transcript:LPERR05G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKIASVADPSSLSPSSPPFHPSRVLVRWLPPPVGWCKLNFDGSVFSDGSMRASIGGVIRGCDGGVVAAFAETREHWTVGVVEARAMIPGLNIALGLFVVVEGDDLVI >LPERR05G09990.1 pep chromosome:Lperr_V1.4:5:9893212:9893589:-1 gene:LPERR05G09990 transcript:LPERR05G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSPPPLAPPHHCCSSTALSPSSPPFHPSRVLVRWLPPPVGWCKLNFDGSVFSDGSMRASIGGVIRGCDGGVVAAFAETREHWTVGVVEARAMIPGLNIALGLFVVVEGDDLVLVVAAAAWIWP >LPERR05G10000.1 pep chromosome:Lperr_V1.4:5:9893805:9894353:-1 gene:LPERR05G10000 transcript:LPERR05G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADQIIDGRLDEGGGAGNAAAREIAVTDDGPSDGLSLGGPLRWESGHPSQRDSKLHWCVLVGFG >LPERR05G10010.1 pep chromosome:Lperr_V1.4:5:9894602:9901537:-1 gene:LPERR05G10010 transcript:LPERR05G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRRLRAMLPSAAAAAAAAGDEEEKEEERRPWEPPFDASAPALPISYPITTLAALASRAYLSEPLNFHLPFNRASSATTPAAAAAPLPARPRVLVCHDFRGGYRDDAAPQGGGDPGAYALWHWHLVDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGTFITEWEKGAEICEEMFATEDSARMYAERLAELAAYLGFDGWLINFEVKLDIRFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITVNGDLDWQNKLNKYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTKVALDLLKKDNVSAAIFAPGWVYETKQPPDFRTAQNRWWGLVEESWGVLQSYPKQLPFYSNFDQGHGYQVSIEGVKVSGAPWNNISCQSFQLMLKYTRDRGLQTVINFEDEPYSGGDCVTMKGSLQENELISEQLFNGGLSMEGGSLHVKADAHSGLGLSLDLSSRNKGSSSILIADDTATFTRKEQHRKYGSYVKSDRADPHTPVGQNWVAYEATIQLNDVFTLTGINIVCTMKTTAKIDSETDGDGSSEAGANRSLRYHASLGHVSIRNTGETQFPPGKSWVTEGKYISWLNSSDNSKLVSLKISWELNNKHQASFMRYNVYVEKLTADSNAKASRTFLGVASVQAFYVSNLLIASEVTGLKFFIQPCGPDGSCQVLAECPKFHLVPVDSAV >LPERR05G10020.1 pep chromosome:Lperr_V1.4:5:9903975:9904620:-1 gene:LPERR05G10020 transcript:LPERR05G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMNHPPRDAPAAADHHHQQMAIDAAAFLVHNSGGGGGGEPAHHQLMGSYGGAVAGAGGAVAHYAAAQEHLKRESLWT >LPERR05G10030.1 pep chromosome:Lperr_V1.4:5:9913536:9915826:-1 gene:LPERR05G10030 transcript:LPERR05G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDSSLDLSFSEDGRSGTFMIGNERFPASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREEHDPPPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVHRVEKDLINIMQGVSINQNASVTGAGQGGDRKKAALAAAKANVQQQPAANGEEERSDSDESVDP >LPERR05G10040.1 pep chromosome:Lperr_V1.4:5:9925942:9926748:-1 gene:LPERR05G10040 transcript:LPERR05G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPDAARAPSPTTPPAAATSSSQQSDHQKVEPQEQEGGNQNEQVEQQPQPQPLAQQPPMQAAAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHGDGCAYFGQPSPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGGASAAAPPLVITAAGEGTSAAAEEDGDEATQSGGQQETAAASPSTPASTTPAKGASSAAATTGSAPSS >LPERR05G10050.1 pep chromosome:Lperr_V1.4:5:9977529:9984674:1 gene:LPERR05G10050 transcript:LPERR05G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCRVVVAVLLLLCLQIHLGISSSSHGAHQKACAGILWLIHAKKSSLQQQQQQQRSSKHVSSKAGWPNPSSSSSSPANPFGLPILLPPAPFKDWPPWLDMPPVQTPSPSPSPSPAADEPSASPPPEHATHAGSIALPPAAASTVRPEVTTPIDGENNNRINHGGGGWGRRRVTSYVVVVVAMAMAMGMVVVWLRWWRRGGVVASVRPWATGLSGQLARAFVTGVPALKRAELEAACEDFSNVIGEMPGYVMYKGTLSSGVEIAVVSTTKASPKDWSKRCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFDHLHARDEGHQLDWATRLRVAVGVAYCLEHMHQLSPPEIVRALDASTVYLTDDFAAKVSDVLFSSSGEAETAPMPDRESVVYGYGMLLLEIMSGRFTASEGGLVQGWAAAFLRGERRLGDVMDPALRGAFHGETVDRLDAVVRSCTERDPRRRPDMGDVARLLREITALPPDAATPRVSPLWWAELEIISTEAA >LPERR05G10050.2 pep chromosome:Lperr_V1.4:5:9977529:9984674:1 gene:LPERR05G10050 transcript:LPERR05G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRKVLIHAKKSSLQQQQQQQRSSKHVSSKAGWPNPSSSSSSPANPFGLPILLPPAPFKDWPPWLDMPPVQTPSPSPSPSPAADEPSASPPPEHATHAGSIALPPAAASTVRPEVTTPIDGENNNRINHGGGGWGRRRVTSYVVVVVAMAMAMGMVVVWLRWWRRGGVVASVRPWATGLSGQLARAFVTGVPALKRAELEAACEDFSNVIGEMPGYVMYKGTLSSGVEIAVVSTTKASPKDWSKRCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFDHLHARDEGHQLDWATRLRVAVGVAYCLEHMHQLSPPEIVRALDASTVYLTDDFAAKVSDVLFSSSGEAETAPMPDRESVVYGYGMLLLEIMSGRFTASEGGLVQGWAAAFLRGERRLGDVMDPALRGAFHGETVDRLDAVVRSCTERDPRRRPDMGDVARLLREITALPPDAATPRVSPLWWAELEIISTEAA >LPERR05G10060.1 pep chromosome:Lperr_V1.4:5:9981472:9984161:-1 gene:LPERR05G10060 transcript:LPERR05G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQILLAAQSADANLRTVAEGNLKQFQEQNLANFLLSLSVELSEDNKPPESRRLAGIILKNSLDAKDSATKEVLIQKWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPGPLKQATLEALGYVCEEISPEHLEQDQVNAILTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEESVALQAVEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNIAMSGGTCLGLIARTVGDAIVPLVMPFVESNITKPDWRCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDQNSQVRDTTAWTLGRVFELLHSPASANPIITTANLPRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESMSSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETSGIIGQLLQEIMRRLNLTFEFNILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMLLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFYKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMSVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGPSSKDLFKSNLFHIEFLRECHDLDDEVRDTASWAQGMINQALVS >LPERR05G10070.1 pep chromosome:Lperr_V1.4:5:9988925:9992607:-1 gene:LPERR05G10070 transcript:LPERR05G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTRALVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGERASAVEFVRDVLRRGGVGVDASGGEYGGAASAAADGERGGPVGYLAWRMMMDGDYRDAVKLIVEFKESGLKPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGAISKLDSEAMHSIENYQSELLRDGVLLSKWAIQEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEDAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFYLDASETLLKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSEMDLIGPCVIYLYVRRFKLWMMHML >LPERR05G10080.1 pep chromosome:Lperr_V1.4:5:9995733:10001402:-1 gene:LPERR05G10080 transcript:LPERR05G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFSCAAATAPAGGISVHHERKVGAANTVVRAQQPGKKGSKSQAIRCAMPSGNEHGGISAATASSSDQKKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIEMEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGIPVSINGKDFSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENIIATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >LPERR05G10090.1 pep chromosome:Lperr_V1.4:5:10002589:10005968:1 gene:LPERR05G10090 transcript:LPERR05G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNTSITFEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMPTSLKKEPQQAAASPDSSEPSSSGTTK >LPERR05G10100.1 pep chromosome:Lperr_V1.4:5:10006097:10008128:-1 gene:LPERR05G10100 transcript:LPERR05G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQPCIVAWLRSGVTIPPLRCPLCHAPISTTSSLSTSNNMRSFTPPTFCPIEYDIESQLPAPPGEEVAEAVGGSRGWLRSSIDRLSDSWRACSGSHAAAAVVGLSSRRTTGSWSPGSSGRHHFGAESCGVQAVQVQLPVLPPADADEEEVAAEADAGGYSHGWFRSSLATLSGSWAVFPGSRSAAVELPVSSRHTTGGSLNSNGGMGSWSTSWDPEAAVAEPREKPSVFDYARCLAIYGPAIS >LPERR05G10110.1 pep chromosome:Lperr_V1.4:5:10008163:10009644:-1 gene:LPERR05G10110 transcript:LPERR05G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVQPQPPPPLRNPNPNSMILLQVRAGKLPRCIPARQLRCSGSSSSSPPPMHQVGGMLPDPLLPPPSPPPPELVVYSSVPSLPPSATSSSSIGSSIAIVVLVVITTAIVTVAIVLPPRAPPILLIILHQLQLFPKVIFLINHVSDVACGSCCCWFFAEGQCCQRQILAGNGRGLFSSRRCQQNHSCDDIGKLCTRDGWNDGNGAVVWDGEPGGAIRAVSAGGGAGDLGADVAATFTVEQQHGNLLDLQPTASLH >LPERR05G10120.1 pep chromosome:Lperr_V1.4:5:10010510:10017953:-1 gene:LPERR05G10120 transcript:LPERR05G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDSPDHYGGGGSIHLVCEYCGHGAEYEPEDAEDGFYTCRQCSALHTSTQHTAANPFDFPMTAAGGNISVRRVRTQPTPTPKPFTPRPFPTTPHGGAGGAAPAFDELGEPSEPRDFALGAGKRGNQVDLAERIRWRYVRGLQVTLQLQLEALVERHRVGSLVAGLAGTIWLRWAAASKVFDQMWAQDVLDNAAKVERRKHPAFEDDENTADMKPELGANGKKDQSRVEFLFLRSLRTMLPVYSTLAVCFLACHVARESILPTDIYRWVMEAKLPYVAVFAEVDRLLGSSVNDCPLDSRQLFRPTRVIGAWQLEAAAGSIAQKIGMLLPSVNFYSIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPARVPTRVSVMAILIVAIRILYGINGQGIWESIAQPESACDSVPKTNPPHSINPDSGNSEEFDARELLSNLAASYDKIDVGHDYSKELHSYLKYCKEVVFTGITFSVEEDHLIDIFWDMYKGKEDGNAKFCQEEMRTTNGVNKRCRDGTFAGTKCFSSPPQNRALQSIKLEMEENGFYYISPRKRLVSDGYLHYRRKVSFGSLIYVAHADYYMLLRAFAKLAEVDVRVLHNGVLKLERRLAWIEERIGRSLNTLQNLHDEASDEQRPVSDYFKYGMPRQLPRPCKDERKLCFYHLSKVIRREWLIELLTQRCLDLQTVQRKTDNPFTVLIFTSSRANMTSIKTQQNMADGNDLVVYRNYALGIVNTNAN >LPERR05G10120.2 pep chromosome:Lperr_V1.4:5:10010970:10017953:-1 gene:LPERR05G10120 transcript:LPERR05G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDSPDHYGGGGSIHLVCEYCGHGAEYEPEDAEDGFYTCRQCSALHTSTQHTAANPFDFPMTAAGGNISVRRVRTQPTPTPKPFTPRPFPTTPHGGAGGAAPAFDELGEPSEPRDFALGAGKRGNQVDLAERIRWRYVRGLQVTLQLQLEALVERHRVGSLVAGLAGTIWLRWAAASKVFDQMWAQDVLDNAAKVERRKHPAFEDDENTADMKPELGANGKKDQSRVEFLFLRSLRTMLPVYSTLAVCFLACHVARESILPTDIYRWVMEAKLPYVAVFAEVDRLLGSSVNDCPLDSRQLFRPTRVIGAWQLEAAAGSIAQKIGMLLPSVNFYSIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPARVPTRVSVMAILIVAIRILYGINGQGIWESIAQPESACDSVPKTNPPHSINPDSGNSEEFDARELLSNLAASYDKIDVGHDYSKELHSYLKYCKEVVFTGITFSVEEDHLIDIFWDMYKGKEDGNAKFCQEEMRTTNGVNKRCRDGTFAGTKCFSSPPQNRALQSIKLEMEENGFYYISPRKRLVSDGYLHYRRKVSFGSLIYVAHADYYMLLRAFAKLAEVDVRVLHNGVLKLERRLAWIEERIGRSLNTLQNLHDEASDEQRPVSDYFKYGMPRQLPRPCKDERKLCFYHLSKVIRREWLIELLTQRCLDLQTVQRKTDNPFTVLIFTSSRANMTSIKTQQNMVRGFRNII >LPERR05G10130.1 pep chromosome:Lperr_V1.4:5:10022228:10026219:1 gene:LPERR05G10130 transcript:LPERR05G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPNDNDAGSLSPHSQLAMACFEELMETAVADVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEDGGGGGGGGNKGVVDVFGQTLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRARNNNGSAASSYSPYATGASANRVSLPNGVTDGGGSAAGENHSNHILPEP >LPERR05G10140.1 pep chromosome:Lperr_V1.4:5:10066321:10067082:1 gene:LPERR05G10140 transcript:LPERR05G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAADATTTTPAESAAAAAETATSGGASKKSKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTPNPPLPPPNPNSSSSAAAATSSSSPPPPPPLRPLLPRPPAAVAAFHHYLLQHPPPPPLYYAAAAATVSANTAARTQEAAAAAPAPATAPAVVGVSDSKAEETQVEAAAVEDAAGTVPAEEESAGWGYHGGGEEDYAAALLWSEPDPWFDLFNLK >LPERR05G10150.1 pep chromosome:Lperr_V1.4:5:10080195:10081112:1 gene:LPERR05G10150 transcript:LPERR05G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWTDREKVAVKMKGSWSPEEDEKLKGAVARHGARNWTAIGEEVPGRSGKSCRLRWCNQLSPSVHRRPFSPEEDALIVAAHAKYGNKWATIARLLHGRTDNSVKNHWNSSLRRSRRKAAAAAAANNNAAPAVSYQSMDLTEEEEDDDRTSDDSVAVAAPPPPPPPTASGQAIAVACVPMTPSPPAQPPAAKRLCVAAPPADPPTSLSLSPPGGGVVETQAPVAGGGGGGEAGGVSSAAVASEIARILQDPWLMAMMRQMVAEEVQRHMGMVYSLVASSAAQTGGGAGGVGRNGPDGGRPSNGQN >LPERR05G10160.1 pep chromosome:Lperr_V1.4:5:10091927:10101972:-1 gene:LPERR05G10160 transcript:LPERR05G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGPYSAASLERSGSFRENSDSYASFPLSGSSRSPAVDSATLLQSLALDLRTTTLEQKASRFDVKKSISLILGTSPEESASTPRNLSHSFEDIRRMKNNLSDISSKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLVSKMPPQGHLNADDTELASPRGEERIKNAGQNRRLRTSMAEMDARTTGLSRGLGSIDRSTDPGKVTGGGPAVPEEKNRGLATNIDGWEKPKMKKKRSNIKADISMTGPSRTLDVDREQKQGMQHKFNNETRQRMANSPSFRSGTVSSVSSIGKADLLSGQNGVGRSLSRSDQDSGYPTNKRDRQAVIDKEMSAPKANNKPNEDDGSNVTSVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGTNKLTSGSSNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRPSMNCSQQTRRADHGLSTGSEGDECFAEKKLRDKSKRSGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASVDKLENAPTTKQRSVRTVSERNESKSGRPLIKKMSERKGNARPRHISSNVQLDSPVQPEDDHEELLAAANYALRSAHSSSFWRQVEPFFNYLTTEDISYLNQQIHLADDSTVSGSIEGDESRKYKGSLEYISQPSTPAGSNKDDHNIVPNGHYLNEIDNDVGIAWETSCIEPILDQLVQGIGVQGSASVGQRLMQALIDEDKIDNNITNNIYKSEAYPFDTHEIHFEEGGWKSHSQSYRLESLMNFEAAGKGSNDLMLDSDWKYHDEPSHKSNIGMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESEQREFSRHAMERLLLIAYEKYMAFCGSSSSKHVNRAGKHAALSFVKRTIARCQNYDEAGTCCFDESPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASASDASRASSHLTDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALIRDPQSQAKITPSGNGRDNNTSAAARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEEDDGLQDLDLMGLEIPMDDINEINLMI >LPERR05G10170.1 pep chromosome:Lperr_V1.4:5:10104627:10107249:-1 gene:LPERR05G10170 transcript:LPERR05G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRREDEEEAESAASAAAAGEEEDTGAQIAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISSTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEIAESQSKTEDKETEGDSSAAGLMEKLSVNEKKSEEAPVKEESSEASKTETKSAATSSE >LPERR05G10180.1 pep chromosome:Lperr_V1.4:5:10110407:10116264:-1 gene:LPERR05G10180 transcript:LPERR05G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMVTPMSSDDEVREEKELDLSSSDVVTKYKDAADIINSNKRFHFTIAVSVVLEALTDALKLVVSLCKPKAKIVDICEKADSFIREQTGNIYKNVKRKIERGVAFPTCLSVNNTVCHFSPLATDDAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGRAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVANADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHPLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAEPMEESSNDASKE >LPERR05G10190.1 pep chromosome:Lperr_V1.4:5:10117798:10118765:1 gene:LPERR05G10190 transcript:LPERR05G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERSELDRKAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKDQMGEEGYREMGRKGGLSTNDESGGERAAREGIEIDESKYKTKS >LPERR05G10200.1 pep chromosome:Lperr_V1.4:5:10120151:10125955:-1 gene:LPERR05G10200 transcript:LPERR05G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLATTAAGAGASASRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKDTKKAEAPEKAPAAGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGTEAAKWICVGAIDITQLSVAGYLLSTGKPYYALALVGLTIPQVIFQFQYFLKDPVKYDVKYQVRFSYSPIVQLFFPHFETAKK >LPERR05G10210.1 pep chromosome:Lperr_V1.4:5:10127676:10130958:1 gene:LPERR05G10210 transcript:LPERR05G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPSSRKRVAGTQINKDNPEPDEDSSEQETGTFKKASEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPTDTSAQATLPVSEPQPSDVMTANVKADEGSNGSGKDALSVTDKSAGSGEVTETEKDEMALKESGENTKSSDPTELASQPAEMNDEAKDMGSGEDKVVVGEATEDNSKVSDIKEKTAKEGDGEEKNGVNETEAEAEDKVSKGDAEKKDGDESKDGSSEEQKDADNKGQSSSATPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFNIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNIPVAGGERSRLVMRTKGNYRLVLNACLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTGIREEFKTMVDLHKEKKASDTLKTPENSPKASDD >LPERR05G10220.1 pep chromosome:Lperr_V1.4:5:10144572:10153624:1 gene:LPERR05G10220 transcript:LPERR05G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYKLHLACAALVGASVAAASGYYLHCRSLASQLGSAAAALPPPHHQNPGDAPPPRAAAGSSSLPDLSSLYSVGAAAAAAGGSRGYSVAEEEDDDDGEGGCIGELAADAADCLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSEEDDAAQQNGKLDNGYVKINGNIEGEQKGNKVLENGTAVPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYIPEPKSEHVFQMVDGVVHVYADKDYTDRLYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVLPLSLLSPCSSYANTNAHWIGKNYYKRGPTGNDIHKTNVPHIRIQFRDLIWRDEMRLVYQNNVILPDEVDQ >LPERR05G10220.2 pep chromosome:Lperr_V1.4:5:10144572:10153624:1 gene:LPERR05G10220 transcript:LPERR05G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYKLHLACAALVGASVAAASGYYLHCRSLASQLGSAAAALPPPHHQNPGDAPPPRAAAGSSSLPDLSSLYSVGAAAAAAGGSRGYSVAEEEDDDDGEGGCIGELAADAADCLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSEEDDAAQQNGKLDNGYVKINGNIEGEQKGNKVLENGTAVPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYIPEPKSEHVFQMVDGVVHVYADKDYTDRLYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRIQFRDLIWRDEMRLVYQNNVILPDEVDQ >LPERR05G10230.1 pep chromosome:Lperr_V1.4:5:10155534:10177715:1 gene:LPERR05G10230 transcript:LPERR05G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRQLTVIREFRPHGLAAEEADGEGDPGERPPRDYDYFLFDPSLAASPGPESGEEAASSSGADGDHELFIRGNRIIWSAGSRVHKRYLSQNTVIMACWCRMDTIRDALLCVLQVDTLSIYNVNGEVSNIPLPYAVSSIWPLPSGLLLQKSTDGGRVVLASTSLLKTRDLIRPNKEFGLNYNISSQVNTLETVSKADGSIISSHLILKNPLEEPQVTYFEEKGRFDTMKDFDEKTIWTSDNIPLMASYHKGKLQHSVWQIDGTTYQEAMDDNVVPSIQCDISTHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRIQIDETNGESFGDIKPHMSWNVPAFAAAPVVVTRPRARAGVLPFTDILVLTPENDLLLYSGRQCLCRYTLPTELGKAIFSNYELNFGVTNFYSDMKITGITDAVEGRINVTCNNGLMLRCSLRKNPSSSLVGDCIAAMAEGLESCFYGHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEIEKICAKYGQISPTNPSKSPCTAWDFLINSKHHAKYGKLSPTSCSSFLPVSCSTSSTKFHSFPQDRNSADISFYIRFLRETLDTLHALYENLKLNTLRKQDQACLASLLCRVASSLGESSYVDYYCRDFPHNLVEFHSLSSTIVLRSPPCLFRWFENCLRHGCDMETSDDIPALMCKEKGSAVSWGRKVVSFYSLLLGAERKGNNLSSGVYCEVASGSARSTEELTVLAMVAEKFGRQQLDLLPIGVSLVLHHALDRCRESPPDDWPAPAYVLVGRDDLAMAKIGSGRKENGVWNNDNLTSISVPYMLHLQPVTVPTTALDVTPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVVIQTPTNPSVSDQDLHQQQLWSFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAVSNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKPLNAALATDEQSGNTGQMMEGTQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNTHFDLQYVRPDFVMLRIVARNLILWTRIQPTKDWVDCQIPAFVKFGVSDTSQEAMDSDEFDTEALCQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHVSVQTPSILPKGLLQHVDRGTLELCLHLIVMAGSGHLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWIQTVDVDTGLPVYCPLEVKVAETEYYSETNYCEVTPCLLPERSVLKSIRVCGPRYWSQVITLTPEDKPWWKSGDKTDPFNGGVLYIKRKMIQLDANLCFLGQCMRLNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHLPFVDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDNFFNYLNKGKWPDTQNEAVLLSCYLQWYSIPPPHIVSSAIEKVKPRTQTSVSMLPLLRLLLPTTHLVGLVEIEKLHMTNGHEGLALH >LPERR05G10230.2 pep chromosome:Lperr_V1.4:5:10155534:10178087:1 gene:LPERR05G10230 transcript:LPERR05G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRQLTVIREFRPHGLAAEEADGEGDPGERPPRDYDYFLFDPSLAASPGPESGEEAASSSGADGDHELFIRGNRIIWSAGSRVHKRYLSQNTVIMACWCRMDTIRDALLCVLQVDTLSIYNVNGEVSNIPLPYAVSSIWPLPSGLLLQKSTDGGRVVLASTSLLKTRDLIRPNKEFGLNYNISSQVNTLETVSKADGSIISSHLILKNPLEEPQVTYFEEKGRFDTMKDFDEKTIWTSDNIPLMASYHKGKLQHSVWQIDGTTYQEAMDDNVVPSIQCDISTHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRIQIDETNGESFGDIKPHMSWNVPAFAAAPVVVTRPRARAGVLPFTDILVLTPENDLLLYSGRQCLCRYTLPTELGKAIFSNYELNFGVTNFYSDMKITGITDAVEGRINVTCNNGLMLRCSLRKNPSSSLVGDCIAAMAEGLESCFYGHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEIEKICAKYGQISPTNPSKSPCTAWDFLINSKHHAKYGKLSPTSCSSFLPVSCSTSSTKFHSFPQDRNSADISFYIRFLRETLDTLHALYENLKLNTLRKQDQACLASLLCRVASSLGESSYVDYYCRDFPHNLVEFHSLSSTIVLRSPPCLFRWFENCLRHGCDMETSDDIPALMCKEKGSAVSWGRKVVSFYSLLLGAERKGNNLSSGVYCEVASGSARSTEELTVLAMVAEKFGRQQLDLLPIGVSLVLHHALDRCRESPPDDWPAPAYVLVGRDDLAMAKIGSGRKENGVWNNDNLTSISVPYMLHLQPVTVPTTALDVTPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVVIQTPTNPSVSDQDLHQQQLWSFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAVSNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKPLNAALATDEQSGNTGQMMEGTQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNTHFDLQYVRPDFVMLRIVARNLILWTRIQPTKDWVDCQIPAFVKFGVSDTSQEAMDSDEFDTEALCQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHVSVQTPSILPKGLLQHVDRGTLELCLHLIVMAGSGHLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWIQTVDVDTGLPVYCPLEVKVAETEYYSETNYCEVTPCLLPERSVLKSIRVCGPRYWSQVITLTPEDKPWWKSGDKTDPFNGGVLYIKRKMIQLDANLCFLGQCMRLNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHLPFVDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDNFFNYLNKGKWPDTQNEAVLLSCYLQWYSIPPPHIVSSAIEKVKPRTQTSVSMLPLLRLLLPTTHLVGLVEIEKLHMTNGHEGLALH >LPERR05G10240.1 pep chromosome:Lperr_V1.4:5:10188901:10192761:-1 gene:LPERR05G10240 transcript:LPERR05G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGMLVGAPSRRAQVAAVFALALLLAVSVLYDSAHIAASLRRHGVVGGTASAGGAGAATYTAKLSATAEEAEAAEVRSPPSQGVESAEDAADRGAEAPLEQQASPAADSTPPSLLEQVTGEPVPAAEGDRNGGESCDVYRGRWVYDEANAPLYKESACEFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWDKKSLVKNDSLNVFRLEDYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIANHAANWEGVDYLIFNTYIWWMNTPEMKILRGGSFSKKPVKYDQMERVAAYRKVFKTWSRWVEKHVDPNRSRVFFMSVSPVHMQSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFTTAQRVTKAMKKVPVQFINITALSEIRKDAHTSVHTLRQGKLLTKEQQANPRKFADCIHWCLPGLPDTWNEFIYGHIMSSPQRRPIEPIENQPQR >LPERR05G10250.1 pep chromosome:Lperr_V1.4:5:10211360:10218258:1 gene:LPERR05G10250 transcript:LPERR05G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFSTTLSKESTDEGIENVAEINGSSLKTVDAAVTHHSDELSQADHPMVDSDVADGNTSSPSSVVSESKESTDKSYSETSKTAQLDGTTDNSPLSKTKRIYRVNILRCESLASLSPSTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGQRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGCLLLHYVNSMTRHSAVIVQPLSINDLDESGNLVTVEVPLPLKNVDGSIASTVASIDLPEEQMLNLPSLLCNLSSKVELGTFGYLSLVRLHRISKSSEILSKNENYEWIPLSLEFGIPLFNPKLCERICERVVESRMLQKDDITEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFQDSQTPTHGGSPHENDRLKVSRRQKCFTEVLSFDGSILRSYALAPVYEAATRSVPEDQPTTPAKPEPDDADTKDVVLPGVNLIFDGAELHPFDIGACLQARQPLSLIAEASAASLAIK >LPERR05G10250.2 pep chromosome:Lperr_V1.4:5:10211352:10217757:1 gene:LPERR05G10250 transcript:LPERR05G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKVCKEGEYYEDLMRYLRRNLALYPYHLADYICRVMRISPFRYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPADLAIEPWWGVQFVNFTLEEFKRLSEAETTAIDKMSKEEVNSYVLFDPKVINGLYNRGMIYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDTDSILRDSNESALPSSVLTDDEGGSRTSINSEKSGHELLNSDSDGPRKISGASYVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGSKFEGVLQEFANHAFSLRCFLECLLSGGTSPDETIEENSQECCMQENFSTTLSKESTDEGIENVAEINGSSLKTVDAAVTHHSDELSQADHPMVDSDVADGNTSSPSSVVSESKESTDKSYSETSKTAQLDGTTDNSPLSKTKRIYRVNILRCESLASLSPSTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGQRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGCLLLHYVNSMTRHSAVIVQPLSINDLDESGNLVTVEVPLPLKNVDGSIASTVASIDLPEEQMLNLPSLLCNLSSKVELGTFGYLSLVRLHRISKSSEILSKNENYEWIPLSLEFGIPLFNPKLCERICERVVESRMLQKDDITEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFQDSQTPTHGGSPHENDRLKVSRRQKCFTEAATRSVPEDQPTTPAKPEPDDADTKDVVLPGVNLIFDGAELHPFDIGACLQARQPLSLIAEASAASLAIK >LPERR05G10250.3 pep chromosome:Lperr_V1.4:5:10211261:10217757:1 gene:LPERR05G10250 transcript:LPERR05G10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKVCKEGEYYEDLMRYLRRNLALYPYHLADYICRVMRISPFRYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPADLAIEPWWGVQFVNFTLEEFKRLSEAETTAIDKMSKEEVNSYVLFDPKVINGLYNRGMIYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDTDSILRDSNESALPSSVLTDDEGGSRTSINSEKSGHELLNSDSDGPRKISGASYVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGSKFEGVLQEFANHAFSLRCFLECLLSGGTSPDETIEENSQECCMQENFSTTLSKESTDEGIENVAEINGSSLKTVDAAVTHHSDELSQADHPMVDSDVADGNTSSPSSVVSESKESTDKSYSETSKTAQLDGTTDNSPLSKTKRIYRVNILRCESLASLSPSTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGQRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGCLLLHYVNSMTRHSAVIVQPLSINDLDESGNLVTVEVPLPLKNVDGSIASTVASIDLPEEQMLNLPSLLCNLSSKVELGTFGYLSLVRLHRISKSSEILSKNENYEWIPLSLEFGIPLFNPKLCERICERVVESRMLQKDDITEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFQDSQTPTHGGSPHENDRLKVSRRQKCFTEAATRSVPEDQPTTPAKPEPDDADTKDVVLPGVNLIFDGAELHPFDIGACLQARQPLSLIAEASAASLAIK >LPERR05G10250.4 pep chromosome:Lperr_V1.4:5:10213836:10217759:1 gene:LPERR05G10250 transcript:LPERR05G10250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVNSYVLFDPKVINGLYNRGMIYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDTDSILRDSNESALPSSVLTDDEGGSRTSINSEKSGHELLNSDSDGPRKISGASYVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGSKFEGVLQEFANHAFSLRCFLECLLSGGTSPDETIEENSQECCMQENFSTTLSKESTDEGIENVAEINGSSLKTVDAAVTHHSDELSQADHPMVDSDVADGNTSSPSSVVSESKESTDKSYSETSKTAQLDGTTDNSPLSKTKRIYRVNILRCESLASLSPSTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGQRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGCLLLHYVNSMTRHSAVIVQPLSINDLDESGNLVTVEVPLPLKNVDGSIASTVASIDLPEEQMLNLPSLLCNLSSKVELGTFGYLSLVRLHRISKSSEILSKNENYEWIPLSLEFGIPLFNPKLCERICERVVESRMLQKDDITEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFQDSQTPTHGGSPHENDRLKVSRRQKCFTEAATRSVPEDQPTTPAKPEPDDADTKDVVLPGVNLIFDGAELHPFDIGACLQARQPLSLIAEASAASLAIK >LPERR05G10260.1 pep chromosome:Lperr_V1.4:5:10219837:10223167:1 gene:LPERR05G10260 transcript:LPERR05G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPTTTTTSRLLLLPSAAAAAVHGDLQVAAAAALLPAAGARASDGAALPVRDAPPHRPHRRLHRPHHPLPPLLPLPPRPPPLPSSLPPPYLIPLLNSSSSTSSAVRSHSSRFLSTASDGGDFADGEESTGEADSDSDSSHPEQVGRVCAAIADVVAAGAVDANLEAALTALSPPLSEPLVLAVLDRFRHAHRPSYRFFRWAASAGGGEFAHTSVTYCKMVHILGKTRQFQSMVALIQEMGKEEGVLCMDAFKIAIKSFAAAGEIKNAVGVFEMMRKHGFDDGVESFNCLLVALAQEGLGKEANQVFDRMRDRYSPDLRSYTALMLAWCNARNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKKGKMDLAMRCFQEMKDVGCQPDVATYTCLLVGYGNAKRMDRVTALLEEMTQKGCPPDGRTYNALIKLLTNRSMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHQKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMNAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHRFVGFVNLCTKTTVNGYSENLFGYEAPCDYSAFETRNGFISLNDTEFSSFGGRKKNELMDGSKKKRMPEFVESKYFCAAARKRGYIHNLPIENNATSLGPPGIQEDSSTVCKVVLQA >LPERR05G10270.1 pep chromosome:Lperr_V1.4:5:10225590:10228119:-1 gene:LPERR05G10270 transcript:LPERR05G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSPSESSSPSESSSSSSSTLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSILCEICLQNFESDYTIPPKKAQVVETAVTVSDEEMLIGEELQQDQELYAGSEAQIAGSGHGDCSSWCRSLTITFTLILLVWHLIAVVTIEAADHCAFSLVTMYLLRAAGILLPFYAIMRMVRMIQQGQKQFRLQLLQEQRRRNASNMHMMNGQEQQHLVISVH >LPERR05G10280.1 pep chromosome:Lperr_V1.4:5:10237172:10237702:1 gene:LPERR05G10280 transcript:LPERR05G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMDSPTPRRVVVAVDESEESMHALTWCLSNVVSSAAATKSPPPKVVLVHARPARPLYYPVIDGGGYVLTQEVMESMDRYMASAADTVVAKARNICAAMSPGVRVEARVEKGDPREVICGAAEEAGGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVLVVKRPNNDNKAQ >LPERR05G10290.1 pep chromosome:Lperr_V1.4:5:10238463:10239830:-1 gene:LPERR05G10290 transcript:LPERR05G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAEAEDPTV >LPERR05G10300.1 pep chromosome:Lperr_V1.4:5:10246696:10249760:1 gene:LPERR05G10300 transcript:LPERR05G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQLRHVCLTVVLFSIGISSCRGQGGGGAGGGAVVPGTQDPVQIVAQAALCFDNRPVLNGCLQSMGINVTTGGTNASSSSPAAAPTAAAAANGTATMCSAPCFGQMTMMMGCVNSILGNFAGYSPGLMQGVQAIFQMSCGNVNGQPAAAAAGGGAAGGSTGAGSGGGTGSTTSVSPNSGSHVAVNRAGYPTSGAAGLTFSVMNVFSSVLIVWVGTWLMA >LPERR05G10310.1 pep chromosome:Lperr_V1.4:5:10251166:10253677:1 gene:LPERR05G10310 transcript:LPERR05G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKACHCFDDHNVYSECNEEMRLGVEGAFHVGKERVEEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCGYTPERGTFEIRERKNCGDEYYHYSHHEQKEKQYGGYNYGDYGHHEQEEQQPGYGEESAYPTSIPASEDYCYGYGAGANSLGLRYNLFQMLVLFAVPAVFLIL >LPERR05G10320.1 pep chromosome:Lperr_V1.4:5:10258379:10258753:1 gene:LPERR05G10320 transcript:LPERR05G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVNHHRLCFLLFAVVAAALALAATTASATRSVPGAHDAAAIVVEDVDEAKHEVPSGESPIHNKNLPTPPADTANYYAMKHDVPSGESPVHNGLPTPPSGTDSTTVTVDRLVPTGPNPIHHH >LPERR05G10330.1 pep chromosome:Lperr_V1.4:5:10262325:10263938:-1 gene:LPERR05G10330 transcript:LPERR05G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPSPAATAKRPRFSPFVLLLALFLLLFSFLYGEDLKELLARRRAQSPLLQFNSGNSAASAGEAIVLPPPAKTTTTARSRRWRGRLPFVANGDGEDNECDVFSGTWVPAGGGGEASSPAATYYGESECPYIPAQLTCEAHGRPETAYRRWRWKPHGCDLPAFDAAAFLAALRGRRVLFVGDSLGRGQFTSLVCLLAAAVPDPAARRIEMAPDQQRSVFTAAEYNVTVEFYWAPFLLRSNADDAVVHRISDRMVRRGSIEHHGRHWVGADVIVFNTYLWWCTGLRFKILDGPSFGDNGSGGGNVSETETAKTTWVSTEEAYGMAFKDMLRWTREHMDFNKTRVFFTSMSPTHGKSQDWGGEEGGNCYGETEMITDPDYWGSDSRRSVMRTIREILDGDEFADVPITFLNVTQLSLYRKDAHTSIYKKQWTPLTPEQLADPKSYADCVHWCLPGLQDTWNELLYTKLFYP >LPERR05G10340.1 pep chromosome:Lperr_V1.4:5:10269987:10270451:-1 gene:LPERR05G10340 transcript:LPERR05G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFHRPYRSDLDLRRPPPPPSSAPDPSSAFPHSGHGYFLPSSTSPTSNGYFSSAVGDRRIEIYTTANPPLPPPPGRLALPPPPGRRDGAGGGGGGGAGGGGGGGMWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHGW >LPERR05G10350.1 pep chromosome:Lperr_V1.4:5:10274492:10280690:1 gene:LPERR05G10350 transcript:LPERR05G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRKINQDKNKTKKRKKIPGLLQTLKKGRAGPEGPKTEEHRRANGSSKLAKAPTTTSGRVVVAAAVVREAYYSVVHEFLPSPTHNPRKTPSFPLPGRDSHRKRERLRGEEDLAGIPAPGTDKATPKFKILRLEVKRALHNAPQPGPFTYVVQCMYIVPLLGQTYAEGFSHMLISSLRHLKSVESVQKDFLEAKHLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYCSESDEDIVKAREHLKQYVQCLMESESYATAVNLITRFSIQCCDESFLTKLIEGNHFEAAEKWAVFMGKEMISLIVQKYLDIKKLKSANELIIQHDLTKEFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCRRYSLEGYVNSLVPEEICCGSDYLDLKTLILEDIIWVDEINGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELKCFQCYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSPLRF >LPERR05G10350.2 pep chromosome:Lperr_V1.4:5:10274492:10281102:1 gene:LPERR05G10350 transcript:LPERR05G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRKINQDKNKTKKRKKIPGLLQTLKKGRAGPEGPKTEEHRRANGSSKLAKAPTTTSGRVVVAAAVVREAYYSVVHEFLPSPTHNPRKTPSFPLPGRDSHRKRERLRGEEDLAGIPAPGTDKATPKFKILRLEVKRALHNAPQPGPFTYVVQCMYIVPLLGQTYAEGFSHMLISSLRHLKSVESVQKDFLEAKHLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYCSESDEDIVKAREHLKQYVQCLMESESYATAVNLITRFSIQCCDESFLTKLIEGNHFEAAEKWAVFMGKEMISLIVQKYLDIKKLKSANELIIQHDLTKEFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCRRYSLEGYVNSLVPEEICCGSDYLDLKTLILEDIIWVDEINGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELKCFQCYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSPLRF >LPERR05G10350.3 pep chromosome:Lperr_V1.4:5:10274492:10280690:1 gene:LPERR05G10350 transcript:LPERR05G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRKINQDKNKTKKRKKIPGLLQTLKKGRAGPEGPKTEEHRRANGSSKLAKAPTTTSGRVVVAAAVVREAYYSVVHEFLPSPTHNPRKTPSFPLPGRDSHRKRERLRGEEDLAGIPAPGTDKATPKFKILRLEVKRALHNAPQPGPFTYVVQCMYIVPLLGQTYAEGFSHMLISSLRHLKSVESVQKDFLEAKHLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYCSESDEDIVKAREHLKQYVQCLMESESYATAVNLITRFSIQCCDESFLTKLIEGNHFEAAEKWAVFMGKEMISLIVQKYLDIKKLKSANELIIQHDLTKEFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCRRYSLEGYVNSLVPEEICCGSDYLDLKTLILEDIIWVDEINGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELKCFQCYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIEA >LPERR05G10350.4 pep chromosome:Lperr_V1.4:5:10274492:10281102:1 gene:LPERR05G10350 transcript:LPERR05G10350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRKINQDKNKTKKRKKIPGLLQTLKKGRAGPEGPKTEEHRRANGSSKLAKAPTTTSGRVVVAAAVVREAYYSVVHEFLPSPTHNPRKTPSFPLPGRDSHRKRERLRGEEDLAGIPAPGTDKATPKFKILRLEVKRALHNAPQPGPFTYVVQCMYIVPLLGQTYAEGFSHMLISSLRHLKSVESVQKDFLEAKHLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYCSESDEDIVKAREHLKQYVQCLMESESYATAVNLITRFSIQCCDESFLTKLIEGNHFEAAEKWAVFMGKEMISLIVQKYLDIKKLKSANELIIQHDLTKEFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCRRYSLEGYVNSLVPEEICCGSDYLDLKTLILEDIIWVDEINGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKCYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSPLRF >LPERR05G10350.5 pep chromosome:Lperr_V1.4:5:10274492:10280690:1 gene:LPERR05G10350 transcript:LPERR05G10350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRKINQDKNKTKKRKKIPGLLQTLKKGRAGPEGPKTEEHRRANGSSKLAKAPTTTSGRVVVAAAVVREAYYSVVHEFLPSPTHNPRKTPSFPLPGRDSHRKRERLRGEEDLAGIPAPGTDKATPKFKILRLEVKRALHNAPQPGPFTYVVQCMYIVPLLGQTYAEGFSHMLISSLRHLKSVESVQKDFLEAKHLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYCSESDEDIVKAREHLKQYVQCLMESESYATAVNLITRFSIQCCDESFLTKLIEGNHFEAAEKWAVFMGKEMISLIVQKYLDIKKLKSANELIIQHDLTKEFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCRRYSLEGYVNSLVPEEICCGSDYLDLKTLILEDIIWVDEINGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKCYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIEA >LPERR05G10360.1 pep chromosome:Lperr_V1.4:5:10285345:10289833:1 gene:LPERR05G10360 transcript:LPERR05G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVATSPAPAPPSATSQQSHHATSARGLLCHAAAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTVLALLPNWAVYFTVYEQLKSMLSSNDGSHQLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGMIPYKGTLSALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEVLSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHVEGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPLPEQRPQPLKH >LPERR05G10370.1 pep chromosome:Lperr_V1.4:5:10294091:10298423:1 gene:LPERR05G10370 transcript:LPERR05G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPPRPAGGGKGEGLALAVGMVAVQVLTMVTLLLSELALGAGTRPLVLLVYRSLIGAAAVAPLAFLLERGMVKKINTVVIGWISVNATFGVLLATGMYYYGLHNTNAAYSANFLNLIPIRLAMGSWAGRMKLLGTAMCVGGTMVVTMFRGRLLHLWPTHLLRLGPHAHAAAPSAAVRRGEMIYGTLFLCGSCVSYALWFIVQAKVAKVFPSKYWATVLTCLSGSLQALVAGVLTTGHWSEWKLSWDLRLLTVAYSGVFNTGITFVLISWAVTRRGPIYPSMFNSLLLIITTVMDSLLLGTNIYLGSVLGALLIIVGLYAFLWGKGKELQLMAAATAAQGVKQEMQKSGDEDPEIAGGPGAAAAEAN >LPERR05G10380.1 pep chromosome:Lperr_V1.4:5:10299494:10302947:1 gene:LPERR05G10380 transcript:LPERR05G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHHPVSPPAIPGAAAVAACAAMAVTYVAVLYAPTALLRLPPPTSLRAFLHRRFACAAFSSAASLLAAASLLRVWSVGDLSDALAVFGIRSDHMLQAVGIPLLLTSLVYAGSLIARLWLVASSCGGTDDGESEIGWVQKLAHWIYAAVGNVMVWRNCVVAPITEELVFRACMVPPLLCGGFKIYNIIFFSPIFFSLVCNSLFVTSTLEPFVRTTPTGSQFYEITPDCRSGISSISGWFIVLFLAPFPRYEPKAIQH >LPERR05G10380.2 pep chromosome:Lperr_V1.4:5:10299494:10302945:1 gene:LPERR05G10380 transcript:LPERR05G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHHPVSPPAIPGAAAVAACAAMAVTYVAVLYAPTALLRLPPPTSLRAFLHRRFACAAFSSAASLLAAASLLRVWSVGDLSDALAVFGIRSDHMLQAVGIPLLLTSLVYAGSLIARLWLVASSCGGTDDGESEIGWVQKLAHWIYAAVGNVMVWRNCVVAPITEELVFRACMVPPLLCGGFKIYNIIFFSPIFFSLGLQLGYTIVFGWYAVFLFIRTGVASVAFLAGSLSFFWLLFPATSPKLYNTRLDQCSCWHGYCRWS >LPERR05G10380.3 pep chromosome:Lperr_V1.4:5:10299494:10302542:1 gene:LPERR05G10380 transcript:LPERR05G10380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHHPVSPPAIPGAAAVAACAAMAVTYVAVLYAPTALLRLPPPTSLRAFLHRRFACAAFSSAASLLAAASLLRVWSVGDLSDALAVFGIRSDHMLQAVGIPLLLTSLVYAGSLIARLWLVASSCGGTDDGESEIGWVQKLAHWIYAAVGNVMVWRNCVVAPITEELVFRACMVPPLLCGGFKIYNIIFFSPIFFSLVCNSLFVTSTLEPFVRTTPTGSQFYEITPDCRSGISSISGWFIVLFLAPFPRYEPKAIQH >LPERR05G10380.4 pep chromosome:Lperr_V1.4:5:10299494:10302542:1 gene:LPERR05G10380 transcript:LPERR05G10380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHHPVSPPAIPGAAAVAACAAMAVTYVAVLYAPTALLRLPPPTSLRAFLHRRFACAAFSSAASLLAAASLLRVWSVGDLSDALAVFGIRSDHMLQAVGIPLLLTSLVYAGSLIARLWLVASSCGGTDDGESEIGWVQKLAHWIYAAVGNVMVWRNCVVAPITEELVFRACMVPPLLCGGFKIYNIIFFSPIFFSLGLQLGYTIVFGWYAVFLFIRTGVASVAFLAGSLSFFWLLFPATSPKLYNTRLDQCSCWHGYCRWS >LPERR05G10390.1 pep chromosome:Lperr_V1.4:5:10304301:10308817:-1 gene:LPERR05G10390 transcript:LPERR05G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDGTSPSEPHDRGGAGEEEEKLAAKPLLSTPESPSPTAEMEAESQAATTTAPADDLEELDRRYAPYARRDAYGAMGRGPLGAAEAARLAVGAVLLFPLRLVAGVLVLVAYYLVCRVCTLRVEEEGEGEGEGYARLDGWRRQGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDENQEQSKELERPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFIAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVVHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNSLPRALHQKDD >LPERR05G10400.1 pep chromosome:Lperr_V1.4:5:10310563:10313856:-1 gene:LPERR05G10400 transcript:LPERR05G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSSAAAARRLQSRYDLYMGFDEDDAAGVEEVEARGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVCPICATRVGADLIGHLTMQHGNEKTVERYVTSSFALSAASLRSPLPQYHCIWSVDNSFHVKTSKPSKDHCCQLVECIVT >LPERR05G10400.2 pep chromosome:Lperr_V1.4:5:10310563:10313856:-1 gene:LPERR05G10400 transcript:LPERR05G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSSAAAARRLQSRYDLYMGFDEDDAAGVEEVEARGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVCPICATRVGADLIGHLTMQHGRSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSVSSDNNFLLNKFPDEKTVERYVTSSFALSAASLRSPLPQYHCIWSVDNSFHVKTSKPSKDHCCQLVECIVT >LPERR05G10400.3 pep chromosome:Lperr_V1.4:5:10310692:10313856:-1 gene:LPERR05G10400 transcript:LPERR05G10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSSAAAARRLQSRYDLYMGFDEDDAAGVEEVEARGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVCPICATRVGADLIGHLTMQHGNEKTVERYVTSSFALSAASLRSPLPQYHCIWSVDNSFHVFSFILYFWPLCILNYAVFIYWANTCLCCSRIHASSSKKKRIHASLKFRN >LPERR05G10410.1 pep chromosome:Lperr_V1.4:5:10317773:10320801:-1 gene:LPERR05G10410 transcript:LPERR05G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMMSMVSEAHHMAPSSLGPRSSSELESLSSHSSASSLSTTSAAPTREIARGTVNFREPRVNPKVRIAQSKRFAEGALEHYNKTKVKFELVDVVPCICIPEPRCVYTHINFTARSSKEGSQEQLFFAELYHCVYGRRRDVFTKRSSKEGSLKEPSIAAKRPVPRGFTVTCCEPLGSDSLAGCKLLNRDDTLMVRKNTDFTYCYGCTQMISHPKGEKYVAGYYNIPYAYEGVRWYRCNANMLKAS >LPERR05G10420.1 pep chromosome:Lperr_V1.4:5:10340299:10361895:1 gene:LPERR05G10420 transcript:LPERR05G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSPLLHTVSNPDAEAADAEDSSECEEIAAESNHKHAKKKAIDPLPAATAAVGTRVPAAPAAVGIMGALDPLTHKLNQLKLPGQHMEVLRPTLETAQYIVSMEELQTDFWPSFSASVDYYSTVAISSLTRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVHKCEDGSLKEIIMWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQTSPVLHLVEQLCLALNDEFRMYVLHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEQMHLVTPVLVRLFQVELVDIRRRAIVTLTKLLPSVQVGTHVSVLVNHLKLVLDGNNNDLRKDAAEALCCLAHARGEDFTIFVPSIHKLLVKHHLQYIKWDEIENRLLRREPLISENSSVQKYTQCPPDVISDPLDDFDGVPSEEADETQRQRRTHQVNDFRLRSAGEASQRSTREEDWAEWMRHFSIALLEESPSPALRTCVQLALLQPSVGRKLFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFVKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKARSSQAPGTLQNLDATLGRMRCLAALARWEDLSSLCREQWTASEPSARLEMAPMVAANAAWHMGEWDQMAEYVSRLDDGDENKIRILGNTTASGDGSSNGAIFRSVLSVRCKKYDEARVYVERAQRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPRENPIADSRRELIRNMWNERTKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESYPKSTLNHAHRQVVLAYLKCQYAVGDETKRRDAFCRLQDLSVRLATTVNCYSGTLASQVATSNAGVPLSARVYLTLGSWKRALSPGLDDDSIQEILVSYKNATLGTKDWGKAWHLWALFNTEVMSRYILRGRPDIAEKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLGFNHGATSEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNKVVRELIQSLLVRIGKDHPQALMYPLLVACKSVSILRQQAAQDVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLAALEPLHKMLEKGPETIKENAFFQAYGNELLEAHECCLKYRATGEDAELTKAWDLYYSVFKRIDKQLPSLTTLDLQSVSPELLQCPKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTMHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLCPNSGLIGWVPNCDTLHALIREYRDAKKIPLNQEHRLMLGFAPDYDHLPLIVKVEVFQYALENTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMEIGIQVPFRLTRMHVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEASVHDPLINWRLFNFNEVPQVANSRNAQSHAVVNSEEAANLELMQPPRRAREKELLQVVNQLDDANEVLNKRAVAVMARMSHKLTGRDFSSGSLLSGAQSSTQHGNEHSDSGHAREGLSVKVQLQKLILQAMSHENLCQHYIGWCPFW >LPERR05G10430.1 pep chromosome:Lperr_V1.4:5:10362449:10365866:-1 gene:LPERR05G10430 transcript:LPERR05G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDESVEAMEIDGQHQQAAITAVPDGFNVDYLRIYYGKLFPYGDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSVSEMESSIKEKCPFKIDIGPPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCMDCWPLMTIAIKILDASLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLNNEQRAAIADYFRVYKGGENAMKKVSLTGHVLHPFLARAYTNVLKCFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHNKWQGNRRSSISKEDANATRWEQLKSTLQSGKHKGLRRCIEEIVFSYSYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPDNCDDFDPTTVPTLSQDIVSATIAESLQGGIRKLIQCKAPAVQEYLDLVKFTVTFQLFLPA >LPERR05G10430.2 pep chromosome:Lperr_V1.4:5:10362449:10365866:-1 gene:LPERR05G10430 transcript:LPERR05G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDESVEAMEIDGQHQQAAITAVPDGFNVDYLRIYYGKLFPYGDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSVSEMESSIKEKCPFKIDIGPPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCMDCWPLMTIAIKILDASLRDDFGFNHILWVYSGRRGVHCWACYPPFRLNNEQRAAIADYFRVYKGGENAMKKVSLTGHVLHPFLARAYTNVLKCFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHNKWQGNRRSSISKEDANATRWEQLKSTLQSGKHKGLRRCIEEIVFSYSYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPDNCDDFDPTTVPTLSQDIVSATIAESLQGGIRKLIQCKAPAVQEYLDLVKFTVTFQLFLPA >LPERR05G10440.1 pep chromosome:Lperr_V1.4:5:10366214:10370829:1 gene:LPERR05G10440 transcript:LPERR05G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAASACTPLLPGPPAAVRSKSNGPAALLPRAHSAPRLRLRRSPEPTRRRRRMASAAATGGGGGGEMSSVEDFAAVASPGGGGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEQEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYNQRYGRYNINRIWRDDILPCRVYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >LPERR05G10440.2 pep chromosome:Lperr_V1.4:5:10366214:10370487:1 gene:LPERR05G10440 transcript:LPERR05G10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAASACTPLLPGPPAAVRSKSNGPAALLPRAHSAPRLRLRRSPEPTRRRRRMASAAATGGGGGGEMSSVEDFAAVASPGGGGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEQEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYNQRYGRYNINRIWRDDILPCRVYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >LPERR05G10440.3 pep chromosome:Lperr_V1.4:5:10366214:10370829:1 gene:LPERR05G10440 transcript:LPERR05G10440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAASACTPLLPGPPAAVRSKSNGPAALLPRAHSAPRLRLRRSPEPTRRRRRMASAAATGGGGGGEMSSVEDFAAVASPGGGGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEQEVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYNQRYGRYNINRIWRDDILPCRVYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >LPERR05G10440.4 pep chromosome:Lperr_V1.4:5:10366214:10370571:1 gene:LPERR05G10440 transcript:LPERR05G10440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAASACTPLLPGPPAAVRSKSNGPAALLPRAHSAPRLRLRRSPEPTRRRRRMASAAATGGGGGGEMSSVEDFAAVASPGGGGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEQEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYNQRYGRYNINRIWRDDILPCRVYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >LPERR05G10440.5 pep chromosome:Lperr_V1.4:5:10369737:10371155:1 gene:LPERR05G10440 transcript:LPERR05G10440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTANCMFFRPEGGEWKKRQIAEQSSTAMPRRLRLQARTANSTSRFAAFSLSGVVATICTASSFDTTLGLKSTVSCTAARSPSPPSSSATASTARESPTLARTRRSPCLTRESAVHPLWTASRRRLARSSPSTAAQAARYDCLHRSSWHSPSLFSPPSISAAASVTASRRRSSSAAGSDSLTAFAT >LPERR05G10440.6 pep chromosome:Lperr_V1.4:5:10369737:10370222:1 gene:LPERR05G10440 transcript:LPERR05G10440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTANCMFFRPEGGEWKKRQIAEQSSTAMPRRLRLQARTANSTSRFAAFSLSGVVATICTASSFDTTMTNWSRAVILRWVTSGAEMTP >LPERR05G10440.7 pep chromosome:Lperr_V1.4:5:10370256:10371155:1 gene:LPERR05G10440 transcript:LPERR05G10440.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGPSLSGRHTRCTPGSSARHCTLPLGLKSTVSCTAARSPSPPSSSATASTARESPTLARTRRSPCLTRESAVHPLWTASRRRLARSSPSTAAQAARYDCLHRSSWHSPSLFSPPSISAAASVTASRRRSSSAAGSDSLTAFAT >LPERR05G10450.1 pep chromosome:Lperr_V1.4:5:10369873:10373036:-1 gene:LPERR05G10450 transcript:LPERR05G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWCSVIGLARSLSVGKERKGDGDGEGNATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSIFCGIFDGHGQWGHYVAKAVRESLPAALLLRRREAVTLAAALIDGGEKRLGECQLDLWRQSYLAACAAVDGELRASRRLDAVHSGCTALSLVKHGDLLVLANVGDSRAVLAVADDDGGDGDLAAVQLTVDFKPNLPQERERIKQCNGRVQCLADEPGVHRVWRPDRDGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITARDQFVILATDGVWDVVSNEEAVQIVATTPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >LPERR05G10460.1 pep chromosome:Lperr_V1.4:5:10398171:10408040:-1 gene:LPERR05G10460 transcript:LPERR05G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLAVAAAAGGAGGGHYRYARMAKGPEEEEGEAGAAPERRPEVLAASASFRLSDSARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFMEEFHEKQEQVKDWLQNLGIGEHMPAVHDEDEADDVNVPAQSEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKIAMQEYLNHFLGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDSKENCCSCGLFSCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVIIFDALPHMDISGEGQISLAKEIKERNPLHFGFQVSSSGRTLKLRTRSSSKVKDWVTAINAARQTPEGWCYPHRFGSFAPPRGLMQDGSMVQWFIDGQAAFEAIASSIEQAKSEIFITDWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYVGGLDLCFGRYDSPAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEMNSEVDGKQSNDKDFDVRKPVSLGSRASCQDIPLLLPQELEPQALPNGDLRVNDLDINHSDHLHKASFNQPLLNRKAKLESSRQDLPMRGFVDNASSLESSSIRHFESSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRANCHCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKCFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCDGGPLVTNQIYVHSKLMIIDDRITMIGSANINDRSLLGSRDSEIAVVIEDKEIVSSKMNGRPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFALWRDKIGHNTIDLGVAQEKLETNHGSDLKGADPVERLQMVKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >LPERR05G10470.1 pep chromosome:Lperr_V1.4:5:10412407:10413075:-1 gene:LPERR05G10470 transcript:LPERR05G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGSAAAPVFPFRSDDEPRRFTDYGFDAYDPRPSHVRNGRPAQRFFSSSQATAKRPAAAAALDSARFKLQKPIISKKQRRQHQRRRWWSSAASAALFFLFNRGSSSSSSSAAASSVVDTSSYRSMSKVSTSAGPLYLAAGDEDEDDDGAAAAACACWAAPAMRSGHLAASELGASASVLPYVSLRDGRAAAGEAPPPAMPIYLVT >LPERR05G10490.1 pep chromosome:Lperr_V1.4:5:10428330:10428890:1 gene:LPERR05G10490 transcript:LPERR05G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGDNGGGGGGGGQWAPHGRQLTAGLVLLNVFVVGLIYYYLWRFFTGKGDEARSENGDDDGDEAESPKAREERQAMERAITALPVFVVRIPTSGDGGGGGGGECAICIAELADGEEGRLLPRCGHRFHARCVDVWFHTHSTCPLCRAAVLPPPPPQPTTTTTAPPPDTNTDRDANTHTDDCPV >LPERR05G10500.1 pep chromosome:Lperr_V1.4:5:10433969:10434544:1 gene:LPERR05G10500 transcript:LPERR05G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSLNSGPAPATVDPSKHWTRHGPVLTACLVSINLLMVLLIFFYFWRFFSGKRGGPPTSSSTAGDDDDEEAASSADTSRRASWRGWPAGKREEEEEDIASSLPVSVYNSASVDGKAAECAVCIVELRDGDTARLLPRCGHRFHADCVAAWLRLHSTCPLCRAAVLAPASKDDTKDAAAEVADEEACPV >LPERR05G10510.1 pep chromosome:Lperr_V1.4:5:10452840:10453475:1 gene:LPERR05G10510 transcript:LPERR05G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSPSPAMAAAAAADPSSHWAPHGPVLTACVVGLNLLVVALVFFYFWRFFSGKRGPSSPGGANDEESASSSADTSPATSPRASWRLRRGWPAAEEEADIAASLPVHVYSASDDAAAAGEDWKAAAAAECAVCIVEFRDGDMARLLPRCGHRFHADCVGSWLRLHSTCPLCRAAVLAPPNSTPATGATAAVTNNDEPKDAAATASDDCPV >LPERR05G10520.1 pep chromosome:Lperr_V1.4:5:10460612:10461253:1 gene:LPERR05G10520 transcript:LPERR05G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPLAPFVAVVVCLAAAAAVTPASCARAPTAMSSSPAARIAETCRSVRDEQKLCVEALSSLSLTAKAASDTRSLARAAVLLARQNATAAAAHASRLNGGXXXXXXXXXXXXNGGDGDTAPLDTERCAGECAARYGRAVAYLGDAAAALDAGRLDDAALQVGEGQAEVELCQKGCEVALLPELLAARNGVVDRLCNVAIDIIRLLQKQH >LPERR05G10530.1 pep chromosome:Lperr_V1.4:5:10462022:10462251:-1 gene:LPERR05G10530 transcript:LPERR05G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMMLYVHKRKPVLPASALVQWITRIAVETDSSILVSALHSHAYDHSPGWSIFLDLKLLILLELVEVVVSFAP >LPERR05G10540.1 pep chromosome:Lperr_V1.4:5:10470126:10471223:-1 gene:LPERR05G10540 transcript:LPERR05G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVFIRYIVVETDSSILVLALHSHAYDHSPGGSIFLDLKLLILLEFVEVVVSFAPQTCNNTAYELAILGASWDLGQANV >LPERR05G10550.1 pep chromosome:Lperr_V1.4:5:10471317:10472992:-1 gene:LPERR05G10550 transcript:LPERR05G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLGTLLILLLVLPLLLYLLRLYGKNSHGGRAPLPPSPRGALPLLGHLHLLGALPHRTLRSLAVARGAPVMRLQLGRVPAVVLSSAAAAEEAMRARDLDLVGRPRSSMAERLMYSGRDVVFSPYGEYWRQARRVCAVHLLGARQVRSFRGVRAEEAAALIARVHATGAGSFDLCGLLVGYANAIISRAAFGDESSRGLSSYGGRELRELFADFAELLGTKPVRDLLPWFGWVDALRGLDRKVRRTFEVLDGVLDSVIDDHRRRRRREGGWQRGLPMGDVDDGDDRGDHRDFVDVLLDVNEMDNEGGFQLDTVQIKGIILDMFVAGTDATSALLEWAMAELVSHPCHMHRLQHELRAVVGMAGHVTEVEDHIRMDRLPYLKAVLKETLRLHSSLPLLVPRESLVDTEILGYHVAARTRLVINAWAIGRDPTVWGENAEEFMPERFLGREDVDYKGQGFEMIPFGRGRRGCPGIGFAMATVEMALASLLYHFDWEVAVPNGKGNQVLLDMSEMSGITVGLKHGLPLIAKPFFS >LPERR05G10560.1 pep chromosome:Lperr_V1.4:5:10480201:10483076:1 gene:LPERR05G10560 transcript:LPERR05G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRAMCTLILLLSLMAMSAAGDDDGAPPPASAPVSASTACDDTTDPTFCRTVLPPHGSSDLYTYGRFSVARSLDGARRFAALVDRYLARHRRLPASTVGALRDCQLMSDLNVDFLTASSSTLHHNNNNTDDVHTLLSAILTNQQTCLDGLNSAASSSPERDGLVAPIANNTKLYSLSLSLFTRAWVVPTSNTKKHKPPHHGHGGRKLFEMARRVAMEGGAVAVNGVVTVDGSGGGAGNYTTVGEAVAAAPSNLDGSTGHYVIYVVGGVYEENVVVPKHKRYIMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYTHSLRQFYRDCDIYGTVDYVFGNAAVVFQSCNFLSRLPMPGQSNTITAQGRSDPNQNTGTSIQGCSLLAAPELDAALATAGAYRTLTYLGRPWKNYSRTVVMESYVGGIVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVSWPGFHVLGSGVDAGNFTVTSMVLGDNWLPQTGVPFTNGFITSTA >LPERR05G10570.1 pep chromosome:Lperr_V1.4:5:10493737:10495033:1 gene:LPERR05G10570 transcript:LPERR05G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPSPAARHPTDDDTNFSGEEESSLAKTAPGGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEDAARAYDVAARDIRGAKAKLNFPPSIAIAAAHPPPKKRRRSSAAAAAAAESSASSPPAAERQLRECMSGLEAFLGLEEEEGGDGAGEQWDAVDLMLE >LPERR05G10580.1 pep chromosome:Lperr_V1.4:5:10500749:10504774:-1 gene:LPERR05G10580 transcript:LPERR05G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAGGAACVGCLAPLSPPPRLRLRLRFPARRPDRSRGGDGNGTRARRRQGGAAVLFSVNYVVVFLAAVNFVAVAGGRGGGGGMAGGAEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNLAGVLPASAVFVGIYEPTKRKLLDTFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKIVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGSANQYTGIVNCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSLLAERRNSREQPAGKDE >LPERR05G10590.1 pep chromosome:Lperr_V1.4:5:10511043:10519211:1 gene:LPERR05G10590 transcript:LPERR05G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSTAMDVRRDGVAVITISNPPVNALSLDVIASLQRNYGEALRRTDVKAIVLTGAKGRFSGGFDINAFNNKPKNEKPGLLSIAFLTDIVCHARVSTSSAQLGLPELQLGLIPGMGGTQRLPRLVGLSKALEMMLMSKTVKGVDAHRFGLVDATVSANELVSTACSWALEIVEGKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSAYLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQTSKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALILNNLLVILKEVNGQFLEAGINRVKANLWSSVRKGQMTKEDYEKKLSLLSGVLDYEQFRDADVVIEAVIEDVSLKQKIFMDLERYCHSDCIFATNTSTIDLQLIGQKTSCQDRIAGAHFFSPAHVMPLLEIIRTHQTSSQVIVDLLGVAKRIRKTPIVVGNCTGFAVNRMFFPYTQVASLLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAVASRKQYLQSYPERCYNSKLIQIMIEENRRGESSCKGFYLYDDKRKASPDPEINKYVEKSRSMASISQDPKLTTLTDDDIVEMVFFPVVNEACRLLHEGVAMKASDLDVASIMGMGFPSYRGGVMFWADSFGTKYIYNRLKAWSKNYGRIFEPCEYLATRAHQGSSLVAMVDGAVSRL >LPERR05G10600.1 pep chromosome:Lperr_V1.4:5:10522187:10534871:1 gene:LPERR05G10600 transcript:LPERR05G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIEMDEDKQQRQEEEEAAAADQAGSVSSVRALSSSFLAAANRSLSSLSSSLRWDRGGADAVDEEEADLTWAAIERLPTFDRLRTSVLPSSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRRQRRRMERVGVRQPTVEVRWRGVGVEADCQVVSGKPLPTLLNTARSAQQVLAAAMGFSRRHARIPILNDVSGVLKPSRLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGEVEYNGVNLNTFVPHKTSAYISQYDLHIPEMTVRETLDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSIQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGPKSCIISFFESCGFKCPQRKGAADFLQEVLSKKDQHQYWKHSEETYKFVTVDHFCEKFKASQDGKNFAEELSKPYDKLKGDTNALSFNMYSLKKWDLLKACFAREILLMRRNAFIYVTKTVQLGLLAIITGTVFLRTHMGVDRNHADYYMGSLFYALLLFLVNGFPELAMAISRLPVFYKQRGYYFYPAWAYAIPAFILKIPFSLVESIVWTSISYYLIGYTPEVSRCFRQLFILFLVHTGALSLFRCVASYFQTMVAGTITVNDVTLGRKILMDRGLDFSSYFYWISVGFSIGLTIKKPIGTSRAIISRDKLAPFHGSGKDMSKDMENKTRELETTNKSGRMVLPFTPLTISFQNVNYYVDTPVEMREQGYREQKLQLLHNITGAVQPGVLSALMGVTGAGKTTLLDVLAGRKTGGFIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTREEFVNEVLQTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLMKRGGDLIYAGPLGHHSCNVIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEGRLGVDFAQIYRQSSLFQDKDILVKRLSKPPPGTDDLHFPTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRIIFITVSSIIFGALFWQKGNINNINDQQGIFTMMGCMYATTVFAGINNCQLVIPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVMVQIVLFMFITYPMIGYAWTTAKFFWFVYTMVCTLLYFLYLGMMIVSLTPNIQVASILASMFYTIQSLMAGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKKIVVFGEAKSISVFINDYFNFRHDLLPLAAIILGMFPVLFAILFTWRSRNNIGEATKWCHNTAKSAGH >LPERR05G10600.2 pep chromosome:Lperr_V1.4:5:10522187:10534871:1 gene:LPERR05G10600 transcript:LPERR05G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIEMDEDKQQRQEEEEAAAADQAGSVSSVRALSSSFLAAANRSLSSLSSSLRWDRGGADAVDEEEADLTWAAIERLPTFDRLRTSVLPSSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRRQRRRMERVGVRQPTVEVRWRGVGVEADCQVVSGKPLPTLLNTARSAQQVLAAAMGFSRRHARIPILNDVSGVLKPSRLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGEVEYNGVNLNTFVPHKTSAYISQYDLHIPEMTVRETLDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSIQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGPKSCIISFFESCGFKCPQRKGAADFLQEVLSKKDQHQYWKHSEETYKFVTVDHFCEKFKASQDGKNFAEELSKPYDKLKGDTNALSFNMYSLKKWDLLKACFAREILLMRRNAFIYVTKTVQLGLLAIITGTVFLRTHMGVDRNHADYYMGSLFYALLLFLVNGFPELAMAISRLPVFYKQRGYYFYPAWAYAIPAFILKIPFSLVESIVWTSISYYLIGYTPEVSRCFRQLFILFLVHTGALSLFRCVASYFQTMVAGTITVNDVTLGRKILMDRGLDFSSYFYWISVGFSIGLTIKKPIGTSRAIISRDKLAPFHGSGKDMSKDMENKTRELETTNKSGRMVLPFTPLTISFQNVNYYVDTPVEMREQGYREQKLQLLHNITGAVQPGVLSALMGVTGAGKTTLLDVLAGRKTGGFIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTREEFVNEVLQTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLMKRGGDLIYAGPLGHHSCNVIQDKDILVKRLSKPPPGTDDLHFPTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRIIFITVSSIIFGALFWQKGNINNINDQQGIFTMMGCMYATTVFAGINNCQLVIPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVMVQIVLFMFITYPMIGYAWTTAKFFWFVYTMVCTLLYFLYLGMMIVSLTPNIQVASILASMFYTIQSLMAGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKKIVVFGEAKSISVFINDYFNFRHDLLPLAAIILGMFPVLFAILFTWRSRNNIGEATKWCHNTAKSAGH >LPERR05G10600.3 pep chromosome:Lperr_V1.4:5:10522187:10534342:1 gene:LPERR05G10600 transcript:LPERR05G10600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIEMDEDKQQRQEEEEAAAADQAGSVSSVRALSSSFLAAANRSLSSLSSSLRWDRGGADAVDEEEADLTWAAIERLPTFDRLRTSVLPSSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRRQRRRMERVGVRQPTVEVRWRGVGVEADCQVVSGKPLPTLLNTARSAQQVLAAAMGFSRRHARIPILNDVSGVLKPSRLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGEVEYNGVNLNTFVPHKTSAYISQYDLHIPEMTVRETLDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSIQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGPKSCIISFFESCGFKCPQRKGAADFLQEVLSKKDQHQYWKHSEETYKFVTVDHFCEKFKASQDGKNFAEELSKPYDKLKGDTNALSFNMYSLKKWDLLKACFAREILLMRRNAFIYVTKTVQLGLLAIITGTVFLRTHMGVDRNHADYYMGSLFYALLLFLVNGFPELAMAISRLPVFYKQRGYYFYPAWAYAIPAFILKIPFSLVESIVWTSISYYLIGYTPEVSRCFRQLFILFLVHTGALSLFRCVASYFQTMVAGTITVNDVTLGRKILMDRGLDFSSYFYWISVGFSIGLTIKKPIGTSRAIISRDKLAPFHGSGKDMSKDMENKTRELETTNKSGRMVLPFTPLTISFQNVNYYVDTPVEMREQGYREQKLQLLHNITGAVQPGVLSALMGVTGAGKTTLLDVLAGRKTGGFIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTREEFVNEVLQTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLMKRGGDLIYAGPLGHHSCNVIQDKDILVKRLSKPPPGTDDLHFPTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRIIFITVSSIIFGALFWQKGNINNINDQQGIFTMMGCMYATTVFAGINNCQLVIPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVMVQIVLFMFITYPMIGYAWTTAKFFWFVYTMVCTLLYFLYLGMMIVSLTPNIQVASILASMFYTIQSLMAGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKKIVATKWCHNTAKSAGH >LPERR05G10620.1 pep chromosome:Lperr_V1.4:5:10547758:10549236:-1 gene:LPERR05G10620 transcript:LPERR05G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGTWCSRTSRQDTKLHRLINQPAIANKAAIAVDGEANLQSATLPAQAEVRAPTIATTKEQPQEATFRYQASARKLHEKTTTTTRYLICCNDAKMWQEDQNSCNTMITAATAYVAMPNIWAWCAIHKTTKHSLESCKTVQRVKAYVEEYGQQDGERVLANWCPIHNSKTHNILDCRPFDP >LPERR05G10630.1 pep chromosome:Lperr_V1.4:5:10551394:10553116:-1 gene:LPERR05G10630 transcript:LPERR05G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEVLKIQNKVKKILHKIEGVYQTSIDAEQGKVMVSGHVDPATIIKKLKKAGKPAELWGSKLHLNGGGKGGQPKDAGGKGQKGGGGRDKEVKMMMPPQPTPQQIQQLQMKGLKLPRFMDGKMPRLPPRRNPGPSCSTFLKMVLLTTEMAMPPPNAGGGGDKKGGTKGGGSEIPVQIKGNSTSGDDSKKDVSSKNGGGGQPNNDKEGGNAANGGGNHPGAHEKKGGGYVVLVSPEWAMTMPQMASHHPQMGNGAVQGMPPPAFYQGGGGGKPEMLQAAAAAGNPMAQQQYMAMMQQQQMMMNGNHGYNGHGHGGSAPEGYPAMGYGYGRPVMPYPISYPMQPVPHVEPYNYFSDENPNGCSVM >LPERR05G10640.1 pep chromosome:Lperr_V1.4:5:10556525:10557573:-1 gene:LPERR05G10640 transcript:LPERR05G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDIDACGCRFLLGGIECEEGILAKVIPRHTIIPTKRMIKIPSWCDQGEFLNVRIFLGEHVMVHRNTFLGEVELISDRRSYEGAVDFELTFEVGRNYSYLVEASVSNADGSKTIKAFPIDEKLLCKHNVNTAVRNALHDWPMHAAEIHAHVRNLARHTIINTLSDVLSARKDEIPKDLCEAAAKALDDLLMALGKDVSVLHDKIRSAMLVEVTIQNWRPPSESPPVDYFYYSDYEN >LPERR05G10650.1 pep chromosome:Lperr_V1.4:5:10557919:10559020:-1 gene:LPERR05G10650 transcript:LPERR05G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCGDSSIGGDQFDNILVNYCVTQMIKLHSVDVRRDKYAMRQLEEVVEQAKVKLSSQHTATISIPYLTSFGQGRGPAHLDITISRPEYEKLCQIILKEANLAAEEVDEIVLFGVFGKHRSTKVHPEETLVIGSAMQAALIVEDQQEMSKNMIPLSIGIECESQFLFGWSEACLFGVWVLP >LPERR05G10660.1 pep chromosome:Lperr_V1.4:5:10564271:10564786:1 gene:LPERR05G10660 transcript:LPERR05G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPLLLLLLLPLFLPAGATKPTAYEALATFDFPPGILPKGVLAYTLDEPTGDFTATLNTTSTCGFSIQGSYSLRYQRRISGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDQLGFSVGIASADFGVDNFLESPQCGCGFDCHGKDGESDLSLMLGEMEPGLRLRGAF >LPERR05G10670.1 pep chromosome:Lperr_V1.4:5:10570932:10571474:1 gene:LPERR05G10670 transcript:LPERR05G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFLLPLLAVAAAAAAAAGEPNKPTAYEILESYDFPVGILPKGVTSYTLDESTGDFTVKLDTGSSSSSATCDFAIQGSYSLRYDATITGRISADRLTALRGVSVKVLFFWLSIVEVTRRGDQLEFSVGILSADFGVENFLESPQCGCGFDCDNGGGGISSSLLPPPLVEPSLRGAF >LPERR05G10680.1 pep chromosome:Lperr_V1.4:5:10573618:10574269:-1 gene:LPERR05G10680 transcript:LPERR05G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIASSCVALLAQRRGLSAAITAAEGSTKIIEDKAVKLGTVAKDVTTALATTTEEKTAFWEPDPETGYYRPVTGTKEVDAADLRAEMLKQRMLHD >LPERR05G10700.1 pep chromosome:Lperr_V1.4:5:10595880:10605917:1 gene:LPERR05G10700 transcript:LPERR05G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQMMDGVEYHEEYVTNPRGLQLFTCGWLPANSSPKALVFLCHGYGMEVSGFMKGIKSKHDAVCSNGGGHCFLAACGIELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICDLEECREKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVTLLTQVEDIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSQVSMAFFILHGEADTVTDPEVSRALYERAASGDKTIKLYPGMWHGLTAGEPDDNVRLVFSDIVAWLNERSGHHRHRRHGEIHSEMARADSSPVSVRGPPPPGHAGGFLCGLTGRGNHQQCRM >LPERR05G10700.2 pep chromosome:Lperr_V1.4:5:10595880:10605917:1 gene:LPERR05G10700 transcript:LPERR05G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQMMDGVEYHEEYVTNPRGLQLFTCGWLPANSSPKALVFLCHGYGMEVSGFMKACGIELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICDLEECREKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVTLLTQVEDIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSQVSMAFFILHGEADTVTDPEVSRALYERAASGDKTIKLYPGMWHGLTAGEPDDNVRLVFSDIVAWLNERSGHHRHRRHGEIHSEMARADSSPVSVRGPPPPGHAGGFLCGLTGRGNHQQCRM >LPERR05G10710.1 pep chromosome:Lperr_V1.4:5:10602199:10605807:-1 gene:LPERR05G10710 transcript:LPERR05G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGASLAGDEAAAAAGGVYSPKPSKPLSWLPRAARYAAGEHRPLFALAGMLVAAAIFSLSTPSSNSGYSSSSSSISSNSLARFTVEPAVRRHEVAMRQFVGGKVPLGLKRKGMRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGNPNFEMIRHDVVEPILLEVDRIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVDGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDISRAKEHLGWEPKIPLHKGLPLMVSDFRKRIFGDQDSAATTTGDQQG >LPERR05G10720.1 pep chromosome:Lperr_V1.4:5:10616696:10623561:-1 gene:LPERR05G10720 transcript:LPERR05G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAAMAVPPHSAICSLIAFLHHHLRSLLSDRRALLAARARSLALLHAAADGEDADVLAALRHAADALLAVRDAGGDISEVLDGAEAALQGPALVPEEGETGGVENRRVAACAYFYLALVRAMQGEVDQPPMQMVLRVKHPSSLVGGGGGEEEAARRAARRYKDWLMYYKVVAAGAPASGGGGCIQFGRSVSSVIPKCPEFSEDGAIHSGRASNSNCGDHDGFAELKDFLNCEDQGLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNEEFSHDKGRSAKVMPIDTDFLSTKLHGRSIQNKNLTWCTSPENAMIYTPESPLYQVDDCDMKSNGLQSSRMQGSLNSISNSVLNVNNMDSYSTSNYFNKEGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSDVKYRSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNVMRGIKRKEFHLSNLATALKKNVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRSKCAALEYFHEILQIPRSAANSLLKEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKSDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFLSNLGGTYSWSGESYSAALLAKKGGLTSTSHRSMIRNIDWVDPCLQDTEIGPWSSKAARAIIRIGVPVLRALAKGMQSKVNGTSHDSLVCAAWLGSEMAALGENNMRYSACEILLHDIARYLHPGFELDERLLACMCLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWKMAHHKLECIEVIQIREAVQKFEISSDKIIVLTPNSVLKFSYSSRSTQTFYKRKHVKSLAVAHGKAYLGCSDLSIQELDITVGSKIEVRAPTRSWRIRKQPISYISVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAIWKGTNVEAMAVVEDFIYLTCDKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTETGLIKAWIPF >LPERR05G10730.1 pep chromosome:Lperr_V1.4:5:10631814:10636704:-1 gene:LPERR05G10730 transcript:LPERR05G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFAGDKLMLTESAVEIRRRFEDHRGLAPGSDEATRAISDAREAAHFITHMIVQAQRAPSGSFVVKPEKVHAGATLEVPSEEILSKLK >LPERR05G10740.1 pep chromosome:Lperr_V1.4:5:10641729:10642838:-1 gene:LPERR05G10740 transcript:LPERR05G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRSIKLAETALASGDRQRAEKFIRIAQRLDPSLPIDDMLATPKKYDTVNGAARQYKTRRGEVGETQKLPKESNVPSNVDKGYTEENVRVVRNIRKNKDYYAILGVERSCSIEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGSIEDHEFNHQYSNVMRQRATRRQRQARSSFYGYEEDLDPDEIFRSFYYGTNDNMFHSHNAYRARGTARQQQQQQQRREHPVQGGSGINLTMLVHLAGVLFFVLFAFIPARYPEYSLKKTNYFAISKVTEKHGVQYFVSKQDFDQKFPLGSFSRDSLEQHVFKDYTGMLRRFCHVELQRRQWAKDYPTPHCDKLRSLHVA >LPERR05G10750.1 pep chromosome:Lperr_V1.4:5:10644821:10650432:1 gene:LPERR05G10750 transcript:LPERR05G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNNRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAQDAIYGRDGYDFDGHKLRVELAHGGRGPSFDRSSSYSSAGCRGASRRTDHRVVVTGLPSSASWQDLKDHMRRAGDVCFSDVYREDGGSTILPSAAALAPEVEATDALCPVAEVEAAATLSPEAEATVIAEAGVQACLLFFASRSRSASRSHSPVKERSRSASRSRSPVKERSSRSPSPATSPPRDKSASRSPVKSRSLSRSQSPVRDIHQKNCIFASLSYA >LPERR05G10760.1 pep chromosome:Lperr_V1.4:5:10664857:10665936:1 gene:LPERR05G10760 transcript:LPERR05G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASASEKKKKKKAATTEEEGGDGGGGGSSSSSASPLWLLADDVLLQILGRLEGDPRDWARASCASPRLAALLRGACLPPRLTRALPAELLPAPAPDGAPRAWAALHKLSVCCPGLLRAGVLLHPPDDLGLELDIGPNLPSINHTHIPSSSPSSSTATSAAKRSLDSDPDPHTWSLYDDLYLDAAYDSPSSSSDPPPPPPPQIQPPAADETPPPPRRRRDRRWVGTVGAHLATGAWTLSREQGNKLLASRFRGDALYICDWPGCVHAEERRKYMVFRGVFRDFPRSQVRRALRDTRHPAVAVDCAFCGSARAWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >LPERR05G10770.1 pep chromosome:Lperr_V1.4:5:10672457:10676908:1 gene:LPERR05G10770 transcript:LPERR05G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDGNGNDAADEKARDVTDQSKTLGRNSCADGVPPSAVPVGGDPGETFGFLGNLADDDLQFDEDDHGDSTECSSSFGPSCPASDDDMDGIEVDSPFLGPIHANAGRANSAPSMVRHKEVTAQWKKMAAPIMWRCQWLELRMKNLLSQVAKYDKELAVINHEKDLQLEMVKADGPESETVNLDSQSNVRIIMKRRKRRRDEAVDDSLYMMNHPALSYYYGLASCPCFAGLEKIAYLINKSNGVQTDGRSVNGGFDSSVPEDIGSSHDDALLENDRIIEQYSLREILRTVDNIQSRILGLQGYLSNARSKYESQVKLSQKNQKVKNHITSCKKDGRRSLQKTKALHSLLQKDDLDRPLPEVTALLDRPADCMMGYMKRHDAQEDAIQSHADITTFDMLFGADNVLTNAHVGEFCKESADDVLIDNTAVKKEGYQHFERVEHAAEKHSELIMHPSEIEKAHIVGCEQVLQTAPVVKQMISGDKRGQKPDTKHGGSLLANKIKTEQDPSNMKIERPALMAVDPRRSKRVRKPKIY >LPERR05G10770.2 pep chromosome:Lperr_V1.4:5:10672702:10676908:1 gene:LPERR05G10770 transcript:LPERR05G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDGNGNDAADEKARDVTDQSKTLGRNSCADGVPPSAVPVGGDPGETFGFLGNLADDDLQFDEDDHGDSTECSSSFGPSCPASDDDMDGIEVDSPFLGPIHANAGRANSAPSMVRHKEVTAQWKKMAAPIMWRCQWLELRMKNLLSQVAKYDKELAVINHEKDLQLEMVKADGPESETVNLDSQSNVRIIMKRRKRRRDEAVDDSLYMMNHPALSYYYGLASCPCFAGLEKIAYLINKSNGVQTDGRSVNGGFDSSVPEDIGSSHDDALLENDRIIEQYSLREILRTVDNIQSRILGLQGYLSNARSKYESQVKLSQKNQKVKNHITSCKKDGRRSLQKTKALHSLLQKDDLDRPLPEVTALLDRPADCMMGYMKRHDAQEDAIQSHADITTFDMLFGADNVLTNAHVGEFCKESADDVLIDNTAVKKEGYQHFERVEHAAEKHSELIMHPSEIEKAHIVGCEQVLQTAPVVKQMISGDKRGQKPDTKHGGSLLANKIKTEQDPSNMKIERPALMAVDPRRSKRVRKPKIY >LPERR05G10780.1 pep chromosome:Lperr_V1.4:5:10681216:10683818:-1 gene:LPERR05G10780 transcript:LPERR05G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALASLRLAASPILKKLLAEASTKFGVDMVTELRELETSIMPQFELLIDAAEKGNHRAKLDKWIRELKEPCTTPRTCWMITSTGKSTLAQYVYNDKSIEEHFDIRMWVCISRKLDVDRHTREIIESAAKGECPRVDNLDVLQYKLKEMLHEKEKFLLVLDDIWFEKSESVEEWDLLLGPLLASQKGASKVFVTSRSKTLPPALFSEDVVDLENMKDTEFQALFKHYAFYRTTILDLQLRGRLEELAEKIAGRLGRSPLAAKVVGSHLKGTTNIDDWKDALTIKIDSLSEPKRALSWSYQKLDPRLQRCFLYCSLFPKGYKYNINELVHLWVAEGFVDGHHMNKRIEDIGMDYVKEMVSGSFFQPFSERDVTWYIMHDLLHDLAESLSREDCFRLEDDKVKEIPCTVRHLSICVESIIQHKLSICKLQHLRTLICIDPLLDVGSDLFKQLILNLKKLRVLYLSFYNTRILPKSVRELKHLRYLNITKTLISELPKSFCHLYHLELLHLGVISCFPNRMCNLSKLRHLEMYYDRTGQLSILGPQFAYIGRLTSLQHIDSFHIQKEKGYELRQLRNMNEIGGDLYLRYLENVTSKDEALESKLYQKCRLEKLHLEWNDADNMNPEKSLHLEILEGLVPPPQLEHFEIVGYKSSTYPSWLLKGSYIKNLVYFSLENCSAIESLPSNTELFRHCRHLNLWNLPNMKVLSFIPAGLTRLSINMCPLLLFVTNDELDHHDHCEKITLTKHVTAQFALIGVTGSFVDIRRALSSDHSCMKQLAELMDSDISKDLQTIEHALERKGEVLMTEHVIKAWIRCHEQRMRLVSARRFH >LPERR05G10790.1 pep chromosome:Lperr_V1.4:5:10687783:10691811:1 gene:LPERR05G10790 transcript:LPERR05G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAVVTVIGTTLVEIITAAASLPLPTATNLSLSLRAQSRPYAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSSPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASAAARAAVTSRVRPTVFTCNAVAASMVRAGRHDGAVELFDFFFRRSNIVPNVVSYNTLILAHVEAARVDAALGVYREMLESAPFSPSAVSYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEENWDKAFELFEELQGRCMVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHEKHKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKEGKFNEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTFLVDSCFKEGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMEDALKVYGRMTDKEIKPNTTTFEILVNALCKDGDLDRARDLVIDMARGGVAPPPEFRESVTDFFKNAGRQEEIEKAFEEKPVPPPPQPRPEYRPRGPPQGQPGFASNQTRGSYMPHQGQPTYGSQPLHPGLGGSQVTQPHGMPPKPQQSVFGNPQVNKSGFDGRTLQHGLGAPDPRQPGVVSMTQPPVVNTPNTWQHSASQGTYHGNGSSHPWQHPVGISQVQHPDFSSPPPPRPGFGHPQPPQPGFGRPQPPQPMHNAPHTQQPGFGTSYPWQTGYSSPQAQQHGYGAPQPSQHAVGSMQPPQGQFGAPQAPPAPPPAGFGSQSRPEYGHAVDQHNRFGSSQGEQKFHTQPPQHGFGAQAPGNHVLQGQNSFNSHRGQVGFGNHGGPSEYGASRSQPSHGAAWNQRGHELPEEEMGRENPQVVPHAYARAR >LPERR05G10800.1 pep chromosome:Lperr_V1.4:5:10697033:10721377:1 gene:LPERR05G10800 transcript:LPERR05G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLLHLLLLFSPWLLLLLLQEGVSSLQFTRDDFPDGFTFGAGTAAYQYEGAAAEDGRRPSIWDTYAHSWRNPGGETGDVACDGYHKYKIHAVLYHMDLPQSLQDEYGGWVSPKVVDDFTAYADVCFREFGDRVAHWTTAIEPNVMAQSGYDDGYLPPNRCSYPFGRNNCTTGNSTVEPYLFVHHTLLAHASAVRLYWEKYKAEQKGIIGINIYSMWFYPLTDSAEDIVATERAKDFMILHPLVFGDYPETMKRIAGSRLLLFSSHESELITSAFDFIGLNHYISNYVSDNNNAVKAPLQDVTDDVACFFWATKNSTPTREFLPSTTLDPKGLEHALDYLQEKYGNLLIYIQENGSKSNATLHDEGRIDGLTKYIEATLKSIRNGANVKGFSVWSFMDQYEMFGGYKSHFGLVAVDFGSAELTRQPRSSARWYSDFLKNNAVIETKTTDTRWSYRLLLPDGVTGAESLAFDGKGGLYTGVSDGRVLRWGGSAAGWTTFASNTNHKKIPLCSSPDVAPEERESLCGRPLGIRFFRKTGELYIADAYMGLMKVGPEGGEAQVIATEADGVHFRFLNGLDVDQATGDVYFTDSSSVYTRRFNGEITMNADATGRLLKYGAKTQRVTVLKADLPYPNGVVVSRDRTHLVVAHTVPCQAFRYWLQGPNVGEYELFADLPGYPDNVRLDGKGGYWVALNQERMRLGAAPAAKHLVGVRLDRDGVEVEELTAAKGVTLSEVAEQKGRLWLGSVELDYIGMSDTNNNRLIAIGKTRSTNKKNTYAMERRSLLHLLLVFFSAWLLLQEGVSPLQFTRDDFPDGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNLMTEIGLEAYRFTISWSRLIPDGRGEVNPKGLQFYNNMINDLVHVVLYHLDLPQSLQDEYGGWISPKVVDDFTAYADVCFREFGDRIVHWTTVLEPNAVAQASYDIGILPPNRCSYPFGINCTHGNSTIEPYLFIHHSLLAHASAVRLYREKYQVTQNGIIGINIYSMWFYPFTDSAEDVGATERTKQFIYGWILHPLVFGDYPDTMKKAAGSRLPFFSNLESERVTNAFDFIGLNHYSSVYTSNNNNVVNAPLQDFTADIATLFRDNKNGTPSPLFVPGTTVDPQGLEYALEYIRENYGNLPIYIQENGSGASDGSLDDVEKINYLGKYISATLKSIRNGANVKGYSMWSFMDLYEIFGGYYGWHFGLVAVDFGSAERRRQLRRSASWYSDFLKNNAVIERCEEKDKKQQSPCTASQEAMEMIKRPLHLPLLFFSAWLLLLLLQGVRSLQFTRDDFPSGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNIMSEIGLEVYRFTISWSRLIPGGRGTVNKKGLQFYNSVINEIVKADTLLPFTIWTFPRAFKTSMVGGLALNDDFIAYADLCFHEFGDRVAHWTTAAQKGIIGINIYSLWLYPFTDSAEDIGATERAKQFMILHPLVFGDYPETMKKAAGSRLPFFSNNESELVTNAFDFIGLNHYTSVYTSNNKDAVKAQIHDVTADVATLYRVTRDGKPTPEFLPGTIADPQGLENALEYIRENYGNLPIYIQENGSGSPNGTLDDVERIIFLAKYIAATLKAIRPEKAAKTLC >LPERR05G10800.2 pep chromosome:Lperr_V1.4:5:10696831:10721377:1 gene:LPERR05G10800 transcript:LPERR05G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLLHLLLLFSPWLLLLLLQEGVSSLQFTRDDFPDGFTFGAGTAAYQYEGAAAEDGRRPSIWDTYAHSWRNPGGETGDVACDGYHKYKIHAVLYHMDLPQSLQDEYGGWVSPKVVDDFTAYADVCFREFGDRVAHWTTAIEPNVMAQSGYDDGYLPPNRCSYPFGRNNCTTGNSTVEPYLFVHHTLLAHASAVRLYWEKYKAEQKGIIGINIYSMWFYPLTDSAEDIVATERAKDFMILHPLVFGDYPETMKRIAGSRLLLFSSHESELITSAFDFIGLNHYISNYVSDNNNAVKAPLQDVTDDVACFFWATKNSTPTREFLPSTTLDPKGLEHALDYLQEKYGNLLIYIQENGSKSNATLHDEGRIDGLTKYIEATLKSIRNGANVKGFSVWSFMDQYEMFGGYKSHFGLVAVDFGSAELTRQPRSSARWYSDFLKNNAVIETKTTDTRWSYRLLLPDGVTGAESLAFDGKGGLYTGVSDGRVLRWGGSAAGWTTFASNTNHKKIPLCSSPDVAPEERESLCGRPLGIRFFRKTGELYIADAYMGLMKVGPEGGEAQVIATEADGVHFRFLNGLDVDQATGDVYFTDSSSVYTRRFNGEITMNADATGRLLKYGAKTQRVTVLKADLPYPNGVVVSRDRTHLVVAHTVPCQAFRYWLQGPNVGEYELFADLPGYPDNVRLDGKGGYWVALNQERMRLGAAPAAKHLVGVRLDRDGVEVEELTAAKGVTLSEVAEQKGRLWLGSVELDYIGMSDTNNNRLIAIGKTRSTNKKNTYAMERRSLLHLLLVFFSAWLLLQEGVSPLQFTRDDFPDGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNLMTEIGLEAYRFTISWSRLIPDGRGEVNPKGLQFYNNMINDLVHVVLYHLDLPQSLQDEYGGWISPKVVDDFTAYADVCFREFGDRIVHWTTVLEPNAVAQASYDIGILPPNRCSYPFGINCTHGNSTIEPYLFIHHSLLAHASAVRLYREKYQVTQNGIIGINIYSMWFYPFTDSAEDVGATERTKQFIYGWILHPLVFGDYPDTMKKAAGSRLPFFSNLESERVTNAFDFIGLNHYSSVYTSNNNNVVNAPLQDFTADIATLFRDNKNGTPSPLFVPGTTVDPQGLEYALEYIRENYGNLPIYIQENGSGASDGSLDDVEKINYLGKYISATLKSIRNGANVKGYSMWSFMDLYEIFGGYYGWHFGLVAVDFGSAERRRQLRRSASWYSDFLKNNAVIERCEEKDKKQQSPCTASQEAMEMIKRPLHLPLLFFSAWLLLLLLQGVRSLQFTRDDFPSGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNIMSEIGLEVYRFTISWSRLIPGGRGTVNKKGLQFYNSVINEIVKADTLLPFTIWTFPRAFKTSMVGGLALNDDFIAYADLCFHEFGDRVAHWTTAAQKGIIGINIYSLWLYPFTDSAEDIGATERAKQFMILHPLVFGDYPETMKKAAGSRLPFFSNNESELVTNAFDFIGLNHYTSVYTSNNKDAVKAQIHDVTADVATLYRVTRDGKPTPEFLPGTIADPQGLENALEYIRENYGNLPIYIQENGSGSPNGTLDDVERIIFLAKYIAATLKAIRPEKAAKTLC >LPERR05G10800.3 pep chromosome:Lperr_V1.4:5:10697033:10721377:1 gene:LPERR05G10800 transcript:LPERR05G10800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLLHLLLLFSPWLLLLLLQEGVSSLQFTRDDFPDGFTFGAGTAAYQYEGAAAEDGRRPSIWDTYAHSWRNPGGETGDVACDGYHKYKIHAVLYHMDLPQSLQDEYGGWVSPKVVDDFTAYADVCFREFGDRVAHWTTAIEPNVMAQSGYDDGYLPPNRCSYPFGRNNCTTGNSTVEPYLFVHHTLLAHASAVRLYWEKYKAEQKGIIGINIYSMWFYPLTDSAEDIVATERAKDFMILHPLVFGDYPETMKRIAGSRLLLFSSHESELITSAFDFIGLNHYISNYVSDNNNAVKAPLQDVTDDVACFFWATKNSTPTREFLPSTTLDPKGLEHALDYLQEKYGNLLIYIQENGSKSNATLHDEGRIDGLTKYIEATLKSIRNGANVKGFSVWSFMDQYEMFGGYKSHFGLVAVDFGSAELTRQPRSSARWYSDFLKNNAVIETKTTDTRWSYRLLLPDGVTGAESLAFDGKGGLYTGVSDGRVLRWGGSAAGWTTFASNTNHKKIPLCSSPDVAPEERESLCGRPLGIRFFRKTGELYIADAYMGLMKVGPEGGEAQVIATEADGVHFRFLNGLDVDQATGDVYFTDSSSVYTRRFNGEITMNADATGRLLKYGAKTQRVTVLKADLPYPNGVVVSRDRTHLVVAHTVPCQAFRYWLQGPNVGEYELFADLPGYPDNVRLDGKGGYWVALNQERMRLGAAPAAKHLVGVRLDRDGVEVEELTAAKGVTLSEVAEQKGRLWLGSVELDYIGMSDTNNNRLIAIGKTRSTNKKNTYAMERRSLLHLLLVFFSAWLLLQEGVSPLQFTRDDFPDGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNLMTEIGLEAYRFTISWSRLIPDGRGEVNPKGLQFYNNMINDLVHVVLYHLDLPQSLQDEYGGWISPKVVDDFTAYADVCFREFGDRIVHWTTVLEPNAVAQASYDIGILPPNRCSYPFGINCTHGNSTIEPYLFIHHSLLAHASAVRLYREKYQVTQNGIIGINIYSMWFYPFTDSAEDVGATERTKQFIYGWILHPLVFGDYPDTMKKAAGSRLPFFSNLESERVTNAFDFIGLNHYSSVYTSNNNNVFVPGTTVDPQGLEYALEYIRENYGNLPIYIQENGSGASDGSLDDVEKINYLGKYISATLKSIRNGANVKGYSMWSFMDLYEIFGGYYGWHFGLVAVDFGSAERRRQLRRSASWYSDFLKNNAVIERCEEKDKKQQSPCTASQEAMEMIKRPLHLPLLFFSAWLLLLLLQGVRSLQFTRDDFPSGFVFGAGTSAYQYEGAAAEDGRSPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVNIMSEIGLEVYRFTISWSRLIPGGRGTVNKKGLQFYNSVINEIVKADTLLPFTIWTFPRAFKTSMVGGLALNDDFIAYADLCFHEFGDRVAHWTTAAQKGIIGINIYSLWLYPFTDSAEDIGATERAKQFMILHPLVFGDYPETMKKAAGSRLPFFSNNESELVTNAFDFIGLNHYTSVYTSNNKDAVKAQIHDVTADVATLYRVTRDGKPTPEFLPGTIADPQGLENALEYIRENYGNLPIYIQENGSGSPNGTLDDVERIIFLAKYIAATLKAIRPEKAAKTLC >LPERR05G10810.1 pep chromosome:Lperr_V1.4:5:10726065:10729554:1 gene:LPERR05G10810 transcript:LPERR05G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAGSIMEDAHGVVDLDVDIVGLENGAACSKLVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDSAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRELSVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDGDTADENTTVNNDTDWLLGIKRGDATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGATLKVNTHINGAQSSSCSPGKGKASEMLERSPRDTSDCDIDDAAMPESALSSYGEANDMDIFESTMSLLSAEGPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRETGAHSEDESVAPTVAVKKEEAQEEATTSLGLQAAFFKPCYTGKRRRRMPKIQRRGGSSASPFSSWISNRIRKKKQF >LPERR05G10810.2 pep chromosome:Lperr_V1.4:5:10726065:10729554:1 gene:LPERR05G10810 transcript:LPERR05G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAGSIMEDAHGVVDLDVDIVGLENGAACSKLVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDSAALMDAAVSDNLDRLLKKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDGDTADENTTVNNDTDWLLGIKRGDATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGATLKVNTHINGAQSSSCSPGKGKASEMLERSPRDTSDCDIDDAAMPESALSSYGEANDMDIFESTMSLLSAEGPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRETGAHSEDESVAPTVAVKKEEAQEEATTSLGLQAAFFKPCYTGKRRRRMPKIQRRGGSSASPFSSWISNRIRKKKQF >LPERR05G10820.1 pep chromosome:Lperr_V1.4:5:10734371:10739654:1 gene:LPERR05G10820 transcript:LPERR05G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSTSNSNLSLLLLFLLFFAAPWAKALNFTRQDFPREFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDTAAGGYHKYKEDVKLISDTGLEAYHFSISWSRLLPRGRGPVNPKGLEYYNSLIDELVKQGIEIHVTLYHLDFPQILEDEYHGWLSPRVIEDFTAYADVCFREFGDRVKHWTTMDEPNVLAIAAYDSGAFPPCRCSPPFGINCTAGDSTVEPYVVAHNSILAHASVVKLYREKYQATQKGFVGMNVYSFWTYPISLSSADIAATQRALDFMIGWILDPLVYGDYPEIMKKKAGSRIPSFTKEQSELIRGGTDFIGINHYTSVYINDASNGEKTDLRDYNADMSAVFRTSRNDTPSGQFVPTSIPVDPQGLQYMLEYLRDTYPGIPVYIQENGKGQFGKKDSLNDTDRVEYLSRYMGSALAALRNGANVKGYFVWSFLDEFELLAGYHSPFGLYHVDFEDPNLPRQPKLSAQWYSKFLRSEIGVNIINMISADEHEHADI >LPERR05G10830.1 pep chromosome:Lperr_V1.4:5:10740457:10744428:-1 gene:LPERR05G10830 transcript:LPERR05G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLPHLLLLFFSPWLLLLLQQGVSSLQFTRDDFPDGFTFGAGTAAYQYEGAAAEDGRRPSIWDTYTHSGRHPKDGTGDVACDGYHKYKEDVKLMNDIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNDMINELVKAGIKIHVALHHMDLPQSLQDEYGGWISPQVVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQACYDSGILPPNRCSYPFGNNCTAGNSTVEPYLFIHHSLLAHASAVKLYKEKYQVTQMGIIGINMYSMWFYPFTYSAEDIDATERAKQFFYGWILHPLVFGDYPDAMKKAAGSRLPLFSNHESELVTSAFDFIGLNHYTSNYVSDNSNAVKISLQDVTSDIGSLFRDCKWFCLKQLLPGTAVDPQGLEHALEYIRENYGNLPVYIQENGSGAPDGTMDDVERINYLAKYIAATLKAIRNGANVKGYSMWSFVDLYEIFGGYSMWHFGLVAVDFNSEERRRQPRRSARWYSDFLKNNSAIRVVEDGFVSAASHHAEI >LPERR05G10840.1 pep chromosome:Lperr_V1.4:5:10756175:10761200:-1 gene:LPERR05G10840 transcript:LPERR05G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPDLQLLLVFFSAWLLLLQQGVSSLQFTRDDFPDGFVFGAGTSAYQYEGAAAEDGRSPSIWDSFAHSGRHPEYGTGDVASDGYHKYKDDVKLMTEIGLEAYRFTISWSRLIPGGRGVVNPKGLQFYNNMINELVKAGIKIHVVLYHMDLPQSLQDEYGGWISPKIVDDYKAYVDVCFREFGDRVAHWTTILEPNAMAEPCYDYGILPPNRCSYPFGINCTGGNSTVEPYLFIHHVLLAHASAVRLYREKYQVAQKGIIGINMYSMWFYPFTDSADDIGANERTKQFILGWILHPLVFGDYPETIKKAAGSRLPLFSKHESELITSAFDFIGLNHYTSSYVSDNSNAVKTTLHDVVADIGSLFRGSGGPDDTLDDVERINCLAKYIAATLKAIRNGANVKGYSVWSFIDVYEVFGGYSMHFGLFAVDFSSEERIREPRRSACCTCIPDSGETTAAVSCRRTMVPAALGWYHLEEVVKSRRIGLRWIGPPARLNWMCFNFDLAGVIALLDGIFLYLVVIVVHLVRVLIGGKDLAGDLTQIW >LPERR05G10850.1 pep chromosome:Lperr_V1.4:5:10769527:10769859:-1 gene:LPERR05G10850 transcript:LPERR05G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >LPERR05G10860.1 pep chromosome:Lperr_V1.4:5:10773692:10775865:-1 gene:LPERR05G10860 transcript:LPERR05G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVSNPNLLGSHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDTGSDLETDDLKSALGKKRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNSMQHSVGTLKKLCERYSVAGKKVIHPIK >LPERR05G10870.1 pep chromosome:Lperr_V1.4:5:10776499:10782889:1 gene:LPERR05G10870 transcript:LPERR05G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATRRGLSAVLLSSSRALPRRLAPLAAASAYLAPWAPPSRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLTAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFRFTERQQVRSRPRPRYDRRRETMQVERKETMQKGPSNLQ >LPERR05G10880.1 pep chromosome:Lperr_V1.4:5:10788626:10791210:-1 gene:LPERR05G10880 transcript:LPERR05G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPRPQILPRMGGQTRRLERLRSRGNVNAAAAALPPKKPRSSGQPSALAPPAPTPTAEPRRGEATRAAGNSEVPGLLRSGGPNAGNAKTGGAVKRKGSDGHSSPNPESTYAMLQQDDYDKADYSSDEVLSKSFAEKKVLSKRRDSPVKMVATDVVVLPVEHSRDNDAIIVETEAPSKSLPSIPLVQDEEAVQLTRTSVKDQVKEFMEGNRGDSPVKMAATDVEELPVEHLRDNEAIIVDSEAPFKSLPSIPLVQDEEAVQLTRTCVKEQVKEFMEVDRGDSVVNMATADLEDPPVENLRDNEVITVDTEVPSKPLPSNPLVQDEEAVQLARTFVNEQVKEFMEDASEPGVLQSLLSKITSLLVQATSLAAVLHDKIPPHVDAQTAAFATQVLELQQQVEEMSKKLSSTKEELEVTKAILKATQAAMLEAQSDQTTAITTMNSVAMRVGALFARLGIILDPPPNVVDSLEESIKQMTALVSLLGPVTRCLGLSLAKSSLTFGVAALLCREKGIKGMLEPPDMDTHQFVRSQGPEFHSLISQIVDSVEERLANSLEGGGWRGSRATKDP >LPERR05G10890.1 pep chromosome:Lperr_V1.4:5:10793821:10797247:-1 gene:LPERR05G10890 transcript:LPERR05G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPRKHDPSNGNAGAGQPPPKRPRSSERPLVRRLADGATPPAPSAKSRCDAVRPAVRNSPASLERGCRSPVTPGGDFQRKDSDGLVCLTPDSTKAMFQQDDHEKGECFPGVVLSKTGDCVVKMAAANVEDLCVEDLRDNELITVIEGPSKPLPSIPLVQDEEAVQLAKTLVNEQVKKFMKGVSEPGVLQSRLSKITSLLVQATSMVSVLHDEIPPQIHTQNTGYVTQISELEQQLEELSKKLCSTEDELEVTKAELKTTQAAMLEAQSDRMTAITAMNSIAMRVGASFARLGEILLTPPSVVDSLEESIKQLTMLVSRLGPVTLSHGLSLAKSSLTFGVAALLCREKGIKGLLEPSGMDTRQFVRSQGPEFHSLISQVVEAMEQRLSNTHEGGEWRILEPPKNQDNQQTIVIGGV >LPERR05G10900.1 pep chromosome:Lperr_V1.4:5:10800187:10804666:1 gene:LPERR05G10900 transcript:LPERR05G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSYFIVVMLISNCLGFIAMPFAQVVGFGSSSSIDEIDGKKGSSFGGLYQIYVAGDGKRKVPTGPNPIHHHLPPTQAPSPPSDKMASMSYFIVAMLISNCLGFIATPNAQVLRSSSSLGVEEIGSSSGGLYQLHNHGTHPGPSDPLGPPSDVINFGKRVFSVKIDLPAGHGTHPGPSDPLGPPGSQIDLPTGHGTHSGPSDPLGPPGSQIGLPTGHGTHPGPSDPLGPPSGQTDFPTGHGTHPGPSDPLGPPNGQIDLPTGHGTHLGPSDPLGPPSGQIDLPTSHGTHSGPSDPLGPPGSQVNLPTGHGTHPGPSDPLGPPIAHIDIGWPWN >LPERR05G10920.1 pep chromosome:Lperr_V1.4:5:10837640:10840497:-1 gene:LPERR05G10920 transcript:LPERR05G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVRPVMSHSSAFLLPSPPSSSAAPDGDGDAYALLVLNQRLPRFAPLLWDRARVRVCADGGANRLFDDMPELLPGQDPDEVRRRYKPDVIKGDLDSIRPEVKEYYSNMGTQIVDESHDQDTTDLHKCITFVTEKLAIPNRSNLCILVLGALGGRFDHEMGNINVLHLFPTNRIILLSDDCLIFLLPRTHTHNIHIESIEGPHCGLIPIGAPSTTTTTTGLRWNLDDTSMSFGGLISTSNIVEEESVTINSDSDLIWTISLRHHS >LPERR05G10920.2 pep chromosome:Lperr_V1.4:5:10837200:10840497:-1 gene:LPERR05G10920 transcript:LPERR05G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVRPVMSHSSAFLLPSPPSSSAAPDGDGDAYALLVLNQRLPRFAPLLWDRARVRVCADGGANRLFDDMPELLPGQDPDEVRRRYKPDVIKGDLDSIRPEVKEYYSNMGTQIVDESHDQDTTDLHKCITFVTEKLAIPNRSNLCILVLGALGGRFDHEMGNINVLHLFPTNRIILLSDDCLIFLLPRTHTHNIHIESIEGPHCGLIPIGAPSTTTTTTGLRWNLGHPGNDGNQGVKAPSHHSSKRQR >LPERR05G10920.3 pep chromosome:Lperr_V1.4:5:10837640:10840497:-1 gene:LPERR05G10920 transcript:LPERR05G10920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVRPVMSHSSAFLLPSPPSSSAAPDGDGDAYALLVLNQRLPRFAPLLWDRARVRVCADGGANRLFDDMPELLPGQDPDEVRRRYKPDVIKGDLDSIRPEVKEYYSNMGTQIVDESHDQDTTDLHKCITFVTEKLAIPNRSNLCILVLGALGGRFDHEMGNINVLHLFPTNRIILLSDDCLIFLLPRTHTHNIHIESIEGPHCGLIPIGAPSTTTTTTGLRWNLGKPPTSSIQYQQQLVLNLQILSPDDTSMSFGGLISTSNIVEEESVTINSDSDLIWTISLRHHS >LPERR05G10930.1 pep chromosome:Lperr_V1.4:5:10860993:10861787:1 gene:LPERR05G10930 transcript:LPERR05G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHLPPEPIADDRHHHGGKAAVHADDLKPGGRRRGRYSYYYGGDTYGDPARTLCFAVLVVILLAGITALVLYLVYRPSHPTFSVTSVAVYSLALNNNSVPTGGNGAPAAVAASFQFTMVIRNPNGRSAARYDRLEAYVAYRGEAITAPVPMPPLVQDADSAVSVAPVLGGGGVAVSPDAAAALATDVSYGVVAVRVVVLGRVRFVSGPFRSGWHSMYARCDLLVGVRNKAGGGGGGGGGGGGGVGAGPEAPLLGNPTCDVDM >LPERR05G10940.1 pep chromosome:Lperr_V1.4:5:10868537:10869085:1 gene:LPERR05G10940 transcript:LPERR05G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDVKAPRSDGRAAAAAAPSLSARRDEEEEATSAAVAGEDEQVERFYALIANIRAMRGMYRNSAAAAAAAAAVATSANGGVDDCAGGEASRKKRARQAEPPWRPAFRMEDFEDPAAGDDDDGVCSRKKKVAKRRTEKEAAAAGAGVGGGDDNDEGEVVEGKEEEENRADAASHRAGPLTS >LPERR05G10950.1 pep chromosome:Lperr_V1.4:5:10873447:10873986:1 gene:LPERR05G10950 transcript:LPERR05G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKAAAGGGDKTAPRDAAAPSSPARATSTAEGEKRSAPPLTGDEVDGAGNLITAANVDGGGGGEEEEDDEQDAVERFYALIANVRGMRGLYRSGGGGGGDGADSGNDGGGERKRARRANEPWRPVFRMEDFAAASSGDGAAGVSPSPARHVDDDVELRRRRRVGGASFSPPRQEPRP >LPERR05G10960.1 pep chromosome:Lperr_V1.4:5:10878795:10881627:1 gene:LPERR05G10960 transcript:LPERR05G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSTQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKDKKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >LPERR05G10970.1 pep chromosome:Lperr_V1.4:5:10886451:10887788:-1 gene:LPERR05G10970 transcript:LPERR05G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKTLALRVSIHCEGCKKKVKKVLQRIEGVYRCDVDGRSNKATVTVTGRVSADTLIRKLRRAGKQAEQWPEEPKQQQQQQTSGSQCQEEETKNQAPEPEPAKSGDGEPAEKPASGDAAEPTSDQNVSPEEVETKKVSGNGDGEDATPPATEDGGTESNTHGNVTATAGDGGGDEMAFVTQQPSEPKRRRKPEQPPLEQEEKAGDATLTMAAAAAASSNSGHFPAAEPLQPVHVVSYHVARPSSSAAYYAPSPAVVAAAAPPPSAPPREHSYAYAYSPYYSQPSPYRYGYYSYYGGGGGGGERTPQRSSASPARNSYGDLFSDDNANSCSVM >LPERR05G10980.1 pep chromosome:Lperr_V1.4:5:10891681:10894011:1 gene:LPERR05G10980 transcript:LPERR05G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRTACIVALAFLLTATPTLSYLVSDGATRRQSTSTSRRDGEEAQTYIVLVEPPAPIARGVEGDDDDAAHRRWHESFLPGGARIRHSYTGVLSGFAATLTGAELAAVSSRRGFVRAFPERTLTLATTRTPGFLGLSPDRGVWKDAGYGSGVVVGLLDTGVDASHPSFRDDGMPPPPPRWRGRSCTPPARCNNKLVGAASFVYDNTTVDEVGHGTHTAGTAAGRFVDGVSAFGLATGTASGVAPGAHLAMYKVCNGQGCFESDVLAGMDAALRDGVDVISISLGGPSLPFDKDPIAIGSFAAAAKGIAVVCAGGNSGPTPFTLSNEAPWVITVAAGSVDRSFRATVRLGDGEAFDGESLSQDSRFSSKAYPLYYSQHGSNYCDFFDGNITGAVVVCDTETPVPPTSSIDAVREAGGAGVVFVNEADFGYTIVLEKYYDLPMSQVTATDGAKIMGYASTPSSSSSTAAGHTATIVFNSSVVGVKPAPIVAAFSSRGPSAASPGVPKPDIMAPGLNILAAWPSQVPVDGNKNGGESYTFNVISGTSMATPHIAGVVALIKKIHPDWSPAMIRSAIMTTSTAVDNLGHVIMDEEHRNASYYSVGAGQVDPAKAIDPGLVYDLAAADYAAYICGLLGEVSLRTITGDSGATCSAAGSVAEAELNYPAIIVPFRAGMAAFVVNRTVTNVGPARSRYAVRVDAPGGTVVEVEPAELVFEEAMERKTFVVTVTVSGGGDGGAGGGGHVVAEGSLRWVSGDHVVRSPIVADSSVSPRSGQQQV >LPERR05G10990.1 pep chromosome:Lperr_V1.4:5:10896959:10898182:1 gene:LPERR05G10990 transcript:LPERR05G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLTRSWSRVVLDELNRDPDLPSPMDEPNLDSACFIGRYNDDRRVRRRQAGVPRPGCRSEPHGYAALIDPSTGTGELYIAGAQVSAMPDHHLDHTHAASTTWTKLDPTRNRVPHVQAVLTEQLASPSDLSIADASSGRRPRPPPPPKRRRSASGQIEARRG >LPERR05G11000.1 pep chromosome:Lperr_V1.4:5:10901535:10901999:1 gene:LPERR05G11000 transcript:LPERR05G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQPADSTFSLPPSGPRGYSYLDEIRKLSVASDGGSSSSRSSYYCSSRSSSASGGSHHHRYAPYSYSLRRAVVGLEVNSHRDIARRMVRDGFMVNLIREFGRAPGSALERWFDELDVGWVLRLAALEKEEVENRVRRWTLGFTLMAQALSAT >LPERR05G11010.1 pep chromosome:Lperr_V1.4:5:10902027:10903067:1 gene:LPERR05G11010 transcript:LPERR05G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVESPALEIGTFDMEIPATQQQAEQDEIQLVQFAEATISQMLAFADALVADNTWQQLDKFSGLMDMHICITDVSLIHMPKVKQEVLWIADFEMQNGNNLAKKIGSVLLHTRDNLSKAIQNITNDATAVTPLLSGMGSWQSFPQSAEIHKAAQLIMAYATLFWEYHDQLLTMRSLDGAPHIIEKMVNNLTDQLEKKAESLSEPSLRYLFLLNNCYFIQEQFLAITCYNLPSRSNIRIKYCYYQNCYLNISWDTVLSCLHGKMPLCFSKPSPLARFKSESERTCKHQKLWKVPNTQLRKMLRQAIIDKVITGPTGYKIYLEAHPEHEKCGSDQEGMEDMVNELFEG >LPERR05G11020.1 pep chromosome:Lperr_V1.4:5:10910865:10911488:-1 gene:LPERR05G11020 transcript:LPERR05G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNLHDQLEKKSESFSDSSMRHQKLWKVQNPKLRQSLREAIIDMVITRYEKYLEDQPEQEICSSDPNKMEEMLNDLFEG >LPERR05G11030.1 pep chromosome:Lperr_V1.4:5:10920815:10922413:1 gene:LPERR05G11030 transcript:LPERR05G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDMEATEAEFSLPSWRWSYSYLDKIRSLSVVSASGLSSRSARSSNYSSTVSSTESGGSRRCSVTDAATCSFQRVVGFHVPGPRDIASQMVRDGFLVKLIGAFGRAPGPVLERWFSELDVGWLLRPMTNQDQEEEDAERLVWRWTRALTVMAHALSETQRHLQDERSSSAGGVDFFPISQLDHTADHDHELLQEVELRLARFVEATVSKMIAFAETLAAGEAPGPCPTDRFFGLMEVHVCISDVSEILMPSLRQEALRLPDSPEMQRLVGKIGDALSRSEDDSNLSTEDKLGEAIRRMAKDAEAVTPVLSGMDSWEIFPQNEGIHKTTQLIVDYASLFWGYRSVLESILCCYNGSKHCWELVQSLIEQMIINFLDQLEKKSELFADRSLRYIFLLNNSYFIQEQFLATNTDYSFPSNKGIRYWYYQNCYLDVSWEPVLSSLYLYNKMPKFFPKYSPQLLARFNSEFQKACKHQKLWKVPSAEHRNSLRKTISDKVITVYRKYLEGHLEPEKCSSDLLAMEDMVNELFEG >LPERR05G11040.1 pep chromosome:Lperr_V1.4:5:10931566:10931852:1 gene:LPERR05G11040 transcript:LPERR05G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRDQWLVGLSIACRWLAIYSYVGRSNITVAQGFLNHRWLEDLHSSLSLPLGPIEPDNDAARGSGCNQMENYPGLMFLNGIGL >LPERR05G11050.1 pep chromosome:Lperr_V1.4:5:10941907:10942485:-1 gene:LPERR05G11050 transcript:LPERR05G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLELTLLSASDLRGVNLVSRMEVYAVVYLAGDPRSRHRLPTDRSGGGRNPSWSNATVRLAVPASGAGCGALRVLLRTERAAGSDRDVGEVVVPLPGLLACAGDGPMAAAETASYPVRKVGSSRTTHGVLNLSYKLGAVVHPDAAACGCKPAAPAYLAAAAAAYKAAPPPVYGCRRLPPVVGTGVCGGR >LPERR05G11060.1 pep chromosome:Lperr_V1.4:5:10948230:10953008:1 gene:LPERR05G11060 transcript:LPERR05G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEITVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >LPERR05G11060.2 pep chromosome:Lperr_V1.4:5:10948663:10952156:1 gene:LPERR05G11060 transcript:LPERR05G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWMSLGVYGRSLQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEITVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >LPERR05G11060.3 pep chromosome:Lperr_V1.4:5:10948230:10953008:1 gene:LPERR05G11060 transcript:LPERR05G11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEITVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >LPERR05G11060.4 pep chromosome:Lperr_V1.4:5:10948663:10952156:1 gene:LPERR05G11060 transcript:LPERR05G11060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCWMSLGVYGRSLQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEITVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >LPERR05G11070.1 pep chromosome:Lperr_V1.4:5:10953456:10963891:-1 gene:LPERR05G11070 transcript:LPERR05G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKSTGVVRGAEVDTAGRQKQQHHFVVVHGLCHGAWCWYKAATALRHAGHRVTALDMAAAGASPARVDEVRTFEEYSRPLLDALAALPPGERVVLVGHSQGGFSVALATELFLERLAAVVFLTASMPPVGRPMAATTEEDLTLALSLIRPANRFTGDALMRNASFLTNERYGSARRVFVIVKDDRAIPVEFQRRMVAQSPGVEVVDLAGADHMAMISKPAKLADLLVRIADKAGQL >LPERR05G11080.1 pep chromosome:Lperr_V1.4:5:10964032:10969251:-1 gene:LPERR05G11080 transcript:LPERR05G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPNSSMDLSGGEASSHGRRHHHHHHFVLVHGLCHGKWCWYKAATALRRAGHRVTALDMAAAGASPARVDEVRTFEEYSRPLLDALAALPPGDERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAGMPPVGRPMAAITEEFMSFAGAEFFLDSRELEQLNPHIPGNPEIFGPNIMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSARLVFVIMKDDRAIPVEFQRRMAWLELGPL >LPERR05G11100.1 pep chromosome:Lperr_V1.4:5:10982536:10991015:-1 gene:LPERR05G11100 transcript:LPERR05G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGGMRWGRGEEEEVVPAVRRRGVVRRRHEEGASAAGGLGGGGGSGGDELIDPDQLTYIDEKLQNVLGHFQKEFEGGASAEKLGSQYGGYGSFLPTYQRSPPALSQSRSPAVQPNHGSALRSPYIPLESAEKNYFIKQAIDGRRKKNFCQRKSSEDDGNHAQQLNNCPEPKTTKIRIKVNNKCITRNNAAIYSGLGLDISPSSSIDDSPQGSIEAPESKLLSDESADAILQIMTCHSVPGGILLSPLAENVLELRKKLTSVAKKHEARNCCYMATAPGNNYQLMKKIKRDEQSDHLPEFGNSECRQNNATITKKRTKPESQDISGDTDTIHLPRRAKTGKHTVGESADFIINVKAANLANDDKHPNGKANIKVTSVKNALEDSRKESKMESSLGDGISHKIKSDEHNDRSVTNSSQLQIGPPKKTLLKRDRGKVVHVKDEPLQYKSKDLRSLADAGITTENVAGNSSELLQRKKMSSSQVSLSGKKLKVKTHKKTNYGTTRKPNGEDEGIGSTYFHPEDKSMKTEKETFTSGLPDKDFSGGGNDGDHKISSMFVDKSASMPSRCKNETTESSMAVPGPAPEPTDQWVCCDKCETWRLLPYGMNPDVFPKKWQCSMQNWLPGMNNCKLTEDETTKAIHALYMVPAPENNISLDSLYETARSARSNDAAIVSDNLKMAEMSESSKKLHAPRNPDGLDCFPKLKEKQKHVESSDIGQTVAKDRMHLKRKTSRADHDKLIASKKLKKVHDKPPKHYTPEFELSKSSPSIKGTLKELPKHSNISPSTGKYDLPSSGKKFRDEDKVFSERCARVSDAEQFDLQDLSIKKNKSKQMQPRQYGPDPLACDAFAKHVAKQASSESNAAKEKVDSDLKFLKVDNHEKSSHARGLVTGIGSNAIYGEKEGLSEPHLENIHSQQPLFSESSVRRNICNAQASTAATSSSSKVSSSLKTKPEFQETRTSPVESVSSSPLNHLNQHRTNSCAVAKTEHSQESVKTGASCSKEKYGFERGSDHTKPHVSDCSNGDMHQDSLEDWDLQKDKQDLLTNEFFDNRSSGLGISNGQGQVNSVVEQNVNSHIHSIHGNGDFRRLTPNKNGKTLPQHIPNQGDQAKLSSGKHPIQVKPDKGYTEYIDLKTNQSTVEGRKLLPGLNNKVKQYVVRSVKHATLHADASTQINTSVLLKEARDLKHLSDRLKGKGDDLESANMCFEACLKFLHVASLKEAPGIDSSKQGDPINTVTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAALYKHTGAVKDSHALQVASVIVPPAESPSSSASDVDNLNNPSSVAKIVSTRGLCTSQIANNPISRNNHHLMGLLSYVEDTNYAFEGTRKSQIAFSAYLSGIEKDRADGIALLREVLNFSFHNVKELLQLIRHSLECINHETIK >LPERR05G11110.1 pep chromosome:Lperr_V1.4:5:10995596:10998850:1 gene:LPERR05G11110 transcript:LPERR05G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDAPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >LPERR05G11120.1 pep chromosome:Lperr_V1.4:5:11000273:11001213:-1 gene:LPERR05G11120 transcript:LPERR05G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKKKAEVKQQ >LPERR05G11130.1 pep chromosome:Lperr_V1.4:5:11005691:11008383:-1 gene:LPERR05G11130 transcript:LPERR05G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAIVRRAVENWQKNWTLERNLGSGSFGK >LPERR05G11140.1 pep chromosome:Lperr_V1.4:5:11011010:11013607:-1 gene:LPERR05G11140 transcript:LPERR05G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAAVAGIAAGGAVLLAGVSAAAALWCAARLRARRNRSSDTGSSDPSTLVEWGRGGRGSSAPEHQGARQFSLDELAQATKNFSEANLVGVGSFGLVYKGLLLDGSVVAIKKRIGAPRLEFAEEARKLSEISHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSGHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVGPSHGFSSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREVVCLISPDSGESLAHWIEEHFSSNELIDPRLGGNFTSEGMKEFVGLTFQCLNPSSRRPKMRLVSTELDRILETEMSLTTIMGDGTAIITLGSTLFK >LPERR05G11150.1 pep chromosome:Lperr_V1.4:5:11019015:11024393:-1 gene:LPERR05G11150 transcript:LPERR05G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAQETCKSVAGMEPSDAMKVMEETSPKVVAFSPVEAAVAKPRSSPLAIESSKVKRSELATLVTFYMIPSLLVASKHSIPTSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIRDKLCAHPYTTKEMMMPPDDGDPISAAARTCPATDGHRADWWAVMPSFLK >LPERR05G11160.1 pep chromosome:Lperr_V1.4:5:11028258:11036519:1 gene:LPERR05G11160 transcript:LPERR05G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQCFGSGGKGVEAKKGGGGSSGSGRKDGSSDRRVARVGSDKSRSQGGLDSKKDSIIPRDGNGQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLEDGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLEGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNHGGVNFDQRRLQSPNHQQSPDLRREGTTTSKYEAEVSRTNSGSGSGRRSGFDSVDVTGSQMGSPAYAGRKKESSRNTDRQRAIAEAKTWGENSRERKWPNARGSFDSTNE >LPERR05G11160.2 pep chromosome:Lperr_V1.4:5:11028258:11036519:1 gene:LPERR05G11160 transcript:LPERR05G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQCFGSGGKGVEAKKGGGGSSGSGRKDGSSDRRVARVGSDKSRSQGGLDSKKDSIIPRDGNGQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLEDGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLEGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNHGGVNFDQRRLQSPNHQQSPDLRREGTTTSKYEAEVSRTNSGSGSGRRSGFDSVDVTGSQMGSPAYAGRKKESSRNTDRQRAIAEAKTWGENSRERKWPNARGSFDSTNE >LPERR05G11160.3 pep chromosome:Lperr_V1.4:5:11031581:11036519:1 gene:LPERR05G11160 transcript:LPERR05G11160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVQAQKAAAWHGSQGGLDSKKDSIIPRDGNGQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLEDGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLEGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNHGGVNFDQRRLQSPNHQQSPDLRREGTTTSKYEAEVSRTNSGSGSGRRSGFDSVDVTGSQMGSPAYAGRKKESSRNTDRQRAIAEAKTWGENSRERKWPNARGSFDSTNE >LPERR05G11160.4 pep chromosome:Lperr_V1.4:5:11028394:11031450:1 gene:LPERR05G11160 transcript:LPERR05G11160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTLKVVDASSVTVGTCPASAGNAR >LPERR05G11170.1 pep chromosome:Lperr_V1.4:5:11042275:11043303:-1 gene:LPERR05G11170 transcript:LPERR05G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRPKRKREQSNTLDSSEGSSNSLLSDTESGQMEEDDVEVVSKALTDIAVKSPEAIHTFVGRLTPIIVVWAIDWDDLESNKLSKILILWRAGNAAGQSLRFGDCNAEHHWINPKIQGFHNLIRKMHEHQSKNVNMDNVRYIWKQCRKRFTLESKATGLGRDPCTGSIIVPDTWWAAAEKIWYN >LPERR05G11180.1 pep chromosome:Lperr_V1.4:5:11043426:11043701:-1 gene:LPERR05G11180 transcript:LPERR05G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLSLSREKFQYGEEVRGAPLSGLTSTPAAELFGGDSETDAADGPVDGVRCVFPAAKLWPAFIA >LPERR05G11190.1 pep chromosome:Lperr_V1.4:5:11045918:11048605:1 gene:LPERR05G11190 transcript:LPERR05G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQQAAAREGGDWCRRRARRLELKPRGVGEIDRGAIMAQQGGDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIEVLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLVQVLQKDRVALLGR >LPERR05G11200.1 pep chromosome:Lperr_V1.4:5:11051961:11054808:-1 gene:LPERR05G11200 transcript:LPERR05G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLFCLLVLCSGRELKIKHTPIYNSTLARTLAEYTSAVYTADLSQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGYASDMNAIVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLAVNYELKDVTLITFGQPRIGNAVFASHFKGYLPNAIRVTSEHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSLVYSIEQICDGSGEDPTCSRSVSGNSVQDHLRYLGISMHAESPGTCRIVNGDNTLQCKMDNDGNIVLSKQPGLSVELHSSQ >LPERR05G11210.1 pep chromosome:Lperr_V1.4:5:11078532:11081377:1 gene:LPERR05G11210 transcript:LPERR05G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSPPPPRSPASEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPFAATAAAAEGSSGGQVGKEGRTRLIMSCSFIPILISPFNSLLDWHISAIDRGWKSLYINHHRKTAAAISDVAEFVENSLRNGSLEAEYYLKAIATLASMRDVGFIDVQFFLLSRNQSAIINLIGLHYSIASLNIPPHEVHKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMLEGAVILAILKRGAVHEVFRLQVFRENGKRDMPLCIAMIHF >LPERR05G11210.2 pep chromosome:Lperr_V1.4:5:11078532:11081377:1 gene:LPERR05G11210 transcript:LPERR05G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSPPPPRSPASEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPFAATAAAAEGSSGGQGWKSLYINHHRKTAAAISDVAEFVENSLRNGSLEAEYYLKAIATLASMRDVGFIDVQFFLLSRNQSAIINLIGLHYSIASLNIPPHEVHKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMLEGAVILAILKRGAVHEVFRLQVFRENGKRDMPLCIAMIHF >LPERR05G11220.1 pep chromosome:Lperr_V1.4:5:11084838:11085761:-1 gene:LPERR05G11220 transcript:LPERR05G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTAANGKLLRRKSSVSGAGHPSSSSSSSSDATSFTVKEPNADVEVTSAEKPPAAVEEKKDVTTIAAATLAVTEAAAAAEQPKKEEEEEQLPKSTIADETVVVEAPIKADEEAKEVVVVKEEEEKKADEEKETPPAPATTPAPAATDNGESKEHNTTETVEAKSAEEVFAAVLVSEPSPSPSEAEKKDAVDKKTAAVSDSSSPSEAEKKDAVEETAAAVSEPSSSSEAVKKIAVEEKTAAAAATSEPSPAS >LPERR05G11230.1 pep chromosome:Lperr_V1.4:5:11086607:11091461:-1 gene:LPERR05G11230 transcript:LPERR05G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVFALVEIHPRELRFEFEVKKKSSCSVNLVNKSEEYVAFKVKTTSPKRYCVRPNVGVIHPRETFIMQALNTAPPDLQIKDKFLLQTTAVPFGTADEDIAPSFFSKETGRYIEENKLRVVLVSATQPEEELLNAGVPGVEVPVAKETLNFVNKVPNVMIEVPHSLKTSFLPLTENPATLNETPFPVKQATCLPLSKEIPAISAESTHHWKGTLAVSVGSTILNPSNEVPAISAESAYHGKETPAVSIESNFLSTETNVALCECPSAPKESAILSNRLVNAGNFHHVAVQNLMSRLSNLEVKLEEAESVIIKLREETRTTIQERDKLQHEMLFLTRKGTSRSQGGFPLLFVVYMAILGVSLGYLLHV >LPERR05G11240.1 pep chromosome:Lperr_V1.4:5:11097605:11105337:1 gene:LPERR05G11240 transcript:LPERR05G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSGDVRGGMEAVGAGSGSGAARAGGGGGGGANEAVDYFFQTSGLRGLYSPLELSFSASKLRNMDALSKSDPMLVVYTRKDNRLEEIGRTEVILNSLEPSWITKATINYQFEIIQPLVFRIYDVDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTKFNHSLTLNLRSNCGHALHGTVTVHAEESNSSRMAVEMIFHCLNLDNKDVFSKSDPFLRISRLVETAGPIPICKTEVINDNLNPVWRPDNPLLVECFDFDSSGDHELIGAFQTTINQLENIYKSKSGANFFSHKGQKKLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGIGEVLQFYDNDRRFPAWGFGAKIPQGYISHCFNLNATTNDCEVIGVEGIMSAYSSTLYSVHLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMREVQVGQISVVQSLLEELPGQFLSYMRSRDIKPRPPLQENAFPTAPVYPPPPTK >LPERR05G11250.1 pep chromosome:Lperr_V1.4:5:11108133:11114447:1 gene:LPERR05G11250 transcript:LPERR05G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGEREQQQHGEVEAHQAPAAAGGGGGEKEAAGRREESVKLFVGQVPKQMTEEELAAMFADVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFLQYGSIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNANAMQQSSLFGALQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFQNMVQSQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPGVQYPGSYPGSAINGRPFMNSHNSMKIPNANATSPTSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKPY >LPERR05G11260.1 pep chromosome:Lperr_V1.4:5:11114940:11117284:1 gene:LPERR05G11260 transcript:LPERR05G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELEKQEEQLRAQIEEQKLAEGDEPVVEDDDDDEDDDEDEDDKDDDDVEGVDASGRSKQSRSEKKSRKAMLKLGMKAITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAAQDDDETVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTN >LPERR05G11270.1 pep chromosome:Lperr_V1.4:5:11117863:11118293:1 gene:LPERR05G11270 transcript:LPERR05G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFFASGILMLLGFFYVAILSKALPPSDDNSFLSAIQNDRYYYLLAPLTLPVIMVAVYLHWLSMKMFKHA >LPERR05G11280.1 pep chromosome:Lperr_V1.4:5:11121891:11123213:1 gene:LPERR05G11280 transcript:LPERR05G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREILYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIVGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLADVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTMTALELGAVDTLIVWENLDVRRYELKNTTTGETVIKFLNSDQEADQSNFVDEASSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCCGFGGIGGILRYPADVAAYNDDDDDMLDEADYEDFE >LPERR05G11290.1 pep chromosome:Lperr_V1.4:5:11133976:11135695:-1 gene:LPERR05G11290 transcript:LPERR05G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILLQCFEKKDNAQEDSSLPRAEGWECKWREGRRFKGKLGSLAQGKSDMAEINLGQGCSAL >LPERR05G11300.1 pep chromosome:Lperr_V1.4:5:11134267:11138242:1 gene:LPERR05G11300 transcript:LPERR05G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFSHYLKLLIFVALGGVTTTHVPQQDVPSSLEALPLDGHFSFQDMSAAARDFGNLSSFPPVAVLHPGSVADIVTTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAGGIVISMESLQSNRMRVHPGASPYVDASGGELWINVLQETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPELNSDLFHAALGGLGQFGIITRARIPLEPAPKMVRWIRVLYSDFTSFTADQEMLIVAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPMRASQFESDGKVLFCLEMTKNFSPDEADMMEQVSQPTTVTLMSLTEVNTLLSQLRYMPPSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEIPHPWLNIIIPRSMIHIFAQEVFGKILKDSNSGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIEHTLNLNNRILEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDIFQQRKKAYDPLAILAPGQGIFQKASASLP >LPERR05G11300.2 pep chromosome:Lperr_V1.4:5:11134267:11138242:1 gene:LPERR05G11300 transcript:LPERR05G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFSHYLKLLIFVALGGVTTTHVPQQDVPSSLEALPLDGHFSFQDMSAAARDFGNLSSFPPVAVLHPGSVADIVTTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAGGIVISMESLQSNRMRVHPGASPYVDASGGELWINVLQETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPELNSDLFHAALGGLGQFGIITRARIPLEPAPKMVRWIRVLYSDFTSFTADQEMLIVAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPMRASQFESDGKVLFCLEMTKNFSPDEADMMEQEVNTLLSQLRYMPPSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEIPHPWLNIIIPRSMIHIFAQEVFGKILKDSNSGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIEHTLNLNNRILEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDIFQQRKKAYDPLAILAPGQGIFQKASASLP >LPERR05G11300.3 pep chromosome:Lperr_V1.4:5:11134724:11138242:1 gene:LPERR05G11300 transcript:LPERR05G11300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFSHYLKLLIFVALGGVTTTHVPQQDVPSSLEALPLDGHFSFQDMSAAARDFGNLSSFPPVAVLHPGSVADIVTTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAGGIVISMESLQSNRMRVHPGASPYVDASGGELWINVLQETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPELNSDLFHAALGGLGQFGIITRARIPLEPAPKMVRWIRVLYSDFTSFTADQEMLIVAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPMRASQFESDGKVLFCLEMTKNFSPDEADMMEQEVNTLLSQLRYMPPSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEIPHPWLNIIIPRSMIHIFAQEVFGKILKDSNSGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIEHTLNLNNRILEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDIFQQRKKAYDPLAILAPGQGIFQKASASLP >LPERR05G11310.1 pep chromosome:Lperr_V1.4:5:11136463:11141324:-1 gene:LPERR05G11310 transcript:LPERR05G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACVVPCGVAADFAGTGTPVVRLSFGRRRSYALPYSGFGAAHGFCLDGREPGTGQIRCPGRMFRDKARAIDGLKRYPGSRDDAKLT >LPERR05G11320.1 pep chromosome:Lperr_V1.4:5:11149838:11160353:1 gene:LPERR05G11320 transcript:LPERR05G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMNIRTPGQKSSINVSKDGSSIFGVDIPSLVSNSEANVLPEKLTQLNIGSQALSQSDSDDAQKTFVFGGNASGPVRATKENGVPEKLTQFNVGNQTPSGIMGNEFTKGAAPVFVFGGNTSDHLDSTNDVSSGAIGNPSDTFNGTDSAGLLSERTTQLNIGSSVPIQQMKSGGNSGQAEAFVFGSGSTTNTIIGKATENTSDDGSVFLSAATSDTSVPPNTVNSLPPELASWLNVGGGVTRSQENDNSGCPSEAFVFGTNAATSSLSSKQAMDDGSNCVTGANSSTFTSVHGNVESTLPEKMTKLNIGHETPSNNNKGETACQPPEVYAFGSNTATTFSSVHAAHVPLTSIQTNVSFEPKGNGGNLGDDDIGKFAHSRSNSYQGHGTSNFVFSSGSNAVPRTEGCAEHGLQDETRKLNINTEGPSVGCTKVNEPSTQFVFRSKAEATPVFGAIPQSNVQESLPFTHSKFSPSFFTSGNEMPSFSFRTTDTGSATFPGESCAVRHDTSWCSRESLFGIDYIKSAYRDKKEAHKTARKNKRPIKLKQRTHVHQFASQETFATGPDMEFAGDYSPMDCSPYPATVEQASREASVTSDQNSSHAEELVSATEHLVIDADLPTCEEEGRVPRADASGNNFASSFSSFDEVNISNASQPSSNMNVAANGKPKSAPAEVWGDAYGHNYQGQAHEEKDYRTVHNFEHTPLHSSLTDFNELNFSFGASLTPQSSLPTQRRNTRRKLRTKGGHSPKPSTTQASVQPKSSVDTMAMQFSPETNETTDSTNEQSTRDASTSAALEACETWRTSGNQAYANGHFATAEEYYTRGINSISSHGSSGCSSHALMLCYSNRAATKMSLGRMRDALQDCMVATSIDPTFLKAKVRAANCQLALGDLEDAARSYTNCLKSSKTGSDKKMFAEASDGLERVQRVADWISESKELLKKRTASEATMALELISNALRISSHSDKLMEMKAEALLMLQKYEEVIQLCQETVVLAEKNSSASETTECSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESDGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFAAVCFCNRAAAYQALSQITDAVADCSLAMALDATYPKAISRRATLYEMIRDYGQAANDLRKLISLLEKANKPGLSPKVPNKHSDLKQARARLSSVEDEAKRDTPLNLYLILGIEPSSSPADVKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVYADADHLFKAIGEAYNVLSDTDKRQEYDMEENLRNATKRVSKGRNMHRSPEQRRGFNPRQWQPNRSSGSRSRWSAYDDDYW >LPERR05G11330.1 pep chromosome:Lperr_V1.4:5:11169793:11174947:-1 gene:LPERR05G11330 transcript:LPERR05G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGAARVRWNRAVAVVRDLEERCATPAALLQRVVNSLAIEMFAGLASEGGSKVRMLLTCVDTLPDGSEEGTYYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPEKLIKGTSDDLFNFIASALKNFIEREGGEDEGKALGFTFSFPVRHSSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALVRNGVNMKVNVLVNNTVGTLALGHYFDEDTVAAVIIGAGTNACYIERNDAIIKSLGCLTNSGRTVVNVEWGSFRPPQITLTPYDICFNNEAWNCYDQGFEKMISGVYLGEIARLVFQKMAQESDVFGTAIDGLSTPFILSTPSLAAIRKDDSPDLREVGKILEEHLKLPDVPLKTRKLVVKVCDIITQRAARLAAAAIVAILQKIGCDGTLCGTTKVRTMRGVRKRTVVAIEGGLFQGYSVFREYLNEALIEILGEEIAATVSLRVMEEGSGTGAALLAAAYLSTKQNSM >LPERR05G11340.1 pep chromosome:Lperr_V1.4:5:11185364:11186833:-1 gene:LPERR05G11340 transcript:LPERR05G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHASPFRTISPTSIIIDLDIIVSAKITTMVASKTMWLLIGSQQQDLMYLDEGFHGLAANPAGVLVVSSLPLIFVFIQLLTHAHSDS >LPERR05G11350.1 pep chromosome:Lperr_V1.4:5:11190426:11194259:-1 gene:LPERR05G11350 transcript:LPERR05G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGVASMFALALLLGVFTSIPQKAESIGVCYGMSANNLPPASSVVSMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRANIQAYPSVSFRYVAVGNEVAGGATSSLVPAMENVRGALVSAGLGHIKVTTSVSQAILAVYSPPSAAEFTGESQSFMPSVLAFLSRTGAPLLANIYPYFSYTYGQGSVDVGYAMFTAQGTVVQDGAYGYQNLFDTTVDAFYVAMGRHGGGGVTVVVSETGWPSAGGAAASPANARVYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >LPERR05G11360.1 pep chromosome:Lperr_V1.4:5:11207693:11209982:-1 gene:LPERR05G11360 transcript:LPERR05G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTLVKNVSESKASNNCFDYLIWITVSQTYDINEILKRIVKCALKDTCPPDLERMGSEGIALMLQETLQGRTYILILDDVWDTNIWFSLEALLDENNIRSKMVITTRINDVASLADDKHCLRLRGLDEAESWDLFCMWAFRHGEDQTCPPGMEQVARQIVGRCEGLPLAITAVGNLLSFKRLDLMEWNKFYNQLNWELHNRLDNQELSMVNRLLGLSYTHLPVHLKNCFLLCSIFPEDYLISGKKLCRLLVAEGLVEPGKNMTLEEIAMEYIEKLVDRCLLQVARRNKLGRMWELQMHDIVRELAISISEKEGFCRIHSKGQTSVVQCEPHWLSIHENSDRMQLTIDASRLRSFYQFDSDCSSVSNVQWVSRTARYLKVLELGNIPITTLPRDIGSLFNLHYLGLRRTKIKQLPESIDRLQNLRTLDIFLTEIASLPRGVTKLRMLRHLIAGKAVASYFGLADVFTGVEVPNGSWQTLDLNELTGISTSSNLVEQFANLTRLRSLKLTDVKSIHYARLFASIRKMHVLQSLLIEAANIDEYVSLEALNPAPPNLEILFVKGRLHERVISSNLFEANRLTLRELTLKNSRLSIDPLPSLSCFCNLTILGLFNHYSGESLLFLAKWFPKLHTLTLAELQNASTIVIEEHSMPNLYNLALICLTNLEDLPQGMEFLGSIEELTLVGMHKKFMEHVQGSSSGKLKHIPVIDYFDQSKGRWDRLSRIYIKDQGTKH >LPERR05G11370.1 pep chromosome:Lperr_V1.4:5:11214479:11215788:-1 gene:LPERR05G11370 transcript:LPERR05G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTTNGSTSGSSDHEREGCEEQHFGLRLGYAGLHNQRFDVGVLRPRASPWKA >LPERR05G11380.1 pep chromosome:Lperr_V1.4:5:11240707:11251281:1 gene:LPERR05G11380 transcript:LPERR05G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFGILCKIGSILGSQLTQALAAHLGIEVSVFVEIESSVKQIRSEFRLMQAFLQDGQDVGSHGRQAETFLQEVQRISFEVEDILDEFVYLFSRKQAKSVRSFRNCFRKSGSVMSFHRLAVELKELQNRLQNLRNLKLQYNIDFSKESASSISYEDIQGRTLHGMMHSNKFVGFANERRVLQEMLMENKTSRSIISIWGMGGSGKTTLINTVSGSKAIKNRFGCLIWVTVSQTYEINEVMKRIIKCALKDACPANLENMNSAGVAAMLQRTLQGRTYMMILDDVWDTNVWYSLEALLDVNSIGSKVVITTRTNDVASLADDNHRLRLRGLDDAESWDLFCLWAFRHANDQTCPPRTERVARKILDKCEHLPLAITSVGNLLSFKRLDPLEWDKFHSELNWELDNCSNNQELSKVARLLSLSYKHLPSHLKNCFLLCSIFPEDYLIHGWRLCRLIISEGLVVQRNNRTQEEIAMEYVENLVDRCLLQVAIRYKLDMTLQLQMHDIVRELAISISAKDGFCRCIQSNAKTLVFDYEPRRLSIHGSSERAQLSINASRIRSFYQFDSDSMSNGQWMSRTARYLKILELASVPITTLPRDIGSLFNLHYLGLRRTKIKQLPESIGRLQNLQTLDIYLTEIGNLPRGITRLRMLRHLTAGKAVASYFGLEDAFTGAKIPSGSWQPLDLNVLTGISASSNLVEQLSNLTRLRALKLTDVKSIHHAKLFASIRKMQLLRTLRIETANSDEYVNLEDLYPAPWHLEILFLKGRLHDSVVDSKLFEASRLSLQQLTLKNSRMSIDPLPSLSCFCNLGFLGLFNHYTGENLVFQAGWFPKLHKLTLAELKNLNSIVIEQYSMPNLYNLSLICLTNLEYLPQGMEFLKSVEELSLVGMHQKFMEDVQDTSNEKVKHIAVIDYFDQSKGRWDRLSQVYGKDQDTKLQH >LPERR05G11380.2 pep chromosome:Lperr_V1.4:5:11240707:11245504:1 gene:LPERR05G11380 transcript:LPERR05G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFGILCKIGSILGSQLTQALAAHLGIEVSVFVEIESSVKQIRSEFRLMQAFLQDGQDVGSHGRQAETFLQEVQRISFEVEDILDEFVYLFSRKQAKSVRSFRNCFRKSGSVMSFHRLAVELKELQNRLQNLRNLKLQYNIDFSKESASSISYEDIQGRTLHGMMHSNKFVGFANERRVLQEMLMENKTSRSIISIWGMGGSGKTTLINTVSGSKAIKNRFGCLIWVTVSQTYEINEVMKRIIKCALKDACPANLENMNSAGVAAMLQRTLQGRTYMMILDDVWDTNVWYSLEALLDVNSIGSKVVITTRTNDVASLADDNHRLRLRGLDDAESWDLFCLWAFRHANDQTCPPRTERVARKILDKCEHLPLAITSVGNLLSFKRLDPLEWDKFHSELNWELDNCSNNQELSKVARLLSLSYKHLPSHLKNCFLLCSIFPEDYLIHGWRLCRLIISEGLVVQRNNRTQEEIAMEYVENLVDRCLLQVAIRYKLDMTLQLQMHDIVRELAISISAKDGFCRCIQSNAKTLVFDYEPRRLSIHGSSERAQLSINASRIRSFYQFDSDSMSNGQWMSRTARYLKILELASVPITTLPRDIGSLFNLHYLGLRRTKIKQLPESIGRLQNLQTLDIYLTEIGNLPRGITRLRMLRHLTAGKAVASYFGLEDAFTGAKIPSGSWQPLDLNVLTGISASSNLVEQLSNLTRLRALKLTDVKSIHHAKLFASIRKMQLLRTLRIETANSDEYVNLEDLYPAPWHLEILFLKGRLHDSVVDSKLFEASRLSLQQLTLKNSRMSIDPLPSLSCFCNLGFLGLFNHYTGENLVFQAGWFPKLHKLTLAELKNLNSIVIEQYSMPNLYNLSLICLTNLEYLPQGMEFLKSVEELSLVGMHQKFMEDVQDTSNEKVKHIAVIDYFDQSKGRWDRLSQVYGKDQDTKLQH >LPERR05G11380.3 pep chromosome:Lperr_V1.4:5:11246528:11248010:1 gene:LPERR05G11380 transcript:LPERR05G11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSPAPVRAAVSARSSAARVAALPADGASSSSSAAAAYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIKQEEVLRGRNLWDNPAKSHETLSALADATRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKASVDASEFLDRYQMYKLLKGPYDREGACIIVTAGSESVASEAS >LPERR05G11390.1 pep chromosome:Lperr_V1.4:5:11250047:11250343:-1 gene:LPERR05G11390 transcript:LPERR05G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVNNWAALSMCWLDAALYSQKFEPLEAAGGASGSLQHIPQHLLEPVRIECQDHAMMALGVLLNLQGKLQDKHGEELAAHWRAVRALARKLDKERY >LPERR05G11400.1 pep chromosome:Lperr_V1.4:5:11253670:11257930:-1 gene:LPERR05G11400 transcript:LPERR05G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVVLGIPWDVDTEGLREYMSKFGPLDDCVVMKDRSSGRSRGFGYVTFSSVEDAKQVLKEEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESMFRSHFEAFGEIIDLYMPKELGSKSHRGIGFITFQSAECVDSIMQETHELDGTTVAVDRATPKDEEVRHPPRRVVQGGYGAYNAYITAATRYGALGAPTLYDHPGPAHGRGHLGGSLGTGKKIFVGRLPQEANTDDLWAYFGRFGRIVDAYIPKDPRRSGHRGFGFVTFGDDGVADRVSQRSHEILGHEVAVDTAEPLEGGSGGAYFEPTAGAYGAYGAYGPIMPYGRFSGSLDYDYGYGPSGSSGSGSRSRMGSRYRPY >LPERR05G11410.1 pep chromosome:Lperr_V1.4:5:11258095:11258774:-1 gene:LPERR05G11410 transcript:LPERR05G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISAEAPSRQPRLASPPRLGRAAAADERGQEGHGTAPPTASSPCGPRPSLRPPVLPARARTDERRTESKSNCEATTVRRVR >LPERR05G11420.1 pep chromosome:Lperr_V1.4:5:11260288:11267241:-1 gene:LPERR05G11420 transcript:LPERR05G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPQKAKAAAAAFSIGNCKVEIHGSTLKCQPTERDLTISGPRGAKVVVSVDGAKSSSLGEGYDFILLSPSDVDERNKALLQEVLLLYKKELPTMDYAANTGRKSGFLEKCVTNGKYKTLVLNCSSASGHGEVMAALSYQLVPADTEYAEIPLAVVRSPYQRVGIGQLLYKELSQRLQNVGVTTIFCWADNGFESVGEVDTKGKIRKIPVKADIKRALCFPGGSTLMVSQLKELPAPQKSLHVFAPDSISPSDTGISIPSEKLVSQTVACHKVSKFAAVERNEDCPGSRGCSFSDQQAKKRSHETSSSSLKSKRVRCSQHADHHHDHQDINQKETCCNSPFISNTPRHENSVHLMLEKLPNPSMVAHVKSNMSGDAKANISPNGSPSVMLMNIADETKKAQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTMNFCIALCSGAWIVSSKWLKESFKKGKFIGEEEYVLEDEEFKVKYKCELRGAVMRAKERPCSLFSGCTLCLTKNIQPSISVLSHIIKSSGGKVINKLADIAEPSKTIFLACEEDMELALDAAKRGIKTFSSEWFMSCVMTQELDLEAPQFTVSL >LPERR05G11430.1 pep chromosome:Lperr_V1.4:5:11274339:11275564:-1 gene:LPERR05G11430 transcript:LPERR05G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGISFRVAAMLCCVAMATMFLCFSQQGQAEAAYLPWSSPPPPAAASANSTSTAASNSSSCTTPPQQQPTTFPMYGVTPGSLRPQDGWLAPG >LPERR05G11440.1 pep chromosome:Lperr_V1.4:5:11278894:11281215:-1 gene:LPERR05G11440 transcript:LPERR05G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLSHLRVPVAARPAAAIAAAAGSVRAARLLSSSVTEEGAKGSFLDKGEVADRIVSVVKNFQKVEPSKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >LPERR05G11460.1 pep chromosome:Lperr_V1.4:5:11290286:11291767:-1 gene:LPERR05G11460 transcript:LPERR05G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSSSAPANATKLTLGQSTDMPVPSFQLFGATIETAAASSAAASDISAAATAASQNATIADATQGQDSLSLSLQPAALSAPTMGPPASRSNIAVERAAALRGHLRRCVEALATARSADADAELASVMRLASADGDAAQRMAVAFAEALARVAIRPWRGVSAALFATHDADNDGSPAVASEARHNFLAVCPLLRLAAVAVNELILDATRHDKLIHIVDIGGVNHSQWMELIAFLGSRWQGRPTSLRLTVVIKEPKEFYSQAATMLTDEATRHRLPSFELDVVESSLEALKMDDLGVRTDHAVVIVSTLQLHRLVGTRSIASSSSSSVPVSASSLADDLLRGFHRVSPRIIIITENEARHFGPGFRERFVLALDYYEQLFCSMEEASLFCQPAERKAVERHFLKEEIKDIIACEDGPQWARHEPLDRWIARMGAVGFVFWPMSIVLAAGRVRSVAAKLPSGGHGYRVTEGDGWLILNRMNKPMFSVSVWTKK >LPERR05G11470.1 pep chromosome:Lperr_V1.4:5:11301750:11302777:1 gene:LPERR05G11470 transcript:LPERR05G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDVGAINVAASGITTSLPAAMSSMVDNLLRGFHLLSPRLVIFTDNEANHFSTSSLEESSVLCHPAERKSLEKYVLKEEIKDIITSEDMSWWIVRVGAAGFVFCPTSIILVAAQPPGRGIRYGVTKGSGWLILNRMDKAIKISPLEHPFTYATMTAHIGGD >LPERR05G11480.1 pep chromosome:Lperr_V1.4:5:11311695:11312109:1 gene:LPERR05G11480 transcript:LPERR05G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLLHGFHLLSPRLYCEQLFISLEESSVLCQPAEQKESSVLCQPVEQKSLEKYVLKEKIEDIIASNDMSWWAQHELLGRWIVRMGTTGFVFLTTSIILVAVQLLGGDVDTE >LPERR05G11490.1 pep chromosome:Lperr_V1.4:5:11321004:11321539:1 gene:LPERR05G11490 transcript:LPERR05G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCFIWPRLAVVAPTIAVKCQRADPQADLGGQADPHPSGWSSLLPSPRTAREGWPCLRLTVVLKEPRQSNSQTVKILTNEASHHGLPFEFHVAVSSKHRIHTQAGHSKGEESSSPPFSSIASSGTPRCRYSSTVWTNSSAPSTFCHRSSSSLPRMKPITLGLRPRIKDETNHR >LPERR05G11500.1 pep chromosome:Lperr_V1.4:5:11323315:11324117:-1 gene:LPERR05G11500 transcript:LPERR05G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNNQLQPPPGYPRLDSEQQAGKKKSRCCGSSCRRTTKRGETSFIEGCIAALCCCWLCELCCD >LPERR05G11510.1 pep chromosome:Lperr_V1.4:5:11335001:11339360:-1 gene:LPERR05G11510 transcript:LPERR05G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPASAAAAAAEPRSVPLLLLGVALVAASFYAGTVFRSFPSSPALILPPPDTRSLDSSRTQGAPKFTNRVSLSYRTKPISVPDYGVAICPLEYNEYIPCHDSSYTSQLKNLDRSRHEELESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKDKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLHSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRYNGYFVYSAPPAYRKDKDFPVIWEKLMNITTTMCWKLIGKHVQTAIWIKPEDQSCRQENADMKLLNICESYDDSSPSWKIPLMNCVRLNKDQSNIQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLAVEKTSIRNVMDMNANYGGFAVALSNDPVWVMNIVPHTMSNTLPVIYDRGLIGSYHDWCEPFSTYPRSYDLLHAFHLFSHYQSRKEDCSLEDIMLEMDRIIRPQGFIIIRDENAILSRINDLAPKFLWDVTTHILEDEESKPEKVLICRKKFWSIV >LPERR05G11520.1 pep chromosome:Lperr_V1.4:5:11340601:11344651:-1 gene:LPERR05G11520 transcript:LPERR05G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHRLVRLSSRLLSSSPAPDLARQAELHREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGIADSNQNDVWCGLQVYYNLGELKPAVEALVAKYKAAGAKSVAVALDMKAISMAAGGGGGPGGIQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFANQLKSAFTASSFVKEVFTLGYPRLFSMIENLLERILRDTDVKGTLPALTLEGKNHMTAAIEIFETAFLALCHSRLSDYINSIFPMSSRGIPSKDQISRLISRIQEEVEVVRTHGHLLVRVLHEIGKILLLLAERAEYQISTGSEARQVTGTVTPAQLKNFALCLHLQEVHTRISSILSTLNVTSEKLSKSLDIIYNVAGDSVKPLFTEMFDKLGSCILKMHNQDFGTHGMDADMDNNASAYMEELQKFAVHFRSEFLSKLLPSSSSRSETICTIMVRNMASNVLILFIRHASLIRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLKDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSETKG >LPERR05G11530.1 pep chromosome:Lperr_V1.4:5:11348726:11352444:1 gene:LPERR05G11530 transcript:LPERR05G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLSALLPALLKKAGESLSKEFSFIWGIERRRSELYTLLLAINQVIFDAEEQASKSRPVKSWITQLKLAAYDVDNALDELHYEALRSEALRRGHKINSGVRAFSSPHYNPLLFKYKIGKRLQQIVEQIDKLVSQMNSFGFLKCPIPVDERIQTISCIDEQDVIGREKERDEILNILVRAETDKLLILPIVGIGGLGKTTLAQLVFNDVKVKAHFEKHIWVCVSEVFSIPDIVKKIIDTAIGNDCALKNDNLELLQQRLQGELRQKRYLLVLDDVWNEDEHKWEALRTLIGSCGMGSAVVVTTRSMKVAKITQIGNKLLCLNNLSPEDSWVVFRTKAFGAGVVKTSELVECFAFCAVFPKDYEIDKDDLIHLWIANGFILSENTSDIVETGNHVFWELVWRSFFQNVEQPGLLFPWKESWYGHTDVTTCKIHDLMHDLAVLVSGEECFALVNVAEINEIPENVHHLIFPHPHKIGFVMQHSPIILSVFSLYKNHMDSVQEIKFKKSLLRVVGLHIFGIERFPVEPAFMKHLRYLDLSCSHIKTLSEAVSALYNLQVLMLNRCSTLTHLPNGMKFMISLRHVYREGCSSLEMMPPGLGNLIFLRTLSKYIVDKASGCGLKEIKDLKLGGKLKVYNLMKVTDPTDVLQLERMRELKCLCDHQLVAFPKLRALTLDQMESLEYWQEYNVEQVTPFTCPALDTMKIIDCPKLATMPRVSMLKSLSVTGNKVLLGLALSITDLSYLYLSASQGGSRRPHILARGGVPKFDFTKKTHHHELQKLHRFSCLKHVSIWDSSKLESIPEGWDHQDTLQSLVIGKCPRLKSLPASFQFLSNLTTLDLAQNHSLTSLPDGMQNLTVLKSLYITKCPGINVLPEGLQQRLDSLESFTVEDCPALARRCKRGGDYWDKVKDIPDLVLTSEQRSAWRDAARGIIPKCAKAWYRRASNSRQPDN >LPERR05G11540.1 pep chromosome:Lperr_V1.4:5:11356232:11356852:-1 gene:LPERR05G11540 transcript:LPERR05G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRAFFSRKGSSSGSRSSSSSSRNNEQWSPRASDLAAKASLSSPAALVAAAVSSPEETERIFSKFDANGDGRISRAELAALFESVGHAVTDDEVARMMQEADADGDGYISLGEFAAISSSPANDAAAVEEDLRHAFGVFDADGNGVITPAELARVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGAGCFGKIAS >LPERR05G11550.1 pep chromosome:Lperr_V1.4:5:11367767:11368282:-1 gene:LPERR05G11550 transcript:LPERR05G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGRYMIAPLLVLNLIMYLIVIGFASSCINHYINGESYHRGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWGAHSLAASAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVIMLHGGLFSGNHAGGGYGGDYAGDHHHKPAATARV >LPERR05G11560.1 pep chromosome:Lperr_V1.4:5:11369788:11375386:1 gene:LPERR05G11560 transcript:LPERR05G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASSSLLPSTSPRRLPFPPTRKPLSTAAAKPPTLTPKPLRLASSSSSSPPPPEEAEPKDPIKLAFARAAAYKKERDSPPPPPPPTPPQPSVVEEESRSSSKEAFARAVEYRNGNGGGLGGGASALLGSSPRFGQNTFASEDGAFGKFANKKEEYEYDDTDFLGLDFFEKKRYKGPPPGLAPATDPFPNEDFPEVEIIIGDPSKFGKTGRSTESQPVDDSEAEESSRSTTEEKKEDNKIEEAPPSTITEPEEDGDVYSPTVRSWGMFPRPQNISKAYGGGRNIRLGGENLSAEEKAAKDKRTRELIAAYTNSQNMIVDAKTKAECTEALKEGDELMNTGRLKQALPYYEKVMQAVDFKTELHGMAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSSPVSRNTGYEKYFDKFGGQKNYYASLDEPDMGIDQIIPYMLFLVSPIFLVAFVAFRKSFQL >LPERR05G11570.1 pep chromosome:Lperr_V1.4:5:11384314:11387508:1 gene:LPERR05G11570 transcript:LPERR05G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEVPKKPKDPLMMTPPPSSKLRRFFQDDGGGGAAMSPAAAASVPNYMRGTSSSDAKLGHRPPSSASPMAMRRRPVRVVTPRGKVLFPSKEPALGRATCSSAMKDAKFPAALDLAPGATDAAGPAATRVCPYTYCSLNGHHHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRRSPRPEGKTNGGGGAPPLMTDEEEAAAGDCFVEVYAGPRVSTSTDMSCSDMSLDEMDAAVRRMEFVVFDRCGAGGAERSDEKGNGDLDVCGDGDARIKERFGVFRNGDSSECSEASISGEFVEELPWMRYQGYEDDSLDGEFSDEHRIGDEEITGAVVPEDQEGQDEDEEGTSGRLGDEAPEWEDHAAQEHESNDEQIISDFVRELEITAKHEGVDFSVEACEDQEGFSENNILDTAHRSEVCAEEEIQEEENSAAICRLEIPEQEVAENIPDESCKEEASVEQEEGGDGTNMEFATISEVTKEPNLEDEENMQDDGGSEMEISEVTSGFGYEEDFSEEVTSKDISKGEISDYSEMHNQPVENRAFEQDNSSTEDNSFHQDGSTSHRSFDQDDISAGGHDDSQKGLDILMGEFRVISEEAGIHETNHDDPVDCTEDVHKESDISLSDLQDASEGSGIAQENSQGGNWTCLNDEAQMIPDIITQTLEDVSKDGAQETTCDDNSTPLAACAQMEFGIGISELREGSSDVTEEPGSAQKTCQDDNAEYFSDGDSQRDTVITTCQLQVASEENVIAQEGDAKPTSTGVRDGAQNESEQTCELAASEECHITQETNEIHVALEESMVITSESEGESEDTNEESDIAQDDHGEDYSVGINVSAQKEVELDTCESGGASEGTAVQQEDDGHVNTIDLNDSAQKDITVSILDSAEELLITEETNHSSTVVIPELSDNFSTAEGQEEPQNQETVAKESSLDDICNAFSGMHLKGNVYLDPTESISSPRNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPEAEKVDLKHQMMDDRKNAEEWMIDYALRRVVNNLGPARKKKVELLVQAFETVLPHDEEEKKSITPTRSVQACN >LPERR05G11580.1 pep chromosome:Lperr_V1.4:5:11399027:11400145:-1 gene:LPERR05G11580 transcript:LPERR05G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSRQSLHMVSSGGRGLYSLSHMNISGLFYPSTAEADAAQAKANKMNGINTPEVVGSISLPRPSVHYEPFSPSNSNPHSSMNMFALFGKNKILCSDAMGYTSVYNKRLHSFLGMPMLNSPKGPKRIVVYIPRTAAHAKSDFEIDPNTESDLFIEMPHGDHNDSLYIMDMVSGKPCCFEALIYYPVGHWRWRPLLPPPFLRNPEYKAPDNTAFAVVDGTKICVSSATATYLFDTVDRKWSKAGDWVMPILSNAEYVPELRLWIGLSASSPFNLCAMNLSNTAVGTSDVLPTMQHIRLDVDPPKDWLLKKRTLVNLGYGKFCVTKFFESIANKVVVFTGVEMVTSGEGELGIRAVKHKSECVVSDNIEYVL >LPERR05G11590.1 pep chromosome:Lperr_V1.4:5:11404535:11408439:-1 gene:LPERR05G11590 transcript:LPERR05G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFPIRKKERKKADRRQRDQFLIFRPSGAPLASPNLETPSTTTPGRQPSTPTVELSLHHTQSIPANSWSSHQEQGTRFNLLSWSCHQKHGNKYNTFSWSYH >LPERR05G11600.1 pep chromosome:Lperr_V1.4:5:11425875:11431189:1 gene:LPERR05G11600 transcript:LPERR05G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLLHFLDSPNAHYRRKCEEYVSRHDLDDHSDAPDIDPANSRERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLACSGGNANVNTRYEAFLPENYIKPRQDCTTEERSNFIKYKLDYHSYRSYFCQFSLTKLCFLSVYMKKYEHQQFVTDPQFSCPLRLNNKHTADKQHHQQNSSVRHGFAHPFRNSWRRKDSDTKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQSMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFTSDDRMGDVEVDIQPLISAARENENSAVTAGSVEITKLLASDDGTLAKDSVIAVVDGKVKQEITLRLQNVEHGELEIELECVPLSQ >LPERR05G11600.2 pep chromosome:Lperr_V1.4:5:11425875:11431189:1 gene:LPERR05G11600 transcript:LPERR05G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLLHFLDSPNAHYRRKCEEYVSRHDLDDHSDAPDIDPANSRERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLACSGGNANVNTRYEAFLPENYIKPRQDCTTEERSNFIKKKYEHQQFVTDPQFSCPLRLNNKHTADKQHHQQNSSVRHGFAHPFRNSWRRKDSDTKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQSMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFTSDDRMGDVEVDIQPLISAARENENSAVTAGSVEITKLLASDDGTLAKDSVIAVVDGKVKQEITLRLQNVEHGELEIELECVPLSQ >LPERR05G11610.1 pep chromosome:Lperr_V1.4:5:11431987:11433517:-1 gene:LPERR05G11610 transcript:LPERR05G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHLAYVLLFLVTAGLLFRLRRLLPAPANPTAAHCPHPNPVLGNTVEFIRNRRRFFDWYAMLLRDSPPGPACPADVEHLLRGSFGNYVRGPGFRVAMSELIGDGLFAADGRLWKLQRKVASHAFSSRALRRFSDDVLAVHLRRRLIPFLDAAAASGDAVDLQDALRRFAFDAICHVAFGVEAEASTAAADTRKREALFAAFDAAVEISFRRALTPPTVVRKVTRLLNVGASRRLRDAVDVFDDYAMSVVESKESQSRMRREEDGDPDLLSRFMAAMDDDDDDGEQLGAMFPTPQAKRRLLRDVVVGFVLAGKDTTSSALTWLFWLLAANPRCERRVRDELDGDAKGMQQHYLHAAIAESMRLYPPVPFNGRVAVADDVLPCGAAVRAGWFANYSAYAMGRMEKIWGENCLDFSPERWLRDRGDGEFVGVEAARYPVFHLGPRVCLGKEMAYVQMKTVAAAVLRRFSVEVMAPAADMGSPPAYEMTATMKMKGGLWVRLRKRE >LPERR05G11620.1 pep chromosome:Lperr_V1.4:5:11443687:11443875:-1 gene:LPERR05G11620 transcript:LPERR05G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDLRTEEMRQNLTEHVAQTQNWQHHADAQFSNFNNMMQQQQTDLHVYFRFQGFNPYQGS >LPERR05G11630.1 pep chromosome:Lperr_V1.4:5:11446182:11449444:1 gene:LPERR05G11630 transcript:LPERR05G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAENTTSPAALGSVSGNVKMASDGMWQGENPLDFALPLLAVQGGILLGPSALGRWAAFRRTIFPAWSAAALDTISGLGLLLFLFLVGLELDFRSVRRVGPRSIAIAAAGIIPPFLAAAGLVPLLDAAVPTASRSHHASFLSLCVFVGAALSVTALPVLACILKELELLGLPFGDTAMAAAAVNDVFAWALLALALAVSGGGNGVSHPPLAPVYILTSGAAFVAFVLGALRPLMSRLVSRSRRVDVDVAAVVACALLAGAATDAIGVHPVFGAFVFALAVPREGGFAERAGEKVSPLVSGLMLPLYFATSGLRTDVDSVRGAAAWGMVALVVAVAIGGKFAGTFAVAAATGMARREAAALGVAMSAKGLVELIVLNIGKERKVLDDTKFAIFVIMALTTTVVATPLMTAIYRRTPTETSPEGDFELKDGDVCPA >LPERR05G11640.1 pep chromosome:Lperr_V1.4:5:11452522:11453780:-1 gene:LPERR05G11640 transcript:LPERR05G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVQHLTRAFSGLGGLGVDEPAMVSALAKWRKQPEKLSGFRKSFNGFFKDHGNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYHARDKPYCSLLVGLVSAYRYEGPRVSEETARAEAKSLAAAVKSAAGGKLVENAEIVRILTTRSKPHLVETFKHYREIHGRHIEEDLGGQEETLREAAVCLATPARYFSQVAAAALSDGAGHHEKEALTRVAVTRADVDMDAIRAAYHEQFGGKLEDAIAGKAHGYYKDALLSLVAGK >LPERR05G11650.1 pep chromosome:Lperr_V1.4:5:11456549:11458958:1 gene:LPERR05G11650 transcript:LPERR05G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLECIHNIPPLNLLFLHFSHFPSAASAGGGVISPMASISVPNPCPSPTEDAENIRKAVQGWGTDEKALVEILGHRTAAQRAEIAVAYEGLYDETLLGRLHSELSGDFRSAMMLWAMEPAARDAKLANKALKKSKNGGELRHVWVIVEVACASSPDHLVAVRKAYRAAYDASLEEDVASCPHLTDPLRQFLTRLVTAYRYDAGGEDVDGELAGAEAAELHAAVVGQGQPLHADVARVVGSRSKAQLAATLERYRLEHRGGEGGLVEALAAGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVTRAEVDMEKVKEEYKVRYGTTVTADVRGDTSGDYKNILLTLVGPEKGLEAVLGAHNA >LPERR05G11650.2 pep chromosome:Lperr_V1.4:5:11456549:11459730:1 gene:LPERR05G11650 transcript:LPERR05G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLECIHNIPPLNLLFLHFSHFPSAASAGGGVISPMASISVPNPCPSPTEDAENIRKAVQGWGTDEKALVEILGHRTAAQRAEIAVAYEGLYDETLLGRLHSELSGDFRSAMMLWAMEPAARDAKLANKALKKSKNGGELRHVWVIVEVACASSPDHLVAVRKAYRAAYDASLEEDVASCPHLTDPLRQFLTRLVTAYRYDAGGEDVDGELAGAEAAELHAAVVGQGQPLHADVARVVGSRSKAQLAATLERYRLEHRGGEGGLVEALAAGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVTRAEVDMEKVKEEYKVRYGTTVTADVRGDTSGDYKNILLTLVGPEKL >LPERR05G11660.1 pep chromosome:Lperr_V1.4:5:11458766:11461333:-1 gene:LPERR05G11660 transcript:LPERR05G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAESTTEEQKNDSIMRLSWALVHSKNQEDVNRGIGMLEGLISYFVFNNHNILLAGKSVLINLSYFTASLDQSSSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >LPERR05G11670.1 pep chromosome:Lperr_V1.4:5:11464174:11469142:-1 gene:LPERR05G11670 transcript:LPERR05G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMTAHRVDRAICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLHEDIIKDNFVLVYQILDEMMDNGYPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNIGNKLPDATASFVPWRTTVVKDASNEVYVNIVEELDACVNRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFQLPPLIASADLTANYGTVDILADQTCFWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEIRNTPHAPYKGFRAQTQAGSLALINGNNPCGHVDDFKRMTDGPLALSSATMADGPLAAAVVSPTAVVTCNNGSGTGEVELSYPIIESVLNPLHGPHVEHHASKRNHRVNTKRSKVGVGNMRRLRP >LPERR05G11670.2 pep chromosome:Lperr_V1.4:5:11464174:11469142:-1 gene:LPERR05G11670 transcript:LPERR05G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMTAHRVDRAICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLHEDIIKDNFVLVYQILDEMMDNGYPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNIGNKLPDATASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFQLPPLIASADLTANYGTVDILADQTCFWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEIRNTPHAPYKGFRAQTQAGSLALINGNNPCGHVDDFKRMTDGPLALSSATMADGPLAAAVVSPTAVVTCNNGSGTGEVELSYPIIESVLNPLHGPHVEHHASKRNHRVNTKRSKVGVGNMRRLRP >LPERR05G11670.3 pep chromosome:Lperr_V1.4:5:11464174:11469142:-1 gene:LPERR05G11670 transcript:LPERR05G11670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMTAHRVDRAICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLHEDIIKDNFVLVYQILDEMMDNGYPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNIGNKLPDATASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFQLPPLIASADLTANYGTVDILADQTCFWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEIRNTPHAPYKGGTGEVELSYPIIESVLNPLHGPHVEHHASKRNHRVNTKRSKVGVGNMRRLRP >LPERR05G11680.1 pep chromosome:Lperr_V1.4:5:11472905:11473492:-1 gene:LPERR05G11680 transcript:LPERR05G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRTNGGADGEGGDGGGVVRRRRNIPAASQPSTSGSAASTASSSSSSGRRVVEEEEEGGRGGSRKQGRRRKAAVAKAIRERLPAAAASCWGNGAAVGEGIGGRRSRRERPGGDSGGGGGEEGAAAAAAAARAPAVWCCVCPDEDECRLEANPSANGKEEPGIRTLLEQNDFFSDDCNPHAAAAAAVGSGDCSD >LPERR05G11690.1 pep chromosome:Lperr_V1.4:5:11476074:11480216:-1 gene:LPERR05G11690 transcript:LPERR05G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENSRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHESIQKFIQGTIAEKAPVVPISAQLKYNIDVICEYIVKRIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVRVDLAKLQLTAPVCTSKNEKVALSRRVEKHWRLIGWGQIQAGTKLDVPPCPL >LPERR05G11700.1 pep chromosome:Lperr_V1.4:5:11494506:11494748:1 gene:LPERR05G11700 transcript:LPERR05G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWHWCAQKNVVREGERGRTTDILAYMITAQAAEFEKLTEKEKGMKEQRRMKWQRSEEGWVKINTDGAFDAMTNQALAS >LPERR05G11710.1 pep chromosome:Lperr_V1.4:5:11501342:11502745:-1 gene:LPERR05G11710 transcript:LPERR05G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRILAVVIATVSLMLVFMPGMVSSGFRFRISPKQQKGLRDLFKDHAADVAGSLLPPSPSNGDGSNNNVPTHAPATTTVAYFINFTIGSQVVYGAFDITSELVWVPCKVCSYEDTSSCTTGTGTPGVYLAQHEEIYACGSVFCMHPQNYCRGANNACSYTYTYGGSDGGKTTSGYLAFQNFTFGDTNVGKVNFGCGPSNDGYFGDSGVVGFNNGRFSLVTQLQLGSFSYYFPPEDAPADTATFFVVFGDDDGVVPRTSNPRYYTPFLTLPDGTYPNLYFVKLTGILVGGKDLLAPNGNNGGADAVLSTSVPVTYLEKTAYDLLKDELKNVLGTSNIVSGSALGLDLCYTSQYMATAYQKLPAVSFVFTGNAVMELKTRNYLYRDDDAGLECLTILPSQDANGLSVLGSLIQTGTHMIFDIQGKKGLSFESFDQPSPATAPPPFSTATTIGRFVWCATAVALACMFL >LPERR05G11720.1 pep chromosome:Lperr_V1.4:5:11511495:11513459:-1 gene:LPERR05G11720 transcript:LPERR05G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSSELDPAILSTSTSSSSPPDSASSPSFSFYHPSPPNHTLAVSNLSCADPRRGSSSSSAGLLSFLGLSTSSPVSTGDGVGGGGGLLKNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGCEISTKPHPVSINGHPVTSRSQLRRTCGFVTQDDNLLPLLTVRETILFAARFRLARSSSAVERAERVEALMHELRLTDVADSYVGGGGGTPRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLSLLRDVARARRQVVVLSVHQPSYRMLGYISSLLLLSHGAVAHFGTLKSLEHSLSRLGHVIPIQLNPLELALEVTSQLESHHANTTTHHDHVNDDVDEGGELVVHAGKHGGHYYCSRAVEVAALTVRCWRTMHRTKELFAARAAQALIAGLGLGSVYFRLRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATVHAFVCFSLVVWLIVLMASSLVVFLSAVSPDFVLGNSLICVSLGVFFLFSGYFIPKESIPRYWAFMYYVSMYRYPLDLLLINEYGGSNRDSCVAWVGGGGGACLRTGGDVLRGRGIDEGMKWVNVGVMIGFFVVYRVLCWVVLVRRAAKTTL >LPERR05G11730.1 pep chromosome:Lperr_V1.4:5:11514706:11522193:-1 gene:LPERR05G11730 transcript:LPERR05G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGVRTGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNVHSRGIPVDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGPKMDCFIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALASMNIELVACGEYHTCAVTLSGDLYTWGNGSFNSGLLGHGNDVSHWVPKRVNGPLEGIHVSSISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKETRLVPTCVAALVEPNFCQVACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTLVESLKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGAVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDNKTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVISLRSQVENLTRKSQILEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASENFGSPRSSAETCLNGSNGLLVSNGPTSVRNKHPESVKNGTRLPDSESEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVQILSDDDEEYFGANCRLRCKAALKDLKLCSG >LPERR05G11740.1 pep chromosome:Lperr_V1.4:5:11531931:11534014:-1 gene:LPERR05G11740 transcript:LPERR05G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAVCLAQEEDPVGRAATVSRTLPGLTNDSLAVRSVIIDAREAALAAPESEFAAACTRAATGTSVMPIASAAPAGCLVPSHNLPRHS >LPERR05G11750.1 pep chromosome:Lperr_V1.4:5:11544923:11545585:1 gene:LPERR05G11750 transcript:LPERR05G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMSPCLYCSPAVHGEEEPAARLVFWGGPASQLAPTGTGSAVTAGDVMAELPGDHLVCAGDAFFIGLPIPALTAGEALAAGRTYFVLPAARFSSGQALTAASLASLSPSPAAKVSLAGGASSPFEYVTGDDGMALIRVLPEFIEKAITAACGKAGGETAADQLCSTPELKKHYMQLVGRQRRPWSPGLETISEAGTRRRRRRMPSPVRLVALAKAASR >LPERR05G11760.1 pep chromosome:Lperr_V1.4:5:11550802:11555820:1 gene:LPERR05G11760 transcript:LPERR05G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKASPCLLLLISVGCFFATYNFLTMVAHGRGRDGPRKMLGGGAFAAGSDPSKRFHVALTATDALYSQWQSRIMYYWYREMRSRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGTDRGYIVLNRPWAFVQWLKKADIKEEICSYVLMAEPDHVFVRPLPNLARGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKLHLDLNLYTLISYCIHVNRYAYAVASALHGVHHNLRKDFMIQPPWDLKTEGTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPGVPESVATLVKMVNEATANIPGWDEER >LPERR05G11770.1 pep chromosome:Lperr_V1.4:5:11561074:11562091:-1 gene:LPERR05G11770 transcript:LPERR05G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAPTASYHHHRSAAAGGGEPVFPLLGTGQCAIDAADKSPGAASAIHFWQSPTAAAAAAAGGGAGMSGDRKPIPMLDYGGIGGVSSGSGSGGATCHECGNQAKKDCVHHRCRTCCKSRGFDCATHVRSTWVPAARRRERQHLATAGAAAGNSSPPPTSIPTTTTKKPRLLGSQTTTTSRTSTSPRSFDTSSSHQVASFRDGLPRQVRAPAVFRCVRVTSVDDGDDEFAYQAAVSINGHMFRGFLYDQGADDGRGGSNDEPSHGAAAVPSISDLHLGSASAAAAAAVPPHLYSGGSGPLILGGLGYGNTMN >LPERR05G11780.1 pep chromosome:Lperr_V1.4:5:11571045:11571542:1 gene:LPERR05G11780 transcript:LPERR05G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRARSTPLDDSRCRDLRPGDPLCVSCPLAGDELKFFDAVLESVSPAAHETVDGEERCACRFSVRWAGGPLEGGREEVGVERICCVRPTPVEDAVLSEFLDGVAKSLGGGGGAGEGTRRRDLGSSHYACAISIPLLCL >LPERR05G11790.1 pep chromosome:Lperr_V1.4:5:11576436:11579228:-1 gene:LPERR05G11790 transcript:LPERR05G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMYGGGSSRSVACCCAAVLLAAVLLFSAPTTTEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNFQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGGTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTQDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKDYWRVKITITNFNYRMNYTQWNLVAQHPNFNNITQLFSFNYKPLTPYGSKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMTFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPMRKQPLTLSLLVFSIVLATLLAYA >LPERR05G11800.1 pep chromosome:Lperr_V1.4:5:11588808:11591404:-1 gene:LPERR05G11800 transcript:LPERR05G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYKRIFREEQKCPENDLAEVTDKILDKCGGVPLAIIAIASLLVDRPWEDWSKTYDSINFGHEDNTMRILSYSYYDLPSYLKLCLLDLGMFPEDSTISKDRSIWRWIAQGFIHLDNEGGHLFQLGERYFNELLNRSMIQPVENSDHSICACRLHDIVLDLIQALSREENFMTKFSLVNCTHSEIGTKMKEMSQPSPSLHSKARRLSIEGCILQQIPQDTIGMPELLRTLSIQNSEIQNMHPLSIFKVCRVLMIESSKIPHLRDLGKLLHLKFLVIDKAPIDELPKEIGNLRSLQSLIISGTRIRELPLTVFSLTQLMYMRIEEIGNLPVDRIGNLTSLEELCLNPIFGESAAYDFARELGKLTRLRVLEINFYDAVDDSWHQVFLQSLCSLREIHNLDLQFVGETSTAGATWEGWELPRQLQLLYIACISFSWLPATINRSLVPGLRYLTASVETIGAQDIENLGRLPELQYLCLYLNGPTPILIPEFIVCSADGFKNLRACKVSMQFKFLQGAMPKLEILDFSVPVRFRRQTMNEISDFDFGLVNLISLERVSAWVSCFDASEMDVAKAEAALRRAVEDHPNRPTLQMIRTDEYDMLSDQDLKVQEAEPSFRISVREMKDNGTDPDIYSNLQSCLEKVNIDVDCENANLREVEEVEAALRQAANVDTKSPSPTLELTRINTDKMVSASDNCYSENDQDDSAGNNSITQLPSVQNEQDIWSDKKEMLDS >LPERR05G11810.1 pep chromosome:Lperr_V1.4:5:11602795:11604161:-1 gene:LPERR05G11810 transcript:LPERR05G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMGAMASLGPKLAELLKEEYVVQKGLKPEIESLSRELVMIHAVLAKVSRVPPEELDEVDKIWASQVRELSYDMEDAVDAFMVRVVGRKSVDPNFFKRISGKITSVMKKAKDRHQISGTIKEIKSISQELAELRARYTFNNSGADHTKNCNVDPRVLNLYKYEDELVGIEGSRDELIRRLTETEGDMRLKVISIVGFGGLGKTSLAKIVYDKLKGQSFDCAAFVSVGRNPKITGIFESTLEQLDKTHSNVNMARWNEGRFIDELHTVLQAKRLAHGHLLNKPRANEFVKEIVSCNLGLTSSKN >LPERR05G11820.1 pep chromosome:Lperr_V1.4:5:11625631:11626716:1 gene:LPERR05G11820 transcript:LPERR05G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPALLLVLATLCAYACKGSVAQWTPAFATFYGGSDGSGTMGGACGYGNLYNAGYGLNNAALSSALFNDGATCGACYIIKCDTTKTTSCKPGTSITITATNLCPPNWALPSDNGGWCNPPRLHFDMSQPAWETIAVYQAGIVPVNYQRVSCQRSGGIRFTISGHDYFELVTVTNVGGSGVVTQMSIKGSNTDWMAMSRNWGANWQSNSYLAGQSLSFRVKTDDGREVTAWNVAPSNWWFGGTYTTSWVQF >LPERR05G11830.1 pep chromosome:Lperr_V1.4:5:11630804:11637141:1 gene:LPERR05G11830 transcript:LPERR05G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPAAAADSLPASPSAAPPSRPLPLLTLPYLFSLLALLLLLSLLFPWGPTHPSAPASPWRGYTLQEAAAFAAGAGNGTILLAAVSGPYLPFLSNWLISVRRAGRADQVLVVAEDYKTLERINAAWPGHAVLVPPAPDAQIAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHDLPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >LPERR05G11830.2 pep chromosome:Lperr_V1.4:5:11630804:11634329:1 gene:LPERR05G11830 transcript:LPERR05G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPAAAADSLPASPSAAPPSRPLPLLTLPYLFSLLALLLLLSLLFPWGPTHPSAPASPWRGYTLQEAAAFAAGAGNGTILLAAVSGPYLPFLSNWLISVRRAGRADQVLVVAEDYKTLERINAAWPGHAVLVPPAPDAQIAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHDLPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >LPERR05G11840.1 pep chromosome:Lperr_V1.4:5:11637828:11641244:1 gene:LPERR05G11840 transcript:LPERR05G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHLVASCSFTPSPAVKTYSKSPSYCCNVTQFQSLKCSNLVLKSCPKRPKKSFVTRASAAVQGQTDKTQTPLTGNQEASGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPISSIQNRIRRWKSLTGKTIQLYIGDICDFDFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMSAKPKTVSV >LPERR05G11850.1 pep chromosome:Lperr_V1.4:5:11656071:11657999:1 gene:LPERR05G11850 transcript:LPERR05G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVVTCRSITAISPLPLSRRPSSSSRRRALPAAAGKEGESAAVPIERFAYDELEAATSHFADAALLGRGSHGAVYKAVLASGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDSASASDQQQPRPRLLVVEYMPNGTLYELLHSNPRPPGWPRRIRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGEAATNAATPAPAGTLGYLDPGYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVERLVVISKAVSAKVWNGLAVVGNPCAVVDVQKTIAKRAAAAAAGDNAASERESAPALAFDDDEKKEDEEEGNAGVLEEDQVPLVGAKKTPRPLKNGKVFSESGTKERRNLLELMARIDGVAGQRFGITRARTVRGASEPIEKDGAVLLLRRNQTVKVLGSDALSKVDLFSSLDAKFKHELGKEQQEKTGRIKEESGEEQEKAGKIKHDAGTVKKHGETAGGINAKAGKDQEKVEKKLEEEMKIQETFGEILDRSKKSEEKKAQNLGKEEKIKDTAEKKQEHDARGVQDKVEKIQDNAKKIQ >LPERR05G11860.1 pep chromosome:Lperr_V1.4:5:11661913:11665976:-1 gene:LPERR05G11860 transcript:LPERR05G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMSAELEDRAEELGDDERLQRGRLRALTLFPYVRRDELVRSILADGSVPNPKPTNEGRTVIAKAIDTPKYPPPPSSMADDRVTGGANLSPLPPPPPPPDSSPHPAGRSSPPLDASVFTHTPEENVYLLCKEFIGSGISDPAGTDLYVVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQSRRKKGDLVWDLDSNLPFPCPFIQYVSDAIRPLSFGNSIYRRLFRVIHTPVFLRSFASDRSHMKDPAGNWIQLPPKYETIVAEDGTTNNLHEYITMSIDDVKDLESMANDVYSSKHGVVINETILPEFFSHLHG >LPERR05G11860.2 pep chromosome:Lperr_V1.4:5:11661915:11664774:-1 gene:LPERR05G11860 transcript:LPERR05G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDREFIGSGISDPAGTDLYVVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQSRRKKGDLVWDLDSNLPFPCPFIQYVSDAIRPLSFGNSIYRRLFRVIHTPVFLRSFASDRSHMKDPAGNWIQLPPKYETIVAEDGTTNNLHEYITMSIDDVKDLESMANDVYSSKHGVVINETILPEFFSHLHG >LPERR05G11870.1 pep chromosome:Lperr_V1.4:5:11667998:11676196:1 gene:LPERR05G11870 transcript:LPERR05G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRVDSDGRINLSVATSDQSFDDSMESERAAYAFLPQTPIRSTDAHLVEFSEAMRAVAKTLRQVAEGKAAAQAEAAEWKRKYELEKATKAHKHLSVTKGCSNCDKGKLEDLASRLTLETTSVDLASCCGNHGICSHQILQDECPETNKNSDDKIVGRKAQFKLSWGYNGDSNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKENNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKIDLVVTLGGDGTVLWLYRECLDHVLKRPFGITLRSRLQCHVICDSAKNEVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQECAQPKDMSTMLV >LPERR05G11870.2 pep chromosome:Lperr_V1.4:5:11667998:11676359:1 gene:LPERR05G11870 transcript:LPERR05G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRVDSDGRINLSVATSDQSFDDSMESERAAYAFLPQTPIRSTDAHLVEFSEAMRAVAKTLRQVAEGKAAAQAEAAEWKRKYELEKATKAHKHLSVTKGCSNCDKGKLEDLASRLTLETTSVDLASCCGNHGICSHQILQDECPETNKNSDDKIVGRKAQFKLSWGYNGDSNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKENNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKIDLVVTLGGDGTVLWLYRECLDHVLKRPFGITLRSRLQCHVICDSAKNEVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALLCSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPAA >LPERR05G11880.1 pep chromosome:Lperr_V1.4:5:11678189:11682371:1 gene:LPERR05G11880 transcript:LPERR05G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLFATTTAGASSLLAPAAAAPSTSSTALFPTSVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEPQQQQEEDGGQYDDDDYDDGYEGGRGPAFTPPSRPRTGKAALPLKRDRTRSKRFLEIQKLRESKREYDVPTAVTLMKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKTGIAHVPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >LPERR05G11890.1 pep chromosome:Lperr_V1.4:5:11683800:11690118:1 gene:LPERR05G11890 transcript:LPERR05G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNSISAASHPSSPFHLHLKLQPIPNLPALSFPRSLPLNLPVLRLGRPLLPPPPLASSGSGGIDIGGGGDGDLPSGGGGGGGDEDAGDDDASVNRREALFVLAQLGRKLESLPADLAAAVEGGRVPGEIVQRFADLEKSGLFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIARNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFDGETEDIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHRHKLALSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >LPERR05G11890.2 pep chromosome:Lperr_V1.4:5:11689986:11690489:1 gene:LPERR05G11890 transcript:LPERR05G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWRCFPSSHSLSLSLPHHLLLPVSSPPPPLFSWGWVAPPRLFHQTLTVRCLGPRFPSICGEPELAKWGRSPSRGGVGGGLGRWSHLGCSTAQRGATNPVTSTTPNLTTAIAAVSVQSLHRVFDEYICSFRSE >LPERR05G11900.1 pep chromosome:Lperr_V1.4:5:11691603:11691878:1 gene:LPERR05G11900 transcript:LPERR05G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLQWLIALSLGWYGGWKSARHLSEVERLAEAAAPKPMAKLLKEYVFAGGVEARRAKQLDDSVQELTAELREFRRLSTTQQQQGSPPSS >LPERR05G11910.1 pep chromosome:Lperr_V1.4:5:11695491:11695775:1 gene:LPERR05G11910 transcript:LPERR05G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRLFYPSTAAAESAEAKAKKKKNKLGVVGSLGRLPGPSIHYQPFRSILSRPYGRIDMFALFGKDKNKILCSDPAGHTTITPTCTPSIPCPS >LPERR05G11920.1 pep chromosome:Lperr_V1.4:5:11695799:11700803:1 gene:LPERR05G11920 transcript:LPERR05G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSIPRTVAHARYDYELHPEVDSAIFVDEPHVGIGNHHTDCLYIMDMSPTKAYCFEVLAYNPASKWRWRSLPRPPFFDDPEYKPPLDIPFAVVNGTKICVSTATATYAFDTVTLKWAKAGDWVLPFKVEYIPEIGICLGLSNRSPYELCTLDLSAVVKKKAPSDITPMATARHVWQDLETPENWLLLDHFLVNLGSGRLCSAKIFDITNEQDECDFNPVAVFTGVEVLPCHVRGLRMANSNKMKNGINTPEVIGSIGCLPKPSVHYQPFNPSSSKSNPHSSMDIFALLGKNKILCSDSMGYTSVYNTKLHSFLGMPMLNSLKASNPYDLCAMNLSNAVDVLPTVQHTRLDVDPPKDWLLKNCTLVNLGSGKFCVAKFFDCIDDKVVVFTGVEMVPSGEGEVGIRAVKHKSEYVVTDSI >LPERR05G11930.1 pep chromosome:Lperr_V1.4:5:11706060:11712625:1 gene:LPERR05G11930 transcript:LPERR05G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPAAGMQQQKLEAVAVGGEGLGAAGAEWGRGMQQKVEVVAAAAAAAGGEGPVLGAAGAGSVAEQVVAPPVRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGHLLGNGYMGLGKDIMLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQPDTSKVEEITDEIRAIESSMQQTEPYKLPSLGLHFKPSSEGLSACDILSQSDAFKSFSVKSTKLSEECTFSKEIEEGKTITPVPATGHDTTAVDMNVNELLVQRAPYTLAPVTAQMKNTWNPADPSADPLFWSNFILPSSQPVTMATIATTTFAKNEVGDNILHVYGFPSSGLLRIYQQRFNIGINYC >LPERR05G11930.2 pep chromosome:Lperr_V1.4:5:11706060:11712625:1 gene:LPERR05G11930 transcript:LPERR05G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPAAGMQQQKLEAVAVGGEGLGAAGAEWGRGMQQKVEVVAAAAAAAGGEGPVLGAAGAGSVAEQVVAPPVRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGHLLGKDIMLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQPDTSKVEEITDEIRAIESSMQQTEPYKLPSLGLHFKPSSEGLSACDILSQSDAFKSFSVKSTKLSEECTFSKEIEEGKTITPVPATGHDTTAVDMNVNELLVQRAPYTLAPVTAQMKNTWNPADPSADPLFWSNFILPSSQPVTMATIATTTFAKNEVGDNILHVYGFPSSGLLRIYQQRFNIGINYC >LPERR05G11940.1 pep chromosome:Lperr_V1.4:5:11716185:11716886:1 gene:LPERR05G11940 transcript:LPERR05G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSPPGASKPAPSLHGFPAGQTPESIFAKHLEDYRKKLRTRRPDLGFEDFSFLRLKDVIDYEAKPLPPLLSAMRALPGSSPIYCSYFMPVTKKQANLKLGAIYFPDCGDACRTRHLVEELTDDELDEVIDDGYDGDDGLPVPVFDEEGRRYDFKLGYSEDALGGSYVLVGVGGDYQRFMENNNVLRDKLELGKNLCLMVFAFRTVAPLVKYKHKKDHSASATLCMALLFC >LPERR05G11950.1 pep chromosome:Lperr_V1.4:5:11717888:11719127:-1 gene:LPERR05G11950 transcript:LPERR05G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNCGGGDGWRQQNLGGAEVKKGCPWTPEEDLMLVVYIQDHGPRNWRAVPTNTARAAGSGGQSNSGRGSSGGISPTRRRSSSFTSRPSSATVLRLFDDDGFWGIIWWAAIASYLMERTDNDIKNYWNTHLKKKLKRMTDASTWRRRRPGRRRPRGRGSGISRRTSRPRSPRRPLAQHLLAAAAAAAGGAVVGDVRVQRREAWRWEGVGGGDAVQVDVVGVGVVRRGDADAGRLVDGDEEDEGRGVFLGCHYRASQSDSQSDRGEDA >LPERR05G11960.1 pep chromosome:Lperr_V1.4:5:11722946:11723647:1 gene:LPERR05G11960 transcript:LPERR05G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSSSPVARTPTPSLYGFPAGETPESRFAKYLEDYRKKLRAPGAGMEFADFRFVKLQDVIDEEAVPLPPLLSVMNELPGSSPTYCCYFMPVTKKQANLKLGGIYLPVCGDAARARHILDGLTDDELDEVIDDGYDGDDGLMVPVFDEEGRQYDFRLGYSEDAFGGSFVLVGAGGDYQRFMENNNVVRDVHELGKDVSLLAFTFRTQAPLVKYKHKKDDSTSCTLCMAIVLR >LPERR05G11970.1 pep chromosome:Lperr_V1.4:5:11727870:11731307:-1 gene:LPERR05G11970 transcript:LPERR05G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVV >LPERR05G11980.1 pep chromosome:Lperr_V1.4:5:11735722:11738863:1 gene:LPERR05G11980 transcript:LPERR05G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKMEGERVDATRRWVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVGKPLLGLLSDAVPIRGYRRLPYVAIGALLQAISWLAIALWPAISLPNLTIFLLLSNFGASICEVANDAIVAEAGKQATSSSGSGQLQSFAWMFGSSAGALGNLVGGIALSYFSPKIMFLFFAILLVLQFCTTVAIPESSLQLPKADTNLSAVSSIRKQIKELSCALCMPEILWSVIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSVAYNKYFKTTPARKVLSALQFLTALIMLSDVLFVQGIYRNLGIPDSIYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSVMAFVMSALALATIISGYLGVALAEFMGVSGGDFSALPTCLLIEAACTMLPLCLSSLIKERKEKDKKEE >LPERR05G11990.1 pep chromosome:Lperr_V1.4:5:11739412:11744083:-1 gene:LPERR05G11990 transcript:LPERR05G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQTTWAASLPLFLLRPRPISPPPCCGRRLPIVPICKRRMSTQAQPSFAPLPTAQPESDAGASGYQFRLVSYNILAQVYVKSSFFPHSPSACLKWKARSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYASIYIQRSRDKRDGCGIFYKSKSMELVQKEGIHYNDLVEKYVHTDHVDSVTSNNSSTKEASKKPDNNKHGDPNDPRFRLKRDSVGLLAAFKLNDPLIIAGDFNSTPGDKVYNYIVSANSECTDEVPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRLPRGDSVDVEGGLPNFQHPSDHLPIGADFQVLSSEG >LPERR05G11990.2 pep chromosome:Lperr_V1.4:5:11739412:11744083:-1 gene:LPERR05G11990 transcript:LPERR05G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQTTWAASLPLFLLRPRPISPPPCCGRRLPIVPICKRRMSTQAQPSFAPLPTAQPESDAGASGYQFRLVSYNILAQVYVKSSFFPHSPSACLKWKARSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYASIYIQRSRDKRDGCGIFYKSKSMELVQKEGIHYNDLVEKYVHTDHVDSVTSNNSSTKEASKKPDNNKHGDPNDPRFRLKRDSVGLLAAFKLNDPCDHILIMANTHIYWQFEKLISNKYNCKPSVIIAGDFNSTPGDKVYNYIVSANSECTDEVPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRLPRGDSVDVEGGLPNFQHPSDHLPIGADFQVLSSEG >LPERR05G12000.1 pep chromosome:Lperr_V1.4:5:11748723:11753569:1 gene:LPERR05G12000 transcript:LPERR05G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKGASAAASSPSSAVSASASASGGDDARKGNGKGRKGRGRGLLQRLPSSSCFRGYSSPSHDDASSASPPPPPRRLFEFSKGEENGSLPSVAQMDKSEEDGPTIPKSHRGEGATLPSAHVNRNQDVDVLQNVTTANTAVEANRSPNHSDRSRPNFGVNFGLSRAVSLGSSVACSILSSDLSASANPDGGHGNVDSSSDANISQQVNTPTLGIDSTLNILRDSVTAQARAAHQARRNLLETDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRTVWSSGNASARQNPAVMQRTNSERSSELPLDSSTDSTTLREASTRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRASSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKLYRRSLKHQNEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASSIGKAT >LPERR05G12000.2 pep chromosome:Lperr_V1.4:5:11748756:11753569:1 gene:LPERR05G12000 transcript:LPERR05G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVTIQSNQIEEFSKGEENGSLPSVAQMDKSEEDGPTIPKSHRGEGATLPSAHVNRNQDVDVLQNVTTANTAVEANRSPNHSDRSRPNFGVNFGLSRAVSLGSSVACSILSSDLSASANPDGGHGNVDSSSDANISQQVNTPTLGIDSTLNILRDSVTAQARAAHQARRNLLETDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRTVWSSGNASARQNPAVMQRTNSERSSELPLDSSTDSTTLREASTRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRASSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKLYRRSLKHQNEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASSIGKAT >LPERR05G12010.1 pep chromosome:Lperr_V1.4:5:11755358:11760942:1 gene:LPERR05G12010 transcript:LPERR05G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRGVDCFEKLEQIGEGTYGQVYMAKETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRPLKRRVKEYFKHFDRLALDLLEKMLTLDPSQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKKQKTQHSQPHGRLPPIQQTGQPHPQIRPGQPLNNPHPPMSAGPGHHYAKPRGPGGPSRYPQGGNQGGGYPNRGGQGSGGGYGNAPYPQQGRGPPPYPGSGMAGTGGPRGGAGGGYGGGPNYQQGGGPYGPSGPGRGPNYTQQGGSRNQQQYGNWQ >LPERR05G12010.2 pep chromosome:Lperr_V1.4:5:11755358:11759510:1 gene:LPERR05G12010 transcript:LPERR05G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRGVDCFEKLEQIGEGTYGQVYMAKETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRPLKRRVKEYFKHFDRLALDLLEKMLTLDPSQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKKQKTQHSQPHGRLPPIQQTGQPHPQIRPGQPLNNPHPPMSAGPGHHYAKPRGPGGPSRYPQGGNQGGGYPNRGGQGSGGGYGNAPYPQQGRGPPPYPGSGMAGTGGPRGGAGGGYGGGPNYQQGGGPYGPSGPGRGPNYTQQGGSRNQQQYGNWQSPSFQLKDDELSWTHKLSK >LPERR05G12020.1 pep chromosome:Lperr_V1.4:5:11759735:11762950:-1 gene:LPERR05G12020 transcript:LPERR05G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGQLKTWVSDRLMALVGYSQGVVAQFVVRLARDCASAGDLAARLVEIGGFPSSPDTVAFAEDMFGRVPRNGGGGGDGDGVSEYQRQVQEAAAVAKKQSTFKLLDDEGGVDAGVSASSSTSVRKRFRRKEAVIEDDEASAMHDSGRNVRRRCSPEEDGDGDSGEEEEMDRDQIEKARLERNIRERDAASTRRPMDRKPTKREQDELARRSEAMDRNDDASELRRLSRHAYLQTRKEKKVDELRDEIVDHEFLFPGGFQLSAAEERDMRRKKEVYGLVQDRDKNNDGAGDDYYYRMPEAYDAAANIDQEKRFSVARRRYEEPEARDGKTAKAFTEQEAWEEQQIRKSMLQLGSTDRGRRGDEYELLFDDGVEFVRSSVMAGTVPDDAEAAMDAKATLQDERKTLPVYKLKDDLLKAIDEYQVLIIVGETGSGKTTQIPQYLHEAGYTAKGKKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSVRFEDCTSDKTVIKYMTDGMLLREFLGEPDLGSYSVVVIDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLNAEKFSDFFDSAPIFRIPGRRFDVAVHYTKAPEADYIDAAIVTVLQLHVTEPAASGDILLFLTGQEEIETVEEILRQRLRVLGGKVAELVICPIYANLPTELQAKIFEPAPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLLVAPVSKASAEQRAGRSGRTGPGKCFRLYTEYNFLNDLDADTVPEIQRSNLANVVLALKTLGINDLVGFDFMDPPAAESLLKALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASEKYGCSEEVITIAAMLSAGNAVFYRPKDKQVHADAARRAFHAAGNVGDHVALLNVYNAWRESGYSAQWCRESFVQHRTMRRARDVRGQLVALLERVEIAPCSAAGDLGAVRKAITAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGVAQAPPRWVVYHELVLTTKEYMRQVTELKPEWLVEIAPHYYERKDVDEPEVKKMTSTATGQEKTTTGATPTKHTPNLNSFFDV >LPERR05G12030.1 pep chromosome:Lperr_V1.4:5:11770146:11774762:-1 gene:LPERR05G12030 transcript:LPERR05G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSTHLSSLPRATATAPHTQLTFRRAPAPCRPLTATASDHRPHLPSTATRDDAAVASSLVDQLEHDVSRRGHDNAEEEEEYNDEDEEELYHHGARRRPQHRHQQHHHDGELAERWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPASRYCGSCKYPRRAFFDRLGMPHAARGYTVSRYLYATSNFRFPNFFSQSSRAGAKIWSQRANWIGYVAVSTDEESARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCDDPEVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVSRYASLGEDVSITVTGHSLGSALAMICAYDIAESRANVHGDRRAAEVCVYSFAGPRVGNARFKERFEEELGVKALRVVNVHDGVARMPGILLNEGAPAMLRRVAEGLLRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDGYHGRGERFVLATGRDPALVNKACDFLKDHHCVPPCWRQDENKGMVRAADGRWIQPDRHSWHLDDHDDHDDGHHHHHNTHHGYHHTNHNNSEGHGDAADGVHRRHIRIVRRQYNRS >LPERR05G12040.1 pep chromosome:Lperr_V1.4:5:11778947:11787431:1 gene:LPERR05G12040 transcript:LPERR05G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASTALLPRAGTLPFRSLLLPRHRHRLRRVGAGAAAAGGGGVSGGGSTGSGAASSSREPLRTLFPGGFKRPEIQVPALVLRVGADEALRSGDAVVAAVARGVGIVVLEAGEEGGGRVYEAALSLKASVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDSLSVMNSVRGTQHVKIPIFFTLSDLQSEGSYSDTTSRLLQSGASGIVMSLPGIQVLGDDIIERDFSKVDTTDNVLQAEYSSTSTLEEANNVTVLTREKAKVAGFTKLDEKVMQLISVEKPILSEAVAVIRKAAPMMEEVELLIDAACRLSEPFLLVIVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSEVGFLRYVQQWKKKVVFVLNKLDLYRNINELEEATAFVKENARKLLNTEDVTLFPVSSRSALEVKLLYSNNDGRENYGGALFNDPRWKNSKFYDLEDYLLSFLDGSTDNGKQRVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLASIKYLVSGVNNYAVKIEADSDSWQRQITSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKGTPTKVTSFFQNDILSPSLDDASNLLSEYSTWLSSTNIREANTYVECFHERWGTLIAEEERISQEKNELVNEGEKLCVKALDNFSASAAAKVFEEEIREVALGTFGGLGVAGLSASLLTSVLTSTQEDLLALALCSAGGFFAISNFPSRRKLALEKISKTAEKLSSKVDEAIQEDISQSANKLVHFVETISKPYQDACQRKIDWLQGVQGELSAVERKLQTLKVEIQNLHEL >LPERR05G12050.1 pep chromosome:Lperr_V1.4:5:11794034:11794771:1 gene:LPERR05G12050 transcript:LPERR05G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDSTPQPAAASGDWSSLYAAAPAEPMVKASPRHVLVAPAKKPAAYGTGAGRKVIIKNLEMCTEALGCETGGVDVIAAGEDVVVVEAAEGAAERKRREREEAAESEASRVRRALPPPLTTLTAARGRRVRMVQERRDGRLAVYAVRTGGVQAERCGGRLRLRLVPCAGCTNAAECHQKEKQLIRQEAEEVEEAMEHKHEAEEEEEEYGGFAKYVRGGRCVEAEVAAGEKWEPEQAARIWVVT >LPERR05G12060.1 pep chromosome:Lperr_V1.4:5:11798036:11803647:1 gene:LPERR05G12060 transcript:LPERR05G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAESPSPSSPSSSSGSDDFATLLDAELELTFAADPSASAASDDEEGGYEEEDVVVAVGEEDAIVEQSSWENADGNKIDHGGIFSRVYWRSYCSCSSKDVQIEVCPPHPGFFSGMCFRCGKRQDEEDVPGVAFGYIHKGLRLGASEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSTAEIELGIQSAASKDVPDRNLFTLDTMQMLTKLRPFVRNFLIEASNMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVWQKHKENLILMERYHYFASSCRQFGFGARSLSESMQDERETDGALATILDVLRRIHRIFFDSAVQNPLSSRDVRQVIKRVRREVLEGCKLVFTRVFPLHHRPQDQMLWKMAEQLGAVCCTDVDYTVTHVIALDLGTEKARWAVRNNKFLVHPRWIEAANFRWHRQQEEDFPVAPPREKSKENSASTKEKGKENAADAASSKEKGEENAADAARAKERSKENADDDAGAKEKGKENAVSAKERSKENADDDANTKEKGKEIADNGVNANEKSTSDDAASGATGS >LPERR05G12070.1 pep chromosome:Lperr_V1.4:5:11808578:11809783:-1 gene:LPERR05G12070 transcript:LPERR05G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGKDGGGGGGGGRSFPSPASSSSASSSEFEFTVTMSPASKQRSSAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSSRDSNGSTSSSFSADCAALLHPDSAASSSRPSSATDDDRHLTAPPPAAGGIPPAKPRSGKQYLSSFATRFSSVFHRAGAGGAGVPAAGNAKKPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQNFGAGNGNGQQQPPAQQQQQGLKKPFSFSMRKKRGDEEHAVAAAAAAVVDVVGGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGAGFPDVPAAAAAAMSMSGVGVSVGPVSLSTASSMEELQSAIEGAIAHCKSTMGGAAVPMCPRKAAAAAVAGDEICAF >LPERR05G12080.1 pep chromosome:Lperr_V1.4:5:11821781:11826253:-1 gene:LPERR05G12080 transcript:LPERR05G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQDRVSPHAAVAGGNGGGGQKTPTSPRGAPGADRRHPRPFAKNLDFVTWASEHSSKLLLLLFAVASAAAVFLLRGAAPDAAALLCLDRSRSASSSGPTRLPYPDVAWNAVPPLAIAAGTPFASFRAERWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAVQHGAKVIFDADDRAEVPGNDLGKHFDLDLGSGVANHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLIEWRSNKKTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLSVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKYLPKVFGRYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKIAVPMFFLAMDSPQNFDSDALAGTVFRTNLAGNETFSSIYTAQAPAVFPLKVLNEIDFIKLIRVMSTGDPLLMELMFLFSPVVYCCINSDNVTEDHLGPAALLKERTAKNLLRRVSPRSAVFSFGLFYDMISVPQLNSSVKSTVKLFPFSVL >LPERR05G12090.1 pep chromosome:Lperr_V1.4:5:11833840:11842143:1 gene:LPERR05G12090 transcript:LPERR05G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVGKRVLDTGWLAARSTEVSLTGEKLTTTDPPAADPDPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGREHYTFWFFTTFHCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLHPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTGIWDEVSISVTGPVKITDPYLVSTFYDDFKRSYLHCTLQLENTSSWLSDCTLKIQVSAEIEGNICLVEHLQSYEISVPPDSVLEYTIPPLFFYKPNLWWPNGMGTQSLYNVEISVDVNGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDLYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPIDINKALKNDLKLHPMFESNHTTNGSGKYLSQDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYRYGFNPEVGNVGVPVAATIRATMPSEGWSIPIFKKRIDGYIKEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELLNVAVEISVWDLDGASPYYRVTEKIAVPPKKVQRVMEMAYPKMKNPKPVYFLLLKLLKLSDNQVLSRNFYWLHLPGKDYKLLEPYRQKQIPLKIDSKISISGSEYKVRMSIENMSKKPEKANYISTMNLADANGSNRTGEEATAHEGHGSGLWRKIRRGLSITRSDNNIRTVEVNGADSGVAFFLHFSVHTSEPSYSQDVYKDTRILPVHYSDNFFSLIPGEKMAIDMSFEAPLGSTPRVILKGWNYHLDHAVTI >LPERR05G12090.2 pep chromosome:Lperr_V1.4:5:11833840:11842143:1 gene:LPERR05G12090 transcript:LPERR05G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVGKRVLDTGWLAARSTEVSLTGEKLTTTDPPAADPDPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGREHYTFWFFTTFHCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLHPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWICPIRGRICTLENTSSWLSDCTLKIQVSAEIEGNICLVEHLQSYEISVPPDSVLEYTIPPLFFYKPNLWWPNGMGTQSLYNVEISVDVNGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDLYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPIDINKALKNDLKLHPMFESNHTTNGSGKYLSQDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYRYGFNPEVGNVGVPVAATIRATMPSEGWSIPIFKKRIDGYIKEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELLNVAVEISVWDLDGASPYYRVTEKIAVPPKKVQRVMEMAYPKMKNPKPVYFLLLKLLKLSDNQVLSRNFYWLHLPGKDYKLLEPYRQKQIPLKIDSKISISGSEYKVRMSIENMSKKPEKANYISTMNLADANGSNRTGEEATAHEGHGSGLWRKIRRGLSITRSDNNIRTVEVNGADSGVAFFLHFSVHTSEPSYSQDVYKDTRILPVHYSDNFFSLIPGEKMAIDMSFEAPLGSTPRVILKGWNYHLDHAVTI >LPERR05G12100.1 pep chromosome:Lperr_V1.4:5:11843335:11850890:-1 gene:LPERR05G12100 transcript:LPERR05G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAQHQHQHHHHHHNPKPPVPRSSSWIRRSPPPSPPHKKLWVVGGGGGRSRYVCRLVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEASFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGMESALCCDRSHYRSDVCYLRGDVRTDPSTSSVMLYNAPRGSAAVEKVRPYTRKFEPSIMSTIDEVTIVPVVDDATTSNGTTATGDAAAAKDSLRRRCDVRHAPGVAAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKIVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTPSSSSSASAQPPVPLPLASPSRPCLRPADDHAASSKPSSKPKLVIFIRKQNRVILNLPHVVTACRRAGFAPHVMNLRRQTPLPAIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYAEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNVKRFGELLRAARLHLKNATACGRAAAAATKTTAAVR >LPERR05G12110.1 pep chromosome:Lperr_V1.4:5:11869520:11880108:-1 gene:LPERR05G12110 transcript:LPERR05G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEEERTPLLLHCGHGFCRACLARMLANATGAVLPCPRCRHPTAVGNSVTALRKNFPILSLLSSSPSSPSFLHSESGSSSDGSDDDDDDFFGRPTRRSSSAAAAPSSSSLQPAAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGGGGGTKRCKHPVAVKRVPVPAGDGLEGVQEEVERLRRAATWCRNVSTFHGAVRVGGHLCFVMDRYVGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGMDATLLSPNYTAPEAWGPLKKSLNLFWDSANGILPESDAWSFGCALVEMCTGAVPWAGLSAEEIFKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRYLLDIPRSPPASPENDFTNASLPNGIDAPPTSILDMVHDNPNALHHLVCEGDAAGVRNLLAEAASDRNGCLIRSLLEAQNADGHSALHLACRRGSAEIVEAIVAYQENVDILDKNEDPPIIFAMAAGSPQCVRALVRRSSDINSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVILENGGCRSMAIPNSLNKTPLHLCIETWNADVVRRWVEVASIEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDDPHCRTALHTAAMINDAELVKIILDAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQIGWTLRDFLERLPREWISEELMETLEDKDVHLSPTIYEVADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDQLVVSFCSGEARVLTSEVIKVIQLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAQSWQADPSDMEKIENLKVGDWVRVKATVPSPKYGWEDVNRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRFKSSIGSRPTYDWNLVGKTSIAVVHSIQDSGYLELAGCFRKGKWLTHNTDIDKVEPLKVGYHVQFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDIWDGTIHVAFCGEQERWIGPSSHLEGVTKFVVGQRVRVRLSVRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVTTPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERLWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGVETYESKGEVVGVDANGKLRIKFRWRDGVWIGDPADIILDDIPSLTEEASNGFCS >LPERR05G12110.2 pep chromosome:Lperr_V1.4:5:11869522:11880108:-1 gene:LPERR05G12110 transcript:LPERR05G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEEERTPLLLHCGHGFCRACLARMLANATGAVLPCPRCRHPTAVGNSVTALRKNFPILSLLSSSPSSPSFLHSESGSSSDGSDDDDDDFFGRPTRRSSSAAAAPSSSSLQPAAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGGGGGTKRCKHPVAVKRVPVPAGDGLEGVQEEVERLRRAATWCRNVSTFHGAVRVGGHLCFVMDRYVGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGMDATLLSPNYTAPEAWGPLKKSLNLFWDSANGILPESDAWSFGCALVEMCTGAVPWAGLSAEEIFKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRYLLDIPRSPPASPENDFTNASLPNGIDAPPTSILDMVHDNPNALHHLVCEGDAAGVRNLLAEAASDRNGCLIRSLLEAQNADGHSALHLACRRGSAEIVEAIVAYQENVDILDKNEDPPIIFAMAAGSPQCVRALVRRSSDINSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVILENGGCRSMAIPNSLNKTPLHLCIETWNADVVRRWVEVASIEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDDPHCRTALHTAAMINDAELVKIILDAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQIGWTLRDFLERLPREWISEELMETLEDKDVHLSPTIYEVADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDQLVVSFCSGEARVLTSEVIKVIQLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKCDAHVSANFQIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAQSWQADPSDMEKIENLKVGDWVRVKATVPSPKYGWEDVNRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRFKSSIGSRPTYDWNLVGKTSIAVVHSIQDSGYLELAGCFRKGKWLTHNTDIDKVEPLKVGYHVQFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDIWDGTIHVAFCGEQERWIGPSSHLEGVTKFVVGQRVRVRLSVRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVTTPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERLWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGVETYESKGEVVGVDANGKLRIKFRWRDGVWIGDPADIILDDIPSLTEEASNGFCS >LPERR05G12120.1 pep chromosome:Lperr_V1.4:5:11884332:11893741:1 gene:LPERR05G12120 transcript:LPERR05G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDFDAEADGGGGASSGSSSPSSSSSSSAAGSSSSSGASSGRSSSGGAGGGGGEGEGEDGADQGDAREYDDDPFDIVPARAAGGYGDEERGEEYVEEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSIRNTNNHPRGGFGGRNGRGGGLLPRPGGHPGRHNFGYGRFSHGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYAGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTIPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLLERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVPYVVVGFRNDKDEDYILQFAQPFNRLELLRAQSPCPDAITQHVEQLSGTTG >LPERR05G12130.1 pep chromosome:Lperr_V1.4:5:11894248:11897770:1 gene:LPERR05G12130 transcript:LPERR05G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAATYWDARYSSPSSTGGSGGGRGGEFFDWYQTYPALRPLLRARLPTSSRMLMLGCGNSLLSEDMVKDGYEEVVNIDISSVVIEQMREKHVDIPQLTCNLIFIMDVRDMSFFGDETFDCVLDKGTLDAMMCGNDAPHGASKMLEEVARLLRPDGVYMLITYGAPKERVPLLYQAGCDRKIKLYIMPTPGYQLKRSNGAPLTETIMEEVALTEEGQLPPDYVLKDPESHFIYVCQKSDAANGAPVKSCSQSHNGMHMKTNQISVD >LPERR05G12130.2 pep chromosome:Lperr_V1.4:5:11894246:11897770:1 gene:LPERR05G12130 transcript:LPERR05G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAATYWDARYSSPSSTGGSGGGRGGEFFDWYQTYPALRPLLRARLPTSSRMLMLGCGNSLLSEDMVKDGYEEVVNIDISSVVIEQMREKHMDVRDMSFFGDETFDCVLDKGTLDAMMCGNDAPHGASKMLEEVARLLRPDGVYMLITYGAPKERVPLLYQAGCDRKIKLYIMPTPGYQLKRSNGAPLTETIMEEVALTEEGQLPPDYVLKDPESHFIYVCQKSDAANGAPVKSCSQSHNGMHMKTNQISVD >LPERR05G12130.3 pep chromosome:Lperr_V1.4:5:11894248:11897978:1 gene:LPERR05G12130 transcript:LPERR05G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAATYWDARYSSPSSTGGSGGGRGGEFFDWYQTYPALRPLLRARLPTSSRMLMLGCGNSLLSEDMVKDGYEEVVNIDISSVVIEQMREKHVDIPQLTCNLIFIMDVRDMSFFGDETFDCVLDKGTLDAMMCGNDAPHGASKMLEEVARLLRPDGVYMLITYGAPKERVPLLYQAGCDRKIKLYIMPTPGYQLKRSNGAPLTETIMEEVALTEEGQLPPDYVLKDPESHFIYVCQKSDAANGAPVVADEEIITSK >LPERR05G12130.4 pep chromosome:Lperr_V1.4:5:11894248:11897770:1 gene:LPERR05G12130 transcript:LPERR05G12130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAATYWDARYSSPSSTGGSGGGRGGEFFDWYQTYPALRPLLRARLPTSSRMLMLGCGNSLLSEDMVKDGYEEVVNIDISSVVIEQMREKHMDVRDMSFFGDETFDCVLDKGTLDAMMITYGAPKERVPLLYQAGCDRKIKLYIMPTPGYQLKRSNGAPLTETIMEEVALTEEGQLPPDYVLKDPESHFIYVCQKSDAANGAPVKSCSQSHNGMHMKTNQISVD >LPERR05G12140.1 pep chromosome:Lperr_V1.4:5:11898060:11904694:1 gene:LPERR05G12140 transcript:LPERR05G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDDDAGVKRVADRYLKREVLGEGTYGVVFKAIDTKTGHTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVPAPPLRSLFPMASDDALDLLSKMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTDEHPSGARHMDDMSGQSARIPMSVDVGAVFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >LPERR05G12150.1 pep chromosome:Lperr_V1.4:5:11911117:11918342:1 gene:LPERR05G12150 transcript:LPERR05G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNADRIGIDRGNDKEHRSVAGGDGDRIEEHCENGEASVVNGGDGRDGCNMDKEIIAREDTENRGKTELAVVDSVNEELAPYEHDDDYDDEMLEEKLVGDVIRAYSNGADLDSNGVDWEAEDEMGFDDDNDDEDDDDFRGDANEGDKSVESHDFSKVEAQDLVNHNVDGVRHHEDEEGQEATKDEVESRGEQALSFNQGSLPIEILDSDEEIKVVNDTRNFLRKKPSVQAKLPIVPCVAWRTRSSWGMKEDRFSYNTYFEALSDEPKEDDDDTEVELDDEDEDEDDENGDDSNSASCDEEDQEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAGCGIDWEEDGYAEVDFTRPLTFQKSGSEDPVGSEAFTQQQKRSRFTWELERRKKLKLGMVKSHRLRERNLESDSNSSSSSQDRKNGCQGSSDCKSGRKKKDPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSSPFDQKPRIEFSFKDLHPLVFSFGDDDPVPTNRPEQDAELDKLWADLDFTLESENIGTYYDDEGQESSLQDLALASITPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWQNSSCLKSALMCPDLYEQTGTGDGQPQDFHVNGTVWDLIPGVITSMYQHQREAFEFMWTNLVGDIRLNELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWNVNVPFHIMNTTDYSGKEDREICRLIKKEHRTEKLTRLVKLFSWNKGHGVLGISYGLYMKLTSEKSISTGENKVRSILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHYVSKKRRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHSGTILRTLPGLRECVIVLKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASVINKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISKDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIASELS >LPERR05G12150.2 pep chromosome:Lperr_V1.4:5:11911117:11918342:1 gene:LPERR05G12150 transcript:LPERR05G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNADRIGIDRGNDKEHRSVAGGDGDRIEEHCENGEASVVNGGDGRDGCNMDKEIIAREDTENRGKTELAVVDSVNEELAPYEHDDDYDDEMLEEKLVGDVIRAYSNGADLDSNGVDWEAEDEMGFDDDNDDEDDDDFRGDANEGDKSVESHDFSKVEAQDLVNHNVDGVRHHEDEEGQEATKDEVESRGEQALSFNQGSLPIEILDSDEEIKVVNDTRNFLRKKPSVQAKLPIVPCVAWRTRSSWGMKEDRFSYNTYFEALSDEPKEDDDDTEVELDDEDEDEDDENGDDSNSASCDEEDQEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAGCGIDWEEDGYAEVDFTRPLTFQKSGSEDPVGSEAFTQQQKRSRFTWELERRKKLKLGMVKSHRLRERNLESDSNSSSSSQDRKNGCQGSSDCKSGRKKKDPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSSPFDQKPRIEFSFKDLHPLVFSFGDDDPVPTNRPEQDAELDKLWADLDFTLESENIGTYYDDEGQESSLQDLALASITPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWQNSSCLKSALMCPDLYEQTGTGDGQPQDFHVNGTVWDLIPGVITSMYQHQREAFEFMWTNLVGDIRLNELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWNVNVPFHIMNTTDYSGKEDREICRLIKKEHRTEKLTRLVKLFSWNKGHGVLGISYGLYMKLTSEKSISTGENKVRSILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHYVSKKRRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHSGTILRTLPGLRECVIVLKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASVINKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISKDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIASELS >LPERR05G12150.3 pep chromosome:Lperr_V1.4:5:11911120:11915999:1 gene:LPERR05G12150 transcript:LPERR05G12150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNADRIGIDRGNDKEHRSVAGGDGDRIEEHCENGEASVVNGGDGRDGCNMDKEIIAREDTENRGKTELAVVDSVNEELAPYEHDDDYDDEMLEEKLVGDVIRAYSNGADLDSNGVDWEAEDEMGFDDDNDDEDDDDFRGDANEGDKSVESHDFSKVEAQDLVNHNVDGVRHHEDEEGQEATKDEVESRGEQALSFNQGSLPIEILDSDEEIKVVNDTRNFLRKKPSVQAKLPIVPCVAWRTRSSWGMKEDRFSYNTYFEALSDEPKEDDDDTEVELDDEDEDEDDENGDDSNSASCDEEDQEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAGCGIDWEEDGYAEVDFTRPLTFQKSGSEDPVGSEAFTQQQKRSRFTWELERRKKLKLGMVKSHRLRERNLESDSNSSSSSQDRKNGCQGSSDCKSGRKKKDPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSSPFDQKPRIEFSFKDLHPLVFSFGDDDPVPTNRPEQDAELDKLWADLDFTLESENIGTYYDDEGQESSLQDLALASITPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWQNSSCLKSALMCPDLYEQTGTGDGQPQDFHVNGTVWDLIPGVITSMYQHQREAFEFMWTNLVGDIRLNELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWNVNVPFHIMNTTDYSGKEDREICRLIKKEHRTEKLTRLVKLFSWNKGHGVLGISYGLYMKLTSEKSISTGENKVRSILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHYVSKKRRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHSGTILRTLPGLRECVIVLKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASVINKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISKDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIASELS >LPERR05G12160.1 pep chromosome:Lperr_V1.4:5:11925926:11936214:-1 gene:LPERR05G12160 transcript:LPERR05G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQRLIQRQRRKNAESGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERAGKSRSPKTMLGALHAMWNKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKKIKSV >LPERR05G12160.2 pep chromosome:Lperr_V1.4:5:11925928:11929272:-1 gene:LPERR05G12160 transcript:LPERR05G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQRLIQRQRRKNAESGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERAGKSRSPKTMLGALHAMWNKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKKIKSV >LPERR05G12170.1 pep chromosome:Lperr_V1.4:5:11944546:11948327:1 gene:LPERR05G12170 transcript:LPERR05G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALVVLLLAALLGLAAAQPPPFRGFHYLLDCGGAASTTDSRGLSWIPDGAYVTAGEPLRLTDQGLLDPALATRRVFPFRPGAKFCYELPVDRNRRYLLRPTFFYGASPSSSPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPEYTDAGPFINALQVIQLHDSVYNATNFTSNAMGLIARTKFGSTDDVQRYPDDSFDRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPISLQNDSYYVALYFADTKSENSRTFDVYIDDYLFYRGLTVTSAGLSVFATQWVLSGLSRVILTPVSGLPPLINAGEVFGLFSLGGYTLPRDARALESIKRSLQNVPDDWNGDPCLPHGYAWTGVTCDTGPMPRVISLNISSRGLSGYLSSDIATLTALIDISFANNSLSGPIPNLGNLTKLQRLHLQDNKLNGTIPLTMGTITSLREIGFGDA >LPERR05G12180.1 pep chromosome:Lperr_V1.4:5:11951763:11960878:1 gene:LPERR05G12180 transcript:LPERR05G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPSSHSCSAAAAAPFAAVAAAAASAMPHPSRSTGGGGGGHVSPSVFPSSASASCRHTPSSATLDLLILLLVLFSVAFLLASSLAHVSRSLSPLLASPAAAAVLASGAAAMPYLGAAAALAGATFLSCSRLPRRRCRNPRCRGLVKALEFDVQLQTEEAVRAGTGSTTGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKR >LPERR05G12190.1 pep chromosome:Lperr_V1.4:5:11961031:11971313:1 gene:LPERR05G12190 transcript:LPERR05G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAALPAFASLLPSSSSPPRGRILFHCPFPPRRSSLRPVKLLPAISAVEKAKGTAAEAEEVELEGMPPEFYDEDWQAHQRERTKEWHAYRKKEEAEEERITNEYREIGMRLKAYPQEDVCKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDIIIVESAENAFKRQDERDAIRSLDLLYRRVEMEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEKHQGQIKLPPQDDDVLLRVDFIREVDELLKEVKVEQENNKIPTSNDPEAVATKLKYQEKMQTVRQVESLLELAGSLKW >LPERR05G12190.2 pep chromosome:Lperr_V1.4:5:11961031:11971824:1 gene:LPERR05G12190 transcript:LPERR05G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAALPAFASLLPSSSSPPRGRILFHCPFPPRRSSLRPVKLLPAISAVEKAKGTAAEAEEVELEGMPPEFYDEDWQAHQRERTKEWHAYRKKEEAEEERITNEYREIGMRLKAYPQEDVCKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDIIIVESAENAFKRQDERDAIRSLDLLYRRVEMEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEKHQGQIKLPPQDDDVLLRVDFIREVDELLKEVKVEQENNKIPTSNDPEAVATKLKYQEKMQTVRQVESLLELAGSLKW >LPERR05G12200.1 pep chromosome:Lperr_V1.4:5:11969299:11971716:-1 gene:LPERR05G12200 transcript:LPERR05G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAPPAPLGSCPRGGGGVTPPRRAIHQRGTLARAARSSRYRFRTDDDGVVDVAVSGEEGGDGGGGGYAVSVEVPRMPGGALRREGGLVLRASGSGEGVPLAPADSGASLAAELSFDAPRAPFYLSFLLTDAAGAEIRTHRRTSFRVPVGVRPGIPAPLGMSISGDGGVNFAVYSKNANAVALYLYAAAAAGDDPALEIDLDPYIHRTGNVWHVSLENVKGYVSYAFCCGGIRHPLLDPYAKVIGDFVSGNSVYDEGVTAPSMKCFASLASVPRYNWGRDRRPCLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFSGLAAKIEHFRSLGVNAILLEPIFPFHQVKGPYFPYHFFSPMNLYGSEGSSVSAIKSMKDMVKAMHRSGIEVLLEVVFTHTAEGESECQMISMRGIDNSLYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPYLARGPSGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNMQFPFPHWKRWAEMNTRFSIDVRKFLKKEALISDLATRLCGSGDLFSTRGPAFSLNYVSRNSGLSLVDLVSFSNDELLSESSWNCGEEGPSEDNAVLQTRLRQIRNFLFILFVSLGIPVLNMGDECGHSAAGSVSYRDRGPLNWRGMKTTFVKEVTGFISFLSALRSRRDDIFQRREFLKLENIHWYGSDLSEPGWDDPTGNFLCMHINAEVNEMAAGSVRGDLYICFNANEESVSATLPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVGAHTCVLFESKRVTA >LPERR05G12210.1 pep chromosome:Lperr_V1.4:5:11973585:11979431:1 gene:LPERR05G12210 transcript:LPERR05G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRHLGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWTSGTLENEKSLSYDQIDKLSISNLGKGSKRFWAHIAMAYVFTFWTFYVLYREYKVVTTMRLRFLANQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVENKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYSSAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSIRRLIMAVALFFLTFFFMIPIAIVQSLANLDDIERLLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTILMTMSKIEGHISLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKIPEIVGESIPMKATFFVTYVMVDGWAGVAAEVLRLKALVMFHIKNAFLVRTERDREQAMDPGSLDFGTMEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRIVIALIVSQILLLGLLSTQEAEKSTVVLIPLPVLSLWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYMHPVFLENDIFEHVAIDEEEKNPLVATKRRSRMNTPVDSKFNSSSGTNEGEFSRMPPT >LPERR05G12220.1 pep chromosome:Lperr_V1.4:5:11979941:11981596:-1 gene:LPERR05G12220 transcript:LPERR05G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSLPRLLLRCLSSGPHHRDHRLSHLLRFASLAKELSVRPRPHPPPPPPPSRPRSPHPYDYNRLMSAHAAESRDGAGSDRALHLLDEMRGPLGRRPDAACFTTAAAALSAASRPGDAIAVLDAMASDGVVPDTAACTVLVGVYACRLRRFDAAYEVVRWMVANGVAPDVVTYSTLISGLCGAGQVAEALGVLDLMLEEGCRPNAHTYTPIMHAYCTRGRIHEAKRLLSTMIAGGFAPSTVTYNVLVKGLCKVGAFKEVDALLEESSAKGWEPDAITYSSYMDGLCKAGRIDESFALVDKMLSNGLQPNEVTLNILLDGVCRSSTAWAAKQLLECSAELGWDCNVVNYNTVMRRLCDERRWFSVVKLLFDMAKKGISPNSWTFNIVVHSLCKLGKPHKALCLLKSEEFVATVITYNTLIRHRSVAGKADEVFLLLHQMIEEGIAPNDITYSLVIDCLCREEKFLVALCCFYRSLQDDFFPSAFLSIVRGLIVGGMLGELHTLIGCVLGQGFIIEVCIYQELIKAFCRKGYCQSVEMYKVCHILERMLSLR >LPERR05G12230.1 pep chromosome:Lperr_V1.4:5:11998569:12004085:1 gene:LPERR05G12230 transcript:LPERR05G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQWMKSAVAARNAFAAAHSAYAMSLRDTGAALSEFAHGEGVPPPPPPGAAAEEEAAAVAGGGAPGVGIGGAGVPGVSPTSAAVDAMMPPPPPNIDSLPPPPPPLPEFSPSPAKIHRSKSMPLPPQTKGHAVLHGDSIREEEEEEEGEAEIEEEEEEDDAHLDDRRRRLRHRPQVPPLVSSPAPETPVTPQPPPPPPDSKPGIDTWDYFFSMDEGMASIAPDDDEIIQEPEDEKYVPASPPRPPPSPPPPVAAPVPQDEFEEEPRTPEMATPPPSLPPRPPAVSSKKKGKGKHRAAHHQHTESAPPVTLVGGSGKAGKVVPAELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHQNQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTANFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDIAKGAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESGEGTSQKDVVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSHNSFDMYSKLRLMSLMQDQGNN >LPERR05G12240.1 pep chromosome:Lperr_V1.4:5:12043212:12048315:-1 gene:LPERR05G12240 transcript:LPERR05G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKRPLSEHVSSGTSAAHNDGAYDSGSSSLSAKGKEKSTGDDFLDALVEVFPQVNFLTLVEVCMEYKNDIDGAVDYIIHNVLPRITHDYNANENKVSLMKVDNFAHHDSVQADVSVAAEEHKDSLDSIASSTHDCGAYEHKDSLVGVASSAQDCLFGGLYTSSYNSKIETSFFEDELTTNGDGIPDLTTQSSYSVKLESLDNSIADVNYNKMTLMSNVATVNQMLDDIKLKEVESKQVALETTQAGNDILVKIEELKEKAILVAEENDKVSGEVSAEQSILASEAQGLEARLSNISQESNHYVLIIDEEMLFYATMQRSNKLEKQEHENVKLRKLLMDRGQVVDTLQGEMIGLLEKISQLQLKVNMELPKPMQGSSSISSSAKSIDGVIQLQCRVDEPQLSEDMQPASPRLFSNSVKSTDNIAQAHCKIDEPQLFVCEPEVSNDEASSSLSSLLKSTDNISQLLDQVIDVNFPMEKSLQVASSSFCSSMKSSAGETLQLPSAALSSLEKSTTSKSWSSTVESKPVFYDDEDIDDASSHTNFGLDDSWDVVDDEFIYMCAN >LPERR05G12250.1 pep chromosome:Lperr_V1.4:5:12050226:12052031:-1 gene:LPERR05G12250 transcript:LPERR05G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYLFLLLSPLLAAPAIGADEEVPNRYAVRRAPPGGAGVNAAAQEYLDPTFPLPRPPAAAPHCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSAYCAGDQYDRVAAVWLDGAELLRTTTAEPTPDGVGWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPFLSSSPAGGVASNDPEAPPLPDSYFQPADLIIPISDGKGNGKNGFWFRIQNASDSHSKLVTIPSSTYRAVLEVFVSPHSNDEFWYSNPPDIYIKENNLTTPRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVTALGAFDLPTYDIELTPFLALLVDSNAHDIGLSVVDGIAEWLVDANLHLWLDPSSSDVHAALGPYQTPRLKLSRHYSTRLLDGKFKIKAKRKSSFSGWVKSSFGNFTTEVETELKATSYVEFANEGRNKTVSLEVKQETKTLIRADTRRVIGKVEKEAKYPLSFFTETEDGENGTSIVTVSLSHGLNVETEFETKGFENKEKLDDQQTAMGWMVVVDHDVVNGSVTTTQTYRFSDEEREYERMIDVMDGAVLSDNVTESFSAMAGIAVV >LPERR05G12260.1 pep chromosome:Lperr_V1.4:5:12058570:12068805:1 gene:LPERR05G12260 transcript:LPERR05G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGRARAASLXXXXXXXAVRVAAATARPASSVAAAAGMSFAALVVEGEDTPFGTLWWWSYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKNLPLSDKTESNKKVTGSPQLTALLLSNTEDRESVVVDIEKPQSRQVNGNKPRSMQQNDTPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKNVGAQNRQGQPTGILKKPTDSNPSKHQVNLVEPLLENKR >LPERR05G12270.1 pep chromosome:Lperr_V1.4:5:12073838:12092753:-1 gene:LPERR05G12270 transcript:LPERR05G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGLVPPGRVLPAAGFLGRLHVLVVDDDAACLEDLKLLLLLAGYSVTGKTTAEEALQEVERNSEGYFDVVMTDVHMPGMDGFDLLRGVRGRFPVIMFSDAEDVLTMMRAVMDGACDYMVKPVTSQAIKSIWMHVMRRRLSVTHPQPSPLPDNRFAPPALPAGISRGDGQVAALLPQPPAPAPAPVGNTGSDVQEAALAQLLAPAENGRGDVQEAAKAAVVAVAGSSRRGGHGKRASKKRGSPVVLSDESSNNCEPTGKKARARFNWNRASHSVFFDAYNQLKDEASPKRIKELMEREGIFVTVAQVSSHLQKFRRWLETASNQEEAVRSPNNILSKSQDTRHYQWSRQSIISEGPLAGMVSSRPVHPMAASKSHLTVQSNYVGLGCTQIEDFISNHRRSEETRQPIEQSQSCATNYLRVINDSNHMTHEMSAPRAAPAPLGYDNNVDWPEFGSLDDLQDNDMLMNSLLNGHLQQDDENQEMLHVLVVDDDAACLEELKLLLLLAGYSVTGKTTAEEALQEVERNSEGYFDVVMTNVHMPGMDGFDLLRGVRGRFPVIMFSEGDDVLTMMRAVMDGACDYMVKPVTSGAIKSIWMHVMRRRLSATHPQVSPLPDNRLARPALPAGSSRGDGQVAALLPQPLAPALAPAPAGNTGGDVQEAALAQPLAPAENRRGDVREAAVAVAESSHRGGHGKRASKKRGAPVVLSDESSNNCEPTGKKAWARFNWNTVSHSVFFDAYNQLKDEAIRSPNNILSKSQHTGHYQWKRQSVITEGPLAGMVSSRPVHPMAASKSHLTVQSNYVGLGCTQIENFISNHRRSEENKQPMEQNQSCATNYLRVINDSNHMTHEISAPRTAPAPLGYDNNVDWPEFGSLDDLQDNDMLMNSLLNGHLQQDDENQEM >LPERR05G12280.1 pep chromosome:Lperr_V1.4:5:12117894:12120755:-1 gene:LPERR05G12280 transcript:LPERR05G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMCVLCLAVFSEDEDVRTVMRAVLDGACDYIVKPLTSDVTRRIWMHVLRWRLGALRPQASSPSPSIDRQPAATAPPLALTWNRRDGGQDAELAPQPLPVPEGNMVGVVAGSSRGENSQQAAAKAALKKKGAMEVSDEGSNNFEPTQERKKARDRFIWTTDAHSAFVRAYNHLKDEAGPKRIKQLMELEGIFVTKSQVSSHLQKYRGWLETRNNHQVGRSPYVLLNNYNINDVISHCHLKRNSILTEGPLTGMFSRRPVHSIATSNGRPATSQSHYEGVGHKEIDNFISNHQTYQGTAISHGSVIRHASFRSEVTSAGHDGIAQASTSAMRQPTQTSQNCAANLRAINDPKPITQEMSDPQIAPASLGYSNDVMSDWTEISRLDDLLDNDVLMNNLFDGDQLQQDVVTALDETQEVTAFHINNDFRSVQSEGLNNDFPNYEITNGMNGASGGDLTEGMLNDPTLQGDDYDILNYLE >LPERR05G12290.1 pep chromosome:Lperr_V1.4:5:12120911:12122060:-1 gene:LPERR05G12290 transcript:LPERR05G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVVVVPPGCKLPAAGFLGRLHVLVVDKDTSYLEELKLMLLLSGYSVTGKTTAEEALQELDRNKEDYFDIVMTDVHMPGMDGFNLLRGVSGRLPVIS >LPERR05G12300.1 pep chromosome:Lperr_V1.4:5:12130427:12132229:-1 gene:LPERR05G12300 transcript:LPERR05G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHTAIAAGDATKKADPNKLSCCGVGVGVGGGGDLHFLPKKLVGGGGPSALKLALVSFLGVILVLAVDLSLTGAGAHRGLRRQYQHYLAGGGAVAVVVSGNGEGEGLNSNALPWLTVPNPSNFTEELLDRWLTPGGSPCRDSRTANISIPNLDDAAAAGEATELGAPEIHEFTFWALDDAGRRRCLGGDFFEIDLSGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGGFRLTIVLLFRSFEGLKFSSLRFKHRAELRRIPLLFHRSDASPSLPALETCRAADFARDVWSGRWTRLAKNDNCEEVDDAGRYRCLEPEHPCEAPWCAGPLGALESNGWVYSAHCSFKLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVADTSAVTRRFDAVFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRHKGFRRLVSSYFVGDDRAPDVVILNSGLHDGCYWTSVRAYVQAAEYAAQFWAGVMADVRAHGHAVPRVFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLKRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGKIGHQYFVDLMLGHVLLNAICNG >LPERR05G12310.1 pep chromosome:Lperr_V1.4:5:12142393:12144743:-1 gene:LPERR05G12310 transcript:LPERR05G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDEAADFGASHFPAAANAPFPGFSLLAHVPMGASMVAPPAYTLYHHAASYNNNNSGSIGAGDAVRAWQQQQQCGSKGTGVFIPRSSPGSVHPKKKSRSKNAVHKAVRGQAAPAKKQSSHK >LPERR05G12320.1 pep chromosome:Lperr_V1.4:5:12147740:12150767:-1 gene:LPERR05G12320 transcript:LPERR05G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPMSRTPTDASSPSPSTPVTIYYFAKEGKDSSFSSVYPELQKPTKIPFQKGLAQKFVQPSGSGVDLGFFSLDELSKTSGEVFPLVIYAEACPPDEQGHQPVNSIRAQITLAVIEKHNNELQVKVVKQILWSDGVKYELQEIFGIVNSTEADVPDADDADMGKECVICLTEPRDTAVFPCRHLYAVHVEDP >LPERR05G12320.2 pep chromosome:Lperr_V1.4:5:12147740:12150714:-1 gene:LPERR05G12320 transcript:LPERR05G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKECVICLTEPRDTAVFPCRHLYAVHVEDP >LPERR05G12330.1 pep chromosome:Lperr_V1.4:5:12152870:12165800:-1 gene:LPERR05G12330 transcript:LPERR05G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSISLLLTPTLTLSSPSHRPPPLRSHHHGHLNVRTPKRQGFPSPRLLHDAAAARVSASAAYPVEPPGDEGVGAVGGRRRAAPGYRNRFLDLARLGAVAEGAAEAFFRSEIRRRLAVTAALILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLSHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFAIVAAVTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLAQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASAARNESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTPFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >LPERR05G12340.1 pep chromosome:Lperr_V1.4:5:12165914:12170019:1 gene:LPERR05G12340 transcript:LPERR05G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSFPTCSGGVAFGRTRRAPSPSPRCAASRRLRPVSAQGGGGGGGGDARGMLDPLATPFQILGLDTSASYSAAQLKAAFRSRVKEFHPDVCKDTENADLIMKRVLEAYEILSGNQGMMIERNNVDPFDEPECEACDIFVNELLCIGTGCPYSCVKRAPHAFAFADDTGTARAISQGLGNDYNVQLAVGQCPRKCICYVTPCQRIILEEVLASVLMTPYDLSEAAVLDSLLSKATFENNRYKKPKRETKSSSDYVDWM >LPERR05G12340.2 pep chromosome:Lperr_V1.4:5:12165914:12170019:1 gene:LPERR05G12340 transcript:LPERR05G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSFPTCSGGVAFGRTRRAPSPSPRCAASRRLRPVSAQGGGGGGGGDARGMLDPLATPFQILGLDTSASYSAAQLKAAFRSRVKEFHPDVCKDTENADLIMKRVLEAYEEQCCPYSCVKRAPHAFAFADDTGTARAISQGLGNDYNVQLAVGQCPRKCICYVTPCQRIILEEVLASVLMTPYDLSEAAVLDSLLSKATFENNRYKKPKRETKSSSDYVDWM >LPERR05G12350.1 pep chromosome:Lperr_V1.4:5:12171107:12176920:1 gene:LPERR05G12350 transcript:LPERR05G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPASSSPPPPPPAASPSRQPLGEEEGVESVEVECVDPASSSTPSPPASPSRQPLGEEEGAERVGVERVDPPIPDCGGEADPSHAPQPSPASVLPPPKGEEPVVSTEEGKAAIAGDEGEALRSFLEEFGDQGDDSLIPSPRLKKIDSPDRLAALRFLGGKYNRLLERYKQQVAKCAEECEPRYDGLKKKYTDECAERRRLYNELIELRGNIRVFCRVRPLSANEISNGSSSIVEIDPSHETELQFVPSDKDRKVFKFDHVFGPSDDQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPEDRGVNYRALEELFRMSKERNSSIAYTFAVSILEVYNEKIRDLLDESFEQGKKLDVKQTADGTQEVLGLIEAPIYTIDGVWEKLRVGSKNRSVGTTNANELSSRSHCLVRVTVRSEHLVTGQRCRSHIWLVDLAGSERVAKTEVEGERLKESQFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKLRHEEKENSKLNESLQMMQLKYASRENVFKTLQDKIREAEQTSKTFQQRIRDLENELANEKRAARDTTRSAKPPLAPSRQRPPLGRISNMPPSAPPLGPRFSKAHTIQNKENVAVMNKTSSFGADTSKAVGKARRVSLTRVIRQVPLQTKRRSSFAVLPSQREQLSVLPEKRTLSRLSHNQMPRRSSIAIFNSIPGTPVAAAARAQVDSTPDARVSRTIEFSGSKFGSPQMLDMWKSRNNALASGSGNASKVCYSIQKRLLLGSPRPVKSSLFSGAGIPNPPLREKMMVGKAQRVFNTNRRKSVL >LPERR05G12360.1 pep chromosome:Lperr_V1.4:5:12175982:12176905:-1 gene:LPERR05G12360 transcript:LPERR05G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTTDDSDKHIESYLSLGLTVSQSKKKDTEYPKVLLLLATYLDRAVQKNEELLDSNKIKHSSTIFHGQRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPYVYMTSLCVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRFELDLLFNLDFRLKVDLETFRSYCLQLEKETMVFAIERPVQVHGANGATDLSRNSSIDESCKSELMRGRYNSQALQGCS >LPERR05G12370.1 pep chromosome:Lperr_V1.4:5:12179902:12188798:-1 gene:LPERR05G12370 transcript:LPERR05G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGVELLLDLLVSVSPKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNIADMYDLSEAFHAMSLRHTCVLFILEQFEKICIRPGSNQLIQRVIPELRNFFAKALRPSHRNAQP >LPERR05G12380.1 pep chromosome:Lperr_V1.4:5:12198649:12202802:1 gene:LPERR05G12380 transcript:LPERR05G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASASPPSPAAPSPFAADDLVDARLAPWPPFAPWPAPAGQLHHDGGGHPNPLFTILPATALAIGVVLLVAVAVILVLTRRAKPASSTADAAASCNGDKPGAPASSCGSSVRGYNNNSRYYAAAAGCIYGGRLGFSVQPRNRGAQVFTYRELESATDGFSECNVVGRGAYGAVFRGRLGDGTTAAIKRLQLDGRREGEREFRIEVDLLSRMHSPYLVGLLGYCADQSHRLLVFEYMPNGSLKSHLHRRAAPAEKPPPLDWQTRLGIALDCARALEFLHEHSSPAVIHRDFKCGNILLDHNYRARVSDFGMAKLGSNKANGQVTTRVIGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTKRPPGQHVLVSWALPRLTNREKLVQMVDPALIGQFSLKDLVQVAAITAMCIQTKADYRPLMTDVVQSLIPIVKSPLMSCSSTPLRPAHHVLYMSPSRGSSNAETRSIMHGFD >LPERR05G12390.1 pep chromosome:Lperr_V1.4:5:12203106:12214109:1 gene:LPERR05G12390 transcript:LPERR05G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKSYVAGAAESKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVLESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGASTVGAVGYCWGAKVVAELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGRRSAHATHSVDLHAHVRILLVTRPPPRSEPCTPVRTGLQLIQRLACVARFSRQQLPRPRLLLRNNLHGALVTLSRFSLGLSTDGPELEVAAALHDTLVPEGNGMASPQCCANPPALNPAGGEGKVVDSFGGIKAYISGADDSKAAVILASDVYGFEAPNLRKIADKVASSGYFVVVPDFIHGDPFVPANTERPIQVWIKEHGPDKGFEEAKPVIAALKEKGVSSIGAAGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEVDHLSPPEVVKQFEQVLSSKSEIGHFVKIFPGVAHGWTVRYKSDDAHAVKSAEEALADMIDWFNKNLKAIGGACDPAPATSSV >LPERR05G12390.2 pep chromosome:Lperr_V1.4:5:12203106:12219778:1 gene:LPERR05G12390 transcript:LPERR05G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKSYVAGAAESKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVLESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGASTVGAVGYCWGAKVVAELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGRRSAHATHSVDLHAHVRILLVTRPPPRSEPCTPVRTGLQLIQRLACVARFSRQQLPRPRLLLRNNLHGALVTLSRFSLGLSTDGPELEVAAALHDTLVPEGNGMASPQCCANPPALNPAGGEGKVVDSFGGIKAYISGADDSKAAVILASDVYGFEAPNLRKIADKVASSGYFVVVPDFIHGDPFVPANTERPIQVWIKEHGPDKGFEEAKPVIAALKEKGVSSIGAAGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILAAEVDHMSPVELIKQFEQVLSSMPEVDHLVKIFPGVEHGWTVRYKSDDANAVKRLGSPGRHD >LPERR05G12390.3 pep chromosome:Lperr_V1.4:5:12203106:12214109:1 gene:LPERR05G12390 transcript:LPERR05G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKSYVAGAAESKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVLESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGASTVGAVGYCWGAKVVAELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKLVTLSRFSLGLSTDGPELEVAAALHDTLVPEGNGMASPQCCANPPALNPAGGEGKVVDSFGGIKAYISGADDSKAAVILASDVYGFEAPNLRKIADKVASSGYFVVVPDFIHGDPFVPANTERPIQVWIKEHGPDKGFEEAKPVIAALKEKGVSSIGAAGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEVDHLSPPEVVKQFEQVLSSKSEIGHFVKIFPGVAHGWTVRYKSDDAHAVKSAEEALADMIDWFNKNLKAIGGACDPAPATSSV >LPERR05G12390.4 pep chromosome:Lperr_V1.4:5:12203106:12219778:1 gene:LPERR05G12390 transcript:LPERR05G12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKSYVAGAAESKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVLESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGASTVGAVGYCWGAKVVAELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKTGRGEVVDSFGGMKAYISGAADSKAAIILISDVYDFFYGDPFVAGIAERLVQVWIEGHWPNKGSFEEAKSIIAALKEKGVSSIGAAGYCWGGKVVVDLAKAHEIQAALMCHPSFVTVDDIKEVKCPIAILAAEVDHMSPVELIKQFEQVLSSMPEVDHLVKIFPGVEHGWTVRYKSDDANAVKRLGSPGRHD >LPERR05G12390.5 pep chromosome:Lperr_V1.4:5:12203106:12214109:1 gene:LPERR05G12390 transcript:LPERR05G12390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKSYVAGAAESKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVLESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGASTVGAVGYCWGAKVVAELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKSDDAHAVKSAEEALADMIDWFNKNLKAIGGACDPAPATSSV >LPERR05G12390.6 pep chromosome:Lperr_V1.4:5:12214150:12219778:1 gene:LPERR05G12390 transcript:LPERR05G12390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQCCANPPTLSPGSGEGGGRGQLRRDEGVHLRRRRLQGRYHPHLRCLRVGSAEPENKGSFEEAKSIIAALKEKGVSSIGAAGYCWGGKVVVDLAKAHEIQAALMCHPSFVTVDDIKEVKCPIAILAAEVDHMSPVELIKQFEQVLSSMPEVDHLVKIFPGVEHGWTVRYKSDDANAVKRLGSPGRHD >LPERR05G12400.1 pep chromosome:Lperr_V1.4:5:12220260:12225535:1 gene:LPERR05G12400 transcript:LPERR05G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMARWPWRVVLPMLLLHCFSVFFVFAQEHQDNDPSTLFKRASEMMDLRKYDGALGLLNAVLEVDPNHSQAYRQRASVLRHKCRYKEAEGDYRRYMEQKPVSSSVEKELSQLLQAQNALETAYGQFESHDFSKVVEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKILVKLGRGNEAISSCTEALNIDRELVDALTQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDIDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFPGGGGFHGGGFPGGFQFNFG >LPERR05G12400.2 pep chromosome:Lperr_V1.4:5:12220538:12225535:1 gene:LPERR05G12400 transcript:LPERR05G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGQAAMMAMARWPWRVVLPMLLLHCFSVFFVFAQEHQDNDPSTLFKRASEMMDLRKYDGALGLLNAVLEVDPNHSQAYRQRASVLRHKCRYKEAEGDYRRYMEQKPVSSSVEKELSQLLQAQNALETAYGQFESHDFSKVVEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKILVKLGRGNEAISSCTEALNIDRELVDALTQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDIDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFPGGGGFHGGGFPGGFQFNFG >LPERR05G12410.1 pep chromosome:Lperr_V1.4:5:12228273:12242002:1 gene:LPERR05G12410 transcript:LPERR05G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSTTERTSRMIAQFMMKHSFRAISHLEIKHESSSSPIMKTTTALGLLMAAAALAAHALAEQCGSQAGGALCPDCLCCSRFGWCGSTSDYCGDGCQSQCDGCGGGGGGGAVESIVSKELFEQLLLHRNNAACSASGFYTYDAFVTAAAAFPDFAATGDDETRKREVAAFLAQTSHETTGGWPTAPDSPFSWGYCFKEEIGATADYCQLQLWAGGRGHRRGPPENPELVATDPVVSFKTALWFWMTPQAPKPSCHDVITGEWEPTTSDNTAGRVPGYGVITNIINGGLECGHGPDDRMANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLHLVMIAARAAKLVAMKAMALGVLALAYAAATARAEQCGWQAGGAVCHDNLCCSMWGWCGLGRDYCNGGCQSQCGSALFRGDDVVVQQGGGRVGGVASVVTGDLFDRMLPHRDDASCPARGFYTYRAFVAAAAAFPAFAATGDNDTRKREVAAFLAQTSHATSGGPYSCGYCYKEVKGATSDFCVPNARWPCAPGKAYHARGPMQIAYNYNYGAAGEAIGADLLGNPELVATDPTVAFKTALWLWMTPPSPRSPSQPSCHAVSTGQWTPTTEDRAAGRAPGYGLTTNILTGGLQCAAGGGGSGAGRVAFYRRYCDVLGVSYGPNLDCSGQAPFDEDIVSSAAGK >LPERR05G12410.2 pep chromosome:Lperr_V1.4:5:12227333:12228419:1 gene:LPERR05G12410 transcript:LPERR05G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRAAALALAVVAAFLGQTSHETTGGWPTAPDGPFSWGYCFKQEQNPPSDYCQPSSDWPCAPGRKYYGRGPIQLSFNFNYGPAGRAIGVDLLSNPDLVATDAVVSFKTALWFWMTPQGNKPSSHDVITGRWRPTAADVAAGRAPGYGVITNIVNGGLECGHGADDRVANRIGFYQHYCDVFGVGYGSNLDCYSQRPFNSGASVGPAEQ >LPERR05G12420.1 pep chromosome:Lperr_V1.4:5:12247039:12249691:1 gene:LPERR05G12420 transcript:LPERR05G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANAGISTSTLLRSCLLVALAVVAVSWLESAEENNVDMSMSPSPSPAPLRSQKKLPCLQNPPNMTEMTGGQAGEVVHDYGGLTVYVTGSRRSGHAVILVSDYYGQCVHGRGQFPSTKLRQIADKVAMRGYYVVVPDLMKGDPYTDDRQFEEELGMIYICSVLLLVVMQVEAAENTKPLIAALKKDGMRSIGVGGYCWGGKVAVELSKTKEPQAVAISHPALVVEGDMKDVKCAIEILGGELDTISPPEIIKKLEDAFDQNKAVDHFVKIFPKAPHGFACRYNSSDPFSVKTAEEARVDMVSWFDKYMKKP >LPERR05G12430.1 pep chromosome:Lperr_V1.4:5:12251837:12252171:-1 gene:LPERR05G12430 transcript:LPERR05G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGVIFLFLPLHEFNLLLGAVFAWRSRSCIRDLG >LPERR05G12440.1 pep chromosome:Lperr_V1.4:5:12256144:12257370:1 gene:LPERR05G12440 transcript:LPERR05G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGPSSLSSGLLFLNLVLYVVVAVIAGWAINYSIDESYNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGLPASMMSAAAASIVTWTLTLLAMGLACKEISLGWRPASLRALEAFTIILAGTQLLCAGSLHAGAHAAIIHTPMVSRV >LPERR05G12450.1 pep chromosome:Lperr_V1.4:5:12258236:12263659:1 gene:LPERR05G12450 transcript:LPERR05G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSPSLPLPLLAAKATPNFGRLPVAAKASAGRRSRHRRGGLALLVCAAADPSKAAGKGEEGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHSIDPRVKLVWLLALVVLPARSNIYMRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPGSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMTPLKHIGVPVPEIILTLLLSLRFINLVRNSALAIVARRIDWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLTESSFGIVDHQDH >LPERR05G12460.1 pep chromosome:Lperr_V1.4:5:12263634:12265456:-1 gene:LPERR05G12460 transcript:LPERR05G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEEIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHVKIQKESEVRLKVIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >LPERR05G12470.1 pep chromosome:Lperr_V1.4:5:12265605:12271926:1 gene:LPERR05G12470 transcript:LPERR05G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVGCSVQLGFDLLLPESLHWANPCAASLKKKKKKTAPPRGTLFSPPAVAKLLLRLNGVEMRGEDSVSAVGGGAVRLGWLDCFCPVGEIRGGCTVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTTLVTNFESDKPPAEFANLYKEDELVGGHVIMLGADPASQAASMEALHAYPGGLQVGGGINLENAMSYLNEGASHYVFSEGKMNIERLKQLDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVALLGRYSPIPVTYAGGVSTMEDLERIKKAGKSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQL >LPERR05G12470.2 pep chromosome:Lperr_V1.4:5:12265605:12271926:1 gene:LPERR05G12470 transcript:LPERR05G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVGCSVQLGFDLLLPESLHWANPCAASLKKKKKKTAPPRGTLFSPPAVAKLLLRLNGVEMRGEDSVSAVGGGAVRLGWLDCFCPVGEIRGGCTVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTTLVTNFESDKPPAEFANLYKEDELVGGHVIMLGADPASQAASMEALHAYPGGLQVGGGINLENAMSYLNEGASHYVFSEGKMNIERLKQLDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLIPVTYAGGVSTMEDLERIKKAGKSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQL >LPERR05G12480.1 pep chromosome:Lperr_V1.4:5:12273599:12279597:1 gene:LPERR05G12480 transcript:LPERR05G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLQPKRKSNLHCSRASSISNLLFTWRQHESIAEWTSRELGIVGKGAPATTMRRPPPAAAVLLAVLCLGGGAAEASTEFNFPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGTPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDTYRRYIPQVNYFSQGLYMFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYDQGARKFWIHNTGPLGCLPQNVAFFGKDPSQLDELHCVAKHNRVAKLFNLQLHALCTKLRGAFAGASITYVDIYTIKYSLIASYSRYGFEHPIQACCGYGGPPLNYDSKVPCGQTASLNGSLVTAKGCKDSTEFVNWDGIHYTEAANFHVASQILTGKYSDPPFVDKMPFLIQPKF >LPERR05G12490.1 pep chromosome:Lperr_V1.4:5:12278126:12279420:-1 gene:LPERR05G12490 transcript:LPERR05G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPLLGSKKTKPSATTAVSAPSSSRHIVFCSLKRQPAAAAAWRGDGEGGVGSWASFLQHGLAAAALSLAISLAPPAPVAVASEFDVLNGGPPEDTYVVDDAGVLSRVTKSDVKRLVRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDAILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >LPERR05G12500.1 pep chromosome:Lperr_V1.4:5:12282158:12284777:-1 gene:LPERR05G12500 transcript:LPERR05G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLSLSSPLFLADAPKVRGVISCRVALPSSSWNSVRLHGGRQLRRATIVNVIGRKSKTRETIVPDPDYRLPIAILGMAGALASADNLLAAALVGLLGCLLLFQTTRVRFVFDNEALEVKVGDQLEESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGQQLYDAMVERAGPSETSGPK >LPERR05G12510.1 pep chromosome:Lperr_V1.4:5:12284892:12287565:-1 gene:LPERR05G12510 transcript:LPERR05G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASDARACTDRTPTSPTKTGSLQAAPFDCYPLILPLSRSLPQISQIQCSSRPVSTAAGVCVYAAEEVLQRYQIRPVLTRSRPPAGGSGGAAVASLLARLGLAAAMLARLWCCYPLLSPSGIVCRRCSPVPLFPLPNPSSLPLQDAGSSSGFCSVGGKLPIEGRRSPLPSQLLPFCKLVLSGCGSFQGPPRCDDVCDVVESELLPTGSSWRKPCPVDPVLTRTTPVGAVFLLGGVISSSKLAVAILSNCTTLVAEQEVLGCEVHQKAQ >LPERR05G12510.2 pep chromosome:Lperr_V1.4:5:12284892:12287565:-1 gene:LPERR05G12510 transcript:LPERR05G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASDARACTDRTPTSPTKTGSLQAAPFDCYPLILPLSRSLPQISQIQCSSRPVSTAAGVCVYAAEEVLQRYQIRPVLTRSRPPAGGSGGAAVASLLARLGLAAAMLARLWCCYPLLSPSGIVCRRCSPVPLPASARLAASSLSRVGALLYLRSSFPSISSSKLAVAILSNCTTLVAEQEVLGCEVHQKAQ >LPERR05G12510.3 pep chromosome:Lperr_V1.4:5:12284892:12287565:-1 gene:LPERR05G12510 transcript:LPERR05G12510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASDARACTDRTPTSPTKTGSLQAAPFDCYPLILPLSRSLPQISQIQCSSRPVSTAAGVCVYAAEEVLQRYQISSSKLAVAILSNCTTLVAEQEVLGCEVHQKAQ >LPERR05G12520.1 pep chromosome:Lperr_V1.4:5:12287710:12293119:1 gene:LPERR05G12520 transcript:LPERR05G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLLRLAPSPPPPKPPRRRPLCRCGASRREFAVHTAIASASAAAAVRPATAEEAPTTTPPPPPASSKKGSSSSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPAGAKLYSARIIKVKDEDDIRTYYFYEFGLDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSMSVS >LPERR05G12530.1 pep chromosome:Lperr_V1.4:5:12291370:12298637:-1 gene:LPERR05G12530 transcript:LPERR05G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSMAADPEPEPDHEHDPLPQDPAPEAEVEAEAEAEPDGAVNTPVSPTAAEVVDHDGDGEDSSGDSSERKAPSTEDDAVADGPPHQMRSHGDRTARQWFSRSIHAVHAGGGCAAMPMIKLTKDLKGNTAYQRVTLSALITKSASSALRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTVWQPQSPQQHQHQQPIPVQQKPVQHGGAIIGYSPAPQAVLAPAWGMAVRAAPVMMVAAPAPVRPIVMAPAGGGCGGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >LPERR05G12530.2 pep chromosome:Lperr_V1.4:5:12291370:12298637:-1 gene:LPERR05G12530 transcript:LPERR05G12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSMAADPEPEPDHEHDPLPQDPAPEAEVEAEAEAEPDGAVNTPVSPTAAEVVDHDGDGEDSSGDSSERKAPSTEDDAVADGHDQTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGFMAKESVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTVWQPQSPQQHQHQQPIPVQQKPVQHGGAIIGYSPAPQAVLAPAWGMAVRAAPVMMVAAPAPVRPIVMAPAGGGCGGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >LPERR05G12540.1 pep chromosome:Lperr_V1.4:5:12319979:12323340:-1 gene:LPERR05G12540 transcript:LPERR05G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAEMQPLAPAGYRRAPEMKEKVDASAVDLEAGNGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSVGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALILLIVISFVQASYIHFIQYTHSNRNLTIEKLPIIITNSLWNAVLQMFFPLGSGSVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >LPERR05G12550.1 pep chromosome:Lperr_V1.4:5:12327172:12329803:1 gene:LPERR05G12550 transcript:LPERR05G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRALRELLFCAPGALQYLSGVILFEETLFQKTKDGKPFVDVLKEGGVLPGIKVDKGTIELAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDQNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVSPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWSGKVENVEKAQAALLTRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >LPERR05G12560.1 pep chromosome:Lperr_V1.4:5:12331528:12342832:-1 gene:LPERR05G12560 transcript:LPERR05G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVGGKSVEGVDLLRRRHWASRFDFWPFLALYAVWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANACKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSEKDNFFKLRYPTKESFELYNKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVSTYRCGKWVKIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEGNEELITDANKLPLRIQEVLASCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIQEKYYAFIKGAPETIQERLVDLPASYVETYKKYTRQGSRVLALAYKLLPDMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPILILMRTKTGGFEWVSPDETDKAPYSAKEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRITLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKPENKPGKLKKTKPSQEGSSSQLAQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPIQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEASKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRQKQAIANLDRKHE >LPERR05G12570.1 pep chromosome:Lperr_V1.4:5:12348891:12350213:1 gene:LPERR05G12570 transcript:LPERR05G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSPPHAVACTALLLLLLVSVSPCRAASSGGSGGPSAVVLPVSIDDATRQYVTMFRQRTPQVPVKAVVDLAGATLWVDCETGFASSSYAGVPCGSKTCRLARSFACTTVCNGAPSPSCLNNTCGGFPENTVTHVTTSDNFITDVLSLPTTFRPAPGPLATAPSFLFTCAPTFLTKGLAAGATGMVSLSRARFALPTQLAATFRFSRKFALCLPPASAAGVVVFGDAPYTFQPGVDLSKSLIYTPLLVNPVSTAGASTNGDKSNEYFIGVTGIKVNGRAVRLNTTLLSIDKKGVGGTKLSTVAPYTVLETSIHKAVTDAFAKETAMIPRVPAVAPFKLCYDGSKVGGTRVGPAVPTVELVLQNEATSWVVFGANSMVATKGGALCLGVVDGGAAPRTSVVIGGHMMEDNLLEFDLEGSRLGFSSSLLFRQTNCNNFRLG >LPERR05G12580.1 pep chromosome:Lperr_V1.4:5:12357701:12359086:1 gene:LPERR05G12580 transcript:LPERR05G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPRPLIVAYGGAILLLLFLVSPCRAAAGGGDRPTAVVLPVSKDDATRQYVTTFRQRTPQVPVKAVLDLAGAMLWVDCEPGSYASSSYAGVPCGSKPCRYLKNAGCAVTCLDAPSSGCLNDTCAEFPKNTATSVSTAGNVITDVLSLPTTFRPAPGPFATAPAFVFTCGHTFLTEGLAAGATGMASLSRGRFALPTQLADTFGFSRNKFALCLPPASAAGVVVFGDAPYTFQPGGDHSKSLIYTPLLVNPVSTVPYGRKQKMTKYFIGNTTIQLNGRVSKEKPTDYFIGLTGMKVNGRVVSLNATLLAIDKKGVGGTKLSTVTPYTVLERSIHKAVIDAFARETATIPRAPAVEPFELCYDGSNLRTTRAGPAVPTIELMLQNTAAPWVVVGANSMVATKGSVLCLGVVDAGTEPQTSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPSQLTSCNNFRLG >LPERR05G12590.1 pep chromosome:Lperr_V1.4:5:12366789:12367991:-1 gene:LPERR05G12590 transcript:LPERR05G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAICRRRLAFLCPQILPAAGGGGGAYHPQPNPHAALLLHTYSTTAVAGGDSSSEPCPDTVSYLVSCGLSPAVARQTAASTRTLRIRSTEKADAFRALLRSHGFSDADVARIVRSAPLLLTVDPDRVIRPKLEFFASMGFEPNKLVYSPLLLARSLDKHIVPCIQFLRGVIGDDDEIRRGFSRLPRALMVNIDNGMRPAVEALRRHGLSKGDISKVLVIQLGVLMLSPQRIGEIFEDLGTMGIGITDRRFANCLRAMCSLKRETWLRKVALYRSLGLSESEVFEAFKKQPTALLVADDTIRKKARFFREMLKLEMKDVMVNPVVVSYSLEKTILPRCAVLSVLVREGKIKPDIRFPNALIGSAKTFCEKYVNRHAADVPDVIDAYEGKIEFKGFGAHLV >LPERR05G12600.1 pep chromosome:Lperr_V1.4:5:12369580:12375155:1 gene:LPERR05G12600 transcript:LPERR05G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAARRADVDAFLASLGVDPGELAGLELPATVDVVRERVEFLHSLGLSNDDLAAYPLSLGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSVAYLVGIGVARRQIGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIVENKPYVLGFGLEDRVKPNIEAILEFGVRKEALAYIVAQYPDILGIELRDKLVEQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVSFLTACGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLEELVEFPAFFTYGLESTVRPRHEMVSKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEADNSFYTNALSERVEDEVEDEDLDDDSDYDSTDDEFIE >LPERR05G12610.1 pep chromosome:Lperr_V1.4:5:12377134:12377617:1 gene:LPERR05G12610 transcript:LPERR05G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR05G12620.1 pep chromosome:Lperr_V1.4:5:12377660:12380011:-1 gene:LPERR05G12620 transcript:LPERR05G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALGSGCAFAAAAAAAVRPPASTLTAGRCAGRRMPARLVLACASSDGSDGGSAGAVAAEASAAGESRGGEEAGGEGSSAESSTEKKVAPVDPKIEKELKKAVQKTAATFAPRASTATKNPAVPGTTLYTIFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLVPLINVIIPFFIKSFAVVWSADTVAFFVMYAWKLGWLQRHYTLRKQKHYDIDHRLKQKQ >LPERR05G12630.1 pep chromosome:Lperr_V1.4:5:12380687:12383716:-1 gene:LPERR05G12630 transcript:LPERR05G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQIWYGLEAPFVSAGPDWKRARFGLGTPIPGLDAIRTSHPPASFQMGRARRRRRGWRHGRRRGRRREETARRLTEGDGDGNLRCLLAGLFLPAVVRPMLDSWETAKQVPPPAPANLPGWKLDLPNKAQELEHGADEERRRSGISRN >LPERR05G12640.1 pep chromosome:Lperr_V1.4:5:12385941:12388584:-1 gene:LPERR05G12640 transcript:LPERR05G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVSPPSAPSTQRKRGSSTDSIGMYAVQCCKCHKWRKVPTKDEFETIRENFTEEPWYCSKRPECSCEDTADIEYDSSRIWVIDKPNIPKPPPGTERLVIMRGDLSKMDTYYVMPNGKRARCTADVDKFLEANPQYKDRLPVESFSFATPKIVEDTVSHSSAWKAGKAKKQDKINVSSNNN >LPERR05G12650.1 pep chromosome:Lperr_V1.4:5:12393141:12393663:-1 gene:LPERR05G12650 transcript:LPERR05G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAANKWRIISSKEEYGTIRANFTMEPWFCSKKTDCSCEHPEEIQYDTSRIWVLDSPNIPKPPPQTERLQIMRSDLSKLDRAKGKTDVDRFLKDNPEYTTTLSASNFDFSTPKIIKETISESAKWAITKAGREAYNELATDVPSASGK >LPERR05G12660.1 pep chromosome:Lperr_V1.4:5:12397399:12413975:-1 gene:LPERR05G12660 transcript:LPERR05G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKKLPAGLWEEIVEGLQWVEEYMAARLGDPSRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAAKGLHNDTDLTATDLKELVGQYKNVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKDMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERHTALKMVEAGHLDQLLHPQFENPSGYKDKVITTGLPASPGAGVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVIDSAKIVVIEDKELHEGDWLSLNGSTGEVILGKQPLCPAALSGDLETFMSWVDEVRKLKVMANADTPEDASTARRNGAEGIGLCRTEHMFFASDERIKAVRQMIMASTLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRDMCSETGANQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAISMTNQGIEVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAESAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKMATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQEQELCYSTQRRLRKVQYNPEKTGAYNLDQVFKFNNELGYKFILMRKTMQKNKSWLS >LPERR05G12660.2 pep chromosome:Lperr_V1.4:5:12398031:12405345:-1 gene:LPERR05G12660 transcript:LPERR05G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTKEQLKLAKTSLTGSALVHWSLMAPAQCARVQRVFHFGKGKSEGNKAMKELLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKKLPAGLWEEIVEGLQWVEEYMAARLGDPSRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAAKGLHNDTDLTATDLKELVGQYKNVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKDMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERHTALKMVEAGHLDQLLHPQFENPSGYKDKVITTGLPASPGAGVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVIDSAKIVVIEDKELHEGDWLSLNGSTGEVILGKQPLCPAALSGDLETFMSWVDEVRKLKVMANADTPEDASTARRNGAEGIGLCRTEHMFFASDERIKAVRQMIMASTLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRDMCSETGANQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAISMTNQGIEVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAESAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKMATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >LPERR05G12660.3 pep chromosome:Lperr_V1.4:5:12406337:12413975:-1 gene:LPERR05G12660 transcript:LPERR05G12660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSRAVGRAGTAGGAEMRPLSLAAGRSLRIECGTASRRSPSVRRGASIACRPGQWRRRYPPRKSGQRIH >LPERR05G12670.1 pep chromosome:Lperr_V1.4:5:12414377:12414712:1 gene:LPERR05G12670 transcript:LPERR05G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHDCLDRAHARATKRSPRQQGARHAERRRDERSGQAAPVATRAVRVVKVAEAYAGTAFGAMSPSPRALPLPRFSSSSSSSREAAAVAEVDDSATRELRRLLGLH >LPERR05G12680.1 pep chromosome:Lperr_V1.4:5:12415212:12418053:-1 gene:LPERR05G12680 transcript:LPERR05G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGTLILAASLAVAYALRLLHSFLWVPRRLERRLRRQGIRGPPRSVFTGNAAGYRDLLLAAQSAPLASFHHDVVGRVAPHYREWAARYGRPFVFWFGPRPRLVVSDPEVVKAVLTDTTGTFGKSGPGGNNPLARQLFGEGLVGLTGEAWARHRRVIAPAFNMERVKGWIPEITAITSSMLDKWEVQDEVRTEFEIDINKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVLLAMRTIYIPGFRFVPTKKNRRRKVLNQEIRNTLRKLIEINGRKCEDSNNLLGMMLSSSKMGSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHEEWQSKARDEVLQVCGKHEHPKAENLSDLKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKLDIPAGTQLQLPIVDIHHDVNIWGANANEFDPSRFAEGNSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMVLQRFAFVVSPSYVHAPMLLHSNPSMVLKSLFTRFEILMDLRVHLWVLAARHPGIPSNVAGETMYLNTN >LPERR05G12690.1 pep chromosome:Lperr_V1.4:5:12423718:12430810:1 gene:LPERR05G12690 transcript:LPERR05G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFAGAGGGDRGFVGVIPELGAAVLVVGEEEWGGGGGGMRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAAPQAEDEKDQVLWAGFDKLELHPSFFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRASHPMLLIVAGDETNGLGMVQGGRLSALIRDNSSESPNGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGVNIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVVKDFISKEVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTAITNGSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRCTCHVFTLSPFGGDASLLPQNSHSDGPPLAPCQPRPWWSKPSFLMDHQIHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANALEHLLVYSPSGHVIQHELLPSSSSESSDSSPIVGPGSMQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVIHNQRNSMMGMDASDCDSEHSDSIPSDGLSGKEIIKSRERSSWYLSNAEIFFYVIDQPAAESGESHSLSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFTDRNLATGRFQNALTDIDKDNSAHGSKPGLPISGFYNDMRKMHNMNGLGGQLLSGPITTHDIHPMEKCNSVESPKVANLSALHNVDNESLSHVSTVPGANASAITPRGRETVEPSTIRPLSSYSLLDGPLDDGIPLPASNVSCRPQIINNSSVSNGAVTDISNGCLTSINSGQNEASDSHNSAEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDGDNDDMLGGVFTFSEEG >LPERR05G12700.1 pep chromosome:Lperr_V1.4:5:12433718:12437252:1 gene:LPERR05G12700 transcript:LPERR05G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEIEEEVAAPTVAPAAAEFGLPAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEAARLRAELAERDAAAEDLRERVEQLDAALAVATGRLRRAEEDKEALLRDNSLLSNTVRKLNRDVAKLEVFKKTLMQSLQEDEDPANTAPRARANEASNFSSTPFVGASKSSQLSETASSVSEESSHVDPEVPRPPRPHVFLPSYNNTPRVTPPDSPPRSFASISPPRRHSISVTSRNIFDDRSSVYSGHHSSMTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPENKDLYTIFEGLISRNIH >LPERR05G12700.2 pep chromosome:Lperr_V1.4:5:12433718:12438328:1 gene:LPERR05G12700 transcript:LPERR05G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEIEEEVAAPTVAPAAAEFGLPAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEAARLRAELAERDAAAEDLRERVEQLDAALAVATGRLRRAEEDKEALLRDNSLLSNTVRKLNRDVAKLEVFKKTLMQSLQEDEDPANTAPRARANEASNFSSTPFVGASKSSQLSETASSVSEESSHVDPEVPRPPRPHVFLPSYNNTPRVTPPDSPPRSFASISPPRRHSISVTSRNIFDDRSSVYSGHHSSMTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREPVTNNTHTVSLHRVSSFVNLVTRHPRSLRTSTPP >LPERR05G12710.1 pep chromosome:Lperr_V1.4:5:12438920:12462892:-1 gene:LPERR05G12710 transcript:LPERR05G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKATDERPTTARAKESGGASTLYGGDAEGSGAGRPREQVWRRGCCWRIQTRWRFCSPPITTEPEGIPIGGICGSRRMGQPEATGLSYPAVECQNVVLDKIFAGNPLSMVHYSSWEHEQAVTIDVNAWSDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDVDTNFGIRRGFLPQKRGAHIHYGWWSEIKEPYRLLSKKFYHALLAATITNPNAEFNMFADPFAAYQVFHSGIPITLIPLDATNTIPINEEFFDAFQKHHSTYEAQYCFESLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDYNCQSGNDFAELKYMNITVITSNKPYGIHDGSNPFFNNHATPKFGLQKGGVHSGHVQTGITDSFCLPKGSKKGLCEDGYTKEVSGPEAVQVCVATMAKPNMDKSSPLNREFFKSFLETLNLPENTGRFNITTQFPFYREVLNRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKAPTELIDLKGILVGGNGWANIASIDIVYDILRMMGRDDIPVGRGNTSALGTQNLGCMYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKEQLHPNEKITILTSGPLTNLANIVLSDRNANSVIKSVYVVGGHIRDEKNTKGNVFTVPSNTYAEFNMFLDPLAAKTVLDSTLDITLIPLIAQRKASSFHALLKALKHAETPESGFVRHLLSVLHNLQQKHQLYHHMDIFLGELLGAVYLVEGSNVKQSLQLKPVSIVANSTESTDGQTVLDNQSAKLVKVLLDFNTEEYYNRVANSLGDKERSAVISGFAEQRAVWSSPPEYVGRGQPPIDRDKRRTNHSCTDHLLDPSSSCYLSVSAAVYMSPEEKAKRRRRAKMWRTAAVAAAVLLLVAFGAAPTASGGAAGATATRPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGSVSHSGAVLLDGTSTAGGCRYRQAIPARSRLDVDTNFGVRRGFLPQGRRRYRPLRQPTAQRVMADTVSEGPTTVFLFGAHTNLALLLMAHPGLRRNIDRVYVSGGAVRTADPAGNLYTAFDTNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTIPVTEEFVSEFRQHQRTYEAQYSLQSLDQSYYMWDSFAAGVALSSMRNGEFDGGNEFAELEYMNITVITSNKPYGKRDGSNPFFDGRATPKFGLKDGGIHSGHVQTGIRDTFCLIPGSSRGRCQDGYTREVSGPEGVRVRVATRAKPNKDKNSILENEFCDSFLEVLNRPEQTGLFNINTQFPYYRKVLHKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPKEVIDLKGVLVNGNGWANIASIDIVYDVLHMMGRDDIPVGLGNTTALGIPILGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPGSLDNPERRQPLALEVWQSVRKQLDAGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNVFTVPSNKHAEFNIFLDPLAAKTVLESDMKITLIPLTVQRKAASFKAVLEALEDIQHTHESKFVHELLSLLQELQMKQKLYRHLDIFLGEILGAVYMVEGSGLKPSVQLKPVSVVANTNKSTDGQIVVSKSSPKLIRVLSDFNGEIFSKQLANSLANKTQSAVIGSFEEQKAIWSRPPENSSHGKNKGNLL >LPERR05G12710.2 pep chromosome:Lperr_V1.4:5:12438920:12468095:-1 gene:LPERR05G12710 transcript:LPERR05G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPWRTATAVVLLVVTAMATVAATATAAPRRILVDTDMDTDDLLALLYLLKLNRSEFDLQAVTIDVNAWSDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDVDTNFGIRRGFLPQKRGAHIHYGWWSEIKEPYRLLSKKFYHALLAATITNPNAEFNMFADPFAAYQVFHSGIPITLIPLDATNTIPINEEFFDAFQKHHSTYEAQYCFESLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDYNCQSGNDFAELKYMNITVITSNKPYGIHDGSNPFFNNHATPKFGLQKGGVHSGHVQTGITDSFCLPKGSKKGLCEDGYTKEVSGPEAVQVCVATMAKPNMDKSSPLNREFFKSFLETLNLPENTGRFNITTQFPFYREVLNRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKAPTELIDLKGILVGGNGWANIASIDIVYDILRMMGRDDIPVGRGNTSALGTQNLGCMYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKEQLHPNEKITILTSGPLTNLANIVLSDRNANSVIKSVYVVGGHIRDEKNTKGNVFTVPSNTYAEFNMFLDPLAAKTVLDSTLDITLIPLIAQRKASSFHALLKALKHAETPESGFVRHLLSVLHNLQQKHQLYHHMDIFLGELLGAVYLVEGSNVKQSLQLKPVSIVANSTESTDGQTVLDNQSAKLVKVLLDFNTEEYYNRVANSLGDKERSAVISGFAEQRAVWSSPPEYVGRGQPPIDRDKRRTNHSCTDHLLDPSSSCYLSVSAAVYMSPEEKAKRRRRAKMWRTAAVAAAVLLLVAFGAAPTASGGAAGATATRPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGSVSHSGAVLLDGTSTAGGCRYRQAIPARSRLDVDTNFGVRRGFLPQGRRRYRPLRQPTAQRVMADTVSEGPTTVFLFGAHTNLALLLMAHPGLRRNIDRVYVSGGAVRTADPAGNLYTAFDTNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTIPVTEEFVSEFRQHQRTYEAQYSLQSLDQSYYMWDSFAAGVALSSMRNGEFDGGNEFAELEYMNITVITSNKPYGKRDGSNPFFDGRATPKFGLKDGGIHSGHVQTGIRDTFCLIPGSSRGRCQDGYTREVSGPEGVRVRVATRAKPNKDKNSILENEFCDSFLEVLNRPEQTGLFNINTQFPYYRKVLHKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPKEVIDLKGVLVNGNGWANIASIDIVYDVLHMMGRDDIPVGLGNTTALGIPILGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPGSLDNPERRQPLALEVWQSVRKQLDAGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNVFTVPSNKHAEFNIFLDPLAAKTVLESDMKITLIPLTVQRKAASFKAVLEALEDIQHTHESKFVHELLSLLQELQMKQKLYRHLDIFLGEILGAVYMVEGSGLKPSVQLKPVSVVANTNKSTDGQIVVSKSSPKLIRVLSDFNGEIFSKQLANSLANKTQSAVIGSFEEQKAIWSRPPENSSHGKNKGNLL >LPERR05G12710.3 pep chromosome:Lperr_V1.4:5:12438920:12462892:-1 gene:LPERR05G12710 transcript:LPERR05G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKATDERPTTARAKESGGASTLYGGDAEGSGAGRPREQVWRRGCCWRIQTRWRFCSPPITTEPEGIPIGGICGSRRMGQPEATGLSYPAVECQNVVLDKIFAGNPLSMVHYSSWEHEQAVTIDVNAWSDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDVDTNFGIRRGFLPQKRGAHIHYGWWSEIKEPYRLLSKKFYHALLAATITNPNAEFNMFADPFAAYQVFHSGIPITLIPLDATNTIPINEEFFDAFQKHHSTYEAQYCFESLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDYNCQSGNDFAELKYMNITVITSNKPYGIHDGSNPFFNNHATPKFGLQKGGVHSGHVQTGITDSFCLPKGSKKGLCEDGYTKEVSGPEAVQVCVATMAKPNMDKSSPLNREFFKSFLETLNLPENTGRFNITTQFPFYREVLNRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKAPTELIDLKGILVGGNGWANIASIDIVYDILRMMGRDDIPVGRGNTSALGTQNLGCMYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKEQLHPNEKITILTSGPLTNLANIVLSDRNANSVIKSVYVVGGHIRDEKNTKGNVFTVPSNTYAEFNMFLDPLAAKTVLDSTLDITLIPLIAQRKASSFHALLKALKHAETPESGFVRHLLSVLHNLQQKHQLYHHMDIFLGELLGAVYLVEGSNVKQSLQLKPVSIVANSTESTDGQTVLDNQSAKLVKVLLDFNTEEYYNRVANSLGDKERSAVISGFAEQRAVWSSPPEYVGRGQPPIDRDKRRTNHSCTDHLLDPSSSCYLSVSAAVYMSPEEKAKRRRRAKMWRTAAVAAAVLLLVAFGAAPTASGGAAGATATRPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGSVSHSGAVLLDGTSTAGGCRYRQAIPARSRLDVDTNFGVRRGFLPQGRRRYRPLRQPTAQRVMADTVSEGPTTVFLFGAHTNLALLLMAHPGLRRNIDRVYVSGGAVRTADPAGNLYTAFDTNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTIPVTEEFVSEFRQHQRTYEAQYSLQSLDQSYYMWDSFAAGVALSSMRNGEFDGGNEFAELEYMNITVITSNKPYGKRDGSNPFFDGRATPKFGLKDGGIHSGHVQTGIRDTFCLIPGSSRGRCQDGYTREVSGPEGVRVRVATRAKPNKDKNSILENEFCDSFLEGVLVNGNGWANIASIDIVYDVLHMMGRDDIPVGLGNTTALGIPILGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPGSLDNPERRQPLALEVWQSVRKQLDAGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNVFTVPSNKHAEFNIFLDPLAAKTVLESDMKITLIPLTVQRKAASFKAVLEALEDIQHTHESKFVHELLSLLQELQMKQKLYRHLDIFLGEILGAVYMVEGSGLKPSVQLKPVSVVANTNKSTDGQIVVSKSSPKLIRVLSDFNGEIFSKQLANSLANKTQSAVIGSFEEQKAIWSRPPENSSHGKNKGNLL >LPERR05G12710.4 pep chromosome:Lperr_V1.4:5:12463051:12468095:-1 gene:LPERR05G12710 transcript:LPERR05G12710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPWRTATAVVLLVVTAMATVAATATAAPRRILVDTDMDTDDLLALLYLLKLNRSEFDLQEDT >LPERR05G12720.1 pep chromosome:Lperr_V1.4:5:12481502:12482695:1 gene:LPERR05G12720 transcript:LPERR05G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTALARLGAVVVFMAMVAEGILDPVDFLALQAVRRSLDDMPGSSFFQGWDFTADPCGFPGVYCDGNKVSALALGDPRAGSPGLTGRLDPAIGRLSALTELSLVPGRVNGELPESLSSCQNLRFLAVSKNLISGPIPDGLGGLSNLRTLDVSFNQMSGTIPPSIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPSLPNTLQYLSLSANRLTGTVDSVLPRLTRLNFLDLSMNQLDGPIPASVFALPLSVLQLQRNFFSGLLQPANDVTIQVMDLSYNRFWGPISPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSQNTRPADQCPEWRG >LPERR05G12730.1 pep chromosome:Lperr_V1.4:5:12485836:12486594:1 gene:LPERR05G12730 transcript:LPERR05G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKASRFQRDRVARTLERTDARGDQRERDRQRAQARKPVVKGRDDELTPEQRRERDAKALQEKAARKAAQAAGQGGNGAKGKVAGKK >LPERR05G12740.1 pep chromosome:Lperr_V1.4:5:12485909:12486606:-1 gene:LPERR05G12740 transcript:LPERR05G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLLAGDLALGAVAALPGGLRRLPGRLLLQRLGVPLPALLRRQLVIAPLHHRLPCLRPLPVPLPLVAARKSVGETGKGKRLVEFWRKYLEAVDREAETDGWEPLGWPGFMQGRDLRAILFPEASTRSGTFPHRTGRKKRKKKET >LPERR05G12750.1 pep chromosome:Lperr_V1.4:5:12487429:12493477:-1 gene:LPERR05G12750 transcript:LPERR05G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRLEDEYNEHEEQNDDGSSSQVNNEFLNVHNDIFHITRIKSGPSESIRKSVGTSKDMISTTRLLSGREINSSGNGKFSSVDRAFVLGRYLPIDGPEIVDRMDSRAYVSQFSADGSLFVAGFQVCCASSSTIKSYEAQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQRFLVYSSLAPIIHIVNVGSAAKESYANVTDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIFSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADGYEDITSNWDYRYSSTGQKYIYTGSYDSSVCIYDVVSGSQVAQLKGYHQLAIRDCSWHPYDPMLVSSSWDGRIAKWSRSSRQQETTDLD >LPERR05G12760.1 pep chromosome:Lperr_V1.4:5:12506700:12509813:1 gene:LPERR05G12760 transcript:LPERR05G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKTVVPIHTFVLISNYKLSYNILRRADGSFERSLAEYLDRRTDANARPIEGVSSFDYVIDQSIGLEVRIYRAAENGAAAAGEVEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSTSSTIYDSLCRRFVRLCKGVVVSVNYRRAPEHRYPCAYDDGWAALKWVVSQPFLRSGVGEDARARVFLSGDSSGGNIAHHVAVRAADEGIKICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGIPFTKSLIIVSGLDLTCDRQLGYAEALREDGHDVKVVHRENATVGFYLLPNTNHYHEVMEEISDFLNANLY >LPERR05G12770.1 pep chromosome:Lperr_V1.4:5:12524631:12529013:-1 gene:LPERR05G12770 transcript:LPERR05G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHAPPLLPLLLRRHIHRSTAVHHVVPAPPDGIPSTSSPSPSPPPPPDPASARWPPSWPPSTPPLQSFTASYLREAVAAIVAAILALPAADPDPDLAPVLLAHSFPTLLAVSPLASLELLSLLRPKPHLGLAVFKFRRTLSPAATLPEFVLAISLAGRAHDPAAAAALFAEASTAYSPNQALYNALMSAYMHCGVADGCLEAFHKLEHDPRCGPPNADSYNILIALFGRSLLVDHMEATLRSLDASGQPRTIGTYNALIAGYLTAWMWEKMESVFNEMVLGNIAPDNTTYLLMLRGYAHAGMIYKMERAYEQARQHVGEVDMVHIRAMLCAYCKFQHVDRIQKIEELLQKLGPNDYRPWLAVLLIRAYAQEGLVEGMEQWIAWALERNTIVTTVQVMRSVITRYFECDAVDKLELFVRQAEEAGWKLCRSLYHCKMVMYGKKHRLPEMHGVLGEMEFFRFDRTKKTFWIMYKAYMSCGRTAEANTILGMMCKHGFGFPRSGFIQ >LPERR05G12780.1 pep chromosome:Lperr_V1.4:5:12535865:12547586:1 gene:LPERR05G12780 transcript:LPERR05G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAGTSAGGGAAGDAGEPVWDWGNLLDFAIQDDDESLVLPWDGSIGIEADPTTEATLTLPSAPPSSQPVEAAAEAAPPPLTMQPEGSKRRVRKRDPRLVCPNYLAGRVPCACPEVDEMAAALEVEDVATDLLAGARKKSKSVGRGGGDAAAGGSGGGASRGTPAEMRCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDVDDQLDLSADGSGDVGSGDGELREENMDGTASETLETVLSNKVLDKETPVGSEDVLSSPTCAQPSFQIDQSKSLVTFAASVEACLGAKQENNKLSNSPVHDTKSTYSSSCPTGRLSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTATLVKSLINAPNSLLLGKGAFFVHVNNMIFQVLKDGATLTSARLDVQSPRIHYVYPSWFETGKPVELILCGSSLDQPKFRSLLSFNGLYLKHDCCRIMPHETFDYVESRESILDSQHEIFRINITQSKLDTHGPAFVEVENMFGLSNFVPILVGSKDLCSELEQIHDALCGSSDKSSDPCDLRGFRQTGMSGFLIDIGWLIRKPSRDEFQNLLSLPNIQRWICMMKFLIRNNYINVLKIIVNSLENIIGSEVLSNLEKGRLENHVTEFLGYVSQARNIVDSRPKYDKQTQIDTRWEVDNAPNQPNLGSSVPLVEESTSGEHDLHPTNEASGEEENMPLVTKALPHRQCCHPEITTRWLNPASVGVFPGGAIRMRLATTVSRSDCSASKEVLV >LPERR05G12780.2 pep chromosome:Lperr_V1.4:5:12535865:12548083:1 gene:LPERR05G12780 transcript:LPERR05G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAGTSAGGGAAGDAGEPVWDWGNLLDFAIQDDDESLVLPWDGSIGIEADPTTEATLTLPSAPPSSQPVEAAAEAAPPPLTMQPEGSKRRVRKRDPRLVCPNYLAGRVPCACPEVDEMAAALEVEDVATDLLAGARKKSKSVGRGGGDAAAGGSGGGASRGTPAEMRCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDVDDQLDLSADGSGDVGSGDGELREENMDGTASETLETVLSNKVLDKETPVGSEDVLSSPTCAQPSFQIDQSKSLVTFAASVEACLGAKQENNKLSNSPVHDTKSTYSSSCPTGRLSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTATLVKSLINAPNSLLLGKGAFFVHVNNMIFQVLKDGATLTSARLDVQSPRIHYVYPSWFETGKPVELILCGSSLDQPKFRSLLSFNGLYLKHDCCRIMPHETFDYVESRESILDSQHEIFRINITQSKLDTHGPAFVEVENMFGLSNFVPILVGSKDLCSELEQIHDALCGSSDKSSDPCDLRGFRQTGMSGFLIDIGWLIRKPSRDEFQNLLSLPNIQRWICMMKFLIRNNYINVLKIIVNSLENIIGSEVLSNLEKGRLENHVTEFLGYVSQARNIVDSRPKYDKQTQIDTRWEVDNAPNQPNLGSSVPLVEESTSGEHDLHPTNEASGEEENMPLVTKALPHRQCCHPEITTRWLNPASVGVFPGGAIRMRLATTVVIAAVLCFTACIVLFHPNRVGVIAAPVKRYLSRNYSL >LPERR05G12790.1 pep chromosome:Lperr_V1.4:5:12548464:12548993:-1 gene:LPERR05G12790 transcript:LPERR05G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRADLAECGSVMEVCQQRRMIQRWEKPMIGTLKVNCDGAFQLESGDGGWGYVIRDADGEVVRAGREDYVSCTMLFRPNWKRAIKDAKQRQVWELRVFAWRQMQSPSNMDWLGG >LPERR05G12800.1 pep chromosome:Lperr_V1.4:5:12551140:12554033:-1 gene:LPERR05G12800 transcript:LPERR05G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGISATVHMLFGLYVFSTAVAADISQAAAASGCLLLRRPAATGLVNVAAAGEQEERMGAAPVLLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVFGHARFGRTYDTGHYPVWDEQNPVHFVGHSAGVQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSISLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSRRKVGFTGLFDLLLGYTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRFVMDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRKNPPTVPNETSQ >LPERR05G12810.1 pep chromosome:Lperr_V1.4:5:12563621:12564301:-1 gene:LPERR05G12810 transcript:LPERR05G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSKAELLGRVLIRSLLLLLPALSPDGSHHLLLIPAPDLDAAILLLAMCDSYSPAAAAAASAASAASPVDWRALLVDDAVGSALSISGLGATPWALLAPYVDAAAKCRRFADVVSQERATKDGEWSGGASYAAVLAMPPAAGDGAPCAICREEMAAARGGRGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPAEDARAETRRLWRAVERMAAGDDSAGCA >LPERR05G12820.1 pep chromosome:Lperr_V1.4:5:12566683:12574826:1 gene:LPERR05G12820 transcript:LPERR05G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHNLKFLPPVPSLASLALVLALVSLFYSHPRARVNQALTCQIHPSPLDLSSAPPPPPPGVKTLRISSSSPPSAGAPWICIAFERNCAEMDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12820.2 pep chromosome:Lperr_V1.4:5:12566692:12574828:1 gene:LPERR05G12820 transcript:LPERR05G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKNAGTHGAIVAGAVHAHTAPALLGTQKGGKEEGDRPERLIPGNGDSVAGAVVLHMHWRRAPLPDLLGPRPRR >LPERR05G12820.3 pep chromosome:Lperr_V1.4:5:12566692:12574505:1 gene:LPERR05G12820 transcript:LPERR05G12820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12820.4 pep chromosome:Lperr_V1.4:5:12566692:12571828:1 gene:LPERR05G12820 transcript:LPERR05G12820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12820.5 pep chromosome:Lperr_V1.4:5:12566683:12574505:1 gene:LPERR05G12820 transcript:LPERR05G12820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHNLKFLPPVPSLASLALVLALVSLFYSHPRARVNQALTCQIHPSPLDLSSAPPPPPPGVKTLRISSSSPPSAGAPWICIAFERNCAEMDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12820.6 pep chromosome:Lperr_V1.4:5:12566683:12571828:1 gene:LPERR05G12820 transcript:LPERR05G12820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHNLKFLPPVPSLASLALVLALVSLFYSHPRARVNQALTCQIHPSPLDLSSAPPPPPPGVKTLRISSSSPPSAGAPWICIAFERNCAEMDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12820.7 pep chromosome:Lperr_V1.4:5:12567762:12571828:1 gene:LPERR05G12820 transcript:LPERR05G12820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDDVRSSIKKWNVIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKGGKKKK >LPERR05G12830.1 pep chromosome:Lperr_V1.4:5:12575899:12579798:-1 gene:LPERR05G12830 transcript:LPERR05G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFSIPRGLAGTLPQEGHFTPAATVELNLHKLQPKPLKVRLTALNCSVFYCQLLLLYCLCSYTTPILASAAAAFAMQPRRRPSCITAALSTEREAEYHSQRPPTPLLDTVNFPIHMKNLSLKELQQLSDELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRSESEYDAFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHEIAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKSAAKTLSYTNYFAEALIAEAEQDSRVVAIHAAMGGGTGLNYFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRILLEGERVALLGYGSAVQYCLAAASLVERHGFKVTVADARFCKPLDQALIRSLAKSHEVLLTVEEGSIGGFGSHVAQFMALDGLLDGKIKWRPMVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVQNA >LPERR05G12840.1 pep chromosome:Lperr_V1.4:5:12589881:12591734:-1 gene:LPERR05G12840 transcript:LPERR05G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKSKERSAAKAPKEQPKVAAKPMGNGTVASVYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNTGSCSGESEDPKEKSTSNAPRVDSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLETLAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCNFDGSDESKQQTAADQQAGVNLKIDITDELAKIATLEVKFKCTKQEVERAVVSSEGDLEKAEEALKTHKQESTATASKPEGSCDASGLANKQQVMLAQNPARPQTNGFSSVGAQQMRRDEKDINYKLLVNGNGPKEHTVKAFQPLAQPVKPEMGRQQFVQPEKRRLNANSVPSVSYVTSSPLPVATPQIKSETRHLAGGNEVKSTMHNGNLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNPAKQFVHANHQQSFVSNPIELAANGWGGTWGSGGTSSSLGVASSLGLFRGWSSSESSSSLSRPDWRATEPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNIGGAGMAGLHDSNFSMDPSPSPRPYEWPSFCRGGSS >LPERR05G12850.1 pep chromosome:Lperr_V1.4:5:12591743:12594111:-1 gene:LPERR05G12850 transcript:LPERR05G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAGLDQKLPFRPTAQPKIPFHFHSTHADEERAARRRDARRRRRVFPPATTRHAKQQAGQPDRTRRDDATALTRPTRLGWLIPSRPRSLSLVSLRSPSRSSPSSTTIQARVNQTLTRQIHPSPLDLGLCSSAATAGRQNPTPLSPSPPAADAPGIQQCD >LPERR05G12860.1 pep chromosome:Lperr_V1.4:5:12597479:12598928:1 gene:LPERR05G12860 transcript:LPERR05G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRPYLAALLALFAFSMVTPPPPSAAAANVPITTCRSFCGNLTVDYPFALHAGCGHAGFRDLLFCINGALMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRALDRSRAGRGNGFVVEPWRAPYLAPDPDNVFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYYDCPAWEDYGGRRPSGAAYGASVPPECCAVSWDAIRAVNVSRLECEGYSSAYSLAPVRAASGPRGWAYGIRVSWALPEANRGFCGACRATGGVCGHDVDGDSHGDLCLCGDWNSTSNCDSSADAALSNAATAAPRAIAALCWAVLASGFSIM >LPERR05G12870.1 pep chromosome:Lperr_V1.4:5:12601637:12604766:1 gene:LPERR05G12870 transcript:LPERR05G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGCSSGALVASALLLLAVAAVAPRAAGFHLGGDESGLVRGMLAAIREQAEAEDAARFAVAEYNKNQVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHIRETQSQSSVAADGSAGQDTADPSLAPRTHLHKIENNEVSFGLPSFSQTYSA >LPERR05G12880.1 pep chromosome:Lperr_V1.4:5:12606193:12612082:1 gene:LPERR05G12880 transcript:LPERR05G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRVSCGSLLQELQVLWGEIGQNEAERDRMILQLEEDCLNVYRKKVELTRKQKEDLIEALSFGESDIDKILSALGERGSFSRVEKLGGSLMEQLAKVEPVLEDLRRRRDERVEEFMAVQMQIVRLHSEISGTIDHGDPVAPLVDETNLSLRRLAELKNQLNELQTEKNLRLQKIDVQINCIHEICNTMSLDLRKELYEVHPSFVELGRTTSMSISDSTLKRLAEKVHSLNQEKKPRLRKMQDLGSTLIELWNLMDTPTDEQKCFDDVTSLISVSPNTKMPQGCLARDLIEKVEVEVKRLNCLKASKMKELVLKKMIELEEIFRSVHMDIDSDHERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKDILEKVEKWTSASEEENWLDEYERDQNRYSAGRGAHKNLKRAEKARMLVRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQFAAEQGGSFGMKPSPMRPPSARKPLGQSSNANIIGGTPTSRRVCTPTARKGGLSSGKVKEAGKAAVFIPSNYVALTKDGTDNSSL >LPERR05G12880.2 pep chromosome:Lperr_V1.4:5:12606193:12612082:1 gene:LPERR05G12880 transcript:LPERR05G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRVSCGSLLQELQVEKLGGSLMEQLAKVEPVLEDLRRRRDERVEEFMAVQMQIVRLHSEISGTIDHGDPVAPLVDETNLSLRRLAELKNQLNELQTEKNLRLQKIDVQINCIHEICNTMSLDLRKELYEVHPSFVELGRTTSMSISDSTLKRLAEKVHSLNQEKKPRLRKMQDLGSTLIELWNLMDTPTDEQKCFDDVTSLISVSPNTKMPQGCLARDLIEKVEVEVKRLNCLKASKMKELVLKKMIELEEIFRSVHMDIDSDHERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKDILEKVEKWTSASEEENWLDEYERDQNRYSAGRGAHKNLKRAEKARMLVRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQFAAEQGGSFGMKPSPMRPPSARKPLGQSSNANIIGGTPTSRRVCTPTARKGGLSSGKVKEAGKAAVFIPSNYVALTKDGTDNSSL >LPERR05G12890.1 pep chromosome:Lperr_V1.4:5:12615688:12617283:-1 gene:LPERR05G12890 transcript:LPERR05G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQQQQQGESLMQKCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMSLLAFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLRKARCVAKVLGTLVTVAGAMLMTLYKGRAVEMVWSRHMHIHGPHQDAVAAAAADKDWLKGSIFLIIATLAWASLFILQAATLKKYNAPLSLTTLICFVGTLQAIVITFVMEHRMSAWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENVEKKQAESMEIPVAIKGCVDGNGRIMDIVELDEVQLEKAQANGKAVAVTVPAEEARMQGKDEEA >LPERR05G12910.1 pep chromosome:Lperr_V1.4:5:12651179:12652375:1 gene:LPERR05G12910 transcript:LPERR05G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATATLVSCNALVASLARSGRPADALRAFRDMLARGGLPDHFTLPPVLRSCALTGSSALAASAHALSLKIGAQGNLFVASALVLCYSNLSNLADARRLFDGMRERDAVLWTSMLSAYAQGGQPEEALRFFQGMVATRVQLDAVVMVSLLLACGQIGWRRHGRSVHACCIRRFQGMPLSLGNALVDMYVKCGEFAFAERVFAGMPRRDVISWSALILGHGLNGRPDVALRLFDEMGAEGVKPNSVTFLGALSACAHSGMVDKAYAIFKGMKQHGVEPELKHYSCMADALGRDGRVVAAVKLIEEMPFEPDEAMLGGVLAACRVHGELEAAERISKKLMGMSPAKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGYSSVEYDVSVSKSRF >LPERR05G12920.1 pep chromosome:Lperr_V1.4:5:12672493:12673584:1 gene:LPERR05G12920 transcript:LPERR05G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEPKQVQQQATDVAVVAASAPGGGRKVVDEVSGWMRVMDDGTVDRTWTGPPEALPLMQPVPPYAEPRDGHTLHDIPGEPNLRVYLPEVATDAGAGLPVIVQLHGGGFCISHPSWLMYHHFYARLACALPAVVVAIELPLAPERRLPAQVDVALDALRRLRSIAVSDGADDPAAKLLRTAADFSRVFLIGDSSGGNLVHLVGARVGEDGAGTWAPLRVAGGIPIHPGFVRATRSKSEMEGTADSVFFTLDMLDKFLAMALPEGETKDHPYTCPMGPNAPPLESVPLPPMLVAVAEHDLIRDTNLEYVDALRAAGKEVELLVNRGMSHSFYLNKYAVDMDPVTGERTKELVDAIKSFVDRR >LPERR05G12930.1 pep chromosome:Lperr_V1.4:5:12677127:12681547:-1 gene:LPERR05G12930 transcript:LPERR05G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAERDGVREEQKNKKQGGFRTMPFILANEVCDRFATAGFTANLITYLTQQLHLPLVEASNTLTNFSGTSSLTPILGALAADSFAGRFWTIIAGSLFYQLGMLTLVASALLPSLRPPPCAPQSHVSCDRRATGWQLAVLYLALLCTSLGSGGIRPCVVAFGADQFEQQKNKQQKGGGGGGEEAEAGRKRRYFNLYFFTMGIAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQVVAAAFKKRRAAVPEDPGLLYKDKELDLLISTNGRLLHTNQLTFFDRAAIVTPGDIADSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNGTFTIQQARTMDRHLTPRFQIPPATMSIFSTAAMLLTLAVYDRAFVPLARRVTGLPSGITYFQRMAIGLGISILGVASAALVEARRRAAAAEHGLLDNPAAVVPLSVFWLVPQYAVHGAAEAFSSVGHMEFLYDQAPESMRSSAAALFWLSMSLGNYMGTVLVTAVQRATRGGGEWLQDNINRGRLDCYYWLVTILMVVNLGYYLLCFRFYTMKPLEVAGEDDDHDKECELSTVHKNGGAGAAGLV >LPERR05G12940.1 pep chromosome:Lperr_V1.4:5:12683078:12684695:1 gene:LPERR05G12940 transcript:LPERR05G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREKRGKFFVGPTISSFDCGMGILSVSSS >LPERR05G12950.1 pep chromosome:Lperr_V1.4:5:12684486:12684878:-1 gene:LPERR05G12950 transcript:LPERR05G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRTYASGSIRVQQDGGSVTAVSEYGASGAPSPPVLSLPELIIDLPGLFLMTKSRLHNLLVGKSFILPRRHRPPRVKPRHCLELTAAAIVFDNLVYLLCKRESEGRRTTGTTQLTAARDHRHPYLSPT >LPERR05G12960.1 pep chromosome:Lperr_V1.4:5:12695759:12708496:1 gene:LPERR05G12960 transcript:LPERR05G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAEEDGSPRKKKQGGFKTLPFILVQVLTTELSANAANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFTGTAAFTPVLGAIVADSFTGRFWTIAGGGTLYQLGMLGLVVSALLPALRPAPCSGAATCQRADGGQLAALYLSLLCTALGSGGIRPCVVAFGADQFGLGGKRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGVPAIAMFVSVSSFVIGYSFYVKVKPEGSPFKRLVQVVVAAFKKVKEPVPEDAGALYHNKELDAAIAADGRLLHTDQLRQAQFLFSVLHLHVQCIDRCVLFLAYSFLDRAAIVTAGDIAEGSGEPNLWRVSTVHRVEELKSIVRMLPLWAASITAIAAGSHNFTFAIQQARTMDRHVTPRFQIPPATMIIFTTLTMLVSLALYDRVLVPVARRYTGRRSGITYFQRMGAGFAVAALGVLAGALVEAKRRGAAAQHGLADTPGAVVPVSVFWLVPQYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASGGEWLQDNINRGRLDYYYWLVTVLLVLNLAYYFVCFHFYTLKSFEVDAGDEAQRPLRDVAGNSDGETKVSGDEGGPVGCQEMVKVSSPGIETQILLEASSFLGIVPVTISTVELDLLLRFHRLQWLKGVVPDADEVVDEVEPLQPEDEPVVVVQLRSVEVVLQPLPAPLRLGVDDGDEQRAEVAPDRHGEPVERRRGAPHAFGRLVVEKLHAAHVHERVGDAVDGVLRHEPEHADGQRAALGRLLLCDADVTSRMPAAQMGSMRRIDFSSSTRCTVDSRHRFGSPDGCTSPSVTIAAFLLELVGVEETAGGGDAGVEHLVLVEPAWFRHDGFPLLEGSGGDLSETDKRASSRRHPDVHRVAGHEGDHRGEHGDAIMSEDKSKDDAGEQIQQGGIKTMPFILANDFCDRFATIGFNANLITYLTAELHLPLVEASNTLTNFHGASNLTPIVGGLIADSFAGRFWTIAAGSVAYQLGMVGLTVSALMPSLRPPPCHVGEAVSGQACQRATPWQLLVLYASLICTSIGTGGTRPCVMAFGADQFELRKPRRRGEAGAKDAPRWSFFNLYFFGVELAKLVAITAVVYIQENVGWGLGLGVPTVAMLAAVTAFVAGYPLYVKMAPGCSPLTRLAQVAVAAYWKRNVAVPDDPGLLYDDKELDAGVATTGRLLHTNQLTFFDRAAVVTDGDVARGGVPRPWRLSTVHRVEELKSIVRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTARLEIPPATMLIFSNVAMLTTLALYDRVLVPRLRRLTRHPSGITHLQRTGIGLAISTVSNVVAAVVEGWRKRAAARHSLLDSPGVTVPMSVFWMAPQYAIHGAADAFMDVGRMEFLYDQAPEGMRSTAAALYWLTMSAGSYMGTLLVTVVHERTKGEGEWLQDNLNRGRLDSYYWLVVTLQLINVVYFVICAKLYTYKRLETVDQDSARERDKTIDQESVGGRDEKESNVKDVELQPLLLSDVALA >LPERR05G12970.1 pep chromosome:Lperr_V1.4:5:12698607:12703106:-1 gene:LPERR05G12970 transcript:LPERR05G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGRGDEKGVDVDVVVVKKAKKGGFRTMPFILANDFCDRLATVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYVSLLFTSIGAGGTRPCIMAFGADQLELDAHGRRPKWSFFNLYFFGVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMFAAVIAFVSGYSMYVRMPPGGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTNQLKFFDKAAIVTDGDVQPSGEPNLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITSHFKIPPASMLIFTNLAMLLTLAFYDRVLVRMLRRLTGHPNGITHLQRAGVGMTIAMIANAVAAAVERRRKSVAFASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTERSGQWLQDNLNRAKLDNYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEEVELYRRNGNGDDAKKGGSFK >LPERR05G12980.1 pep chromosome:Lperr_V1.4:5:12711463:12741060:-1 gene:LPERR05G12980 transcript:LPERR05G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASGFHLQSISLLPSPCLLSSNPSHLSHNGFPWRRRGGGAAGTVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFTGVSPPLLQAIAKALKFPVSSMLPEEAFSVIRKSFDARKILKEPQFIYTVDVDVKKLLDMEPRTWDFIARLEPKLGTIEYMSDEKIASDLVSMLNVEKQDSDDGLGLDDIVNNGSLCSPRKKPRVAVVGSGPSGLFASLVLAELGAQVTLLERGQPVEQRGRDIGALAVRRILNLESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPPNILVDGKPHLGTDKLVPLLQNFRHHLKELGVTIRFNTRVDDLVVEDEQVKGVVVSDTRLQLDSPNQTLSFDAVVLAVGHSARDTYSMLLRHNIAMNPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSVGEENADLPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFKSFESHGSLAGVEFQREFERRAAIMGGGNFVVPAQRVTDFLSNRLAGATIPPSSYRLGVRPAKLHELFPSHITEALQQSILMIDDEMPGFVTSEALLHDKNKLPVANFTKYQYI >LPERR05G12980.2 pep chromosome:Lperr_V1.4:5:12711463:12741060:-1 gene:LPERR05G12980 transcript:LPERR05G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASGFHLQSISLLPSPCLLSSNPSHLSHNGFPWRRRGGGAAGTVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFTGVSPPLLQAIAKALKFPVSSMLPEEAFSVIRKSFDARKILKEPQFIYTVDVDVKKLLDMEPRTWDFIARLEPKLGTIEYMSDEKIASDLVSMLNVEKQDSDDGLGLDDIVNNGSLCSPRKKPRVAVVGSGPSGLFASLVLAELGAQVTLLERGQPVEQRGRDIGALAVRRILNLESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPPNILVDGKPHLGTDKLVPLLQNFRHHLKELGVTIRFNTRVDDLVVEDEQVKGVVVSDTRLQLDSPNQTLSFDAVVLAVGHSARDTYSMLLRHNIAMNPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSVGEENADLPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFKSFESHGSLAGATIPPSSYRLGVRPAKLHELFPSHITEALQQSILMIDDEMPGFVTSEALLHDKNKLPVANFTKYQYI >LPERR05G12980.3 pep chromosome:Lperr_V1.4:5:12711463:12741060:-1 gene:LPERR05G12980 transcript:LPERR05G12980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASGFHLQSISLLPSPCLLSSNPSHLSHNGFPWRRRGGGAAGTVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFTGVSPPLLQAIAKALKFPVSSMLPEEAFSVIRKSFDARKILKEPQFIYTVDVDVKKLLDMEPRTWDFIARLEPKLGTIEYMSDEKIASDLVSMLNVEKQDSDDGLGLDDIVNNGSLCSPRKKPRVAVVGSGPSGLFASLVLAELGAQVTLLERGQPVEQRGRDIGALAVRRILNLESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPPNILVDGKPHLGTDKLVPLLQNFRHHLKELGVTIRFNTRVDDLVVEDEQVKGVVVSDTRLQLDSPNQTLSFDAVVLAVGHSARDTYSMLLRHNIAMNPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSVGEENADLPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFKSFESHGSLAGVEFQREFERRAAIMGGGNFVVPAQRVTDFLSNRLADKNKLPVANFTKYQYI >LPERR05G12980.4 pep chromosome:Lperr_V1.4:5:12711463:12741060:-1 gene:LPERR05G12980 transcript:LPERR05G12980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASGFHLQSISLLPSPCLLSSNPSHLSHNGFPWRRRGGGAAGTVRCAKRTGKRRYPSEKKRLDRRHKELLRQAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFTGVSPPLLQAIAKALKFPVSSMLPEEAFSVIRKSFDARKILKEPQFIYTVDVDVKKLLDMEPRTWDFIARLEPKLGTIEYMSDEKIASDLVSMLNVEKQDSDDGLGLDDIVNNGSLCSPRKKPRVAVVGSGPSGLFASLVLAELGAQVTLLERGQPVEQRGRDIGALAVRRILNLESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPPNILVDGKPHLGTDKLVPLLQNFRHHLKELGVTIRFNTRVDDLVVEDEQVKGVVVSDTRLQLDSPNQTLSFDAVVLAVGHSARDTYSMLLRHNIAMNPKSFAVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFKSFESHGSLAGVEFQREFERRAAIMGGGNFVVPAQRVTDFLSNRLAGATIPPSSYRLGVRPAKLHELFPSHITEALQQSILMIDDEMPGFVTSEALLHDKNKLPVANFTKYQYI >LPERR05G12990.1 pep chromosome:Lperr_V1.4:5:12772922:12774251:-1 gene:LPERR05G12990 transcript:LPERR05G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAASGFHLQSISLLPSPCLLSSNPSHLSPRLPVAPTRRRRGREPGTVRCAKRTGKRRYPSEKKRLDRRQKELLRQAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFTGVSPPLLQAIAKALKFPVSSMLPEEAFSVIHKSFDARKFFHTVIPSLSYLCFVALQRDEIATWLLVVTQILDAETSKWCTQIGLTSTQ >LPERR05G13000.1 pep chromosome:Lperr_V1.4:5:12775146:12779319:-1 gene:LPERR05G13000 transcript:LPERR05G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALLDPSPFDLRHYPAHLFDPDLPLAAGGGGGDDDNLPLGAFDGLEFDLPGDFSVEDFLLRSPDRGDDSGEGSAAGSAGPVASPSSPTTSGSNSAVANGSCEVKHEESDEGRSGADPDPKWSLKRKQASPGLSSDAAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLSGGSANCPPPGVYPPPPIPGMPFPWVPGYAMRPHGSHVPLVPIPRLKSQQPMPASKVAKKPETKKNVENKSKTKTKTKKVASVSLLGLLLMMLVFGAFIPGFNHNFGMGGQSNNAVFRNFVQSHARVLSSSSQDKSNLSHSDMIGVDVGKRTGSTDGSGKKHQSADNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKGDSAQSAKDHKETSVAIARYLSLPGKDMNQQETSSPDGPLPQWFREGMAGPILNSGMCSEVFQFDISAASNNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTVNNTEPFNRTSEGRKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKSLSKIFVVVLVDGSRYVTYSCTLPFKSASPHLVN >LPERR05G13010.1 pep chromosome:Lperr_V1.4:5:12787029:12791413:1 gene:LPERR05G13010 transcript:LPERR05G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPVAAAEDGRVMELDGHVDPFLVEALDNPRHRLMVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTPESKFPVIALSEVPSKQARNEHEAAEKLKFVICQRPKAFQNDAGDAGAKNGAAKTVEERIEEYNKARERIFNGSISGIEGTIDLGALSVARDEPVNIEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRTYKRYVRGPVNDFNVSPGGFNFVVPQFMQYGVGYMQSANMSRNQPSVYFGQPDLSMGSPSGTAIYPQWPTPAMIYPHCYDNLGHMVSQVPVYQSFNHG >LPERR05G13020.1 pep chromosome:Lperr_V1.4:5:12802730:12803819:1 gene:LPERR05G13020 transcript:LPERR05G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVVAGRREQSKLAAPAAAASTSSGSELQLVGEGGRRHHRRHQAVVHPRRANADGRAASGDGAAEEPVVKASAGAGAIVTKRAGGGVMEVADLRRVVVVVVVRHRVDEPLVKLRRPHKPRPLQRPRRLVASASSSSSAAAARAGSRRRAAVHLPRAQTAAAASPRAINPQTSRAPAAAVSRRDVEGDGEIGHSGISSSGAGSCSSHRANQLGSSSSLILLPLHLQFFFLLATNQQPVQTRKKRRGGEGGY >LPERR05G13030.1 pep chromosome:Lperr_V1.4:5:12802739:12803719:-1 gene:LPERR05G13030 transcript:LPERR05G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARWEEQLPAPDELMPLSQSLITPDLAVAFDIPTAHGGGGGAAGLGIDGAGGGGGGGLGAGEMNGGASSAAGSSGGGGGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGVGNGGGGGGGGGGGGGAGGSHSSGSGTDAATEHLFATGPVPFLPPGRAPAGGDPYPPFAPMAAHHHHHHHPSQIGHFHHPAARPLGHYGSGPGAGFDHGFLSRAVAGGGPPVGVGPPGMHHRLVPAMMAPSSFSDELELGSRGGGGGGGGRRELTLFPTSGDH >LPERR05G13040.1 pep chromosome:Lperr_V1.4:5:12830099:12831070:-1 gene:LPERR05G13040 transcript:LPERR05G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGSEAPAPEPELKLYGSWASSYTHRVQLALRLKGLEFEYAEEDLGNKSEALLRHNPVHKKVPVLLHRGRPLAESVIILQYLDDAFPDTRPLLPSDPFLRALSRFWCHFADDKLGPAVGAVFASTGKEQEEAVRQVHENLAMLEAELREGEFKGRRFFGGDRVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEAQEEVKETIPSVDRLLEYARGLRQMLLALAAGAGDGGSTGVPVSSAVDAPVAAAPPAPAPAAAAVDI >LPERR05G13050.1 pep chromosome:Lperr_V1.4:5:12845066:12848224:1 gene:LPERR05G13050 transcript:LPERR05G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDTTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEGIAE >LPERR05G13060.1 pep chromosome:Lperr_V1.4:5:12851162:12855051:1 gene:LPERR05G13060 transcript:LPERR05G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLAPLSSASASLGRGLARASARIAAPSPSGLLPVRALALRGRGRSSFAATGAVMGKAGIVGADAGMDAVQRRLMFEDECILVDEQDNVVGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEEKYLGVRNAAQRKLFDELGIQAEELPVDQFIPLGKMLYKAPSDGKWGEHELDYLLFMVRDVKLNPNPEEVADIKYVNRDELKQLLKMADAGEGGIKLSPWFRLVVDNFLMGWWDHVERGTLAEAVDMKTIHKL >LPERR05G13070.1 pep chromosome:Lperr_V1.4:5:12859900:12862417:-1 gene:LPERR05G13070 transcript:LPERR05G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELFRGAAGSAGICDVIENAILVAVADAPQELLRRRDRICEILFTAPRGAAPPPPSSHHHGCGSATTPTPATPVEEDKGSVRRVPEKQSNVDSSSNGALALAGGRRNDDEDEDSDSDSDDERLRRAAACNYGHNYDDDDEEEEQEQEHQEAAAEEEEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKVLVDEWVSTTNVALTDNSPGSSKTSVVDDVDDEGLPSPPLDEGAFFATQTTAIQLSEFFDEMDEDGNLRHNNDGNLGNKRENNGRRPASHPGLAKQEHSRNMEAVVKIQSRRPESARQEPSVRQANPQNHQNSSLQVRHQAMLNKQSKPLNSESGPGRPLRAPQQKPFAEAKSRLAREQVAVERKPAAAHVDKSRLPAQPSAGVKQDSAKFEAAKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMLKSRNNVRSWANGRR >LPERR05G13080.1 pep chromosome:Lperr_V1.4:5:12877770:12881189:1 gene:LPERR05G13080 transcript:LPERR05G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVVGWLVCPVIRIVVDKAKACASDKIRWLNGGVPDALTQLQNALTQLRAVAGAVERSLGGGCGRGDPDLVSWLRHLKEVVYEADDVIDDFNYRRHSSQDGKVSLGSSSISKFGKQLVGKDESLNKLKAVVQKLDGIFASSGLLMQAAGLPKPGFGGESSSPQPRADGPVTGSMLGLEDEEVFGRDAELADMVSWLIAGTDDGAAADQRADTVPVAAIMGHGGMGKTTLARLLCHDQEVKGTFDLIMWVCPAGNYNKLDLTKQILHSADVQLPDSMTSFDWMQKKLAKSVSSRRFLLILDNIWDKNEKDVMDEHSYSKMWSDVLVPLRCGRAGSKILVTTRRKIVADSLNANKQVWLNELKFADIWLLFKKCAFGNDDVGRYSELKEIGEKIAMKLKGLPLAAEVVGGMLKGTRSSSEWKRISEMGIYDSVSSTLELCYRNLQEHLQPCFAICSIFPKNWRFKRDKLIKIWMALGFIQPRSGDGKNQLEEDVGKEYFNQLLARSFFHERKEGRRTYYYIHDLMHDLAENVSRVDCARVESADKKDICVPDTVRHLSVTSNAVMQLKGRIELKRLRTFIIFKHSSSSLVQLPDDILKELKGLRVLGLDGCDIVELSDKVGLLIHLRYLALCRTITKLPKSVTKLFLLQTLSIPKRCQLEEFPKDMWNLKYLRHLDTNRTSTSKVVGIGKLIHLQGSIEFHVKKEKGHTLEDLNDMNGLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSTGKSVPSIDVQVLEGLEPHSLVEEVHIRRYHGTTSPSWLDMSCRKDKTFLKYLHLTNCRKWEFLPPLGELPFLKLLHLKEMCSLKHIGSEFYGTNSTAFPCLEDLEFDDMPKWVEWISEEGKHVKVFPELRKLKLLNCPNLIQVPSFPLSVRKATVENTGFVSHLKLSPFTSSTKGSKFKLETFSAAVFTDGLFHQQRVQEIVDLTLRHGQDVKFEELHALSSLKRLQIAHLDITDEQLGTCLQCLQSVTLLDIVHCSKVTTFPQIESPSCLKFHELNIRQCPQLFSLHSLPSFATLETVLIENCSRVTAESFPANFNSLTSLRKLSIMHCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKVAFIPMKLIH >LPERR05G13090.1 pep chromosome:Lperr_V1.4:5:12882472:12884403:-1 gene:LPERR05G13090 transcript:LPERR05G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPAAAPWPVSQEISSLAGRLLAHADGDGDAGLRDLAAALLRIQPVAGGIERRGPGRGADLTDWLLQLKDAVAEADDLLDELLRRRCRRGTVFASCLAGSKPSSRELKRLVGRIDRIHDCSEPLVDAAEEEAGEVGFDGVRSPNRVTGSVLTERKVIGREKECGEIVSRLVDCAEEACCSAPSVVAIVGHGGMGKTTVAQFVYNNERIEEHFELRAWVCIWDRSDAAELTREILQSIGGPDDGLYGDSSAELEILQEKLEVLVRSKRFLLVLDDIWNDEGMTKLENKDLWKKVLAPLRSAARGSKILVTTRMKLVLEFLNSTQVVYLDVLGSSDCWLLLKEAALGGESMDVPPDLQEIGQTIARNAKGSPLVSKALGQMLRNTRSTWRWRTLLDTEISHNIIVSSLQHSYQHLPGHLQRCFAYCSIFPRGYRFQRDKLVKMWVALGFIQISRNEGKKDYDLAQEYFHDLLSRSFFCTASKDNQTFYFLNNLMHDLAQHASAHDCIKIDEGMPSMIPPTVRHLSISTEYFPQLKSKCRLGRLRTLLVLRSPSLSLSHLPSKFLSEFKNLRVLDLSESDILEFPETISQLFHLHYLALCRLTNKLPKCLYRLLQNGVLDMPLLVFSDSHSRGTCKLATHKAS >LPERR05G13100.1 pep chromosome:Lperr_V1.4:5:12903186:12906497:-1 gene:LPERR05G13100 transcript:LPERR05G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSEEEAMEEEGSEEEAMEEEASEGSDGMPPTSEAMFQPRKRKKSTAVDEDCLMKLRTCLDAEIARMFYSSGLPFKLARNPDYVRLFSTPTSDEEEDESQDDRHSLTTQMFLSEG >LPERR05G13110.1 pep chromosome:Lperr_V1.4:5:12913189:12916291:-1 gene:LPERR05G13110 transcript:LPERR05G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHYAPLRLILLLILSATCFGSEQDVQCLKTILQSVTDPNAILKSSWNFANNGTAGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPQGLLNCTSMTGLDLSSNNFTGPIPLDISRQIPYLTSLDLSYNRFSGQIPENISNMTYLNTLNLQHNQFTGQIPLQFNLLSRLASFNVAENRLSGSIPTNLQKFPSSNFAGNPGLCGSPLDGCQASAKSKNNAAIIGAVVGVAVVIIIGVIIVFFCLRKLPARKAKKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFSKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEGKDSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLLGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSVEDDLMLPPLSTDGETLDELIVAQ >LPERR05G13120.1 pep chromosome:Lperr_V1.4:5:12928503:12928856:-1 gene:LPERR05G13120 transcript:LPERR05G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVARLHEAAREVGVVRPFESLANASLGGLASQVDALAEGIKGTPEEVDEVAKDSSYDLARQVATVILASYQARDPNFNPYVPTEDFPAGTEESARRRVTDAVDSIMVGFDGTSN >LPERR05G13130.1 pep chromosome:Lperr_V1.4:5:12933217:12936491:1 gene:LPERR05G13130 transcript:LPERR05G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASSRAETMPPQDQAAAPAPAVASLYRRALPSPPAVEFASAEGRRLFSEALEGGTMEGFFGLVSCFQTQSEPAFCGLASLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVKSKGITFGKVACLAHCSGADVRPFRAREVTLRDFRRHLVRCASSQDCHLIASYHRKLLGQTGTGHFSPIGGYHAGKDMALILDVARFKYPPHWVPLPLLWEAMNTIDEATGLLRGFMLISRNTAAPSLICAASCRDESWQSMAKYCIEDLPNLLKDDGVDNISTILSRLVHHLPPNAGNMIKWVIEVRGQEEGVSSLYEEAKEMPFLKEKVLKQIRDTKLFQLVHELQCSKLPCCNGSSSGDEDSLVKIAADLCCQGTTLLNGSFFRETGSECVQVHGEGFKSKTVVTGKVVSEGNEQGANKLSSISSLETSLCTPNLSNEIVNPSNTDILTVLLLSLHPSTWLGIEDERLKDEFQSLVSTDNLPDALKLEILHLRRQLRYLKACKEKEAYEYEDHVPQTP >LPERR05G13140.1 pep chromosome:Lperr_V1.4:5:12938015:12939264:-1 gene:LPERR05G13140 transcript:LPERR05G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLPVPILPTGFRFQPTDEELAVNYLQRRTVGQLSPIPIIADVDIYSFNPWELPSMALLGEHEWYFFTPRDHRYPNSVRPNHSAPSGFWRAIGTDKPIQVGGVQDAPIATRKSLVFYIGRTPMEIKTTWIMHEYRLTNTRGATISSSPTGTTPYPNEWVLCKIFNNALLPDNSPPTNVARSEGHPPSPLGNPLPVGFIIENNNNMLFPIQEGQEGTFSQAHQHHQPVPAIFPNLEPPGTGNNPSLNGVAAAVADNGRLDEEDTSAYTFSDQEMEQMLMDLMDQDFFKDEE >LPERR05G13150.1 pep chromosome:Lperr_V1.4:5:12941998:12949182:1 gene:LPERR05G13150 transcript:LPERR05G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFLRLLLLVVLAVVRPCAAADAGAADDGSIVELWPMPTSASKGGQTLHVSKDLKMTAVGSKYADGKAILKDAFQRMVTLIELNHVINGTYQGLPMLAGLNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHAFEVAGLPHHALNNGLEAELQRKL >LPERR05G13160.1 pep chromosome:Lperr_V1.4:5:12952814:12956379:1 gene:LPERR05G13160 transcript:LPERR05G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIRSAIYLAIAIIAITAAVTKIARGRRRTSDLACNRPPPPVVNCFALLGLLPTLFRNDLPAMMHQLYIKFGSVFTISVVGLLKVTFLVGPEVSAHFFQGLESEISHGNLFEFSVPMFGKEVGHGVDDATRNEHSRFVVDALKPTRLKIHVDPMVQEVENYFAKWGQHGTIDLKYELERLLLLITGRCILGKEVMTMFDEVCKQFREIENGVNLTSFFFPYSPVIPSNHRRDRAREKLHSIFSDIVRSRKLRQRDQVDKDVLQSLIDSRYKDGRPTTEAEVSGLIISLLFAAKHTSTHTSVWTGARLLTHDKFLAAAVAEQDHVVKKHSATTDGRIIDHYSFLMDMNMLHNCIKEALRLHPPAPMMVRQAQKQFIVRTREGDEYCVPAGHTIASPIVINNHMPYIYKDAHLYDPDRFGPGREEDKVGGKFSYTSFGGGRNSCVGEGYAYMQIKAIWSHLLGNFELRLLSPFPKTDWSKLVPEPQGEVMVSYKRRQLPTT >LPERR05G13170.1 pep chromosome:Lperr_V1.4:5:12958734:12978814:1 gene:LPERR05G13170 transcript:LPERR05G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELYMKIGSVFTISVARILKATFLVGPEVSVHFFQGLESEVSHGNLFEFTVHMCRKEVGHGVDTATRIEHSRFIVDALKPTRLMIHVDPMVQEVELVLRLWK >LPERR05G13170.2 pep chromosome:Lperr_V1.4:5:12958704:12959369:1 gene:LPERR05G13170 transcript:LPERR05G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFISSLIEVCSSAMCIEIAILAITALVTKIATGRRSTSDLASNRPPPPVVNCFALLLSPRTLAYSQQNWCANHDS >LPERR05G13180.1 pep chromosome:Lperr_V1.4:5:12980284:12983163:1 gene:LPERR05G13180 transcript:LPERR05G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFKEKPYLPLYSPGGTRWVRFEKSDGRRRPVPLHPAAEPQRPADGSRRGRQCPARAQQESASALRSTGEQRAAAQGAAWQRRRVWPPARVSVRGAAPRGSQAAFRQDGSWHSRCLLGKEVMGTMFNEVCTQFREIENGVNMMSVFFPHSAIIPANRRRNRARERLHAMFSDIVRSRKQQQQQGRDHQVDRDVLQSLIDLQEAEVSGLIICMLFAAKHTSTYTSIWMGARLLSHGNFLADAVAEQDRVVRKHGSDANGRITDHFGFLMDMPTLHNCVKETLRLHPPVPVLVRAAHKPFTVRTREGREYVVPGGNTLANPIVVSNSVPYIYKDAHLYDPDRFGPGREEDKVGGKFSYASFGGGRTSCVGEGYAYMQIKLIWSHLLRNFELKLLSPFPESYWSKLVLEPQGKLMVSYKRRRLLPTT >LPERR05G13190.1 pep chromosome:Lperr_V1.4:5:12984442:12992004:1 gene:LPERR05G13190 transcript:LPERR05G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSLNEQKAEEFKLKANDAFKANKFSLAIELYSQAIELNDSNAVYWANRAFAHTKLEEYGSAVQDASKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGDEEKLSVADSIDYHIIEVEPQYTGPRVDGDTITLDFVKAMLDEFKKQKCIHKRYAYQIVLQSLELLRSTPSLVDVNVPNGSHFTVCGDVHGQYFDLLNIFKLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPKGMYLARGNHESKSMNKIYGFEGEVRSKLGETFIELFAEVFCALPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEILWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQENNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFTAPDLKPDISSFSAVPHPDVKPMAYANNFLRMFQ >LPERR05G13200.1 pep chromosome:Lperr_V1.4:5:12993020:13000464:1 gene:LPERR05G13200 transcript:LPERR05G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAWAVALLFLLLDGSLLPSTADAADTITASRPLSGNQKVESRGDKFALGPTARWASAPVWERGGRCLLRLSAAAYGLPIAAAPCQANAVQRIICLAFAGFPNRGRRRVGGPPNKWYIAIWYNKVSIQAAVWIANREAPISNPYESQLTISQDGALVLYDQSRSVVWSSNVPNVASSNVNSSEAKTVAVLLNTGNLILSQASNMSHVLWQSFDHLTDTLLPNMKIGLNKVTGARTRLVAWRNQFDPSPGIFSVEMDRNDNTQYIFLWNNSQPYFTGGKYDPDTGAFSGIPEMTPMRNSMYTFQYVNNSKEAYFMLTVKNDNILFRLTIDASGQARSTVWMENRNEWMLFFLQPKAKCAVYSMCGAFSRCRESSLPPCSCLKGFHEQSPNSWISGNYAKGCTRNVGLQCHSNSSAQKAREDRFYVMNNVKLPDWSHSVPVESVGYCKVACLNNCSCSAYSYNGTCLLWYTDLVNLQDSLDDSGDTIFIRLAASELPDSKTKKLRVVFIIIGGLIALVCGVTACTACICLVRKRTMKAILPSTSDGRLATFKYSDLQLITKGFSEKLGSGSFGSVFKGVLPDKTVVAVKKLEGLHQGEKQFRAEMSTIGTIHHIHLVRLLGFCSHGTQRMLVYEHMHNGSLDRHLFGNNSGALGWSRRYQIAVGVAKGLAYLHEKCRDCIIHCDIKPENILLDVTFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGMAITTKADVFSYGMLLFEIISQRRNIEKGEQGANMFFPVLVAKKLLEGDLQALLEPESVAEIDLEELARACKIACWCVQDEENSRPSMGEIVQILEGFVDVSIPPIPRYLHVLAERADLAESSVNE >LPERR05G13210.1 pep chromosome:Lperr_V1.4:5:13001466:13004073:-1 gene:LPERR05G13210 transcript:LPERR05G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATPRAFIIVVLVALCALDDARAADTVASGRPLSGHQRLVSLGGKFDLGFFHPDGGVAGRWYVGIWYNNISPPTPVWVANRRTPVSDPATSRLAIAPDGNLALFDRNRTAVWSTNVANATATVAILLDTGNLVLVPTSSSTNASAVNAMPLCEPAPSKAWYRGRSPYDPTPGEYALQLDPSGAPQYVLMWNGTREYWATGNWTGRIFAGAPEVGSSSGSAGYTFRFVDNDAESYFTYDFADESTVYRFVMDASGQIKGWFWVEAKRAGNLVYAEPKSRCAVPRGCGAFGVCSDGAAACGCVAGDRRAGTLLNCGGKNGSGGEMDRFLRMDGVRLPDDGIRLDFAGGDDGETACLVDCSCSAYAFNGSCVVWRGDLLNLQDGLGGGGDGENLYLRLAASELSGVKNHHKWSTAVIAIGAASIASLAIAAAVIVVHVTRRRRIRARIQGLSASGDGGVISFKYSDLQFITNNFSTKLGSGAFGSVFRGTFPDDNGMAVAVKKLEGLRQGEKQFRAEVSTLATVRHVNLIRLLGFCSSSSSSGDRKKLLVYEYMPHGSLDRHLFVRRPGSPATPPPLSWAARYRIAVGVAKGLAYLHGEMCRDRVIHCNVKPENILLDAGLSPKVADFGLPKLVGRDFSRVLTTMRGTVGYLEPEWISGEAITPKADVFSYGMTLLEIVSGRRNAEHVSSSTSTSSALFFPVVVARRIAEEGDDDEVVMELLDEELDGEADAEEVRRVCKVACWCIQDGLEERPTMAEVVQALEGVMEFESPPVPRYLEVLAGGRMPMHETMETD >LPERR05G13220.1 pep chromosome:Lperr_V1.4:5:13006051:13007418:1 gene:LPERR05G13220 transcript:LPERR05G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSASCVDGKKRCVCYYYDPGIAHIKLSDEHMMVPARVAMAHSLVAAYGMLDSMRRLRTRPATESEIRRFHSPEYVDLLRDLTPESYDHGVLGRLKDDDHGLGGCIECPPFDRLWNYCRGYAGGSLAAARALAGGESDVAINWSGGMHHACAAKASGFCYVNDIVLAINELLGAGAGAFRRVLYVDVDAHHGDGVQEAFADSDRVMTLSFHRYGKTPHGGKFFPGTGLVSEIGRGAGEHYTVNVPMDAGVHDDVYQSLFKPIVSKAMEVFDPEAVVLQCGADSLSGDRLGSMELSVRGHAECVSFLRGFNRPLLLLGGGGYTINHVASSWCYETAVAIGKERDLPDEIPKHGYDLFYMNQGNKLHYSVSEATVNSKLLKKAAETKQIVLEHLSQIKAVPSVQFMQRRGVDAGDDLYYECAPSLEEDEPMQRLHRLCHAVGQGKRLKLK >LPERR05G13230.1 pep chromosome:Lperr_V1.4:5:13012808:13014444:-1 gene:LPERR05G13230 transcript:LPERR05G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGVAVHPLYGMTTADLKNLAYRDEPFILAKDVTQVFYFKDMCTRQKRGRNDKSVGEPKRHIILSGKRSIVGIDDKLDMSEDYEMNYEIPPFAVNIDPCVRLNSEGAPWLRRDHNQGTYKDELTYALETPQHPGRTRGVGVVPWKVGFPAHADTYRSRKRKKDEETDQLRIGVGLEVGHRDPESEPTVDVSPTQHRSNVASTEVPEDEDVLRRYPVDDITEATPCELHTPAKNLTILVANGIQREGTNLCAFYVVESIMSRGQRTYSALSDLKYRRVRVAEEDKHKTIQEALACFLNDEVLDPKGEHYYDGRLEPASIDYNIDLDDPNFD >LPERR05G13240.1 pep chromosome:Lperr_V1.4:5:13022972:13023931:-1 gene:LPERR05G13240 transcript:LPERR05G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSLPLSSGSVITVSSSSAVGASSGNTATASAGAGGGVAGSGAGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGAAAAAAVSSSNGQSPAAMADFIGSTVPNCTQNFINGSHTVVAASAIGGGFVHDNQFATVQMLTRSYDGGENAVARLGGAVNGGGGGYEYGYSSTMNGGGGGPMSGLGPLGGGTFLKPGTTGCGGERPTAAQ >LPERR05G13250.1 pep chromosome:Lperr_V1.4:5:13039472:13043552:1 gene:LPERR05G13250 transcript:LPERR05G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLRRLLLNHPPPPPPRPPLPPPLQTLARGLLPPLAARRPPPPGPRRFSVNAPSSCSSTLFLVGERGAAGATAIPEAEEGEEVEGEDGDEADAAAAAVVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVDNEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMRPDLTGVLVSRINPLSDAHRVLKKDDILLEFDSVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKILRDSKEHELTVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAEMQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIVLKYDNAKLATSRILKRHRIPSAISADLVDEQATNGVATDVRRGLPELRAGCSCCSAVSVLMEGIGVSQQIRTQVLEPDMY >LPERR05G13250.2 pep chromosome:Lperr_V1.4:5:13039472:13044197:1 gene:LPERR05G13250 transcript:LPERR05G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLRRLLLNHPPPPPPRPPLPPPLQTLARGLLPPLAARRPPPPGPRRFSVNAPSSCSSTLFLVGERGAAGATAIPEAEEGEEVEGEDGDEADAAAAAVVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVDNEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMRPDLTGVLVSRINPLSDAHRVLKKDDILLEFDSVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKILRDSKEHELTVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAEMQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIVLKYDNAKLATSRILKRHRIPSAISADLVDEQATNGVATDGEIVASCTS >LPERR05G13250.3 pep chromosome:Lperr_V1.4:5:13044168:13046096:1 gene:LPERR05G13250 transcript:LPERR05G13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCCSSSLPATCSTLCLAEPVALPARSASLLVRANTRPLAARRLVLAGCAREGDTKAGQLVIGGRTRADADADTDSESSDDDEEDEDGPMEMTDEQRRTLRRKIREMMDTVPETRGVTDPVERKAKMLELLNKYQLVVEEEDPNWPEDAEDGNGFSLGQFFDKITIKAEKKEDDDDKEDAGYQSDKEIVWEDDNYIKPIRDVKTLDWDDTVFTDFGPLIVLVHNRYKRPQDNEMARDQLVKAIEMFWEYNLPSPRCVAVDACAEPDLVEALNVSGFPEVLFTNAGKIIHRDKVVRPAEEWSRMMAFFYYKAARPPCLSEADGQGQEKVPLMS >LPERR05G13260.1 pep chromosome:Lperr_V1.4:5:13047469:13050961:1 gene:LPERR05G13260 transcript:LPERR05G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARPSRVSIKSRSPDWREELRTNCLKRAKEERVHFLWKNRIHGPLPADDMIKVESTVRGIISDELEKLKQHGARKEDQETDMIWEYQGPHTAKPVETESVDILLEMERLLYEDLREELIQKELEALDEEDEYLAQAVFDHMQLNDKEANAKLWCPVCKQGELRETHNLIYCTLCKLRLDLGEDKINLEFLRERLANAHMEHLDRGCKSAPKFCLETMFELTALYMQCKECNSFDVVI >LPERR05G13270.1 pep chromosome:Lperr_V1.4:5:13055708:13056037:1 gene:LPERR05G13270 transcript:LPERR05G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLLYKAIKDRRTSRPAYADGGAGAGAGGVDLYDPEQRRQWLEQEVRSPLHPAAAAAAAVAQQQHRRNLSLEELAGEVGHSPDRRMRVALPKARSVRVFSCIGAA >LPERR05G13280.1 pep chromosome:Lperr_V1.4:5:13057515:13057916:1 gene:LPERR05G13280 transcript:LPERR05G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAPPPPLSMAQDGSQDLGGSYTPVIVALVIIATLTVASVAVGQLCVGRCASDKPNNGWGDFVKRKFHVCKGGGKAEYDVALPEEEEEEDDLKKKNKKGGDLESATVEEVEVSEPPQMEEEEDGGACGASS >LPERR05G13290.1 pep chromosome:Lperr_V1.4:5:13064500:13075138:1 gene:LPERR05G13290 transcript:LPERR05G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLNTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >LPERR05G13300.1 pep chromosome:Lperr_V1.4:5:13070267:13074821:-1 gene:LPERR05G13300 transcript:LPERR05G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGGGAVAEAATLEFTPTWIVAAVCSLIVLISLAAERGLHYLGKTLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQRTCIPPRWTIHMLPCQRVKEVGATKEHVVTAQIIGRIGRRLLSEGGAGAELCLKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEDEIQKDAVGNGKQGATKVTRVHQFEFIKDHFKGMGKDSVILSWLHSFAKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPKIILYLIHFILFQNSFEIAFFFWILTTYGFSSCIMGQVGFIVPRLVIGLIIQLLCSYSTMPLYAVVTQMGSSYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGAGGAAGELPAANAGDTAGPSVKVEMMRRAAREGNDAGGGSIE >LPERR05G13300.2 pep chromosome:Lperr_V1.4:5:13070267:13074821:-1 gene:LPERR05G13300 transcript:LPERR05G13300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGGGAVAEAATLEFTPTWIVAAVCSLIVLISLAAERGLHYLGKTLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQRTCIPPRWTIHMLPCQRVKEVGATKEHVVTAQIIGRIGRRLLSEGGAGAELCLKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEDEIQKDAVGNGTHHIFMFECNDTFEGCRFFSAMNFINLYEKLTCIHNLFFSSRLIKPFEIAGKQGATKVTRVHQFEFIKDHFKGMGKDSVILSWLHSFAKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPKIILYLIHFILFQNSFEIAFFFWILTTYGFSSCIMGQVGFIVPRLVIGLIIQLLCSYSTMPLYAVVTQMGSSYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGAGGAAGELPAANAGDTAGPSVKVEMMRRAAREGNDAGGGSIE >LPERR05G13310.1 pep chromosome:Lperr_V1.4:5:13083417:13085246:-1 gene:LPERR05G13310 transcript:LPERR05G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAAAAAAVRAAAGSPAAIRGAHARILKEGLVHHPPAPASLVSAYAKSRLLPDALHLFDETPRRDLYLYSALLAAVSHSASPALAVPILRRMLSDDALRPDHFVIASIASVSARLRSLRLGRQLHAHFVASPYSGDDVVKSSLVDMYCKCGFPDDARKVFDTMGAKNSIVWTALVSGYASNGRTDEALQLFRSMPGRNLFAWTALISGLVKTGESVSAVELFVEMRRDGIRIDDAFVLSIVIGGAADLAAFVLGRQLHGSAMRLGFLSSMIVGNALIDMYSKCSDIHSAREVFEGITFRDVISWTTMVVGEAQHGRATEAFALYDRMVLAGVKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMSTMPYEPDEATWGALLSACKKYNDAEMSIRVADKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGKEFHLFHAGEVPLDVRAEIMGLLEEMVLKMRKRGYVPDTSSVMHDLEENEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHKVMKLISEITHRKIVVRDSSRFHHFEGGKCSCGEFW >LPERR05G13320.1 pep chromosome:Lperr_V1.4:5:13091839:13094171:-1 gene:LPERR05G13320 transcript:LPERR05G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDDADDGSFATIGEGRGSGEEEAAAGEAADGNAAAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVMGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDQRLSTSNVQASTSSTGRQQRSSPSTSSTPLSSPPPPPTQQQQQQHPIFHHLLQGECSSPSSSSLPASAAATRNASHLMPPPPPRPPAVVAPIEISAAASTYSLLSMAHHVHVAAGSSSTSAIDELGTLIGHGYGNNHHTAAGGRQFIPLPPPPLPLPLPQLMPHQQGTLPVSPLEAAVSDKLWDWNSVPDDDTAARDYGSSGLSDPK >LPERR05G13330.1 pep chromosome:Lperr_V1.4:5:13102505:13104920:-1 gene:LPERR05G13330 transcript:LPERR05G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAAHHRLSSSSPAAWTPTLRHRRRVPSSLPFSSPASLHGRLGGARALRRAAAAAGDGVGGTAVPPAASSTLEELLRSCRTWTWRGMRVNYLLKGDGPPVLLVHGFGASVGHWRRNIGVLSESNTVYAIDLLGFGASEKPPGFSYTMETWAELILDFLDEVVKRPTVLVGNSVGSLACVIAAAESSRNLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRRIASALNNLKDILLSVYGNKDAVDDELVEIIRGPADGEGALDAFVSTVTGPPGPSPIALMPTVRVPVLVLWGNQDPFTPIDGPVGKYFSSLPGELPNVTLHMLEGVGHCPHDDRPDLVHAKLLPWLQGLPSSSSAAAAAPETAPAA >LPERR05G13340.1 pep chromosome:Lperr_V1.4:5:13107066:13112326:1 gene:LPERR05G13340 transcript:LPERR05G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAAPNSTNKSNKPSSKMQSRPESHSKAPKPPAPPKDDDESGEEYGDEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >LPERR05G13340.2 pep chromosome:Lperr_V1.4:5:13106962:13112326:1 gene:LPERR05G13340 transcript:LPERR05G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAAPNSTNKSNKPSSKMQSRPESHSKAPKPPAPPKDDDESGEEYGDEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >LPERR05G13350.1 pep chromosome:Lperr_V1.4:5:13118593:13122429:-1 gene:LPERR05G13350 transcript:LPERR05G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATPLPLTSPPPQPAHPPPTSSTIRSLTAAGNHAAALRALSSIPHPQLDHLALPPAIKSAAALRDSRAARAIHAASLRRGLLHHGPSPAVANALLTAYARCGRLATALAVFDSIPASHRDAVSFNSLISALCLFRRWEHALAALRAMLADGEGGHTLTSFTLVSVLNAVSRLPCDGAAAARLGREAHAFALKGGLLHAAHERFAFNALLSMYARLGLVADAQRLFAAGGAAPPGRGDLVTWNTMVGVLVQGGLLDDAVDTLYDMVALGVRPDGVTFASALPACSRLGLLAVGREMHAYVVKDGDLAANSFVASALVDMYATHEQVDKARQVFDMVPDSQKQLGMWNAMICGYAQAGMDEEALMLFARMMRDDVPCDETTMASVLPACARSEAFAAKAAVHGYAVKRGMADNRFVQNALMDMYARIGNTDVARRIFAMIDLPDVVSWNTLITGCVVQGQIADAFQLVREMQLHQQSQLNAITLMTLLPGCAMLAAPARGKEIHGYAVRHELETDVAVGSALVDMYAKCGCLPMARAVFDRLPQRNTITWNVLIMAYGMHGLGADAMALFDAMAGEAAPNEITFIAALAACSHSGMVDRGLELFYAMSRDHGVEPTPDIHACVVDILGRAGRLDEAYAIVSSMSPRDGEQQVSAWSTFLGACRLHRNVRLGELAAERLFELEPEEASHYVLLCNIYSAAGEWSKSAEVRSRMRRRGVAKEPGCSWIELDGAIHRFMAGESAHPASAEVHAHMDALWKEMARRGW >LPERR05G13360.1 pep chromosome:Lperr_V1.4:5:13126971:13131391:-1 gene:LPERR05G13360 transcript:LPERR05G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPAAAATATQTVTLPAPDAARVAVRDAVRLAVREADAQQQQAPTLPMPTAVVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGRGKGRSGCASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSLGVAQSRATSGAVAINWILKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGECVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETIFNPPWEHQPVSIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRINSNRSYQNPDPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLSDGQHHDVTSQERHAADSWKDREGTIN >LPERR05G13360.2 pep chromosome:Lperr_V1.4:5:13127309:13131391:-1 gene:LPERR05G13360 transcript:LPERR05G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPAAAATATQTVTLPAPDAARVAVRDAVRLAVREADAQQQQAPTLPMPTAVVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGRGKGRSGCASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSLGVAQSRATSGAVAINWILKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGECVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETIFNPPWEHQPVSIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRINSNRSYQNPDPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >LPERR05G13360.3 pep chromosome:Lperr_V1.4:5:13127311:13131391:-1 gene:LPERR05G13360 transcript:LPERR05G13360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPAAAATATQTVTLPAPDAARVAVRDAVRLAVREADAQQQQAPTLPMPTAVVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGRGKGRSGCASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSLGVAQSRATSGAVAINWILKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGECVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETIFNPPWEHQPVSIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRINSNRSYQNPDPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >LPERR05G13370.1 pep chromosome:Lperr_V1.4:5:13139134:13139400:-1 gene:LPERR05G13370 transcript:LPERR05G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAGEVTTFVEKRRMHGLTGVKSKEVLIWVSLSEIVVSPSGTKIVFCSPAGLSRAFPVIASQLNPPPPAEKKEETAATANGSEESNR >LPERR05G13380.1 pep chromosome:Lperr_V1.4:5:13146009:13150270:-1 gene:LPERR05G13380 transcript:LPERR05G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTPPPPFFQYSPSSAHSSPHHHNPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVELERVNHGSPLRLTGHPMNGQPMDLEGWPGMHTEHMGVPQSPSMGWNGAPGVAGSNVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRS >LPERR05G13380.2 pep chromosome:Lperr_V1.4:5:13146009:13150270:-1 gene:LPERR05G13380 transcript:LPERR05G13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTPPPPFFQYSPSSAHSSPHHHNPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVELERVNHGSPLRLTGHPMNGQPMDLEGWPGMHTEHMGVPQSPSMGWNGAPGVAGSNVVKKVVRIDVPVDKYPNEDKLRDKPGYEHLNEPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRS >LPERR05G13380.3 pep chromosome:Lperr_V1.4:5:13146009:13150270:-1 gene:LPERR05G13380 transcript:LPERR05G13380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTPPPPFFQYSPSSAHSSPHHHNPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVELERVNHGSPLRLTGHPMNGQPMDLEGWPGMHTEEDKLRDKPGYEHLNEPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRS >LPERR05G13390.1 pep chromosome:Lperr_V1.4:5:13159380:13160581:-1 gene:LPERR05G13390 transcript:LPERR05G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAGCGGSSVAAFLLAAAAICAQFATGLADDTQTSKDDKKAQSKGHTGQTVLFVLLGIGAAILLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >LPERR05G13400.1 pep chromosome:Lperr_V1.4:5:13161343:13165376:1 gene:LPERR05G13400 transcript:LPERR05G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTVARVTILLLLPLLALLVGGATAQVFRAPWNGTFPMGPNGGGGSSGGGGGGAAASVPAMFVFGDSLTDNGNNNDMTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIAELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFETTLDQISKKLGAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNTNLPRAKFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLNRNTYIFWDAFHPTERVNILLGKAAYSGGADLVHPMNIQQLAAWQP >LPERR05G13410.1 pep chromosome:Lperr_V1.4:5:13168557:13171437:1 gene:LPERR05G13410 transcript:LPERR05G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLKAGGPCLTPRRPLAAVAGGEAGPCSVAAGRRLGRRGHALVAVGASGRRSKDGHGPGDGDDGAGDDEAKGNDDATSAGDSSDGLNQLHNESEPSEPINISNSNYWRDVRANLVRREQELLVDPSAPAEPKTSSGEPVHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKHVNPSFQDQATPFGDSTLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIRSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKQDDQ >LPERR05G13410.2 pep chromosome:Lperr_V1.4:5:13168771:13171437:1 gene:LPERR05G13410 transcript:LPERR05G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLKAGGPCLTPRRPLAAVAGGEAGPCSVAAGRRLGRRGHALVAVGASGRRSKDGHGPGDGDDGAGDDEAKGKASSSGNDDATSAGDSSDGLNQLHNESEPSEPINISNSNYWRDVRANLVRREQELLVDPSAPAEPKTSSGEPVHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKHVNPSFQDQATPFGDSTLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIRSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKQDDQ >LPERR05G13420.1 pep chromosome:Lperr_V1.4:5:13173277:13173840:-1 gene:LPERR05G13420 transcript:LPERR05G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAAGAASGVWRYRGVRRRRWGKWVSEIRVPGTRERLWLGTYATAEAAAVAHDAAVCLLRGSSVSSRRGLNFPGRAAAAYAYGGGQMVMSPRSVQRLASDAGMAADAQLVDLRRPRYGRTAPLPGQPAAAAAGGGGGGVGGDDARHQVACRETSDTDGSWSSGAIGSEQLIVYEDLSVDDMEILM >LPERR05G13430.1 pep chromosome:Lperr_V1.4:5:13187096:13193965:1 gene:LPERR05G13430 transcript:LPERR05G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGAPEVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNIEYSGDGSDSSQTGEDVPTADQGSPSGSATLLDSMVQTEVQTIYEHDLECYDDNDKERSDKDISSSVDASQQSGQEFRENATYDNYSDRMVDVLQQNNLCGPDDLGVPIESIMTPKRTIKKNSLVGQAAKIKKTVKMTSSNIPCRTVVNKGLDSGKSSVGNHRVKPETIQSLQSLKAGASNIVDTVGRSKPVAKEVPGVMSVRRPSSPALQCPSTRERRPVTRDGSRKPPESATLRRPSTAERRPATWELAPKQANIVALPRPSTPNRRPIVPVNSTIATPCRSSTAERRPIRMSPVHPSIATPLRPSTAERCPPTKQMAQKHVGIATPSRPSTAERQPVTRETAQKHADVATLRRPSTAERRPITRETAQKHASVAALRRPSTAERRPVAREIAPKNADVTPARRLSTSERRPATREIALRQGNFAGSCWPLTPERHFSRGSALIHAGAGSTPRRPSTGERRPIAKESTTKFDGKTPIRLRGMPANPKGPMATVVTPQKAITQKLVKSSKLEMKSCANERTELQAVGKHKASSVNLPPKELLTSNVRTNRVQGSFRKPNKEGIQETVRSQVPASKNATPMQSGNIKVRTPNPPPPPPPPRRPSKISSKPSINNLSLGGRKPRASTPHWH >LPERR05G13430.2 pep chromosome:Lperr_V1.4:5:13187096:13193965:1 gene:LPERR05G13430 transcript:LPERR05G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGAPEVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNIEYSGDGSDSSQTGEDVPTADQGSPSGSATLLDSMVQTEVQTIYEHDLECYDDNDKERSDKDISSSVDASQQSGQEFRENATYDNYSDRMVDVLQQNNLCGPDDLGVPIESIMTPKRTIKKNSLVGQAAKIKKTVKMTSSNIPCRTVVNKGLDSGKSSVGNHRVKPETIQSLQSLKAGASNIVDTVGRSKPVAKEVPGVMSVRRPSSPALQCPSTRERRPVTRDGSRKPPESATLRRPSTAERRPATWELAPKQANIVALPRPSTPNRRPIVPVNSTIATPCRSSTAERRPISRGMSPVHPSIATPLRPSTAERRPISRGMSPVHPSIATPLRPSTAERCPPTKQMAQKHVGIATPSRPSTAERQPVTRETAQKHADVATLRRPSTAERRPITRETAQKHASVAALRRPSTAERRPVAREIAPKNADVTPARRLSTSERRPATREIALRQGNFAGSCWPLTPERHFSRGSALIHAGAGSTPRRPSTGERRPIAKESTTKFDGKTPIRLRGMPANPKGPMATVVTPQKAITQKLVKSSKLEMKSCANERTELQAVGKHKASSVNLPPKELLTSNVRTNRVQGSFRKPNKEGIQETVRSQVPASKNATPMQSGNIKVRTPNPPPPPPPPRRPSKISSKPSINNLSLGGRKPRASTPHWH >LPERR05G13430.3 pep chromosome:Lperr_V1.4:5:13187096:13193965:1 gene:LPERR05G13430 transcript:LPERR05G13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGAPEVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNIEYSGDGSDSSQTGEDVPTADQGSPSGSATLLDSMVQTEVQTIYEHDLECYDDNDKERSDKDISSSVDASQQSGQEFRENATYDNYSDRMVDVLQQNNLCGPDDLGVPIESIMTPKRTIKKNSLVGQAAKIKKTVKMTSSNIPCRTVVNKGLDSGKSSVGNHRVKPETIQSLQSLKAGASNIVDTVGRSKPVAKEVPGVMSVRRPSSPALQCPSTRERRPVTRDGSRKPPESATLRRPSTAERRPATWELAPKQANIVALPRPSTPNRRPIVPVNSTIATPCRSSTAERRPISRGMSPVHPSIATPLRPSTAERRPISRGMSPVHPSIATPLRPSTAERCPPTKQMAQKHVGIATPSRPSTAERQPVTRETAQKHADVATLRRPSTAERRPITRETAQKHASVAALRRPSTAERRPVAREIAPKNADVTPARRLSTSERRPATREIALRQGNFAGSCWPLTPERHFSRGSALIHAGAGSTPRRPSTGERRPIAKESTTKFDGKTPIRLRGMPANPKGPMATVVTPQKAITQKLVKSSKLEMKSCANERTELQAVGKHKASSVNLPPKELLTSNVRTNRVQGSFRKPNKEGIQETVRSQVPASKNATPMQSGNIKPPPPPPPPRRPSKISSKPSINNLSLGGRKPRASTPHWH >LPERR05G13440.1 pep chromosome:Lperr_V1.4:5:13194510:13197133:1 gene:LPERR05G13440 transcript:LPERR05G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNSKAGEKIFKTKCAQCHTVAAGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKSMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATSS >LPERR05G13450.1 pep chromosome:Lperr_V1.4:5:13199360:13208337:1 gene:LPERR05G13450 transcript:LPERR05G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVKRRGGGGGGDGDGGKRRREGESEEDYCFACKDGGHLRFCDYRNCHKAYHPECVGKDDKFLNSDEEFICKFVSVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWEIIRDKEGLTLDNLQQAHAILRSGLNRNELSDSEKLPNSEQSSDDDFLGHSDDNDEPLYPSDFNGTTNKVKTILKEGKTKKNVFVGWGSKELIEFLTSIGKDTSKPLDQFAAAEVVKGYIRQKDLLQKDKKKHVISDDKLWSLFRKSKLKYNKIYSLLERHIAENITSEDESLASSEDNTNSIMKRKGQTINCGLSTPEEVSERYRRCFASLIRDNIRLIYLRRTLIIDLLKQPNTFECKVIGCYVRIKNDPKGYSHHKPQKLYQLGQVTGIRKSSEEYKMRDISTDILLCISDMWSDVKISVLSDEDFEEAEFEEKARSVHADITNHWINRELRRLDKLIEMANEKGWRGEYPYQIEFL >LPERR05G13450.2 pep chromosome:Lperr_V1.4:5:13199360:13208337:1 gene:LPERR05G13450 transcript:LPERR05G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVKRRGGGGGGDGDGGKRRREGESEEDYCFACKDGGHLRFCDYRNCHKAYHPECVGKDDKFLNSDEEFICNWHMCFICNGHSYYRCFCCPDKSICRGCVKQAEFVSVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWEIIRDKEGLTLDNLQQAHAILRSGLNRNELSDSEKLPNSEQSSDDDFLGHSDDNDEPLYPSDFNGTTNKVKTILKEGKTKKNVFVGWGSKELIEFLTSIGKDTSKPLDQFAAAEVVKGYIRQKDLLQKDKKKHVISDDKLWSLFRKSKLKYNKIYSLLERHIAENITSEDESLASSEDNTNSIMKRKGQTINCGLSTPEEVSERYRRCFASLIRDNIRLIYLRRTLIIDLLKQPNTFECKVIGCYVRIKNDPKGYSHHKPQKLYQLGQVTGIRKSSEEYKMRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRLLSQKEPSKRQTVAEFEEKARSVHADITNHWINRELRRLDKLIEMANEKGWRGEMHEYIEKRKLLRTPAERQRLLEEIPRVIPDVEDSKDSEYVVIAANKSSQKNTGSKGDADAPGVYLETLITKATEVHPRSDMRRMRNHGTEAIEVNPPGDMPRKHVQNHGTKATSVVTTAQFINVDDDEDDLHGKSGDMIVELDSDGSDDHDTRRHETMSVLCNDQKAVKAKEEISEHARVWYYNDPQGDEQGPFPMYILRHWCNAGYFSNDFRVWRTGQSCDSAILLKDAFLLTS >LPERR05G13450.3 pep chromosome:Lperr_V1.4:5:13199360:13208337:1 gene:LPERR05G13450 transcript:LPERR05G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVKRRGGGGGGDGDGGKRRREGESEEDYCFACKDGGHLRFCDYRNCHKAYHPECVGKDDKFLNSDEEFICNWHMCFICNGHSYYRCFCCPDKSICRGCVKQAEFVSVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWEIIRDKEGLTLDNLQQAHAILRSGLNRNELSDSEKLPNSEQSSDDDFLGHSDDNDEPLYPSDFNGTTNKVKTILKEGKTKKNVFVGWGSKELIEFLTSIGKDTSKPLDQFAAAEVVKGYIRQKDLLQKDKKKHVISDDKLWSLFRKSKLKYNKIYSLLERHIAENITSEDESLASSEDNTNSIMKRKGQTINCGLSTPEEVSERYRRCFASLIRDNIRLIYLRRTLIIDLLKQPNTFECKVIGCYVRIKNDPKGYSHHKPQKLYQLGQVTGIRKSSEEYKMRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRLLSQKEPSKRQTVAEFEEKARSVHADITNHWINRELRRLDKLIEMANEKGWRGEYPYQIEFL >LPERR05G13450.4 pep chromosome:Lperr_V1.4:5:13199360:13208337:1 gene:LPERR05G13450 transcript:LPERR05G13450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVKRRGGGGGGDGDGGKRRREGESEEDYCFACKDGGHLRFCDYRNCHKAYHPECVGKDDKFLNSDEEFICKFVSVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWEIIRDKEGLTLDNLQQAHAILRSGLNRNELSDSEKLPNSEQSSDDDFLGHSDDNDEPLYPSDFNGTTNKVKTILKEGKTKKNVFVGWGSKELIEFLTSIGKDTSKPLDQFAAAEVVKGYIRQKDLLQKDKKKHVISDDKLWSLFRKSKLKYNKIYSLLERHIAENITSEDESLASSEDNTNSIMKRKGQTINCGLSTPEEVSERYRRCFASLIRDNIRLIYLRRTLIIDLLKQPNTFECKVIGCYVRIKNDPKGYSHHKPQKLYQLGQVTGIRKSSEEYKMRDISTDILLCISDMWSDVKISVLSDEDFEEAEFEEKARSVHADITNHWINRELRRLDKLIEMANEKGWRERQRLLEEIPRVIPDVEDSKDSEYVVIAANKSSQKNTGSKGDADAPGVYLETLITKATEVHPRSDMRRMRNHGTEAIEVNPPGDMPRKHVQNHGTKATSVVTTAQFINVDDDEDDLHGKSGDMIVELDSDGSDDHDTRRHETMSVLCNDQKAVKAKEEISEHARVWYYNDPQGDEQGPFPMYILRHWCNAGYFSNDFRVWRTGQSCDSAILLKDAFLLTS >LPERR05G13460.1 pep chromosome:Lperr_V1.4:5:13209052:13209585:1 gene:LPERR05G13460 transcript:LPERR05G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATPRHHIIILLLLLLAGAAAAVVSAGNRAAAEKETLLYGVAGDDDKPTAYEMLERFGFPRGILPEGVTAYTLRPSDGAFQVYLGTKDCEFEVPGGYRLTYRGIISGKVAGSSIRDLQGVSVKVFLMNWGIDRVVMADAGQLVFYVGPLSQAFPAGNFDESPQCRCRNDDAVAVK >LPERR05G13470.1 pep chromosome:Lperr_V1.4:5:13213422:13214157:-1 gene:LPERR05G13470 transcript:LPERR05G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWKLTGEELRTRRKHQDNGAHCSLGDRRRRLRESGGGDAEKHNSPALLAVEGDLACLPRAASPPGYSLAPPPAAPLAACAGPCRARAPCQHSQCAPRGFEREEMREAA >LPERR05G13480.1 pep chromosome:Lperr_V1.4:5:13213608:13219528:1 gene:LPERR05G13480 transcript:LPERR05G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSDFLHNICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPGVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTMIDLADISVLGDYKKLGDTFVTVLQECMQQYANSRNSVSTHTKEQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGIHCEGEASDAFGHEADDSEWETASESDAENDATGEADDETEWKNDGHQESLYEKS >LPERR05G13490.1 pep chromosome:Lperr_V1.4:5:13229619:13232033:1 gene:LPERR05G13490 transcript:LPERR05G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGADMQRRQDDDQQQLQLPPGFRFHPTDEELVTHYLCRRCAGLPIAVPIIAEVDLYKFDPWQLPGMALYGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGSPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGVERPIGGGGGEIAAASHGEAAASAGSPPEQKPSMLLPPPPYAAAAAPPSFSELAAFYDVVRPSSDSMPRAHADSSCSEHVLATSASSGVVERPEVQSQPKIDEWERTFAGAAANPGGSMLGQLDPNAGDPLLQDILMYWGKPF >LPERR05G13500.1 pep chromosome:Lperr_V1.4:5:13236490:13240188:-1 gene:LPERR05G13500 transcript:LPERR05G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILNAPEVSKLVAMVLEESARGGSLATKGSGEANDGDGAAAVLDLWRQPKIPAPFVWPRADVALQPSSSSRELDVPVVDLAAALRDAAGMRRAAAQVASXVAVPRRARRRRGRARRAPGSVTGYTAAHADRFVSNLPWKETLSFSHRHRHAAAARDDDVGNHDVADYFASTLGNDFKPLGEVYQSYCEAMEEVTKAIMAVLGESLGLGSGYYGEFFADSCSIMRCNYYPPCPEPDQALGTGPHCDPSALTVLLQDGSVDGLQVLVGDAWRPVRPEPGAFVVNIGDTFMALTNGRYKSCLHRAVVHRETERRSLAFFLCPREDRVVRSPAVAGAGERRLYPDFTWAEFMRFTQRHYRADTRTLDAFAHWLRMPDHACSGGAAPAAGCTPAASQQSTTV >LPERR05G13510.1 pep chromosome:Lperr_V1.4:5:13259109:13260272:1 gene:LPERR05G13510 transcript:LPERR05G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQRQRSTADGNEPFHPPSAGATFCRDTDEVAAAGCVLTPGREGYLWCRKAWTLVVRYFKQCIDSLPQPEVLEGDNGEVAICSSMQFMQARKAYWQWWGTGVMDRDDSCRGDMRIGHV >LPERR05G13520.1 pep chromosome:Lperr_V1.4:5:13260555:13265427:-1 gene:LPERR05G13520 transcript:LPERR05G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPPPPPDLDPPECPVCLSPYDAASAAPRVLPCGHSLCGPCIASLPPASASSSSASSLRCPLCSQCVPFSRALGPSSLPKNLALISLLPNPSPSLTNSAATAAVAAERRPRHLPLHADHSHLLFRFRHAILPETASPLHSPPPPGPGPEPTPVSGLAVGSFASDLGAPWFCPKGQPVSLLPVESPAIDGAPTKQETESSFYRPSHAARVVAAIDSLSGAAREEVIDLVDVSARLARRVCRVYGVWMGPEAAAAALWLVSERHSRGVSCLLERSRGDEMVARIRAVGMEMCEAFMGLHGEGLVLGCLGLDCFCLDHFGHCLLDLNQALALCRGVRAGVCSSKSKAFMAPEVAVVMHDKLQMKDYDLSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWNTDGSYDDWKDEVFKRLDASLVDTQMEPLSAITVSCLHYDPEGRPEIADVWKCISSSLVHSNIEALTPNVDVAARKNFMCLLLAELSSMCTDFGAVEADDKMQSTQDSDDKFSTPDDESSGGFVNNEDVGAAGMEESKCGQPYNSSTLLAHHDCVTGLAIGGGFLFSSSYDKTINVWSLQTLKGHEHKITAIVVIDNDNQSLCISGDSGSGIFVWCVDYSLNKEPFNKWYESNDWIYRGVHCLAVSGTGYLYSGSRDKTIKAWSLEDYSLRCTMTGHKSTVSCLTVASGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSIAPVLSISTEVNFVVASHENGFLKIWKDDVLVKSEKLHNGAIYAAKLNGKRLYTGGWDKVVYIQELLEDESAVEIGDVATFTCDSIITSILYWDGRLIVGLSNREIKA >LPERR05G13530.1 pep chromosome:Lperr_V1.4:5:13265528:13273183:-1 gene:LPERR05G13530 transcript:LPERR05G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVVAPRALAAPVAVAPKPPPEGAVAEAEGRVSVAAAHRGGVAPPPPPPAVATGSGRGCFPWSYGLGRPPLCRGGRCSRRPVSEPACHPLRRPRRRSRWLAPFLDYLTHPTENDRAQSNRFNAVIEKIERLYMGKNSSDEEDLDDVPDDDQYDTEDSFIDDDELDEYFEADNLATKHNGYFVNKGKLEQIESGSVQTVEPKKRRRKDSSNSYIENNNEFASGPSSYMGMPLKDSKRGTMQTGKGASNAPERIQQRNFSDPATDAEYAAHLNISSKDVHPPPSEIKEFDEHNSGANETYGHPYPGYWDKDGLGHLGLQQKKTSNGANQDLPKNMRPKEKYNVGHFSDLNAASNMYTTETMHLATKSRLEGSSIKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEENCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLFAKQEKAWKLQQVKMEIYEMVKARLATQPKGNEHKDEFAESFQGSVTSDDRRALRGKYVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELADLWPQGHMDKVGIRDAISRSKERRNILYRQRKVRNDQRMRRRLAAAAAKLRDRDPAAPQIMQQSIKVSQPSMTDTDTTYPVVNYGHGHSSRNAEKIRERSVGAGSDSNRSSTDMKRRKIESEDRQVNPPKADGLHHGIEIQKPAKRAEEAKNSHKAFLFGWAWGGLCPPYHVGPNMRSQPTFPRPTWRV >LPERR05G13530.2 pep chromosome:Lperr_V1.4:5:13266083:13273183:-1 gene:LPERR05G13530 transcript:LPERR05G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVVAPRALAAPVAVAPKPPPEGAVAEAEGRVSVAAAHRGGVAPPPPPPAVATGSGRGCFPWSYGLGRPPLCRGGRCSRRPVSEPACHPLRRPRRRSRWLAPFLDYLTHPTENDRAQSNRFNAVIEKIERLYMGKNSSDEEDLDDVPDDDQYDTEDSFIDDDELDEYFEADNLATKHNGYFVNKGKLEQIESGSVQTVEPKKRRRKDSSNSYIENNNEFASGPSSYMGMPLKDSKRGTMQTGKGASNAPERIQQRNFSDPATDAEYAAHLNISRYWDKDGLGHLGLQQKKTSNGANQDLPKNMRPKEKYNVGHFSDLNAASNMYTTETMHLATKSRLEGSSIKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEENCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLFAKQEKAWKLQQVKMEIYEMVKARLATQPKGNEHKDEFAESFQGSVTSDDRRALRGKYVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELADLWPQGHMDKVGIRDAISRSKERRNILYRQRKVRNDQRMRRRLAAAAAKLRDRDPAAPQIMQQSIKVSQPSMTDTDTTYPVVNYGHGHSSRNAEKIRERSVGAGSDSNRSSTDMKRRKIESEDRQVNPPKADGLHHGIEIQKPAKRAEEAKVSTLSQALLAIPSSERRPSCS >LPERR05G13530.3 pep chromosome:Lperr_V1.4:5:13266083:13273183:-1 gene:LPERR05G13530 transcript:LPERR05G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVVAPRALAAPVAVAPKPPPEGAVAEAEGRVSVAAAHRGGVAPPPPPPAVATGSGRGCFPWSYGLGRPPLCRGGRCSRRPVSEPACHPLRRPRRRSRWLAPFLDYLTHPTENDRAQSNRFNAVIEKIERLYMGKNSSDEEDLDDVPDDDQYDTEDSFIDDDELDEYFEADNLATKHNGYFVNKGKLEQIESGSVQTVEPKKRRRKDSSNSYIENNNEFASGPSSYMGMPLKDSKRGTMQTGKGASNAPERIQQRNFSDPATDAEYAAHLNISSKDVHPPPSEIKEFDEHNSGANETYGHPYPGYWDKDGLGHLGLQQKKTSNGANQDLPKNMRPKEKYNVGHFSDLNAASNMYTTETMHLATKSRLEGSSIKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEENCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLFAKQEKAWKLQQVKMEIYEMVKARLATQPKGNEHKDEFAESFQGSVTSDDRRALRGKYVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELADLWPQGHMDKVGIRDAISRSKERRNILYRQRKVRNDQRMRRRLAAAAAKLRDRDPAAPQIMQQSIKVSQPSMTDTDTTYPVVNYGHGHSSRNAEKIRERSVGAGSDSNRSSTDMKRRKIESEDRQVNPPKADGLHHGIEIQKPAKRAEEAKVSTLSQALLAIPSSERRPSCS >LPERR05G13530.4 pep chromosome:Lperr_V1.4:5:13266083:13273183:-1 gene:LPERR05G13530 transcript:LPERR05G13530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVVAPRALAAPVAVAPKPPPEGAVAEAEGRVSVAAAHRGGVAPPPPPPAVATGSGRGCFPWSYGLGRPPLCRGGRCSRRPVSEPACHPLRRPRRRSRWLAPFLDYLTHPTENDRAQSNRFNAVIEKIERLYMGKNSSDEEDLDDVPDDDQYDTEDSFIDDDELDEYFEADNLATKHNGYFVNKGKLEQIESGSVQTVEPKKRRRKDSSNSYIENNNEFASGPSSYMGMPLKDSKRGTMQTGKGASNAPERIQQRNFSDPATDAEYAAHLNISRYWDKDGLGHLGLQQKKTSNGANQDLPKNMRPKEKYNVGHFSDLNAASNMYTTETMILDVHEAEENCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLFAKQEKAWKLQQVKMEIYEMVKARLATQPKGNEHKDEFAESFQGSVTSDDRRALRGKYVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELADLWPQGHMDKVGIRDAISRSKERRNILYRQRKVRNDQRMRRRLAAAAAKLRDRDPAAPQIMQQSIKVSQPSMTDTDTTYPVVNYGHGHSSRNAEKIRERSVGAGSDSNRSSTDMKRRKIESEDRQVNPPKADGLHHGIEIQKPAKRAEEAKVSTLSQALLAIPSSERRPSCS >LPERR05G13530.5 pep chromosome:Lperr_V1.4:5:13266083:13273183:-1 gene:LPERR05G13530 transcript:LPERR05G13530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVVAPRALAAPVAVAPKPPPEGAVAEAEGRVSVAAAHRGGVAPPPPPPAVATGSGRGCFPWSYGLGRPPLCRGGRCSRRPVSEPACHPLRRPRRRSRWLAPFLDYLTHPTENDRAQSNRFNAVIEKIERLYMGKNSSDEEDLDDVPDDDQYDTEDSFIDDDELDEYFEADNLATKHNGYFVNKGKLEQIESGSVQTVEPKKRRRKDSSNSYIENNNEFASGPSSYMGMPLKDSKRGTMQTGKGASNAPERIQQRNFSDPATDAEYAAHLNISSKDVHPPPSEIKEFDEHNSGANETYGHPYPGYWDKDGLGHLGLQQKKTSNGANQDLPKNMRPKEKYNVGHFSDLNAASNMYTTETMILDVHEAEENCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLFAKQEKAWKLQQVKMEIYEMVKARLATQPKGNEHKDEFAESFQGSVTSDDRRALRGKYVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELADLWPQGHMDKVGIRDAISRSKERRNILYRQRKVRNDQRMRRRLAAAAAKLRDRDPAAPQIMQQSIKVSQPSMTDTDTTYPVVNYGHGHSSRNAEKIRERSVGAGSDSNRSSTDMKRRKIESEDRQVNPPKADGLHHGIEIQKPAKRAEEAKVSTLSQALLAIPSSERRPSCS >LPERR05G13540.1 pep chromosome:Lperr_V1.4:5:13273167:13276845:1 gene:LPERR05G13540 transcript:LPERR05G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFPNIADTAAHQMQRAESDKRWKGTVNVLLVGSSTLAADTATRVAQPQKLSPVSGAGDHRHILSPPPILRPPCGVRSLSPCIPRSTSLLSPPSFWRDLDCSSVLLLLMQQRGSKTVGSFKMRAQHVVSRSPCNKNRVFDSLESISEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFVRYAEDHSSNGDAVSQFGSNTPSSSLDRSQCNPNGKGPGVTSDKAGNVNVGHCFSPGTSFAMEASEIDESYATFLRLLKIKDGHMVIEPEPGITIVYGQAETPVRYDELRIGSSTNERDPLMTTLENLEDENAINTRGDGLRKINNCTSEREMDKLTSENMGSQDLVCIDNHGLLPYNELSDLNVYGDDQGEPLALSCGIPSTFDDKLNAVLSKPYDLNEYKELLRKATNRKLVSRQRHLRNASKPYATGAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHQGAYMPWIDKPLACNPIDADDYKRDSDPTDAIEIIEDEN >LPERR05G13550.1 pep chromosome:Lperr_V1.4:5:13280966:13285947:1 gene:LPERR05G13550 transcript:LPERR05G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLRRSLLGDLVSLLLLLLLLVTSPPDEEREEGCEDEECGGDGGGEDRRGVGFGRRRRGVRGGEGEAERAAGGGRGSGARGVGDSGGVGDGEVEAIPRRGVVGGGVRRNVGGGLEAGGGGDAGVEAAGAERERGEVAAARGVKRGDGGVPDDRPVLRGGGHSGAEVRRGDELLRRREAGRDEGRAGEGERRRGEPLPEVVEARRGRRRRRDAEVARGADLPREADGEHGQQRHAAPRHVPRSAAGAQIGVPRERRDVAQVERACRVPAPARAIHLLRRAGEQHRHHAQRKNHEPRRARHFHEQLVRAVWGEQGDEW >LPERR05G13560.1 pep chromosome:Lperr_V1.4:5:13283779:13285743:-1 gene:LPERR05G13560 transcript:LPERR05G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSARLMVLALGVVAVLLARSPEEVDGASGRGNATCPLDLSYVPTFPWDSDLCAGGAARNMTGCCMTLLSVLAIGLAGQVRATGHFRIPSAAASAACLDDFGKRLSSPPLSLPGASLVPTCFPSPEQFVASPNFCAGVTTAAEYRSVVGNASVAALDSACGGDLSSLPLCSRCLDAGIAATSRLKAAANISSNASTDDAATGNCFYLTVTYAAGISNAAGPTSPPTAGCTLGLALASPHAAPPPSKSHTTAILATAIPTAFLVLASLLAFLIWRRRDKKKKKKKKTHEISKEGSTERRSHPRPNTGSILFDIAELTKATGGFADGNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDVEEGKQKFLVYDYMPNGALEDFIFSKRPAPALTWSQRRNIIMDVARGLEYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVMSGRRVLDMSAPASGPVLITDWAWTLVKAGQTREILDEALATEESPRVGGGRGAMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDVPELPDRPLPYGHNVLFSEAGSNFSASPVFSGPFMDNGDMLR >LPERR05G13570.1 pep chromosome:Lperr_V1.4:5:13293988:13297531:1 gene:LPERR05G13570 transcript:LPERR05G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVAAKLCMEVTSAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLGDCYRSGDECTGKRNYTYMDAVNANLSGVKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHEEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCSGYAAFGDASPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAHERWPKSWFIAKEFDLSLAGGRFCYKVNMFRLTWRSGFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKRIPKWSTRWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFATTY >LPERR05G13570.2 pep chromosome:Lperr_V1.4:5:13293988:13297905:1 gene:LPERR05G13570 transcript:LPERR05G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVAAKLCMEVTSAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLGDCYRSGDECTGKRNYTYMDAVNANLSGVKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHEEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCSGYAAFGDASPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAHERWPKSWFIAKEFDLSLAGGRFCYKVNMFRLTWRSGFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKRIPKWSTRWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFATTY >LPERR05G13570.3 pep chromosome:Lperr_V1.4:5:13295283:13297531:1 gene:LPERR05G13570 transcript:LPERR05G13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVAAKLCMEVTSAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLGDCYRSGDECTGKRNYTYMDAVNANLSGVKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHEEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCSGYAAFGDASPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAHERWPKSWFIAKEFDLSLAGGRFCYKVNMFRLTWRSGFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKRIPKWSTRWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFATTY >LPERR05G13570.4 pep chromosome:Lperr_V1.4:5:13295283:13297905:1 gene:LPERR05G13570 transcript:LPERR05G13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVAAKLCMEVTSAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLGDCYRSGDECTGKRNYTYMDAVNANLSGVKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHEEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCSGYAAFGDASPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAHERWPKSWFIAKEFDLSLAGGRFCYKVNMFRLTWRSGFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKRIPKWSTRWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFATTY >LPERR05G13580.1 pep chromosome:Lperr_V1.4:5:13321737:13323296:1 gene:LPERR05G13580 transcript:LPERR05G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPLLLLLPFLLAGFLYLTGVRFTGDSENTRRLPPAPRGLPIIGNLHQLGALPHQSLRALAAANGAPHLLRLRLGHVTALVASSPAAAAAIMREHDSVFATRPRFRTAEILTYGCKDLVFAPYGEHWRHVRRLSSEHVLSAARSHHRFAGMRESEVALLVDAIRREAGEAAAVVDVSRALYAFTNSVICRAVTRAEEKGRSELFRELIEENASLLGGFCVGDYFPKLAWADALVSGLAARARRNLTRWDELFEKVITEHEARRRRRHDGDGDEEDDFVDVLLSLQEQEGKEEEGGSGTSFKLTRDIIKSLLQDMFAAGTDTSFITLEWTMSELVKNPPAMRRIQHEARHVAADAAGATPYLKAVVKETLRLHPPVPLLVPRECAADATVLGYHVARGTRVFVNAWAIHRDPDAWGDAPEEFRPERFLPVGGEAEAVDVRGAHFQLVPFGAGRRVCPGMQFALATVEMALASLVRFFDWEIPPPGELDMSDEPGFTVRRRVPLRLVARPVGIGCDYKK >LPERR05G13590.1 pep chromosome:Lperr_V1.4:5:13324984:13328139:-1 gene:LPERR05G13590 transcript:LPERR05G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARLGRWVEGMSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTSIVLHAPPERQIESVAEVWDLATEPGIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYSQTWVFLLVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHTDEDGYAGEGIPLRSAADGIPLRSPRATESFRSTL >LPERR05G13600.1 pep chromosome:Lperr_V1.4:5:13331761:13335571:-1 gene:LPERR05G13600 transcript:LPERR05G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADVSPHHAASPPGSPVLTLPPSEPDEPKKRSGASASTSTLHTDSGHFHNIHSSSDSDPDPDPDDDCAHGCACDAAAAHGVRGEISRPPEELRERPMPQIPEPGASSRQQMTWEYTPYNPFPNVTSFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYTGYDYGYSNPMYGIPMPPMPMPEVSPWDFFNPFDSYDYSQQHPQYRDSNGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASARIGNVDVSAKVKTTTASMEHKECEIESVGSASILDSGEESVCSCDCDNAGCGGGAAAASASAPTGDDPGMVKKVDSEDHSSMVVAEDVLPNNFGTRDVADVVEEIKKQFNSVVACGDDVAKILEVGSMRYRPRRRIVRLVFSRLMGTFALLFSSVSEPPVNNLEQTALSASRRNQNSSQRIASTSDIELNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASLRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILEAKSHVLIPKNGPERNSKVTFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQNMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSLQKEHGIAGHHEADKTVSPIADNNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLTPIFEALESFTQETLKGYENVRIPIISRGA >LPERR05G13610.1 pep chromosome:Lperr_V1.4:5:13349740:13350488:1 gene:LPERR05G13610 transcript:LPERR05G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNSNDNMRTHVGASFDWSENDLAILIRRIVGVNALELAQLPPGLVPLCRDPFRPEILQALQVVGDGVGSSSAASSAAPIGGDVDYDDADDKVPIGLLGRQGLGKSKARGPDPEGKRSGFSPAPPPSSPHSGATTLASAAILATVSPSIAATPPAVTNTSGGNLPRLTDATGGHAGAGSDASPRGGSR >LPERR05G13620.1 pep chromosome:Lperr_V1.4:5:13350586:13351967:1 gene:LPERR05G13620 transcript:LPERR05G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDIEPLVRLQERARMIMSLALDEALAVSLDGPGLGLQGGRGGAIEVAKGGTELAKIARVEGFIQKREVADIERRQGELVETFEDTLIERHTIDLRILTATAVEEGVRTTAGAFVHELDDRAQELDWRDRVLWDVEAATANSDVELRVREDALVERERALEAARWAIEDREAAVTRAEEDSAVRQRNAAAQDKAIAEHEAAVEGCEAMADLERACQRIAHLEHTLDLGTRIMSASVARLHEAAREVGVVRPYDSLASASLGGLASQVDALVEGIMGVLEEVDEVAKDSSYDLARQVATVILASLRPQLRPDFPADTEESARRRVADAVDSIMVGFDGTPAAFQLAYRDDLSDDGDAKDAPSDPPAAQVSPAYSPAFTTAVVS >LPERR05G13630.1 pep chromosome:Lperr_V1.4:5:13361906:13366382:1 gene:LPERR05G13630 transcript:LPERR05G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPVNGELDACFRSLMLSIGSGRGQTESGGAMPTLSGWKDLPIELLLRIMSIVGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVATYCHDLRELDLSRSFRLSDRSLYALAHGCPQLTKLNISGCSNFSDTALIYLTFHCKYLKSLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDNGVTCLASGCPDLRALDLCGCVLITDDSVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDAMRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >LPERR05G13640.1 pep chromosome:Lperr_V1.4:5:13371821:13378114:1 gene:LPERR05G13640 transcript:LPERR05G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFVSARKLYNKMEDVAKFLFGICGENTLRIHRPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIEMVYVVVFLVFASSHKTRMRTLGLACAVASVFAAVALVSLLALHGNSRKLLCGVAATVCSICMYASPLSIMRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYSIYRNNKGGAGGGKQAGDGGEDDVEMAEGRNNKVADGGVGAEETDTTAAGKADSKVYKLRKAIGAVKDQTSIGLAKVGGSGGAASSSDLDVAIVKATRHSESFPADERHVREVISLALSSRSHVAASVASLSRRLGRTRSWAVALKTLSLVHRLLLADADTDSDAAFEQEVFHATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTFAAYLDHRLEYRMMNSNHKGVVRPLRDELYNSHDEEEFTKASEMTEEKLVAKAQQMQQLLDRFIACRPVGAARANRVVTVSLYPLVKESVQLYCELTEVTAALTERFPGMEADDCERVHAVFVGLAKQLDELTSLYAWCRDAHVCRVSDVPEVELVTQKKLELMDEFVRDRRAAAAASHSQPRPLPSPLPLPSPESPDVVEYEDMNATMALPAPEEESIPAQEENISGETAAIIAVEEDADFLNLKGDAMSGEEHGNQLALALFDGGNQAGPAPEFDPSSSTDWETALVQSASALAHRRAELGGGLDMMVLDGMYTHATATAAAANAQAFSGSASSVAMRPPGAPMLALPAPPGTAGGGGADPFAASMAVPPPTYVQMSDLKTKQQQLVEEQMVWRQYGKNGMRGQGALAMLEQQRPPLQQQQQMMMLPPNGGYSYAGYHRNS >LPERR05G13650.1 pep chromosome:Lperr_V1.4:5:13379178:13383091:-1 gene:LPERR05G13650 transcript:LPERR05G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVAYYLKRKLMGKKPLVQAISDVDMYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPKGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNELVSAGFSKDDYVLCKIFKKSGLGPRIGEQYGAPFNEAEWELADAETSMFPLLQTTNVETSAFPLLPSSEHSGLPPMSEAEAHAFEVNTNDLYNEITGLAELGVPNGDGISVSNPGVTEQHTSQPTYIGVPSNENYLNMDDLFAPDPTLSYAYAVPNNPYWKFPMDQSAYDSTTSAAFLSSPTMPSFADDLPAIANNDVSDTGDIVGFHMLAVVHN >LPERR05G13650.2 pep chromosome:Lperr_V1.4:5:13379178:13383091:-1 gene:LPERR05G13650 transcript:LPERR05G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVAYYLKRKLMGKKPLVQAISDVDMYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPKGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNELVSAGFSKDDYVLCKIFKKSGLGPRIGEQYGAPFNEAEWELADAETSMFPLLQTTNVETSAFPLLPSSEVVNSTDETYVQPSVAVKAIEELPVQHLRDVYTGNKSTSLNTNAIDDCALMELPYQHSVQSIGDEVVSVNNFSNKINNADSPLVDGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVNTNDLYNEITGLAELGVPNGDGISVSNPGVTEQHTSQPTYIGVPSNENYLNMDDLFAPDPTLSYAYAVPNNPYWKFPMDQSAYDSTTSAAFLSSPTMPSFADDLPAIANNDVSDTGDIVGFHMLAVVHN >LPERR05G13650.3 pep chromosome:Lperr_V1.4:5:13379178:13383091:-1 gene:LPERR05G13650 transcript:LPERR05G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVAYYLKRKLMGKKPLVQAISDVDMYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPKGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNELVSAGFSKDDYVLCKIFKKSGLGPRIGEQYGAPFNEAEWELADAETSMFPLLQTTNVETSAFPLLPSSEHSGLPPMSEAEAHAFEVNTNDLYNEITGLAELGVPNGDGISVSNPGVTEQHTSQPTYIGVPSNENYLNMDDLFAPDPTLSYAYAVPNNPYWKFPMDQSAYDSTTSAAFLSSPTMPSFADDLPAIANNDVSDTGDIVGFHMLAVVHN >LPERR05G13660.1 pep chromosome:Lperr_V1.4:5:13387102:13393791:1 gene:LPERR05G13660 transcript:LPERR05G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATASSRGGGGEGGPARLAPRGGGAGPGSPRLAHRRRWWWAAPPPPHTGASLERVSLAFLLASAALVLSCALYLYVFRYLGRGRVVAGFVGEDLEPCDVFDGDWVPDATYRPPYNSSLCPFAERGFNCLANGRKDTGYLKWRWKPRRCEIPNFTARDALERLRGKRVVFVGDSMSRTQWESFICMLMAGVEDPKSVYEVNGNEISKTIRSLSVRFATFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLIFNTGHWWTPKKLFEMGCYFQSGRVLKLGTSVDSAFRTALETWASWVEKKVDLNRTHVFFRTYEPSHWSDTNQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMNFPVTLLNVTSMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELLFSYFLTNEGKTKYVRLAKDVPVTADS >LPERR05G13660.2 pep chromosome:Lperr_V1.4:5:13387102:13393791:1 gene:LPERR05G13660 transcript:LPERR05G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATASSRGGGGEGGPARLAPRGGGAGPGSPRLAHRRRWWWAAPPPPHTGASLERVSLAFLLASAALVLSCALYLYVFRYLGRGRVVAGFVGEDLEPCDVFDGDWVPDATYRPPYNSSLCPFAERGFNCLANGRKDTGYLKWRWKPRRCEIPNFTARDALERLRGKRVVFVGDSMSRTQWESFICMLMAGVEDPKSVYEVNGNEISKTIRSLSVRFATFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLIFNTGHWWTPKKLFEMGCYFQSGRVLKLGTSVDSAFRTALETWASWVEKKVDLNRTHVFFRTYEPSHWSDTNQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMNFPVTLLNVTSMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELLFSYFLTNGWRKMSQ >LPERR05G13670.1 pep chromosome:Lperr_V1.4:5:13391383:13396524:-1 gene:LPERR05G13670 transcript:LPERR05G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEITATGNNATLFNSGVMVIQPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDNEAVKAKKTELFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPVMREFASDVAHARWWKVHDKMPDNLQSYCLLRSRLKAGLEWDRRQAEKANFDDGHWRRNITDPRLNTCFEKFCFWESMLLHWGEKNQKNSNAVPATRSSS >LPERR05G13670.2 pep chromosome:Lperr_V1.4:5:13391383:13396524:-1 gene:LPERR05G13670 transcript:LPERR05G13670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEITATGNNATLFNSGVMVIQPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDNEAVKAKKTELFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPVMREFASDVAHARWWKVHDKMPDNLQSYCLLRSRLKAGLEWDRRQAEKANFDDGHWRRNITDPRLNTCFEKFCFWESMLLHWGEKNQKNSNAVPATRSSS >LPERR05G13690.1 pep chromosome:Lperr_V1.4:5:13420786:13423272:1 gene:LPERR05G13690 transcript:LPERR05G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRPRPWMGDATGSEQVAAAGGGGGGDVRDDGAVAAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSGGDGDAGDHGGRSSHPAAAGDAVSPDKIFRPPTKMEVVQAEDLTVLMPGQRYPTFLAQPAPLLPWPREGVRWPAHHGDRHCFVPP >LPERR05G13700.1 pep chromosome:Lperr_V1.4:5:13424878:13425345:1 gene:LPERR05G13700 transcript:LPERR05G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNGGGARARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSQRISSSGCRSGAGERVNGKTGGLPGAVRRAFSMRRHPAGHGKGDGYYWRIHDMDGDSDDGDNNPAAAAVAGEEEEKKKKDQLAEAVDEKETAKKKRGGKILKACKKLLRL >LPERR05G13710.1 pep chromosome:Lperr_V1.4:5:13426264:13429343:1 gene:LPERR05G13710 transcript:LPERR05G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSAESEGTTTDDDVHLSPSSICSGGGGGGCVGGGSRIKILCSFGGRIMPRPSDGTLKYIGGETRVLAVPRSIPFSDLKKKVEEMFKTEVAAIKYQLLAEDLDVLVSVTCDEDLVHMLDEYDRLEEKRSPSASPRFRVYVFASNHPVVAVSSAATATVSSSSRHASYAPAPAHHHHHYNHHHHHPLYVATVPGTPNESPPPSYAAHGAVSAGNSPRAADAVGSSPDQAPVFRMGMQRVRSSPNLGSLDAAAVAQQFHQHAGDAGSGGLGGYMGGGSSPGHAGAGHLVSQGGGFHGYYHPHHQYSPAAVPVPHHTGRYDTRGGGGYVRGGSSYVAAPPPPMMPVAVRSGRPVSRGGGGPQYSDMHTPKKATAMWD >LPERR05G13710.2 pep chromosome:Lperr_V1.4:5:13426264:13429343:1 gene:LPERR05G13710 transcript:LPERR05G13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSAESEGTTTDDDVHLSPSSICSGGGGGGCVGGGSRIKILCSFGGRIMPRPSDGTLKYIGGETRVLAVPRSIPFSDLKKKVEEMFKTEVAAIKYQLLAEDLDVLVSVTCDEDLVHMLDEYDRLEEKRSPSASPRFRVYVFASNHPVVAVSSAATATVSSSSRHASYAPAPAHHHHHYNHHHHHPLYVATVPGTPNESPPPSYAAHGAVSAGNSPRAADAVGSSPDQAPVFRMGMQRVRSSPNLGSLDAAAVAQQFHQHAGDAGSGGLGGYMGGGSSPGHAGAGHLVSQGGGFHGYYHPHHQYSPAAVPVPHHTGRYDTRGGGGYVRGGSSYVAAPPPPMMPVAVRSGRPVSRGGGGPQYSDMHTPKKATAMWD >LPERR05G13710.3 pep chromosome:Lperr_V1.4:5:13426814:13429343:1 gene:LPERR05G13710 transcript:LPERR05G13710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSAESEGTTTDDDVHLSPSSICSGGGGGGCVGGGSRIKILCSFGGRIMPRPSDGTLKYIGGETRVLAVPRSIPFSDLKKKVEEMFKTEVAAIKYQLLAEDLDVLVSVTCDEDLVHMLDEYDRLEEKRSPSASPRFRVYVFASNHPVVAVSSAATATVSSSSRHASYAPAPAHHHHHYNHHHHHPLYVATVPGTPNESPPPSYAAHGAVSAGNSPRAADAVGSSPDQAPVFRMGMQRVRSSPNLGSLDAAAVAQQFHQHAGDAGSGGLGGYMGGGSSPGHAGAGHLVSQGGGFHGYYHPHHQYSPAAVPVPHHTGRYDTRGGGGYVRGGSSYVAAPPPPMMPVAVRSGRPVSRGGGGPQYSDMHTPKKATAMWD >LPERR05G13720.1 pep chromosome:Lperr_V1.4:5:13431171:13437529:-1 gene:LPERR05G13720 transcript:LPERR05G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPGCTVFIGNLDEKVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNNPVTPKLNPIPPPKPAQPMRSSDTPMSPHTVVNGRIAGISPNHSYNHSEASSGISSRGLSNGTYEYSRRVFGSVLNDVGNFDVEDSVCAFELRKTKALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMPLLMPPSSGSSDGAGSSGHGSRLSLFSDDCDHRRKLDEGNNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGASVPSKGGRRPPKAFVVIGINTAFSSKRRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFAAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRYANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWNSLAAAAA >LPERR05G13720.2 pep chromosome:Lperr_V1.4:5:13431171:13437529:-1 gene:LPERR05G13720 transcript:LPERR05G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPGCTVFIGNLDEKVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNNPVTPKLNPIPPPKPAQPMRSSDTPMSPHTVVNGRIAGISPNHSYNHSEASSGISSRGLSNGTYEYSRRVFGSVLNDALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMPLLMPPSSGSSDGAGSSGHGSRLSLFSDDCDHRRKLDEGNNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGASVPSKGGRRPPKAFVVIGINTAFSSKRRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFAAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRYANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWNSLAAAAA >LPERR05G13730.1 pep chromosome:Lperr_V1.4:5:13440944:13443097:-1 gene:LPERR05G13730 transcript:LPERR05G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENVHAAANGDGLCMAVPRADPLNWGKAAEELTGSHLDEVKRMVAEYRQPVVKIEGASLRIAQVAAVAAAGAGRGAEVELDESARGRVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGSGSVSDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGRKVNAAEAFKIAGIEGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAVDLRHIEENVKDAVKSCVMTVARKTLSTSSAGGLHAARFCEKDLLKEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVLAKVGQFEEALRAALPRAVEAARAAVENGTAATPNRIAECRSYPLYRFVREELGAAYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNGAPLPIC >LPERR05G13740.1 pep chromosome:Lperr_V1.4:5:13446788:13450702:1 gene:LPERR05G13740 transcript:LPERR05G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGGRGVRTAHLQLSLSNLRLVSTCAVRNTSHTMKHELLQAVTTRNNDLLEEVLGSNRIVMEALLKGITAEGNSALHIAASHGFLELVEMICHMNGSLIKAMSNYFDTPLICAARAGHDDVVSHLISLVSTENKLDEALLRASNADGATAMHEAVSNGHFTVLKTLVLTEASLGATVNARAYYSGPDGKTALHAAVLVSEDMTKSMRLWQPMLTRRGDDFGNTALHYAISDGRIGVVNLLLEDSTLAYLPNCDGLFPVHIAAIVGHAHIVDQLFKLCPNCDELLDNKGRNALHCAIEHGRMKVVRNICKTPSFTKMINTRDKQGNTPLHLAIKHGFKSMAVLIMLDIRVSLNIMNNEGLTPLDVAIYECDQCTSHAASIAAVFTVPGGYIAEGENVGVPVLSKMPEFMSFMEFTFLAFEFSIVAIVLLLCASVPDISERDRRHRICYPLALYARPIYSQFGLLFAALLGHRLVQLLKQLRSRYPWQDIFCILSSVVFYALINNIPVSQVNKGLPWQSSHNWHGCLCHGDESLLYPS >LPERR05G13740.2 pep chromosome:Lperr_V1.4:5:13446998:13450702:1 gene:LPERR05G13740 transcript:LPERR05G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGGRAVRNTSHTMKHELLQAVTTRNNDLLEEVLGSNRIVMEALLKGITAEGNSALHIAASHGFLELVEMICHMNGSLIKAMSNYFDTPLICAARAGHDDVVSHLISLVSTENKLDEALLRASNADGATAMHEAVSNGHFTVLKTLVLTEASLGATVNARGVSPLYLAVLSGRADMVNLLIEQSPKVLRSPAYYSGPDGKTALHAAVLVSEDMTKSMRLWQPMLTRRGDDFGNTALHYAISDGRIGVVNLLLEDSTLAYLPNCDGLFPVHIAAIVGHAHIVDQLFKLCPNCDELLDNKGRNALHCAIEHGRMKVVRNICKTPSFTKMINTRDKQGNTPLHLAIKHGFKSMAVLIMLDIRVSLNIMNNEGLTPLDVAIYECDQCTSHAASIAAVFTVPGGYIAEGENVGVPVLSKMPEFMSFMEFTFLAFEFSIVAIVLLLCASVPDISERDRRHRICYPLALYARPIYSQFGLLFAALLGHRLVQLLKQLRSRYPWQDIFCILSSVVFYALINNIPVSQVNKGLPWQSSHNWHGCLCHGDESLLYPS >LPERR05G13740.3 pep chromosome:Lperr_V1.4:5:13446788:13450702:1 gene:LPERR05G13740 transcript:LPERR05G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGGRGVRTAHLQLSLSNLRLVSTCAVRNTSHTMKHELLQAVTTRNNDLLEEVLGSNRIVMEALLKGITAEGNSALHIAASHGFLELVEMICHMNGSLIKAMSNYFDTPLICAARAGHDDVVSHLISLVSTENKLDEALLRASNADGATAMHEAVSNGHFTVLKTLVLTEASLGATVNARGVSPLYLAVLSGRADMVNLLIEQSPKVLRSPAYYSGPDGKTALHAAVLVSEDMTKSMRLWQPMLTRRGDDFGNTALHYAISDGRIGVVNLLLEDSTLAYLPNCDGLFPVHIAAIVGHAHIVDQLFKLCPNCDELLDNKGRNALHCAIEHGRMKVVRNICKTPSFTKMINTRDKQGNTPLHLAIKHGFKSMAVLIMLDIRVSLNIMNNEGLTPLDVAIYECDQCTSHAASIAAVFTVPGGYIAEGENVGVPVLSKMPEFMSFMEFTFLAFEFSIVAIVLLLCASVPDISERDRRHRICYPLALYARPIYSQFGLLFAALLGHRLVQLLKQLRSRYPWQDIFCILSSVVFYALINNIPVSQVNKGLPWQSSHNWHGCLCHGDESLLYPS >LPERR05G13740.4 pep chromosome:Lperr_V1.4:5:13446788:13450702:1 gene:LPERR05G13740 transcript:LPERR05G13740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGGRGVRTAHLQLSLSNLRLVSTCDMTKSMRLWQPMLTRRGDDFGNTALHYAISDGRNALHCAIEHGRMKVVRNICKTPSFTKMINTRDKQGNTPLHLAIKHGFKSMAVLIMLDIRVSLNIMNNEGLTPLDVAIYECDQCTSHAASIAAVFTVPGGYIAEGENVGVPVLSKMPEFMSFMEFTFLAFEFSIVAIVLLLCASVPDISERDRRHRICYPLALYARPIYSQFGLLFAALLGHRLVQLLKQLRSRYPWQDIFCILSSVVFYALINNIPVSQVNKGLPWQSSHNWHGCLCHGDESLLYPS >LPERR05G13740.5 pep chromosome:Lperr_V1.4:5:13446998:13450702:1 gene:LPERR05G13740 transcript:LPERR05G13740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGGRDMTKSMRLWQPMLTRRGDDFGNTALHYAISDGRIGVVNLLLEDSTLAYLPNCDGLFPVHIAAIVGHAHIVDQLFKLCPNCDELLDNKGRNALHCAIEHGRMKVVRNICKTPSFTKMINTRDKQGNTPLHLAIKHGFKSMAVLIMLDIRVSLNIMNNEGLTPLDVAIYECDQCTSHAASIAAVFTVPGGYIAEGENVGVPVLSKMPEFMSFMEFTFLAFEFSIVAIVLLLCASVPDISERDRRHRICYPLALYARPIYSQFGLLFAALLGHRLVQLLKQLRSRYPWQDIFCILSSVVFYALINNIPVSQVNKGLPWQSSHNWHGCLCHGDESLLYPS >LPERR05G13750.1 pep chromosome:Lperr_V1.4:5:13453621:13456973:-1 gene:LPERR05G13750 transcript:LPERR05G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYKILNISRDTSPKDIRAAYKTLVRQWHPDKHPPSSKNEAEARFKAITEAYEALLDQQENRAAFGARSNVVADGKRDRTAAAAAASGDGGGERATAMPSAAKSEKAAVPAATAARTAPSTPAREFKKPVLYSSTGRLGEVGGRRRAFAEFSSYVVRKAPALEQKVECTLEELCTGCKKEVKYSRDVVDKNGRISKKEETKTIRVKPGWKKGMKVTFDGMGDERPGCLPGDAVFTIAEKKHKVFKRKGNDLVLKAEVPLVSALTGWSFSFRLIGGEKMSCSFRDEVIFPGYEKVVAGEGMPVAGGENGDRGDLRVKFEVVFPKNLTDEQRSGLASILRSCP >LPERR05G13760.1 pep chromosome:Lperr_V1.4:5:13462094:13469135:-1 gene:LPERR05G13760 transcript:LPERR05G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVALAVVVVVLSTSAAAAAVSRWAELGREVTYDGGALVVSGTRRILFSGDMHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVINTCNGLTCGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFVARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEAHVFETDFKCVAFLVNFDQHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHVNSLAHILHAFVNNEYIGSIHGSHDGPRNIVLDTQISLKEGFNTISLLSVMVGSPDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQSCIGRRGCYIPVLPAKFGGDPCPGIQKSLLVVADCSGGGIREGNTSGCVSADAELPCICVERRGGRCRITVCREDSRRTAAAVAWS >LPERR05G13760.2 pep chromosome:Lperr_V1.4:5:13462804:13472449:-1 gene:LPERR05G13760 transcript:LPERR05G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADIAVFVFLGLLPLLLSSSAARYEWPAGKEEEGDGERGEVTYDGRALIINGTRAMLFSGEIHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVINTCNGLTCGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFVARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEAHVFETDFKCVAFLVNFDQHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHVNSLAHILHAFVNNEYIGSIHGSHDGPRNIVLDTQISLKEGFNTISLLSVMVGSPDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQVGGDVIFQYCQPSLEVTHAPESKKAF >LPERR05G13760.3 pep chromosome:Lperr_V1.4:5:13462804:13469135:-1 gene:LPERR05G13760 transcript:LPERR05G13760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVALAVVVVVLSTSAAAAAVSRWAELGREVTYDGGALVVSGTRRILFSGDMHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVINTCNGLTCGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFVARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEAHVFETDFKCVAFLVNFDQHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHVNSLAHILHAFVNNEYIGSIHGSHDGPRNIVLDTQISLKEGFNTISLLSVMVGSPDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQVGGDVIFQYCQPSLEVTHAPESKKAF >LPERR05G13760.4 pep chromosome:Lperr_V1.4:5:13462804:13469135:-1 gene:LPERR05G13760 transcript:LPERR05G13760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVALAVVVVVLSTSAAAAAVSRWAELGREVTYDGGALVVSGTRRILFSGDMHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVINTCNGLTCGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFVARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHVNSLAHILHAFVNNEYIGSIHGSHDGPRNIVLDTQISLKEGFNTISLLSVMVGSPDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQVGGDVIFQYCQPSLEVTHAPESKKAF >LPERR05G13760.5 pep chromosome:Lperr_V1.4:5:13462804:13469135:-1 gene:LPERR05G13760 transcript:LPERR05G13760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVALAVVVVVLSTSAAAAAVSRWAELGREVTYDGGALVVSGTRRILFSGDMHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVINTCNGLTCGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFVARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEAHVFETDFKCVAFLVNFDQHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQVGGDVIFQYCQPSLEVTHAPESKKAF >LPERR05G13760.6 pep chromosome:Lperr_V1.4:5:13462804:13469135:-1 gene:LPERR05G13760 transcript:LPERR05G13760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVALAVVVVVLSTSAAAAAVSRWAELGREVTYDGGALVVSGTRRILFSGDMHYTRSTPEMWPKLISKAKRGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDIPSITFRSDNEPFKRHMQGFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGPSGPRYVHWAAAMAVSLQTGVPWMMCKQNDAPDPVYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGSYSNFSLGQEQEHKTPQVEFRNITLELAPKSISILSDCRSVVFETAKVNAQHGSRTANVVQFLNDINSWKAFVEPVPQDLSKSMYTGNQLFEQLTATNDETDYLWYIVRYENRANDGNQLVHLHVNSLAHILHAFVNNEYIGSIHGSHDGPRNIVLDTQISLKEGFNTISLLSVMVGSPDSGAHMERRSFGIQKVGIQQGQQPLHLLNNYLWRYQVGLFGEVDRIYMQEGTYSVAWIDINNLTYHPLTWYKTTFATPVGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFRAPSGQPSQSLYHIPQRFLKPKENLLVLVEEMGGDPLQITVNTMSVTRVCGSVNELSVPPLQSRGKDPKVRIWCQGGKRISSIEFASYGNPVGDCRNFSIGSCHAESSEFVVKQVGGDVIFQYCQPSLEVTHAPESKKAF >LPERR05G13760.7 pep chromosome:Lperr_V1.4:5:13469154:13472449:-1 gene:LPERR05G13760 transcript:LPERR05G13760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQADIAVFVFLGLLPLLLSSSAARYEWPAGKEEEGDGERGEVTYDGRALIINGTRAMLFSGEIHYTRSTPEVHDMSSKAGNKRQEAGKFIR >LPERR05G13770.1 pep chromosome:Lperr_V1.4:5:13478475:13480928:-1 gene:LPERR05G13770 transcript:LPERR05G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLHQFTASDDHGAPPPQLGDEWAAAECVGGRRGSRRWSRKKAARGHRRRGGCGDGGGSCRSGREDGAAGAGRKRVMVVVDQSSGSKHAMMWALTHVASKGDFLTLLHVIPHAGAGTGGDASAAALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLEASVLVLSQCKPSPFCCFMRSSGEEFVEECINSADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >LPERR05G13780.1 pep chromosome:Lperr_V1.4:5:13495330:13500385:-1 gene:LPERR05G13780 transcript:LPERR05G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGCSGSGGGVSSGERAGSFNLRYLSKLILPPLGGHAQPGHAGSNDKRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNLSPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRNRRRIAMRYLSTFFIMDVASTIPFQGLAYLVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKEAYLFKDVSREVLLLMVTNMKPEYIPPKEDVIVQNESPDDVYIVVSGEVEVIYFNGESEQVVATLGTKDIFGEVSALSGRPQSFTFRTRTLSQLLRLRQATLKEAMQSKPEDSVVIIKNFLKVHQIEMHDMKVEDLLGEDAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVMVLLKHACNVNIKDAQGNTAAWNAIAARHHKVFNILYHVARVSSSSPHHAAGDLLCHAARRGDLATLRELLKHGLGDAVDAEDHDGNTALRVALAEGHADVARFLVLSGASVDKAASLVDAGASPRTLSADELRELTEKRELVHPVTIVDDSPLRAAAAVVREVVGSPGNSRKGRLPNTRSDTAHLPRVSIYKGHPLIRSPRSEAGKLINLPRTMEEFRNIIGEKLKVDAKKALIMNDEGAEIDSIDVIRDNDKLFIVTEEHMRVVASMDSVSGS >LPERR05G13780.2 pep chromosome:Lperr_V1.4:5:13495330:13499987:-1 gene:LPERR05G13780 transcript:LPERR05G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFESTSSSGSGGGVSSGERAGSFNLRYLSKLILPPLGGHAQPGHAGSNDKRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNLSPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRNRRRIAMRYLSTFFIMDVASTIPFQGLAYLVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKEAYLFKDVSREVLLLMVTNMKPEYIPPKEDVIVQNESPDDVYIVVSGEVEVIYFNGESEQVVATLGTKDIFGEATLKEAMQSKPEDSVVIIKNFLKVHQIEMHDMKVEDLLGEDAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVMVLLKHACNVNIKDAQGNTAAWNAIAARHHKVFNILYHVARVSSSSPHHAAGDLLCHAARRGDLATLRELLKHGLGDAVDAEDHDGNTALRVALAEGHADVARFLVLSGASVDKAASLVDAGASPRTLSADELRELTEKRELVHPVTIVDDSPLRAAAAVVREVVGSPGNSRKGRLPNTRSDTAHLPRVSIYKGHPLIRSPRSEAGKLINLPRTMEEFRNIIGEKLKVDAKKALIMNDEGAEIDSIDVIRDNDKLFIVTEEHMRVVASMDSVSGS >LPERR05G13780.3 pep chromosome:Lperr_V1.4:5:13495330:13499987:-1 gene:LPERR05G13780 transcript:LPERR05G13780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFESTSSSGSGGGVSSGERAGSFNLRYLSKLILPPLGGHAQPGHAGSNDKRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNLSPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRNRRRIAMRYLSTFFIMDVASTIPFQGLAYLVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKEAYLFKDVSREVLLLMVTNMKPEYIPPKEDVIVQNESPDDVYIVVSGEVEVIYFNGESEQVVATLGTKDIFGEVSALSGRPQSFTFRTRTLSQLLRLRQATLKEAMQSKPEDSVVIIKNFLKVHQIEMHDMKVEDLLGEDAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVMVLLKHACNVNIKDAQGNTAAWNAIAARHHKVFNILYHVARVSSSSPHHAAGDLLCHAARRGDLATLRELLKHGLGDAVDAEDHDGNTALRVALAEGHADVARFLVLSGASVDKAASLVDAGASPRTLSADELRELTEKRELVHPVTIVDDSPLRAAAAVVREVVGSPGNSRKGRLPNTRSDTAHLPRVSIYKGHPLIRSPRSEAGKLINLPRTMEEFRNIIGEKLKVDAKKALIMNDEGAEIDSIDVIRDNDKLFIVTEEHMRVVASMDSVSGS >LPERR05G13780.4 pep chromosome:Lperr_V1.4:5:13495330:13499987:-1 gene:LPERR05G13780 transcript:LPERR05G13780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFESTSSSGSGGGVSSGERAGSFNLRYLSKLILPPLGGHAQPGHAGSNDKRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNLSPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRNRRRIAMRYLSTFFIMDVASTIPFQGLAYLVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKEAYLFKDVSREVLLLMVTNMKPEYIPPKEDVIVQNESPDDVYIVVSGEVEVIYFNGESEQVVATLGTKDIFGEVSALSGRPQSFTFRTRTLSQLLRLRQATLKEAMQSKPEDSVVIIKNFLKVHQIEMHDMKVEDLLGEDAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALVCDMSENTHIAASKGYEDCVMVLLKHACNVNIKDAQGNTAAWNAIAARHHKVFNILYHVARVSSSSPHHAAGDLLCHAARRGDLATLRELLKHGLGDAVDAEDHDGNTALRVALAEGHADVARFLVLSGASVDKAASLVDAGASPRTLSADELRELTEKRELVHPVTIVDDSPLRAAAAVVREVVGSPGNSRKGRLPNTRSDTAHLPRVSIYKGHPLIRSPRSEAGKLINLPRTMEEFRNIIGEKLKVDAKKALIMNDEGAEIDSIDVIRDNDKLFIVTEEHMRVVASMDSVSGS >LPERR05G13790.1 pep chromosome:Lperr_V1.4:5:13500514:13501924:1 gene:LPERR05G13790 transcript:LPERR05G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRATTGGGQLGERCDHRSDRPIDQWQWWLWPRIRRDLAAVAAVCTELCWMRFRTCHERWHGNQQQIGKIADDWDSRMGRLSQHKQRAQDDYSANRLSTGSSRAGHRRDMGVFLMVEASAIVNS >LPERR05G13800.1 pep chromosome:Lperr_V1.4:5:13502650:13505506:-1 gene:LPERR05G13800 transcript:LPERR05G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRAIGRRPGAAGESPVDDNPPDLSLALHPRALDEDGGEKEEEEAEPRERRPFSLMDQPLFPNLPPPRPPRPRRLLDDDDDSSSPCCCSSSSLPPAAKRRRDLEARRGAVRAWGCQPLPEADPAVHALMELERRRQIHGIELIASENYACRAVLAALGSHLTNKYSEGLPGARYYSGNDHIDGIERLCCVRALAAFGLDPSRWGVNVQPYSCTSANFAVYTGLLLPSDRIMGLDSPSGGHVSHGYYTPNGKKVSGASIFFENLSYRVNPQTGYIDYDKVEERAMDFHPKILICGASSYPREWDYARMRLIADKCGAVLMCDMAQISGLVAAKECRNPFDYCDIVTSTTHKSLRGPRGGTIFFRKGKNQRKRSGSLPQMGENDQYDFEGRINFAVFPSMQGGPHNNHIAALAIALKQVATPEFKAYIQQVKKNAQALAMALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISLNKTPIHGDNGSISPGGVRIGTPAMTTRGCLESDFEMMAEFLIRAAHIASIISKEHGKLQKDFLKSLENNSDIIELRNQVEAFALQFAMPEVDP >LPERR05G13810.1 pep chromosome:Lperr_V1.4:5:13508088:13510290:1 gene:LPERR05G13810 transcript:LPERR05G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLATTWIGQLLAEFNNLAVEFLPLPHLAELMVLLYVTILISGPPSPSKPPPLAVTVAERATVN >LPERR05G13820.1 pep chromosome:Lperr_V1.4:5:13522409:13524868:-1 gene:LPERR05G13820 transcript:LPERR05G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQETPVAAPAAEEVVVVEKAVEAAAAAEEEKKVDEAAAAATAAEQEEEEKKAEEAEEAAAADEAAVIEGTGSFKEESNLVSDLPDPEQTALAQLKELVAAALANGEFDLPPPPPPPPAKEEPAKEETKAAEEPAKEEESKAAAEEEPAPAKEEESKAEASATEEPKPEEAPAQEEPKVEAHAPAQEEPKTEVPTKEEPAPAAAAAAAAEETKPAEPEPEEKAVVVTEEEATKTVEAIEETVVAAAATETAAAEPEAAAAKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKRFGIDAVLAADHGLPELDNVVFYRGADREGHPVCYNVYGEFQDKELYEKVFGDEEKREKFLKWRIQLLERGILEQLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQALALLQDNYPEFIAKKVFINVPWWYIAANKMVSPFLTQRTKSKIIFCSPAKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTIKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFIPAAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTVDNAASKKKKQLLYRFKVKSSTESA >LPERR05G13830.1 pep chromosome:Lperr_V1.4:5:13533328:13534424:-1 gene:LPERR05G13830 transcript:LPERR05G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAPPSLPRASPLRGRLAAATGLSSLIRLGALAPAARLSSSVTRPRCSSRTEVVSGAADDEACELVSGADLVIGGDEEGGAVRAYLLKAVKNNNGTGILLLSDIFGFEDSATRDFAYRVACHGYNVLVPDLFRGNPWRKPEKDGFDEWLAGHGAERVSRDIDACTKWLVDEFTAAGVSKKLGIIGFCYGGGRLVETLARDGGGSYSAGVCFYGTRMDASLAPRLDAPVLFVCGDGDPLCAVETVREMEAGARGAKAVVYAGRGHGFAHRPQSLEDDGDAEDAFGLMRAWLHDHLLA >LPERR05G13840.1 pep chromosome:Lperr_V1.4:5:13536130:13538547:-1 gene:LPERR05G13840 transcript:LPERR05G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRQFMVPGPGGPMPPPQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAASAAGKIASTYDLVEPMRFLYVHVVKARDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGANHHPSWQQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLSEVPIRVPPDSPLAPQWYRLEAKRGEKLPRGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPAAVASTRAKVYFSPKLVYLRVAAIGAQDLVPHDTSRPMNACVKLQLAGQTRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLGRPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNGDVRDESIGKVRIRLSTLETDRVYTHFYPLLALKHSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVMQLDYLRHQAMQCVAARLSRAEPPLRREVVEYILDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPPYMDTKLCHAEFTNQDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVIAMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >LPERR05G13860.1 pep chromosome:Lperr_V1.4:5:13563241:13566656:1 gene:LPERR05G13860 transcript:LPERR05G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSSLLPAATIDAPLFLSSTMSTVPALRLGSPAALLAARRPPRWLRCGVGYGGGGARRGIACSVEAAAARRVGDEVSEEEEEEEKRRGRAAERRLRGGVSAAAAAVGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRNKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKPSQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRSEEGGVTLDYLQGLHEKHESWLLPSKGGGTGVLSVSHLPIHMEGSLPPTIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAKQVAEFFKFVKKKKEAPSEQTNTDKNRTTPQIMLPHKGGLWVPGGNPFSGSAMNLNFRRAMPSYIST >LPERR05G13870.1 pep chromosome:Lperr_V1.4:5:13572351:13580411:1 gene:LPERR05G13870 transcript:LPERR05G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLGRGLIVDAEPAAIKGAKPPVVEDATSKRVEEQEQSFAFLESVVPGLAVYSGADGSQAGSRTPQLVRTLSQKAGLGKSKASAAKVSEVSSLLSRAGTVGIGKAVEVLDTLGSSMTSLNTSSGFISGAAAKGNKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLHSEGVQRLISNDMNELIKIAAADKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKLSSERTPQHRLKEDAESVMQQLIISVQYTAELYHELHALDRFEQDCRRKQQEMDGLSSRGDTLHMLKQDVKSQTKHVKSLKKRSLWSNNLEEVMEKLVDIVHFLHLEINNAFGLADARYYLTADSEEPQETAKHHNRLGPAGLALHYANIINQIDTLVSRSSSIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTVSQIKAEMEKTLRWLVPIGNNTTKAHHGFGWVGEWANTGSELNGKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKTANGGVRSPIKSPVRSPTQKGITLMPDKSNNSSPVLTQEDKDMLKNVKYRKFVPGISKSQEFDTKSRHNKQIRLIKSSSQSPTSGNRKDLLSVRRSSMLPVIDFQMDRTKALDLIDRLDDMKKQ >LPERR05G13870.2 pep chromosome:Lperr_V1.4:5:13572351:13580411:1 gene:LPERR05G13870 transcript:LPERR05G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLGRGLIVDAEPAAIKGAKPPVVEDATSKRVEEQEQSFAFLESVVPGLAVYSGADGSQAGSRTPQLVRTLSQKAGLGKSKASAAKVSEVSSLLSRAGTVGIGKAVEVLDTLGSSMTSLNTSSGFISGAAAKGNKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLHSEGVQRLISNDMNELIKIAAADKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKLSSERTPQHRLKEDAESVMQQLIISVQYTAELYHELHALDRFEQDCRRKQQEMDGLSSRGDTLHMLKQDVKSQTKHVKSLKKRSLWSNNLEEVMEKLVDIVHFLHLEINNAFGLADSEEPQETAKHHNRLGPAGLALHYANIINQIDTLVSRSSSIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTVSQIKAEMEKTLRWLVPIGNNTTKAHHGFGWVGEWANTGSELNGKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKTANGGVRSPIKSPVRSPTQKGITLMPDKSNNSSPVLTQEDKDMLKNVKYRKFVPGISKSQEFDTKSRHNKQIRLIKSSSQSPTSGNRKDLLSVRRSSMLPVIDFQMDRTKALDLIDRLDDMKKQ >LPERR05G13880.1 pep chromosome:Lperr_V1.4:5:13579412:13581815:-1 gene:LPERR05G13880 transcript:LPERR05G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAPSTASFAPAPATRRAKGAAPLLFRRPPGCVLLRVASESSSISGDTLLGLYEKERLGHSIYADEESNREMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDSWLGNRKRIIVMNREDMVSTEDRNAWASYFANQGIKVVYSNGQLGMGTMKLGRMAKSVASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGNDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYKLDIDSDYGKIFVTKLSVHLFNGDANQAAFRILSDYRKGKFGWVALERPPT >LPERR05G13890.1 pep chromosome:Lperr_V1.4:5:13582297:13594741:1 gene:LPERR05G13890 transcript:LPERR05G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEQLVAAAALPALLPTPPRSKMVPLLPTPCLIILPTSFASPSSAPKPGRADSVERWDAHKTRAGGETTPLPLTKRPAAGRGRSMSRADACDRWDSSKTSSTSPSRSSTSSSSLSLSSEPFRRGSSSSRSPARWSASRASSTERWDIHKKPRQQTGALDGENEISMPWYAEFAGPSTFMGRSEQLVTAAALPALLPTPPRSKMVPLLPTPCLIILPTSFASPSSAPKPGRTDSVERWDAHKTRAGGDTMTSPPPTTKRPADRGRGLCRADACDRWDSNKTSSTSPSRSSTPSSLSLSSEPFRRGCNGSRSPARSSSSSSRASSAERWDIHKKPRLQAGALDGEFAGTSFVSPPEPCMLPMPNRPSRPAPDAAEEQDGASAPYAVPHHPSYLLRVSPGRADSVERWDAHKTRAAGDTMTSPPPTTKRPADRGRGLCRADACDRWDSNKTSSTSPSRSSTSSSSSLSLWSEPFRRGSSSSSSRSPGRSSSSSSAERWDIHKKPRQQAGALDGEKEISMPLYAEFAGPSTFVSPPEPCMLPMPKFMGRSEQLVAAAALPALLPTPPRSKMVPLLPTPCLIILPTSFASPSSAPKPGRADAVERWDAHKTRAGGDTMTSPLPTTKRPAADRGRGVCRADACDRWDSNKTSSTSPSRSSTSSSSSLSLSSEPFRRGSSSSRSPARSSSTSSRASSAERWDIHKKPRLQSGALDGEKEISMPRYGEFAGQSFVSPPEPCMLPMPKFLLAH >LPERR05G13900.1 pep chromosome:Lperr_V1.4:5:13607180:13614614:-1 gene:LPERR05G13900 transcript:LPERR05G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLFVEAVRAVHLCRAMPRGGVGRGETGRGLRGFIRIGPIRHTVPERTLTTGVRDKRPKPSLHLCKRGRETRGERRRQPDRSFDRSSDPYNRGLSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTLPAETMSNEHAMRFPLVGSAMLLFLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSMKRFLPKEWNDNAIVWCAPFIQSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGIAFCIQAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNCYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYTESKAEEEEDGEEDTDSKQNKKEE >LPERR05G13900.2 pep chromosome:Lperr_V1.4:5:13607180:13613395:-1 gene:LPERR05G13900 transcript:LPERR05G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTHERAANLALAGLSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTLPAETMSNEHAMRFPLVGSAMLLFLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSMKRFLPKEWNDNAIVWCAPFIQSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGIAFCIQAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNCYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYTESKAEEEEDGEEDTDSKQNKKEE >LPERR05G13900.3 pep chromosome:Lperr_V1.4:5:13613420:13614614:-1 gene:LPERR05G13900 transcript:LPERR05G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLFVEAVRAVHLCRAMPRGGVGRGETGRGLRGFIRIGPIRHTVPERTLTTGVRDKRPKPSLHLCKRGRETRGERRRQPDRSFDRSSDPYNRVLCLQCGLALLARSAVEQIDLKAAARRGRSPPGARNKIVEHPG >LPERR05G13910.1 pep chromosome:Lperr_V1.4:5:13620189:13623651:1 gene:LPERR05G13910 transcript:LPERR05G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPPAARARAPVLRNELSRKTAMLGLRLWVVVGIAVGAAFLLLLALISYHLASSRRRRPAVKRGAAAGAAVPLSPATTIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGGGGAVVDGGGDSLGGSAVYGSQRVHIEAGKGRRMVAYGDGGGEVGPVPSDLAVGPEVSHLGWGHWYTLRELEEATAAFEPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDTRMSIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTPRSAHCQGSPLDRARTYRKPVTGSGDGSCYEGNTTTAASTPSRLHDSLS >LPERR05G13920.1 pep chromosome:Lperr_V1.4:5:13627415:13627732:1 gene:LPERR05G13920 transcript:LPERR05G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFTVTAALFILAAMAAIAVAQAGRALDERIWKPVGTRVVNPGNWPYDLDSLQLGDPDFSGAGGPAASASAGDDNVAESSGGSARERFGDLGINVYEKIPLFGP >LPERR05G13930.1 pep chromosome:Lperr_V1.4:5:13631251:13633521:1 gene:LPERR05G13930 transcript:LPERR05G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMWLLVLCVPALTTTTSAAAAEPEAYIVHMDKSAMPRGFDSQASWYESTLAAAAPGADMFYVYDNAMHGFAARVTADELDKLRGSRGFVSCYRDDARAVRRDTTHTLEFLGVGVGAPGGGLREAARHGEDDVIVGVVDTGVWPESASYRDDGLPPVPSRWKGYCESGTAFDAGKACNRKLVGARKFNKGLVAGTNVTIAVNSPRDTDGHGTHTSSTAAGSPVPGASFFGYAPGVARGMAPRSRVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMRRGVFVSTSAGNDGPDPGFLHNGTPWTLTVAAGTVDREFSGIVRLGDGTTVIGQSMYPGSPSTVGSSGLVFLGACDNATELENNRDKVVLCDATESLTAAIFSVQDAKVRAGLFLSNDSFRELSEHFTFPGVILSPQDGPSLLQYIQRSRAPKASIKFEVTILTTRPAPLVATYSSRGPAASCPTVLKPDVLAPGSLILASWPENVSVATVGNQPLFSRFNVISGTSMSCPHASGMAALIKAVHKDWSPAAVRSAMMTTASGVDNTNGPIKDMGRGNRAATPLAMGSGHIDPNRAVDPGLIYDAGPDDYIKLMCAMNYTAAQIKTVTQSSSSSAAVDCAGASLDLNYPSFIAFFDPGATAAVARTFTRTVTNVGDAPASYSAKVKGLSGLTVTVSPERLVFGAEHETQKYTLVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTASSVPL >LPERR05G13940.1 pep chromosome:Lperr_V1.4:5:13634570:13636871:-1 gene:LPERR05G13940 transcript:LPERR05G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAGMPRSPCCSLPPSDPRLPSCLALQPRRAPVTLSAPAARQVVALRAAAGDRGEGETTPIEKRFPPFPAVMDINQIHDILPHRFPFLLVDRVIDYKPGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLVKLQKRFGIAKMEGKAFVGGDLVCEGEFLMATGSE >LPERR05G13950.1 pep chromosome:Lperr_V1.4:5:13638913:13639248:1 gene:LPERR05G13950 transcript:LPERR05G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCIRCGGAADLVETEKVLKLFFVPVKRWPGKDPAYHCRECGLLAPGSLGGGGETGMGPPLLPGDARCGACNRAVADPQFRFCPFCGSAL >LPERR05G13960.1 pep chromosome:Lperr_V1.4:5:13645450:13658632:-1 gene:LPERR05G13960 transcript:LPERR05G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTERILAVNASIWSNIGFEPNQSESKIQGQEERDQLAKMTIKCAGLFSDKQQKKYHINLKMNPKEAKFVEQESRMGEEMATAAGDRWNWNVVVATKMDKTTIIVSAVAGSLGVLSAVMGFAAEVARTTDCASALGLPVAASIFLLMAQVTVAAGDSCRKSRAVLSTISAVLAFVLFLDTVFGRVYSSKPTAVALGIYAAIFLLIIHVIVAAVNCCSSCCDQSEAKQIVIIVSAVIGSLGLLSAILGFAAEGSRHTSNCTSAIGLGIFAAVFLLIAQAAVSVVGACCGCAKSHRAPSETRGFVGVVCAVGSWVAAVVASVMFLVGAIQGSSCNVSAGLFACAGLLTLLATGLGIASYVILRQLEQGGKAVVIVSAVAGSLGLLSAILGFSAEGTKTTMSQILLVGDECLYPQNPATALGICGAVFLLMAQITISAVGGCCGCCKSRAIPSETKRIIGVVCAVMSWIAAGIAWAMFVIGAAGNSDGGRATWPNCYVLKDGIFAGAAVLALAATAFGVTSYVVLSRQSDKAPAAGAAKPAEQPPLAGIAMGNPQFPAQPPPPSHGPTAL >LPERR05G13970.1 pep chromosome:Lperr_V1.4:5:13653229:13658078:1 gene:LPERR05G13970 transcript:LPERR05G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDILTSKWLHHETCAIVGCRRGHLCGTNVRQAAMSPRLFPAYPVVFTIGGLDGCSSNGRLGV >LPERR05G13970.2 pep chromosome:Lperr_V1.4:5:13653229:13657634:1 gene:LPERR05G13970 transcript:LPERR05G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDILTSKCELEIGCVNVRLHCGGAFSPSTATRSSAFLLVHLVSGRHHTTPNLIDCKAANV >LPERR05G13970.3 pep chromosome:Lperr_V1.4:5:13653229:13658078:1 gene:LPERR05G13970 transcript:LPERR05G13970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLFPAYPVVFTIGGLDGCSSNGRLGV >LPERR05G13970.4 pep chromosome:Lperr_V1.4:5:13653229:13657634:1 gene:LPERR05G13970 transcript:LPERR05G13970.4 gene_biotype:protein_coding transcript_biotype:protein_coding INGSASASAVPFLLRDPATPAPPSAIPRGLTRRVSICIVSSLRLRLPRAPTPQGPRWSATDDRLHPASSPPSFDPAGTSDPAAVPSAVDHVDARHEKSVSTSTLLRTEIGCVNVRLHCGGAFSPSTATRSSAFLLVHLVSGRHHTTPNLIDCKAANV >LPERR05G13980.1 pep chromosome:Lperr_V1.4:5:13662934:13674707:-1 gene:LPERR05G13980 transcript:LPERR05G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAIVVCTVVGTLGLKSAILGFAAERSKITISDIRVVGKDCLYPSSPSLRLGLCASFLLLMAQLIMSFVGVCCICGKSRTVAPSKTKRVVGIVLAVVSWIAAVIGSTLFVEGAAWNANVARDTAPVCYFLKDGVFACAAVLALAATALGIASYVVLRGQPADDDEEEAAAPWKQPLLHGRIATGHPQFLKTTTAAAGPSASGSGTGREEPNVVSIKMDKTTIIVSSVVGSLGLLSAILGFAAEAAKSSDCGTTVGLAVTATIFLLMAQVTVGAAGGCCGCCKSRAIPSESKRIIGVVCASISWVAAVIAFALLVDGSIGAAVACIGLVGELAGAGVLVLVSTGLGITSLIMLRREAQADHEAPPPAPRGNGAYVDDEPTPIGAPMGVPMGVPGFPSPMHSNIPQAPAMSPNPHEPEPVPTYPPPYPPSPAAQGMGGGQTQAPANQQYPPPHPQGHGQGESKWKPPPVTTRTGTSSPR >LPERR05G13980.2 pep chromosome:Lperr_V1.4:5:13659511:13662931:-1 gene:LPERR05G13980 transcript:LPERR05G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTIIVSAVAGSLGVLSAVMGFAAEVARTIDCASALRLPVAASIFLMMAKVTVAAGVSCRKSRAILSVSKRIVRALCALVSWIAAVLAFVLFLDTGFGSDNSSIRTAVALGICAAIFLLITHVTVAAINYCSSCCIQSETNRFVCIVLLSVAPVTTFVLFVYSAVDKHKISIDCDVILGDVYVSAGVLALISTGLGIAPYLMLRTHRHDEPTLVWVPMVPMIGGPVPVVAQGIACQAPNPQFAYAADPRTHGYGYGQPPIFPHFVAPPPARGYESQSPPGDATQEGDRPLPERMTLNPMALYRFFLLSFTHTVVSKTGNGQRRALLSTYPLNRMPLLFVGLMAKLDGDGAPGY >LPERR05G13990.1 pep chromosome:Lperr_V1.4:5:13680062:13683929:1 gene:LPERR05G13990 transcript:LPERR05G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEEVRDIGSGNFGVARLMRNRETGDLVAVKTIARGDHRINKSAYREIINHRSLRHPNVIQFIEAILTPTHLAIVMEFAAGGELFDRIVDQERFSEDEARYFFQQLICGVSYCHYMKICHRDLKLENVLLDGSKAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEILDLQEYDGKLADVWSCGVTLYVMLVGAYPFEDKDDPKNLMKTVKLIREVEYEIPEQVHISTDCRELISRIFVSDPSERITMQEIKNHPWFLKNLPRELTEEAQSVYFTKNDNVPAFSDQTSVEIMTIVEEAQRIPKLSGDGYYDYRSDEEKQEEEPGENEDEEDERDKMLRVVREDREKETLNMKALSIG >LPERR05G13990.2 pep chromosome:Lperr_V1.4:5:13680062:13683929:1 gene:LPERR05G13990 transcript:LPERR05G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEEVRDIGSGNFGVARLMRNRETGDLVAVKTIARGDHRINKSAYREIINHRSLRHPNVIQFIEAILTPTHLAIVMEFAAGGELFDRIVDQERFSEDEARYFFQQLICGVSYCHYMSSVLHSRPKSAVGTPAYIAPEILDLQEYDGKLADVWSCGVTLYVMLVGAYPFEDKDDPKNLMKTVKLIREVEYEIPEQVHISTDCRELISRIFVSDPSERITMQEIKNHPWFLKNLPRELTEEAQSVYFTKNDNVPAFSDQTSVEIMTIVEEAQRIPKLSGDGYYDYRSDEEKQEEEPGENEDEEDERDKMLRVVREDREKETLNMKALSIG >LPERR05G14000.1 pep chromosome:Lperr_V1.4:5:13688216:13690507:1 gene:LPERR05G14000 transcript:LPERR05G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSPRHLSNPLLILFLLATIAAQIDMAACEPEQQTPAAAQEAAVHIVYVDRPADADAEEFHIRTLAPVLGSEERAKEAVLYHYKHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGTGATTTNTLGLM >LPERR05G14010.1 pep chromosome:Lperr_V1.4:5:13691386:13698129:1 gene:LPERR05G14010 transcript:LPERR05G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVAGGDSAAELLLRAAALVPPAYYALAALLLACAFLYRFLELHLIGDLLRGLRGGRVALTFHPASLVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDCEEADNDFCDGVISRDDSTPIVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDFREIINYLHQKYQQSPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAQLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANFIGGVSVPLLCVSALDDPLCTREAIPWDECRANKNIVLATTDNGGHLAFFQGLTAGKLWWVGATAEFLLALHDSPCMHRRKAQEHGLQTSLESSIDKSPYVNFMEDGMVTAVTNADSNNSDSDNPISNEMELRNGMIDLQQNANATEIQNGCDHSSQGNVTPAQGHVGSQQNPEHTDKIQDAIAPVKKSINQLARSQGRSVWLLAYIAFVTSWPLLGTLGFILFRKKFRNSLPAKWLRN >LPERR05G14020.1 pep chromosome:Lperr_V1.4:5:13699116:13700663:-1 gene:LPERR05G14020 transcript:LPERR05G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQAAVAAHLDQVSGLVQALSAELRRGIGPAADSLRAFVRAVDWTEPWLLCLMAFHAILLLTAVGFRKNANFQFFLLFLAYSGVYLAEKINSYMGKHWRNIASRNYFDRAGVFISVVWSGPLIFISMVIVVSSLITLCRMMVKWKRAELRHRAQLARDKKD >LPERR05G14030.1 pep chromosome:Lperr_V1.4:5:13702240:13704742:-1 gene:LPERR05G14030 transcript:LPERR05G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIRPRRAALVLFLLALSFSLSLAAHFEGFDSDDLHSAAADAASADDDEEGLEVELPPPPPISLSTSSPSPPVTTTASSPNPNSDPNPTLTPPNPTPALDLWDEDEFEGIPVPEAVSVDESAAPAEAAPSDPAADAAAEAAPAPPRRPAELLRAYTIEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVVLAVARKKAAKTMQKEERDLQKFASVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEATRTKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKVKMLRS >LPERR05G14040.1 pep chromosome:Lperr_V1.4:5:13708335:13708892:1 gene:LPERR05G14040 transcript:LPERR05G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRNRAYGSVDRIHNALYAAGSLASPSSAPKPGRADAVERWDAHKTSAGGEMTPPPLTKRCAAAGRGRSMCRADACDRWDSNRTSPGRSSASSSFSSSPSRSRNGSSSSSSPARSSSSTSGASSAERWDINKKPRVQASVLDGLKRSSAAMNMSLTTACAEFAGPSFVSPEPCMLPLPKFLMAR >LPERR05G14050.1 pep chromosome:Lperr_V1.4:5:13712299:13712877:1 gene:LPERR05G14050 transcript:LPERR05G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEQFVTAAALPALLPTPPRSKMVPLLPTPCLIILPTSFASPSSAPKPGRADSVERWDAHKTRAGGETTPPPLTKRLAAGRGRSMSRADACDRWDSNKTSPSRSSASSSSSPSGSPARSCSTSTSSRASSAERWDIHKKPRLQASALDGKKGSNAAVNMSRTTAHAEFAGPSFVSPEPCMLPLPKFLMAH >LPERR05G14060.1 pep chromosome:Lperr_V1.4:5:13714518:13717189:-1 gene:LPERR05G14060 transcript:LPERR05G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYAASALVVSLILMMHCAPRYGQTNIAVYVGICSVVGSLTVMSIKAVGIAIKLTIEGINQAGYLQTWLFVAISCLCIIIQLVYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSVSSIASEICGFLTVLSGTVVLHSTRESDQTISPDLYTPLPPIYWHIQGNGETIKQKEEDLLSADFITVVRQDYFV >LPERR05G14070.1 pep chromosome:Lperr_V1.4:5:13724874:13726499:1 gene:LPERR05G14070 transcript:LPERR05G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAAKSSRLLLLHHHAAGSNRQQQQHLRYSTKPSSLTLQQPRRGGGTPVGALIPDRVTPFSYGGDDDESDDHPREECGLVGIVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRSKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGAVAFASETCALDLIEATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFALPNSVVFSHAVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLDKLHSIYGAEAEGYCDACFSRKYPVLPTLPEPVMELKE >LPERR05G14080.1 pep chromosome:Lperr_V1.4:5:13728634:13731512:-1 gene:LPERR05G14080 transcript:LPERR05G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSSGSGPSDPLLPGAGAALRHAPTTGGWRSALFIIWMEVAERFAYYGVSSNLINYLTGPLGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFTSSQSPQCSNSADGRRDFRPSSVQTAFLYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESLARSSFFNWWYLGICTSATVTVVIMSYVQDNVGWGLGFGVPCIVMLLGLAVFLLGTRTYRFYDGGEVAGTFSGVGKAVRAWRKRRRREGDGATEEVEHGECATAEAETAGLAEEVRGMARLFPIWAACLIYGVVFAQPPTLFTKQAATLDRRIGPSFQMPPAALQSFLGVSIIPCVLLYDRVMVPAARRLTGVASGITTLQRIGAGIALSLVALVVAALVEMKRLSAAAARLDDAAGVVVVPMSLWWIVPQYMLLGAADVFALVGMQEFFYDQVPAALKSLGLAFYLSVLGVGSFISSFLISVIDGVTRRGGGTSWFDDDLNRGHLDYFYLLLAALTLLDLLAYLYFSTSYSYRTKAVNVH >LPERR05G14090.1 pep chromosome:Lperr_V1.4:5:13734206:13736904:-1 gene:LPERR05G14090 transcript:LPERR05G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSDSGAYDPLLSMAEDGDPVAVAAPVDHRGRPALRGATGGWRSALFIIGKSMAPCSIDRSIGRPVEIAERFAFYGVSANLISYLTGPLGEGNAAAASAINAWNGVSQLLPLIGGALADSWLGRYRTILLASLLYIMGLGMLAFSTLLSTGNHQCSSAGVGGTGGNKTCPPSTLQVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALVVFLLGTRTYRYYVSRSAKGLVARAGEALAAWRSRRKSSLLPPPASEECHSSATPAPEFSTRVDADEQVVRNDGLIEQAKGIVRLSPIWATCLIYAVAFAQSSTFFTKQAGTLDRRIGDKIKVPPAALQSFISITIVAFIPIYDRVIVPVARRYTGVPSGITMLQRVGAGMVLSLVSMVIAALVETRRLGVARDAGIIDKPGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVTGIDRATAARGGSWFDNNLNRGHLDYFYWLIAALSGLELLAYGYFSVTFKYKNKGALLATSTAY >LPERR05G14100.1 pep chromosome:Lperr_V1.4:5:13740551:13741747:1 gene:LPERR05G14100 transcript:LPERR05G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATRLLLCLSLVVVLLLVETATPHGQAHAIDCKGQCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNEDVCPCYAHMTTHNGKHKCP >LPERR05G14110.1 pep chromosome:Lperr_V1.4:5:13745143:13745643:1 gene:LPERR05G14110 transcript:LPERR05G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFCCRICLRTLPRQSNCVLSDKPMNPGCVVLLVESIATVIWYCRVGLDSGWTRYEYDIGRQKLFVPTSDGEDEEKTPICTIAAYQGKFYFITRLDEIHVLEFSPAPVFSSLEIAYNEEFEYVYRAKLFLVESGEELYMAVLAYRGLFGRDTLDYEARVYKMDFS >LPERR05G14120.1 pep chromosome:Lperr_V1.4:5:13750463:13754344:1 gene:LPERR05G14120 transcript:LPERR05G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPVVAVLFMIYVLMLLFITSAQDPGIVPRASHPPEEEFAYGNPLSGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMSALYIKFLMVEDYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHTYLICTNQTTYENFRYRSDNRPNIYDQGCLNNFLGVFCSNTKPSKHKFRAYIQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDIDVEMRSHDCTEMESIPNTKLAIGSESHIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGSEATPSFQTENH >LPERR05G14130.1 pep chromosome:Lperr_V1.4:5:13762732:13767543:1 gene:LPERR05G14130 transcript:LPERR05G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRRRFHGGGGGEDRQAVVDDGGELEAAAGGLLSGEYQAQEMSTMVSALTWVVAGHDDGRGGGQPVVSSPGGGGVYAHADAGQGSYYYYGGGQEQINSDVHAAAMDEHSPTYTVETSSPSAAAADQDQGRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGSRAKLNFPEDARLTNPPGAAATTAPPVAAASAAYPATAFSDYLQYQMLLHGGGQGGSAGNNYPLYYGAAAMSGSQMGPYPSISTSSVTVASVPSSSAASSSSGYRPYGDQAAVQWTWPDGGGGWSTYPATLGSSSQYPPPPRPPQQ >LPERR05G14140.1 pep chromosome:Lperr_V1.4:5:13768301:13774858:1 gene:LPERR05G14140 transcript:LPERR05G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEPVDPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLLFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAASGQTPHKGQSTHLNRMTSVYSELLKRRE >LPERR05G14150.1 pep chromosome:Lperr_V1.4:5:13772964:13778303:-1 gene:LPERR05G14150 transcript:LPERR05G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLMQLLQPFGNVSKIALLQMEDLHNSVSALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITTAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEISEDAILNHVSEHGSVVNTKLFEVNGKRQALVQFENEEEATEALVSKHATSLEGNTIRISFSQMQSI >LPERR05G14150.2 pep chromosome:Lperr_V1.4:5:13772964:13778303:-1 gene:LPERR05G14150 transcript:LPERR05G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLMQLLQPFGNVSKIALLQMEDLHNSVSALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITTAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEISEDAILNHVSEHGSVVNTKLFEVNGKRQALVQFENEEEATEALVSKHATSLEGNTIRISFSQMQSI >LPERR05G14150.3 pep chromosome:Lperr_V1.4:5:13772964:13778303:-1 gene:LPERR05G14150 transcript:LPERR05G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLMQLLQPFGNVSKIALLQMEDLHNSVSALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQVFKPLSSINHFKKLWRHLVLCILSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITTAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEISEDAILNHVSEHGSVVNTKLFEVNGKRQALVQFENEEEATEALVSKHATSLEGNTIRISFSQMQSI >LPERR05G14160.1 pep chromosome:Lperr_V1.4:5:13783457:13783867:-1 gene:LPERR05G14160 transcript:LPERR05G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATELDMEAAEQLIQLSGCCSGDGGESESRSTDSVISSKKRRKKAAAAVESCSRKRAAGEEGDVVDEVVIGGGEARKRPRFRSLAAVYRETRRRPIDEKVVVVEDDGNRRRMTAAAGEMVASKGRGEAAPQVAN >LPERR05G14170.1 pep chromosome:Lperr_V1.4:5:13785481:13789064:-1 gene:LPERR05G14170 transcript:LPERR05G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNTHGDTIPLHPSSAQSDMDEIESLIHEAPSSTVLPARPPSPPRASIPVSTSPPMLPPAGSYSKPPPPAQQQQLPPFSSSVPPASSSSSSAPVPSASVSVAIAADGFGPPLNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHINFFQSLSLLGYCLFPLDVGALICMLKDNAILKIVAVMVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >LPERR05G14180.1 pep chromosome:Lperr_V1.4:5:13789622:13792726:1 gene:LPERR05G14180 transcript:LPERR05G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRVMAAAAAASSASSPPPAASAAGGADLARFRSSSGIGSMNMDDILRNIYGEAAPPPNAAAAGEVPAAAAEGTPPVAEVVAARRTAEEVWEEISSSGGLSAPAPAPAPPAGAGGGRGGGSEMTLEDFLAREDDPRATAVEGNIALGFPNGAEGVGTVGGGGGRGGGGGRGRKRALMDPADRAAVQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAIAVVIRKKTTQDLRRTNSMEWRLGRIVKAFG >LPERR05G14180.2 pep chromosome:Lperr_V1.4:5:13789622:13793362:1 gene:LPERR05G14180 transcript:LPERR05G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRVMAAAAAASSASSPPPAASAAGGADLARFRSSSGIGSMNMDDILRNIYGEAAPPPNAAAAGEVPAAAAEGTPPVAEVVAARRTAEEVWEEISSSGGLSAPAPAPAPPAGAGGGRGGGSEMTLEDFLAREDDPRATAVEGNIALGFPNGAEGVGTVGGGGGRGGGGGRGRKRALMDPADRAAVQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAIAVVIRKKTTQDLRRTNSMEW >LPERR05G14190.1 pep chromosome:Lperr_V1.4:5:13795115:13799337:-1 gene:LPERR05G14190 transcript:LPERR05G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTVSRRQSRRDARPHAPPEHHHGTIHGEIPDQPAPNPALEWECGIGSSMISALKHAWGQRDTDMWACPRGRDMVFCSASGLENLLSSFFFSSSSSSRGNAAVVVVMVIVVILLCREQALQLGFSTVLWRRRDAIPCALYACRASVATKKALISQGSACFFCDSKIAQ >LPERR05G14200.1 pep chromosome:Lperr_V1.4:5:13805980:13807810:-1 gene:LPERR05G14200 transcript:LPERR05G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVACAAVCKAWREICKEIVLSPEFCGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFVVYDTQPPYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGRNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASAQPPAGAPTPSQPAPPDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >LPERR05G14210.1 pep chromosome:Lperr_V1.4:5:13818378:13820744:1 gene:LPERR05G14210 transcript:LPERR05G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENGANGHDATEEAVVEEPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPAAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAETARDAIQYSMYDLNISQHCYHGPVRAIHSAELEAVERVRIF >LPERR05G14220.1 pep chromosome:Lperr_V1.4:5:13822978:13825370:-1 gene:LPERR05G14220 transcript:LPERR05G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAGEEQKKLDVLSNEVFVKALVSSGRTISSVLKLDSDTPFLSAQCVLVSEENEEAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKYPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADEKSPNGKLRVLYEVFPMSFLMEKAGGQAFTGKQRALELVPKKIHDRSPIFLGSYDDVEDIKALYASESTTA >LPERR05G14230.1 pep chromosome:Lperr_V1.4:5:13829995:13830406:-1 gene:LPERR05G14230 transcript:LPERR05G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR05G14240.1 pep chromosome:Lperr_V1.4:5:13834987:13837884:-1 gene:LPERR05G14240 transcript:LPERR05G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >LPERR05G14240.2 pep chromosome:Lperr_V1.4:5:13834987:13837536:-1 gene:LPERR05G14240 transcript:LPERR05G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >LPERR05G14250.1 pep chromosome:Lperr_V1.4:5:13840904:13841563:-1 gene:LPERR05G14250 transcript:LPERR05G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVHYSATALLLVAPTPAPSTSAGALPAAEAAENGRGGGSLAVSFKANAIVLLSLLVCGLVAAVALHVVLQCALRVTRRACHGADDVAPHETRRAGAGEGRARRGGGGRKRTAAPPLSKTIPRVAYTEGLELAGSARSECAICLAEFASGEQVRVLPRCNHGFHERCIDRWLAARLTCPTCRQPPFPADAEPVAPDPAPATTTRDVPVVRVIVITSQ >LPERR05G14260.1 pep chromosome:Lperr_V1.4:5:13845332:13846982:-1 gene:LPERR05G14260 transcript:LPERR05G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSAARQLEDAVMARLRACVTFRDLLRVHAHVVRLCLSQSSYLATQIVHICNAHGRAAHGARVFAQVLDPNLHLHNAMIKAYAQNHRHRDAVAVYIRMLRCPALPPDGRAGGDRFTYPFLLKSCGGMAALELGKQIHAHVVRSGCESNAIVQNSMIEMYTRAGDLALAHKVFDGMLERDVVSWNTLISAHARLGQMRKARALFNSMPDKTIVTWTAMVSGYTAVGDFSGAVEAFRAMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCNKHGMLRRTHICNALMEMYAKCGCIDQALQLFDGMAGKDVISWSTVISGLAAHGRALEAVRLFTEMEKEGKVRPNGITFVGLLSACSHAGLVDEGFIHFDRMKDIYGIEPAVEHYGCVVDLLGRSGQIGRALDMVRDMPMPADAKVWGSLLSSCRSHGDVDTAVLAAERLVELEPDDIGNLVMLANVYAVAMRWGDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEDLSTEFGGIGGVLDILASHLADNDDDVDFTDSDCAAYTNNFAND >LPERR05G14270.1 pep chromosome:Lperr_V1.4:5:13851110:13852483:1 gene:LPERR05G14270 transcript:LPERR05G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVAGQHARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEQVLSQLAAADGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAHELIPYLTGEEEETEKKKRGGRVRMAVEALAAVLSADAVGEDTKEGLVAALVADDLSQIVTTLIAAGANGVMVLEAILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVQVRGRPARSSMVRAGAVPALALAVAAAPTAVAESALGLLVEAARCSDGKSAIGADAAEVAAAVMGRMIRVGPAGREAAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >LPERR05G14280.1 pep chromosome:Lperr_V1.4:5:13854347:13855798:1 gene:LPERR05G14280 transcript:LPERR05G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRPLFDLNELPPEDDIAFAFDDAQAMACDSSRSPLDVAGGAGDGSTARGSPSPPPQEDDSVSEGEPLLPVFDLDAPLSPLDDDDEDEEEADLPRSQPHDDPDNGRSPGQSHSSERLPSDRSTACHAATDVDMRGASPVHDSLHHGGRTSPRTCTSLPNGHDTKTTRSPPAFPVMSSSETSASRVHGGGLGDRGTPSSSSYMPRRQNPPPVPRDAYGEDSGTSKGGSRREQSIRSRPHALHGRGTPHGNRRRRQRPMQKGYNGHDQRQLGAYNGHDQRQNVYSGDDQRRRVNNYHDQRQVVYNNGQDQQQQGNNGRDQRQQKGYNNSRDQKQQQAYNTNGRNQKQQVYNNGQDQRRQGNHGHRRPEDYQDRQGKQHYGYSSGRPYAGEDSYGGDRQIPARQQLSNGGGYQPHRQPSGQQRRHVKPYHPYARDADAAGDRANYSGKQARHEHPGQQHQHQAYQQHRRNTGNTAGEPVRGRQY >LPERR05G14290.1 pep chromosome:Lperr_V1.4:5:13866883:13867719:1 gene:LPERR05G14290 transcript:LPERR05G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYAAAAAAAGRRAVVVDHRRYRPNVEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKPVRAVVAAADDHAAAASSFSHRFHGPVRPDMLLEGMAGNDPAAAGLGQAPVPDEKPAAAADGSAIDLALLYAKFLNHQPPADQSVDTSSGSCDQTSPAAAQATATPAIGELSFGVDESCYAGYSTSLGLATDGGDLILPSTLDQQHSKFEPFDSISLPEDALSLHEIISGDDDVWSSALGCQGLESALCRL >LPERR05G14300.1 pep chromosome:Lperr_V1.4:5:13868734:13870786:-1 gene:LPERR05G14300 transcript:LPERR05G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGNDKVIHFTRGRGQEVGTGTFIDLLLVSSGPNTNNTPCPICNNEGATTTNTETNGVVSSCLNCFLAGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANYLLSSGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLESGRIRAVENISQLVAPAEAQGLAVTTPVAA >LPERR05G14310.1 pep chromosome:Lperr_V1.4:5:13873240:13876089:-1 gene:LPERR05G14310 transcript:LPERR05G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIVPKARRTTRSKTSANTKAAQEKPVAVAASPMESSASPSSPPAAAEGAPATGDHLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPISRFLSWHSGRPAHASELLSFHSAEYIEELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHSKIAYALVRPPGHHAQPDHADGYCFLNNAGLAVNLALDSGLKKVAVVDIDVHYGNGTAEGFYNTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIKKFQPDLLVFVVGQDSSAFDPNGRQCLTMDGYRKIGQIMRGMADEHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDAMKKCWQESVPFLKDI >LPERR05G14320.1 pep chromosome:Lperr_V1.4:5:13876312:13878267:-1 gene:LPERR05G14320 transcript:LPERR05G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASSSSAAMEVFWHEGMLAHDAGRGVYGRDIDPGFLDVLDQHPENADRVRNMVSILRRGPIARFLSWHSGRPAQITELLSFHSAEYIEELVQADASGTGTNKICECTFLNPGSWDAALLAAGTTLSAMKHILEGHGKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYNTDNVLTISLHMKHGSWGPSHPQSGLVDEIGEGRGIGYNLNIPLPNGSGNAGYEYAMNELVVPAVGVFQPELMVFVVGQDSSAFDPNGRQCLTMDGYRKIGQIMRGMADRHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLEAPLLDDPMAFYPDDEKYTMKAVDVMKKCWKASIPFLKDI >LPERR05G14330.1 pep chromosome:Lperr_V1.4:5:13885759:13892270:1 gene:LPERR05G14330 transcript:LPERR05G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPVPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRTHSDAPNPMRMPEKPLSTSRRCMSESQSSSISCSDIDSTQSSDRSTSEGAAYTDSKPNDISGIQDADRTDSDEKCLTPEDLKGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNYAVSGKNQTEESSESSRRCSGESSSSKFGDISSNGWTNTSDECADPIHAPLHLKQLSPIVEHYPKIRNSGSSTPEPAKQIAENGSSASGMSKIKSPSSTRRPSPQRQTGAGIPSMPVTVAKRAHIKAESEKTPPRPAHTPSNYLNNLPPLIPISTDLSEENIKLPVSQEMPAPLEFVTAASNEDISFCSNSVVSCVKKVEPSELQSNAPVYLTSPCTGPVLDVATGENDLTAISRSEINTNTLQKSMASNDDSSLSSPLDTFYLSFEQEFICNDDSQSSKHGHNAVTLLSGEDKFTVQELLASTPDIAPFVSSTNC >LPERR05G14330.2 pep chromosome:Lperr_V1.4:5:13885759:13892270:1 gene:LPERR05G14330 transcript:LPERR05G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPVPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRTHSDAPNPMRMPEKPLSTSRRCMSESQSSSISCSDIDSTQSSDRSTSEGAAYTDSKPNDISGIQDADRTDSDEKCLTPEDLKGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNYAVSGKNQTEESSESSRRCSGESSSSKFGDISSNGWTNTSDECADPIHAPLHLKQLSPIVEHYPKIRNSGSSTPEPAKQIAENGSSASGMSKIKSPSSTRRPSPQRQTGAGIPSMPVTVAKRAHIKAESEKTPPRPAHTPSNYLNNLPPLIPISTDLSEENIKLPVSQEMPAPLEFVTAASNEDISFCSNSVVSCVKKVEPSELQSNAPVYLTSPCTGPVLDVATGENDLTAISRSEINTNTLQKSMASNDDSSLSSPLDTFYLSFEQEFICNDDSQSSKHGHNAVTLLSGEDKFTVQELLASTPDIAPFVSSTNC >LPERR05G14330.3 pep chromosome:Lperr_V1.4:5:13885866:13892270:1 gene:LPERR05G14330 transcript:LPERR05G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEECSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPVPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRTHSDAPNPMRMPEKPLSTSRRCMSESQSSSISCSDIDSTQSSDRSTSEGAAYTDSKPNDISGIQDADRTDSDEKCLTPEDLKGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNYAVSGKNQTEESSESSRRCSGESSSSKFGDISSNGWTNTSDECADPIHAPLHLKQLSPIVEHYPKIRNSGSSTPEPAKQIAENGSSASGMSKIKSPSSTRRPSPQRQTGAGIPSMPVTVAKRAHIKAESEKTPPRPAHTPSNYLNNLPPLIPISTDLSEENIKLPVSQEMPAPLEFVTAASNEDISFCSNSVVSCVKKVEPSELQSNAPVYLTSPCTGPVLDVATGENDLTAISRSEINTNTLQKSMASNDDSSLSSPLDTFYLSFEQEFICNDDSQSSKHGHNAVTLLSGEDKFTVQELLASTPDIAPFTVRPNIFHANEWPTSETVKAEARDTDASKLLNVVREDRDARSSSEAVRLSTVMDVKPYTSEASNGAKEEASPAKEVLDVTSFRQRAEALEGLLELSADLLESNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNDEGGRLSM >LPERR05G14330.4 pep chromosome:Lperr_V1.4:5:13885866:13891909:1 gene:LPERR05G14330 transcript:LPERR05G14330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEECSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPVPACYSSSMKTLIKSMLRKSPEHRPTVSYHTYFHMDSENIRQCEQASEILKNPYLQPYVNQCRTHSDAPNPMRMPEKPLSTSRRCMSESQSSSISCSDIDSTQSSDRSTSEGAAYTDSKPNDISGIQDADRTDSDEKCLTPEDLKGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNYAVSGKNQTEESSESSRRCSGESSSSKFGDISSNGWTNTSDECADPIHAPLHLKQLSPIVEHYPKIRNSGSSTPEPAKQIAENGSSASGMSKIKSPSSTRRPSPQRQTGAGIPSMPVTVAKRAHIKAESEKTPPRPAHTPSNYLNNLPPLIPISTDLSEENIKLPVSQEMPAPLEFVTAASNEDISFCSNSVVSCVKKVEPSELQSNAPVYLTSPCTGPVLDVATGENDLTAISRSEINTNTLQKSMASNDDSSLSSPLDTFYLSFEQEFICNDDSQSSKHGHNAVTLLSGEDKFTVQELLASTPDIAPFVSSTSNTLPQDTNSSQLFKKQAYSYLSPPVDAPVQTVRPNIFHANEWPTSETVKAEARDTDASKLLNVVREDRDARSSSGSSSTLPSVHPPVRSKLDVPEANLTTNISIPSISEAVRLSTVMDVKPYTSEASNGAKEEASPAKEVLDVTSFRQRAEALEGLLELSADLLESNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNDEGGRLSM >LPERR05G14340.1 pep chromosome:Lperr_V1.4:5:13898894:13899508:1 gene:LPERR05G14340 transcript:LPERR05G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGCARRRPLRQPPVVDDDDVGCSCPKQRLLLRSLLSSLVSRARGALGSTTTAASRPKSATSSSWASISTTAAAFTSTSTTGASATTVNSSVDSWGPATYEARQQRRKRRQRRRRVAREEEETAVAVEVVSAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCLAL >LPERR05G14350.1 pep chromosome:Lperr_V1.4:5:13909265:13910074:-1 gene:LPERR05G14350 transcript:LPERR05G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVFYTINSARDTAQSSSSSSPPPAPAAPPAWMWPSCKHPTRAHSFRSASSSAATEAAAKTIASIFHDSGGESSSFATNSYARMMHGHNDDCCASDSLSTDSTPEASAGDIADAIVRGLRSDRLRFEPRAPSSSILDKKPPVRRAGASDDAASFGGGVAVAFDSEDPYEDFRVSMAEMVAAHGAVGYWDWLEAMLGWYLRANGKETHAAIVAAFVDLVVSMAASRGSSSSSRHSSFTLAGTTDMESSSSSAAAAGHFSFRLR >LPERR05G14360.1 pep chromosome:Lperr_V1.4:5:13922742:13923119:-1 gene:LPERR05G14360 transcript:LPERR05G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGGKGSKLQLNQSSRGGGGSSSGVVVGGSPRRLSSSSSSTASPPSSCVSSEGSPEAGGGAASGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAAAADPRHVGAAAGKSKGKHRG >LPERR05G14370.1 pep chromosome:Lperr_V1.4:5:13934758:13935053:-1 gene:LPERR05G14370 transcript:LPERR05G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKVFESTLVAFLEHAQNRWTLMASCLPGCSVPMLTSSSSDQAVGTTSTKTMFALAARWYMHGGIHERYGGGNERHCYVPWSEE >LPERR05G14380.1 pep chromosome:Lperr_V1.4:5:13936454:13937239:-1 gene:LPERR05G14380 transcript:LPERR05G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGGSSSSWLAPAPAAPTRRPWTKAEDKVFESALVAFPEHTQNRWALVASHLPGRSAQEVWEHFQVLCSDVDLIERGVVECPGSWDDEFDDEVGAVRAVAQGGAGRGRGGGGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDTKRKSIHDITAP >LPERR05G14390.1 pep chromosome:Lperr_V1.4:5:13944849:13946024:-1 gene:LPERR05G14390 transcript:LPERR05G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGRRGSDIERVIPVADVCSLDPWQLPGTSQVHQGAWTGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGWVYSADGRPIGTKKTMVFYRGRAPAGTKTKWKMNEYRALEDEADADAARAAVPALNPYLQTKSEFSLCRLYTRSGCPRQFDRRPSSSVAGGGRSENPGPSALANADAETGQGQKRKRSPENDGTSSSDDNGDGNGSILQPQQQRQRSADEELVECNMIDWADFLDWI >LPERR05G14400.1 pep chromosome:Lperr_V1.4:5:13965144:13971779:-1 gene:LPERR05G14400 transcript:LPERR05G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRATVSRFPVTEEALEACAVQWGIAVTPFAAADERGQLPATGGGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALHRLQRPDALPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMPALIDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFKHPEGFSRALFAAGNVPTASRGDGARHRHTDPAVVQIAFQYSVIEPVKATSENETQSSAGYMFCLKRRLRIRTLQYRPAKNINEIYDSVDPEALLHILVHKVILISLDKGVKEGRSLVHDWLALLIARYNQVLRSDPRTPELPVDVDFLQCPQLQMLPQLVFALLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSSTADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDSALFESYLIEEQDVDGPGFTTGKGFVSFRESIRHAVADIIETESSI >LPERR05G14400.2 pep chromosome:Lperr_V1.4:5:13965144:13971779:-1 gene:LPERR05G14400 transcript:LPERR05G14400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRATVSRFPVTEEALEACAVQWGIAVTPFAAADERGQLPATGGGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALHRLQRPDALPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMPALIDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFKHPEGFSRHTDPAVVQIAFQYSVIEPVKATSENETQSSAGYMFCLKRRLRIRTLQYRPAKNINEIYDSVDPEALLHILVHKVILISLDKGVKEGRSLVHDWLALLIARYNQVLRSDPRTPELPVDVDFLQCPQLQMLPQLVFALLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSSTADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDSALFESYLIEEQDVDGPGFTTGKGFVSFRESIRHAVADIIETESSI >LPERR05G14400.3 pep chromosome:Lperr_V1.4:5:13965144:13971779:-1 gene:LPERR05G14400 transcript:LPERR05G14400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRATVSRFPVTEEALEACAVQWGIAVTPFAAADERGQLPATGGGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALHRLQRPDALPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMPALIDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDMHTDPAVVQIAFQYSVIEPVKATSENETQSSAGYMFCLKRRLRIRTLQYRPAKNINEIYDSVDPEALLHILVHKVILISLDKGVKEGRSLVHDWLALLIARYNQVLRSDPRTPELPVDVDFLQCPQLQMLPQLVFALLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSSTADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDSALFESYLIEEQDVDGPGFTTGKGFVSFRESIRHAVADIIETESSI >LPERR05G14410.1 pep chromosome:Lperr_V1.4:5:13976627:13979222:-1 gene:LPERR05G14410 transcript:LPERR05G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAIFFSSASSLLLLLLLISANDAVSAAAAPLKFGINYGQIANNLPHPTQVSGLLQSLSVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLHNLTDARNARAWVSQRVQPFLPSTRITCVTVGNEVLGNGKDAAAMQSLLPAMQSVNQALVDLGLSGNVSVTTAHSVNVLSTSYPPSAGAFREDLAEYVRPLLNFLAEIRSPFLVNAYPFFAYKASPSSVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADDIPVRISETGWPSGGDADEVGANAQNAAKYNGNLMRRIAAGEGTPLRPNVPVDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNIGFDGASFSPSPTTSTFSSSSRTTSTLLMGVVVLLVAFFL >LPERR05G14420.1 pep chromosome:Lperr_V1.4:5:13980266:13981543:-1 gene:LPERR05G14420 transcript:LPERR05G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAPRLCFPKSGVSSRVIAPAIKSPSFIGFAKQTSNVSGLRTSNKFRVSATAVYKVKLIGPDGVEHEFDAPDDTYLLESAENAGVELPFSCRAGSCSTCADCGKQCAWTLNQHIRKYFYP >LPERR05G14430.1 pep chromosome:Lperr_V1.4:5:13982798:13986281:-1 gene:LPERR05G14430 transcript:LPERR05G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLTQDDTSHTKRHTPIQYERDVSTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIMEQISQVEDNLEGLRTDSSRTPKHSYSTEHDGDQLASFLSGSNRQDNPVLYSTDTDEIVELKLDSIPTVNGYHSAQEHIQERMWKEQPNFNVHVGQVHVKGSIMTTVCIVWILMIQGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPSGRACWFCMQDKGEKFPVLVPDVPRMKKID >LPERR05G14430.2 pep chromosome:Lperr_V1.4:5:13982798:13986281:-1 gene:LPERR05G14430 transcript:LPERR05G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLTQDDTSHTKRHTPIQYERDVSTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIMEQISQVEDNLEGLRTDSSRTPKHSYSTEHDGDQLASFLSGSNRQDNPVLYSTDTDEIVELKLDSIPTVNGYHSAQERTSCEFRYSGKDVEGAAKLQCSCGTSACEREHNDNSMHSLDSDDSVGRKHHFKSKLSRQYHSFVRSIWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPSGRACWFCMQDKGEKFPVLVPDVPRMKKID >LPERR05G14440.1 pep chromosome:Lperr_V1.4:5:13987466:13990706:-1 gene:LPERR05G14440 transcript:LPERR05G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVFPTASNGGCPLGISRNNVGKPVLAVNGGHLQAKCFSGGSSRASKFECSANSRRVGPRRTKDALYDLHPEISMLYGEDNGAVAAPGKEQGIDKTAERLEDVSATHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVLPIAIIYSDLGSNIVNGQAGGDNTRSHSWFSSSSQEEGPTLQIPEFLQRKGRSGFSRG >LPERR05G14450.1 pep chromosome:Lperr_V1.4:5:13994185:14003293:1 gene:LPERR05G14450 transcript:LPERR05G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPVAFQLRLGPCQKIAEVTACIFSGRSDHLAAAPPAVLAWPRPRPRPWPPPANPKKIHSPLPPLAASESMSPSRFYCQSRSSRLDRSPGKVREVLGGWQVIMTEQYHSAKQLHMESKAMLGLQPATAAYFGELEKALIHGTSAGAGVDPGMIQSDAYTESAGYIAARPPTLEIFPSWPMSHLQDPYSQNSQSVGSTTDSSSAQNTMSQAELVSPVSVRSDSGHEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTEKRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWLDDDSKCMAELQGALQAQLPDGNLGAIVEECMLHYDELFHLRAVLANSDVFHLLTGMWAAPAERCFLWMAGFRPSKILKMLIPQLDPLTEQQLMGMCGLQQSSEQTEEALAQGLHQLHQSLADAVGAGPLNDGADVANYTALMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYHRRLRALSSLWASRPRENFITAENVSPTGTEFQVIQQSQQNQFSGF >LPERR05G14450.2 pep chromosome:Lperr_V1.4:5:13994185:14003293:1 gene:LPERR05G14450 transcript:LPERR05G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPVAFQLRLGPCQKIAEVTACIFSGRSDHLAAAPPAVLAWPRPRPRPWPPPANPKKIHSPLPPLAASESMSPSRFYCQSRSSRLDRSPGKCRIGLQYKSQTGEAKKLGLQPATAAYFGELEKALIHGTSAGAGVDPGMIQSDAYTESAGYIAARPPTLEIFPSWPMSHLQDPYSQNSQSVGSTTDSSSAQNTMSQAELVSPVSVRSDSGHEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTEKRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWLDDDSKCMAELQGALQAQLPDGNLGAIVEECMLHYDELFHLRAVLANSDVFHLLTGMWAAPAERCFLWMAGFRPSKILKMLIPQLDPLTEQQLMGMCGLQQSSEQTEEALAQGLHQLHQSLADAVGAGPLNDGADVANYTALMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYHRRLRALSSLWASRPRENFITAENVSPTGTEFQVIQQSQQNQFSGF >LPERR05G14450.3 pep chromosome:Lperr_V1.4:5:13994185:14003293:1 gene:LPERR05G14450 transcript:LPERR05G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPVAFQLRLGPCQKIAEVTACIFSGRSDHLAAAPPAVLAWPRPRPRPWPPPANPKKIHSPLPPLAASESMSPSRFYCQSRSSRLDRSPGKCRIGLQYKSQTGEAKKLKTSAFAAGYIAARPPTLEIFPSWPMSHLQDPYSQNSQSVGSTTDSSSAQNTMSQAELVSPVSVRSDSGHEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTEKRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWLDDDSKCMAELQGALQAQLPDGNLGAIVEECMLHYDELFHLRAVLANSDVFHLLTGMWAAPAERCFLWMAGFRPSKILKMLIPQLDPLTEQQLMGMCGLQQSSEQTEEALAQGLHQLHQSLADAVGAGPLNDGADVANYTALMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYHRRLRALSSLWASRPRENFITAENVSPTGTEFQVIQQSQQNQFSGF >LPERR05G14460.1 pep chromosome:Lperr_V1.4:5:14008240:14011034:1 gene:LPERR05G14460 transcript:LPERR05G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSACTMVENSGYRGGGSVPFFEWLKPRSSPPPPSPSTTSSYSTTSSSSLTAPSREEGQQLGGGDGTMLCLPLLGRLGEEPVADDGGKMNNNSFKEEVSNTTDVDAGVDLNIALPAASGGCSSEDTPMDEDEDEDDDEDEEEDMEEKVEVGHDQKFKVEERDQVVHSEGLNYVATVEDRSKAGDVVADAGCHGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQASTALAMLKLPCYCCAAGCRNNVSHPRARPLKDFRTLQTHYKRKHGAKPFACRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAPPTTKQQQQQRIICFDEHSTAAAMHVMAD >LPERR05G14470.1 pep chromosome:Lperr_V1.4:5:14020913:14032796:1 gene:LPERR05G14470 transcript:LPERR05G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLMEAKGKDAWGLLLVLLLGQLVAFSMALSSFTSSLIATLGVDAPLTQSFFAYFLLTLVYVPILLHRRQKLKIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIVLTWYALGTRYSFWQFVGAGTCVAGLGLVLLSDSKSTEAQDASKIPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSIIQMYPLHKPQFTTGYIIIIYFLLLIFERKNLDAVAWSPKMICLFAGFAVAIFMFYTITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDNGATAITEAAAQYQQLPGEAGNVSNDIQGRTQQEEAHGDQERAKEDDVPSLTRELMHQLHSHSFRIYCWPQFTGQFSCIDNKSYLYHGIGTLPWSSLMFREIGLVAIKAYHYSYITSVNLLNCSTNPWVMILTRFALGTRYSLWQFVGAGTCMAGLALEYCVKNKDRMEFVAMLGIFGMLIGLFIGFAVASLAFSTITPFVLKMSGATMFNLSLLTTDVWAVSIRVLLYHQQVNWLYYVAFAVVAIGLVVYTMNESSDGDKAESMMGTETEYEQLPGEHQEDHSC >LPERR05G14470.2 pep chromosome:Lperr_V1.4:5:14020913:14032796:1 gene:LPERR05G14470 transcript:LPERR05G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLMEAKGKDAWGLLLVLLLGQLVAFSMALSSFTSSLIATLGVDAPLTQSFFAYFLLTLVYVPILLHRRQKLKIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIVLTWYALGTRYSFWQFVGAGTCVAGLGLVLLSDSKSTEAQDASKIPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSIIQMYPLHKPQFTTGYIIIIYFLLLIFERKNLDAVAWSPKMICLFAGFAVAIFMFYTITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDNGATAITEAAAQYQQLPGEAGNVSNDIQGRTQQEEAHGDQERAKEDDVPSLTRELMHQLHSHSFRIYCWPQFTGQFSCIDNKSYLYHGIGTLPWSSLMFREIGLVAIKAYHYSYITSVNLLNCSTNPWVMILTRFALGTRYSLWQFVGAGTCMAGLALEYCVKNKDRMEFVAMLGIFGMLIGLFIGFAVASLAFSTITPFVLKVNWLYYVAFAVVAIGLVVYTMNESSDGDKAESMMGTETEYEQLPGEHQEDHSC >LPERR05G14470.3 pep chromosome:Lperr_V1.4:5:14020913:14032796:1 gene:LPERR05G14470 transcript:LPERR05G14470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLMEAKGKDAWGLLLVLLLGQLVAFSMALSSFTSSLIATLGVDAPLTQSFFAYFLLTLVYVPILLHRRQKLKIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIVLTWYALGTRYSFWQFVGAGTCVAGLGLVLLSDSKSTEAQDASKIPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLICLFAGFAVAIFMFYTITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDNGATAITEAAAQYQQLPGEAGNVSNDIQGRTQQEEAHGDQERAKEDDVPSLTRELMHQLHSHSFRIYCWPQFTGQFSCIDNKSYLYHGIGTLPWSSLMFREIGLVAIKAYHYSYITSVNLLNCSTNPWVMILTRFALGTRYSLWQFVGAGTCMAGLALEYCVKNKDRMEFVAMLGIFGMLIGLFIGFAVASLAFSTITPFVLKVNWLYYVAFAVVAIGLVVYTMNESSDGDKAESMMGTETEYEQLPGEHQEDHSC >LPERR05G14480.1 pep chromosome:Lperr_V1.4:5:14032193:14035718:-1 gene:LPERR05G14480 transcript:LPERR05G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRAAMSGLLRGKGAVSFAPERVRRFLATIASSARVEDDVDLRYPRGARHPFDKIPRRDSAVGSNHVLFDYARRGMVREVLDQFSTARRCGVPVDGATLSCVLKVCGSVPDRVLGEQLHCLCTKGGYDQGVVSVGTSLVDMYMKCYRVCEGKEVFEGMPKKNVVTWTSLLTGCAQAGMHSEVMAFFFRMRAEGIWPNPFTFASVLSAIASQGALDLGQRVHAQSVKFGCHSTVFVCNSLMNMYAKCGLVEDAKAVFNWMETRDMVSWNTLMAGLELNGCEQEALQLFHESRISIGKLTQSTYATVIKLCAILKQLALARQLHSCVLKHGFQLIGNVMTAIMDAYSKCGELADALNIFLSTMGSQNVVSWTAIISGCIQNGDIPLAVVLFSRMRQDSVEPNEFTYSAMLKASLPILPPQIHAQVIKTNYQHNPTVGTALLSSYSNFSSPEEALSIFEMIEQKDVVAWSAMLSCYAQAGDCAGATHLFTKMAIQGMKPNEFTISSAIDACASPSAGVDQGRQFHAISIKYRYHDTICVSSALVSMYSRKGNIESAQTIFGRQTDRDMVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLSVIMGCTHNGLVVEGQQYFDSMVKEYKISPTMDHYACMVDLYSRAGKLDEAMNLIKDMPFSAGAMVWRTLLSACKVHKNVELGKFAADKLLSLEPHDSATYVLLSNIYAAAGKWKERDEVRKLMDSRKVKKEAGRSWIQIKNKVHSFIAFDKSHPMSDQIYAKLQAITTRLKQEGYSPNTSFVLHDTPEDQKEAMLVTHSERLALAFGLIATPLGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNAGACSCGSLWVDMGRQGKFDVNNQRLFTLYGMISISFKHVLMFVS >LPERR05G14490.1 pep chromosome:Lperr_V1.4:5:14053196:14054929:1 gene:LPERR05G14490 transcript:LPERR05G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADATTLHIAVHALASSLQPQVAAVFFVSAACTVALAVLLASLRLRPPWWCACPVCEAYVTASWAREFDNLCDWYAHLLRGAPGRTVHVHVLGNVLTANPATVDHMLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPALRAFAANVVASELRCRLIPLLHSASSDDGGGKRLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDAIRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFLLAGRDTVASGLTAFFLLLSDHPDVASAIRDEVFRVAGGGDPTSAAFEFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTFVAKGTRVTYHAYAMGRMESVWGPDCAEFRPNRWLSDGQFVPESPYRYPVFQGGVRVCIGKDLAIMEMKAVIVAVVLGFDVEVVGRSSRQPKFAPGLTATFAGGVPVRVRRRRVRDSEQCAPS >LPERR05G14500.1 pep chromosome:Lperr_V1.4:5:14063352:14066225:1 gene:LPERR05G14500 transcript:LPERR05G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGKTSPTADDVKNILESVGVEANEERLEFLISELEGKDITEVIAAGREKFASVPSGGGAMAVAAPAAAAGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >LPERR05G14510.1 pep chromosome:Lperr_V1.4:5:14067667:14070240:1 gene:LPERR05G14510 transcript:LPERR05G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >LPERR05G14510.2 pep chromosome:Lperr_V1.4:5:14067890:14070240:1 gene:LPERR05G14510 transcript:LPERR05G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >LPERR05G14520.1 pep chromosome:Lperr_V1.4:5:14071092:14074439:1 gene:LPERR05G14520 transcript:LPERR05G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEATTAMTIDFLRARLLSERSVSRAAKERGDQLSKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLAARFSDAADSASGSDDDEDDDPKVAQTGGEARGEVEDALSGSELGCTAAAAAAAQAGVLSWKGRAAASHESHRQQLKGRQLRQRHSHGHSHRRGYFYLLTADSSPKYQPGQSCRKVKRKDLRSGFHSEGEEGKDNVMESTEEGQERSDCTVCTDEQPDMDGEMSQDGRGSCGDRRDGDHDDPYAMEYEKDREMERVLEKQAELIGQYEAEENAQREWEKKFNICRDSAVGDAELRNELNQIEETCEQSNKAAQTMDTEISEEGGSSDKNLLANGCPSECLSTDSVSGLLLNGPEENATEQRKIAESDHDFGNATSKVVSVHSGPQFRKDELANKSCTEIIEGSGNNIGRASPSLQGNYDSSRNAMRNEGQVEESLDGVPGYHVNACSYEHYISTPSASRSSDTPKSKVSEWSSSCFHSHTDNQIDTQMHQPSSDGVGGVLEALQRAKMALREKLSKPSPPSQSMLALPAAEYQYTSDGLPVRDTQLSLSMSRLPSQEILALPEPADYHSRILPRDDVKVPVGLAGLFRLPTDSFAQNEVCSADSYGSRFSLTSRQNLSNHFLANLSHSRYGSEFSADPYYNPRNSMLLSMPTVGGCSNPVSEFTARDASFLSEAPRFSNDLRRGTPSGDLGMLFHYMGGYSSNKWML >LPERR05G14530.1 pep chromosome:Lperr_V1.4:5:14075281:14085456:-1 gene:LPERR05G14530 transcript:LPERR05G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSPSFLPLIFLSSRNTLRRVSILDPSPSLSFPNGQCELQVGVVPSRARSARCVRRLLLPDSALSLLLRGSVRPGAVACTAGEEVWRGINADDLLPSASGSDRIGCLFPTKNLGVQLNPEATMEPPGLKLPANPSENQEGWTSGMPIGRETPSISEISPAFLGGWQNHAGTAMGAGGSCPSPTLLPGSSLSATALLNAMHDGSMISAAADEQQHAGPSVRPSYSLPPGCTTQVPIGIVVFHRRLTGKGARRQLMTPSRSSMPAPALSGVSEGDMAMNPSELATPYGYSSVSEHINTIFQPNVSYAEPSKTESERDANQLQQSTAAAVIFDSRSKLESVIPANTEKTQGQNPQESTGLVATGSTDDNIHKYHQITKRAKTQITHIDNTDLPTPAVSVCKEKTLNQIEMHIAGAEKIEAFKSEDTPVQKLKTRRKKHRAKVIREDRPTKMQKTTVTTSKEKPLNQKPKRKYVRKKSNLNSSEKCAEPFSDQCISRESRTTVRSGIASVRRRLQFEFGEQGVHGDQSSMTNSWYQKQDKPVNAHSSLCSVTKPSAQIEPGLQVNMENSPEGLFFGISSKLNKILDEYIHLPDAAPKLAQEVPPAASDHVSEEPARNQDDVGHTHDPDSTSCNIERSGLITMEGDKKDLDLNHSNTDGFQLYCSASSLPEIDSTKGHMTKVSKRDKNHTPHYGGESSLAGTHSSIIMRTAAEMLAVCQACGIKKKRSARVRRNSVLSVMDLENNISQKSSRLPQSCLEAMYESSYIKFTTKKRSHKARLNSSNSFQPNIDQKNRFSSETIFFGGCNGLKRSGEAFQQTLPQTPNDKRINLDIHSEVSEESSLNTIDYLQGVASKLKYLDLNSEQVRRTEMHLSQTMPSFSSSGATNCLVNALVPYVGGVVVPYQTQLQLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSVNNSNCNDGIASQDNEEIISTSAVGDKSTFEFFYNQSRQDIGLNFEELSMTCEKIHMEPKDNTTANKLNKGENNSLHCKESTGSFCDHKTGIDHKQKPFSDLSSVDLTGYMKNQLETQFQKEISLSQSVVTSESILQPGLPLSSGVDHAPRNFVGNISDTASQQVGSNFDDGKLLAGNDATANESEYQEMKTAETNNVTSGPNMYPFFSAVDCQQLDDRSDPHVSSTSPNSSIGSASSNFKIGTIEENYSLFMPFDAHLAHRNGNKIVDTNLSLPLTSTELPVKILHYDEKSGYEASELQGHETLHATGGVIPETSTKADDSTLKFGFASSNGLPDKAAQASKPKKSKTTSKKNSENFDWDKLRRNACSNYQTKERIFDRRDSVDWEAVRCADVHKISHAIRERGMNNVLAERIQKFLDRLVSDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVFCTKSKPNCNACPMRSECKHFASAFASTRLALPSPQDKRLVTLSNQFAFHNGTMPAPNSIPLPQLEGSIHARDTHANNTNPIIEEPASPREEECPETLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKEFQSDDIAKALVAISTEAASIPAPKLKNVHRLRTEHYVYELPDSHPLIQQLALDQREPDDPNELKDTIEKPKPCCNSQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHVSSHNPINIPREQLWSLPRRMVYFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEETR >LPERR05G14530.2 pep chromosome:Lperr_V1.4:5:14075281:14085456:-1 gene:LPERR05G14530 transcript:LPERR05G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSPSFLPLIFLSSRNTLRRVSILDPSPSLSFPNGQCELQVGVVPSRARSARCVRRLLLPDSALSLLLRGSVRPGAVACTAGEEVWRGINADDLLPSASGSDRIGCLFPTKNLGVQLNPEATMEPPGLKLPANPSENQEGWTSGMPIGRETPSISEISPAFLGGWQNHAGTAMGAGGSCPSPTLLPGSSLSATALLNAMHDGSMISAAADEQQHAGPSVRPSYSLPPGCTTQVPIGIVVFHRRLTGKGARRQLMTPSRSSMPAPALSGVSEGDMAMNPSELATPYGYSSVSEHINTIFQPNVSYAEPSKTESERDANQLQQSTAAAVIFDSRSKLESVIPANTEKTQGQNPQESTGLVATGSTDDNIHKYHQITKRAKTQITHIDNTDLPTPAVSVCKEKTLNQIEMHIAGAEKIEAFKSEDTPVQKLKTRRKKHRAKVIREDRPTKMQKTTVTTSKEKPLNQKPKRKYVRKKSNLNSSEKCAEPFSDQCISRESRTTVRSGIASVRRRLQFEFGEQGVHGDQSSMTNSWYQKQDKPVNAHSSLCSVTKPSAQIEPGLQVNMENSPEGLFFGISSKLNKILDEYIHLPDAAPKLAQEVPPAASDHVSEEPARNQDDVGHTHDPDSTSCNIERSGLITMEGDKKDLDLNHSNTDGFQLYCSASSLPEIDSTKGHMTKVSKRDKNHTPHYGGESSLAGTHSSIIMRTAAEMLAVCQACGIKKKRSARVRRNSVLSVMDLENNISQKSSRLPQSCLEAMYESSYIKFTTKKRSHKARLNSSNSFQPNIDQKNRFSSETIFFGGCNGLKRSGEAFQQTLPQTPNDKRINLDIHSEVSEESSLNTIDYLQGVASKLKYLDLNSEQVRRTEMHLSQTMPSFSSSGATNCLVNALVPYVGGVVVPYQTQLQLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSVNNSNCNDGIASQDNEEIISTSAVGDKSTFEFFYNQSRQDIGLNFEELSMTCEKIHMEPKDNTTANKLNKGENNSLHCKESTGSFCDHKTGIDHKQKPFSDLSSVDLTGYMKNQLETQFQKEISLSQSVVTSESILQPGLPLSSGVDHAPRNFVGNISDTASQQVGSNFDDGKLLAGNDATANESEYQEMKTAETNNVTSGPNMYPFFSAVDCQQLDDRSDPHVSSTSPNSSIGSASSNFKIGTIEENYSLFMPFDAHLAHRNGNKIVDTNLSLPLTSTELPVKILHYDEKSGYEASELQGHETLHATGGVIPETSTKADDSTLKFGFASSNGLPDKAAQASKPKKSKTTSKKNSENFDWDKLRRNACSNYQTKERIFDRRDSVDWEAVRCADVHKISHAIRERGMNNVLAERIQKFLDRLVSDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVFCTKSKPNCNACPMRSECKHFASAFASTRLALPSPQDKRLVTLSNQFAFHNGTMPAPNSIPLPQLEGSIHARDTHANNTNPIIEEPASPREEECPETLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKEFQSDDIAKALVAISTEAASIPAPKLKNVHRLRTEHYVYELPDSHPLIQQLALDQREPDDPSPYLLAIWTPGKCICFEYDCSCVLVHIEPCGYFADELKDTIEKPKPCCNSQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHVSSHNPINIPREQLWSLPRRMVYFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEETR >LPERR05G14530.3 pep chromosome:Lperr_V1.4:5:14075281:14085456:-1 gene:LPERR05G14530 transcript:LPERR05G14530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSPSFLPLIFLSSRNTLRRVSILDPSPSLSFPNGQCELQVGVVPSRARSARCVRRLLLPDSALSLLLRGSVRPGAVACTAGEEVWRGINADDLLPSASGSDRIGCLFPTKNLGVQLNPEATMEPPGLKLPANPSENQEGWTSGMPIGRETPSISEISPAFLGGWQNHAGTAMGAGGSCPSPTLLPGSSLSATALLNAMHDGSMISAAADEQQHAGPSVRPSYSLPPGCTTQVPIGIVVFHRRLTGKGARRQLMTPSRSSMPAPALSGVSEGDMAMNPSELATPYGYSSVSEHINTIFQPNVSYAEPSKTESERDANQLQQSTAAAVIFDSRSKLESVIPANTEKTQGQNPQESTGLVATGSTDDNIHKYHQITKRAKTQITHIDNTDLPTPAVSVCKEKTLNQIEMHIAGAEKIEAFKSEDTPVQKLKTRRKKHRAKVIREDRPTKMQKTTVTTSKEKPLNQKPKRKYVRKKSNLNSSEKCAEPFSDQCISRESRTTVRSGIASVRRRLQFEFGEQGVHGDQSSMTNSWYQKQDKPVNAHSSLCSVTKPSAQIEPGLQVNMENSPEGLFFGISSKLNKILDEYIHLPDAAPKLAQEVPPAASDHVSEEPARNQDDVGHTHDPDSTSCNIERSGLITMEGDKKDLDLNHSNTDGFQLYCSASSLPEIDSTKGHMTKVSKRDKNHTPHYGGESSLAGTHSSIIMRTAAEMLAVCQACGIKKKRSARVRRNSVLSVMDLENNISQKSSRLPQSCLEAMYESSYIKFTTKKRSHKARLNSSNSFQPNIDQKNRFSSETIFFGGCNGLKRSGEAFQQTLPQTPNDKRINLDIHSEVSEESSLNTIDYLQGVASKLKYLDLNSEQVRRTEMHLSQTMPSFSSSGATNCLVNALVPYVGGVVVPYQTQLQLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSVNNSNCNDGIASQDNEEIISTSAVGDKSTFEFFYNQSRQDIGLNFEELSMTCEKIHMEPKDNTTANKLNKGENNSLHCKESTGSFCDHKTGIDHKQKPFSDLSSVDLTGYMKNQLETQFQKEISLSQSVVTSESILQPGLPLSSGVDHAPRNFVGNISDTASQQVGSNFDDGKLLAGNDATANESEYQEMKTAETNNVTSGPNMYPFFSAVDCQQLDDRSDPHVSSTSPNSSIGSASSNFKIGTIEENYSLFMPFDAHLAHRNGNKIVDTNLSLPLTSTELPVKILHYDEKSGYEASELQGHETLHATGGVIPETSTKADDSTLKFGFASSNGLPDKAAQASKPKKSKTTSKKNSENFDWDKLRRNACSNYQTKERIFDRRDSVDWEAVRCADVHKISHAIRERGMNNVLAERIQKFLDRLVSDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVFCTKSKPNCNACPMRSECKHFASAFASTRLALPSPQDKRLVTLSNQFAFHNGTMPAPNSIPLPQLEGSIHARDTHANNTNPIIEEPASPREEECPETLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKEFQSDDIAKALVAISTEAASIPAPKLKNVHRLRTEHYVYELPDSHPLIQQVPCRTAMRGSFPLNGTYFQVNEVFADHVSSHNPINIPREQLWSLPRRMVYFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEETR >LPERR05G14540.1 pep chromosome:Lperr_V1.4:5:14089015:14096627:-1 gene:LPERR05G14540 transcript:LPERR05G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGAATSAAGSGSGGESVVIDYGRRRTTCGYCRSTGPTSISHGLWANSLKADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKASDFSCSKEQGRVLKKMQRFLDGELDPQVGSSENITSPTKRSLTEPMSSPTSKVSKTSTNEFQAAKRPSLFEEDDFLCCLSSKINEVVDMCFQDGTLSSDVQLPKAIVKIVKPQIKKKVGGASQEKKVGEPVQDLLYTCNISFQIVAAVRRALPKEKGSNHSEVLADISPNSIAEKLAMTMEHHGDIAGLAVKACNGHLNFYSVTNQAVQSKTSIVVSAHAPDKSTRSKESSVNKNNARLPQKRRKLEIKMRRSHFDPEEFALYQRYQTRVHKEKNVMESSYRRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRVDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQECCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVCYDIAKRLLDKSLYSVLSDFSQIQDEMPEPQFSRPDDELSKYDHCESPIDEDDEDLSYDDSDMMVDEEMVQSESNTDITEDCSSISDIENIILDLKGSRVKYKDLQGIVGPIERRHLSQLERQLSRYVKVVGKELSDRMVYSL >LPERR05G14550.1 pep chromosome:Lperr_V1.4:5:14098366:14099914:-1 gene:LPERR05G14550 transcript:LPERR05G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHRLLCWKSNKATCAVAGDGQVNDAENDPDDCVEVDRPVTGSVSKYLSLLYVVSEMGAQDLIGGLYSYVKSIDGATTLENVWVTSSRPYLINLTCKKLQMILHGDKGMDHDRFNLAVRSFVYEDVCMMKTSQGGVSNHFMDLRFWISLGFARGKHYHKEPTNDELFMTQSGYLVYLFMRSWSNGELRLPTYKGCGDLRKQFLTHLLTSPGNDFELSTPDGLNNIVLSLYGV >LPERR05G14560.1 pep chromosome:Lperr_V1.4:5:14101753:14103663:-1 gene:LPERR05G14560 transcript:LPERR05G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALCGPRVQVRAGRGSGQGKQRVVVVVGAGLAPPGRRWRAPLVAALPERIEPLSAVQEGAVAPAPEADGEEVHGDGDVASVEISSTFGVTGKTVRVRFVLKKECTFGQSLHLVGDDPALGLWDLSKAVALDWSDGHDWTAEKDLPANRLIEFKFVLQDLSGKLHWQNGPNRRIKTSETANTLVVYEDWGNVNNQIIEEEVKVFVGMEEVVVPDDSESRNGSVAADELQVDDNQMAIHNESSVGGDDNKSVVAMGTSVQVESMNVREANQSELIIDEPQQEALHVTADTEPENDSVATCADDGYAESTDDDGVPVENGWTSASERELLWGWKALQQLLMSLGFKMDTS >LPERR05G14570.1 pep chromosome:Lperr_V1.4:5:14107204:14107707:-1 gene:LPERR05G14570 transcript:LPERR05G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGAAGGGSSSYADDDAGGGVEFWHGAERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRGSVPRGVIPVATCLTVKGAEDIINREFAFELSTPVDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPKPPPQPKTTEASEPSS >LPERR05G14580.1 pep chromosome:Lperr_V1.4:5:14108822:14113973:-1 gene:LPERR05G14580 transcript:LPERR05G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRTDGLCSLVSAAAAAVRTFAFEKSTRLSIDSTVNSAGACRSLPAALPFTEADGKAGGGRNMVPGGDQAEEAIVADVTNGRGGGAGKDDESRGAMGQHGGFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGLMGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCTTTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVDGVEHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAMYWAFGDALLTHSNAFSLLPRTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGLHDCRSLCKRAAARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRSASARLNAAEKPPGFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPKAHAGAPLPAPAHH >LPERR05G14580.2 pep chromosome:Lperr_V1.4:5:14108822:14113973:-1 gene:LPERR05G14580 transcript:LPERR05G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRTDGLCSLVSAAAAAVRTFAFEKSTRLSIDSTVNSAGACRSLPAALPFTEADGKAGGGRNMVPGGDQAEEAIVADVTNGRGGGAGKDDESRGAMGVEWDGGEDAAEQQHGGFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGLMGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCTTTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVDGVEHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAMYWAFGDALLTHSNAFSLLPRTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGLHDCRSLCKRAAARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRSASARLNAAEKPPGFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPKAHAGAPLPAPAHH >LPERR05G14590.1 pep chromosome:Lperr_V1.4:5:14144728:14146255:-1 gene:LPERR05G14590 transcript:LPERR05G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVAPSPCASSSPSTSSSSSRPILRGAGLARSVNWGAGVMVRRRGSRLPARCALSASLDGVGGGDAEFLRRIEELAAAVGVQPTGCGWPASVERSASSAGMPLSLWMLKRKKQQEHQLVARHQQARWDERLLGSAGESVGRAFSSMVLIVRELQSFALRQMRDAMLCGDDLQGVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSLAAAAAVPPAPPPSAVAVVDTQHAEPLLPRFDAASVKTFSIGRAASVGGGSGGGGKVRPVAGATGDDRWDESLSRLSRVAPQQPAPPAVPEAAAQDEQAIWERMVAEASSMQADARAEELSDPDVLSNLVAPVEAELETESHAEYAQTQRSYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEETYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCYPLD >LPERR05G14600.1 pep chromosome:Lperr_V1.4:5:14152687:14158106:1 gene:LPERR05G14600 transcript:LPERR05G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFKPIEQCSTEARSQQTVAADLDGTLLLSRSAFPYYLLIALEAGGLLRAVLLLMSVPFVYLTYISISESLAVRALLYIAVRGLDVKDIESVARSVLPRFYAGDVHPEGWRVFSAFGRRCIVTASPRVMVEPFARAFLGADMVIGTEIEVNESGKATGFVAEPGVLVREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKEAYIVTTSRKHRPVPKTQLLSPVILFHDGRLVQRPTDINTVLTFLWMPFGFALAFLRVHLSLLLPVRLVSYAYRLTGVRLVVRGHPPPVDGASRGPGVLFVCNHRTALDPTAVAVALGRKAVALCRERDADEERVRRLLEEGVDLVVFPEGATCREPFLLRFDAHFAELTDRIVPVAIATKETMFHGTTARGLKHMDPYFFFMNPRPAYEVTFLSQLPKELTCGGGGKSPVEVANYVQKVLAGQLGFECTSFTSKDKYQILADTDRRLESKEED >LPERR05G14610.1 pep chromosome:Lperr_V1.4:5:14163433:14164479:1 gene:LPERR05G14610 transcript:LPERR05G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNYNYYHQHSCVPFDAYHGHGHFDDQGHGHHLIPYCHSTTKMTTPPEPSSFYHCKYWSFVQTDATTISSSESSASGRNSGAAAHNVANTISTTRGDVAFVRQLHFGGEYYDDDTGNISALLEAASISSWTHGGASTSLAAAEEKAAAAVAAAQPDGGSSDNGGSDKEAAARQLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALAVRGAGAVLNYPLHRVRESLRTLELGAGGAASESPVLALKRRHRIRKRSTTKKTPAASKTDEIIPELAEGKKKQQVDTTGHHHEKEKQMASSLPCVLELEDLGTDYLEELLALSEEQPDARPDIVDDI >LPERR05G14630.1 pep chromosome:Lperr_V1.4:5:14174683:14176137:-1 gene:LPERR05G14630 transcript:LPERR05G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASAAPAPAVVPMTQTLCANAPATSVTVVSKQTVRPDGVSSSAVGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLVSSLVYALSRALAVFPALSGRFATLDDGRIVIRRDDDYAAVEFYHAVAPALSLGDFLLPNADVPTRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGEPVQPPDLHRNFFGESTAVLRFTGGTGPAVTFHADAPLRERVVHFSAAAIRELKAKVNHWTKSDKFAEANGGKPVREANGCRSREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPPISPLYFGNAIQSVATMATVAELASNDLRWAAARLHATVVAHDDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRPLAVRSGRANKFDGKMSAFPGQAGDGSVDVEFCLAPDTMARLLGDDEFLQYVSHAT >LPERR05G14640.1 pep chromosome:Lperr_V1.4:5:14189010:14193204:1 gene:LPERR05G14640 transcript:LPERR05G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPRRLDRAMSFCGGVPEEALHLVLGYVDDPRDREAASLVCRRWHRIDALTRKHVTVPFCYAASPAHLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVAELAAPLECLKAIHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVACSCRSLRTLFLEECTVADKGTEWLHDLAVNNPVLMTLNFHMTELTVVPEDLELLAKNCKLLTSLKISDCDFSDLIGFFRMATSLQDFAGGAFIEQGELTKYGNFKFPSRLCSLGLTYMGTNEMPIIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGMQEEQGGVSQVGLTSVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLGYIGQYSGTIQYMLLGNVGETDDGLIRFALGCVNLRKLELRSCCFSEQALALALRNRMKDGEPCVDTQAQILAYYSLAGKRSDCPQSVVPLYPA >LPERR05G14650.1 pep chromosome:Lperr_V1.4:5:14197302:14211870:1 gene:LPERR05G14650 transcript:LPERR05G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALADLAAGSVLNAGGSAPRDRATPAEWARMVDDMQRHALSSRLAVPILFGTDAVHGHNNVFGATIFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCKDPRWGRCYECYSEDPEVVRSLTTIVTGLQGQPPADHPHGYPFLSSPRNNVLACAKHFVGDGGTDKGVNEGNTICSAEDLEGIHLRPYPDCIAQGVATIMASYSQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCDPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPALLDVIGCKEHRLLAREAVRKSLVLLKNGKEEEEPFLPLAKNVQRILVAGTHADNIGYQCGGWTIAWHGDSGRITIGTTILEAIKESVGAETEVVYDECPTEATVETGDFSYAVVVVGEVPYAEVLGDRTDLSIPFNGSDLISRIATKLPTLVVVISGRPLVIEPQVLDKIDALVAAWLPGSEGMGVTDCLFGDHDFSGRDMN >LPERR05G14650.2 pep chromosome:Lperr_V1.4:5:14197302:14211870:1 gene:LPERR05G14650 transcript:LPERR05G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALADLAAGSVLNAGGSAPRDRATPAEWARMVDDMQRHALSSRLAVPILFGTDAVHGHNNVFGATIFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCKDPRWGRCYECYSEDPEVVRSLTTIVTGLQGQPPADHPHGYPFLSSPRNNVLACAKHFVGDGGTDKGVNEGNTICSAEDLEGIHLRPYPDCIAQGVATIMASYSQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCDPRGSDYRYCIAQSVNAGMDMVFI >LPERR05G14650.3 pep chromosome:Lperr_V1.4:5:14197302:14213443:1 gene:LPERR05G14650 transcript:LPERR05G14650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALADLAAGSVLNAGGSAPRDRATPAEWARMVDDMQRHALSSRLAVPILFGTDAVHGHNNVFGATIFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCKDPRWGRCYECYSEDPEVVRSLTTIVTGLQGQPPADHPHGYPFLSSPRNNVLACAKHFVGDGGTDKGVNEGNTICSAEDLEGIHLRPYPDCIAQGVATIMASYSQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCDPRGSDYRYCIAQSVNAGMDMVFI >LPERR05G14650.4 pep chromosome:Lperr_V1.4:5:14197302:14213443:1 gene:LPERR05G14650 transcript:LPERR05G14650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALADLAAGSVLNAGGSAPRDRATPAEWARMVDDMQRHALSSRLAVPILFGTDAVHGHNNVFGATIFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCKDPRWGRCYECYSEDPEVVRSLTTIVTGLQGQPPADHPHGYPFLSSPRNNVLACAKHFVGDGGTDKGVNEGNTICSAEDLEGIHLRPYPDCIAQGVATIMASYSQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCDPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPALLDEHRLLAREAVRKSLVLLKNGKEEEEPFLPLAKNVQRILVAGTHADNIGYQCGGWTIAWHGDSGRITIGTTILEAIKESVGAETEVVYDECPTEATVETGDFSYAVVVVGEVPYAEVLGDRTDLSIPFNGSDLISRIATKLPTLVVVISGRPLVIEPQVLDKIDALVAAWLPGSEGMGVTDCLFGDHDFSGGFFLRRRRAPHTHPVRFKGRHSVGVTSTREATHADALGQFAGAVGLLCRMTWSQPLGATDGRPVAMWASWR >LPERR05G14650.5 pep chromosome:Lperr_V1.4:5:14197302:14213443:1 gene:LPERR05G14650 transcript:LPERR05G14650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALADLAAGSVLNAGGSAPRDRATPAEWARMVDDMQRHALSSRLAVPILFGTDAVHGHNNVFGATIFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCKDPRWGRCYECYSEDPEVVRSLTTIVTGLQGQPPADHPHGYPFLSSPRNNVLACAKHFVGDGGTDKGVNEGNTICSAEDLEGIHLRPYPDCIAQGVATIMASYSQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCDPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPALLDEHRLLAREAVRKSLVLLKNGKEEEEPFLPLAKNVQRILVAGTHADNIGYQCGGWTIAWHGDSGRITIGTTILEAIKESVGAETEVVYDECPTEATVETGDFSYAVVVVGEVPYAEVLGDRTDLSIPFNGSDLISRIATKLPTLVVVISGRPLVIEPQVLDKIDALVAAWLPGSEGMGVTDCLFGDHDFSGTLPVTWFMSTDQLPINARDASYNPLFPFGVGVTSTREATHADALGQFAGAVGLLCRMTWSQPLGATDGRPVAMWASWR >LPERR05G14650.6 pep chromosome:Lperr_V1.4:5:14203506:14213443:1 gene:LPERR05G14650 transcript:LPERR05G14650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHEVVVAERRRRRRLAVDEHAELIRDGGGGGGRVRGGEDGRWRRRWVVAVVFVGEVDSGDVVDAGSALVLAAGVEPDEVLLRVAGHLRRRPARHEVSRDVPPVPSPVLLQPHQEQPVPNKTNSPSIRPPIPSKFKIGFLAGGLLVLLLCPRHALLALLVRAARAALAAADDVAGALERQAGGVVGAVPVESET >LPERR05G14660.1 pep chromosome:Lperr_V1.4:5:14211796:14212803:-1 gene:LPERR05G14660 transcript:LPERR05G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYMEVLPPAPAHYFVGQPSAGGWFLQDRRGAWTPEENKAFEQALAAVDRNDPERWERVAEMLPGKTVADVMTHYDDLENDVCFIEAGLVPFPQYGAGAGSPSSGFTFDWDGADDAAGLAFKRSCYIVGGGKRGARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDHGNNPSPSPPSVLTAAHSSSSSAAVSDQFGVLVDSKPPPPTTLGHHHFMPHHPYAQVKLEASNSHVGGRFDDSVLMQMQCGQLQPLG >LPERR05G14670.1 pep chromosome:Lperr_V1.4:5:14221233:14223867:1 gene:LPERR05G14670 transcript:LPERR05G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQPEKLNAPILAQISDVSAEIAVVFSKSGHRHRRRFRSNPRSIAAAPRPSCNDVNYRVTDSCTSPCGWARRKPCCCYSRRTRDGREGCKEEELTGEVEGEVCGAWLPGAVVPSLVPLSSPMLTGRPEVKLHRGEIHRVLSSACSECVDEHCESGTGGYAVSSDSWPE >LPERR05G14680.1 pep chromosome:Lperr_V1.4:5:14243739:14245700:1 gene:LPERR05G14680 transcript:LPERR05G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMDPPAPPPRRSVSTSCDLHPGETFTGFCAACLRERLAGLEASAAAAAAPGRRSTSAIRSLFSRPFVAGVGAAPSGSGAPAAPDLRRCKSFSYGRGGDVFTDEPQRRSCDVRGGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALAAEVQHPPQPPCVPEVFLEEEIAVVEEEDSDEITPVVEPVLVVDMSGEMETEAKAMRDHIDLECSQAKKPQQKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAAGGSKAAAAAMPPPEKPSKPSFLRRSRFRGEAGSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGNGIGLGRAPPPLSRLPPILSAMEDSPAGIVERSDGQIPVEDDSQPEPDPDADTPGGTAQTRDYYDTSSRRRRSLERTSSVRRPSFEVNDAKPVLPASATAAAITNGKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPVSLDADKKQPRRWRKAWSLWGLIHRRAAGARRNGRGGGGGAAVDVADRAFSEPWPELRVRGLYNARMQRCNSNASARSSFSSNSGGLGSSRRSYVDGSGNGNVKRRREECVLDRNRSARYSPGHAADNGMLRFYLTPMRSASGRRTPGLPAKGGRQLRSQSLARSMLRLY >LPERR05G14690.1 pep chromosome:Lperr_V1.4:5:14258086:14261745:1 gene:LPERR05G14690 transcript:LPERR05G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSCVSVKPAAAASPVKYRSARVGLEPRSLRISCSSSSSYLGSGGDDCRGVSSSGRGNSVVGLVGDGLLGRRGGGRRVVAMCSAEMEGVRHGGGAAVASVPAASLPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTMMLLAVRALFGLAEGVAFPTMSTFLPKWFPIHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSLWMFNVESDPLDSRTISKSELQLILAGRSASKVQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSVHWFYWARRVTALPKICPNAVCCCSPHDHCPELEFFQSSWLIPETTSTRTTLLWITTSITTDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVEWLGSFQAFLTLTAVLYFSATIFYNTYATGDLIFD >LPERR05G14690.2 pep chromosome:Lperr_V1.4:5:14258086:14261745:1 gene:LPERR05G14690 transcript:LPERR05G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSCVSVKPAAAASPVKYRSARVGLEPRSLRISCSSSSSYLGSGGDDCRGVSSSGRGNSVVGLVGDGLLGRRGGGRRVVAMCSAEMEGVRHGGGAAVASVPAASLPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTMMLLAVRALFGLAEGVAFPTMSTFLPKWFPIHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSLWMFNVESDPLDSRTISKSELQLILAGRSASKVQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVARVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTTALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVEWLGSFQAFLTLTAVLYFSATIFYNTYATGDLIFD >LPERR05G14690.3 pep chromosome:Lperr_V1.4:5:14258086:14261745:1 gene:LPERR05G14690 transcript:LPERR05G14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSCVSVKPAAAASPVKYRSARVGLEPRSLRISCSSSSSYLGSGGDDCRGVSSSGRGNSVVGLVGDGLLGRRGGGRRVVAMCSAEMEGVRHGGGAAVASVPAASLPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTMMLLAVRALFGLAEGVAFPTMSTFLPKWFPIHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSLWMFNVESDPLDSRTISKSELQLILAGRSASKVQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSVHWFYWARRVTALPKICPNAVCCCSPHDHCPELEFFQSSWDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVEWLGSFQAFLTLTAVLYFSATIFYNTYATGDLIFD >LPERR05G14700.1 pep chromosome:Lperr_V1.4:5:14262385:14264563:-1 gene:LPERR05G14700 transcript:LPERR05G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLPPEEASRVEAEVRGYFDSLAPRRPSKPPRSDPSDAGGEGGSEADGDLPELRRLRDLEAKPQKLVLDGGDVNVEEYVETRYYDGLNCIDKQHHTTGTGFIKVERPNGNSFNVTTVAYSSDSLVRCTSNPATNDWIPSSETVIPVSNKPGRSDA >LPERR05G14710.1 pep chromosome:Lperr_V1.4:5:14275045:14279888:1 gene:LPERR05G14710 transcript:LPERR05G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTPSSTSTATTTNTCSSSLSSTSPIHPAVPHRRRLNDIERLDHAADHHDCSATGGIGIDALAADDGESGHGHGHGAVTPCSGRAAALLLARRKRAWVLAWMRGVVLCLLGLVAVVSFLGSHRRGGGGAGSGAGAGGDGGGGGNGGRLVKKVDVGDADAMGWTEENLTALTRRPPDPPISDMVAVAKIMNASLVIPTLDHQSFWTDPRYEKDMLSFTGCNHNLTIHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGLKFVELIDKLDEGSIDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTK >LPERR05G14720.1 pep chromosome:Lperr_V1.4:5:14280385:14282775:1 gene:LPERR05G14720 transcript:LPERR05G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPSTAGNMGLLTFLEGFLLIANALAILNEDRFLSPKGWSFSDVRSIHGANTLKGQIVGLIYAAQCMRIPLIILNGITILVKFVSF >LPERR05G14730.1 pep chromosome:Lperr_V1.4:5:14284076:14284847:1 gene:LPERR05G14730 transcript:LPERR05G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >LPERR05G14740.1 pep chromosome:Lperr_V1.4:5:14295455:14299230:1 gene:LPERR05G14740 transcript:LPERR05G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGQSAIAGDEGEIERGAASPERDEEEEGSQYYSDAEEHQSWASHSRHNSTAYEDYISTCASARASSFDGEHRHHLRKSSCVSECSLDDGDDVDLEAGLGEIIKGSPEKAEKNCRICHLGLETAAAESGAGITLGCSCKGDLSYSHRQCAETWFKIRGNKICEICSSTACNVVGQGDSESTEQWNESNSTVPVQTPPAETQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >LPERR05G14750.1 pep chromosome:Lperr_V1.4:5:14301759:14305570:-1 gene:LPERR05G14750 transcript:LPERR05G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVEIALLREKWGLLLAGVVFQYVHGLAARGVHYLHRPGPLLQDLGFMALPELGQDRGYLSESIFTSIFVSFVLWTFHPFIYHSKRFYTVLIWLRVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDSKLAEMPDRTNGAPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDSNHTHSTLSDAAVNGGT >LPERR05G14760.1 pep chromosome:Lperr_V1.4:5:14325067:14326539:-1 gene:LPERR05G14760 transcript:LPERR05G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEDFLLPDEFLDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLAGLLGNDGERVSPLPPAKAEVTVGSPQSTLCGLPNSGQESPNGGASQVNSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFHGYGGFAAAPARKASPPPVAPQSATKVPAAAGAYYHPLHLLTQRQIQAAQFHLLKQQQLLRLQRDRHLAAAAAWGARQTAAAAAAKGAGCAVTAPIDMNPAAWPPLQKRQQAAAAAAPAAGGMRAVFLTPPGGKHERNGTGVFLPRPAGAPAEPKKKTAGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNARRSAAAVPVPAPALCHSS >LPERR05G14770.1 pep chromosome:Lperr_V1.4:5:14341979:14345159:-1 gene:LPERR05G14770 transcript:LPERR05G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLEYFSERLFKVDSGEGEDGGDGAEGSPPVPDGRVSLARRSYYVDVPHVPQAFTWDCGLACVLMVLRTLGIDCCDGIADLEKLCRTTSIWTVDLAYLLNKFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGKALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWVNLQDMQQFSEDSDYMGHYVVICGYDSDTCEFEIRDPASSRRRERVSMKSLDQARKSFGTDEDIILVSLTGKNGMKLSRKLLVGSF >LPERR05G14780.1 pep chromosome:Lperr_V1.4:5:14348433:14350696:1 gene:LPERR05G14780 transcript:LPERR05G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDDRRIGIAMDYSASSKRALDWAIANLLRRGDTLVVVHVLHHGGEEAKHALWGKSGSPLIPLSEFRDPTAMQQYGMNCDAEVLDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDALVMGSRGLGSIQRYNSTPATMLAHQESNVPSLTIKLHAFGTLGNILV >LPERR05G14790.1 pep chromosome:Lperr_V1.4:5:14351906:14354709:1 gene:LPERR05G14790 transcript:LPERR05G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLKELDSCEAGQLAPFNSDVFDQVVRECNEHNTQFQSLIRKMVEQNLDIETTRNEDHYGAAVHHLSLLRNKRCLMAYMYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSTAIKSYMSEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQGLMEEFLE >LPERR05G14800.1 pep chromosome:Lperr_V1.4:5:14362969:14366109:1 gene:LPERR05G14800 transcript:LPERR05G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGGEVEEEAAAGEEEREVSEALTGDSSADEEFRRGSSSGSSACSSGEASSYCPPDDWQQKVAIKTCVSSDLVVVSADQPKDKKKPSPAAADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPERDGNNAAPFMLTFHRRDEKWWLPVPRVPPGGVGDTTRRQLEHKRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAANRGGNKSSWGGVLKDMIMDTEKRDDLLAERAEGLLMCLRQRFPGLTQTTLDTSKIQYNRDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAGSGSGKISCKDMSVTTAAAAYPVVSTSGTASYATAYATPSFSPAQLSSPSRIGRALLVDRRSHDGGRGIVAGGMEFMGMAVSNAVFDVPGL >LPERR05G14810.1 pep chromosome:Lperr_V1.4:5:14368337:14369530:-1 gene:LPERR05G14810 transcript:LPERR05G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKKSPPATAAGATPAAATNGYFSTVFAASPPANAKDTKQADLYAMLNKQNSKGQNAGGFADGKSHSPTKARATYKDGKHSYPNESSESPYFGSSVHYGAREFYGNTPQKQGNESSGNHKEENPDGSLATRGDWWQGSLYY >LPERR05G14820.1 pep chromosome:Lperr_V1.4:5:14396160:14400815:1 gene:LPERR05G14820 transcript:LPERR05G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGGGGYGGGGGEQQQQQQQHQLLFGQAAGQLYHVPQHSRREKLRFPADHPAETSSPPQPQQPSWALPPAFYSYASSSSSSYSPHSPTTLAHAHMVVAHGGGMPPAPQIPSQNFALSLSSASSNPPPPSTPRRQQFGGGGGGGVAAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGGVRPAPLGRPGSDEGLLDVDDAMDDAAGGSDSAAAEAVTVSGAEQQWRKTRLISLMEDVCKRYKQYYQQLQAIISSFETVAGLSNAAPFASMALRTMSKHFKYLKGMILNQLRNTSKGSSTKDALGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMQKNPSLDKNQISMQQHAQHSSDSSGKPCDPSNSLQGQSSSMTRNHSVSVSRHIEDGLSAGQMPHDVSGQVSFAYNGLAAAHHNIAMSSHHQQPDHLIGTASNAGGVSLTLGLHQNNRAYIAEPLPSALPLNLAHRFGLEEVSDAYVMGSFGGQDRHFTKEIGGHLLHDFVG >LPERR05G14830.1 pep chromosome:Lperr_V1.4:5:14406234:14410182:1 gene:LPERR05G14830 transcript:LPERR05G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAAGQSGGWLLEYGMVEEEIQGSDFIYMVDDPSAVSSVILGFDVPRKEDGGGQDNSATKKSRAHRRAPRLAAKSSDETGSTKGMLRTSSSINAKRKKPSAFATPFLTSSMVIFRFNELSAVLEPGKTPKADKVAILSDASRLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGAAAVTPAPFVPHPAAAAAAATAFHPAAFAQAAGKYVPYAASYPPPAAFWQWIPPTSLDTSKDPVMWPPVA >LPERR05G14830.2 pep chromosome:Lperr_V1.4:5:14406234:14410182:1 gene:LPERR05G14830 transcript:LPERR05G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAAGQSGGWLLEYGMVEEEIQGSDFIYMVDDPSAVSSVILGFDVPRKEDGGGQDNSATKKRFNELSAVLEPGKTPKADKVAILSDASRLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGAAAVTPAPFVPHPAAAAAAATAFHPAAFAQAAGKYVPYAASYPPPAAFWQWIPPTSLDTSKDPVMWPPVA >LPERR05G14840.1 pep chromosome:Lperr_V1.4:5:14406320:14415403:-1 gene:LPERR05G14840 transcript:LPERR05G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFMRDVKRVIIKVGTAVVSRQDGRLALGRVGSLCEQVKELNSLGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENSKFREQLTATVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHHHEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENQSIVKVLHGEKIGTLFHKDAGSWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQEAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGDKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLMKSPGVDDLLVALKTEGVSIYAGPVAHKALGFPKAGSFHHEYSSLACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDNTVAATFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGNGQVMKSEPWISSSTIPYSSSHPPLCPAAPPQLIATNPNPNPKNPTSKPRGPGREEGERTARASLPGNASERMRSARASPAFGATKQQHTRFGSRRRIE >LPERR05G14840.2 pep chromosome:Lperr_V1.4:5:14408291:14415403:-1 gene:LPERR05G14840 transcript:LPERR05G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFMRDVKRVIIKVGTAVVSRQDGRLALGRVGSLCEQVKELNSLGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENSKFREQLTATVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHHHEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENQSIVKVLHGEKIGTLFHKDAGSWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQEAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGDKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLMKSPGVDDLLVALKTEGVSIYAGPVAHKALGFPKAGSFHHEYSSLACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDNTVAATFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGNGQVVNGDKDVALLQQTMVTRKFLQVTPWIIYPQHKHISCT >LPERR05G14840.3 pep chromosome:Lperr_V1.4:5:14409215:14415403:-1 gene:LPERR05G14840 transcript:LPERR05G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFMRDVKRVIIKVGTAVVSRQDGRLALGRVGSLCEQVKELNSLGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENSKFREQLTATVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHHHEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENQSIVKVLHGEKIGTLFHKDAGSWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQEAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGDKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLMKSPGVDDLLVALKTEGVSIYAGPVAHKALGFPKAGSFHHEYSSLACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDNTVAATFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGNGQVVNGDKDVAYTHKDLPLQ >LPERR05G14850.1 pep chromosome:Lperr_V1.4:5:14417820:14422057:1 gene:LPERR05G14850 transcript:LPERR05G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGIGFIWKPIAILAAFMTGVSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >LPERR05G14860.1 pep chromosome:Lperr_V1.4:5:14421704:14426281:-1 gene:LPERR05G14860 transcript:LPERR05G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLATAAASRVPRRQPARASFSSSSSSLPSHALATSSRLAPSTESSFPPTLVHRAPRPSLVAARAASPDAVTGVRSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCSIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLALAENASSILPATKTYHLGLRRDEETLQPSIYLNNLPDNIPEGTRVLVVDPMLATGGTIVAAIDLLVERGATSKLIKVVSAVAAPPALQKLSNKFPGLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >LPERR05G14870.1 pep chromosome:Lperr_V1.4:5:14428700:14432501:1 gene:LPERR05G14870 transcript:LPERR05G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTNLDIWNFDVGYFNWAASVMYGYAAVVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASALLLIPVEFLRWVIIILVGGASSWFISLNLKECTEGADMMVLIASAAVLQFALALFIKVFFFA >LPERR05G14880.1 pep chromosome:Lperr_V1.4:5:14432288:14433510:-1 gene:LPERR05G14880 transcript:LPERR05G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTLHRAPSWAAAARGLLANWRSRSGGLVRAPRRHGYGHGGPFASAFSALSGQQMSAAAADAQLLRVINMEISYAQQDCKNRNWSKKLGEGFPFEIQDKDGTNRMTLKRSDHKEQIEVEVFLPSPVNEAEENGEQEEQSDDGKDRTHTGNGVSAQYCIPLMVRIQKGAAPYLKICCSSYPNEFIIESLSFEPNSESGDSASHEAKLSNLPEEFQKALYSYLKSRGISTDITDFLHAYMINKECHEYLSWLRKLKGLVKN >LPERR05G14890.1 pep chromosome:Lperr_V1.4:5:14433970:14435835:-1 gene:LPERR05G14890 transcript:LPERR05G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAEIPSVGLGTWQISSGVVQDAICAAVKVGYSHIDCSPQYGNQKEVGLALRKLFDEGAVKREDLFITSKLWSTHHAPEDVPVAINTTLQDLELDYLDLYLVHGPVRVKKGTKLSIENYLKPDIPTTWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAVNQVECHPVWQQGKLRKLCQSKGIHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAEELQKTPAQVALRWGLQMGQSVLPKSDNEAWTRENIDIFDWCIPEELMAKLFMYEFLTHPTSFYKSPEDFWDGEI >LPERR05G14890.2 pep chromosome:Lperr_V1.4:5:14433970:14435835:-1 gene:LPERR05G14890 transcript:LPERR05G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAEIPSVGLGTWQISSGVVQDAICAAVKVGYSHIDCSPQYGNQKEVHGPVRVKKGTKLSIENYLKPDIPTTWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAVNQVECHPVWQQGKLRKLCQSKGIHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAEELQKTPAQVALRWGLQMGQSVLPKSDNEAWTRENIDIFDWCIPEELMAKLFMYEFLTHPTSFYKSPEDFWDGEI >LPERR05G14900.1 pep chromosome:Lperr_V1.4:5:14440486:14442091:-1 gene:LPERR05G14900 transcript:LPERR05G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGAKIPSVGLGTWQAEPGVVGDAIYTAVKAGYRHIDCAQAYANEKEIGVALKKVFNEGIVKREDMFITSKLWCTNHAPEDVPVSLDNTLQDLQTDYVDLYLIHWPIRMKKASGFDPLNVIPSDIPATWAAMEKLHDSGKARAIGVSNFSTKKLADLLAVARVPPAVNQVECHPVWQQSKLRKFCVSNGIHLSAYSPLGSPGTVMVKENVLAHPVIVSTAEKLGKTAGQVALRWGIQMGHSVLPKSTNEERIKANLDVYDWSIPEDLFVKFSEIEQARTESASAVEAMKTLLLFGCLARSMVKLIRGNFLIHPEGVFKSVEEIWDGEII >LPERR05G14910.1 pep chromosome:Lperr_V1.4:5:14444833:14447403:1 gene:LPERR05G14910 transcript:LPERR05G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVAWRPGTWGGLAMRVGQVAFAGASIGVMACALGFANYTAFCYLIASMGLQSLWSLGLACLDTYALIVKRDLNNALLVTALLSFAASCSAGGVIVLFKKDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >LPERR05G14920.1 pep chromosome:Lperr_V1.4:5:14455544:14455810:-1 gene:LPERR05G14920 transcript:LPERR05G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYRKEGQVSLGDLFFDEPSPSSYFRLPGDSGRYQQQHAAMSSLFSQTMAADSDAPPRRSPLRCTVHHRRPASATP >LPERR05G14930.1 pep chromosome:Lperr_V1.4:5:14458717:14459142:-1 gene:LPERR05G14930 transcript:LPERR05G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSFPAANAGPRQTAPLPPCLPRLPPPPAFHAAAVSSAALPYHGRLLLRRRHPELSPLDPPPPCHTVAACSSVAALTCHHRILHRRRPALPSPTPPSPCPTAAPPPPPPLSCHAAGSYAAQAAANAIHHRWLWRDIRN >LPERR05G14940.1 pep chromosome:Lperr_V1.4:5:14460851:14463821:1 gene:LPERR05G14940 transcript:LPERR05G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGRRRRSTTTALTLSALRRASASFASSNAASAHALSDSALSTPFTTASPPPAPAPAPAGGGSTPFGRGDGGPLLRKHALTTSHAISLVTTSQSPSLARIRHSSSSLRSVTVTSGSDVTYGFKYPSPARPTALIATSNRQLLQILN >LPERR05G14950.1 pep chromosome:Lperr_V1.4:5:14461908:14463340:-1 gene:LPERR05G14950 transcript:LPERR05G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICCEEAKSMPAASASASAAATAIARRRRRMDMAVSARFAAGALAEEAGAARGGKRQRMTRAGTGAGGAGFRSRWWPRYGVTSVCGRRREMEDAVSIRPDFLPGSTKHHFFAVFDGHGCSHVCTHARTDSHYSWAPSDHPMTNRANTCQVARMCQDRMHELVVDAYRKAAVSGKDTPSSPEWKEVMEKGFAAMDNEATSWAASRRGGEPACRCELRKPARPDHVGSTAVVAVVGPTRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIKSAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERSDDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPRPNGVLPPPAGAGAGAGGGDAVVKGVDKAESDKACADAALLLAKLALARRSADNVSAVVVDLRRLP >LPERR05G14970.1 pep chromosome:Lperr_V1.4:5:14469735:14471210:1 gene:LPERR05G14970 transcript:LPERR05G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAEDDVDDNDLRLPAGPRARQSTSPLGILAFEAAATMAKLLTLHRSLTEKEVSRLRSHAMRAPGVAYLSSTDQSFLLRLACAESVAALDAAAAAVARLGARCGVDFAGPYASLKAGAPDARLDQFAARGGIKVKAKKMERLVAATAKLCAEMEALDELEAAEQKLAAHCGWGRLSGPIPSPAVAAGDGTRSDELRMDIRAQRARVRRLKEESLWSQSYGNAIALMARAACAVFVRVCVVFGAHVAGLPPPLPPVDAIHSRLSKLLLLHPMSGPAQPRSLSGPIQQNMPPLRIEMSSNSCPIIRSHWQTTPPPPRAEDYWRRLLEPPLGTVGGAGLDLQYANVITTAERLLLLETEARAAERAELYAMLPSKLRAAVRAKLRGWWRERGKTTGGERETDAGLAEGWRSAAVRILAWLGPMARDTARWHEERSMDRRRRFEVGGGARAWALQTLRWADAEKAEAAAVEVLVALSCVCWYEERRRAASLRL >LPERR05G14980.1 pep chromosome:Lperr_V1.4:5:14472194:14476129:-1 gene:LPERR05G14980 transcript:LPERR05G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSALARALRSPKPGRPLHRHYGTAAAAGATQPESVAAEMVRYALGGARPGSSPEEAMRILEQGASNLQGGGEGCAEAVGMLMLAMSTLLYRSGRHQEAMEKLKATNQVAPTAFRAWEATMGLCMEASQDINTSVSPDDLVDTSIKEDSIKWSDQDHLKFRVTAIKGLIALLNGDAESAQLFFDGCKDLCSGEGNERTENAALSYGEYLHSVGNFPLATQMYKRVLEALSMEDKSGSFLAACNMVPEEVSLGATCSYGQLLSHSGKFTEAEDYLTRALKMAEEQFGANHPKVGIVLTCVARVYKLKARSEGSSSIMVQEGLYRKALEVLKAPAIKSEGVSKQMDWRDIISLARGEYADLLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALDFSEPSKPIVVDTRIGRIL >LPERR05G14990.1 pep chromosome:Lperr_V1.4:5:14486355:14489373:1 gene:LPERR05G14990 transcript:LPERR05G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSASPSPSPPATKKRSVVAELEGALLRDAATFPYFMLVAFEASGLPRFAALLALWPLLWATERILGRGDLALRAAAFVATAGVPRAEVEAVARAVLPKFMADDVDPAAWAAFGSCAGRRVVVTRMPRVMVERFAREHLGAHEVVGCDLEYSRLRRSTGRVVRGVGFDDEAVTGRVRELFADGDRPDLGICRSESATRSYLPFCKKQLLPPFREQDDANDVAAGQPRCAPFRPVIFHDGRLVCRPTPLMSLAVLLWLPFGALLAFVRIAVGIAVPIHIIPRIAPYFGGAVLAHGSPPPSGGGVLYVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEILSPIPTVRLTRDRDADAARMRAELRRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTSLTRKDKYRVLAGNDGIVNVKPAAAADSPAPWQRRLKEVLGFLLH >LPERR05G15000.1 pep chromosome:Lperr_V1.4:5:14493638:14497569:1 gene:LPERR05G15000 transcript:LPERR05G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYLTLPIFSVLAAIVYVYYTAVFVAVPRWLGLATAAGAANAAAFTALAAACVATYAVAVCRDPGLVPPSFEPDVEDSGSPLHEIKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFFIFVLYAVIACFYAMILIIGSALYSVPVDEQSSNDSSRTSIIICGVILCPLTLALTVLLGWHIYLILQNKTTIEYHEGVRALWLAEKGGNLYHHPYDLGVYENLISVLGHNIFCWFCPLSKNMGNGLRFRTTHDIPLSPLKSNSAASQQ >LPERR05G15010.1 pep chromosome:Lperr_V1.4:5:14497641:14501301:-1 gene:LPERR05G15010 transcript:LPERR05G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLTGDGGVLKTVVRKAKDDAVAPSDSLPLVDGTLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRSMMVGEVAKITCKPEYAYGSAGSPPEIPPNATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAAAKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >LPERR05G15010.2 pep chromosome:Lperr_V1.4:5:14497641:14501301:-1 gene:LPERR05G15010 transcript:LPERR05G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLTGDGGVLKTVVRKAKDDAVAPSDSLPLKQRELAAAAKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >LPERR05G15020.1 pep chromosome:Lperr_V1.4:5:14505295:14505585:-1 gene:LPERR05G15020 transcript:LPERR05G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGSRVEEDVKRRPAQCKKRRPRPEVLGTDVAALAARANQAGNGISRQQASHSDQPPAHAPSSFPRRCARLVKEQRARLYIVRRCVTMLACGRD >LPERR05G15030.1 pep chromosome:Lperr_V1.4:5:14510156:14512483:-1 gene:LPERR05G15030 transcript:LPERR05G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNARRLRCFASPACLLFAVAVLLAMPGLTTARTRRYSFNVTMATVTRLCVTKSIPTVNGRFPGPRIVVREGDELVIKVTNNISNNVTFHWHGVRQLRSGWADGPAYITQCPIQPGSSYVYNNNVTFHWHGVRQLRSGWADGPAYITQCPIRPGGSYVYRFTVTGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSAKDTFKLRVQPGKTYMLRLINSALNDELFFGVANHTLTVVQADASYVKPFTATTLVISPGQTMDVLLTASTKPSSQSFAIAVSPYTNTVGTFDNTTAVAVLEYGSATATATALRNLPLPSLPAYNDTGAVANFSENFRSLASAEYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAGSINNVSFVMPRTTALLQAHYQRRYSGVLMANFPAAPRMPFNYTGTPPNNTFVTHGTRVVPLAFNTTVEVVLQDTSIQGAESHPLHLHGYDFFVVGTGFGNYDAANDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDIPTCS >LPERR05G15040.1 pep chromosome:Lperr_V1.4:5:14514276:14524110:1 gene:LPERR05G15040 transcript:LPERR05G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKKGVAGEVVGGEEPLSFFSNKGKRRRGARVVRPPRSANGEDEISYTQKYVKGRKGVLLVGLTLSVVDLGVIAPNKKSGQKNQLVTHLCTYPDDGSWFGNRFRSSGFRRMEYALGIGIRQPHAEERPVHETPKSSDKGFSLGGLGGTRSAPPPPPLAPPVHGGEGFVRGYLTSALGRPAVGKAGPDWRSLLANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSNTDDQWNFQETATKHLQNFLAPLLFVGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSSSQDQNSDAIMTTNDVPSKHAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDYVPVTYVAEVNWFQEVMRFAPTIFLVGLIYMMSKRMQSGFSIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQAAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETKITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKAVEPTNYDLFKQGFQDEDSKSQEEAKTPQPDDDGTPSLGEVVPT >LPERR05G15050.1 pep chromosome:Lperr_V1.4:5:14525984:14529029:1 gene:LPERR05G15050 transcript:LPERR05G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPCSCLLVAALMLFTMGAEAVTRQYQFDVQTTTVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVNVVNHSPYNMSIHWYVRLSHPGATHGIRQLRSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPEGVGYPFPTPDGGEVPIMFGEWWKNDTEAVIAQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFRLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVETLIIAPGQTSNVLLTAKPAYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTSAGKTATTTVPIFSPTLPQINDTNAVSNFTAKLRSLASAEYPATVPQSVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAINNVSFVLPTTALLQSHFAGTSKGVYASNFPYYPLMPFNYTGTPPNNTNVMNGTKVLVLPYGADVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPVNDPAMFNLYDPVERNTVGVPAGGWVAIRFHADNPGTCVVHALSPGGAHELGAENGVAGAGWQQS >LPERR05G15060.1 pep chromosome:Lperr_V1.4:5:14535514:14536502:1 gene:LPERR05G15060 transcript:LPERR05G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPYTTTAPGTFDNTTVAGIVEYEADDDNNNNNNLPAHFAGVSNGVYSTNFPAAPPMPFNYTGTPPNNTNVMNGTRVVVLPYGAGVELVMQGTSILGAESHPLHLYGFNFYVVGQGFNYSAQLSSVWFMHCHLEVHLSWGLKMAWVVLDGSLPDQKLPPTPADLPKCW >LPERR05G15070.1 pep chromosome:Lperr_V1.4:5:14537431:14544012:-1 gene:LPERR05G15070 transcript:LPERR05G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKVEAVDGSGEGIQRNLMALSSASSASEGSGYALTSPVTSSPADASIFSSRRKSGPVRRAKGGWTPEEDETLRKAVDIYNGKNWKKIAQSCPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGSTSNILRVQLPAIGDDLKPSAENHIDLNKEPIIYSRDCQGLVDHSDPTSDQQVSNLKNLKGCADYLSLGQPVTPCEASVADDSAIDPSTQAMKMDSVHGETTGNNFLCGKVQRINLVVENGFQTDQISDKMGCSRLAKREGEAVINGGESSLQSEAHSVGFLCYENPKNEDTVPAQSPMFSAHYVPEHSRDEVHSPNGYTTPSPTSRKGSDQLSVDSILRSAAENFREMTSNELKWVRPVASKVTVPEAK >LPERR05G15070.2 pep chromosome:Lperr_V1.4:5:14537431:14544012:-1 gene:LPERR05G15070 transcript:LPERR05G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKVEAVDGSGEGIQRNLMALSSASSASEGSGYALTSPVTSSPADASIFSSRRKSGPVRRAKGGWTPEEDETLRKAVDIYNGKNWKKIAQSCPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGSTSNILRVQLPAIGDDLKPSAENHIDLNKEPIIYSRDCQGLVDHSDPTSDQQAMKMDSVHGETTGNNFLCGKVQRINLVVENGFQTDQISDKMGCSRLAKREGEAVINGGESSLQSEAHSVGFLCYENPKNEDTVPAQSPMFSAHYVPEHSRDEVHSPNGYTTPSPTSRKGSDQLSVDSILRSAAENFREMTSNELKWVRPVASKVTVPEAK >LPERR05G15080.1 pep chromosome:Lperr_V1.4:5:14547019:14552141:1 gene:LPERR05G15080 transcript:LPERR05G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKKEKEKKKKHKEKEKEKQSGTGEAHFKPSGEVKGVRFGGQFIVKSFTVRRASPLELLRLLDIPPAYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEGMKAAVDHLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMCADDLRRILQSVVELKDFLDHTAMLAMPSQKSITLQSRVAVAH >LPERR05G15080.2 pep chromosome:Lperr_V1.4:5:14547019:14551998:1 gene:LPERR05G15080 transcript:LPERR05G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTSNTATASSARGRREGEEVVVGLVITEYRLFPWARHSTAHSSSRKQVAAAATPSHGRGEEEGEGEEEEAQGEGEGEAIGHRGGALQAERRGEGRAVRWPVHREVVHGASGVAAGAAPAAGHPAGLPKRVPEPSVPVDHGVHAHQLHNPGAPGVAHAHARPRHQEVQGCAVRVRVRGHEGRRGPPLAGHDPSWRRQQEAHPWAHRQRDGPVQVQEGLPHHLRLCRPPPRRRRLHVRRRPQEDSPVRG >LPERR05G15090.1 pep chromosome:Lperr_V1.4:5:14555700:14562929:-1 gene:LPERR05G15090 transcript:LPERR05G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTEPAPAANSAIHLAAAGAAAIGKHRMRGRWAMAVGALLVALLAVAVSSRGFTSSSRGRDYGCPGTRNYTGMGEDCCCDYETVDAINKEVLHPILQELATLPFFRYFKCDCPFWPDDGMCLLRDCSVCECPDNEFPEPFKKPYSGLSSDSMICQEGKPQATVDRTLDTKVFKGWVEIDNPWTYDDETDNAEMTYVNLLLNPERYTGYTGDSARRIWDSIYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANMWGHNLPFLYDRVLKYPERVQNLYFTYLFVLRAVTKTADYLEQAEYNTGSPEEDLKTQSLVKQLLYTPKIRSACLLPFNEAKLWQGENGTELSRRFRSNLEISVQLWIVLDVRSVDYGESSKFLVSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIDKPKDSQRLPH >LPERR05G15090.2 pep chromosome:Lperr_V1.4:5:14555700:14562929:-1 gene:LPERR05G15090 transcript:LPERR05G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTEPAPAANSAIHLAAAGAAAIGKHRMRGRWAMAVGALLVALLAVAVSSRGFTSSSRGRDYGCPGTRNYTGMGEDCCCDYETVDAINKEVLHPILQELATLPFFRYFKEGKPQATVDRTLDTKVFKGWVEIDNPWTYDDETDNAEMTYVNLLLNPERYTGYTGDSARRIWDSIYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANMWGHNLPFLYDRVLKYPERVQNLYFTYLFVLRAVTKTADYLEQAEYNTGSPEEDLKTQSLVKQLLYTPKIRSACLLPFNEAKLWQGENGTELSRRFRSNLEISVQLWIVLDVRSVDYGESSKFLVSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIDKPKDSQRLPH >LPERR05G15100.1 pep chromosome:Lperr_V1.4:5:14564700:14568777:1 gene:LPERR05G15100 transcript:LPERR05G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAASQSQPVRVVLRVRPFLASEATSAAAPCVGLLGSHPGGEATVQLKDQYTSRNECYKLDAFFGQESRVSEIFDREVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDSPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNLQLKGLAWVPVRSLEDFNEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRVSTDIVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSHAVMIACLNPVEYQEAVHTVSLAARSRHVVNYMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNASKTPLSMSHRKQTTCVRVSGRGKTMDQDGGKIKKVLFDSASCIPAENLQRVATQDEVNTTNKVVLPSLTPCKEDKTGSSLRKALSPISSNMAPQKQQTADDSNCTLLLEPKTPMGSCNIVGKIAGATPLDKFNALGSSLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSLSDLGNIGLSSKQIQDILCKTATGIFK >LPERR05G15110.1 pep chromosome:Lperr_V1.4:5:14571238:14577516:1 gene:LPERR05G15110 transcript:LPERR05G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSLPLDVVPRIIARPAPGSVYRSPQLYARLRADMDADNSTDTLATLWRYKDGFWRPCINNATNSLPESNGYIYIEANGGLNQQRTSICNAVAVAGFLNATLIIPNFHFHSIWRDPSDIYDEDHFVKQLQNDVRVVEKVPDFIMERFGHNLSNAFNFKIKAWSPIQYYKDAVLPKLVEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPIATLSETLVTRMKEKSMASNGKYIAVHLRFEEVDMVAFSCCVYDGSDEERKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMAPLLEMFPLLQTKETLASAEELAPFKNFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYGGHSRTIKPDKRRLAILFDNPRIGWKTLKRHLLNMRAHSDAKGDRTFNFGSASSSRLWSLKSEGGSHARPLVANGNLGVEECSFVLNDKA >LPERR05G15110.2 pep chromosome:Lperr_V1.4:5:14571238:14577516:1 gene:LPERR05G15110 transcript:LPERR05G15110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSLPLDVVPRIIARPAPGSVYRSPQLYARLRADMDADNSTDTLATLWRYKDGFWRPCINNATNSLPESNGYIYIEANGGLNQQRTSICNAVAVAGFLNATLIIPNFHFHSIWRDPSDIYDEDHFVKQLQNDVRVVEKVPDFIMERFGHNLSNAFNFKIKAWSPIQYYKDAVLPKLDMVAFSCCVYDGSDEERKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMAPLLEMFPLLQTKETLASAEELAPFKNFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYGGHSRTIKPDKRRLAILFDNPRIGWKTLKRHLLNMRAHSDAKGDRTFNFGSASSSRLWSLKSEGGSHARPLVANGNLGVEECSFVLNDKA >LPERR05G15120.1 pep chromosome:Lperr_V1.4:5:14578666:14579964:1 gene:LPERR05G15120 transcript:LPERR05G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQIPPPAAASRVVILFALLVAALQPPLAAGVDVAAVLAGFPDLAGFARLLASSPVSRELAGRSSLTLLAVPNGNLPQSPSAYAAASGADLADVLRYHILLEYLSPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTATTGPSSPLAVVRSPAPFPGSNATVLTAVTAVPYNLSVLAVDGLIVPSGFDLAASESRPPAAVNITKVLADARAFNVAASMLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFTQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFSRSSDSAIVAVASAPPPVPLPVAESPESARTPPTKLSSPPALRDTAGDANHTSAAAKGTIGRWCIALLYLLPLLVPLV >LPERR05G15130.1 pep chromosome:Lperr_V1.4:5:14585871:14588255:1 gene:LPERR05G15130 transcript:LPERR05G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPLRPSDRKGKATKRVSFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >LPERR05G15130.2 pep chromosome:Lperr_V1.4:5:14586003:14588255:1 gene:LPERR05G15130 transcript:LPERR05G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPLRPSDRKGKATKRVSFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >LPERR05G15140.1 pep chromosome:Lperr_V1.4:5:14588701:14594658:-1 gene:LPERR05G15140 transcript:LPERR05G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSGVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLAADDKKRIEDAIDAAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGAGDGMAGDMDEDAPPAGGGGAGPKIEEREIHKAATASDPIQESGEVCFGEMAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIGGLN >LPERR05G15150.1 pep chromosome:Lperr_V1.4:5:14604002:14608458:1 gene:LPERR05G15150 transcript:LPERR05G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >LPERR05G15160.1 pep chromosome:Lperr_V1.4:5:14614440:14615134:1 gene:LPERR05G15160 transcript:LPERR05G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAEKAPKGEKKPKAEKRLPASKEGGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >LPERR05G15170.1 pep chromosome:Lperr_V1.4:5:14615122:14618550:-1 gene:LPERR05G15170 transcript:LPERR05G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLLSSQLSSQTVINARFQQGSGGISSIGFAVIRKGGLNLRCYAVDGIGGPENLNDPLKENNNGPVLQGLNGSGASFRTVGAEITQETGDFFVSDAEGDPDKPTDDDESGDNEGDLVMAATLANPESIAFMIRNGSGIISVGMKEEDLSRLMIPMMSPITEIEDISSAASTVTVDARAGISNGVSAADRAKTILTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVTLVGLRPVSVLSTVINPVDGSMAGLPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQANVELGLAVDSREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENQKYLETKRTKMGHVYGSDLPGNLPKEFFSQEDIAAGDQDEDTHNN >LPERR05G15180.1 pep chromosome:Lperr_V1.4:5:14620094:14622048:-1 gene:LPERR05G15180 transcript:LPERR05G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLWTRIRVGDAAPMAYIEFIDRENELREAKPATPPPPQRVPLDPWTKSRASQQWAGPKISKNSEGL >LPERR05G15190.1 pep chromosome:Lperr_V1.4:5:14622715:14625373:-1 gene:LPERR05G15190 transcript:LPERR05G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLFYNSVQALGWFVVLLRLLPRLVPPFSVHSSFAVAGDLVCLLQASAILETVHAAVGLVRTAPLLAFLQWGGRTHFVLAVVRQIPEVQSSPSVFITFMAWSISEVIRYSHYALTISKVCPLWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERDLYSGFFGMFSMSYHSFLVAVLAIYPFLWMKLYLHVFKQRKSKLGKVHAKKRA >LPERR05G15200.1 pep chromosome:Lperr_V1.4:5:14628787:14629155:-1 gene:LPERR05G15200 transcript:LPERR05G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSTFQALGGHMTGHTRLEVQLRQQREHGNSGGGSPAMRVTNRRATGQLWHPCSKCGQEFRMGQALGGHMRRHRAEEAAAAQASEEVQPEPETPNLNNPLVEEVGEGEVAQVPRLLNLLV >LPERR05G15210.1 pep chromosome:Lperr_V1.4:5:14631733:14634329:-1 gene:LPERR05G15210 transcript:LPERR05G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRLLHLYSSSASPSSRSTSLLPWLVRAFSPNGDPLHPVCSSPPRRSTSRFQMESCPSVKNILLLDSEGKRVAVKYYTDEWPTLSAKLAFEKSVFVKTQKATSGAEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAIDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQTLVQALTTAREHFTKSLLM >LPERR05G15220.1 pep chromosome:Lperr_V1.4:5:14636377:14636973:1 gene:LPERR05G15220 transcript:LPERR05G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQFGEMERSGVDTARVLTLLSQQQQRRQQRGEVGVVARRHDERGRVFECRTCGRRFPTFQALGGHRASHKRPRPLHAARAPSPGDHPMLSTEVASGGGGRAHAHGCPVCGLEFAVGQALGGHMRRHRAVAGEVVARVAARAKADDDDVVGDECTGGVCLDLNLTPSENCVKCRHASLGVAGNNSVKTTILLGCPL >LPERR05G15230.1 pep chromosome:Lperr_V1.4:5:14637428:14640764:-1 gene:LPERR05G15230 transcript:LPERR05G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHQPVQQGQGPTKLTGRWPLASRKGKQSTQANERDLTDRPNRTIKKPNRNQSLAHAADDDESSRKLTKERGEKERKKLLLRPSSDPPPRRAILRCACRRWAAGGGGASGEMAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINKTEQGADAPAAQQSSCCGS >LPERR05G15240.1 pep chromosome:Lperr_V1.4:5:14642045:14645671:-1 gene:LPERR05G15240 transcript:LPERR05G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTWSDLPPELVGAIAERLTSHADLARFRSVCPSWRSASADQAARRRVVPLLLLLSQHGSRRSRRVWHPADDTLGEIPLPAGRGRSFLFGSTRGWTLGVSADLSSATLVDPYTGASADLPPLPSSFRRGDGSGGGRVVIPRDLVWDWSPRAVVVSPGKGAIFCRPGDGSWSSPVAAAAVDARVTSITYCDGKFYLFDGATRKTLAVDAATFSDVAVIEPPPQLDQEMRAWPSWRRYEASLAVDVSSSDELLLLVRTQLLHPVCSGWTMDKKFFKAFRRSPHGGGGEIWSKVAEIGDRAVLVDHFRAFCVEVNGRNGLRRNCVYVASSYGEANDDYGMDVYGKYTVTVLDLANLETVELSRGRHGNLIMSRAANSGNGRLGPCRTSRLV >LPERR05G15250.1 pep chromosome:Lperr_V1.4:5:14652302:14652883:-1 gene:LPERR05G15250 transcript:LPERR05G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAKVPKKAGAGGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEPKEKTPKSPKKATTKSPKKAAAA >LPERR05G15260.1 pep chromosome:Lperr_V1.4:5:14662789:14664027:-1 gene:LPERR05G15260 transcript:LPERR05G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGAGERPDWFLDGAPSCRSTPTGDTGSDAPSAAPSPVSPPSASPPPEWPPAKPGGHEDGGDGALPFGRLRWGQPWPTVVVARSVASRPDLAQMLGGHRSAAGQLWLEVTASGGLKTRFGKGGVLRRRQRRGSIDGDVGVGEAGSLARRRRYTRGGLARDGAAAAGAWVAGAPSCHPDLATTATSWAVVAVGVGGATGGL >LPERR05G15270.1 pep chromosome:Lperr_V1.4:5:14668469:14668820:1 gene:LPERR05G15270 transcript:LPERR05G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRPSQSVFVLPDNFADLDDVPASGGGGGEEGRKELDMIKEDMGGYKISA >LPERR05G15280.1 pep chromosome:Lperr_V1.4:5:14673817:14678574:-1 gene:LPERR05G15280 transcript:LPERR05G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLSKEECIEALEKHANINPVVTSTVWKELEKENKEFFETYNKDRVERNIEAETMQRIQKMLSDAAATAVQLAGELAW >LPERR05G15280.2 pep chromosome:Lperr_V1.4:5:14677967:14678574:-1 gene:LPERR05G15280 transcript:LPERR05G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLSKEECIEALEKHANINPVVTSTVWKELEKENKEFFETYNKDRVERNIEAETMQRIQKMLSDAAASKASDDDDES >LPERR05G15290.1 pep chromosome:Lperr_V1.4:5:14697663:14698787:-1 gene:LPERR05G15290 transcript:LPERR05G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQGKEALLHEEGYIDMDLTSAAASSAPGEFEFNFPMSGPLGRGWDQPDSLASPADELFYKGKLLPLHMPPRAQMVEDLLDAGGNGIRRRPLPLAISTAPATPYESCAASPANSCYGGASGELNVEEYFQLEYAARLADAAAAAAAACEKKPWSRKLRFMRQLNLGLKLKASKAYIKTIFAAGAKPGIIPSSGDDAVHGATARGGGRGAKELVHGGNGHRAWRRNPFGHIRSSNRSIAASPGAGAGAGENGRHRRSFSSVIVRYSASNYKTSPSPPPSWCSSSSTSSSERSSSESSDGGAAALRRSSSGGSSEAENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASSKIAAAESDGMEELVEICRG >LPERR05G15300.1 pep chromosome:Lperr_V1.4:5:14705194:14711730:-1 gene:LPERR05G15300 transcript:LPERR05G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDANFHMLLDNSGQAYFMRELVPGSENSGTSSEEEGEASSGPEPPMRSKSDGDLYIGAKGRPGSPELNVESQGKQPMEEFDEYNYSRLEVEEDLAKQPDGGNSEMVLVSVDGHVLTAPISSTEENMEDVQLSDPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYIPQEKVKFDSGNQQEALEDLADVPVEKDKLHHVPVNGDEVLHPSVNEDVASVLTIKDESIVVAELGNNGEDYQPLESGNNDDGYQPLISVDEVHNISENKDEGCQSPSRHDEVHDVSKNDDEGYQPLATEDEACDIALLENNDACNVPANVDKVCDLSNEDTELESASPNFSKFDTFKSCLDLSSQIDDGDSGTEPFSPGFDHQRDLELSLSNLSVVDIDLEEDMSKTTHSDQNDPSQYLVEEGGMSHVTSDNKTESEDSSSHCGKQSDMSCVGSSHDKTEITSSEDEANKPDGLYSSIGTSDKDKLGSIPENSAGEEELYKEEHPELHKGLGFDISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGPSILKNAPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIITFSFSTRVLGRQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >LPERR05G15300.2 pep chromosome:Lperr_V1.4:5:14705194:14711730:-1 gene:LPERR05G15300 transcript:LPERR05G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDANFHMLLDNSGQAYFMRELVPGSENSGTSSEEEGEASSGPEPPMRSKSDGDLYIGAKGRPGSPELNVESQGKQPMEEFDEYNYSRLEVEEDLAKQPDGGNSEMVLVSVDGHVLTAPISSTEENMEDVQLSDPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYIPQEKVKFDSGNQQEALEDLADVPVEKDKLHHVPVNGDEVLHPSVNEDVASVLTIKDESIVVAELGNNGEDYQPLESGNNDDGYQPLISVDEVHNISENKDEGCQSPSRHDEVHDVSKNDDEGYQPLATEDEACDIALLENNDACNVPANVDKVCDLSNEDTELESASPNFSKFDTFKSCLDLSSQIDDGDSGTEPFSPGFDHQRDLELSLSNLSVVDIDLEEDMSKTTHSDQNDPSQYLVEEGGMSHVTSDNKTESEDSSSHCGKQSDMSCVGSSHDKTEITSSEDEANKPDGLYSSIGTSDKDKLGSIPENSAGEEELYKEEHPELHKGLGFDISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGPSILKNVNLVVKFDSKYFLWSKISHVILGKAVFGPNFCIEHIDAIPVEHQETPNSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIITFSFSTRVLGRQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >LPERR05G15310.1 pep chromosome:Lperr_V1.4:5:14712057:14712263:1 gene:LPERR05G15310 transcript:LPERR05G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVERGDRPRRGSGPVSPATASRAHDDQPPGSADREPREGEAEEGRERRSGKEGSKERNEGDARAFR >LPERR05G15320.1 pep chromosome:Lperr_V1.4:5:14714842:14716856:1 gene:LPERR05G15320 transcript:LPERR05G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLLLLVAAVAGSLILPAAAEIRTETFKEDPRQSILFEKFGFSKTGAVRIVITGAGVSSSFARADPKQLGFFLLSDESLFQAIYEAQARRVPEKKEEIAGGGPDEPDVSGCVLTSPYVKTLFTFADLGRGHYNKTFPVTHPDEYGLYFANCAPESLVTMRVRTEMYNSLPDGSKDYLPVGQAPVPAIYGFSAAFYAAFLAAWLYLTILSRDSRGAAHQIHHLMSGLLVARVLYCLSAAEDQHYIRVMANIASAVIGETGPFLDGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAAQTLSKLILFRQFYVVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERNKYFSLDEDEEEACEMVLREEEFEL >LPERR05G15330.1 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKVQPIKGLVNGNTNINLEARNIMSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15330.2 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTVPGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKVQPIKGLVNGNTNINLEARNIMSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15330.3 pep chromosome:Lperr_V1.4:5:14718446:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTVPGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQFIS >LPERR05G15330.4 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKVQPIKGLVNGNTNINLEARNIMSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15330.5 pep chromosome:Lperr_V1.4:5:14718446:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTVPGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKVQPIKGLVNGNTNINLEARNIMSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQFIS >LPERR05G15330.6 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15330.7 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTVPGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKVQPIKGLVNGNTNINLEARNIMSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15330.8 pep chromosome:Lperr_V1.4:5:14718874:14728204:-1 gene:LPERR05G15330 transcript:LPERR05G15330.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVAAGSGATPAEASISGQTNSSPYPPLASGQHSWSSSTGVSTVPWSYPVNNRSQDTVYYDPQRDVSVLGDNQNVASTAAHNAQPTMGIENATHSHVPYSSSLQPGYTAAEYANCYNYPQTTNDSSILQGGANQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTVPGYGTSNYHYQNNTWNGGSFENNYLQSYQNYPTSNTNAVQHSISTPTNSFSYQQQYNQWPRYYNHTVPNPAGDPVGNSNTVVNTTSSYSYPSIQPPPPGTTSWKSNSSSSVAPPIQASVAPEPQNQYINQTHIPLVESRYADQVAGNPRSYNHYAGQTPVYPQSTVNLNPIQPSNHGDQQNTVGSKGPNTNIYLVNHVSEKFEPKLQVFMTTETSSQNKMQVPRIAPGFSMVIPKSEKKSLGADLAKKPAYVSVSMVKNDTRQLPFSLNNYATRNLNCCKDEAQRAACQSMIEEIKNKAIADGTLLTKNWDTEPLLPLLENVATIPKTSANNSSPSLSTSTNRRRLKSRWEPVVEEKVTDKSNNWDSRKFFQSHQATANKVSQQPAKKQKISSYSGSMQNGNASSDSDKEQDLTKYYTSATTLANSPEEKKRREHRSKRFEKNQNSPSKSRNYAARKDVMANVHARRAVSALLSRSCEDGSTLAVEDMDWDALTVKGTCQEIEKQYLRLTSAPDPATVRPEHVLEKALSLVKTSQKNYLYKCDQLKSIRQDLTVQRIQNELTIKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNKRDLLSSLASLSKEAKKDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRLEAVKCMSKSYRPTIPVGYVAQILGFLRIDSEASEECEVWLKAHGAILSTINGGDLQFDTKASATTLYMPEPENAVSHGDASLAVNDFLARTEKKQL >LPERR05G15340.1 pep chromosome:Lperr_V1.4:5:14728896:14729186:-1 gene:LPERR05G15340 transcript:LPERR05G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGYGGPRRHQAALRSHIQGITKPAIRRLARKAGVKRISGLIYKETRSVLEIFLKKVIHDAVIYAEHAHRKTVTVMDVVYALKQQGHTIYGFGG >LPERR05G15350.1 pep chromosome:Lperr_V1.4:5:14731944:14734445:-1 gene:LPERR05G15350 transcript:LPERR05G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQRSRQRPKRNERPLHDAVVVVVVHSLQIRGPHVPAPARFWRAKLSLPLPSSSPLLPPSPPPPLLREGLWIPLQGLLLLLPSPSTDRPSPARALDAPRLYHLYRRVKGGFLICSRAARGGRVGRWWWRWRATRWTRRGGGGEILARAIGSHDGAAVTAAAVFLICVFGVDRRRHQQIGSQRVAATAKRGGNAKMSCFPCFGSGKKKNLHADTGRFEAAPAPLPYASQMTPPQAVSAPSQMNNHAHKPSEDASLRLAIAGQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLEKTGQTVAIKQLDRHGFKGNKEFLSEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGTLEDHLFDLPPGKKPMDWCTRMKVAYGAAQGLEYLHEKANPPVVYGDFKVSNILLDGEATLTPKLSDFGLAQLGQAGGNAAVPTPMMGSFGCCAPEYDRGGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVEEQNVVTWALPMFKDQKRYHELVDPLIKSEYPAKALNQVVAMAAMCLQEEDCVRPLMADVVMTLGFLTAIPPDPPAASVPVPVPIPDPSASPAPKQDKVSNRSDSSSSSSSSSDEDENEEEGEEEEEEEEDTEEQQ >LPERR05G15360.1 pep chromosome:Lperr_V1.4:5:14741483:14743523:-1 gene:LPERR05G15360 transcript:LPERR05G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRWLDAVQRVLSTSENGREENEGKAKKSTEKSSLKKILQFTKSKPSTSSVPETAPASQQPRHHHHHHPPPAQPDRQQDEEIKEAKSTSEQSSHVAAADENAVAAAARTPARSVEELAAVKIQAACRIYLARRAHLARGLDRLMSLLEGIPVKRQTDEALYCMQTMTRVQTQIHSRRVKTEEDKKALKIEVHVKQGADKTKIGEGWDHGHQSKEQIEAVLTMKQEAASRRQRALAYAFSHQWSNRKPSSARAAPPPMFMDTGNPNWGWSWAERWMAAARPWESQTTPDNIARAAPAKVAGGGGRRVSISVQIPTTPAAGGRMFTRPPSCPSPSSTPTPRSRWRAPPTSPGASPLHGRAAASGGLRRTTSLQAERPRSSQERRAAAAGSGSPIHGGEKGAAGGGVVSLRRTTSLRSGELPRRLSLGGGAPARDDAAAPASTAPPLTPSYMQTTKSVRAKAWPAPVELPGERAPDLPSPSVINRRLSLALADKAGGVSSPSSKAKGERSTPRRSQPPSPRF >LPERR05G15370.1 pep chromosome:Lperr_V1.4:5:14747338:14758721:1 gene:LPERR05G15370 transcript:LPERR05G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPVAIASVDCGGVKREGDPDPKLVGYLTGGEVTMMVEGAAAELARVVKAERKVVAACEVVMADDAAGGGIGVVGDLLFATGSAAVAKDSGMRELDGGSAEERMLGVEIGGFGSEAEWTLVPVGAAATETSEEVLDTAALQYSEKGLTGEDHRARYRLPPLDKDGLRASGLVWSKLKGHPWWPAEIFDTSDASELALRHHKKGSHLVAYFGGDTFAWCDESQLKPFMANYSEMVNQSNSDAFISSVNIALEEISRRILSGMCCPCLREEFSDNGMSYMVEHPGLRDGVTCSRVTQAEILECFSPENLLTYIKTLALFPGQGGALLDLVIACSQLTSFYQSNRCHELASACIDDDMDSSSTKNVLLPEAVTYDQEPCEDKPTCEKRPEHALELTEENSTSSLNNTCTSDYFMGLNIIGKAKRKRSEKKEHLPSPEVHTTDHRQDSWYGSGDDPTDTLREASAKMRPRRKHRSPKETLSSSDLSSHEQHLQLGLLAPKKQIQLIERSIIHVDEQKINEIMPKALVLTFVRSAALPSEVDLIRLFSRYGPLKETVTEVHQDTVKVVFKRCLDAESAFGAVGKCSYFGPWLRSFRLVNMPFSLSKSSPEDPGTGVPACRESGMDNVQVDIISKVDKAESVKKASAFEMVDPAGRANKTVDSVAGGVGAGGLGRFAPMSSTHVVAVAAPDPGGDGGGLGEPRPMAVDAVAAMATGDAGGSLGSDSVPAGDDDADVTMTEAAVEEEVAVAGEVKGAVVEGGDAASDPLYATESAGMVVDERPVDAARGVVVGGGNGGEEEKSSPPEARVLQGEDAGKPVTVEDVAIASATAEPAGAESVKLEENHVDNGKDNGVAHGEMQNNTIADVEGSTEIQEDDGSPTLEEQDDESEMLPLSGATNSNLCARYILPPLDKGGFRVSDLVWAKVKSHPWWPGEIFDPADASELALKHQKKGSHLIAYFGDNTFAWCDESQLKLFVANYSQMEKQSTSGAFVSSVNYALEELSRRILSGMTCSCLPEEPSDNGMSYMVENAGLKEGVTCSAVNRPEILSCFSSENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSGWVEDGMDTSFIQDVVVDEVVTNEEPPVPDKPKRGRGRPRKQKPEDGLELEKKTTPNLSTDNACDHPAERQMDMEFDDFDGLQSKKRSLDSFEDPETKTTTSTFGSSFKIGECIRRAASQLTGSSSIVKSQNELMPHKNVAETENGDFDVSSDDAIDEFSVEKRAKRRWINRHHNADPKELLSQMCSVAADPVNGHSFSAMIINYFSDYRNYVVSTTTEANIVEKPTAKRGRKRKVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSSQDTYFHPQQNLQHGLLSPKKQIQVIERSIIHVDEKIADEVKPTALVLSFGRSTALPSETDLIKMFGRYGPLKESETEVHTSSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLVPSQTNNPEAHPECNGLEIPGPSVSKVPLDAAQTEQVDKTDEKIEDKPTTEVKAMETGDDMNTSGALEEITENKATAEGLADNTTEEGETKAEVNDEETTATEKTVEDKAVPETTEGEATAEVLEESAPTEITVEDNTVSEETTEVETKAEVHVEEATPIDKVVEEKVAGETTKGENTPEVCVEETTTEETAGDKAQDEITKGETTAESKHQKLQLKYMLSIEEPDETTANDPLEDVTIEEPDKKTTADDPIEDATAEEPDKKTMAAGTIEESDTVEAPDEKTVQDPMVEEGGTKTAVAEETVEPATMEASAGQSGTTEQTG >LPERR05G15370.2 pep chromosome:Lperr_V1.4:5:14747338:14759421:1 gene:LPERR05G15370 transcript:LPERR05G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPVAIASVDCGGVKREGDPDPKLVGYLTGGEVTMMVEGAAAELARVVKAERKVVAACEVVMADDAAGGGIGVVGDLLFATGSAAVAKDSGMRELDGGSAEERMLGVEIGGFGSEAEWTLVPVGAAATETSEEVLDTAALQYSEKGLTGEDHRARYRLPPLDKDGLRASGLVWSKLKGHPWWPAEIFDTSDASELALRHHKKGSHLVAYFGGDTFAWCDESQLKPFMANYSEMVNQSNSDAFISSVNIALEEISRRILSGMCCPCLREEFSDNGMSYMVEHPGLRDGVTCSRVTQAEILECFSPENLLTYIKTLALFPGQGGALLDLVIACSQLTSFYQSNRCHELASACIDDDMDSSSTKNVLLPEAVTYDQEPCEDKPTCEKRPEHALELTEENSTSSLNNTCTSDYFMGLNIIGKAKRKRSEKKEHLPSPEVHTTDHRQDSWYGSGDDPTDTLREASAKMRPRRKHRSPKETLSSSDLSSHEQHLQLGLLAPKKQIQLIERSIIHVDEQKINEIMPKALVLTFVRSAALPSEVDLIRLFSRYGPLKETVTEVHQDTVKVVFKRCLDAESAFGAVGKCSYFGPWLRSFRLVNMPFSLSKSSPEDPGTGVPACRESGMDNVQVDIISKVDKAESVKKASAFEMVDPAGRANKTVDSVAGGVGAGGLGRFAPMSSTHVVAVAAPDPGGDGGGLGEPRPMAVDAVAAMATGDAGGSLGSDSVPAGDDDADVTMTEAAVEEEVAVAGEVKGAVVEGGDAASDPLYATESAGMVVDERPVDAARGVVVGGGNGGEEEKSSPPEARVLQGEDAGKPVTVEDVAIASATAEPAGAESVKLEENHVDNGKDNGVAHGEMQNNTIADVEGSTEIQEDDGSPTLEEQDDESEMLPLSGATNSNLCARYILPPLDKGGFRVSDLVWAKVKSHPWWPGEIFDPADASELALKHQKKGSHLIAYFGDNTFAWCDESQLKLFVANYSQMEKQSTSGAFVSSVNYALEELSRRILSGMTCSCLPEEPSDNGMSYMVENAGLKEGVTCSAVNRPEILSCFSSENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSGWVEDGMDTSFIQDVVVDEVVTNEEPPVPDKPKRGRGRPRKQKPEDGLELEKKTTPNLSTDNACDHPAERQMDMEFDDFDGLQSKKRSLDSFEDPETKTTTSTFGSSFKIGECIRRAASQLTGSSSIVKSQNELMPHKNVAETENGDFDVSSDDAIDEFSVEKRAKRRWINRHHNADPKELLSQMCSVAADPVNGHSFSAMIINYFSDYRNYVVSTTTEANIVEKPTAKRGRKRKVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSSQDTYFHPQQNLQHGLLSPKKQIQVIERSIIHVDEKIADEVKPTALVLSFGRSTALPSETDLIKMFGRYGPLKESETEVHTSSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLVPSQTNNPEAHPECNGLEIPGPSVSKVPLDAAQTEQVDKTDEKIEDKPTTEVKAMETGDDMNTSGALEEITENKATAEGLADNTTEGEITAEMHVEETTTTEKIVEDKELVEETKEGETKAEVNDEETTATEKTVEDKAVPETTEGEATAEVLEESAPTEITVEDNTVSEETTEVETKAEVHVEEATPIDKVVEEKVAGETTKGENTPEVCVEETTTEETAGDKAQDEITKGNASIEEPDETTANDPLEDVTIEEPDKKTTADDPIEDATAEEPDKKTMAAGTIEESDTVEAPDEKTVQDPMVEEGGTKTAVAEETVEPATMEASAGQSGTTEQTG >LPERR05G15370.3 pep chromosome:Lperr_V1.4:5:14747338:14759213:1 gene:LPERR05G15370 transcript:LPERR05G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPVAIASVDCGGVKREGDPDPKLVGYLTGGEVTMMVEGAAAELARVVKAERKVVAACEVVMADDAAGGGIGVVGDLLFATGSAAVAKDSGMRELDGGSAEERMLGVEIGGFGSEAEWTLVPVGAAATETSEEVLDTAALQYSEKGLTGEDHRARYRLPPLDKDGLRASGLVWSKLKGHPWWPAEIFDTSDASELALRHHKKGSHLVAYFGGDTFAWCDESQLKPFMANYSEMVNQSNSDAFISSVNIALEEISRRILSGMCCPCLREEFSDNGMSYMVEHPGLRDGVTCSRVTQAEILECFSPENLLTYIKTLALFPGQGGALLDLVIACSQLTSFYQSNRCHELASACIDDDMDSSSTKNVLLPEAVTYDQEPCEDKPTCEKRPEHALELTEENSTSSLNNTCTSDYFMGLNIIGKAKRKRSEKKEHLPSPEVHTTDHRQDSWYGSGDDPTDTLREASAKMRPRRKHRSPKETLSSSDLSSHEQHLQLGLLAPKKQIQLIERSIIHVDEQKINEIMPKALVLTFVRSAALPSEVDLIRLFSRYGPLKETVTEVHQDTVKVVFKRCLDAESAFGAVGKCSYFGPWLRSFRLVNMPFSLSKSSPEDPGTGVPACRESGMDNVQVDIISKVDKAESVKKASAFEMVDPAGRANKTVDSVAGGVGAGGLGRFAPMSSTHVVAVAAPDPGGDGGGLGEPRPMAVDAVAAMATGDAGGSLGSDSVPAGDDDADVTMTEAAVEEEVAVAGEVKGAVVEGGDAASDPLYATESAGMVVDERPVDAARGVVVGGGNGGEEEKSSPPEARVLQGEDAGKPVTVEDVAIASATAEPAGAESVKLEENHVDNGKDNGVAHGEMQNNTIADVEGSTEIQEDDGSPTLEEQDDESEMLPLSGATNSNLCARYILPPLDKGGFRVSDLVWAKVKSHPWWPGEIFDPADASELALKHQKKGSHLIAYFGDNTFAWCDESQLKLFVANYSQMEKQSTSGAFVSSVNYALEELSRRILSGMTCSCLPEEPSDNGMSYMVENAGLKEGVTCSAVNRPEILSCFSSENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSGWVEDGMDTSFIQDVVVDEVVTNEEPPVPDKPKRGRGRPRKQKPEDGLELEKKTTPNLSTDNACDHPAERQMDMEFDDFDGLQSKKRSLDSFEDPETKTTTSTFGSSFKIGECIRRAASQLTGSSSIVKSQNELMPHKNVAETENGDFDVSSDDAIDEFSVEKRAKRRWINRHHNADPKELLSQMCSVAADPVNGHSFSAMIINYFSDYRNYVVSTTTEANIVEKPTAKRGRKRKVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSSQDTYFHPQQNLQHGLLSPKKQIQVIERSIIHVDEKIADEVKPTALVLSFGRSTALPSETDLIKMFGRYGPLKESETEVHTSSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLVPSQTNNPEAHPECNGLEIPGPSVSKVPLDAAQTEQVDKTDEKIEDKPTTEVKAMETGDDMNTSGALEEITENKATAEGLADNTTEGEITAEMHVEETTTTEKIVEDKELVEETKEGETKAEVNDEETTATEKTVEDKAVPETTEGEATAEVLEESAPTEITVEDNTVSEETTEVETKAEVHVEEATPIDKVVEEKVAGETTKGENTPEVCVEETTTEETAGDKAQDEITKGETTAEVHAEVSITTEKTVEDAIVEAPETTAEVHAEVSITTEKTVDDAIVEAPDEKAKTSNDAVGNASIEEPDETTANDPLEDVTIEEPDKKTTADDPIEDATAEEPDKKTMAAGTIEESDTVEAPDEKTVQDPMVEEGGTKTAVAEETVEPATMEASAGQSGTTEQTG >LPERR05G15380.1 pep chromosome:Lperr_V1.4:5:14760377:14764564:-1 gene:LPERR05G15380 transcript:LPERR05G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPASPGGGGGSHESGSPRGGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREGDSKLTAKTGDGSVKKDVLGSHGGGSNSSAGMGQQGVYNQGMGYMQPQSLASILHLMVSSEGTYHHL >LPERR05G15390.1 pep chromosome:Lperr_V1.4:5:14766709:14773910:-1 gene:LPERR05G15390 transcript:LPERR05G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRPDSDEPGASGEAEHPADKRPCTAEPSTSAAAAAAAAVAEGEAAIVAAAAAAMERACSDMDTSSSGHAADGDGDGEADGDGDGDGDGDDDGDGDGDGGSSCESDGGGSPRRCGGGGGGRFQRMVAAVAADGAEEGVLVAALTELCEALSFCGEDVGGYFPTEAAARALVRLVGGAEGGVAAASPDVMLLSVRAITYLCDAMPRASDAVVRHGLLPLLCSRLLAIDYLDVAEQCLQAFEKISRRQPTPCLQAGMITAALAYIDFFSASIQRVAVSAVANACKKVPRDCSQFVMDSVPVLCNLLQYEDKMLVEKVASCLINIVDSFNGSVELLDKFCHQGVIEKVLPLINAGGLTSLTTSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTIDLSHGMPYLPLENQNNQVNEALKLANQLIPSAARDVEDTQIVLAKEKIIADEPRFLCQFSMEILPVLIKAVNSGANSYICYGCASIVNNICYFSKPEMLQDFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGYFIKEGVVYAVEALLMQEDFSKSTNLSDETEQSDNQPVIRNKPTCFCYAFDYPRSDGAETRTCMIGKGKLFTFARHVKTTYFTTEAMNSEMGLTEILQKLKTCCAVLNDSANESLNRDNFQNEEHLNNILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQLDGNPNDYNTEHFLAVLKRFQSFAQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSSLHTIEEFLWPKICTGTSNQKPESSPNSIASDAEDDLHERDSTPESSPPPEGVISGNQSSSVEPGSSKGPSSSGQQEKSIDYVAQPKLLFGLKGKELDKSVTLYQSILQDQIDAGSDIILDNQFWRIVHDVTYRTAANQEIDVSLKNSSCATTTAHDDKAGFMCQTLPFFTSLLLGKLPCKLDRASPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGGITNLDDLKADVSLVPRQEFVSAKLTDKLEQQIHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGIIIERVPSFSRKKFKVDRNNILVSAAKVMQSHARSNALLEVEYEEEVGTGLGPTMEFYTLISHEFQKSCLGMWRGELPREADPDNGYGGSVFVVAPNGLFPKPWSTHVDCSSFSEVSKQFHLLGQVVAKAIKDSRILDIPFSKAFYKLILGQELNLYDIHSFDPELAMTLTEFKALAARRKYLESGSSGDCRNASDLSYRGCRIEDLAIEFALPGYPEYVLSSEKGLDNVSADNLEQYVSFVVDATVRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEIIQEFGGHQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMRDKLLYAITEGQGSFHLS >LPERR05G15390.2 pep chromosome:Lperr_V1.4:5:14766709:14773910:-1 gene:LPERR05G15390 transcript:LPERR05G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRPDSDEPGASGEAEHPADKRPCTAEPSTSAAAAAAAAVAEGEAAIVAAAAAAMERACSDMDTSSSGHAADGDGDGEADGDGDGDGDGDDDGDGDGDGGSSCESDGGGSPRRCGGGGGGRFQRMVAAVAADGAEEGVLVAALTELCEALSFCGEDVGGYFPTEAAARALVRLVGGAEGGVAAASPDVMLLSVRAITYLCDAMPRASDAVVRHGLLPLLCSRLLAIDYLDVAEQCLQAFEKISRRQPTPCLQAGMITAALAYIDFFSASIQRVAVSAVANACKKVPRDCSQFVMDSVPVLCNLLQYEDKMLVEKVASCLINIVDSFNGSVELLDKFCHQGVIEKVLPLINAGGLTSLTTSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTIDLSHGMPYLPLENQNNQVNEALKLANQLIPSAARDVEDTQIVLAKEKIIADEPRFLCQFSMEILPVLIKAVNSGANSYICYGCASIVNNICYFSKPEMLQDFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGYFIKEGVVYAVEALLMQEDFSKSTNLSDETEQSDNQPVIRNKPTCFCYAFDYPRSDGAETRTCMIGKGKLFTFARHVKTTYFTTEAMNSEMGLTEILQKLKTCCAVLNDSANESLNRDNFQNEEHLNNILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQLDGNPNDYNTEHFLAVLKRFQSFAQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSSLHTIEEFLWPKICTGTSNQKPESSPNSIASDAEDDLHERDSTPESSPPPEGVISGNQSSSVEPGSSKGPSSSGQQEKSIDYVAQPKLLFGLKGKELDKSVTLYQSILQDQIDAGSDIILDNQFWRIVHDVTYRTAANQEIDVSLKNSSCATTTAHDDKAGFMCQTLPFFTSLLLGKLPCKLDRASPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGGITNLDDLKADVSLVPRQEFVSAKLTDKLEQQIHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGIIIERVPSFSRKKFKVDRNNILVSAAKVMQSHARSNALLEVEYEEEVGTGLGPTMEFYTLISHEFQKSCLGMWRGELPREADPDNGYGGSVFVVAPNGLFPKPWSTHVDCSSFSEVSKQFHLLGQVVAKAIKDSRILDIPFSKAFYKLILGQELNLYDIHSFDPELAMTLTEFKALAARRKYLESGSSGDCRNASDLSYRGCRIEDLAIEFALPGYPEYVLSSEKGLDNVSADNLEQYVSFVVDATVRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINEFGGHQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMRDKLLYAITEGQGSFHLS >LPERR05G15400.1 pep chromosome:Lperr_V1.4:5:14776900:14785222:1 gene:LPERR05G15400 transcript:LPERR05G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDSPRKGKPGGRSMDAATAAGLAAVWSEYFPEESQFTADSRSPRLAADMVRLFSSPDASDLLSRVEDDGDILFLPVDFHHLSNLTWVTEALQEHPQEALLCMGAAVHLVWCASKDFQLGDINKINIRLYNHSKSIALKNLKAAYIKKLVTVRGTVLKVNFQCMKCATKFPRVFCDGKFSPPVSCSIQGCKSRTFIPMRSTAKIQELASAENHEEGRVPRNIECELTEDLVDCCIPGEVVTVTGIVKVLNNYMDVGGGKSKGRNQGLYYLYLEAISIRNSKAHAASENSDASGSFGFQTFTEKDLEYISKFKEEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYGFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDNPDELLDKRVSDHIIALHSNDGGSFTANKRIRTVPQFDGSTNFGVSEIPLASRLRLHPEKDKDFCPLPGPLLRKYISYARTHVNPRISIPSPAAESLQDFYLKLRDHSTSADSTPITARQLESLVRLAEARARVDLREEVTEEDAKDAIALMKESLYDKYVDEHGCVDFARSSGMSHEKQVKKFLNALNNQCNNLVMLWEPSYKISLT >LPERR05G15400.2 pep chromosome:Lperr_V1.4:5:14776900:14785222:1 gene:LPERR05G15400 transcript:LPERR05G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDSPRKGKPGGRSMDAATAAGLAAVWSEYFPEESQFTADSRSPRLAADMVRLFSSPDASDLLSRVEDDGDILFLPVDFHHLSNLTWVTEALQEHPQEALLCMGAAVHLVWCASKDFQLGDINKINIRLYNHSKSIALKNLKAAYIKKLVTVRGTVLKVNFQCMKCATKFPRVFCDGKFSPPVSCSIQGCKSRTFIPMRSTAKIQELASAENHEEGRVPRNIECELTEDLVDCCIPGEVVTVTGIVKVLNNYMDVGGGKSKGRNQGLYYLYLEAISIRNSKAHAASENSDVISTNIQASGSFGFQTFTEKDLEYISKFKEEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYGFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDNPDELLDKRVSDHIIALHSNDGGSFTANKRIRTVPQFDGSTNFGVSEIPLASRLRLHPEKDKDFCPLPGPLLRKYISYARTHVNPRISIPSPAAESLQDFYLKLRDHSTSADSTPITARQLESLVRLAEARARVDLREEVTEEDAKDAIALMKESLYDKYVDEHGCVDFARSSGMSHEKQVKKFLNALNNQCNNLVMLWEPSYKISLT >LPERR05G15410.1 pep chromosome:Lperr_V1.4:5:14785432:14787328:-1 gene:LPERR05G15410 transcript:LPERR05G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPSYLGLSLITLMREARFE >LPERR05G15420.1 pep chromosome:Lperr_V1.4:5:14788559:14791234:-1 gene:LPERR05G15420 transcript:LPERR05G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSLQDLPTFTRIDALERGSSIGSDLGSGRAKPIRTLQRDGAVASFSKEKTPPSSPTNRKKCMRAAGCAIALFLLVFFVYASLRYFHVFLSEGSSEYYVILDCGSTGTRVHVYEWSVNYDDGNTFPIALKTLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPKHAHKHTPAFLYATAGVRKLPSADSEWLLDNAWDIMKNSSFLCYRDRVKIISGMDEAYYGWIALNHHMNMFSTSSSKMTYGSLDLGGSSLQVTFENDNSIQDETSISLRIGSVNHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNEKVQVKHPCLQTGYKEDYICFYCHPLKLDGSPSVGGKTAGKEKQGMAVELIGAPQWNECSALAKVAVNLSEWSNASSGVDCNTKSCALASSFPQPHGQFYAMSGFYVVFKFFNLTADATLIDVLKRGQEFCEKPWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIGSGSITWTLGVALLEAGQALSTRIDIQGYILHREINPNILITLFLISIVLVICAILCVSNSIPRSFRKSYLPIFRQNSAGSPVLGMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGPWKPGQTTLQSRRSQSREDLTSSLADLHVPKV >LPERR05G15430.1 pep chromosome:Lperr_V1.4:5:14796557:14796799:-1 gene:LPERR05G15430 transcript:LPERR05G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSFQAQPPPMPPAAERAGGIRAARATLPPPRRGQIKEQIMKEIVAAVAGIVVPGRGRSSQNGGGGGGGVAVSDENGK >LPERR05G15440.1 pep chromosome:Lperr_V1.4:5:14800103:14800303:-1 gene:LPERR05G15440 transcript:LPERR05G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSYHQYFSSSVNMAKEKRPPLKRGQVKLRIVRSISSLMATGNTEADDSSQAADRNSFRRETSYN >LPERR05G15450.1 pep chromosome:Lperr_V1.4:5:14806053:14806262:1 gene:LPERR05G15450 transcript:LPERR05G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYSSYYHQYKSSGSFSAKEKRPPLKRGQLKLQIVRTLSNLVAPRSAADAKKNEADRSSFRREASYN >LPERR05G15460.1 pep chromosome:Lperr_V1.4:5:14814268:14822010:-1 gene:LPERR05G15460 transcript:LPERR05G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYASIYKEEEEERSDRWKHFLERHIESSGQDAELAPTKEEGQAAGHVVDSSETGSSALLREKIVQGPHKIEIWKPIRTSLGNIEQMMSLRVEKKQSSAGSQGTKDVTHPVKVQESKLSEDSDDEFYDVDKVEPSQEVHSGDSANADIDNKGQEENSPSKEELECLVHGGLPMALRGELWQAFVGVGARRIKGYYDSLLAAEGELEDSRGLHSSTSDAVGENAEVPSAFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMVESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKRDPDPLVSISDSPDQASDIGDGDANQSDSGNMDDMYGGLTVSSEIEALPDPKDQFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQNLSDKQEQEQTMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAEMENRAVMAETMLEATLQYQSSQQKALLSPLPSPRTSIPDAPPGRANYDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSPKLTEAHDQKEDTANNNDENQIEPKLDVEEPVGSPKEDDRLRVEAQKEENDLESVQVVTNEMNGQHELLQEIQLD >LPERR05G15470.1 pep chromosome:Lperr_V1.4:5:14828429:14829207:-1 gene:LPERR05G15470 transcript:LPERR05G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQFGMATAFFGLLSFLFGILGELKKPPHGTPIRGRDVVVCKFPSDPTVALGALSAVAAACCAGVGAVAIFFPYKGKPVPRKVLFDYTLLYVFFHLAIGITVAGISTTAWVTASEATRHVRNVHGDFGYECPTATTGVLGGAAFLNLDASLFWLLCLMLAGNVREDYFDGGAVGDEVAGLEEK >LPERR05G15480.1 pep chromosome:Lperr_V1.4:5:14838157:14839060:1 gene:LPERR05G15480 transcript:LPERR05G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVDDQLLEYSRQVTSRNGHVVLELRRREGHADGLRLCVCNPMTGDVALLPPLLGDDKPGAYACALLTETGRWSAEVRRSSGPKMSSYTLSQLGQSVVHGGVAYWPMLHTAFAVRVDAPEPEEVPMPPAIKKTPRHNHLLGVTPDGKLSFIDKGLYFDGSVGVSTDYHLAFGSNGCTRKYERTWRVRLLELNVHRQDAVKLRWFCERSSKLFFTIDDKGSSTPGAYVLSLQTNELEKVADAVDCGSWTSIVGYDMHNAAYLASLI >LPERR05G15490.1 pep chromosome:Lperr_V1.4:5:14841791:14846518:1 gene:LPERR05G15490 transcript:LPERR05G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSYVDLHRQQSAMQRMKKQPSRIASGMRRLASKVPETMRLKRTHSGAQPALRGLRFLDKTSAGKDGWKSVEKRFDEMSGAGRLPRESFAKCIGMADSKEFASEVFVALARRRSINPDDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSASANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRDMVTAQGPPEKMKLASASLARTMVPSTYRSPMQRRYTKTVDFIHENWKRIWVISLWTILNIGLFTYKFAQYRSRDAFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTRLRSTALSKVIPFDDNINFHKVIALTIAIGSATHTLAHVTCDFPRLISCPKDKFDATLGPYFNYVQPTYPSLVASTPGWTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYKRTTWMYLAVPVLFYACERTTRKLRETSYRVTVIKAAIFPGNVLSIYMNKPPSFKYKSGMYMFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIVADGLSEDTRFPKVFIDGPFGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNGELQSVHDTELGCSFKSNGPGRAYFYWVTREQGSFEWFKGVMNEVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSIEFSQTTTTRFHFHKENF >LPERR05G15490.2 pep chromosome:Lperr_V1.4:5:14841672:14846518:1 gene:LPERR05G15490 transcript:LPERR05G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSYVDVPLGGDGGGGGGEQPPQQLHRQQSAMQRMKKQPSRIASGMRRLASKVPETMRLKRTHSGAQPALRGLRFLDKTSAGKDGWKSVEKRFDEMSGAGRLPRESFAKCIGMADSKEFASEVFVALARRRSINPDDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSASANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRDMVTAQGPPEKMKLASASLARTMVPSTYRSPMQRRYTKTVDFIHENWKRIWVISLWTILNIGLFTYKFAQYRSRDAFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTRLRSTALSKVIPFDDNINFHKVIALTIAIGSATHTLAHVTCDFPRLISCPKDKFDATLGPYFNYVQPTYPSLVASTPGWTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYKRTTWMYLAVPVLFYACERTTRKLRETSYRVTVIKAAIFPGNVLSIYMNKPPSFKYKSGMYMFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIVADGLSEDTRFPKVFIDGPFGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNGELQSVHDTELGCSFKSNGPGRAYFYWVTREQGSFEWFKGVMNEVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSIEFSQTTTTRFHFHKENF >LPERR05G15500.1 pep chromosome:Lperr_V1.4:5:14852187:14853346:-1 gene:LPERR05G15500 transcript:LPERR05G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPSSSTPNYSDLSMHHALSFSSAVPTAPTQIPGSGSGFIDDKGGMFSLPNVAASAPPPYHSSFYIHRSTSSRSLPLHHQLPDLLNGIATFSCSSAPARQLPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQVMNVPPSPPPVPPISGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRHQRNFHKKITYACRKTLADSRPRVQGRFARNADTENEAEAVAGPETEVYSNGYECPTFNALINSNSNSSSGYDNSQSQWWETPVAVGAANWQHRQQKQQLIGFDIDGDEDDELWASIADMCSGT >LPERR05G15510.1 pep chromosome:Lperr_V1.4:5:14857383:14860075:-1 gene:LPERR05G15510 transcript:LPERR05G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTIHEMEDRVKSMLKLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDGDGIDLSNFKFPALEGLSMGSRGTSGGTSPVPKRVHRRITSYSHMNKDNAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIMSCEDTLVNLQVQQNRSSEEARTEFKRFTEAKKKLDTFKAECGQPHTQIDELDCSNKELIHAIPSGDGDDSVQNEIRFDLQEVCQKVKELIELHPEVSVADLADKIDRLVEKVIDLELATSSQNAQINRMRTEIDDLHKRLQALEEDKSALVADNSKLVDRLKQVEEVLQAVQQIGKSIQSGTENIRKEMTAACSELSEFVEKLHEDSDFMNSSLEPKCQDGDSELTSQYANKQTSDLFDGSKNGVEKHDKGSEGPLVQEHPDTNVSYGEKKILLEGYASVLHSYKDTEQKISEIEEKNQEYHSVSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNTSAPEHVEKSEETSKISTTPVTEDKEIAEIEEYIKQCQVEEQLALSIAEEKFRADIDRVLEDNLDFWLRFSTSYHQIRNFQTSFDRLKAEMDKLIDAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGELENRFSSLCGIQEEISKKITTMDKSDEVHFTPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRSLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGAKPKKPSLFSCMGPGVHKHYSGSRGRR >LPERR05G15520.1 pep chromosome:Lperr_V1.4:5:14863971:14864282:1 gene:LPERR05G15520 transcript:LPERR05G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR05G15530.1 pep chromosome:Lperr_V1.4:5:14866593:14866904:1 gene:LPERR05G15530 transcript:LPERR05G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR05G15540.1 pep chromosome:Lperr_V1.4:5:14868295:14869476:-1 gene:LPERR05G15540 transcript:LPERR05G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPESYTSAKDVAYSCGYCGYELNLSSSTRNTANIGSKYGKQIRKGVISFFTIDESRFTQTDEVSCMPYFHSRRSWGFFKKRTRLFCRKCGGRIGNAYEDEDSTLYNGSDDLHTSSEGSSISSGKKYVIKINALQPSTDDSGVPFTL >LPERR05G15550.1 pep chromosome:Lperr_V1.4:5:14872925:14874430:-1 gene:LPERR05G15550 transcript:LPERR05G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDRPEPAAGDESSTTIIANGSKHGEASSTDEGSEADEVSACMQRRLEECADAEADEMASSMRRRLDALPGKAHESEPFTIFRVAGPMRDRNRHLYEPQMVSIGPFHRGAARLRAMEEHKWRYLRDLLARNNDATTTLAAYARAARELEPAARRRYAEPVHLTAREFAEMLLLDGCFVVEFFLKGEDRAADAMIDAAWAMQNVYSDLFLLENQIPFFVVERFYDIATGGLDCDYLVTNLLAKYLTIDTPQDAATARPPDGEILHLLHLYYHWFLPPEDRTNDSGGGSGSGSSKEEDEAWEEWLSKPVHERMPWQMPPATELQDAGVTIRAKRSHRSLVDVTFAHRGGALEIPAVESYGTSRATLANLVAYEQSRGRWEMQRVASYVLLMASLVHGRRDAEAMQRAGVLAAARGGGGGETAAAFYAHLCPPPDAVNNCYGELYRDVREYSGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLVLTVVQTVYTVLPYYHPS >LPERR05G15560.1 pep chromosome:Lperr_V1.4:5:14878578:14883860:1 gene:LPERR05G15560 transcript:LPERR05G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLSPEAAEVGPVRQHPGRAAWRAVVGWLGFLLQILLQIIRRAPSSLAQLLSPSPAQPSPEVAFVKLPSEAPADASTGPAPSPPLRRLTVVLDLDETLVCAYESSSLPAALRAEAIEAGLHCFDMECDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFSHRLYRPSTVSTEYRDHVKDLSCLSKDFRRIVIVDNNPYSFLLQPLNGIPCLTFSAGQPVDNQLMEVIFPLLKDLSLQNDVRPALYETFHMPEWFQRHGIPQNDQAA >LPERR05G15570.1 pep chromosome:Lperr_V1.4:5:14879696:14886371:-1 gene:LPERR05G15570 transcript:LPERR05G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAAAAAMGFLPAEGHGFALSAPVLREEVLADGEFRDGAESDDPRLPPLRLPTSYAAFGGALAGAGSSSDSDSDSDSFLSMSSTPSGLVNPYGVWSPRAPSEASSSEMEFGTAREYDTTDLFFAENCLYDGNIFHGNSDGDKGDEEDKFIVGADSSVADGGGVRQLHEKSNADAEVCAEVYTCSSAPCSCCYGGGKDDELLRDSCSAVYGRYQIMDDQTEVLDECNADAFLFRRDGDVMIKGEQPMDFKGGDVDLLDLSTVEKELQMLSPYLAGADALQKPELEHDFSGTYELDINIVKNEKTADDNELLKNSYSIHSLPEIGDTLDVYEMDFGRTDTGVQNSTTNKIEEDSRADIDLALSRFHEEYDVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVQAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEVKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTEDHDLFHKNEETDQLEYLIPEKTSLRRRLQCPDTRFVKFLSYLLQINPRKRPTASEAVILGMETLFYEVNANLSVHETNLPNQQ >LPERR05G15580.1 pep chromosome:Lperr_V1.4:5:14889145:14890634:-1 gene:LPERR05G15580 transcript:LPERR05G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKHGPVPVPFDSSYIRRYFDKFKTRAEEDEDEDEVDDVDEDDSESEDEDDEKSEDEKEKGNKVVLGDEKEPIGTVKRPPQEAPIEGEAICKVPRAVPPQVFEDWPLFQPFGPA >LPERR05G15590.1 pep chromosome:Lperr_V1.4:5:14891451:14896233:-1 gene:LPERR05G15590 transcript:LPERR05G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASSGGGGGGKKNKPHQARNGGNGGGGGEKKRLSVLGEEGSDVGGGIDEKYALDRELGRGEFGVTYLCMDRASRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAALLFGKVLPRKIAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLVKNWWLMNVESRLRCLAVAINPRWKQLGKCVNLSRREHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGITKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGAADIMEVVKDILHEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >LPERR05G15600.1 pep chromosome:Lperr_V1.4:5:14899581:14903419:1 gene:LPERR05G15600 transcript:LPERR05G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLIGLPALLFISDLSHIFAPPPPHLRHPPHHHPHPPHHHPPHHPHPPHHPHPPHHHPHPPHHHHHPHPDPAAEAIQPHVDGAGYGTSVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKIVPILQVGAIATIMAGDQIFPRLGMVPPPWFYQLHANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLISSRLPDSLFGKNVGKALS >LPERR05G15610.1 pep chromosome:Lperr_V1.4:5:14903940:14904287:1 gene:LPERR05G15610 transcript:LPERR05G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRLAGAVAVAAPLRRPLSTAAAASRPQWSMILFGTELDRSGAPSRSARASLDLREPPLSSFLSVPAHFVERGPNPHPSPYGDATGEGDVVGSVVGATGDGLLLLKFYDTR >LPERR05G15620.1 pep chromosome:Lperr_V1.4:5:14904333:14910524:1 gene:LPERR05G15620 transcript:LPERR05G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARHFGEGDPDMAHFVCNPLSGQMYRLPPVVESTSCGTGFGLLTRSDCPHGHGPPDRYVVARLTTGEKGENVLRRFMSETEEWSDLVSVPSPELVATGRALYVDHKILAFGDRLWWVDVSWGALSVDPFSARPEARFVELPTGSVLPDLTGMAASRILEKYRRMGVSEGKLRYVEVSNTVKPFVVSAFSLDDEGSRWTLEHKMEITPSWPGDLKVRGMPEIAAIDPLNANILYLEVFAEVLAVDMAKGEKPFREKTDIERKTLADTLIRVDSCKRVILDSLIANAGINSQEDKRAWNGYLINLIECPVHRGLSNEVQTALRITDGALVIVDCFEGMTLRTKTSIHEALSRKIQPVLALNKIDRFFLEQHVDGIQVYPKEGTVVFLSCLHGWAFSLSSFAKIYSSKYNVEESKMIDCGNFFDPATKKWTQKNTSTVACKRGFVQFCYEPIREVMNACLNDKCKFWTMLENIHVTVSSEAKKLVGIELIKYVMQAWLPACSSLSEMMIYHLPSPEKA >LPERR05G15630.1 pep chromosome:Lperr_V1.4:5:14910627:14928721:1 gene:LPERR05G15630 transcript:LPERR05G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALGKGKYFSLGRIFSRKITFRMNVQFLSPNCGERKDMYVKCIESSLIWIEDKKEFAEDTSRSISIPGHRDLYDKATCTNICSGNIITMFSLDQLIRKNSTLTSVRVVPVSAPFVAVHVNRKAYCDLPKFLEDMKQILVRSLSTAAAARPPWALLQLSKADRSGAGAAPGASLHADYPPCVSHLTFAVGFINPDFPVGPDPDMFRTVSTDVRATSGDGLVLARFYDSRNYIPTVGRRGGDPIREWSISGIDRDPEMTRFVCNPLSGEMYRLPDLDGTKKTVRYLHLGLLTQPGDGGQGPPGRYAVAQLGMNGDDPEGEGEGCPMRRFLSESGEWEKLVVGMPSLVSAARRRVHTDQEVVAFGDRLWWVDVSFGAVSVDPFSDRPELRFVELPKGSVLPDLDAVVMLREMGKFRRMGVSEGKLRYVEVSNGKQFMGNSSWTLEHEVAFGPIWKEERHASVPLNGMPRVGAIDPLNANIVYLIVGDQLLSIDLVKGRATDSSRLDRATADCPLLPCVLPPWLESSQIPEGTHWSKKAKEKRAALSFSDMSLRRLLGLSGEVSGRLFRSYSTEASRPAWALIADVVRLDDQRPPERRATFCLAEPPRVSKLSVPLHYLLAPATPSTSGSVACRTEVCAAESGGLLLVRAVFDIAQLPTHVQFPIPIPNPKVDNTWPPLPGLKSTTEVARAICNPLSGQLLRLPDASDNGRNFPGFLTQSSRGDGAPPDRYAVADVRGKDCILHRFLSETGRWDAVGSVSSLSVRRQILVDQSVVAFGGKMWWIDLAWGAIGVDPFADKPDVRYAVLPSGSVLPADPASIEMRRGKVGLRRYRRIGVSEGRMRYVEVSAAKPYMLSSFVLDDEGSGWMLEHRVALGRLWSEPLQIGAIDPLDAKVTYLMVGVDGKHVIGVDMEKGMVIASFQLDEPTIGLTPFLLPPWLASSRIPACIMHLRRLLGLSGEVSGRLRRSHSTAAASLPAWAMMADVQVDEQAVHAFFRLTDPPFVSKFFLPLHFLVPPAILSTGKNFFCRRTICPASSSGFLLFRTVHDLIEAPAAHVGFPPKSILKVGTWPPLPGLNSHKEIVYAVCNPLTGQVLRLPEDPAAEAAGKSNIPRRNMPGFLTQSDRGDGAPPDRFSVAEVRGNDCILHRFLSGTGRWDAVASLRSPSVTRKVVIDQPVVTFGGRMWWVDLAWGAIGVDPFADDPDVRYVLLPNGSVLPPDAASIEMRLVEEEEGLRRYRIIGVSEGRLRYVEMSMAEPFVLSSFVLDDEGSRWSLEHRMELGHLAEHLKIGAIDPLNAKVMYLLVGDDGKDGIGVDMEKGMVIASFQHDEPTGLRLTPLLLPPWLASSRIPDTVDARTPWGYPLILARCSN >LPERR05G15640.1 pep chromosome:Lperr_V1.4:5:14933200:14933772:1 gene:LPERR05G15640 transcript:LPERR05G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEEVVVDEAAEAERKGKGVAVDDTGASGVMIDVVSMDGMTIEMPVAAAKLSKLFSDTVESNPGGKDLVKLPPQVSVDTFRNYVLVYCNKHAKVDAKGNSIVSDQGESLKDWDMAFININVKPLYNLMGAANLLGIDELHDLACYKVANMLKGKNTEEMREILNIRSDFTPAEEQQIKDENPWAFGR >LPERR05G15650.1 pep chromosome:Lperr_V1.4:5:14937553:14959314:1 gene:LPERR05G15650 transcript:LPERR05G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLRRLLGLSAAVSGRLRRSYSTAASHPDWTLMANAPSPPPEPRAAFVVADPPRVSKLFVPTRFLASPATTSTGGKDCVCRCTFRSANSSSGLVLIRTVFALAQVPDGALREKPVFIPKPADGDDTWPPLPGRKSITKVVRVVCNPLSGQLLRLPEEPDAVDTGKKWRHVEPAFLTQAERGYGPPDRYAVAEFRGADFIFHLFLSVTGRWESWDATAGFSYAVPSPRPITADQPVVTFGGRMWWLGAWGAVSVDPFSDEPDFRASIVKLPSGSVKRRRNGEALSKYQRIGVSEGRLRYVEVSGEEPFVLSSFLLDDEGGSWTLEHRVEIGRLWAEPLQKTPWIGAIDPLNSEVMYLMAGDEGKHVIGVDMAKETVIGSTLLDEPTELAPLLLPPWLESSEIPALPPWSLRRGLRPPPPLPLELDGRLASCVGRVGLQAARPARDAPRATIRLANPPLASELFIPTDTLIPRDGPSVDANPFFATADVVTSNGLLLLHAVVVPVEARGAYFPVSAPVFGVPIPPWAQIPGHPGVDSEKIVNVARVVCNPLSGELLRLLDIGNTRPGQGYAGLLTQAADRGDGPPDRFAVAEVSCDDFVMHRFLSETGRWDAVAGFLSPLPAARAIYIDQPVVAFGGRMWWVDLGWGAVSVDPFADEPDFRFIKLPRGRVLPASKKTITERRERKTVLSWHRHIGVSEGRLRYVEVSVPEPFVLYSFVLDDKGIGWTEEYSTGLKSEGRAFPEMPRILCIDPLKASVVFESVKLAQIHSILTQIVGHEQAMSWTCGLNLYVEENRSLRALWVGDQRYRCARPHVARDRSSLRRLLRLATKVSGCLRRSHSTAASHPAWATVDIKQQAPQGAAAAEPRATFRLADPPLASQLFIPVDVVCPHAKRGDFFATTDVSTSNGFILLHSILVPAAQSTNPPSHPDPAMPQLPGLLVRVQFPGFPGAGREKNLDVTRVICNPLSGELLRLPGIGYTRPGLGYTGLLTQAADRGDGPPDRFALAEVSCDDFVMHRFLSETGSWDTMARFLPPLPTARPIFIDQPVLAFGGRMWWIDLGWGAVSVDPFADEPDSRFVELPRGRVLPATNEIIMLRREREMLLGWDEGRLRYVEVTGPEPFVLNSFVLDDEGIRWTLQYSTELKSEGRPFPEMPRISCIDPLNGSVVYTMVGGNLLFGVDMAKGMITGRYVLDKLTMLTPCVLPPWLESCRIPSTGTLLSKESDVERKTLADTLSMARTVTKFREELRSGAVRRSCGVYVRSDQGCRVTKLTKDDTQWRDTVWVLFVTGLAKLRVRASKEFGEMLKRIGEKSYTGLLTQANDSGDGPPDRYAVAELGEDRVMHRFLSETGRWDTVAGFWSSLPGARGMAIQHTVVAYGGRMWWIDLSWGVVSIDPFADEPDFRFVELPTESVLPTNEIMDKHGRVLMLSKYRRVGFSEGRLRYAEISWGAEPFLINYFVLDDDDSRWTLEHRLTYEPSWLWELGGYGLYPLETPWIGAIDSLNSHALYELDKPAGLTPCVLPPWLESSTLLDEPGLRGAAVVLSDPPGVSNLRVPAHLFNPRAPPAPCSNLTQHLIGTVFAVSGDGLILVDLADVVSEPPKLAWRGGAWVADLEKFMFDMEIASFVCNPLTGQFVQLRVNGGQTKRKWPSRYTGLITQSGGGRGHGPPDRYAVAQFCPDIFKLVRFCSEKGRWDSLTIPGQAPSRQLVIDQEVVSFGSRLWWPDMCWGVFSIDPFRDVPDLRFVELPERSVLPAGAMSVEQRWTIPISRYRRVGVSEGRLRYVEVSPEEQFVLRSFALDGGEGSGSWTLERQLPLMKLLEDGDYPWLPLYRSWPSIAAVDPLSANVVYITVGCDRCHVVGVDMEREGGVDREFWAYA >LPERR05G15660.1 pep chromosome:Lperr_V1.4:5:14959337:14973197:1 gene:LPERR05G15660 transcript:LPERR05G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPWLASSRIPSSGVHRISTAQGSTGDGVSLLLAPPPRASHVIIPEWAIAVNDRQYRSGDTGSIVTQIGHSRGVLATSGDGLLLVYTSKACLTPNPISEIGPARAVYEHFASFVCNPLTGQLFRLPDFDGTENTVDFHYIGILTQSDGEDGAPPMRYVAAQLSNVHGEGRFLLRRYFSETGEWSKLVMPSPLPPWRPMVMNHEVLAFGGRLWWVDVSWGAVSVDMFSHRPELHSIMLPASSILSEKPTWTEMTQLVKHRRIGVSDGKLRYAEVSKEEPYIVNLFTLDEESGHWTLEHQVSLSTLQAEGSFPKGKPLIGAIDPFSADILYLTTDRFSVSVDMLWKKMIERSPLCTDIFPNQTSQDKGNWKNETLADILGAGVSFSLAPPPHASHITFPARVDDDIRSKPIGDGCISLHGYGILATSGDGLLLLNTFNSCVWVDPICQDLPFEMFHKIAPLKMVDQNFAKFVCNPLTGQLLRLPDFDGTEKRIDDHNLGILTQCDGEGGVPKRYAALSSAAKSDLCCAGNWSKFVMPSPLPHERTMELNHEVLAFGGRLWWVDVSWGAVSVDPFSDQPELRFIKLPAGSILSDQQTWPEMRQLIKHRRIGVSDGRLCYAEVSKEEPFVVKSFTLDDESGCWTLEHQMSLSKIWAGMRFPKEPLIGAIDPLNADVLHLTVDRFSVSVDMRLKKVIECCPLHRNVLKSSSSSSMLLPCVLSSWLDSFSIPNAGKNNVKNETLADIMEAGITFSIAAPPSISHLTFPARIVGLDGGCSKPTGDNFINHHGCGILATSGAGGLLLVNAFNTCVKVDPLWRRERQELPIEELYKIYFANFVFNPVTGEGHQLPDFDGTEKTLDDHHLGILTQCHGGEDGAPPKRYAAAQLSNVRSTDGRFVFHRYLSETGELNKFIMPSPLPPGRTMEMNHEVLAFGGRLWWVDVSWGAVSIDPFSDQPELRFIKLPAGSILSEQPSWTEMTELIKQRRIGVSDGRLRYAEVSKEYPFIAQSFTLDDEESGHWTLEHQMPMSTIWVDGKLPKEPLISAIDPFNADVLHVAIDIASVSVDIAGRRLTELFKLVTSVSSALVAPVFLHPYCRGPLDLAYPPRSSHVTIPARAIAVNDHAKIAGDSRVTLRGRGVLATSGDGLLLVYTFKACFPGPAHVPIPKMDVRIPALTRDDAIKTVYEHFASFVCNPLTGELFRLPEFDGAEKTVDFQYIGILTQRDGEGEDAAPPKRYVVAHLSNVHGEGRFLLRRYFSETGEWSKLAMTSPLPPWRPTEMNHEVLAFGGRLWWVDVSWGAVSVDPFSDRPEFCIIKLPAGSILSDQPTWKGMEILVKHRRMGVSDGKLRYAEASKERPFIVKLITLDEKSGHWTLEHQFGPKGGAFLRGCLILALSCTGRILILNFPFNADILHLVFDKVSVSVDMRWKKMIECSELCSDIFPTLSSQGVLLPCVLPPWLDSFSIPSAGNSMTCLCF >LPERR05G15670.1 pep chromosome:Lperr_V1.4:5:14978359:14979645:-1 gene:LPERR05G15670 transcript:LPERR05G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLATIVIFLAAILLSSPFAPAAAASAPAPAPSAGHGISAVFAFGDSTLDPGNNNRLATLVRADHAPYGRDFPGGVATGRFSDGKLITDFIVSSLGIKDLLPAYHSSGLTVAEASTGVSFASGGSGLDDLTATNAMVSTFGSQLNDFHQLLSSIGSPKAEEIANKSLYIISAGTNDVTMYYLLPFRAMNFPTVDQYGESLISVLQSNLKSLYQMGARKMMVSGLPPLGCLPVQKGLRGGMGSGGCITVMNEAAERYNAALQKALSKLEADSPGSKIAYVDVYTPLKDMAENPQKYGFTQASRGCCGTGMMEMGALCTSMLPQCPSPSQYVFFDSVHPTEATYKALADQVVKSHVPELMQ >LPERR05G15680.1 pep chromosome:Lperr_V1.4:5:14980783:14988924:1 gene:LPERR05G15680 transcript:LPERR05G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALPPPHLRLDLCSPRLPPLHHRSPGCRRRRHRHRRVLSALPSPSPSPASRSQSVSTAAPLDRGVESSGAGLGPTTSRGGNDPAALAAARSLGDKLRALDAEPRVIDFFGEGSSGGGVLGPLEPREVFLLKCLVAAGQEHVLGAELDWGGGGQEHHHHNGGGGGGGSALRQALYSLADLVGKWSSEGVVEGEERRGEMELLRRLLKFLGDIDEFYDCIGGIVGYQIVALELLSASRDHKHRPSKDKITDFHVPNGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDVQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGSENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLAYDRGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSAAGGRLECTMQNIADNFMNTYNYRCSKGIESDLDTFIVYNERKRVTSSAKRKIKSDDKTLHQTPEGSLLDIMRNAYDLLTSCNIEVPKVKDNSEYLRSGPPFLIFLHPGLGPFWDIIRQKFVGGSVSQGSELQIEVAEFLWKDVELDGSLVVLADNIMGSTKKNNAGEQIMHYGARCGRCKLQNVKIVNKGINWGSANNVYWKHDVERSESMKIILHGNAEFEAKDVVLKGNHIFEVPSGHRMCILQDGADFVVKLDPIGKEMIDSGTWYWKYAVDGAHVKLEMVEL >LPERR05G15690.1 pep chromosome:Lperr_V1.4:5:14992605:14998048:1 gene:LPERR05G15690 transcript:LPERR05G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAYSASLPAVPEWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGDQLLPFWGKAGVALTQSYLVERATLPATAYGTIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGVFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESSVPGLRGAFYGGGIKQIGKQLAGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGGGGGERDGPAGERLSGLGARGVTIQL >LPERR05G15700.1 pep chromosome:Lperr_V1.4:5:14997313:14997917:-1 gene:LPERR05G15700 transcript:LPERR05G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSHPIAAHDGRLPRHHTLEGQGAKKDISPPLEWYGVPDGTRSLALVVQDIDAPDPEEPIVPWTHWVVANIPPDVKGLPEGFSGKEGAAAREYPGIQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVHLGNKVTKDKLMDAIEGHVLGEAELMAVF >LPERR05G15710.1 pep chromosome:Lperr_V1.4:5:15002790:15003359:1 gene:LPERR05G15710 transcript:LPERR05G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGAAAGTNQVMKWRYGDVDDSNFAVHGRAVYLLVGLLFAVVVFVALCLYLRWACHRYTPDPEATSSSSSAAGATAATLPGLDAEAIGGLPVTLYQPRDNSMMPPAGKGGGGEDDQAAQCSICISALVAGEKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRSCLLLAAAGAKPHVNGGDDDESAV >LPERR05G15720.1 pep chromosome:Lperr_V1.4:5:15024306:15027416:1 gene:LPERR05G15720 transcript:LPERR05G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALVGNPSNGLAKPSCNSVGSLPNASSNAVIHPPAISSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVVNNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALANRLQRNTTAYDNYRRIFVPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAIIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAQDKRVIACIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNADGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >LPERR05G15730.1 pep chromosome:Lperr_V1.4:5:15029451:15031817:-1 gene:LPERR05G15730 transcript:LPERR05G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRSPLLGARRAAASIRRSGRLPVYVAGVFFVASVLLMFRDEILYLTTARSPSFPTTGSSAGAGVLARGQEESINKPVVLRGHGGKPEKHHSVTEGHHGKVGAKRRAPKKKATKAARKRAIVTATGAEVNVPETCDLSKGKWVFDNATYPLYREDSCEFLTAQVTCTRNGRRDDGYQKWRWQPRHCDLPRAFDARLFMERLRGKRLMFVGDSLNRNQWESMVCLVQPALSPGKRYVTWWSEGEEQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHASDWVGVDYLVFNTYIWWMNTLNMKVVRPTGKTWDEYDEVPRVEAYRRVLDTWATWVNDNVDPARTSVFFMSVSPLHISPEAWGNPDGVRCAKETAPVQNWRGPLWLGTDWDMFRAAANASRTVSATARRVPVTFVDVTTMSEFRKDAHTSVHTIRQGRVLTPEQQADPATYADCIHWCLPGVPDVWNLLLYARILSRPAAERVV >LPERR05G15740.1 pep chromosome:Lperr_V1.4:5:15032790:15033753:-1 gene:LPERR05G15740 transcript:LPERR05G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLELPNLSHWLVSFPHVQAAPSFSSSFPHLFPGNDQLSYAFSCGQDTVELHREFGANLDVDVPIKYLEDDNKLEQIKP >LPERR05G15750.1 pep chromosome:Lperr_V1.4:5:15037452:15040855:1 gene:LPERR05G15750 transcript:LPERR05G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPIKSGITKLLASCVTNEESLDPLCTDESRIISSGKFVEAILESLIRRNDWPKVDEYLMKIVYKNFLDSISAVSGVPSGEINQAHPELALLPRQQHAYDLLLEGKYDEASDYYNENLAMLEKCRSKRVRAASSELKHHISNRTQAVNNDVDTGIAIKDYIYLYYPILRPDIRKRKCGRKPHEPWDFAWREGSGGFRCFACHKVFRRKPLGLVMIEAHFFFSRIRFAYLCIKKGRCQMALQLVQN >LPERR05G15760.1 pep chromosome:Lperr_V1.4:5:15040917:15041326:1 gene:LPERR05G15760 transcript:LPERR05G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLEPRSRQPPEIGLLGHGYCNLTHSPGDTLKTVTENILFRLQLVLDIEGANRLSHIENKKRKRISHGTDGSGDGHPSPDGEE >LPERR05G15770.1 pep chromosome:Lperr_V1.4:5:15042581:15042913:1 gene:LPERR05G15770 transcript:LPERR05G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLIVAEHYQGKQLRLHLNPNHIQAEEVICTKAPNHHNLLPQGTDKADGHPTFGNKTHPKRGFPAIGQAANRIAVHHVHSIPANKIAKAAAQTSQRAASPLALPTKYD >LPERR05G15780.1 pep chromosome:Lperr_V1.4:5:15045402:15047093:1 gene:LPERR05G15780 transcript:LPERR05G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARRSRRMDLNLYLGLPRAPRLRRPDLVGSDLALGTPMLSSSSPSSSAASADAPPMEAEMLLHHHHPPYSPSRAELVRPPTPLPEPYDPSAPEAHPPYVPPPVPAPEAIPELADDLDFGFSHPPPIPLRPSELIGWVDRPSSSTASSSFRPERVEQRYHPVICLNGRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPSQEPMRDAVEENKVVADGAIVAASAEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNANSDAEKKVAEDGNVSGPAIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAQHATRLGRITTRMRARRLQREAENSAFVASAAESGLPGNSTPDLPRRSSSPFSSERMDLLQHFVDLASTERLATAVSDLRRIVRPSPYGASTSSNPPNPELSVDGNHVAVTLAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDTLGSLDVDGGGLHRNKRRRLN >LPERR05G15790.1 pep chromosome:Lperr_V1.4:5:15049472:15053329:-1 gene:LPERR05G15790 transcript:LPERR05G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADQNPKDAEPREPAAGANAEEVPEEEEYEEEDEEEEEELDGPAGVEMERKKVQAVFNRLSSNPVGIRVHDVIIKGNSKTKEELIEAEVADLLRAAATVQDLLRNASIASARLGQLDVFDSVNITLDAGPPELPGTTNIVIEVVEAANPITGNAGIFSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQTSEVGIGVALPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNILSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNTALNVGIGVGAIVPLGRGFMNLSSSVTDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESDDGSVVSPGRDYLGGDLAVSAFADLSFDLPLKIFREAGIHGHAFVTAGNLAKLSDGEYKKFSLSDFGRTFRSSAGVGIILPTKLFRIEVNYCYILKQAEHDSGKTGIQFSFSSPL >LPERR05G15800.1 pep chromosome:Lperr_V1.4:5:15054811:15056223:1 gene:LPERR05G15800 transcript:LPERR05G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTARGVVVHHEGTMDKTSHRRPRRQRKVSDGHVVAQLLDSPLPTPRRSCCGSSSASAAGTPRSVVVRSGSCREDEEGESPPQRMHVPFSWESSPGVRKDDAACGGNGKVLREVLLPPRLPPGRFGVGAGGTPAHAHARAYFGNGNATTDTKSSDEDDGDAFSDALDRISASDRFAAFSARLSSIDGVGSLRLPSFIMDRFLPAANAIATTSADKRPKKTPRRHYNSKHDEDTSAAAAIRRAQSLRRASAREHQPKPPQPPRHHHENIITETPPPRRSRNDIDVDEEEEEARGGDETSPRACGFMLLLPWSVKPVLCGFARSRTAPRADASTVASSPPRRSVTLGNALEKEKEKEKESKLRNVSRWSDEKGGGGSGSGREWASPGWGTAILGTSKRYCADARKALSRLARSATDGRGGSTVSRERSGKPAPAAASPRQSISGEIPPLSPPSDSWLRNARGSSTVKTKR >LPERR05G15810.1 pep chromosome:Lperr_V1.4:5:15055486:15060778:-1 gene:LPERR05G15810 transcript:LPERR05G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRKNPVIFFFLWFLMAFELCASLNHEGAALLKFKEMIDADPYNALLDWDEGSTTPCSWFGVECSGDGRVMALNLQNHGLTGVLSWEIGKLTYMHSLVLHNNSFYGVIPTEIGDLQELKVLDLGYNNFNGPFLSDLQNILSLEFLFLKGNKLSGGLPFELQELTRLCESQVHQDRTPSNMASTARNEENSTIRKLLVSKQKNSQRISWLPHNPAVRPHRGQDSQLSAPAPQPPLSSEPIPSPAPSVSTTTHQTQGRKSKSSKSSVIYSSVGGVACLVVVALSAAFFLCYRRRKTSTVVPFSPTASGQLQTATLGGLTSFRRLELETACEDFSNIIDALHSFTLYKGTLPCGAEIAVVSTLVTYACGWTTIAEAQFKNKVEVLSKVSHKNFMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEAEQLNWQSRLRIAMGVIYCLNYMQHQNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGGKEEEEKSDASDEDITVYKFGLLLLETISGRRPYSDDYGLLVLWAHRYLNGDKPLMEMVDPTLKSVPEEHIRALTELVKSCLSDDHSERPTVAEVTARMQEVTGISEEQATPRNSPFALPSVTLRRGGDDATVDASARGAVRLRANPHSTGLTLHGRSSMNPHALGDVSSPPRASSSSSSTSMSFLLRRGGGVSVMMFSWWWRGGCGGFGWCSRAEARRSDCARRIAAAADVSSSCLEL >LPERR05G15810.2 pep chromosome:Lperr_V1.4:5:15056614:15060778:-1 gene:LPERR05G15810 transcript:LPERR05G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRKNPVIFFFLWFLMAFELCASLNHEGAALLKFKEMIDADPYNALLDWDEGSTTPCSWFGVECSGDGRVMALNLQNHGLTGVLSWEIGKLTYMHSLVLHNNSFYGVIPTEIGDLQELKVLDLGYNNFNGPFLSDLQNILSLEFLFLKGNKLSGGLPFELQELTRLCESQVHQDRTPSNMASTARNEENSTIRKLLVSKQKNSQRISWLPHNPAVRPHRGQDSQLSAPAPQPPLSSEPIPSPAPSVSTTTHQTQGRKSKSSKSSVIYSSVGGVACLVVVALSAAFFLCYRRRKTSTVVPFSPTASGQLQTATLGGLTSFRRLELETACEDFSNIIDALHSFTLYKGTLPCGAEIAVVSTLVTYACGWTTIAEAQFKNKVEVLSKVSHKNFMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEAEQLNWQSRLRIAMGVIYCLNYMQHQNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGGKEEEEKSDASDEDITVYKFGLLLLETISGRRPYSDDYGLLVLWAHRYLNGDKPLMEMVDPTLKSVPEEHIRALTELVKSCLSDDHSERPTVAEVTARMQEVTGISEEQATPRNSPLWWAELEIITS >LPERR05G15820.1 pep chromosome:Lperr_V1.4:5:15069476:15070246:-1 gene:LPERR05G15820 transcript:LPERR05G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWAKTRSSKSKPAVAGGGSTALVAAAAATKRLSFSSPSSLKDIQSLLVPAEGAAVHPPFAAPSPAPRVFHRIRVAACALRLLRNLQSSSPAAAIGRGGEGAPPRVVLYFTSLRVVRGTYEDCRAVRAILRGLQAAVDERDLSMDPAFLQELAGLLPARRRVTLPQVFVDGRHLGGAEEVRRLHESGELRRIVAAETPTPATCGRCADERYVLCGTCDGSHKQYSHKNGGGFRACAICNENGLVRCPDCCLTAA >LPERR05G15830.1 pep chromosome:Lperr_V1.4:5:15097732:15098403:1 gene:LPERR05G15830 transcript:LPERR05G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGTDAQPVAQAPPAAAQPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKRKRSAQPTPPPPHPPPPPGAAGEASSSSSAAAIAAAAGGEGSGSSAPVAVATSGTTASAAAAAPSTTRV >LPERR05G15840.1 pep chromosome:Lperr_V1.4:5:15117431:15121763:-1 gene:LPERR05G15840 transcript:LPERR05G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSDLRKRRPFLLSLSLFLLVSAILALAFLFLDPSAQTLSILSSRLTAPSSLAPPATPRERNPTPIPTPGTTPRSQPHAPAAVDAPKAGESTDATRKAGESASPDAAAAAAAAAAAVGDSGENSDADEEANKGAAASESEGVADGDDVAAAEVRWETCKVGRGVSAADYIPCLDNMKAIKALRSRRHMEHRERHCPVAPRRPRCLVRMPAGYRPPVEWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKAGVTRYIQFIEQIMPTIQWGSHTRTVLDVGCGVASFGGYLLDRNVITMSLAPKDEHEAQIQFALERGIPAFLGVIGTQKLPFPDEAFDVVHCARCRVHWYAPLDTCLLSSVVSSSNEKNSWPIPWPERLNAIYLNVPDDSGSAKDKFNADTKDWKHAISKIYSNDFPVNWSTVRNVMDMNAGYGRFAAALVDKPLWVMNVVPVGQPDTLPIIFNRGFIGVYHDWCESFNTYPRTYDLLHMNYLLGSLTNRCDIIEVAAEIDRILRPDRWFVLRDTTDMIKKMRPVLKSLHYETVIVKQQFLVAKKGFWRPSR >LPERR05G15850.1 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKVRPAPRDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.10 pep chromosome:Lperr_V1.4:5:15122394:15126639:-1 gene:LPERR05G15850 transcript:LPERR05G15850.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.11 pep chromosome:Lperr_V1.4:5:15122394:15126639:-1 gene:LPERR05G15850 transcript:LPERR05G15850.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.12 pep chromosome:Lperr_V1.4:5:15122394:15126639:-1 gene:LPERR05G15850 transcript:LPERR05G15850.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.13 pep chromosome:Lperr_V1.4:5:15122394:15126639:-1 gene:LPERR05G15850 transcript:LPERR05G15850.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.2 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGLASSSSSASSSALYVLTHDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.3 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGLASSSSSASSSALYVLTHDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.4 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKVRPAPRDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.5 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTTPKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.6 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKVRPAPRDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.7 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGAETNPVYSELSFAPHDNLLLGSISDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.8 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15850.9 pep chromosome:Lperr_V1.4:5:15122394:15137367:-1 gene:LPERR05G15850 transcript:LPERR05G15850.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTPRLPAVDPPPPRAAPSPLSGPDAIRPPLSGRLHRGLQRLICLHLGLLRRIRFDRGLLQRIRLHRGRRRGYASTEVAGGRSVSSDVVVCGSLAVVGSSSSEVASVGSAWTAMLILKKSRNEICKFLFQGLQKSQFQCPTHGKDGGQDKDMEDRSDRSIPFPVHQTPAPIPSPCPNPSRCRASSPFAAAAGSLLIDGVDSSAPCDENKVRPAPRDENKKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSQTSAQLPSKKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIGDYKLKRTCIFNYFVVADIILYGFVVSTVAECKSASYQGVCGIMIRETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRQVYYKYGSAI >LPERR05G15860.1 pep chromosome:Lperr_V1.4:5:15135018:15136904:1 gene:LPERR05G15860 transcript:LPERR05G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNAQKDVKRFRYKLASILIYWKTNTANNNPNHIQDDDTGYKSDDVAILANPNDIEEFKNKKQSLSSETRYRSLMSVVSMMGVHELIGGLCSYIKSIDCKETLEKLWVQSSKPYSIRLNINKLKQILMEDQPIDLDCFNLSIRTFVYEDIHKAKKTRGPISKHYMDLQFWMSTDFGRHPDFTQKLNIEQLAMSVCQWPGMNYNVLRCKSILIPIQFYGTFILIILDQTTKIVYIIDPNPINPIYENNPYACYVPKISWIAKYLPKAMAKAYPGSRWNEHIDLWRHIIVPHVQIHNNKLSGYLVSLFMRTWSDNGLQLPDGYELRKQFLAKLLVYQENECENNMPEGIREFITCIRNK >LPERR05G15870.1 pep chromosome:Lperr_V1.4:5:15150684:15159416:1 gene:LPERR05G15870 transcript:LPERR05G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKVATCLLAVFTLPILAIAANCECDPGEEDHDTAKARTLKIIAIFCILVGSASGCAIPSLGQRFPVLRPETNLFFAVKAFAAGVILATAFVHILPVSFEKLGSPCLVDGPWQKYPFTGLVAMLASIATLLVDTIATGYFQRVQANRAATVVGHVDAPDDHERGHAHGVSAVVASATMFNDAADDDRAKLTRHRVISQVLELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQARFRPKSAVTMAIFFSLTTPVGIMIGIGVSSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNSRVQKSGRLQLIISISLLVGTTLMSLIVAVVFVLVMAACYLPALAAAECDCATDGAAARRDKAVALRLKVIAIFCILAGSAVGAALPSLGRRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAGFEALSSPCLVGGPWKSFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADESSAGHDGGDLEASDEHRHSHGHAHGMSVMSVAPAGEDDLVRHRVISQVLELGVVVHSLIIGISLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRLRSVVTMGLFFSLTTPIGIAVGIAISSVYDANSPTALVVQGLLESAAAGILVYMALVDILAEDFMKTKVQSRGRLQLAMNVSLLLGAALMSMLAIWA >LPERR05G15880.1 pep chromosome:Lperr_V1.4:5:15169863:15170531:-1 gene:LPERR05G15880 transcript:LPERR05G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAPRPSPPQHSRIGGCGGGGGGGGVLKLAAAGHAASCVAVPAEVARHHEHVAGVGQCCSAVVQAIAVPVDAVWSVVRRFDRPQAYKHFIRSCRLLDGGDGDAVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLTNYRSVTTVHASPSSVGGATVVVESYVVDVPHGNTADETRLFVDTIVRCNLQSLARTAEQLALVAPCAAELT >LPERR05G15890.1 pep chromosome:Lperr_V1.4:5:15177380:15180051:1 gene:LPERR05G15890 transcript:LPERR05G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCYVLPQITGTGRNIFQGGNPLASSLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVVSEIMARPPFSFPQPWHRRDRSTCDERGGIILGPSVLSRHAAFKEAVFPARGEPVLNTVATFGLMYVIFLIGVRMDPTLVVRSGRKGVVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALATSLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYILAEAFLVSPATSIWAFLSVAVLAAFILFVVRPVALRVIERTPPGKPVEETYVFVFLLVVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSADVWRMHWGRLQLVVFLAWVGKLVGVMVSSLYLEIPLRDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVAITAVSVPVAGWLYDPARRYAVYKRRTVQHLKADADLRILACVHDQSHVPGTLAVLEASNAAPQTPLSLYLLQLVEIAGRSAPVFIPHKLRRNASRLAGNAGTPSTDSDRIINAFFQHELRHPEGAVAVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRVVNRKVLEVAPCSVAVFVDRNAGSVGLCAFIPGPMQDNSTSSRNRSGHAASSSQGFRATVASLFFGGGDDREALAYAMRMARHPGVTVGVMRFLPARGIKDDASDRRIDNRAIEEVKALAARSRNIRVQEELVGDMERIVQVLRGLHEAGYDLVLVGMRHRWYPVMPANGLSEWSECPELGVIGDLLASSDFDTPYSVLTMKQQDQGGLNAAVPGAQDVWHANDRDAAPAPPRTMSIAGSSRFR >LPERR05G15890.2 pep chromosome:Lperr_V1.4:5:15174908:15183623:1 gene:LPERR05G15890 transcript:LPERR05G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCYVLPQITGTGRNIFQGGNPLASSLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVVSEIMARPPFSFPQPWHRRDRSTCDERVGLGIFCVCVSGRHHTWAVRAVAARGVQGGGVPGEGGAGAEHGSDVRAHVRDLPYRRSDGPDAGGPVGKERRGDRPIRLPPPAGHDRSGLLRRGNGDGARRVEAVHVPVRARHLALRHVLRRAVADPVGAEPPQLRPRPHRHVGVDDDGRHRVDHHGGVHPRRGVPRVASHIDLGVPVRGRPRRVHTVRGAPRRAPGDRAHAAREARGGDLRVRVPPRRAPRRILQRRHRDQLVPRRADAGPRHPRRAAARHGARGEDRGDGVRADPAALLRHDRAQRRRVADALGKAAARRVPRLGRQARRRHGVVAVPRDPSP >LPERR05G15900.1 pep chromosome:Lperr_V1.4:5:15181341:15183317:-1 gene:LPERR05G15900 transcript:LPERR05G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILRVFSNYDGRLSLDKLYAARAAAASAAAGGGVGAGVGGEHSLPESPTLPPPPPPAAVSAAAARLPPATTSMERTVRTLDRQISQFVAMEGMIWADSADADAFLEAVDDLIGTVQELEAAGTNRALFDRAEELLSRCMTRLEEEFRALIERPDDAAPTAPGGFRSDGSDDEEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSVANVHQIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRTAEEVHASTWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAVRTQALQLISFGDAISSSGRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAQGGNIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGAAVAVDPDRPTSSLAVHIAWIMDVLHKNLDTKSKIYRDPSLACIFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRITWGKVTTVLQTGGPGVGAIPAKAMMQKLRMFNTYFQEIYEVQSEWVIADDQLRVDVKAAVEEAVMPVYTALISRLKSSPETRHDLYIKYTPEDVEGCIQHLFEGAAR >LPERR05G15910.1 pep chromosome:Lperr_V1.4:5:15185359:15186021:1 gene:LPERR05G15910 transcript:LPERR05G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPPPLLPVTNPAAAGSSPAATGAVGSDAPIATPAFRLFLSRLSDSARRSLADRRPWTELIDRSAFSRPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLVLLGLLAAWCFLYLFRGSDQPVVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAAHGACRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >LPERR05G15920.1 pep chromosome:Lperr_V1.4:5:15188781:15203418:1 gene:LPERR05G15920 transcript:LPERR05G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPPAASSAATAEDLETLPLDSSYSSSPATDPLLRPTSTAAAAAAGGNDPFVIDDDDDFLDGEDDDIAPAPSALAPAASSPPAEAARAPVFARIVVSDPKKHAEPTVGAAGVIPGSGSYFSYLVTTRLAEGGGEVRVRRRFRDVVALADRVAAAHRGLFVPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTVNPAAPSAPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDEEFLSHKARFQDLQQQLTTSSQQAEALVKARDDLKATTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGNSVVKFSRSQAKLNSEVVKRLGSIHENLEMMISVNQAFTDRSNALHHVQSLSADLFFLRARAGKLESVSSRGIGQDWTKLHKIEGLKGTISETEAAKSRALKEYENIKENNTIEIRRFNKDRRQDFVEMLKGFIANQVSYSDHYANMWAKVAEETKIVFLLPLPANRRAENLNSAAKMSHAPCRNAGTFKPLLPSHSRLRAKPLAGVSSAAVSPRRNVSASAASSRRDFLLLIPSLAAASAILRPLPSSAADDGSPPEQPTESPPPSPEEAEAAAVKKEEEVDESALSRVYDATVIGEPEAVGRDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIVKGLATRCAEVERGVAVALELFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDTGIKLVASGTPLEVKRTVQADGIRGLSKAEREEYAPPAGSGFISGFSSIPGRSLVDKISSARDSPFGPTSYLSVQARVVDDYTMSKIILKELNGGDTSRMLIVVTGASHVIYGSRGFGVPARVSKNMPKKDQVVVLLDPERQSIRREGEIPVADYLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLRKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNILGQNWNMNQRVAAVLVGGLKLASVGFIASVGAGASSDLLYAARGVLKPSANAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGETLATNYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKELPPAEPTPPPDETSQVDDGKTEGQNLDDNSNQSSG >LPERR05G15920.2 pep chromosome:Lperr_V1.4:5:15188781:15203418:1 gene:LPERR05G15920 transcript:LPERR05G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPPAASSAATAEDLETLPLDSSYSSSPATDPLLRPTSTAAAAAAGGNDPFVIDDDDDFLDGEDDDIAPAPSALAPAASSPPAEAARAPVFARIVVSDPKKHAEPTVGAAGVIPGSGSYFSYLVTTRLAEGGGEVRVRRRFRDVVALADRVAAAHRGLFVPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTVNPAAPSAPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDEEFLSHKARFQDLQQQLTTSSQQAEALVKARDDLKATTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGNSVVKFSRSQAKLNSEVVKRLGSIHENLEMMISVNQAFTDRSNALHHVQSLSADLFFLRARAGKLESVSSRGIGQDWTKLHKIEGLKGTISETEAAKSRALKEYENIKENNTIEIRRFNKDRRQDFVEMLKGFIANQVSYSDHYANMWAKVAEETKIVFLLPLPANRRAENLNSAAKMSHAPCRNAGTFKPLLPSHSRLRAKPLAGVSSAAVSPRRNVSASAASSRRDFLLLIPSLAAASAILRPLPSSAADDGSPPEQPTESPPPSPEEAEAAAVKKEEEVDESALSRVYDATVIGEPEAVGRDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIVKGLATRCAEVERGVAVALELFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDTGIKLVASGTPLEVKRTVQADGIRGLSKAEREEYAPPAGSGFISGFSSIPGRSLVDKISSARDSPFGPTSYLSVQARVVDDYTMSKIILKELNGGDTSRMLIVVTGASHVIYGSRGFGVPARVSKNMPKKDQVVVLLDPERQSIRREGEIPVADYLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLRKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNILGQNWNMNQRVAAVLVGGLKLASVGFIASVGAGASSDLLYAARGVLKPSANAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGETLATNYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKELPPAEPTPPPDETSQVDDGKTEGQNLDDNSNQSSG >LPERR05G15920.3 pep chromosome:Lperr_V1.4:5:15194820:15203418:1 gene:LPERR05G15920 transcript:LPERR05G15920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDTGIKLVASGTPLEVKRTVQADGIRGLSKAEREEYAPPAGSGFISGFSSIPGRSLVDKISSARDSPFGPTSYLSVQARVVDDYTMSKIILKELNGGDTSRMLIVVTGASHVIYGSRGFGVPARVSKNMPKKDQVVVLLDPERQSIRREGEIPVADYLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLRKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNILGQNWNMNQRVAAVLVGGLKLASVGFIASVGAGASSDLLYAARGVLKPSANAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGETLATNYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKELPPAEPTPPPDETSQVDDGKTEGQNLDDNSNQSSG >LPERR05G15920.4 pep chromosome:Lperr_V1.4:5:15194820:15203418:1 gene:LPERR05G15920 transcript:LPERR05G15920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDTGIKLVASGTPLEVKRTVQADGIRGLSKAEREEYAPPAGSGFISGFSSIPGRSLVDKISSARDSPFGPTSYLSVQARVVDDYTMSKIILKELNGGDTSRMLIVVTGASHVIYGSRGFGVPARVSKNMPKKDQVVVLLDPERQSIRREGEIPVADYLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLRKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNILGQNWNMNQRVAAVLVGGLKLASVGFIASVGAGASSDLLYAARGVLKPSANAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGETLATNYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKELPPAEPTPPPDETSQVDDGKTEGQNLDDNSNQSSG >LPERR05G15930.1 pep chromosome:Lperr_V1.4:5:15208604:15209125:1 gene:LPERR05G15930 transcript:LPERR05G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFTCPLTAGDVLAAYPNHALTAAWSSAGGSGGGGGGGGVSRGKILIVSHDSELKRGRIYFLIPSAPAADKMRISRRKSGSSSKKTKKRHGKAGSAASTVEQDNYLMELLSEKRETSHRRRRSGARAGVWRPQLESIAEEPTE >LPERR05G15940.1 pep chromosome:Lperr_V1.4:5:15212370:15214720:-1 gene:LPERR05G15940 transcript:LPERR05G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPASGSLLVNSRPVALSLASRSSFSSLLASPSSFKPMTPSSSLPLAAAATSPSSYFGVSSGLLDSPILLTPSLFPSPTIGGAFSWMTTAPENEVQGNGVKDEQKQYSDFTFQPTASTVAGGTATTSSSFMQASMLMAPLDGNSYNGEQQQQQPWSYQELSMDAATRPADFTTQFEGTSSAATVDVLGNGGYNNQAPVAVAGFRQQSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCTFPGCPTKKKVEQSPDGQVTEIVYKGAHSHPKPQPNARGRGGHGAGAGETYSADALSGATPVATPENSSAASFGADDDVNGVSSSLQAVVATNGDGHEDEPDSKRWRGDGGEGGVSSSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTYEGKHNHDVPAARGSAAALYRAAPPQVIGGMRPMAPTSSYLQGGAGGYGGAFVAAPTAQHANGGGFGLSGAGFNDAIGVSSYSYTSQQQQQQNDAMYYASRAKDEPRDDDMSFFEQPLLF >LPERR05G15950.1 pep chromosome:Lperr_V1.4:5:15222266:15223112:-1 gene:LPERR05G15950 transcript:LPERR05G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPSSKNRRGKNSSLRPVFLRPAPSTAPPQSPLPTPPSVPPEAEQRSGGWREEEGKNRGGFPFRARASSRWTAAAVRVNFVDNNMYLYSCKHK >LPERR05G15960.1 pep chromosome:Lperr_V1.4:5:15244776:15251172:1 gene:LPERR05G15960 transcript:LPERR05G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARLPASSRVDKATSHLLQGPDWAINLEICDTVNADRWQTKDVVKAVKKRLQHKDPRVQFLTLTLLETMMKNCGEYVHFEVVELNILPEMVKIVQKKHDMQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPIDAPPVFTPPATHHTQSYGSPTYPAGSLNERMASDIETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIITELVSQCRSNQQKLMQFVSSTGNEELLKQGLEVNDRLQSVLAKHDAIASGAPLPVETPRRDEIPREDPKVKPSAPLIAPTSAPPVEEDEDDEFAQIARRKNKSVISSNEASSSAGDQALVPVDTATSEASSSVEGNALVPVNASPASGTRTKEQDMIDLLSLTLYSPPEASTDSSSQSQNGTQQPVMSNGPELPPNHQPAASNGSHYSSNQQAYPTNQAYTPYNNYVAPWAQAGQGTQAGAYQTQPMQYTSSYPAPPWAIPASINSTTNPFQPATYRTQNPSVAPTNTYPAPSSPYAAPPRQHVPSPTQKPIQQYNSPISQTRNGPTMAQDARMNGNPRPTQPPAAAAKPYYMPDNLFGDLIDVKSFSGGKMSRTTSMPSPNGSGQPLLGGKK >LPERR05G15960.2 pep chromosome:Lperr_V1.4:5:15244536:15251172:1 gene:LPERR05G15960 transcript:LPERR05G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARLPASSRVDKATSHLLQGPDWAINLEICDTVNADRWQTKDVVKAVKKRLQHKDPRVQFLTLTLLETMMKNCGEYVHFEVVELNILPEMVKIVQKKHDMQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPIDAPPVFTPPATHHTQSYGSPTYPAGSLNERMASDIETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIITELVSQCRSNQQKLMQFVSSTGNEELLKQGLEVNDRLQSVLAKHDAIASGAPLPVETPRRDEIPREDPKVKPSAPLIAPTSAPPVEEDEDDEFAQIARRKNKSVISSNEASSSAGDQALVPVDTATSEASSSVEGNALVPVNASPASGTRTKEQDMIDLLSLTLYSPPEASTDSSSQSQNGTQQPVMSNGPELPPNHQPAASNGSHYSSNQQAYPTNQAYTPYNNYVAPWAQAGQGTQAGAYQTQPMQYTSSYPAPPWAIPASINSTTNPFQPATYRTQNPSVAPTNTYPAPSSPYAAPPRQHVPSPTQKPIQQYNSPISQTRNGPTMAQDARMNGNPRPTQPPAAAAKPYYMPDNLFGDLIDVKSFSGGKMSRTTSMPSPNGSGQPLLGGKK >LPERR05G15960.3 pep chromosome:Lperr_V1.4:5:15250556:15252212:1 gene:LPERR05G15960 transcript:LPERR05G15960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPAFLTILYAAGKYPGKHSEIAVFTKQHKTLWVHKMGDKANNIFVLDSQVPIVQVVVVI >LPERR05G15970.1 pep chromosome:Lperr_V1.4:5:15249587:15252865:-1 gene:LPERR05G15970 transcript:LPERR05G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAAFAPARAQSSLSAAAAAAAVPAPENGFAAAPKMPPFDYTPPPYDGPRAEEIFRKRNEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVDAIVDQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNTGVHHAVNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAAYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKHEIIGDVRGKGFLLGVELVTDREKKTPAKAEIAHAMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIDVMDIALSKL >LPERR05G15980.1 pep chromosome:Lperr_V1.4:5:15267612:15270618:1 gene:LPERR05G15980 transcript:LPERR05G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKKVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLEKSGLEYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSESRVAVSLATSYLGLSAKFYTTMAEKMPRVATAGYSKEKVYLLLNAVVPMLVTLVVAPSLRVVELTSGRRTDPAFLAMFAITLATGACAVVGSIGSKSIGLSTNEHMISLYVLLALPILIPAALKVRESMDKLREAKRENRVHDLATTDVVAVSVFEVAEESKNEEEESGSGNCKDEVGGIRLLRRIDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMSGAFLLLLNPRDMFLYTSTAVVGTCTGAITSVAVSATRELFGEKNFGVNHNVLVANIPVGSLCFGYLAAFLYQKEARGANRCVGAGCYRDTFLLWGSTCAIGTALCAALYARSRGFAGRLPPAPAPAARTTTQATNLGDDNKGPEGSGLTV >LPERR05G15990.1 pep chromosome:Lperr_V1.4:5:15287028:15287675:-1 gene:LPERR05G15990 transcript:LPERR05G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGGEAATGSKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWELHPGTPKVDATSSCRMLAAAGDAIPAITPPPSYHLRAASSSQYGHSGRINGRGGGGGRSGKYCGYYKLKWIKIGFIAAVFRRLKSSSRASSSSSAVQPSPSTRWLFSSDDQAPAPDLASTKQSGHLLCLGVRPSPWMFQFCGGGRSRRVDVDTAGSWA >LPERR05G16000.1 pep chromosome:Lperr_V1.4:5:15292706:15295790:1 gene:LPERR05G16000 transcript:LPERR05G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPAPAPAMRGRGEVDTSSPFVSVRQAVDLFGGAAVSPWRHPHPPPLQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADDLKLQIEKATSEGATPAKGHSDAVKVHPLPDTERKCNNHSESLIESAKANQSPLTTLIKLNQAKAFLNMDTVQMIKRQIEEEKGLLEKTRGRLQLNKAKASSLEADLQKTVAQLQAAKSPKPNLEPSDIWLQMKQLNSEKEKHRKVAEDSKYMIGELTAEIEHIKSRTKTLQFRIVMAQKLKEASMRGEALALAERKNLSNGQDKASTASEVTLTAEEHSMLIQKAEEADTTSRNKIDAAMQELDQANQGKLELLERVEEAMVAVETSRKALEDAQKREESANKAKLTAEESLRRLRSEQIIQNWRPTGNSSVKFKTSATTTAMVPHRKAGSGIYDVNGLSLVSATPKSTKTMSIGQILSMKLDHRELEVAGKSGSAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFVVYALLLAKHRNRKKKQPTAASSCSHGSFSTKAVY >LPERR05G16010.1 pep chromosome:Lperr_V1.4:5:15301626:15302873:-1 gene:LPERR05G16010 transcript:LPERR05G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHELLSPRPNQQQPNDPQAERTISFAKEVIGITGSAIVGGVSGYKDIAKGVSSLLFKAGGFSLLVTFVCAVVLMQFQMHLPPGAARRHGRCIDLSSAVLVTLTGVLLVATNGTLVALMNRDGNTALVILVLPVALVLATLAGADMPPWDDAAAMAAVQDEAYEEAMKGNGEIASFGATAAFAIEGALILGYLKYPRFDGSTSPPQVDLAVASFASTVSMLAMAATALPIRTLFPSARSRAVAVVGHLNRSMLAALVATATILAVEFLQWWFMLSIIPEAIAVALNVAIMAWTEDGEAVTDVDNGDGDAAARERMSKGFRTVATISITLMACTYAVYLGQKTYDVYLKAAMLVMLAAVVSSLRQMLRPFELDLPRAKGWWAVAAGAVSLVFPGLALVIAVPLLVKIFVHLLRTR >LPERR05G16020.1 pep chromosome:Lperr_V1.4:5:15305823:15307163:-1 gene:LPERR05G16020 transcript:LPERR05G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSVLMERYEIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKDKILKVGLMEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFVLEYAKGGELFHKIARAKLNEDDARKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENETLKVSDFGLSALAESKRQDGLLHTACGTPAYVAPEVLSRKGYSGSKADVWSCGVILFVLVANYLPFHDRNLIEMYRKIAKAEYRCPRYFSAELKELLYGILDPDPNTRMSISRIRRSAWYRKPIAISALKGEAGEKNCTSEAPSSGLTGCIDSERNQQPASLHNLNAFDIISLSTGFDISGLFGERFGRREARFTSRQPAATVLAKLKELAKRLKLKVTKTDNGVLKLATVKEGRKGMVELDAEVFEVAPSFLLVELKKTNGDTLEYQKMMKEDIRPSLKDIIWTWQGDHQQSQHAADTPL >LPERR05G16030.1 pep chromosome:Lperr_V1.4:5:15309364:15310673:-1 gene:LPERR05G16030 transcript:LPERR05G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCMSSNRPRRRWSGGSKLAVACLAAVAVASLQLCCRCGCFAACGGGACVDVLRSSDFGRVEGAAAAHSGDLLESEQEGGDHYHYHHHHQQPQHVGVGRRLLSGGPGSYPPRCTSKCGSCSPCSPVHVSVPPGAVLVTTEYYPEAWRCKCRNRLFMP >LPERR05G16040.1 pep chromosome:Lperr_V1.4:5:15328979:15331108:1 gene:LPERR05G16040 transcript:LPERR05G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARNAAASKQFPEIHFVHSSDHSKSAMAKARNAAAASSSPSSSPYSSSASDGEILRSLHRLARDLSAAEAPAPFLETVFAAISRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKGVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPAADAAEHELKASVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPVDSKIDLDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLTNLEIVPNKALKNLISRWCRENGVAAMEGSEPSKPQPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLLHSSGGDADTQLNAVTALLNLSILDANKKRIMHAEGAVEALCHVMGSGGGATWRAKENAAATVLSLASVHSYRRRLGRNARVVGRVVELVRTGPSSTKKDALAALLCLSGERENVGRLVEAGAAEVAVSAIGEEETAVAVLASLAKRGGAEAIVGIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRIGDAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAAKVAAAEQTTECPTTSDVTTTAITAA >LPERR05G16050.1 pep chromosome:Lperr_V1.4:5:15336950:15337312:-1 gene:LPERR05G16050 transcript:LPERR05G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCCAVEDDFADSYDDDDTDSDDYSDGWSSDDSDEEEDGLTEEEMGRLPWFAYCGDGGDGDGCCNICLEEMQDGERCRRLGSCGHAFHAACVDEWLRTRRTCPCCRKQVLVPPAAPTS >LPERR05G16060.1 pep chromosome:Lperr_V1.4:5:15341926:15342978:1 gene:LPERR05G16060 transcript:LPERR05G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKFKLSDMMPNAWFYKLRDMRAARGSRGGGAMQRPLSSSSSSSLVRGSRAAQHQMVGTPRLGSSSSLPHRPSYYYTTRDRELPPPPIDVRFSSLTLSPPTRTHRRRHRDGKVSVGPTDGVVDASPGSSRRRRDVLVGRDREFRRRAAADVIPDEEDAVDVKVITSEADIIIDLGADDDTPERVLRPIVTRPAARRDLDWRDPSPEVNKHVDLAELMTTTTPRASSSASSEQSKPRRSSVSSSSSRRHLKTRTNSPRLAAACRKAKHGKQSAPAPAVANSYPVVMASTDPRRDFLESIEEMIAANGIRDAGDLEDLLACYLSLNSDEHHDLIIEAFEQVWDGLASVMP >LPERR05G16070.1 pep chromosome:Lperr_V1.4:5:15347282:15349593:-1 gene:LPERR05G16070 transcript:LPERR05G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWTLTTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGGAPAAGPGGAPNVARP >LPERR05G16080.1 pep chromosome:Lperr_V1.4:5:15351085:15352465:-1 gene:LPERR05G16080 transcript:LPERR05G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGILILLFLTRQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNDGRWCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNTFLDGQSLSFQVTSSDGRTVTSNNVAHPGWQFGQTFEGGQF >LPERR05G16090.1 pep chromosome:Lperr_V1.4:5:15360173:15361105:1 gene:LPERR05G16090 transcript:LPERR05G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARNNGLQAAAVLLAALLLVQSGSSPARAALTCSTVYNTLLPCLPYVQSGGDVPAACCGGIRSVVAAARTTADRRTACICLKNVAAGAAGGPYISRAAALPGRCGVSVPFKISPNVNCNAVN >LPERR05G16090.2 pep chromosome:Lperr_V1.4:5:15360173:15361387:1 gene:LPERR05G16090 transcript:LPERR05G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARNNGLQAAAVLLAALLLVQSGSSPARAALTCSTVYNTLLPCLPYVQSGGDVPAACCGGIRSVVAAARTTADRRTACICLKNVAAGAAGGPYISRAAALPGRCGVSVPFKISPNVNCNAVN >LPERR05G16100.1 pep chromosome:Lperr_V1.4:5:15362130:15362844:1 gene:LPERR05G16100 transcript:LPERR05G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLLAALLVLSVSSPARAALSCSTVYNTLLPCMHYVQSGGAVPAACCGGISSVVAAANTTADRRAACNCLKNVFAGAAGGPFISRAAALPGRCDVSAPFKISPNVNCSAYGKLSGILGLRRVGLGARENVVVRQLYLFQREDE >LPERR05G16110.1 pep chromosome:Lperr_V1.4:5:15363708:15364252:1 gene:LPERR05G16110 transcript:LPERR05G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHQDSGAAPAASVFEAALPALESGGGVAPTGKEEARFDTNMVIILAALFFALLFAIGLNSLARCALRAIPVEVYSGGEKAAAEEGEDVCAICLGEFADGEKVRVLPRCGHGFHVRCVDAWLVSHGSCPTCRRQVIDGGGGGGGRAVSRNTPAADINTIAVIVE >LPERR05G16120.1 pep chromosome:Lperr_V1.4:5:15365282:15365743:-1 gene:LPERR05G16120 transcript:LPERR05G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSREEDGGVVVARYSLDVSEGCGGRHSALMDEYERMAFEAQLNRAIVLRRCYSEPSPARFPAAAVEPSLPRRGGEGDDDRDGCTGTAPWRFCRVHVVVEAVFRWLEAVKPVICWLRSAWERRWMDRATAAAPRGRGPPATVPRVQLIDYLC >LPERR05G16130.1 pep chromosome:Lperr_V1.4:5:15367492:15372291:1 gene:LPERR05G16130 transcript:LPERR05G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCRFAALIVLLLALVLILGPAAGTAARVLQGEKASSSEGAPAPNVAAGGSHKEEELGKSSNGNNPVTKETNHQTPAPEKPPKDSTPPPPGASESKGKKGDAKKDSGHPVPSTDVHDTEGPGPTGGKEREGGGSEGKKKPTEETKKAVKCEDAMKKCSVPGEFTACLQVPQDGSIGPSVIVQNDGQNDITVNVEATPNSKMLPLQLAKGKSGQVNITNPYGREITIKSGSEKGQCSIQSKQTVTKQTVSDWQQQFQQFAVYATRMNPIYGASFLIFTVVLVGVVCACCKFARRRASGVPYQQLEMGAQVPNSSGVENTTSTTNGWEDGWDDDWDDEEAPAAKPSDKKPSGTISGNGLSLRPQTNSKDGWDVDWDD >LPERR05G16140.1 pep chromosome:Lperr_V1.4:5:15375913:15380791:1 gene:LPERR05G16140 transcript:LPERR05G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALLLLLPLAAILAAADAVNDDVLALVVFKSGVTDPTGRLAPWSEDADRACAWPGVSCDARTGRVDALALPAAGLSGRLPRSALLRLDALLSLALPGNALSGPLPDALPPRVRALDLSANSLSGDIPAALASCVSLVSLNLSGNLLSGPVPDGIWSLPSLRSLDLSGNRLSGSVPGGFPRSSSLRVVDLSRNRLEGEIPADVGEAGLLKSLDVGGNLFTGGLPESLRGLSGLSSLAAGGNALAGELPAWIGEMAALETLDLSGNRFVGAIPDGISGCKSLVEVDLSRNALTGELPWWVFGLALQRVSLAGNALSGWVKSPSDNASLLQELDLSGNAFSSEIPPEIASLSRLQRLNLSSNTMSGQVPVSIGRMASLEVMDVSGNQLSGGVPPEIGGAVALRKLLMGRNSLTGIIPPQIGDCRSLIALDLSQNKLTGPIPATIGNLTSLQVVDLSRNKLNGTLPLELSKLAGLRFFNVSHNLLTGNLPSSHFFDTIAYSFISDNAGLCSSQKDNSCSGVMPKPIVFNPNASSDPPSDVSPGAPSSQHHKKIILSISTLIAIVGGAMIVVGVLTITVLNRRVRTVASHSAVPTALSDDYDSQSPENEGDPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFRRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHECPAERSVSWMERFDIIIGIARALAHLHRNGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFACRTVNVTEKCDIYGFGVLILEILTGRRPVEYLEDDVVVLCDVVRAALDEGRVEDCIDPRLSGEFSMEEAMLIIKLGLVCTSQMPSHRPDMGEVVSMLEMMRSSQGTPEDDLV >LPERR05G16150.1 pep chromosome:Lperr_V1.4:5:15386746:15387723:-1 gene:LPERR05G16150 transcript:LPERR05G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIATGATSAASPAAASMAASELMAQGRNSAAVLETILQGASLPPAHGGLQLLAAEILRCCDRALTVMRAGEAAESSAAAAAAGVKRKPATTTAPANRKRRANGGSSGGGASSPAAATRVERSRTSEDGYLWRKYGQKEIKNSKRPRLYFRCSYKDDDGCTATRHVQQSEDDPSLYVITYFGDHTCSRKLAAGVDEDDGTFQKFVINFGSASASSSPPLLYESSSYSSGIRRETTSTIVEAVKLLLAGGSRRGGIRGEGRAGRIASGVGGGEFAGGRLFLCVSGTGAFARLLELG >LPERR05G16160.1 pep chromosome:Lperr_V1.4:5:15391129:15393528:-1 gene:LPERR05G16160 transcript:LPERR05G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLPKSERSPSPSSSPPPDQREAVIQELTRGRELAALLRQQMELIPELDRRDDALANVRDISMSLRSSISALQSERGHCGSSSGAGAAVSSVSDGGGGGGRNRKTKNRRGRHDGELIKEILTTTPENDGFHWRKYGEKNILNTEFPKLYYRCGYSDERKCRAKKYVQQENKKHPPEFKVTLINEHACNTLIPNQPSSSSTSQVLDFTKASIISSPMNPLISAPILKEEEEEVPSIDESSRIMSTTMPSYEAYDYNELSPQSWDGGVWQ >LPERR05G16170.1 pep chromosome:Lperr_V1.4:5:15403737:15404800:-1 gene:LPERR05G16170 transcript:LPERR05G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTALAAGDSDVLPTRFVAEGRDSAARLYALLGQCHQLLAGSSTLHGPVGLAEQIFHCFDRALAKLHGVAGAEEDNVASASSGRKRKPEHGSVAATSKRIKASGGGNGGRVVRKATMDDNFLWRKYGQKEIKNSKHPRFYYRCSYKDDHGCKATKQVQQSEADPSIYVITYFGEHTCRHGNDAAAAVVDGGGDEDSSSPAKFVISFGNSTSSGSDNSAIRWPCAGDDAQINNESSQESSLVSEAGEEEELRPCTVSDHGELVEESTTPPVPDQPLGLTALPDLEPLLEAYPLDMELGDSSFGIDEIFNFDEHLFYSIDTAW >LPERR05G16180.1 pep chromosome:Lperr_V1.4:5:15423412:15428047:-1 gene:LPERR05G16180 transcript:LPERR05G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIDQYEGCVEQYEQHAATLGYRSGSKIRRNKLIRRSGNNKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHADAVYSNELGGIANTSTATARESAPMTSSPMSALMLPLTVQDWLSDGEKQLKVVSIVGVGGVGKTTLANELYRKLGRQFECRAFVRSSQKIDMRRLLISMLSQLRLQQPPDNWRLHSLISSIRHIYKIGAPGLRSDRRAKSEAYLSVMRQRVSTMPVLSPSSSGNASEQPPAPSPNGGAKAADLVQRVGARRKCPHRATAVVPPGQPSAPSAHGGVEAADLM >LPERR05G16180.2 pep chromosome:Lperr_V1.4:5:15417827:15428047:-1 gene:LPERR05G16180 transcript:LPERR05G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIDQYEGCVEQYEQHAATLGYRSGSKIRRNKLIRRSGNNKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHADAVYSNELGGIANTSTATARESAPMTSSPMSALMLPLTVQDWLSDGEKQLKVVSIVGVGGVGKTTLANELYRKLGRQFECRAFVRSSQKIDMRRLLISMLSQLRLQQPPDNWRLHSLISSIRHIYKIGDDLWDTCTWDIIKGTLPDGNNCSRILTTTEIEDLAMESCSYDSNYIFKMKPLGEDDSRKLFFSTVFGFLSNCPPDVSEVSYDIVRKCGGLPLAVVTIASLLATQLEKQDQWDYINSTLGYNLMANPNLEGMKQILNLCYNNFPQHLKACMLYVSKYKADNIIWKDDLVNQWIAEGFIYSVEGHDKEEISRAYFNELVGKKFIQPVHINDNGEVLSGVVHHMVLNLITYMSIEENFIIAIDHSQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLSFWGALNCVSFIMGFQLLKVLILHFWGDEDSISFDVTKISELVRLTYLKITSNVTLKLPTHMQGLQYLETIKIDGKIGAVPSDIIHLPRLLQLSLPAKTNLPNGIAQLSTLRTLGYFYLTYNTTENLRSLGELTNLRDLQLTYSEINSNNMKNNMQYLGSILGKLCNLKSITLSSAGCPYQNTLHINSDTSTRISVHGWSSMSSPPALLERLELLPCVCIFSSLPNWIGQLANLCILKIGIREVTRNDFDVLGGLLCLTVLSLYVHTKPEERIVFDDARFITLKYLKFRYNGAWIKFEAGAMPNLRKLKLGFDVHRPDQHDTIPVGIEHLSRLEEISAKIMVACTADDLCRRYAKSALTDAIRMHPGHPTAIIRCVDGTFVTKDNNNVETREEEQMTLQKQHYIVKKGLIEKPVVPQKDPGERAHKSIETSFRGDYDGGNYSYRKLDGGGSTTIMCGGVYGSWWNFPSKQEDSGTMPVHIQKDEVRVIHDNSPPGLSSSQDESEAGEGRKSEDKGSRSGSSGGGFFGPAFHAVGGYIDRKLGLDRD >LPERR05G16180.3 pep chromosome:Lperr_V1.4:5:15417827:15423393:-1 gene:LPERR05G16180 transcript:LPERR05G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEEAHKSWRRRPRQRVGKLRTSSLSKRTPYLTRQLSMRVLDTQGSRGPPFWFGIGVRYLIIIDDLWDTCTWDIIKGTLPDGNNCSRILTTTEIEDLAMESCSYDSNYIFKMKPLGEDDSRKLFFSTVFGFLSNCPPDVSEVSYDIVRKCGGLPLAVVTIASLLATQLEKQDQWDYINSTLGYNLMANPNLEGMKQILNLCYNNFPQHLKACMLYVSKYKADNIIWKDDLVNQWIAEGFIYSVEGHDKEEISRAYFNELVGKKFIQPVHINDNGEVLSGVVHHMVLNLITYMSIEENFIIAIDHSQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLSFWGALNCVSFIMGFQLLKVLILHFWGDEDSISFDVTKISELVRLTYLKITSNVTLKLPTHMQGLQYLETIKIDGKIGAVPSDIIHLPRLLQLSLPAKTNLPNGIAQLSTLRTLGYFYLTYNTTENLRSLGELTNLRDLQLTYSEINSNNMKNNMQYLGSILGKLCNLKSITLSSAGCPYQNTLHINSDTSTRISVHGWSSMSSPPALLERLELLPCVCIFSSLPNWIGQLANLCILKIGIREVTRNDFDVLGGLLCLTVLSLYVHTKPEERIVFDDARFITLKYLKFRYNGAWIKFEAGAMPNLRKLKLGFDVHRPDQHDTIPVGIEHLSRLEEISAKIMVACTADDLCRRYAKSALTDAIRMHPGHPTAIIRCVDGTFVTKDNNNVETREEEQMTLQKQHYIVKKGLIEKPVVPQKDPGERAHKSIETSFRGDYDGGNYSYRKLDGGGSTTIMCGGVYGSWWNFPSKQEDSGTMPVHIQKDEVRVIHDNSPPGLSSSQDESEAGEGRKSEDKGSRSGSSGGGFFGPAFHAVGGYIDRKLGLDRD >LPERR05G16190.1 pep chromosome:Lperr_V1.4:5:15432348:15433428:1 gene:LPERR05G16190 transcript:LPERR05G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRKVKIWFKHFSGNNNYISDLSQAIEEFTKAPIDRDIDRSLSLDSSSEECPENILSSLDLQTCSEGSKYALRSLKLNGKLHQLPPFVSLLSGLIELCISSATLTQVHLSALINLNRLLYLKLVASKLENFEIKHGAFPSLRRLCFVVKSATSALPIIKHGALPNLISLQLLCQCLVGLSGIEIRHMKHLKEITVNSGVSVQWEQAAKKHPNRPKVLFLRKVDPMESEKMGKPCVIREQIKISVAQLTNPEPSSGLDSSLNKIRLSKPPSSRLQKVWNCAK >LPERR05G16190.2 pep chromosome:Lperr_V1.4:5:15429786:15434253:1 gene:LPERR05G16190 transcript:LPERR05G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEGEPEQVRVISVVGFGGSGKTTLAKAVYDSPRVEGKFHRRAWVAAGGSPETSNGVMGILRDVLRQVLPKDDMDAVDGQHLETYLKQYLKDKRYLIVIDDIGMGQWSIIRSTFEDNGTSSRIILTTTIQSVANMWSHGNGYVHQMNTLDEEDSKELAFPGFRSPELEQGSASLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKEQDGHYSFSELRKVLLDNYDSFSGHTLSCLLYLGIFPSNRPLKKKVVIRRLLAEGYARSDSLRREEDIADENFSKLVDRNIIQPVDTRNNSEVKTCRTHGIMHEFLLNKSLSQIFIAKWSLDHPRLSVNTDARHLSIHAGELTECVASDDEFSRVRSLTIFGDAGAAVCYVRRCKLIRVLDLQECNDLDDDHLKYICKLWHLKYLSFGSNINELPRSFEGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHKDDVKSVNKMSKLRKFFSTKKKQSANFSRIYH >LPERR05G16200.1 pep chromosome:Lperr_V1.4:5:15431597:15433680:-1 gene:LPERR05G16200 transcript:LPERR05G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGFRGCVGVIFYLWYIMVASCNIVRPSKLLGSSLILLPKLRLNDIPVNKLTEILICNILFSTKRVTSCISFG >LPERR05G16210.1 pep chromosome:Lperr_V1.4:5:15434071:15435198:-1 gene:LPERR05G16210 transcript:LPERR05G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEVRMGFGRCPYCRAMIYQNPKAIIFYCSKCRTPIRGKNPEPTDETEYALSKLEILSADTASVFSDEPEEVGVGPERRGGGVDEIRPASRRTRRPSSSASSDWTTTTASTTDSGRSEEAFYTPPMSAPRSPSPAISQEAGAVAAARLMDPAFHSELLHALDNLRSLIITIEQPRPASGAGGGGGRAVTRRDSRLFRRLESQLERALPPEERGSASSTPPPAASSSGVGRPPPAAAPMREEAGGGPCRPVMGGAPFVVCGKCSDLLRTPPPPPPPPASLRRRWETRIRCGGCEEVIELSLPAGIGVGAHAQHQPIRTCSAPLVSDHHRPLLRRLE >LPERR05G16220.1 pep chromosome:Lperr_V1.4:5:15436921:15441199:1 gene:LPERR05G16220 transcript:LPERR05G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLPRATFPTTKHHHPTTVLHFAACKPHPHRLIRCDAAADDTASGDGWSSLLDEIRGSLQADDSSIPDDLLSAPPPVTVPDTQILLGADQSPPPPPPMPPIRDDLLAALHLDATNPLARAAGDAISRLESLPDTQRWALLAIAAATYIYLTARPGVLSGAIDAYLLSPLQLALDTVLGRRSLKMSDFVVGERIGEGSFGVVYAGAVVPKGGAAPERRVGKAKTRLEMDDRYKEKVILKKIKVGTTGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLANYMSDRNFPFNLEGLMFGRTVQGLDSTSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNSELKAAGYDLNRWRENTRRRTDLQILDLDSGRGWDLATKLISQRGANKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >LPERR05G16230.1 pep chromosome:Lperr_V1.4:5:15439353:15441914:-1 gene:LPERR05G16230 transcript:LPERR05G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSTIDAEEHLDYSAGNVTVILDQISWESKMEEVAELGKTVVVKFSATWCGPCRNAAPLFAELSVKHPEIVFMSVDVDEMPGLVTQFDIRATPTFIFMKDKEIDKLVGGNHEDLQDKFEQLYRPKLYDDLGQDGGGLIAAEEEIGVAKRGGGGEAAPLVGAPLRDELGGQVPAPAGVQVQDLQVGSPASVLPPPVEVVAGGLELGVEVLEP >LPERR05G16240.1 pep chromosome:Lperr_V1.4:5:15448382:15451199:-1 gene:LPERR05G16240 transcript:LPERR05G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDLAAKHELIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWSQKYAMG >LPERR05G16250.1 pep chromosome:Lperr_V1.4:5:15454206:15456756:-1 gene:LPERR05G16250 transcript:LPERR05G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLILIAFLSAYFFFLHIPCARGADLNSDRQALLSFAASLPHGRKLNWTLTTQVCTSWVGVTCTTDGKRVRKLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVSLPPDVASISSLHFLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALFLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGLPLEPCPGTAPSPSPMSPSPQSTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAAAGGRTEKSKQEYSSSGLQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVIAGKREFEQQMEIIGRVGQHKNAVQLRAYYYSKDEKLLVYDYITPGSLCAALHGSRTAGRTPLDWETRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNVLLSQEPSARISEFGLAQLMAIPHIPARLIGYRAPEVLETKRATQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDADLLRQPNSEDEMVQMLQLAMACVAILPDQRPRMEEVVRRIEEIRNSYSGSRSSPEDKPKEEPIQIT >LPERR05G16260.1 pep chromosome:Lperr_V1.4:5:15465526:15469892:-1 gene:LPERR05G16260 transcript:LPERR05G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGSEIGKNDYDWLLTPPGTPRLPALEVAEKTPSSNILPKRTPTRSSSTTRASRLSVSQTENGISTAPTRPARSNSVTRPSIHSTLMASSNRTAVLNTSISSVSSRPTTPSRRSSTIVAPKQSVSASRPVPARSSTPVKTRPSTPAKTRPSTPVRTRQATNSTSDSAAAKITSAQNSRPSTPTSRSRTMPNSSSGAIPAMSRTGASLGMVPATSRSNGSTVSATSRPGSSSGNVPGISRATSLSSSTIPSMSRSSSRSSTPTRQPAIRSSAPAIGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPSPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTPNSESSAASAPVKKVAVPAMSRSKFSDAPSKTPLTNGRQNRQSERSIMDSQPTKVSRPATGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKNKPARMSDPGHTISNGDRHYTDNGSINGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDIKPDQSPLFDHRFEPLPEPFSPL >LPERR05G16270.1 pep chromosome:Lperr_V1.4:5:15474665:15476770:1 gene:LPERR05G16270 transcript:LPERR05G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKEKQVDVGRKIEYSTQLNAARIKVLQAQDVIVVEMKEEAGKGLLRVTKDATAYRKVLKGLIVQSLLRLRESSVVLRCREADRAHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPQKNTRDAHGPSCSGGVVIASQDGKIICDNTLDARVEVSFKQKLPEIRKKLFSQKVSQ >LPERR05G16280.1 pep chromosome:Lperr_V1.4:5:15477029:15481016:1 gene:LPERR05G16280 transcript:LPERR05G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASAVTLTPASAFRCGGVAACRWVSRSNVPACRLLHHHQAQGKKRAAPTWSLKAGLWDSLRSGFLKSNNSTETVEPPPAPFEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVDVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSIIRSSEAEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFETDPQGIKGMFWYPRF >LPERR05G16290.1 pep chromosome:Lperr_V1.4:5:15486777:15487582:-1 gene:LPERR05G16290 transcript:LPERR05G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSALVFDVDLWRHHLYLHKISAQAKISAAQRRGFDTLVGTLVAWTIWKKRNANVFDDRQRRWPNVFDKLAITA >LPERR05G16300.1 pep chromosome:Lperr_V1.4:5:15489015:15504481:1 gene:LPERR05G16300 transcript:LPERR05G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGGVLCAVTVLALLPFLEVVYGKTTDPSEVSALMAIKGSLVDPMNNLKYWNRGDPCTTNWTGVFCHDVNDAYLHVTELQLFKRNLSGNLVPEISLLSQLKILDFMWNNLTGNIPKEIGNITTLKLLLLNGNQLSGFLPDEIGNLQNLTRLQVDQNLLSGPIPKSFANLKSVKHLHMNNNSLSGQIPSELSRLPTLFHLLVDNNNLSGPLPPELAAAPALKILQADNNNFSGSSIPALYSNISTLFKLSLRNCSLQGAIPDLSAIHQLGYLDLSWNQLTGSIPTNKLASNITTIDMSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16300.2 pep chromosome:Lperr_V1.4:5:15489015:15504481:1 gene:LPERR05G16300 transcript:LPERR05G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSLSGQIPSELSRLPTLFHLLVDNNNLSGPLPPELAAAPALKILQADNNNFSGSSIPALYSNISTLFKLSLRNCSLQGAIPDLSAIHQLGYLDLSWNQLTGSIPTNKLASNITTIDMSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16300.3 pep chromosome:Lperr_V1.4:5:15489015:15504481:1 gene:LPERR05G16300 transcript:LPERR05G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNLTGNIPKEIGNITTLKLLLLNGNQLSGFLPDEIGNLQNLTRLQVDQNLLSGPIPKSFANLKSVKHLHMNNNSLSGQIPSELSRLPTLFHLLVDNNNLSGPLPPELAAAPALKILQADNNNFSGSSIPALYSNISTLFKLSLRNCSLQGAIPDLSAIHQLGYLDLSWNQLTGSIPTNKLASNITTIDMSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16300.4 pep chromosome:Lperr_V1.4:5:15489015:15504481:1 gene:LPERR05G16300 transcript:LPERR05G16300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16300.5 pep chromosome:Lperr_V1.4:5:15489015:15502460:1 gene:LPERR05G16300 transcript:LPERR05G16300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16300.6 pep chromosome:Lperr_V1.4:5:15489111:15504632:1 gene:LPERR05G16300 transcript:LPERR05G16300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGGVLCAVTVLALLPFLEVVYGKTTDPSEVSALMAIKGSLVDPMNNLKYWNRGDPCTTNWTGVFCHDVNDAYLHVTELQLFKRNLSGNLVPEISLLSQLKILLLNGNQLSGFLPDEIGNLQNLTRLQSHEQQFIKWANPIRIVQTAYTFSPADNNNFSGSSIPALYSNISTLFKLDLSWNQLTGSIPTNKLASNITTIDMSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVLEDPLPALLLVGGAGGLASRLRRHGSSAAAPPNHRPQPYIRRGRPKEGNGLSLSSP >LPERR05G16300.7 pep chromosome:Lperr_V1.4:5:15489015:15502460:1 gene:LPERR05G16300 transcript:LPERR05G16300.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNMLNGTIPSNFSGLPHIQLLSVKNNIIDGSVPLEIWDGVDLTGNRSLVLDFQNNSIEMFPAEVNPPPNVTVLLYGNPVCKNSSGAVITNLCQLQPVNLEKSKQALRTTIACGACPTDKNYEYNPSLPDQCFCVVPLGVGLRLKSPGLKDFHPYEDAFKIDVTSLLQLFTYQLYVENYIWEVGPRLNMHLKLFPSNMSTFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILAGTIAGAIALSAVVTAFIMRRHGRKNTISRRSLSRFSVKIDGVRCFTYEEMVAATNNFDLSSQVGQGGYGVVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALIGYCDEENEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVQGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKSYRSGNISEIMDSRMGLCPPECVQSFLVLAMKCSRDETDDRPSMSEIVRELELILKMMPDGEFIPLETPEAYSGAMSKSISDSGNGNYLLSSQTFTSADASSSVLSGRVSPR >LPERR05G16310.1 pep chromosome:Lperr_V1.4:5:15501453:15504563:-1 gene:LPERR05G16310 transcript:LPERR05G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRGGGGSVAAEAAGEASGAAYEEERRKRVLENLKHLEDLGISKMSKSLLEAARLQKVQTTRASPKPRKKFEATEVRRSSRARNSVSYKDDFDELDSFLRRRRGGSRNTEQGRDYTGRIASYEQQQRAYKRAERIQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPREFKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHKNLEDGDSLVFELVEPDRFKIYIIKAADEDVNESEPVDEEADGDKKDTDTKDAVEQDDSPNAEPLKGAKRRKLCGRRSCLAFSSKAGSLTKKSASP >LPERR05G16310.2 pep chromosome:Lperr_V1.4:5:15501453:15504563:-1 gene:LPERR05G16310 transcript:LPERR05G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRGGGGSVAAEAAGEASGAAYEEERRKRVLENLKHLEDLGISKMSKSLLEAARLQKTTRASPKPRKKFEATEVRRSSRARNSVSLNVIDYLVVLNRGGSRNTEQGRDYTGRIASYEQQQRAYKRAERIQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPREFKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHKNLEDGDSLVFELVEPDRFKIYIIKAADEDVNESEPVDEEADGDKKDTDTKDAVEQDDSPNAEPLKGAKRRKLCGRRSCLAFSSKAGSLTKKSASP >LPERR05G16320.1 pep chromosome:Lperr_V1.4:5:15509744:15513711:-1 gene:LPERR05G16320 transcript:LPERR05G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPICSRLLNQEIMRVSGMFRQPGVGDFERLPPASPNQMHPSHIVPNFCGNGFGPWNGMRPERVSFSQGPMGWQGAPQSPSSYIVKKILRLEIPTESYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLSKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >LPERR05G16330.1 pep chromosome:Lperr_V1.4:5:15522360:15525072:-1 gene:LPERR05G16330 transcript:LPERR05G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLRSSVSKAVEKMIWLGDLDYQLTSSGWGVTEELLERKDWQSLLDKNQMKERAGKLESWMEENLKGQ >LPERR05G16340.1 pep chromosome:Lperr_V1.4:5:15526673:15528739:1 gene:LPERR05G16340 transcript:LPERR05G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHWLEAMLPLGIIGGMLCIMGNAQYYIHKAAHGRPKHIGNDMWDVAMERRDKKLVEQSSSGN >LPERR05G16340.2 pep chromosome:Lperr_V1.4:5:15526673:15529321:1 gene:LPERR05G16340 transcript:LPERR05G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHWLEAMLPLGIIGGMLCIMGNAQYYIHKAAHGRPKHIGNDMWDVAMERRDKKLVEQSSSGN >LPERR05G16350.1 pep chromosome:Lperr_V1.4:5:15529725:15531909:-1 gene:LPERR05G16350 transcript:LPERR05G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGMQRSATFVEDHRQQHPQPGDTSSPAIASPRATRFADDSRRPDRSLAAQAMLASASSAASSPNPDASSLDPVTQMYTSTTRGGASNDPKHGFWGVLAQQAKEMLDENGGTDSLTSQSRWSYDRVRNPDNPVLDIGGKIKNVLEEGLTKATGAGAGGSDAIHGGRKLQIRRKTCSMDFRNTSLSLASPDMSPMLSDTESPQIKASRDVASAMAAKVKLLQRELKTVKADMAFSKERCAQLEEENRLLRGDGGGGHGGGAGADDEDLIRQQLETLLAEKARLANDNTVYARENRFLREIVEFHQLNMQDVVEVDLDDDDDEEDEEEERSPLAAGGRMRVMSRSNSGAGESPLRRSLLGLPETPPTRRSLKEEDDGGLQPETPPTRRSLKEEDVDDEPLTPPTRRSLKEEDVDEPGTPPTRRSLKEEDVVEPETPPTRRSLKEEDVDDVPETPPTRRSFKEEEDDLQPETPPTRRSIKEDVDDGLETPPTRRSFKEYIGSPEKVATTTRRSFKEDIGSPPEIAVTPTRRSSTEDIASPEKAVTPTRRSFKEDIVSSEKPATPRPSFSEELGEAETATPTCQNFEDESGKTETRNED >LPERR05G16360.1 pep chromosome:Lperr_V1.4:5:15533446:15535057:1 gene:LPERR05G16360 transcript:LPERR05G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSPAAALLLRSSLAAASPALRLGPRQLVRSALLDGSLSTQTSTTMVVQYRQWSSSADEGDDEVIEVFNQHCCTDANGGVLDDSASIALIEKLCSSGNLPDAVQILRHLHDKNIHVGLGTYNLLLEQTGEMNNFILFAKVFRYLLLSKIAPDLTSYINVAKALQKLDEHELILNFVRQVLEITHDRGPTVMNCIIFAMAKYGHIDKTLIIFEELKKDKKGLDVVTFNTVLDMLGKAGQVDQMLHEMKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKTFAREMVDRGINLDLRTYTALIDSFGRAGHITEALGMFDQMKRSHQPSIYVYRALISDLKKAGRFELAQKLSEEMKTNASELLGPEDFKRKFKGRKINKDN >LPERR05G16370.1 pep chromosome:Lperr_V1.4:5:15536272:15538631:-1 gene:LPERR05G16370 transcript:LPERR05G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIVVAAEGGGESVVGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLNSAGRKLLNGLVFSLLLPCLIFAQLGRAITIEKILEWWFIPVNIVLGAISASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGETFDSCDEEKLKLPIKAPKATSGVAKYPSSAHDIIHEEEPLLSTEQEEEDLSSSGSKVRSEQNILLVSDATEIMIPIKCMVRFLQKKQLLQPPIIASVLAIILGAVPFLKNLILTDDAPLFFLTDGCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLVLVPIAGVGIVTFADKLGFIPRGNKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLTMLF >LPERR05G16380.1 pep chromosome:Lperr_V1.4:5:15545283:15554277:1 gene:LPERR05G16380 transcript:LPERR05G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFFFLAAIPLAAAAAIVVGHCITAWLATPRRVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAATAAPTVDGEEAAGGGGGVGWDFEKDGFDDYCTRIFPYFHKWRKAYGDTYLYWLRRRPALYVTDPELIAEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVKNMVKLMVDAAQPLVASWEARIDSSPSAAEAEFVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVKERRDAAREEDGAGKERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLAVCGTAAVPDFEMVSRMRTVGMVVQETLRLFPPSSFVVRETFRDMRLGSRVVAPRGTYLFVPVSTMHHDAASWGATARLFDPARFRDGVAAACRHPQASFMPFGLGARTCLGQNLAIVEVKTLVAVVLARFAFSLSPEYRHSPAFRLIIEPEFGLRLVVRRVGAGDAAPTTAQDGTSTAANLAS >LPERR05G16390.1 pep chromosome:Lperr_V1.4:5:15555029:15555685:1 gene:LPERR05G16390 transcript:LPERR05G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGDTAPCQHHENHDRRRCRRRCVLIWFGFTVLILLLVAAAAAIAALAMLHPRDPTTELLSINATGATPRVVAAGGATGGVSVELNVTFLLAVRVRNPNPASFRHGAATTSLVYRGVEVGAAAVPAGTVPSRGEETLRLDMTVEADRVVAAAGVGGIIGDVLAGEMEFEARTEVRGTVRLLGLVRRSAVARSLCRVVVGVVDVKVRRQECHNESKL >LPERR05G16400.1 pep chromosome:Lperr_V1.4:5:15557201:15561410:1 gene:LPERR05G16400 transcript:LPERR05G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCSGRRVVARKRPRHDAVVNSVRKLQRREISSGRDRAFSMSVAQERFRNIQLQEEFDTHDPKENSLLQPYLRKRSKIIEIVAARDIVFALSQTGVCAAFSRETNKRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSCSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSSDSSSEENDAAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >LPERR05G16410.1 pep chromosome:Lperr_V1.4:5:15560072:15570005:-1 gene:LPERR05G16410 transcript:LPERR05G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNNKLGDDALRLIHDRLPCLVDRRRMARVCHTWRATVAGRHPRNRPLPSILLLPRAAGDGRPSFSCALAGCAANHAFRRPLPDAAASTARYFGAYGGGWVFLAFGQTKDYALLNLRDGDRLQIPYPYLAWGMAAATLSSPPENEDCLAAAICYFCLHTTPHIHAFWRMGNHVAVRTKASTPNAISRPILEDVIHHDGAFHFLTGEENLHVFPVQGFREDDDGNLVIPPMVIRRFSRGGGRDYGGGVGGVVHYLVESRGNLLMVARLVRDPLPAPPSATSEFRVFEIVKPPPGTPIDNDDESPYAWKELESLGGRMLFVARGCSRSYDAGDYHGAEFSEGVFFLDDGRLYGEALMFNDPTIRSYPCRDSGRWLPPPASAAAMVHPRVDKFLPEQGPSNYSPPSNVVVVLVVSEQLISPAAQTSPSLAPMDAPAPSPWRELNPDALRLIHERLPCLVDQRAMGGVCRSWRDAVKPRNPPPEGGPLRWIFLDPRGGGASFIPIGGGDRSFGAPEFPEEALLLVYERLPCFIERRLMARLCRSWRGAVKEQQPKPGTRPLPYILVPRAGGPSFSCVIAGCATHGFRAPLPHDARYLGAYDGGWVFLAIGQTDGVMLNVCTNDRLSLPKIVRLHGYDMFMFIFAATMSSLPEHDRCIGAAVVSHSPHAAPHCLHAFWRVGSPHPVVATAADAATSQLEDVVHHDGAFYFLTGEENLHVFRLSEFREGVYGDLDDIPAMEIVRFSRGGPGHNDHGGNLVAVRYLVESRGNLLMVARIADPLPFPPTTSDFKVFEMVESPPPPGTPIDNNGESPYAWKELESLGGRMLFVARGCSRSYDAVDYPGFKEGIYFLDDGRLYHEGRMFMDEGERHYPCRDIGKWLPAAAVAEAISRVDKFLPEQGPSDYSPPAWLLPRNTAIKSARRNRFASHPRSPMAKLNNKLGDDALRLIHDRLPCLFDRRRMARVCHSWRDAVAERQHPRNRPLPSILVLPRAAGDGRPSSCALAGCAATHGFGGDPLPDDALAARYFGSHDGGWVFVAFRQTTHYALLNLRNGDRFPIPYPYVSWRTVAATLSSPPENDDCLAAAICYGWGEQSLTTGPRIHTFWRTGPHAAARKRTRMATPKITSKSILEDVIHHDGAFLFLTGEEDLHVFPVPRFHEGDDGNLEIPPMVVRRFSRRGRRRDYGGGGVVLVRYLVESHGNLLMVVRLVGRDPPLTLTTTTMMTSAFEVFEMVKPPPWPWNSNEAQYAWKEIELGGRMLFVARGCSRSWVGQRQVAPGGVGNGGGFACGELLAGARPVELLAAGLASSPLRPPSSPGEFSAERDLTISEDSRVATMEVTLQPNRFENSLETKVESLGATIGGLQMM >LPERR05G16420.1 pep chromosome:Lperr_V1.4:5:15571297:15574968:-1 gene:LPERR05G16420 transcript:LPERR05G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGISWTLPDHPKLPKGKTVAVVVLDGWGEANADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDAALASGKIFEGEGFNYIKECFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASVNGAKRIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFKNAVEAVKTLRAETKTNDQYLPPFVIVDDSEKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACRQFGHVTFFWNGNRSGCFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVINLHGFEAPADYEPTLIEVVDK >LPERR05G16430.1 pep chromosome:Lperr_V1.4:5:15598135:15602770:1 gene:LPERR05G16430 transcript:LPERR05G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQVDEKRKQIFSPQILSLLCSASSPTPFISFSGNRRQIYLCIRYIQPSAAATISTATDNLRRPHRPLRRDGPHASALSPASFHATPPPLSASSHAMRGPLSLHPLTPPRRLLSRQCRRGPFAASSHDATPRSGQQERKGMKESDKGGAGDDGSPAPLPGMVTGLKGFQTFVDKVSAINHVTGVSEALCTMIQEYINPEQTLAVGNEDYKEIIHKGLGIPFLCGATGSVRHRRRSRFPIVVGRKSQSPENGGHRGGLTARQISRVRCLPGASANSGTHDASFDSTTGNPSTTRISTRDHLP >LPERR05G16440.1 pep chromosome:Lperr_V1.4:5:15607126:15619556:1 gene:LPERR05G16440 transcript:LPERR05G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLVKQAKVAYNAEKAREAASDHEIGSDGKKIHPGINPVMIDEDTVPPTLAPIDALERYTQISIHPLHRTNIPGILPMDIHPSKDIVATGGVDTNVVLFDWPSRQILCMLTGHSKKITSLKFVNRDELLLTGSADKTDRIWLESEDRNYSCIHTLKHHTAEVENVTVHAVQNYFVTASKDNTWCLYDISTLHCLAKVGEASGQEGYTSASFHPDGLILGTGTNDSVLKFWDLRTQSNVAKIEGHVGPVTAMSFSENDYFLATAAFDGVKLWDLRKFTSFRTYADTPTNAVEFDFSGSYIAIGGSDIRWGNQFVRRNRKKFDDSIGSKKVYQVANAEVEWNLIKTLPDLSGTGRGNSVKFGADAKYIAVGSMDSNLRIFGLQSSPV >LPERR05G16440.2 pep chromosome:Lperr_V1.4:5:15602884:15607884:1 gene:LPERR05G16440 transcript:LPERR05G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGRTAQLGNGGLIRVLFETPSGFAIFVYDGVNLIRQDAMQAVVLIGFEKFENKLAAINHDTGVSERLAMMINKYMAPGQKLAVETDGYKKIIKKSLGISCLCGRTVDELMWGLKIHMGFLVPEENSEQTNEDRFPKSVGMRLLLNRHSFRVQPDMMVTKQIIQKTGLVHECDQIVNKHSDSLRTAAEHLKEISCIDTQDWDLMKLAAALKMICCPEEKIEAGRWLFLKQQLKRFRDDAPKYKDKILKMPCLVVYDEMY >LPERR05G16450.1 pep chromosome:Lperr_V1.4:5:15623500:15624156:1 gene:LPERR05G16450 transcript:LPERR05G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTSSNGIWQGDDPLHFAFPLLILQSLLILLLRRLLALLRPLRQPKVIAEIVAGILLGPSALGRNKRYLAALFPPWSSPVLESVASLGLLFFLFIVGLDLDLRSVRRSGRRAFAIAAAGISLPFGCGVCVAFLLREHLPGAAESGYVPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGNNGRPIFSL >LPERR05G16460.1 pep chromosome:Lperr_V1.4:5:15624214:15624468:1 gene:LPERR05G16460 transcript:LPERR05G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVARRSDAQGGGEVWIAVTLAGVLASGVATDMIGIHAIFGAFVFGLTVSKEGEFAGRVTERVEDMVSSLLLPLWPPPKPPKP >LPERR05G16470.1 pep chromosome:Lperr_V1.4:5:15631183:15631813:1 gene:LPERR05G16470 transcript:LPERR05G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNSAEAKLAHAVVLNVKLHEQANYYKDKLETLLKKHEDLKRKSTKELSAMKTKHNEEFLKMKTELDEARRVNAEFCQAVEPILDNLHVATVGTNTSSFETVVELLQSAPSRLKKIILKSASVACGQTLAVIIPEEIWVQNSQTQGKIEDNRVTL >LPERR05G16480.1 pep chromosome:Lperr_V1.4:5:15636849:15639636:-1 gene:LPERR05G16480 transcript:LPERR05G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSGRGQRDRAIQVERICSCNGEDGDEGEETRETGGGSTGGGKEGDVRAEDGNGSNLWLRRGVTTDGEVRAGGVEESGNGDGRSLSVSRSRIVEPLQILLPGSAPTAVNARGAGPRGGDLARIGGGAGAASGGRRSGSEVALSRPGAGRGSEINQYVQKLNSLEKGQPYIFRVGSGHR >LPERR05G16490.1 pep chromosome:Lperr_V1.4:5:15650339:15653840:-1 gene:LPERR05G16490 transcript:LPERR05G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLFIRSVSVINTAGSAIGVFLYVTFSPTAKSTLFRSMGFEDAAASASDSAADAEAMEPYVERKTLLTHWFLEIDW >LPERR05G16500.1 pep chromosome:Lperr_V1.4:5:15657853:15663154:-1 gene:LPERR05G16500 transcript:LPERR05G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVFTRLLQTLVDCRKFFPREKRKRGKQLKTCRFLSNSIGTAASPIRFTPSPPHNAPRAAAGDIFLVSSSPLPRRRLRALFVPSVDATIPFVRRLMARIKPKQLLIQSKTKKAPTRISYSTIITWNLIVVLVVLSLYATYRHWHHRPIIEAEFDLPQTEHVGRSEDSTETETSRPSYAVMDTAKGSITIEIYKDASIHVVDRFSNHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDIFITTAPIPDLNDKLVVFGRVINGEDIVQEIEEVDTDEHYQPKTPIGILNITLKQEL >LPERR05G16510.1 pep chromosome:Lperr_V1.4:5:15665401:15668363:1 gene:LPERR05G16510 transcript:LPERR05G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCHSWRDAVKEQLRPLPSILVPRAAAGGGPCFSCAVAGCSTHGFARSLPHGARFFGSYDGGWVFVAFGHFLHHELLNLRGGDERFPLLFAGLAVVAATLSSPPPPSATSASWTAHAFTRSGAWIVTGHALEDVIHHKQRFYFLTGEENLHVFPLLDFHGHDDGYDLDDIPPMAIFRFSRGGRRNDYGENTVAVRYLVESRGNLLMVAMIADPLPFPPTTSNFKVFEMVKPPPGTPIDNNDDSPYAWNELESLGGRMLFVARGCSRSYDAVDYPGFKEGIYFLDDGRLYHEGRMFMDEDERHYPCRDIGKWRLAAARPVELNYSSPLNHKLGEDALRLIHDRLPCLFDRRRMSRVCHCWCAAVKPHQHPPDRPLPPITFPLVRPRRLRRHPRLRRRTAPGRRPRRALLRRPRRRLGLRRLPPNHGLRAPQPPQRRPIPHPYPYVSWGTVAATLSSPPENEDCLAAAICYGWGEQSLTTGPRIHTFWRTGPHAAARKRTKTATTKITSKSILEDVIHEEDLHVFPVPRFHEDDHGNLEIPPMVIRRFSRRGPRRRDYGGGGVVVVRYLVESHEKLLMVVRLVFRDPPVSPTTSAFEVFEMVKTRPWPWNSNEAQYAWKEIEVGGRMLFVARGCSRSYDASDYPGAGFDAGVYFLDDGRLYGESAMFMPSVAASAPQFHCPLMDSGKWLPATEADTRVENFLPEQGPSNYSPPVWLLP >LPERR05G16520.1 pep chromosome:Lperr_V1.4:5:15668667:15669325:-1 gene:LPERR05G16520 transcript:LPERR05G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRQEHKRHNLKNQKQHRNVQLDSELKLSSTDPAAARSHHNHNHVLFFYIVLVPLLCPAFSSPQRPPAPRHRQQSQRRGRRLDRRLRQKDVWCKTQWEEAWPRRTNREVVAKESGGIGGGERQR >LPERR05G16530.1 pep chromosome:Lperr_V1.4:5:15672631:15673611:-1 gene:LPERR05G16530 transcript:LPERR05G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNNKLGDDALRLIHDRLPCLVDRRRMSRVCRSCAPPSRHPRNRPLPSILFPRGGDGPPFSCALAARYFGAHDGGWVFVAFRQITDYALLNLCNGDRFPIPYPYVSWRTVAATLSSPPENGGCLAAAICYDCGEHSLATGPRIHTTRMATPKITSKSILDDVIHHDGAFHFLTGEEDLHVFPVPRFHEDDHGNLEIPPMVVRRVSRRGPRRRDYGGGGLVVVRYLVESHENLLMLDRLVIRDPPLSPTTTTTVMSAFEVFEMVKPRPWPWNSNEDQYAWKGIEVGGRTVRRLRLLQIVRCVRLSGCRVRRWRLLPR >LPERR05G16540.1 pep chromosome:Lperr_V1.4:5:15674438:15676150:-1 gene:LPERR05G16540 transcript:LPERR05G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPSQHVEKILLYCHGPLPARETESCRESRLLRQNSTREKKKRAQGHATRGGITSRECPRRRNPPKCLWLLLDSTRSRAIHLQPNPFLLRAHAFAEPDAASRSIVRLAPTSPDPLPSPAELGYRKGLTSSKAARLEEAQEPPGEPRGDGGGARASIRRTGAVVRGRRIGAVVWGRRIGVASFLGAEQHADASKSNSCIAQHAESSRSNSGKEQRACASSSKRQARSVITLSMKSWIAISRTCSINLEILLHQTWRRFMSRMTQML >LPERR05G16550.1 pep chromosome:Lperr_V1.4:5:15677184:15679445:-1 gene:LPERR05G16550 transcript:LPERR05G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLTSVHPHPCEPRHASPSTLPLYRCHLRTDAARYLPPSPSVFAVLPSHRPLHIGVSVGRLWLCARTCENYARPPQLQDVFGSCRHHNHGRVGMGRWLERPAPLIIGYNVRHISQETYDIQANMEVIAINQDNVVIALYDALN >LPERR05G16550.2 pep chromosome:Lperr_V1.4:5:15677046:15679445:-1 gene:LPERR05G16550 transcript:LPERR05G16550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLTSVHPHPCEPRHASPSTLPLYRCHLRTDAARYLPPSPSVFAVLPSHRPLHIGVSVGRLWLCARTCENYARPPQLQDVFGSCRHHNHGRVGMGRWLERPAPLIIGYNVRHISQETYDIQANMEVIAINQVTTWLT >LPERR05G16550.3 pep chromosome:Lperr_V1.4:5:15677184:15679445:-1 gene:LPERR05G16550 transcript:LPERR05G16550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLTSVHPHPCEPRHASPSTLPLYRCHLRTDAARYLPPSPSVFAVLPSHRPLHIGVSAPLIIGYNVRHISQETYDIQANMEVIAINQDNVVIALYDALN >LPERR05G16560.1 pep chromosome:Lperr_V1.4:5:15687947:15689043:1 gene:LPERR05G16560 transcript:LPERR05G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHGLSAAAAASGRLRRALSTAATSHPPWALIHRILTAQESTGPGVSLSLAPPPRASHVTIPARAIAVNDHAKISGDSRVTFRGRGVLATSGDGLLLVYTFKACFPDGHPIPALTRDDAIKTVYEHFASFICNPLTGELFRLPEFDGTEKTGEDAAPPKRYVVAQLSNVTYSDSTNGDSANGAEHDDHELRGRGQAPAAVASADHESRGRGQAPSADHKRRGRGQAPAAVATSANHERQGRGQAPSAASGDHERRGRGQAPADHQASPSHYVVSAAIGDTISAALDHHHTGDGSISVGQQELVPMGSRRRDDHLCRRGGNFPPDIGRRSDAGKRIQFMA >LPERR05G16570.1 pep chromosome:Lperr_V1.4:5:15691359:15693448:1 gene:LPERR05G16570 transcript:LPERR05G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAPSPPRALIPDALRIIHARLPCLVARRRMARICHSWRDAIKPQQPRPEETPLPWILVARDGGGGPSFSCALRGCRTHATRGFVVPSYARAARYRGGWLFLAIYQTMKHGMISLRTVQQLHIPDFVQILDRPDMRIVMAAATLSSPPKRESCVGAAIVYTIPMVTPPTQAFTRSGAWVRIRRSRGALTPPSSPVVRQIFRFSRNDYGENAVAVRYLVESRGNLLMVARLAPHPVPLSPPTSTFKVFEMVPPPPPGITPISNDGASSFAGSYDAAKFPWIGFNEGVYFLDDGRLHNEGAMFLNPQFRQYPCNDSGKWMGEAAVPCVDNFFPGRGPSNYSPPVWLFPRKCSNYFDPRGTVQNIEYYCTR >LPERR05G16580.1 pep chromosome:Lperr_V1.4:5:15696090:15696755:-1 gene:LPERR05G16580 transcript:LPERR05G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNLPVMAPPSPAKTAVVGGGDGDGDQAVVLTVWRKSLLFNCKGFTVFDARGDLVYRVDTYAADSRAEVVLMDAAGAPVLTVRRKKAIAGLGSDQWLVYPGDDEETRRRSPPLYAVKRTPQYVRGNGNGKSMAHVALCGGGGGGYEVEGSYLRRRCAVYDERRRAVVAEVQPKEAVVGTDVFRLVVRPGMEVSAAMAVVLALDQMFGKPSLLRSWSS >LPERR05G16590.1 pep chromosome:Lperr_V1.4:5:15704951:15707687:-1 gene:LPERR05G16590 transcript:LPERR05G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILQAAAGTDAAVPLIKNATLPPPGAAGNGTGGSGSGAVVCYSPMMVTAYGIWQGVSPLEFSLPLFILQVAIIVATTRLLVVLLKPFRQPRVIAEILAGVILGPSVMGQVSTWATTVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNVIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLYVLLAGVAFVLACFYVVRPLMWWVIRRVPEGETIGDVHVTLILTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNMTRVRDPITVGLLVLVFTMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSATTGAGLGDHIFNAFESYEASAGGVSVQALTAVSPYQTMHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMATVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRFVPPGYTPPAISPSPAAAAAPASAHSRAITIVAEAAKSERQMDEEYLNEFRSRNVGNDAILYVEQVVANSEETLAAIRNLDNAHELYIVGRHPGEASSPLTSALTEWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVITAPLPAPAPAGGAMTEDPVRQYVTNANQRPSAAGFGGGNQMGAAVGRGGWSGGGGGY >LPERR05G16600.1 pep chromosome:Lperr_V1.4:5:15708241:15711770:1 gene:LPERR05G16600 transcript:LPERR05G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFGPEEQIVWPASVLAGILMCAAVYDITREVSSRCYKGYNGLNDLHKVEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWVSDAMFGISLGYFLTDLVMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLFTEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWLIARIILFVYFFAHLYLHFDQVRTVFPLGFYSTMVVPPAMSAMNIIWFQKICKGMIKAMSSASKRS >LPERR05G16610.1 pep chromosome:Lperr_V1.4:5:15713808:15715266:1 gene:LPERR05G16610 transcript:LPERR05G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLGLMQFHDHHQYLYSNSSSSNLSLPQPFLPLEPNEHCAGDDDVPEFDVEQPAAPDLGACKEVFSDEERTAAMEEAHGVRMMALLMECAAAMSVGNLADANAAMLELSQTASPYAASCGERLVAYFADAMASRLTRSWIGLASPPPPFPPPCAAASINAAFRALHNVAPFARLAYLASNHAILDAFRGERHVHVVDLDAVPGGALQWLSLLPALAARPGGPPRSIRVTGFGAASASSSSELRDAGSQLAALARKLCVSFEFCDGGGGVRRRAGEAVAVHWHRHAMYDGDGEAMRMVRWLEPKVVTLVEPEMAASGGGEGRFIERFVSALHHYSAVFDAMGAARPDGEDASRHLAEHGVFGREIANVLAGDVGGGGGSLREELERNGFVRAGGGGGRAQMVAGACPAGVGYTVAGDHDGTVRLGWKGTPLYAVSAWTWCPSPHGRTGLI >LPERR05G16620.1 pep chromosome:Lperr_V1.4:5:15716184:15720267:-1 gene:LPERR05G16620 transcript:LPERR05G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQPGGASPAKRRWRSVAAASAAVALLFLSVGVPLAVLLGLHQRFPSVYLADESAVSVFGGSEGGSWEPNTTTSQENDSLPVNDMDKFSLSTDKDWSKTNISSSDGESEGINIQPNIDKLVTSNTPILPGLPIQQIALFDDISLLNSMKSCQLEFGSYCVWSVEHKEVMKDSIVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKLSIQEHEHMLSDAICDADLPRFHGVNMAKMEKTISAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMESSNVQKLENPVLRHHVIFSTNLLASSMTVNSTVINSEESANMVFHLVTDAQNFYAFKNWFVRNSYKEATVSVLNFEDFQAKHLDNRRVEHLSPSEEFRVTSNSDARVPNTRMRTEYISVHGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLADFPYDASSCIWMSGVSVIDLNNWREYDVTAIRNRLLQKLRHDTEASWRAAVLPASLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIPRYRKYWKKYLPRDDPFMVGCNVNP >LPERR05G16630.1 pep chromosome:Lperr_V1.4:5:15720796:15721205:-1 gene:LPERR05G16630 transcript:LPERR05G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAFLHVPTKKEQRGVNLGNEEKKKKKLSAVVSWINGAKWTTKQKGMATPKSQHTQQPRHLRSN >LPERR05G16640.1 pep chromosome:Lperr_V1.4:5:15721309:15723454:-1 gene:LPERR05G16640 transcript:LPERR05G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTRIAAAAGVLLVAVALTSSGVVNGEDPYVFFEWHVTYGTKTLIDGAPQKVILINGEFPGPRINCSSNNNIVVNVFNELDQPLLFTWNGMQHRKNSWMDGLAGTNCPIAPGTNFTYKWQPKDQIGSFFYFPSLGMQRAAGGYGPISVVSRLLIPVPFDPPADDLEVLIGDWYTKDHEAMAKLLDTGKSFGRPAGVLINGKSGKDASDPPMFTVEPGKTYRFRVCNVGIKTSLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCFSVLVDADQKPGDYYMVASTRFIHDAKSVSAVIRYAGSNTPPSPNVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLLVSRGHIDGKLRYGFNGVSHVDADTPLKLAEYFNVTDGVFRYNQMGDVPPAVNGPLHLVPNVITTEFRTFIEWTPEARTTYNLLDAVSRHSIQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYVSVVSPARSLRDEYNMPENALRCGKVVGLPLPPSYLPA >LPERR05G16650.1 pep chromosome:Lperr_V1.4:5:15726034:15726602:-1 gene:LPERR05G16650 transcript:LPERR05G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYILNGIFSAKSDVFSYGVLLLEIVTGRRNTFINNSEAEGLLAFVISARSHLQITLHRLILLADVQVWRHWSRGDTAELLDCCTAAGHRQQEILRCVHVGLLCVQEDPQLRPGTAEVVAMLKSRSETLPQPSAPLVSAGREIGGNPAAAAAAAAAVDALLRSTDHAVPTAT >LPERR05G16660.1 pep chromosome:Lperr_V1.4:5:15727019:15728413:-1 gene:LPERR05G16660 transcript:LPERR05G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSSSAAQCPDGQSLGPANCGCAFSYNGKMIFMAPFFTDVTTGEPFLQLETSLSTQLDLRLGSVYLSNVHWNENKYLQVQVKLFPSSGMAFNLVATGNFSEENKLGEGAFGPVYKTLQDNKILTGSKDSRSLKGSGEGFFIFMKIQGTRSSTVI >LPERR05G16670.1 pep chromosome:Lperr_V1.4:5:15730732:15752440:-1 gene:LPERR05G16670 transcript:LPERR05G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVFLLFLLLLLAFAPAVLCQTNAQDVAALEGLKSQWTNYPPSWRNSGDPCTGGWDGIMCTNTGRVNSLRLSSVGLQGTLSSSIGQLSELVYLDLSFNINLGGTTNLLTDVHKCSAIGRILAGCSFNGKIPTEIGNIRQLWFLALNSNKFTGGIPATIGVLTNLFWLDLADNQLSGPIPISTSTSPGLDQLVKTKHFHFNKNQLTGTLNGLFNSNMTLIHILFDSNQFSGSIPAEVGGITSLEVLRLDRNGFVGAIPATIGNLVKLNELNLASNKLTGTVPDLSNMTNLNVVDLSNNLFDVSVAPGWFTTLTSLTSVSIASGKLSGQIPKGLFILPNLQQVVLSTNQFNGTLDMSGSISKQLQTVDLMNNQIVATDIGSYKNTLLLAGNPLCAEQDPNSKAFCSRQQQNAAPYSTSTAKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLSSSLLFQQLESSMSTQLNLPAGSVALSDIHFNSDNYLQVQLKLFPTSGPNFNLSDLIRIGFSLSNQTYKPPSSFGPYFFIADPYAQLAGALGGKKSKMSTGAIAGIAVAGGLLVVALIFMSMFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKNCTNNFSDNHEIGSGGYGKVYKGMLGDGTRVAIKRAQPGSMQGAMEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVKMAIDPADRDHHYGLRGIVDPPIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLLNEPDGAGAGEWGDSSADPSANEFPLAGDGDGGARGHPYSDVEISRGSYAGDGASDYMPYFEVKPKVFVNFSVYQARRCRTAGDEDTGAHDGAAATLATGACMGEFTGAAALQALMRQWTNYPSNWSSGDPCGGWEGITCSGGRVKSLRLKGDKVQGILSSSIGQLSQLAILDLSFNTGLGGPLPAKIGDLKQLTTLFTGEIPKEIGNLLQLWFLDLSNNAFDTSVAPSWFTSLSSLNSLSMANTSLCGQVPKGLFTLPQLQQVMLSNNQFNGTLEMAGSITNQLEVVNLQNNQIVSRNITGYNNTLIIQRQNTAYATSITQCASAADQCPDDQSLDPVNCGCTAHAYNGTLFFKAPFPDVTAREPFRQLEMTLWMQLELWPGSVYLFDVHTDSYGNLHVQVKLFPPSLGVAFDLSEVSRINLALGNQTYIPPSSFGPYYFIGAPYYYSDPGTADDDKSGITMGVKATIGAVGGLLALALIFMAIFALQRKREAKETVERVDPLDSWEAPQLKGTRLFYVDELKSRTNNFSDSHEIGSGGYGKVYKGTLTDGTLVAIKRAQLCSMQGVVEFRNEIELLSRVHHRNLVSLIGYCYEQGEQMLVYEYISNGTLRENLMARVMPLDWQKRLRIALGSARGLAYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIADTKTHVSTQVKGTLGYLDPEYYMTQKLSEKSDVYSFGVVMLELMSGRQPIENGEYIVREVRLAINPGDHDHYGLHGIVDPAIQDAARTTGFRQFVQLAMRCVENSTAARPAMGTVVKEIEAILQNEFAVGSNSAGSSATEFEGVGNGAQKHLCSNASVSALRGLMQQWRNYPSSWNSGDPCDGWDGVSCSNGRVTSLRLSSINLQGTLSSSIGLLIQLAHLDLSSNIGLSGPLPVEIGNLVQLTTLYAPHCSLL >LPERR05G16670.2 pep chromosome:Lperr_V1.4:5:15730732:15752440:-1 gene:LPERR05G16670 transcript:LPERR05G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVFLLFLLLLLAFAPAVLCQTNAQDVAALEGLKSQWTNYPPSWRNSGDPCTGGWDGIMCTNTGRVNSLRLSSVGLQGTLSSSIGQLSELVYLDLSFNINLGGALPAEIGNLGQLTTLILAGCSFNGKIPTEIGNIRQLWFLALNSNKFTGGIPATIGVLTNLFWLDLADNQLSGPIPISTSTSPGLDQLVKTKHFHFNKNQLTGTLNGLFNSNMTLIHILFDSNQFSGSIPAEVGGITSLEVLRLDRNGFVGAIPATIGNLVKLNELNLASNKLTGTVPDLSNMTNLNVVDLSNNLFDVSVAPGWFTTLTSLTSVSIASGKLSGQIPKGLFILPNLQQVVLSTNQFNGTLDMSGSISKQLQTVDLMNNQIVATDIGSYKNTLLLAGNPLCAEQDPNSKAFCSRQQQNAAPYSTSTAKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLSSSLLFQQLESSMSTQLNLPAGSVALSDIHFNSDNYLQVQLKLFPTSGPNFNLSDLIRIGFSLSNQTYKPPSSFGPYFFIADPYAQLAGALGGKKSKMSTGAIAGIAVAGGLLVVALIFMSMFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKNCTNNFSDNHEIGSGGYGKVYKGMLGDGTRVAIKRAQPGSMQGAMEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVKMAIDPADRDHHYGLRGIVDPPIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLLNEPDGAGAGEWGDSSADPSANEFPLAGDGDGGARGHPYSDVEISRGSYAGDGASDYMPYFEVKPKVFVNFSVYQARRCRTAGDEDTGAHDGAAATLATGACMGEFTGAAALQALMRQWTNYPSNWSSGDPCGGWEGITCSGGRVKSLRLKGDKVQGILSSSIGQLSQLAILDLSFNTGLGGPLPAKIGDLKQLTTLFTGEIPKEIGNLLQLWFLDLSNNAFDTSVAPSWFTSLSSLNSLSMANTSLCGQVPKGLFTLPQLQQVMLSNNQFNGTLEMAGSITNQLEVVNLQNNQIVSRNITGYNNTLIIQRQNTAYATSITQCASAADQCPDDQSLDPVNCGCTAHAYNGTLFFKAPFPDVTAREPFRQLEMTLWMQLELWPGSVYLFDVHTDSYGNLHVQVKLFPPSLGVAFDLSEVSRINLALGNQTYIPPSSFGPYYFIGAPYYYSDPGTADDDKSGITMGVKATIGAVGGLLALALIFMAIFALQRKREAKETVERVDPLDSWEAPQLKGTRLFYVDELKSRTNNFSDSHEIGSGGYGKVYKGTLTDGTLVAIKRAQLCSMQGVVEFRNEIELLSRVHHRNLVSLIGYCYEQGEQMLVYEYISNGTLRENLMARVMPLDWQKRLRIALGSARGLAYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIADTKTHVSTQVKGTLGYLDPEYYMTQKLSEKSDVYSFGVVMLELMSGRQPIENGEYIVREVRLAINPGDHDHYGLHGIVDPAIQDAARTTGFRQFVQLAMRCVENSTAARPAMGTVVKEIEAILQNEFAVGSNSAGSSATEFEGVGNGAQKHLCSNASVSALRGLMQQWRNYPSSWNSGDPCDGWDGVSCSNGRVTSLRLSSINLQGTLSSSIGLLIQLAHLDLSSNIGLSGPLPVEIGNLVQLTTLYAPHCSLL >LPERR05G16680.1 pep chromosome:Lperr_V1.4:5:15747629:15748892:1 gene:LPERR05G16680 transcript:LPERR05G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGVSDELGEAEIGDLGFEVVVEEDVGGLDVTVDDRWVGELVQASPLADPSAILNLFFQSKANIDMKMRATTRSPPATAIPAIAPVLILDFLPPRAPGTHKSRVSTLHTTIYYYFCANT >LPERR05G16690.1 pep chromosome:Lperr_V1.4:5:15754972:15757250:-1 gene:LPERR05G16690 transcript:LPERR05G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMALSLLLFAVTLSLLEMYRGKFASSEIMTIAGGFVSSLLFLLLLTFIGNYQEANGVRTGWGAVVAAELVALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILTRSESKVRRH >LPERR05G16700.1 pep chromosome:Lperr_V1.4:5:15763798:15765268:1 gene:LPERR05G16700 transcript:LPERR05G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRSRSESTQWCQIKHASLNRKAVDANLFQSIYVPSKFSSCWLVKITVVYFASPFMHVRKLLYSNANSHEKLERVV >LPERR05G16710.1 pep chromosome:Lperr_V1.4:5:15765297:15768485:1 gene:LPERR05G16710 transcript:LPERR05G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLAQRGSSMTGSPSLGIQQPRGGISSAWFPSNNLPTSIYQIPHGYPSLSNQGAAPFAGNVAPEMSTYVSIVGGSNTGRTISSGGLSVHTVASPVNLSGSGALNIRGSNQMGGIRRQESPVMNMLGSSSSAPGGTPSKNQLQAGSSSSGSSGMRHDGNFGENSPFDINDFPQLVGRPNSAASVQGLYGSSRQQTTGVSAVVQQNQEFRIHNEEFPALPRLEEFLANYLMLEHYLMLCFFGCKMGKSSGFNPGSSYPPRQQHKQTDTSVQKTGLEKTESRPVNSPRSSLNSRRHEQLIQQNHEPQAQNSVRLQSSSGPESHNIQSPNSSQGTDTAGDPYGLHGLLGLMKLKEDGPASLALGIDLTTLGLDMNSSDGLYKTFGSPWSTETVKEEYAYEIPPCYSAKQPPPLQALHFQKFFLSTLFYIFYSMPKDAYQLYAANELYKKGWAYHKGLCQWIKRVPNVTPLVQTTTYEQGSYTVFDENAWRTTQKDNFIVRYNDLEKMPALPSILPATQNDSERTTKVRFGAPIGIFGANRQ >LPERR05G16720.1 pep chromosome:Lperr_V1.4:5:15774582:15779649:-1 gene:LPERR05G16720 transcript:LPERR05G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEPIEFEAAARRKRESHAPAVAVADQGSNVKVEVGANEVSLPRANPSNMPRKHGKNRMRKDKGSATGLKGLQTPINLFEDECAFCHSFRTPPQFHGPMAHYQKGKLLSSDNGNPCPSDIIFGPTSILHGRYYYELGVGNTSCLEIEVCKMQASWSCSWVNCYLMCPEHATEILQSDKINSPIEETGNSSSFPQSPLSIEQGTFADCEREDHRIDQRNTSSYFPQGEISAKGVSAVQNSEMDKLNTSCSSFPQGQDKDVISTNDRRKEKQKDHLCAERNCSSDQWVLLGSALSASEKEFASWTGATVVIEWTENVTHVIVGRSVGSAWSRSYEVLMALLFGKWIVTRIMDFLVKFTASPETTFELRFSHNSHTSIDGNNKRRNQASEGAQKLFSGLNFCLSAYMNPDNRQHIESLIGAAGGQILEISGSHSLWGKNANLEKPLYFVYNGGFVYHGGAPSEFTARLLDDLSKELGESVEYAAHGARVISNLKLFDAIAAYDAQILNHKG >LPERR05G16720.2 pep chromosome:Lperr_V1.4:5:15774582:15779649:-1 gene:LPERR05G16720 transcript:LPERR05G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEPIEFEAAARRKRESHAPAVAVADQVNCYLMCPEHATEILQSDKINSPIEETGNSSSFPQSPLSIEQGTFADCEREDHRIDQRNTSSYFPQGEISAKGVSAVQNSEMDKLNTSCSSFPQGQDKDVISTNDRRKEKQKDHLCAERNCSSDQWVLLGSALSASEKEFASWTGATVVIEWTENVTHVIVGRSVGSAWSRSYEVLMALLFGKWIVTRIMDFLVKFTASPETTFELRFSHNSHTSIDGNNKRRNQASEGAQKLFSGLNFCLSAYMNPDNRQHIESLIGAAGGQILEISGSHSLWGKNANLEKPLYFVYNGGFVYHGGAPSEFTARLLDDLSKELGESVEYAAHGARVISNLKLFDAIAAYDAQILNHKG >LPERR05G16730.1 pep chromosome:Lperr_V1.4:5:15781314:15782953:-1 gene:LPERR05G16730 transcript:LPERR05G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAIKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQSKGAAPRGSKGPKIGGGGGKR >LPERR05G16740.1 pep chromosome:Lperr_V1.4:5:15796706:15798194:1 gene:LPERR05G16740 transcript:LPERR05G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMARRYGGELVAVLALSCLLLLPLLVSSRSLHLTGQQQQQQPPSLEISKEEMVSTVAEGAQGLGRRLAARMNVEVNDYPGSGPNTRHDPPKAPGRP >LPERR05G16750.1 pep chromosome:Lperr_V1.4:5:15803789:15804520:1 gene:LPERR05G16750 transcript:LPERR05G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFDPHRRRRRLGVRSPSPSAEAATRRRRRLLTSLCDDHAPTAGAAAAADAVALIAVVSALAFLVTPYIRMAAAEVAGLVVSAVEEVPCSYYAPFAAAGAGAVIAAVAGVAAWDVVGHRARRCGKPRCRGLRRAVEFDIQLETEECVRGQQQRQERMQLLLAAAGAGAGAGAGGGAGVRPVQLGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >LPERR05G16760.1 pep chromosome:Lperr_V1.4:5:15805429:15807582:-1 gene:LPERR05G16760 transcript:LPERR05G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQGQANVGTAKNALGCTAVADKIPGTSTLAMASANVIPPAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKIKISELVSQVSNECLSNAVTEIRESSSIHRLEPRQIQFVESSAHNCLTAAEGFIKEHRLQSHGVLKAYDDSTLSCHKRSQDQETQYSLNRSLSERRMGHLYSGIEYHKAEGSESDTEVLHEYITPQKNGGGSTTSSTSGSKEINVEKLYLDEPSCKRQAVDYQRESKLLDFEQQSSGKKLDLNTHNIDDNDQGYRHFDLNGFSWS >LPERR05G16770.1 pep chromosome:Lperr_V1.4:5:15806000:15811713:1 gene:LPERR05G16770 transcript:LPERR05G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNFQNKLHTDDEKAGAMQTSGRRGASRIFAADLTDVVQAISGGKGRRRLDSGICLKMIKLWLALPKVVCDGIVGSSQSSMAIGCSAFA >LPERR05G16770.2 pep chromosome:Lperr_V1.4:5:15806000:15811713:1 gene:LPERR05G16770 transcript:LPERR05G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLWLALPKVVCDGIVGSSQSSMAIGCSAFA >LPERR05G16780.1 pep chromosome:Lperr_V1.4:5:15814731:15815714:-1 gene:LPERR05G16780 transcript:LPERR05G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAITRYWCHECQQAIEEAMVEEIRCTFCGSEFVEEVTGEEIERLTNRQQEPGFLQWGVLENSIEHPGDARDSDDEDNDIGREFEGFIRRHRRASALRRVLDSIHDDLSDDQERDSSILINAFNQALALQSSVLDPDEGQSDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEVVEALPTVKIEEVISCSVCLDDLELGSQAKQMPCEHKFHSACILPWLELHSSCPVCRFELPSDVTKDLNEPGSIDRVEDDSHEEVRADGPGNVSESSNRPWAIAPWLNELFSRREPQNVGSVFTDQQPHAPGTHPNAGQS >LPERR05G16790.1 pep chromosome:Lperr_V1.4:5:15817656:15820755:1 gene:LPERR05G16790 transcript:LPERR05G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGQSTEKAMAMAVAVVALAAGAAFLFLRISSSSKKPKGCLDPENFKEFKLVEKRQISHNVVKFRFVLPTLTSALGLPIGQHISCRGQDAAGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMKVGDYLSVRGPKGRFKYQPGQVRAFGMLAGGSGITPMFQITRAILENPNDNTKVHLIYANVTYEDILLKEELDSIAETYPDRFKIYYVLNQPPEVWNGGVGFVSREMILTHCPAPSADIQILRCGPPPMNKAMAEHLENLGYTKEMQFQF >LPERR05G16800.1 pep chromosome:Lperr_V1.4:5:15821808:15827182:1 gene:LPERR05G16800 transcript:LPERR05G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDEKMKGCRPKLFGTKDKKVVKRTDYQNCSTVFAEVRSIRLSHFLGHSSNTTKTEPFRIFVSTWNVGGNTPTAELNLDDFLPADDNSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALINRALNKPVDCSADIFQHKPSYSLDSTSSLSSSNLDVSFSNRSKTASGSSAIFQKSSLKSIRKPYMPTQRKLLKLCNCSVEMARKSYKDACFGCPQAYTNETDSSEEDETDDRLNDPCGYIVDRTNASSSRDQLKYNLISCKRMVGIFITVWAKKELVHHVGHVRTSCVGRGIMGYLGNKGCISVSMTLHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRKIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFKGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQDTKSKKRSSNTNIRIGAEELLPTSKSKSNKNKGNKDAGT >LPERR05G16810.1 pep chromosome:Lperr_V1.4:5:15827958:15832906:1 gene:LPERR05G16810 transcript:LPERR05G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTDVAEGGETVFPLAEEFTDGGTNAEDATLSECARKGVAVKPRKGDALLFFNLSPDSSKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHAQGNCTDDNESCQKWAALGECIKNPEYMIGTAALPGYCRRSCNVC >LPERR05G16820.1 pep chromosome:Lperr_V1.4:5:15832185:15834320:-1 gene:LPERR05G16820 transcript:LPERR05G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRWPATLAVAVAVVAVALLAAAPPGALAKTSPSSMPPVAAAPSPAGGLDDACLNALLNMSDCLTYVTNGSRLRRPEKPCCPELAGLVGSNPVCLCELLSGAGDSYGIAVDYSRALALPGICRVQTPPVSTCAAFGYNVPMGPAGAPAPAAMSPSGEGPQFPGTSPFASPPSTATPSRNHGSRRSGEHLAAIAVAAIVAGMF >LPERR05G16830.1 pep chromosome:Lperr_V1.4:5:15839709:15842312:-1 gene:LPERR05G16830 transcript:LPERR05G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKMA >LPERR05G16840.1 pep chromosome:Lperr_V1.4:5:15849316:15852911:1 gene:LPERR05G16840 transcript:LPERR05G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGSGGGRDAGSAQRMQSLGRQGSLYGLTLNEVQNQLGEPLLSMNLDELLKSVFPDGVDPDGGGGGAAGQSQPALGLQREGSITMPPELSKKTVDEVWKGIQDVPKRGGEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDGYLKDSSDLVGNMDVVGGTAAAAGTSDLTAGAQWLQRYQQQALEPPHPSIAAPYMASHLVPQPLAIATGAVLDPIYSDGQITSPMLGALSDPQTPGRKRGATGEIADKIVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELDEILNSAPPPEPKYQLRRTSSAAF >LPERR05G16850.1 pep chromosome:Lperr_V1.4:5:15853361:15857369:-1 gene:LPERR05G16850 transcript:LPERR05G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRKAKQDPKKKLQFERSPGKRRAPAQSGGAGTSAAATPRSARTAAGQSGEGTPGQQTGQRKKQRFRPGTVREITGFYSTDVTRWTPEALVALQTAAEYHLVDLFEVANLCAIHAKRVTISKCAKGHTTCQAYWRAEAMFCLGLELLLGFSFLRLALTSTSAAAAAAACNGCDLAGALCNSFLDRVRPSSPRSTALMLAKQCRRSMREMAQMVADLMVFGELLLNAG >LPERR05G16860.1 pep chromosome:Lperr_V1.4:5:15858266:15861599:-1 gene:LPERR05G16860 transcript:LPERR05G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMMGMQQGSKSVPNSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLILELCSGGELFDRIVGRDRYSEFDAACVVRQIASGLEALHKASIIHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDISDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVTKLQRFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDRTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDANSDGKVTFDEFKAAMQKDSALQDVVLSSLRPGVQ >LPERR05G16870.1 pep chromosome:Lperr_V1.4:5:15861674:15864568:-1 gene:LPERR05G16870 transcript:LPERR05G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDILAGSSSDEESGGEGEDISKIKINEEYARRFEYNKRREALQRLEELKKKRLADGGGEEDADEDDDSSSDDDEDAAAVASRRVDRRVFEVIRKIRSGDAAILDKEAKMYSSSSDDEKEGEKPKEEKRKKKKEKPLYLKDVNARHLLEEGPESAAGSSHGDGRSSKYEKIAYDEQQRKGLEEFLKAEKAEFGDGDGDEDDLFQVKPKKKKGGDDDDGEKEDDDEEKETEKILGEIFGDDEELDENEKFLKDYLLTRPYLASGNEKKFSMEDIQEVSDEEEDLLDQEDYESFYNFRHEEAAATGAQVDRVMGHSRFVEGSVRKKESSRKQQRKSKEERIARAKQEQVEELKHLKNLKKKEIAEKLERIQAIAGIDSDAACKLGADDLEDDFDPEDYDRKMQEMFNDNYYDADDVDPGFGSGEEIDLEKPDFDKEDELLGLPKDCAHDGLEEGSAATSEGASRKKKDGKEIANGEEIGQKTKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYRQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREQEWKVTHHRKLSKDSILGGQSKEGKKVKTKKKSKSEEGPPASSEQEKEELPNEQEDAAGKRKSTRSERRKRRREDLKIAPKRQAAYGKINPKRQSFANWITAS >LPERR05G16880.1 pep chromosome:Lperr_V1.4:5:15864830:15866907:1 gene:LPERR05G16880 transcript:LPERR05G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAKKSTDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLGKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINSTPAAQ >LPERR05G16890.1 pep chromosome:Lperr_V1.4:5:15867673:15873010:1 gene:LPERR05G16890 transcript:LPERR05G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTLVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKKRLDAHGNVIEARKEGIGGAKIERPLQKHGGRLGKGEEYCGTCYGAEESDEQCCNSCEEVRDAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNMNVPELSVLEQGFNITHKINKLSFGTDFPGVVNPLNGAQWTQPASDGTYQYFIKVVPTIYTDIRGRDIHSNQFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENKSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >LPERR05G16890.2 pep chromosome:Lperr_V1.4:5:15867673:15873010:1 gene:LPERR05G16890 transcript:LPERR05G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTLVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKKRLDAHGNVIEARKEGIGGAKIERPLQKHGGRLGKGEEYCGTCYGAEESDEQCCNSCEEVRDAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNMNVPELSVLEQGFNITHKINKLSFGTDFPGVVNPLNGAQWTQPASDGTYQYFIKFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENKSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >LPERR05G16900.1 pep chromosome:Lperr_V1.4:5:15875657:15876721:-1 gene:LPERR05G16900 transcript:LPERR05G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKARIHADPVLEVDQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGDAEDTLNLSSPKPRNILSHFLKMMLFTIIKPFHNMRSPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRIELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGTEHEPYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLELTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSTEGSNGSHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >LPERR05G16910.1 pep chromosome:Lperr_V1.4:5:15876892:15877301:-1 gene:LPERR05G16910 transcript:LPERR05G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEAFGRSDWPEVVSGVRFFEKRVSFSGREPPVWAGWSARRSVARRRRIRPYSGERFVFQKGRVRVRGRFVAP >LPERR05G16920.1 pep chromosome:Lperr_V1.4:5:15884725:15890313:-1 gene:LPERR05G16920 transcript:LPERR05G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAAAVVVLAEKLVAADEARAGLARRLEAALKAREESGRQGAALGEMRRRVELRRARAEELLLAKRDALRGVQRRKEQLQARIDRVVHLSGAVAAADRRVQEAKEVLSGEKVRLGDLQRLLRMRQQSMIAQVAALYPVKVFHDLPHHGQNLDAGTNGAHGALSEENGTLPEENGTHILNIIKIPQVHALTFLGWQIGKHRRKQKDVSEKDLQRSAAVLGYAAHAVLLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETAIIASAQHTYINMTDPKLTVYPLFVECQEDDSTKASYAIYLLHKDTEQLLNYIGVESSGTGRRVFGNLQQLLRIIQSDEYICVGKGQAMGVETDHDTPCIKTILRCSIRMSYRYASENWVLLFPILLLYLLFRCLPGFFAFLLSHSPVIICATLILGVLISHGNTNCSNIDEDQKVLADSSAAQFADFSRDIHFDANKRFSVPSFKENTVSLKDGEINDASFSRVLGTKHCEMDDDSVPLLKGIVQENEKSDAFDRLEGTLTSIHPMEANQKRESKDPIFSDDKATMCANMFEVIHQSRADENQATRGLYSSRENVMEDDEMIAKTNHDRGSTDTQSDEVSVVSEDKPAGTKCKWGRAFSVRRRKKLSDIKVEAIDAAVDNQLDSSSGSPISRVRSHDGSSGFDLDQAENTTPVTPTTHIVSVLDEIDPYLSSDFSHPDQIQNDYSDNHSGMPPQDCRIDNDSNDETDKSKPKVNDEKNESVDPAFPGTGDDEKNVMDLGYSEMERNRRIEILMAKRRSRKNIIFDLDNNLIDVDKNQVFKRNPSNLLSCSDETELPGSAPSILHPGRNPFDHPFGQSDESDLHEHENLGPQKYMAVPHRDMFFTRHESFNVVNQGRRPSRFKPSFILDSMDTEEPSSSDFQRQIKSVSTLSAVTESDAISSVADQEDISANIKDDSIREYEAPNLPTMGSDIICVGGKCSDGIKFLNNDTLNAITNGAST >LPERR05G16920.2 pep chromosome:Lperr_V1.4:5:15884725:15890313:-1 gene:LPERR05G16920 transcript:LPERR05G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAAAVVVLAEKLVAADEARAGLARRLEAALKAREESGRQGAALGEMRRRVELRRARAEELLLAKRDALRGVQRRKEQLQARIDRVVHLSGAVAAADRRVQEAKEVLSGEKVRLGDLQRLLRMRQQSMIAQVAALYPVKVFHDLPHHGQNLDAGTNGAHGALSEENGTLPEENGTHILNIIKIPQVHALTFLGWQIGKHRRKQKDVSEKDLQRSAAVLGYAAHAVLLIASYLHVPLRYPLHFGGSRSYDTEQLLNYIGVESSGTGRRVFGNLQQLLRIIQSDEYICVGKGQAMGVETDHDTPCIKTILRCSIRMSYRYASENWVLLFPILLLYLLFRCLPGFFAFLLSHSPVIICATLILGVLISHGNTNCSNIDEDQKVLADSSAAQFADFSRDIHFDANKRFSVPSFKENTVSLKDGEINDASFSRVLGTKHCEMDDDSVPLLKGIVQENEKSDAFDRLEGTLTSIHPMEANQKRESKDPIFSDDKATMCANMFEVIHQSRADENQATRGLYSSRENVMEDDEMIAKTNHDRGSTDTQSDEVSVVSEDKPAGTKCKWGRAFSVRRRKKLSDIKVEAIDAAVDNQLDSSSGSPISRVRSHDGSSGFDLDQAENTTPVTPTTHIVSVLDEIDPYLSSDFSHPDQIQNDYSDNHSGMPPQDCRIDNDSNDETDKSKPKVNDEKNESVDPAFPGTGDDEKNVMDLGYSEMERNRRIEILMAKRRSRKNIIFDLDNNLIDVDKNQVFKRNPSNLLSCSDETELPGSAPSILHPGRNPFDHPFGQSDESDLHEHENLGPQKYMAVPHRDMFFTRHESFNVVNQGRRPSRFKPSFILDSMDTEEPSSSDFQRQIKSVSTLSAVTESDAISSVADQEDISANIKDDSIREYEAPNLPTMGSDIICVGGKCSDGIKFLNNDTLNAITNGAST >LPERR05G16930.1 pep chromosome:Lperr_V1.4:5:15891149:15897468:-1 gene:LPERR05G16930 transcript:LPERR05G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVSQSSTPPLVCVTPVGQFPVGFGSGNLPAFGSTTTFTTTANGVSHAGTSANGPIDATPISAYKTRPGIVSLDDDNEPYSGTPNSKPKRGRPRKNSSGSTADGSNGVRFKRPKPVYKNFVAGKELAFLPPASSDPRVIVETVHMTFEALRRRHLQLDEIQEASKRADLKAGAIMMASNIRANVGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGTDEDSVAICIVAAGGYENEDDDTDTLVYSGQGGNSKNSEERQDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKINESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQEWVDNPASRGRVILPDLSSGAEVLPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKSLQGCECQSVCLPGDVNCACGQHNGGDLPYSSSGLLVCRKPIIYECGEACHCSTNCRNRVTQKGVRFHFEVFRTENRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKWNFGSELIGEESTYVSADEFEPLPIKISAKKMGNASRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTYDYGVAGSETHGSGSMHACGNESDLVSDKMNWSTDNDGDGDSSMDKHSSSGGTKPGGAVSPVLKKGPWTPSEDAILEAYIKKHGERNWKSVQKNTGLLRCGKSCRLRWMNHLRPDLKKGAFSKEEENKIIRLHYKMGNKWAQMSAQLPGRTDNEIKNYWNTRIKKCKNILSLLYPADVCQQALDEDQHESSDFGFREKLTNDSLYAPGFTCDKFNSHPQFSDASIKNILGQRFVDFASTFEIPLPPLNRTDNGIFPSANFLANHGFTNDHFPTSRSITGPMKLGLPSIQFAESHPDSWSMYPRTSATQLTNFADVFMNSTSSPTHSSHVAPKSSDQSEELLHEVHPLNYVVKEELYSGSLNPIVGVPCDAVTEPSEIDPCDEYREQDNDFYTLVESGFCAPSLFPSSLGNLQNSELSSAQTLIAGSNELLLPHYQGDASLLQEGFMPNTHYFNDINPSIFEGTDDLCMENIVDTKGHRLPDMS >LPERR05G16940.1 pep chromosome:Lperr_V1.4:5:15902099:15904817:-1 gene:LPERR05G16940 transcript:LPERR05G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAANYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESNRQHEKVPDDLVEQAKAAAQAALEEMDAD >LPERR05G16950.1 pep chromosome:Lperr_V1.4:5:15910531:15911278:-1 gene:LPERR05G16950 transcript:LPERR05G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSACSSRLLCLAPPPRSRLASPISAVEVVVVVVGERRRIIRRRGLVAVAAKSSSSSSGESDEKVPSWARPGSDEPPPWAREGGGGGGGGQEPGAVEVPFYAYLLASAITAIAAIGSIFEYANQRPVFGLVSSDSALYAPLLGFFVFTGIPTSGYLWFKAVQTANKEAEEQDRRDGFS >LPERR05G16960.1 pep chromosome:Lperr_V1.4:5:15912085:15912643:-1 gene:LPERR05G16960 transcript:LPERR05G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNIDEAEASRFLSDAGVAVAGDTTVDFAAFVAVAARKMGRGPTEKELAGFLDVFDDARSGAIPAEQLRQAMTSHGERLTEEEADEMVRKADPRGEGRVDCKEFVKLLMNK >LPERR05G16970.1 pep chromosome:Lperr_V1.4:5:15914025:15915985:-1 gene:LPERR05G16970 transcript:LPERR05G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >LPERR05G16980.1 pep chromosome:Lperr_V1.4:5:15923377:15926445:-1 gene:LPERR05G16980 transcript:LPERR05G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGENGHVPVAPLHIHTEFPPVFNNSWMNGSHESANNQPQEKGIPTLITWSQGGNEVFVEGSWDNWQSRRLLERSGKDYTILLVLPSGVYHYRIIVDGELKYVPELPHVADERGQVTNLLDVHDYIPESLDSVAEFDAPPSPEHSYDLQLPGEEEFAKEPPTLPSQLVMSVLGETDNSQEQTPKPKHVVLNHLYIEKGWGSRSLLALGATHRFQSKYVSFVLYKPLRRSLN >LPERR05G16980.2 pep chromosome:Lperr_V1.4:5:15923377:15926445:-1 gene:LPERR05G16980 transcript:LPERR05G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGENGHVPGAAAAAGESPAVAAGVVSSGLRAPPPPPPDAVMGELPPPVPYVFTPQVPVAPLHIHTEFPPVFNNSWMNGSHESANNQPQEKGIPTLITWSQGGNEVFVEGSWDNWQSRRLLERSGKDYTILLVLPSGVYHYRIIVDGELKYVPELPHVADERGQVTNLLDVHDYIPESLDSVAEFDAPPSPEHSYDLQLPGEEEFAKEPPTLPSQLVMSVLGETDNSQEQTPKPKHVVLNHLYIEKGWGSRSLLALGATHRFQSKYVSFVLYKPLRRSLN >LPERR05G16990.1 pep chromosome:Lperr_V1.4:5:15931234:15933353:-1 gene:LPERR05G16990 transcript:LPERR05G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNNLALPSSSSAATAILLLLVLLAPAQTSITDVAALSLLQKGLRDPNGELTSWVWDPDLPNPCSWSYVTCDHPDHRVTGIHLVGLGLSGVINRYLAKVEFPKYLEIPWNLIEGSIPMEYGQMENLVSFDLSGNWLSGSIPPQLGWLQSLVYMRIDHNQLTGPIPSDLGELHNLLFLDLASNDLCGIVLIYLERFPLSSFADNPRLKLPEMDGSRPSNLTSDDDTGC >LPERR05G17000.1 pep chromosome:Lperr_V1.4:5:15940584:15942519:1 gene:LPERR05G17000 transcript:LPERR05G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARRVVAAGLGRPQSTGLVSRAVEERLLPRLIHGSAPPSPVPSCYSFGRLNFSTTSAGKPPKSVHETPGSSEQPVDDLQDRIAEIEKKKEELLYMLAQLDYDFPMALSKYARNSRKLLYLIGRQLRYVDARHSEWAIEERRRDINDRLKYGLPISVAFIAVGLFKFFS >LPERR05G17000.2 pep chromosome:Lperr_V1.4:5:15940584:15942519:1 gene:LPERR05G17000 transcript:LPERR05G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARRVVAAGLGRPQSTGLVSRAVEERLLPRLIHGSAPPSPVPSCYSFGRLNFSTTSAGKPPKSVHETPGSSEQPVDDLQDRIAEIEKKKEELLYMLAQLDYDFPMALSKYARNSRKLLYLIGRQLRYVDARHSEWAIEERRRDINDRLKYGLPISVAFIAVGLFKFFS >LPERR05G17010.1 pep chromosome:Lperr_V1.4:5:15958667:15960086:1 gene:LPERR05G17010 transcript:LPERR05G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLIRGNKYKALPQLPNRLPIIGWRFGPDPDQVALMDRIEDQKNMTRLIQLMSESSDLVLVANYRNIRSDFKEKLRDFLGHDPALLDAKKKADEQILELQKSIEIKHDSEKRLVQLAESYRKLKAESLETIQSADKKHTELQKKFEDLKKSAAKELSAMKTKHNDDFLKLKAELEEARRINAEFCNAAEPILDNLHSPTVGSNISSFQTVIELLQSAPSKLKSIILESASVACGQALAVIKSLYPKVNLKPITSGYADGTTSEKALELLDEVDDMAKIMANDSLYPEEENNDE >LPERR05G17020.1 pep chromosome:Lperr_V1.4:5:15985874:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPAPKTTETALPVIDTTSPSSSPVFMTAIVMPREDKGLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.2 pep chromosome:Lperr_V1.4:5:15985876:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPAPKTTETALPVIDTTSPSSSPVFMTAIVMPREDKGLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.3 pep chromosome:Lperr_V1.4:5:15985874:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPAPKTTETALPVIDTTSPSSSPVFMTAIVMPREDKGLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.4 pep chromosome:Lperr_V1.4:5:15985874:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPAPKTTETALPVIDTTSPSSSPVFMTAIVMPREDKGKKAMEQAATVEPADGSDSLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.5 pep chromosome:Lperr_V1.4:5:15985876:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPAPKTTETALPVIDTTSPSSSPVFMTAIVMPREDKGKKAMEQAATVEPADGSDSLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.6 pep chromosome:Lperr_V1.4:5:15985874:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPGLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17020.7 pep chromosome:Lperr_V1.4:5:15985876:15989106:-1 gene:LPERR05G17020 transcript:LPERR05G17020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSKEYYQIDSRPPSIANHARPERTSVPDGPAIDYFKEVEEESEEPNTDFTGKPDDVAQPPTGSAVRGLTLPPTVNQSEIVSVDIAVAPHVEPKVAPVIATKSAPQIIQATTPSVNNLAPGLIDEKQKITENLGRVVNLNKELQTNSDEKIKAANDKYDKLLWEHEVLKKSIAKEINTLKLKHEKEISGMKTNLEEAQSANAEFCATGEPILDALHPASAGSNDSNSKRVIELLQSVPLKLKNLTLDNASVACGQALAMIKSLYPKIDLQPIDSGYAAGTTTEKALELVNEVDNLAKAIAKDSLYPEEDEQE >LPERR05G17030.1 pep chromosome:Lperr_V1.4:5:15994883:15996582:-1 gene:LPERR05G17030 transcript:LPERR05G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPPLSVFQIEGTRQQASTARRVVAAGLGRPQSTGLVSRAVEERLLPRLIHGSAPPSPVPSCYSFGRLNFSTTSAGKPPKSVHETPGRHKIFEGLDDRINKIDETKAELFCMLADLDVDYPSRSKYSRDSRKLLYMIAKHIRDGNSYIDDPLWPIYERRSQVKDMLKYGLYGSILVGSIGYLKFFS >LPERR05G17030.2 pep chromosome:Lperr_V1.4:5:15994883:15996190:-1 gene:LPERR05G17030 transcript:LPERR05G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARRVVAAGLGRPQSTGLVSRAVEERLLPRLIHGSAPPSPVPSCYSFGRLNFSTTSAGKPPKSVHETPGRHKIFEGLDDRINKIDETKAELFCMLADLDVDYPSRSKYSRDSRKLLYMIAKHIRDGNSYIDDPLWPIYERRSQVKDMLKYGLYGSILVGSIGYLKFFS >LPERR05G17030.3 pep chromosome:Lperr_V1.4:5:15996239:15996582:-1 gene:LPERR05G17030 transcript:LPERR05G17030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPPLSVFQIEGTRQQASSRAVGWPPCGGGGGDSGSSLLPATASSVPSVRRACGLGRRWKGGKV >LPERR05G17040.1 pep chromosome:Lperr_V1.4:5:15999346:16000882:-1 gene:LPERR05G17040 transcript:LPERR05G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNNLALPSSSSATTAILLLLVLLVPAQASITDVAALSLLQKGLRDPNGELTSWVWDPDLPNPCSWSYVTCDHLDHRVTGMEITWNLIEGSIPMEYGQMENLVSFDLSGNWLSGSIPPQLGRLQRLAN >LPERR05G17050.1 pep chromosome:Lperr_V1.4:5:16007250:16008528:-1 gene:LPERR05G17050 transcript:LPERR05G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAILLLVLLPPPAAAAGAASVDVAALMALKDGLRDPNGELASWVRDPDLPNPCNWSHITCDDGDHRVTGMEITWNLIEGSIPPDLGQLENLISLDLNGNWITGPISPHLGNLQSLVYMRIDHNRLTGQIPLQLGRLPNLLDL >LPERR05G17060.1 pep chromosome:Lperr_V1.4:5:16010159:16011935:-1 gene:LPERR05G17060 transcript:LPERR05G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLAKLAAVILLVAAVASSPAEGNGDSDALTEFRRGVVDPDGALASWDPNLVDPCTWFHLVCDEDKRVTELVIGGNNIDGSIPPEFGNLENLAGLDLYNNNISGPIPPSFGKLKSLVTLRLDHNRLTGPIPNELVGLSNLSLLGVSNNDLCGTIPSSGPFEHFPPSSFANNPRLRNPGMGVYNDDSGC >LPERR05G17070.1 pep chromosome:Lperr_V1.4:5:16020201:16023816:-1 gene:LPERR05G17070 transcript:LPERR05G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHAKKFSVPFGLQSAQSSEHMSNIGAFGGSNMSSPANPAGGGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSIKVCEEQKLSHSPPSLDDYPDSLQPSPKKPKMDNLSPDSVRDVAQTEFESHLIGPWDQDLCGKNMCDADPGLNKS >LPERR05G17080.1 pep chromosome:Lperr_V1.4:5:16028978:16029529:-1 gene:LPERR05G17080 transcript:LPERR05G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRQIGSSKGVGGNARRSTAAAAAGGGGSGKLHRKGKHGGGGKRREIKVVYISNPMRVTTSEAGFRALVQELTGRHADPSKYRAAAAAIGDGEISGGGSGSPTAGETMQGGGEAAPMPSPGSTADSSTTSTADHAAGGAGHGENYSFGADQLIDNRYSVCFSPPTFLYGGEHSCYDGGDYDM >LPERR05G17090.1 pep chromosome:Lperr_V1.4:5:16032087:16033810:-1 gene:LPERR05G17090 transcript:LPERR05G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHVRWSVKNRFFHIAGEVFRDIVGSAYYIVEVLKRSYGPEAADIWSRRDAHLAWWSSSLLGRLAVDTMMFWLRRMVDFTSEPWPSISHGAKNVVRRMLHSDPKQRISAYDVLTMNQFKKAALRVIAGCLSEEGIRGLKEMFESMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAIRITTLAKSYGTELSFTFRKLSGLPNADADGKFITATMHMNRMDREEHLYTATITIEELEQALREKGLLDGREIKDIISEVDADNDGRISYTDFVAMMRKGDPEANPKKRRDVVL >LPERR05G17100.1 pep chromosome:Lperr_V1.4:5:16035064:16036230:1 gene:LPERR05G17100 transcript:LPERR05G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFAGRAADVGDHGIFEGRDHVALGIMWRWESSGEFTSRSARGILDDGRCNLCDQALETIDHLMMWSGACHLGRSPSSIGGCTLVTGSQLAIAWASTPWPLSWLGSYGRKGTVERLIAVQGAGRRCFGPLSRRQRSGGRWSGSSPL >LPERR05G17110.1 pep chromosome:Lperr_V1.4:5:16036974:16040233:1 gene:LPERR05G17110 transcript:LPERR05G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKCVLGVDTHSTPSWSAQDISAAMWRWEEFNMVYASPGTDASTDPDIDKNIRMFEQGHLPTPITSDSSDKSKGKLGQKTLRRLAQNREAAKKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIIISTSGEQHLSTSGNEALNFNMEYVRWLEEHNKQINELRTAVHTHAGDDDLQNIVSTIMTHHEEIFRLKSLAAKSDAVHVLSGTWRTPLERCFLWLGGFRPSELLKLLVDQLEPLTEQQLANICNQQQTSQKSEETLSQGMEAIQRSLADTVASQLGRAGSSSSSGNTADHTAEALEKIGAMESFLRQADDLRMQSLQKMQRVLTTRQSARALLLASDYFSRIRALSSLWIAREVRALLSLDWDRPLRATTASKQIRDHAVAGAASRPRSVLFNGNCFSFPATDGELGLVAVEAAAAAATEEKHKTNRPVG >LPERR05G17110.2 pep chromosome:Lperr_V1.4:5:16037079:16040233:1 gene:LPERR05G17110 transcript:LPERR05G17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASPGTDASTDPDIDKNIRMFEQGHLPTPITSDSSDKSKGKLGQKTLRRLAQNREAAKKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIIISTSGEQHLSTSGNEALNFNMEYVRWLEEHNKQINELRTAVHTHAGDDDLQNIVSTIMTHHEEIFRLKSLAAKSDAVHVLSGTWRTPLERCFLWLGGFRPSELLKLLVDQLEPLTEQQLANICNQQQTSQKSEETLSQGMEAIQRSLADTVASQLGRAGSSSSSGNTADHTAEALEKIGAMESFLRQADDLRMQSLQKMQRVLTTRQSARALLLASDYFSRIRALSSLWIAREVRALLSLDWDRPLRATTASKQIRDHAVAGAASRPRSVLFNGNCFSFPATDGELGLVAVEAAAAAATEEKHKTNRPVG >LPERR05G17110.3 pep chromosome:Lperr_V1.4:5:16037079:16040233:1 gene:LPERR05G17110 transcript:LPERR05G17110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRWLEEHNKQINELRTAVHTHAGDDDLQNIVSTIMTHHEEIFRLKSLAAKSDAVHVLSGTWRTPLERCFLWLGGFRPSELLKLLVDQLEPLTEQQLANICNQQQTSQKSEETLSQGMEAIQRSLADTVASQLGRAGSSSSSGNTADHTAEALEKIGAMESFLRQADDLRMQSLQKMQRVLTTRQSARALLLASDYFSRIRALSSLWIAREVRALLSLDWDRPLRATTASKQIRDHAVAGAASRPRSVLFNGNCFSFPATDGELGLVAVEAAAAAATEEKHKTNRPVG >LPERR05G17110.4 pep chromosome:Lperr_V1.4:5:16037079:16040233:1 gene:LPERR05G17110 transcript:LPERR05G17110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRWLEEHNKQINELRTAVHTHAGDDDLQNIVSTIMTHHEEIFRLKSLAAKSDAVHVLSGTWRTPLERCFLWLGGFRPSELLKLLVDQLEPLTEQQLANICNQQQTSQKSEETLSQGMEAIQRSLADTVASQLGRAGSSSSSGNTADHTAEALEKIGAMESFLRQADDLRMQSLQKMQRVLTTRQSARALLLASDYFSRIRALSSLWIAREVRALLSLDWDRPLRATTASKQIRDHAVAGAASRPRSVLFNGNCFSFPATDGELGLVAVEAAAAAATEEKHKTNRPVG >LPERR05G17110.5 pep chromosome:Lperr_V1.4:5:16036974:16040187:1 gene:LPERR05G17110 transcript:LPERR05G17110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRWLEEHNKQINELRTAVHTHAGDDDLQNIVSTIMTHHEEIFRLKSLAAKSDAVHVLSGTWRTPLERCFLWLGGFRPSELLKLLVDQLEPLTEQQLANICNQQQTSQKSEETLSQGMEAIQRSLADTVASQLGRAGSSSSSGNTADHTAEALEKIGAMESFLRQADDLRMQSLQKMQRVLTTRQSARALLLASDYFSRIRALSSLWIARPQQ >LPERR05G17120.1 pep chromosome:Lperr_V1.4:5:16039671:16040209:-1 gene:LPERR05G17120 transcript:LPERR05G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRRRRRLDGDEAELAVRRREGEAVPSGQRTRTDRGRDAAPATAWSRICFDAVVARRGRSQSKERRARTSPEATVVSISDNQSTN >LPERR05G17130.1 pep chromosome:Lperr_V1.4:5:16041984:16045358:1 gene:LPERR05G17130 transcript:LPERR05G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAVLSAFMQALFDKVVAAAIGELKFPQDIAEELQKLSSSLSMIQAHVEDAEARQLKDKAARRWLAKLKDVAYEMDDLLDEYAAEALQSKLEGTSNTRYLKRVRNTFCCLWLNNCFSNQKIVQQIRTIEEKIDRLVKERQLIGPDMISATDREEIKDRPKTSSLIDGSSVYGREEDKEIIVKMLLNPNNSKHASLAVLPIVGMGGLGKTTLTQLVYNDARVKEYFQLRVWLCVSENFDEMKLTKETIESVASGFSSVTTNMNLLQEDLSKKLEGKRFLLVLDDVWNEDPEKWDRYRYALVSGLNGSKIVVTTRNKNVGKIMGGMTPYFLKQLSENDCWNLFRSYAFPDNDSSSHPHLEIIGKEIVKKLKGLPLAAKAIGSLLCTKDTEDDWKNVLRSEIWELPSDKNNILPALRLSYNHLPSILKRCFAFCSVFHKDYVFEKESLVQIWMALGFIQSPGRRMMEELGGSYFDELLSRSFFQHHKNGFVMHDAMHDLAQSVSIDECLRLDDPPNSSSPSRSARYLSFSCHNRSWTSFEAFLGFKRARTLLLLNGYKSNTSPIPSDLFLKLKYLHVLDLNRRDITELPDSIGKLKMLRYLNLSGTGITVLPSSIGKLFSLQTLKLKNCHVLEYLPESITNLVNLRWLAARIELVTGIARIGNLTCLQKLEEFIVRKDKGYKISELKTMNCIGGRICIKNLETVDSAEEAGEASLSKKTRISILDLVWSDRRHLTSEEANQDKEILEQLQPHCELRELTVKAFAGFYFPKWLSGLSHLQTIHLSDCTSCSILPALGELPLLKFLDIGGFPAIIQINQEFSGSNEIMGFPSLKELVFEDMANLKRWISVQDGQLLPSLTELEVIDCPQVTVFPPLPPTLVKLRISETGFTILPEVHVPSCQFSSSLTCLQINQCPNLISLQEGLLSQKLFSLQQLTITNCAELTHLPFEGFRCLTALKSLHIYDCQMLAPSEQHSLLPPMLEDLRITSCPNLINPLLQELNELPSLTHLTITNCANFHSFPVKLPATLQILEIFHCTDLSYLPADLKGASCLKVMTILKCPLIPCLPEHGLPESLKELYIKECPLITQRCQENGGEDWHKIAHVPVIEIDDDNFIPNRSIRRRLP >LPERR05G17140.1 pep chromosome:Lperr_V1.4:5:16047806:16051156:1 gene:LPERR05G17140 transcript:LPERR05G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVQVLFEKVVAAASSELKLPQDIAEELQTLSSSLATIQAHVEDAEERQLNDKVARNWLSRLKDVAYEMDDLLDEHAGKVLQSKLAGPSNYHHLKVRICFCCFWLNNGLFNSGIVKQIRQIEGKMDRLIKERHIIGLNMISGIGKEDIRERPKTSSLIDDSSVFGRGEDKEVIVNMLLTTNNSNHSNLSILPIVGMGGVGKTTLTQLVYNDVRVKNHFQLRMWLCVSESFDEAKLTKETIESVASGIPCDTINMNLLQEDLSNKLKGKRFLLVLDDVWNEDPDKWERYQCALVAGDKGSKIVVTTRNENVGKLMGGVTPYYLKQLSYDDCWHLFRSYAFIDGDSSAHPNLEMIGKKIVHKLKGLPLATKTLGSLLCAKDNEDDWKNILDSDILELPSDKNNILPALRLSYNHLPPTLKRCFAFCSVFHKDYVFEKDILVQIWMALGYIQPQGRRRMEEIGSSYFDELLSRSFFQQHKDGYVMHGAMHDLAHSVSINECIRLDNPPNSSNMAKNARHLSFSCDNRSQTTFEAFRGFNKARTLLLLNGYKSKTSSIPSDLFLKFRYLRVLDLNRRDITELPESVGKLKMLRYLNLSGTGVRKLPSTIGRLYSLQTLKLQNCLELDHLPKSMTNLVNLRSLEARTELITGIARIGNLTCLQKLEKFVVRKDKGYKISELKAMNKIRGHICIEDLECVSSAEEAGEALLSEKAHISILDLIWSNSRDLTPEKANQDIEALSHLRPHDDLKKLTVKAFAGFQFPYWIDSLSHLQTIHLSDCTHCSTMPALGQLPLLKSIIIGGFPAIIQIGDEFSGTSEVKGFPSLKELIFEDMPNLKRWTSTQDGQFLPLLRELQVLDSLKIIELPLLPSTLVELKFSEAGFSILPDVHAPSSQIVPSLARLEIHKCPNLTSLKQGLLSQQLLALQQLTITKCPELIHPPPEGFRALTALQSLHIYNCPRLATAQQCGLLPHMIEDIHIKSCSNIINHILEELNELSVLKNLVIADCIGLNTFPAKLPATLQNLEIFDCSNLTSLPAGLEEASCLTTMTIINCALIKCLPAHGLPLSLKELYIKECPFLAERCQENSGEDWPIISHIAIIEIDDNSSMPDRSIRRRLR >LPERR05G17150.1 pep chromosome:Lperr_V1.4:5:16054860:16055683:1 gene:LPERR05G17150 transcript:LPERR05G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARRIGAAAFQRPPPSPGFFTESLDMIEASFPYRFTRLHAVSYVGAKAFAATGTYLKSKVDGMLEEPKVHHKDEATYS >LPERR05G17160.1 pep chromosome:Lperr_V1.4:5:16056918:16058351:-1 gene:LPERR05G17160 transcript:LPERR05G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRQRNMPPPPTGGPDALRHRTAQPREAATTSTSSEIHEADDDGHINPPPEAAEERPSSPSAAEKRLERFMEYSKRALLYEFPLATSLLQHWTASFAGSAWAKAGIALLCAALFADLMGSIYLALVTMLDADVTDSTCRWHGVRIYASAVLLMSMPFWLLMSLNVLYAFLAVALAPLLYLVFLLFANEHRRLRLRRRSKLKHQFDASAAVNTIATGAGLMGAFNGYSTRFSPDHAVTDSESLLFLTIVGGQFVMLVTAARPIFRRESSPARFAGFLGLLVGSLPVLLSLSAFAGAVDFLGGIALLAFSIDFLELVFFFRATFYAEQPDTPLLPYSDPRRAAPATGSRGEMENGGLQLQLLWLCVMYVCFTALYQEKAPVKAKLEWLERGRVLVYFWAFCCCSLDGGKGKLPPLDELRRQQHHWSLGLARSLVMGVAALDGLWRPVFTGTFLIPIWGSQSAT >LPERR05G17170.1 pep chromosome:Lperr_V1.4:5:16062694:16066291:1 gene:LPERR05G17170 transcript:LPERR05G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPCRRVLLLCSSGLLLVIATVANAADPVYTGCPSDRNYSRGGAFDANLRALLASLPAAASSSGGFAVNATGAATATDRAYGLAQCRADVVFGGRGGDDCRACLDGSVRDVSAAPCAGQKSAVLIYDNCLLRFSNESFTGASDDRVVKEYWNPENATQPEEFRSLVGKLMDNLTDTAAHTSPRLFAAGVAELPPFIKIYGMAQCTRDLAAGDCYRCLVGAVADIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKAAMSPAPAQPPATRNRRPHKHKLIGSVNLGDEDEMRSSESLLYDLGTLKAATGNFSEDNRLGEGGFGPVYKGILPNGQEIAVKRLSATSHQGQLEMKTEIALVAKLQHKNLVRLLGCCIEEEEKILVYEFLCNKSLDTILFDPARQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDADMNPKISDFGLAKLFNMECSVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTHINDSEDLLTFVWRHWSRGAAGELLDGCPAAGRRPQELLRRIHVGLLCVQEDPQLRPGMASVVVMLNSRSVTLPAPSSPAFFFAGHGIAGDTAAAAAGDHEVACVSDLEPR >LPERR05G17180.1 pep chromosome:Lperr_V1.4:5:16069883:16072148:1 gene:LPERR05G17180 transcript:LPERR05G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAGVRPLSGRARQVSGGGGRSREELLGLLADFSGDGDGGDAGRELSFSDFLVADTSPKPSRAVAMTSTKPPPLLPAADGQEETAAESKQQQQAAAARERRTRRRRSDIRGSCGGGGDGVLLNFYVPGLLTRSTTAPRHGRGAISAAAPASATATAAGKARIGAPPSVGCWTALWGRDSRKPAKPAAGRRETRVPV >LPERR05G17190.1 pep chromosome:Lperr_V1.4:5:16073550:16084141:1 gene:LPERR05G17190 transcript:LPERR05G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHPRAAAAQQPANRGVAPAGKQKAVGAAAGRPDARNRQALGDIGNVMNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAGAANKIVAQNPARKEPALKPAKKLVPRPENAATKVSENNKKPVEAKKPPPPAAEVVGSSSGGGGAHKYSRKKMVNTLTSVLTARSKYACGINDRPKELVEDIDKLDGDNQLAVVDYIEDIYKFYSTAQHEYRPIDYMSSQLEVNPKMRAILADWITEVHYKFELMPETLYLTVYIIDRFLSLQPVLRRELQLVGVAAMLIACKYEEIWAPDVNDFICIADNAYSRHQILAMEKNILNRMQWNLTVPTPYVFLVRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQLTLKKSPLWTNTLKHHTGFSESQLTECAKVLVNAHAEAPESKLRIAYKKYSSEQFGRVSLHAPAVGLLIRDATWAAVGEEFTDGWVTQHLQLVHPRHDHAGADEVAGGEQVSHAEHEYRPNDYMSSQLEVNPKMRAILADWTTEVHYKFELMPETLHLTMYIIDRFLSLQPVLRRELQLVGVAAMLISCKYEEIWAPDLSQKKNSYYVLNIDFICVVNDFICIADNAYSRHQILAMEKNILNRMQCNLTVPTPYVFLVRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQLTLKKSPLWTNTLKHHTGFSESQECAKVLVNAHAEAPENKLKIAYKYSTEQFGRVSLHAPAVGQVWIFTSLGSV >LPERR05G17200.1 pep chromosome:Lperr_V1.4:5:16077733:16082735:-1 gene:LPERR05G17200 transcript:LPERR05G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGSSYCHEVSKEGQMMEEDKVAGRLVEVPDEGLMLLVFVEKCKYSEESKCLGICISTCKLPTQTFFKDHMGVDLYMEPNFQDYICQVAACVSLMWRAPVI >LPERR05G17210.1 pep chromosome:Lperr_V1.4:5:16084574:16086168:-1 gene:LPERR05G17210 transcript:LPERR05G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTLCAGFVFFRLRCRRAPTPSSATPEIQANAVTSSTATPTVAPTSATAAPTSASPTATPTAAPTSASPTVTPTAAPTSASPAATPTAAPTSATAATSSATAASSASRLKGAFNAGMDKMDAAMDKMEANILIRFTKMYVAVFTGVEAIGACVTYITSAATAAPTPPPPMAAIAPPAAAAAAIPPPPKAPEAAPAEAPAAAPTLPPKAPEAAAAPATV >LPERR05G17220.1 pep chromosome:Lperr_V1.4:5:16090516:16091746:-1 gene:LPERR05G17220 transcript:LPERR05G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGPRVEEDLVLEPVWAGDIRELRKTIEELQDRFEEVHEQIQNQAVQVRLHQDDVMQTVKQLETREDSGTPGVGQSATPVSKMQAGPSSIAHPPNPMNPKGVVFHPSPSIGSHVPEYNPSATIHNPTPPPPFPPDQPHPPPLHNTQTYQQLSSPIYPPNTYPPNQWWQHPHPPPDYYTYSRHDVGGQQWYGQWHHDQYYQGQGQTDNHYERRRSSSYDSSIKQKHIDFPVFDGDFPEAWIRKADKYFALNKTPEEEKVLIAEISGFPAMIFQLKNSLGRNFAR >LPERR05G17230.1 pep chromosome:Lperr_V1.4:5:16093712:16100361:-1 gene:LPERR05G17230 transcript:LPERR05G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARRIGAAAFQRPQATGLISRAVEEENRRLLPRLIHGYTPPSPVASGHSFRRLNFSTTPTGEAPKSVNKIGQPVTGHQKSVEDHDDLITKIEEKKQELSHLITKLRSNYPSRSKYSRDSRELLNLLVKQHRDIDVHDPQCRVGRRTATYLFSQALGK >LPERR05G17240.1 pep chromosome:Lperr_V1.4:5:16110689:16113085:1 gene:LPERR05G17240 transcript:LPERR05G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFMDKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSVFFQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVVFRMEKLDLKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSHHAGASAAAESPAGAEIHNSGREWFMGSVFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICFVGTIQAVVVTFVMERRPSSAVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIERTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAVLIVIGLYSVLWGKHKESQEKEADTKLSLPTNSKAAAGEEITAGAGAGDDNHHHGKYGGGVRSSSSNGCGASVV >LPERR05G17250.1 pep chromosome:Lperr_V1.4:5:16118965:16120247:1 gene:LPERR05G17250 transcript:LPERR05G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALGSPLTRRLSPRTQIPRAQAVSSLGIGFTPAAAGRPAARPEEGSRRCHNNSVGCVGHDSVFQQKHREFTSIAGDGQEECRKEVLPDKKAIVEKPFPHLRKQPPRQDLHRAQEVRDWEEAVNRQVVNVDEATMKSRFEEWMKKYGKSYETKEEKARRYEAFKKNAIHADKANAAEQRDVPFAPNGLADMTDEEYRCMHLHCCGDSDWESYIDQLNAETAHAVIIGQQEVIFLSEAAKQVIDAD >LPERR05G17260.1 pep chromosome:Lperr_V1.4:5:16121889:16123414:1 gene:LPERR05G17260 transcript:LPERR05G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSPCRHVVAVPYPGRGHINAMLNLCRLLTSRDGVSATLVVTEEWLGLLGDSAAAAAGKDGVRVEAIPNVVPSEHGRAGDMLGFVQAVYTRMETPFERLLDRLAAAAPPPPSAIVADTFVLPWAVPVGERRGLPVCVLSPLAPTMFSIHYHFHRLPSAAAAGGGSADIAGNGSDPCLVGSYIPGVKSIRISDLGTASSDSDKKMLNLILEAYSHVRKAQCVIFTSFYELDSNAIDALRRELPFPVFSVGPCIPYMSLQAAERHAVAGAGEGEEPYMTWLNSQPASSVLYVSLGSFLSVSPAQLDEIAIGLADSNVRFLWVLRADGDADAPRAGEFAGGGGAGMVVTWTDQLKVLCHPSVGGFFTHSGMNSTMEAVHAGVPMLTLPIAFDQPIVSRLVADEWRIGFGLRGDGAGGGGGGGIVGREAIAAAARRLMGCDAAEETKMMMMRASLMREASRAAARVDGSSYRDITSLINFISEFKN >LPERR05G17270.1 pep chromosome:Lperr_V1.4:5:16126935:16138588:1 gene:LPERR05G17270 transcript:LPERR05G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLLPFALALVAIPISLALLERLRLGKIPPGPRPWPVVGNLRQIKPVRCRCFQEWAERYGPVVSVWFGSTLNVVVSTSELAKEVLKENDQQLADRPRNRSTVRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEQKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDKLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQNLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGSNVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLIHQFEWSLPEGTRPEDVSMMESGGVVTFMNTSLQTIAKPRIDNPELYKRFPVEM >LPERR05G17270.2 pep chromosome:Lperr_V1.4:5:16126935:16138588:1 gene:LPERR05G17270 transcript:LPERR05G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLLPFALALVAIPISLALLERLRLGKIPPGPRPWPVVGNLRQIKPVRCRCFQEWAERYGPVVSVWFGSTLNVVVSTSELAKEVLKENDQQLADRPRNRSTVRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEQKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDKLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQNLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGSNVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLIHQFEWSLPEGTRPEDVSMMESGGVVTFMNTSLQTIAKPRIDNPELYKRFPVEM >LPERR05G17280.1 pep chromosome:Lperr_V1.4:5:16137881:16138576:-1 gene:LPERR05G17280 transcript:LPERR05G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLAESVLAASSSAFAAAASGRRRLAAVHFSSSGRGSPTTSRITVASAMADEQARGPMVGGIHDAPAGRENDLATVELARFAVAEHNSKANAKVELERVVKVRQQVVGGFMHYFTIEVKEEDGAKKMYEAKVYERAWENFKELQHFKPLDA >LPERR05G17290.1 pep chromosome:Lperr_V1.4:5:16146471:16151355:-1 gene:LPERR05G17290 transcript:LPERR05G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGSGNVAGGAANIAGRVRGVNAGIMDEKVLELVFRAINWDPQSLCVVARVSRRLRAVAERVLWRQLCLSRAPRMVAALSASPATRVGGGWPALAKLLLFCCGAPAPTSVRGHFAPVSRFSKTSGRSFLSRRCAGDLLYVSDPCEHAAAGGDEEDVVGAYRGVFRGFMRSRTRAFLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSACRRLGADEGRLEYFVCVSGHLHGSCWLARLSDSSDAEHDAESDSNNSNSDDETFAAADEEEAQAVSVSIPTAGHVSARLIRGRPSM >LPERR05G17300.1 pep chromosome:Lperr_V1.4:5:16146593:16147663:1 gene:LPERR05G17300 transcript:LPERR05G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGAGGMSESVLRKVLLSYCYVAVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVGLVRVLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVMFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWCFVELPRLRAVGNFHPDLFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKMSQADEEAGSLLQERDGHGDRKSDNQA >LPERR05G17310.1 pep chromosome:Lperr_V1.4:5:16153853:16167053:1 gene:LPERR05G17310 transcript:LPERR05G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHKLLWAVQTLDKKWLLLQKRKVALKLYYEKRFDDQNRSIDDVTRQALNQQLYSSIIESLKDAKSEKEVEDVDAKFNLHFHSGEFEEEGQFKLPKRKSLYSICHKAGLWEVTSQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEKVAANFTCALFETAQDVLCGARHMAAVEIGYEPIVRKHVWSIFMNKAVVTTCPTHEGNSIIDPYHQLSGVKWLHDKPLNKFVDAQWLLIQKAEEEELLKITIKLPEDAKKELMPEARENYLSYCVSKSAQLWDEQRKMILDDAFLNFLLPSMEKEARSLLTAKAKNWINMEYGKQLWNKVSVAPWKMKGTYQKDSDIRVMACCWGPGKPATTFVMLDSSGELVDDLYAGSISVRSQGVAEQQLKKNDQQRVLKFMTDHLPHVVCIGASNYNCRQLKDDIYEIIFLQACSLCYNNEHHLSSP >LPERR05G17320.1 pep chromosome:Lperr_V1.4:5:16165955:16166749:-1 gene:LPERR05G17320 transcript:LPERR05G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYVCRRPVHICETNHHQDTLTCPTCNDGFIQELSEMMPHRTVTTPINTPGFINPDAAVIDAFLGRRRRATEAMISTLMRQVDDDRDGYVYSRAGLDALFDQLRLRSQLVSTSRRQEEQDGSPPPASASAIEAMPAVTIGRRRLRGAEAGHCPVCQDTFELGGVAREMPCGHLYHDGCIVPWLARHNSCPVCRHPLPSPAPAPDDSERRGRHGSRAFLRLFGASGSRSRECEEGSGYVTVYEDPGRVSYYIRWHHNH >LPERR05G17330.1 pep chromosome:Lperr_V1.4:5:16168475:16173553:1 gene:LPERR05G17330 transcript:LPERR05G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLREREAKEKQERSIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKVAAAAKSASVAGSRANKVNHEQPVESQKAKSSTLPANFFENQGMKRPSDGTDSEGRSVRREVVVVHQKTKEASKTKPSVNFEKMPKKESQANNNVKGILPGNFFDYNDDDEAPGPTEVNSVPGNAAISNHIPVKGVPDGFFDSNKPSDGMQPSVPNQMSKAIKGSDTSEVKGSLPEGFFDNKDADLRARGIQPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLSLEQQEYRQRVDMLKKQLTESKATHTAKANSKAISMDMLSSSDSSSDEEDDNTDFAVDWRAQHLK >LPERR05G17340.1 pep chromosome:Lperr_V1.4:5:16177616:16178290:-1 gene:LPERR05G17340 transcript:LPERR05G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPELPDSFDDFMNNITTCTHTHTCNPPGPSATTHTHTCLHTHTQVFATGSGEEDIKEDLTKARRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLRRLQGHAALEAEVIRLRSILFDVRGKIDMEIGTFPFQKPCSVGSVACTDPSMCFNGNSETGGVWEECSRPVGADHMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLSE >LPERR05G17350.1 pep chromosome:Lperr_V1.4:5:16179497:16187685:-1 gene:LPERR05G17350 transcript:LPERR05G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHAASCWRRGEVEEEMVQKVGNGVGLKKQGLAPVACVAGGQLQTPWARPYIEIDSVLSRIVK >LPERR05G17360.1 pep chromosome:Lperr_V1.4:5:16195456:16208081:1 gene:LPERR05G17360 transcript:LPERR05G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRSWSIESYLNEYFDIPAKNPPGEARLRWRRAVGLIVRNHRRRFGRFSGLDPIDDAQRRKILGKVQVVINVHKAALQFIDGVKQYHLSPELIEEGFCISPDELAAVTGLRKDYTMLRMHGGIKGISRKIKASLEDGAKETDIATRQKLYGTNKHADKPPRSFWMFVWDALHDLTLIILVVCALVSLAVGLATEGWPKGIYDGFGIILSILLVVLVTATSDYQQSRKFIELDREKQKVYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGYCLVIDESSLSGESEPINVSEDRPFLHAGSKVVDGTAKMLVTAVGTRTEWGKIMGSLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLVRFLVDKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWIGDVFQPVNGGKNISELKRAMTERVMAILIQGIFVNTGSEVVEGDDGKKTFLGSATETALLEFGLSLEEHLYDDYRKLARVSVEPFNSVKKKMSVTIQLPNGGLRTFCKGASEIILEQCNTSLNSDGNTVPLSEMEKHNILNIINSLASEALRTLCIAFKDMNELVNDQTISDDGYTLIALFGIKDPVRPGVKDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEEGIAIEGQQLHDKSQDELKEILPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGNAGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRSPVRRGDSFITRIMWRNILGQGLYQLLVLGTLMFVGKRLLNIEGPDSDRTINTLIFNSFVFCQVFNEINCREMEKINVFQGIFENWIFVGILTATVMFQVIIVEFLGTFANTVQLSWELWLFSVIIGSISMIISVILKCIPVEFKKTNTKPHGYELISEGPEIL >LPERR05G17370.1 pep chromosome:Lperr_V1.4:5:16217450:16221427:1 gene:LPERR05G17370 transcript:LPERR05G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGARMAVISMAAAWILACGLLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNISYVRLFHPDTSVLAALRGSGIGVVLGTYNEDLSRLASDASFAASWVASYVTPFSPAVTFRYINAGNEVIPGDQAATVLPAMRNLDAALAAAGITGVPVTTAVATSVLGVSYPPSQGAFSEAASPTMAPIVSYLASKNAPLLVNVYPYFAYAADAERVKLGYALLSASESASVTDGGVTYTNMFDAIVDAAHAAVEKVVTGVELVVSETGWPSGGGGVGASVENAKAYNNNLVRHVSGGAGTPKRPGKAVETYLFAMFNENQKPEGVEQHFGLFQPDMSEVYHVDFTGTSF >LPERR05G17380.1 pep chromosome:Lperr_V1.4:5:16222028:16227247:1 gene:LPERR05G17380 transcript:LPERR05G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNFGNGQQSQEKSTTEGVPSHDQATSQQFADSDINVRPVNTHESGDVNMEAAISAEDVLRAGGFGAKDDIGSLLPTAVDSTDFEASLRDAREFEGESEKPSHPGLGYKPNETDSGGKLSNVQQQ >LPERR05G17390.1 pep chromosome:Lperr_V1.4:5:16226306:16227174:-1 gene:LPERR05G17390 transcript:LPERR05G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDLDDVFETEDPSHAPKFKSLERNLWEDEDASDDDGVKDSWDDDDDDDTKPVNMESKKSPATKRKLGNKQPNAKTKPAATPSEISIAVADKSQEQSDNNEPIEKFIPKSEKEFAEYAERIARDLLRPYEKSYHYVGLMKAMNKLAVASLTSTNIKEIVSSMSTVANEKLKVEKAADAGKKKQGQKKKRLQVNKAEGQKFRNVDDDDDGDDDYLD >LPERR05G17400.1 pep chromosome:Lperr_V1.4:5:16227986:16230351:-1 gene:LPERR05G17400 transcript:LPERR05G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSVGDLTAADLEGKRVFVRADLNVPLDENQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKADDVIGPEVEKLVSGLPNGSVLLLENVRFYKEEEKNDPDFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPQRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATTLLAKAKEKGVSLLLPSDVVIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTKTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVTVTRSKL >LPERR05G17410.1 pep chromosome:Lperr_V1.4:5:16231422:16232774:-1 gene:LPERR05G17410 transcript:LPERR05G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVSRMRRCLVDRFGFDEDDIRVLADADPSTPQPTGANIRAELERLVGDARPDQDFTELVQKVPDGCVFTMVSDSCHSGGLIDKAKEQIGNSTKQSKIQQRDREMRPSPGVTVTCSCDSLLRIALHHLPRRRGGVHNHRHIKSRSLPLSTFVEMLRARTGKDDVGVGSIRATLFHHFGDDASPKIRRLVNAMLLRHNHGAREDIHDKAKPEEDDSEGEAAPVAARMPRNGVLISGCQTDETSADATTPEGVSYGALSNAIQIVLAKGRRGGNAITNVELVRRARELLAKEGYTQQPGLYCSDKHANVAFICSNH >LPERR05G17420.1 pep chromosome:Lperr_V1.4:5:16233399:16235369:-1 gene:LPERR05G17420 transcript:LPERR05G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVARMRRSLVDLFGFDEADIRVLSDADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQQSKREERSDSGSGSGFGFRSFLKETLKETVRDTLESRGVHIPHRQSSRGNDDGDNPSMEYSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFTLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGKPEGDALEPAMKQEVHSVQEVYAGTTARVSNGVLISGCQTDQTSADATTPKGVSFGALSNAIQTILSEKNGKVTNKDLVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >LPERR05G17430.1 pep chromosome:Lperr_V1.4:5:16238583:16239746:-1 gene:LPERR05G17430 transcript:LPERR05G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSSAAVAAVAAVDDENALSASAKAADDLLAAASACGGGVRGHSLFFDALVQLIPPRFYLTAGDEDRPWYQGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLNKSVADQDAEDDSSEEEDDGDDDGDDDGEDEAEEKDEDMQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGGKKGGKDEGKKRKRDDGGRDDAEGNDGKKSKKEDDSKAAPDIVYGNVWVDPKDARRRKKRRIKNKKKALEEAKRMKEAKEDPTKATKLAWDLATRRAAGEKVHDDPKLIKESMKKDKKRQQKSAEQWKDRQKMVDKQKKERQNKRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKEGYVNE >LPERR05G17440.1 pep chromosome:Lperr_V1.4:5:16242783:16243361:-1 gene:LPERR05G17440 transcript:LPERR05G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREDAPVSSQPSPQEASARPPRRAETTGEAADADADRGVRCSVLCFHLPSHNRGAKKKKKKQPTPVVQLGATAGAGKIAAVRPLETTTTDEASSSSAAAAAHRVTFLASASLSTWWPASPRRVSGRASSSSSFSHWRRSLSSSRRRVMPHCAAAAVASAPTSFSFPSSPVSASTSCTSTPKLVHGCHVD >LPERR05G17450.1 pep chromosome:Lperr_V1.4:5:16252174:16256311:-1 gene:LPERR05G17450 transcript:LPERR05G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTIGSFCLVALATLAVALAIKGALRGKETGGKLPPGPWNLPIIGSLHHLVGAPPHRALLRLARRHGPLMLVRLGEVPTLIVSTSEAAMEVMKARDPDFASQPRSVTLDIASSGGKGIILAPYGEHWWQVRKICVVELLSARQVQRLEPIRQEEVRRLVESIASPALSAPVNLTRALEALTNDIIARAVFGGRCRQQGEYLRLLKELTTLVAGFNLTDLFPSSRLVRCLTTTDRRLRKSYDQMVRIVDSIIEERKLEKEKASVSLSPSAGTKDEGDFLDVLLRLHKDDTLIVPLTTEIVSALISDVFGAATDSTASTLEWAMVELIKNPRAMVKAKQEVRNTFGQTRSTLTSAVDLH >LPERR05G17460.1 pep chromosome:Lperr_V1.4:5:16260234:16269847:1 gene:LPERR05G17460 transcript:LPERR05G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPAKSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETFMLKELSLLKWQEKATTLASDDATSHVAQLHDNVAELEKNLASEREKIQHNSQTLKEMESVYNKHAKRQEDLENNMKTCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKAEKDTLKIDESTKEIEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGRLDVACAEKKLMKEKHDGARAELTDAQNQMESIKEQIKVKDTYIMELQEKIEKHHSEGCEAHKVEQECLKQEESLIPLEQAARQKVAEIKSTRDSEKNQGTVLKAILQAKESNEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVAKDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAIVNAENDLNKLVDQLNRLRESINDAKRRYRALEDAKSRLEMELAKAKKEVESMNAQFHYNEKRLDSLKAASHPKADEVQRMEELDDIICAEQLELNKLAKCSRKLKDQASELQQKIENAGGQLLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLMKKLTKGIEESKKETEKLLAEKEKMMSIFKEIEKAAFAVQEDYKKTQEMMDNHKDELDKTKEEYNKLKKAMDELRSTEVDVEYKLQDTKKLAKEWEMKVKAFRKKLGDIQTNLVKHMDQIQKDDIDHEKLKETLSDEQLNEACDMKKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKLYDALRKKRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITVNPGSFVETMKVV >LPERR05G17460.2 pep chromosome:Lperr_V1.4:5:16260234:16269847:1 gene:LPERR05G17460 transcript:LPERR05G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPAKSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETFMLKELSLLKWQEKATTLASDDATSHVAQLHDNVAELEKNLASEREKIQHNSQTLKEMESVYNKHAKRQETCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKAEKDTLKIDESTKEIEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGRLDVACAEKKLMKEKMESIKEQIKVKDTYIMELQEKIEKHHSEGCEAHKVEQECLKQEESLIPLEQAARQKVAEIKSTRDSEKNQGTVLKAILQAKESNEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVAKDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAIVNAENDLNKLVDQLNRLRESINDAKRRYRALEDAKSRLEMELAKAKKEVESMNAQFHYNEKRLDSLKAASHPKADEVQRMEELDDIICAEQLELNKLAKCSRKLKDQQKIENAGGQLLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLMKKLTKGIEESKKETEKLLAEKEKMMSIFKEIEKAAFAVQEDYKKTQEMMDNHKDELDKTKEEYNKLKKAMDELRSTEVDVEYKLQDTKKLAKEWEMKVKAFRKKLGDIQTNLVKHMDQIQKDDIDHEKLKETLSDEQLNEACDMKKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKLYDALRKKRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITVNPGSFVETMKVV >LPERR05G17470.1 pep chromosome:Lperr_V1.4:5:16271168:16271743:-1 gene:LPERR05G17470 transcript:LPERR05G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMGGGGAGCGGGRPEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVEAALAYDRAAVALRGVKARTNFGGGGGGGPDHGNGNNGQLPQIHHQHQRMHQQPPQLPPLGAGGHFGGLDISHPSPWHFVYFPARMHAMGPAVPGTPVVAASLPSTTLELRTGPSAGDAAALPFDLNEPPPSLLFGS >LPERR05G17480.1 pep chromosome:Lperr_V1.4:5:16282903:16283631:-1 gene:LPERR05G17480 transcript:LPERR05G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTMSPAATGLSLGVGGGVSGAGSAAGTGQHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPTAAVATGVTGGSGSSNSSTVASFGGDVQAPMKAMPIPPSLELDLFHRAAAAAAAGGVGVGGMRFPFEGYPVSHPYYFFGQAAAAAAAASGCRMLKMAPPVTVAAVAQSDSDSSSIVDLAPSPAAAMSAKKAIAFDLDLNCPPPMEV >LPERR05G17490.1 pep chromosome:Lperr_V1.4:5:16293048:16305091:-1 gene:LPERR05G17490 transcript:LPERR05G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLPSTEPGLAGVGRPAKRARDPPNGKDPHGSVGAFAAEKKPTFQSRSNNKYQKKAENVPVPSNIDNTSKIEGLHMHGVHVSQSPPDSNALSSQHKVGSSPGEDMKNRPRKSTKCIYYSQGRCKNGKSCIFLHEGEVSGSDNRGIGNRRGTGEGFEIHPLSNSKEPRFRNSAGSSRTLVHGYGDDYKGLTHPHMLRVPQGFKADDSWSTKPINEVIQLPIVQEKNYGPYFMGHQNILNTNSCLDDRGAYSRLHPDGGKMEEMKHLKPDYQYQLFDSTISFDPHKYSKISSAYGGATEKDDPYSFRNPGCKSSDYTLINQSLRATSHPGSLLLHQLTPDKDASHHKDVDFDKGGASRSTLPVSSSSQPVVASAEKLSPIKDEVWITSVPFVPSFNFPDLPGSNSPSKSQYDPLVDSIDPPKVESLHNLKSSNICCTISTQHGGRNVIRGGSLEKPLTRADKLARNVSAKGSNEFTALISSDRGHSSSLDGDKRAKACERKNDASLNTEKSDFRFHLVEHVKELVKPIWKEGNLSKDAHKLIVKKSVDKIFASIEPNQIPETEKAITTYITSSGPKIEKLVKVSWETLHLRFQLSQWKAEMGHRNVLLSQRPWYKAQPQA >LPERR05G17500.1 pep chromosome:Lperr_V1.4:5:16310268:16312486:1 gene:LPERR05G17500 transcript:LPERR05G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARCHPPHSSDPHAPPVPLLTCCHRPIVAVKNAMSFVCPIVLPQPPSVEACPRNRPRELHGINPCAHEFHRANRLKSWMREKLKEQENRGALAQGELYVLLGKIKLEILVALGTIYLL >LPERR05G17510.1 pep chromosome:Lperr_V1.4:5:16314823:16321183:1 gene:LPERR05G17510 transcript:LPERR05G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPASEASAPAGGGDGHVEGGGGGGAAEDAPHRGGERSPKMMNGGGVEKERRDADSDAEEEEGGGGGGGGGADDDDRDSQSSESDGDMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQTEALVKKRSIAKATGSSISRRTRGNMRAKRRGRTSSPDIVATDNDDEDRDENGNEGSKESSSVDDRSPDVRQKRARRWPVPRSSPAKTIGGIDSSFEDNDDLGSGRDILTTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNSDEFDNKFNLYLVLLPLNGKSMPKLEKPYLSCQPTFSVRHLCQFIALQLSRHAKEVEIFIRKNPRNGCFAAMDTTTDEIKLADEIKLDCDALERLEEEKSLSELYPSLASGHGDLIEGCRKKNREAIHPVAVDLCEPSLRGCRAILLPAKRSGLLVLDAASLRTRESSQTGGVPQWGDVRY >LPERR05G17520.1 pep chromosome:Lperr_V1.4:5:16323601:16326966:1 gene:LPERR05G17520 transcript:LPERR05G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRPGRAAAPLRRLCRRILLLSSSPQTPSPSSSSSPYSTKISPLLLLLSPRPSPASGGAWRWRGVSSCAVRAVDVGGEAASTSSDLSSPYLSVRIRCRKEDAEVLSEGLLCFGATSVTVDDIAAAGNLDEITITSIYAHGEDVDSSVSNAASSAGLEYKPVYETSVGKQCDWVTVVQETYESTKVINGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERLLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMMLNEENKPTGGLELKSSKGSYDIVAANILLNPLLELVEDIIGYAKPGGIVAVSGILTEQVPKVEEAYSRYLENISVSEIDGWACLQGSRKA >LPERR05G17520.2 pep chromosome:Lperr_V1.4:5:16323601:16326966:1 gene:LPERR05G17520 transcript:LPERR05G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRPGRAAAPLRRLCRRILLLSSSPQTPSPSSSSSPYSTKISPLLLLLSPRPSPASGGAWRWRGVSSCAVRAVDVGGEAASTSSDLSSPYLSVRIRCRKEDAEVLSEGLLCFGATSVTVDDIAAAGNLDEITITSIYAHGEDVDSSVSNAASSAGLEYKPVYETSVGKQCDWVTVVQETYESTKVINGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERLLDYGTGTGVLGIAALKVSCLVLLVYYYLQMGAALSTGIDIDPQAVTSACENMMLNGIDSNKMLLYLVPTNAQAACFPNIDKSEENKPTGGLELKSSKGSYDIVAANILLNPLLELVEDIIGYAKPGGIVAVSGILTEQVPKVEEAYSRYLENISVSEIDGWACLQGSRKA >LPERR05G17520.3 pep chromosome:Lperr_V1.4:5:16323601:16326966:1 gene:LPERR05G17520 transcript:LPERR05G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRPGRAAAPLRRLCRRILLLSSSPQTPSPSSSSSPYSTKISPLLLLLSPRPSPASGGAWRWRGVSSCAVRAVDVGGEAASTSSDLSSPYLSVRIRCRKEDAEVLSEGLLCFGATSVTVDDIAAAGNLDEITITSIYAHGEDVDSSVSNAASSAGLEYKPVYETSVGKQCDWVTVVQETYESTKVINGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERLLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMMLNGIDSNKMLLYLVPTNAQAACFPNIDKSEENKPTGGLELKSSKGSYDIVAANILLNPLLELVEDIIGYAKPGGIVAVSGILTEQVPKVEEAYSRYLENISVSEIDGWACLQGSRKA >LPERR05G17530.1 pep chromosome:Lperr_V1.4:5:16326737:16331477:-1 gene:LPERR05G17530 transcript:LPERR05G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEVAATARDFSAMAQIVGPVTSVPATSSSAILPSQSCKLFPSFLAERHSLLLSSPPRFFQDPKAVKMRRHAFHLHKSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGGVALTSASLVEPFLVAEQRSNPSQNEEARNTRDEKSGAPRWLSAQLLAIVDVSASADSVLSLLQHEGSSTRSSSWDVCWSLADANQKQVNNDTRYSLESNRSNAYTESTEPPMLAKSATRIAILGVSNLKSSNARCIKVSLMQQRGDSLLIMGSPFGILSPGHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGMEGAPVFDKNSCLVGMLMKPLRQKGSSIEVQLVITWDAICNAWSSNKMEKIGQFPSELVDDKSSDCKYKESCGADKYRRFVSNSANSLNQYDISPSLTEAISSVVLVMVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKITSFSEEYIHAGENDLLQPQECKVSNQEAVKHEVSMFNLGFKRDKPISVRLDHGEKQTWCNASVVFISKGPLDVALLQMEKTSIELCAIRPEFVSPTAGSSVYVVGHGLLGPRSGLCSSLSSGVVSKIVRIPSTQQSQLSSAVEINNMDIPVMLQTTAAVHPGASGGVLLNSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTFPENSRGEKVLEFSKFLADKQEGLKSKKDIEAFLRDKIPSKI >LPERR05G17540.1 pep chromosome:Lperr_V1.4:5:16333517:16333855:-1 gene:LPERR05G17540 transcript:LPERR05G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGSGTAMCAMLLALLCVAAQFEGALCRGGGRGGRGGGGGGGKGGGGGKGAGGRGGAGRPITGAAAAGIGSRAGSGSHRNSAAARLHRRGTWRASGAAAIVVAAAIVWWC >LPERR05G17550.1 pep chromosome:Lperr_V1.4:5:16343210:16343701:-1 gene:LPERR05G17550 transcript:LPERR05G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTRSVCVLLVLALFVLASAFIPASALHHHEEDKGDADSGVYSGNTRVDDGGVTVPLEHDDIVGRRLQEEKEHLINEEMRPLFSGWSHFSGGSSRVSGSFSSGGSSRGADGSRGGGGRGGGFGPHVVPRLPPHIPGGNDSGGVRSVGVAAASVLAAAWLLL >LPERR05G17560.1 pep chromosome:Lperr_V1.4:5:16344284:16355625:1 gene:LPERR05G17560 transcript:LPERR05G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDEEMSEREVNSQVHMACVMQGRRVGIAYYDSSMRQLFVLEIWEDVAEDYPLIDLDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLEKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKRLLRAWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRETLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLANKLQHMDIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYETLVKEGLCEEVSANENASFPFSFECRKAPLIVYFSEEGEERSILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKICSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIVRMVWEKLATKDQQYQDLVTKLLAFDPHKGDLANFFQETFPS >LPERR05G17560.2 pep chromosome:Lperr_V1.4:5:16344284:16355869:1 gene:LPERR05G17560 transcript:LPERR05G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDEEMSEREVNSQVHMACVMQGRRVGIAYYDSSMRQLFVLEIWEDVAEDYPLIDLDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLEKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKRLLRAWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRETLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLANKLQHMDIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYETLVKEGLCEEVSANENASFPFSFECRKAPLIVYFSEEGEERSILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKICSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIVRMVWEKLATKDQQYQDLVTKLLAFDPHKGDLANFFQETFPS >LPERR05G17560.3 pep chromosome:Lperr_V1.4:5:16344284:16355869:1 gene:LPERR05G17560 transcript:LPERR05G17560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDEEMSEREVNSQVHMACVMQGRRVGIAYYDSSMRQLFVLEIWEDVAEDYPLIDLDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLEKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKRLLRAWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRETLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLANKLQHMDIDLVGKVVGVIDVQRGKEKGYETLVKEGLCEEVSANENASFPFSFECRKAPLIVYFSEEGEERSILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKICSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIVRMVWEKLATKDQQYQDLVTKLLAFDPHKGDLANFFQETFPS >LPERR05G17560.4 pep chromosome:Lperr_V1.4:5:16344284:16355869:1 gene:LPERR05G17560 transcript:LPERR05G17560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDEEMSEREVNSQVHMACVMQGRRVGIAYYDSSMRQLFVLEIWEDVAEDYPLIDLDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLEKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLANKLQHMDIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYETLVKEGLCEEVSANENASFPFSFECRKAPLIVYFSEEGEERSILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKICSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIVRMVWEKLATKDQQYQDLVTKLLAFDPHKGDLANFFQETFPS >LPERR05G17560.5 pep chromosome:Lperr_V1.4:5:16344284:16355869:1 gene:LPERR05G17560 transcript:LPERR05G17560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDEEMSEREVNSQVHMACVMQGRRVGIAYYDSSMRQLFVLEIWEDVAEDYPLIDLDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLEKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLANKLQHMDIDLVGKVVGVIDVQRGKEKGYETLVKEGLCEEVSANENASFPFSFECRKAPLIVYFSEEGEERSILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKICSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIVRMVWEKLATKDQQYQDLVTKLLAFDPHKGDLANFFQETFPS >LPERR05G17570.1 pep chromosome:Lperr_V1.4:5:16356513:16361586:1 gene:LPERR05G17570 transcript:LPERR05G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCELAETDRRMLSSRFCAVKMRRRRRPTSSALPRLDMPVRSRQRRRHRSASACPWCGDAAGSSTPVTLIHQAFRFADWEVTIVRSRGGPDHFTHHCGWRVLTSWGQSPDQVATQKLSFLPVVPVGAFYMDLREMIKRKSISVIAVRTVDDH >LPERR05G17580.1 pep chromosome:Lperr_V1.4:5:16359803:16362808:-1 gene:LPERR05G17580 transcript:LPERR05G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSRRPARKIAAALWRVHPPQAPPPLPQAVESREPPPPRRRFELRELPRIDGGYRPSPKQSHTPDRCNYYKALLEGRTGSKPRGNDTIHEVGAYSPSPRTEMEVATKWDHWGLNNLRGADSNLCDRYPVAADDEISALKAELLQAHNRIHELEAESRSARKKLDHLVRSLAEEKASWRSKENDKVRNILEAVKEDLNRERKNRQRAEIMNSKLISELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSKLQSDLEAFLSFHQGNTVNKETLRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELRERDDANEKEIGQCNGGTPRRHATKIHTVSPETDIFLEKPSNKYSSQPCERNEEEDDSGWETVSHVDEQGSSNSPDGSEPSVNGFCGGNDASVSGTDWDDNYENGMSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNSDGHKKTGSELLNGRLSSGRISNAALSPSLKNGEVCTVSPSVGEWSPDLINPHVVRAMKGCIEWPRGAQKQNPHNLKSKLLEAKLDGHKVQLRQALKQKI >LPERR05G17590.1 pep chromosome:Lperr_V1.4:5:16370684:16374733:-1 gene:LPERR05G17590 transcript:LPERR05G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGHSKVVEDRQWSLMTSKLAEINKLKAERTNDLDYARMNTVPDVKQWDKLSYHQDENKMDHLNLGLMNLDLKMNDLRMNEAAMKNSFRSMAYSMNQLYPKGSNGNVNAFKMNVGVNKYSHSPNGKEGNGKNSGGNSNGSNSSGNNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAEAKPINP >LPERR05G17600.1 pep chromosome:Lperr_V1.4:5:16371678:16371953:1 gene:LPERR05G17600 transcript:LPERR05G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASSRRAAPSWRDDGELRSPEPEEMTGVEVRIRIGRRQLLELLEKAAIAGDEKVLACIINAGEVVDHHHQHRQWQPTLQSIPEAVEQ >LPERR05G17610.1 pep chromosome:Lperr_V1.4:5:16381123:16383897:-1 gene:LPERR05G17610 transcript:LPERR05G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQPLASVLHAAVFFLPREEIVITPRRRRRRAGVGTRVLAHSRVDPAARIAGGGAMGLLSSSSRSCSCKKLLVCTCCCSWMRGVCAMRCSLGCASCCSWIRAVCGGGGGGGATTSASSSSSSSQDQAAKKKKKRKWARGVVCGRAAREAEEPLTMETMKKRKSAASTSPELEKNKWGTKKIWKKKGKSEPSGLATLVKEISLSNSPKDRAAAGEILRIGNHNIPSRIFTFRQLADATNSFSPENLLGEGGFGRVYRGYIPDTMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHANLVTLLGYSTDCDQRILVYEYMPLGSLQDHLLDLTPNSQPLSWHTRMKIAVGAARGLEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDRKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPITTPNLDTEGSQKEAEGGNGKDDEDEDDDDDEEEKGEEQEKGEKEGSS >LPERR05G17620.1 pep chromosome:Lperr_V1.4:5:16385900:16386469:-1 gene:LPERR05G17620 transcript:LPERR05G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVFLAALVAAMVAVTVAQVGESEMSRYRDRQCMREVQDSPLDACRQVMERQLTGMVGRRAQIGLRAQLGLRAQCCQQLQDVSRDCRCAAIRQMVLNYEESMPMPFEQQQQQQRYYGEEQQEYYGEEHYGEEGYYGEQQQPQYYPQPQQQPGMTRVRLTRARQYASQLPAMCRVEPQQCSIFSTGQY >LPERR05G17640.1 pep chromosome:Lperr_V1.4:5:16395164:16400786:-1 gene:LPERR05G17640 transcript:LPERR05G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPPADLSVSVNCTVTGPTCQWQLLSPVNCRGSRSPPTIQQNKSRPYINPAPTSQKSNPPPARTAPHARRTPLPNPQIDVRRRMIRPPRVLLPYSSTPSIHRRLRLLLPRPPLPASLAQALGAGPIRSLACSRGISPLGLLMDPATDGEGGGFEDAAEFADAETGGAGGEGADAGAGMAAAAGEEECRELPEGLAKGVVCLECETSPEAAAAGAGGICRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWEQSIEIETLLELPVTKQGPSKMKILASIGALSGVRTAMSLALSDLTLKKVLTSTMLESGGARSFSAYSATLTPPSLLPTASLAAMAMMSAQETVSGQTVSNLCIRSSDGASVGSSSRIILISASTMSSANGHVAR >LPERR05G17640.2 pep chromosome:Lperr_V1.4:5:16396986:16400786:-1 gene:LPERR05G17640 transcript:LPERR05G17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPPADLSVSVNCTVTGPTCQWQLLSPVNCRGSRSPPTIQQNKSRPYINPAPTSQKSNPPPARTAPHARRTPLPNPQIDVRRRMIRPPRVLLPYSSTPSIHRRLRLLLPRPPLPASLAQALGAGPIRSLACSRGISPLGLLMDPATDGEGGGFEDAAEFADAETGGAGGEGADAGAGMAAAAGEEECRELPEGLAKGVVCLECETSPEAAAAGAGGICRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWEQSIEIETLLELPVTKQGPSKMKILASIGALSGVVIATGIYIWGRK >LPERR05G17650.1 pep chromosome:Lperr_V1.4:5:16414354:16415298:1 gene:LPERR05G17650 transcript:LPERR05G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKKLRVLLIPFFATSHINPYTDLAAARPDVVEPTIAVTPANVSVVRSALTRHGGSAASSTVCIATYPFPDVVGLPMGVENLSPADADGWRIVAAAFNEALTRPAQEALIREYSPDALITDAHFHWNAYIAEELALPCISFSAIGLFSGLAMRLLAAGGANGSDSEEVAIVGFPGPELRIPRSELPDFLTGRKNLNEVDLHKVVQSQKRCRGVAMNTFLGLEQPYYEKFLRDGFAKRAYLVGPLFLPHPPVEAIAGEASCISWLDSKPSRSVVYICFGSFAPVSEEQLRELALGPEASGKPFLWAVRTEDSK >LPERR05G17660.1 pep chromosome:Lperr_V1.4:5:16419569:16440106:1 gene:LPERR05G17660 transcript:LPERR05G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKSQNNSSVQLNKKKDRKYVITGFVWHPRTREGLGCYISLLAIHLAAAVVNESDLEEIFVPRFPGPELRIPRSELPEFFTANRDLNIVGMMHEVVEANARCHGIAVNSFLCLDELYCEEFKRIGPVKRGYYVGPLCLPQPPAVANIGESCISWLDSKMSRSVVYICFGTFAPVSEKQLDELALGLEASGKPFLWAVRADGWVPPAGWKERVGNKGLLVTGWVSQTAILAHRATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFGQFIEERLVTDVLRIGERVWDGPRSVRYEERKVVPAAAVARAVARMASPERSKKLRVLLIPFFAASHIGPFTDLAFQLAMARPDVVEPTIAVTPANVPAVRSALKRHGSMARSVVSIATYPFPEVAGLPSGIENLSTAGADGWRIDAAAVNEAVTRPVQEMLIREQSPDSLITDVHFSDWNASIAEELGVPCVAFSSCGCFSALAMHLIVTNIGNYDSDSDEVVVAGFPGPELGIPWSELPDFLSCKRNLNGTLPNKEVEVKERCHDVAVNTFMGLEQPYCEEFIRGDFVNRTYCIGPLCLPQPPTEANLIKPSCISWLDSKPSQSVLFVCFGSFALISDEQLDQLALGLEASGEPFLWAVRADGWSPPAGWEDRVGRTGLLVRDWVPQTTILCHRATAVFLTHCGWNSVLEATVAGVPLLTWPLVFEQFIEERLVTDVLRIGERVWDGPRSVRYEEKMVVPAAAIARAVTRFLKPGGTGDGARLRVQELAAMARVAVAEGGSSYNDLRRLIVDLTEARSAAGEAAKQPHKKLRVLLMPFFATSHIGPYTDLAFHLAAARPDAIEPIIAVTPANVTVVQSALNRHGSTASSMVSIATYPFPDVAGLPPGVENLSTAGAEGWRIDNAAVDEALTRPAQEALIRDQFPDVLITDVHFTVWNAYVAECLGMPCFRFSVVGLFSLLAIRLLTAAAASDPDSELVNSPVFPGSKICIPRSELPDFMTSRRNPDEFDLRKADQARKKVHGVIVNIFLGLEQPYHEKFIVDGFAERVYCVGPLCLPQLSFEANVSEPSCISWLDSKPNRSVVYICFGSFAPVSEEQLDQLALGLEASGKPFLWSVRADGWAPPAGWEDRVGERGLLVRGWVPQTAILAHRATVAFLTHCGSSSLLEAMVAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRYEEKMVVPAAAVARAVARFLEPGGTGDEARVRAQELAVKAHAAVAEDGSSYNDLRRLIDDMVEARAAATRSKAAKQPQIGLTVDLTKSVIAGTEQNACI >LPERR05G17660.2 pep chromosome:Lperr_V1.4:5:16415301:16419932:1 gene:LPERR05G17660 transcript:LPERR05G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMCVIFISIVPRIRQAVLMVVPISCLNWRTHKRMASLERSEKLRVLLIPFFATSHIGPFTDLAVRLASARPDVVKPTIAVTVANVSVVRSALNRHGSTASSAVSIATYPFPEAAGLAQGVENLSTAGVDGWRIDAAATNETLTRPAQEALIRDQSPDALITDEIIVAGFPGSELRIPKSELPDYMITRQRNDPDGFNPDKIPEGQKRCHGVVVNTFLGLEKTYYDKFVHDGFAKRAYLVGPLCLPQTQVEACTDKPPCISWLDSKPSRSVVYICFGTFAPVSEKQLDELALGLEASEKPFLWVVRADRWAPPAGWEERIGKTGLLARDWVPQTAILAHPATAAFLTHCGWNSVLEGIIAGMPLLTWPLVFEQFISERLVIEVLRIGERVWDGPRSVQYKEAAAVPAAVVARAVARFLEPGGTGDTARVRAQELGVKAYAAVAEGGSSYNDLRTLIDDLVKARVAAAEATKQSQ >LPERR05G17670.1 pep chromosome:Lperr_V1.4:5:16440201:16448448:1 gene:LPERR05G17670 transcript:LPERR05G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIVGLVSAHTFNGAVNGHAQDRRKPSFSRARKASVLQQNGSTKPVIVESDCSSVLSRLHLNVHDRSTWVFKLKEMNATMSLLQQVKLQFWECNRITHDLAQFAKRSRYCTVWQCSKKLRVLLIPFFATSHIGPYTDLAVRLAAARPDVVEPTIAVTPANVSVVQSALKRHGSTASSMVSIATYPFPDVAGLPPGIENLSTAGVEGWRIDNAAVDEALTRPPQEALIRDQSPDALITDVHFSWNAGVAEDLAVPCVSFLVVNLFSELAMRLLDAEIANDSDAEEVTAPGFPGPKLRIPSSELPEFLTCQRNPDAIAMSKVVQGQKRCHGVAVNTFLDMEQPYPYHEKFVADGFAKRAYLVGPLCLPHPPAEANVGESSCINWLDSKPHRSVVYICFGSFAPISHDQLDELALGLEASGESFLWAVRADGWSPPAGWEDRVGERGMLIRGWVPQTAILAHPSTAAFMTHCGSSSLLEAISAGVPLLTWPLVFDQFIEERLVTDVLQIGERVWDGPRSVRYEEKTVVPAAAVAQTVSRFLEPGGRGEVARVRAQELAIKAHAAVAEGGSSYNDLRRLIDDLVEARTKQKKGKMASADRSKKLRVVLIPFFATSHIGPFTDFAVRLATARPANVPVVWSLLERHAEASSLVAIATYPFPAAEAGLPPGVKNLSNVAASDAWRIDAAATDEKLMRPAQEHLIRELSPDAIITDAHFFWNASVAGELDVPCVQFYAIGAFSTIAMAHIAAGAGERHDREVTVPRLLPAGRELRIPTTELPEFLRSSPEVVVVDDHSSSEPKSLQWGPSFYFGVVVNTFFDLESEYCEMYTRDKHAKRAYFVGSVSPSPPPLSPASSGYSPCLDWLSSKPTRSVVYVCFGSLTHVSDTQLDELALGLEASGKPFLWVVRTDRWDPPKGWSERVRDGR >LPERR05G17670.2 pep chromosome:Lperr_V1.4:5:16440649:16448448:1 gene:LPERR05G17670 transcript:LPERR05G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDTSAVTDTTMHIVITRMCFFRTCSGPKEAELLACEKGLRLAAEWVHKTCYSGIGLFLSPLASPLKWECNRITHDLAQFAKRSRYCTVWQCSKKLRVLLIPFFATSHIGPYTDLAVRLAAARPDVVEPTIAVTPANVSVVQSALKRHGSTASSMVSIATYPFPDVAGLPPGIENLSTAGVEGWRIDNAAVDEALTRPPQEALIRDQSPDALITDVHFSWNAGVAEDLAVPCVSFLVVNLFSELAMRLLDAEIANDSDAEEVTAPGFPGPKLRIPSSELPEFLTCQRNPDAIAMSKVVQGQKRCHGVAVNTFLDMEQPYPYHEKFVADGFAKRAYLVGPLCLPHPPAEANVGESSCINWLDSKPHRSVVYICFGSFAPISHDQLDELALGLEASGESFLWAVRADGWSPPAGWEDRVGERGMLIRGWVPQTAILAHPSTAAFMTHCGSSSLLEAISAGVPLLTWPLVFDQFIEERLVTDVLQIGERVWDGPRSVRYEEKTVVPAAAVAQTVSRFLEPGGRGEVARVRAQELAIKAHAAVAEGGSSYNDLRRLIDDLVEARTKQKKGKMASADRSKKLRVVLIPFFATSHIGPFTDFAVRLATARPANVPVVWSLLERHAEASSLVAIATYPFPAAEAGLPPGVKNLSNVAASDAWRIDAAATDEKLMRPAQEHLIRELSPDAIITDAHFFWNASVAGELDVPCVQFYAIGAFSTIAMAHIAAGAGERHDREVTVPRLLPAGRELRIPTTELPEFLRSSPEVVVVDDHSSSEPKSLQWGPSFYFGVVVNTFFDLESEYCEMYTRDKHAKRAYFVGSVSPSPPPLSPASSGYSPCLDWLSSKPTRSVVYVCFGSLTHVSDTQLDELALGLEASGKPFLWVVRTDRWDPPKGWSERVRDGR >LPERR05G17680.1 pep chromosome:Lperr_V1.4:5:16448501:16448866:1 gene:LPERR05G17680 transcript:LPERR05G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCGWNSVLEAVTAGVPVLTWPMVFEQFITERLVTEVLGIGARLWPEGAGIRSTKDEEKEVVPAKAVAEALLRFMEPGGAGEAARKVVEELAAKADAAVAEGGSSHRDLRRLIDDLMRAK >LPERR05G17690.1 pep chromosome:Lperr_V1.4:5:16452904:16453791:1 gene:LPERR05G17690 transcript:LPERR05G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNICSKMDSQSAILTECYSQKNGFSKMNSQLFTKDGFSKCYSQSAIHKKWIHNYLTIHVLGGDIHSTKMNICSKMLFSKCYDKKWILKCYSHRVLLKKMESQTLFRSICSPREGKEERFEPYLERRLVGAERRRRRRGGRASPASGLASPASGYGVAGVGAGRRLAASKSQGSRRQGRRAGSGRRRAGGDAGQAAAGRLECSGGANPNPSRSGARLFSSRRAVRKVAQWKVGNFFPKSH >LPERR05G17700.1 pep chromosome:Lperr_V1.4:5:16454052:16455050:-1 gene:LPERR05G17700 transcript:LPERR05G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLLNPPPSKLAPKPPLPPPSRQFAPPPPPQQIPLHLLSLLRREQLRPVRASSTPPADAPSRSPPSSREEAVSQARSCLAAALRKPLINSALPPRKLKKQQQRQRQTRLRAEIPVVDDSPGSLARLAADVFSPSGLGVSKKASLLLVWPSTDALRSALQEPNVAWGASTAHADLDAVAPAASGCDAAVFLSPGKSQVGKIQDAAAAIDPKPVVLFNPGWSFDEEEDFAAGERGFVGSFNVVYSFTGLEVRGLLSKKRGVLLRFGGESWVLMVEEAADRFKVVSRLKRRPTIGEVETVLYNLMAVNSPVTKSARFLRGIVSNVTGGGKEKQ >LPERR05G17710.1 pep chromosome:Lperr_V1.4:5:16456837:16457154:-1 gene:LPERR05G17710 transcript:LPERR05G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWKGRRSGGGGSPELPTAKVAAGGSVAEVAAAGTQGAVEVRRQRQQQAGDVTVFEFGSAAESGAALTLAGYCPVSDDLEPCRWELVPATGEGAPQFRIVF >LPERR05G17720.1 pep chromosome:Lperr_V1.4:5:16457412:16459917:1 gene:LPERR05G17720 transcript:LPERR05G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKKAGITSRLPGAAARGASQLQTESHLSSSAFRKGQTPPPHPRTRTPLSPIPNTPSCLIQQDRKGSRSPSMPPRRAIEVRLGVAVADGGSGGGAAPRWRMPLLENNFNAFLQSSDGAAARAVFGEGSLFSPLLFGKFFDPADAFPVWEFESDVLLAALRRGTARTTVDWAETDSEYYLRADTPGGRKCDVEVSGDATMRVLDITGLWRPPPPDGRDWRAGRWWEHGFVRRVELPEDADWRKMEAYFDDGEGSLEIKVPKIAGDAHQAAAATA >LPERR05G17730.1 pep chromosome:Lperr_V1.4:5:16462254:16463495:1 gene:LPERR05G17730 transcript:LPERR05G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPELRGGGGCGVRAAAHGSSFCFSGGVVVDGPRIQQLLLHCAATLESNDVTLAQQTMWVLNNIASSHGDPNQRLTSWLLRALVARAWRRLCAPRAPPSPPSPWWGRAMSVTELADYVDLTPWHRFGFTASNAAILRAVAAVAGASAVHVVDLSVTHGMQWPTLIDALSKRPQPPPSLRITVPSVRPSAPPLLAVPTDELGLRLSNFAKSKGVHLEFNVVEHATSSPPLAAVLSDPTSLGIRDGEAVIVNCQSWMRHVAPESRDAFLDAVRALRPRLVTVTDEDADLDSPSLAARMAGCFNFHWILLDALDMAAPKDSPRRMEQEAAIGRKIESVISDDGDAERPESGARLGERMRRRGFAGVGFDEVAAGDVRRLLSEHATGWGVKREEDMMVLTWKGHGAVFTSAWMPN >LPERR05G17740.1 pep chromosome:Lperr_V1.4:5:16464030:16464815:1 gene:LPERR05G17740 transcript:LPERR05G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVQRLVSVAAAAAATISLLYLFSHASTSCTTTLSLAPLPRTSCDAAARRVVPPDRRLAKLRSSARWHRRSESLSSSAFAPLRRLRLLAGSFRVLCLAAAAGNAVDALHDEGVGDVTGVDLVDFPPLVRRADPHHLPFSDGAFDLVFSDEPAGISGALFPSRIAAEAERAVRVGGGIALAVDRHLDPAAVVALFKRSRIVDMRDLNLDGSQN >LPERR05G17750.1 pep chromosome:Lperr_V1.4:5:16475275:16477234:-1 gene:LPERR05G17750 transcript:LPERR05G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAVCAEHREKLEHIERVTRCAGQEQRRVLAEILSQNAAAEYLRRLGVSGDAPGADEAFRRVAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGMYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAFQSMYAQLICGLVHRADVLRVGAVFASGFLRAIRFLEKHWPSLCRDIRNGELDANAITDPAVRDAVGNVLRRCDGGGAALADAIEAECARPSWQGIIRRVWPNTKYIDVIVTGAMAQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVNNYVDREPDHRDLVDLVDVELGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPAFTFVRRKNVALSIDSDKTDETELHAAVTDAAVNHLAPFGASLVEYTSYADTTTTIPGHYVLFWELRSPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDGRVQGNYFSPKCPKWSPGNKAVDHQWRRQEEDQLMHSPIELEAV >LPERR05G17760.1 pep chromosome:Lperr_V1.4:5:16491754:16495227:1 gene:LPERR05G17760 transcript:LPERR05G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWINSAAPARTGDDEAAACSTAPVSDESSAARSSAPMSFCQSGLLPDAAAASSLPSPFLFADRHMDYWTQDFIGGRSAPAGEAAAAAADASFNTLLQLQGDAASHQLLLDAMVAPQGQGVSPYEQDSRFVGSSEIFGQPAMEEEQPQFHLQALSNTERLHQNASSSAATRSSPGSPAAAKKPRIETPSPLPTFKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPMQQQHQEGHKAKDNGEAKQDLRSRGLCLVPVASTYTVANETAMDFWHPTFGGTFR >LPERR05G17770.1 pep chromosome:Lperr_V1.4:5:16497262:16500999:-1 gene:LPERR05G17770 transcript:LPERR05G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKLWQVPETLSEEVLGKMGAPPRSEAPEITPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFDMETVHGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGIAKKLKAGASSA >LPERR05G17780.1 pep chromosome:Lperr_V1.4:5:16502529:16505263:1 gene:LPERR05G17780 transcript:LPERR05G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRFSSALLLLLLASASETSRTRDSIVPGEPLTSNDTLVSAGGGFELGFFTPPGSNKTYVGVWYARVSPRTVVWVTNRADPVPGHANATLSVSRDNCSLAIADANSTVVWSVSPPASAAAATVLNPCTARIRDDGNLVVTDAWGRVTWQGFDHPTDTLLPGMRIGVDFTGGGKNMTLTAWKSPSDPSPSQVVVAMEISGDPEIFLWNGPNKVWRSGPWDGVQFTGVPDTLTYSDFTFNFVNDHREVTYSFNIRHNASVVSRLTVNTTGTLQRWAWSSSASAWSLYWYAPKDQCDAVSPCGANGVCDVNALPPCSCLRGFAPRSPEAWAMRDGRDGCARETPLDCGNGTDGFAVVRHAKAPDTTRAAVDYGAVGLRQCRQRCLGDCSCTAYASANLSAPAGRRGCVMWTGGLEDLRVYPAFGQDLYVRLAAADLGGCNLYIDYNRIESH >LPERR05G17790.1 pep chromosome:Lperr_V1.4:5:16505427:16509045:-1 gene:LPERR05G17790 transcript:LPERR05G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVFRQELLPLRGRREESGAAEWSGGGRGFYGKIVQSQVAGHEGDNTSCDGTGHQQYTIRLG >LPERR05G17800.1 pep chromosome:Lperr_V1.4:5:16508922:16513578:1 gene:LPERR05G17800 transcript:LPERR05G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEKLYDFDETNNKEDDSVIDDSHGEKNCMRGCTSNRSVVFYNEKKENRTSCYGDFTDPHQHECQSSLANSEHLIEKQCVCEDIVSTTYCLVNSEEQSSLPQPLLSRSQSVNLDGHIPTIIEDSVDSTQLKPRSRSYGTLCSLDGAGATYTEEARVSPSHCKDTSEDCVQSNAPSQCNCRDEQIPCEIQIEDSGCNNSSADGILQCCVESGNDCHYSDFTSTAAVTLATNRHSFEDPSYGDLSHNECNTEDTFQKIDKKEFATSEKNCEPESCCQNGYMSSRKEFNIRRIENWISQIPVSNDISPDGQGECSSSAHLINSKQVGTIRKHNAKSPLGMETAYNYIAMLKPSTSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIMRITSGALPKGLRMLNLSRNNISAIEGLKELKLLRVLDLRYNRITKIGHGLSSCPFLKELYMGGNKISELEGLHRLKLKVLDLHSNKLSSPKCLNQLAANCGTLQSITLEGNPAQKNVGDEQLRRHALTLLPNLVYYDKQAVRSSRRCNKPQVGGGRHGRAVSGASAGGSGGGGGRSKRLDLKLPRRSSTGCTAHHVRSAAAHHGSVRPSKQSRNAAHVAAIGGAGAGAESADHHPSEGERRLPGVDSSGQILRIRSADDVC >LPERR05G17810.1 pep chromosome:Lperr_V1.4:5:16515373:16518600:1 gene:LPERR05G17810 transcript:LPERR05G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPGGGDKSPSTPPPVRLAGGAAAAAIQPNSPRFFFSSLAAASASASSPHRRIAIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDNDDAATDAVAAHDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRASKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAGVGDELRTVPEDEPVYHEAPEGQKVGNEMGYNGLGIGGKVEKTEVKRCIQITRRACDLLMLPIPV >LPERR05G17820.1 pep chromosome:Lperr_V1.4:5:16521850:16523166:-1 gene:LPERR05G17820 transcript:LPERR05G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFFLWPTHASSSDHDMAGLVAEEETAAAATEAAQQQQLSPELAAAVARPRLRRQGSSPAKQQQQAGGGGGTKKPPQRGLGVAELERLRCGGDPLRDLNAAVAAAAAMGDASSAAANGHNVINHHHLSVPTFGADSGGRHHYAPVLVRPAPPPPTPPPAATPFCYLHHSSSSPAGGVGGGHNVAPPEQQFLMRDRWGCSNMGGFAAGAGAGHLLPLAPEHPSNQSSNTIWRPASSSSCLHNGHRCDLCSKTMVRALAERGTRGAPTNGPVAAAAMPDYSFYDLAAAMATARKGKGQGLFLGRERKNGDEAGEKEVREIEFFPASTASHHDESEFATTAAEAAAGFTPAFSSSAGGGCGAVTLDLSLRL >LPERR05G17830.1 pep chromosome:Lperr_V1.4:5:16531400:16534888:1 gene:LPERR05G17830 transcript:LPERR05G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVIWVAAPAMIRAGSTTAVMTVMLVSFLFEYLPKIYHSVVFLRRMQNHSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAAGSSPASCASTAAVACVNPVYYGGAVASVAGDRLAWGGNGQARKVCLSSGENYSYGAYKWTVMLVANPSRFEKMLLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSLEWWMKRKDVPHAFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHIQCSQEMRNGATSCCTLGPGNFSGDELLSWCMRRPFAERLPASSSTLATAESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >LPERR05G17830.2 pep chromosome:Lperr_V1.4:5:16532368:16534887:1 gene:LPERR05G17830 transcript:LPERR05G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVRRVTRVNMQLVIWVAAPAMIRAGSTTAVMTVMLVSFLFEYLPKIYHSVVFLRRMQNHSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAAGSSPASCASTAAVACVNPVYYGGAVASVAGDRLAWGGNGQARKVCLSSGENYSYGAYKWTVMLVANPSRFEKMLLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSLEWWMKRKDVPHAFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHIQCSQEMRNGATSCCTLGPGNFSGDELLSWCMRRPFAERLPASSSTLATAESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >LPERR05G17840.1 pep chromosome:Lperr_V1.4:5:16538743:16540927:1 gene:LPERR05G17840 transcript:LPERR05G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPFNPHMMNGSAGSQGHHVNGKQVTVVPSIQKNGPRHRPLTLMRRCRGVLCLVIMPLTAFMMMVYISPITTFLVRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGESVPPKERLLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPNDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPILNNVLLPKIKGFHCCLQELRGSLDAVCDITIAYKHRLPTFMDNVYGIDPSEVHIHLKIIRVSDIPISEDEVADWLTERFKLKDKLLSDFSKLGHFPNEGTEDDLSTLKCLANFVAVISITSILTYLTLFSSVWFKIFVAFSSALLTFATLCSIHLPQLVCSPEVGTHAKKS >LPERR05G17850.1 pep chromosome:Lperr_V1.4:5:16541739:16544282:-1 gene:LPERR05G17850 transcript:LPERR05G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHPTTLLSPPPLCCCCSYALLLPRARKAPPRFSNGAFSAAKSLVRSEFLGKGGTLAWRMEGRRRLGVAGAGRGPFFGGGGGRDTNSRVVGNLAFAALLTFLAATGQLRWVLDAIFSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFNEFFKRGSEGKTPSGTVVDIEAEVKDAE >LPERR05G17860.1 pep chromosome:Lperr_V1.4:5:16546693:16549591:1 gene:LPERR05G17860 transcript:LPERR05G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGVQAAAALFGEEELREVSGVRVGEGFVEVTCGCTSARYGDAVGRLRVFASGELEVSCDCTPGCNQEKLSPAAFEKHSGRETAGKWQNTVWVIVKGDKVALSKTALLKYYKKLKSANGGGNGGNGGRRHRDEFVRCAGCGKERRFRLRTKDECRLYHDAAARHDWTCKDMAPSRVSCEDEEERASRKASRGCARAAACEGCMRCVCFGCETCRFAGCGCQLCVDFYRNASMN >LPERR05G17870.1 pep chromosome:Lperr_V1.4:5:16552802:16555612:-1 gene:LPERR05G17870 transcript:LPERR05G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRANSDTDTGFDPVEEWLVDFDQAMADGMAKSLALGEEAVVVALDAPEPTSCDDGKVSGGLVSESGGVGVAKGDSLVDDQIGASKGGESDVKMEPEVGSGGLGAQTAQDQLPASGVDDLAVREDTSEVIVEDVERSIVSVDADMNDTALTLVKEEAIGRGGDEEDESDEEESESSQEESSEASSSSDEEEDKRAKDDEESSEASSSSDEEEQMTKKNGGDTDSLFEEAELMLASDDEEEEASKSSRKYKHEVEVLPPVPKIEVKLEPHHKTLPVGTISAIMGERLIVEGSVQHNPLNEGSILWITESRTPLGIVDELFGPVKNPYYLVRYNSSEEVPAEISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDLEFSDDEKEAEYKRSLKQAKRQTDRQHENKKTSGDKKRSQSRGAGFQKDMPPRSRDGPAPGRQPQPHLHRPDKAPVVDAVRPLGSQDRPMSAPTMMPPGPVHPPMPAANQLMNQMGGCFMNPTQQFLPRQPNVVWPGGLPPTPHPNMGVEGAAFAANIMQNLLIGANQYQQQFQNQNFGGFLNQMPIPPPQFMPQGGMPSNPMAFGGPTMTSINPPFGSPSQLPVDQGNLGQQFPHMAGNTLQQGPPAGFPSGQGFGQPAPPHGDGEQPPMQFSSGQFNQGNSSRGRRPQQRGGRHSSGRGGGHLRR >LPERR05G17880.1 pep chromosome:Lperr_V1.4:5:16556591:16561628:-1 gene:LPERR05G17880 transcript:LPERR05G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGFEDDDVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLAACLFWNIIATTTAWVKGEGVMIWLLAIIYLISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARSALSNAF >LPERR05G17890.1 pep chromosome:Lperr_V1.4:5:16562823:16563405:1 gene:LPERR05G17890 transcript:LPERR05G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKQDELFPAAAAAGGEVEAPRRRVTRRRRRRRRPGGRASPRRAHETATTATPPQVSPASSSTTTTATMQSHGPPPPPHPQDQAVASAGNGGGDVAGGGGGGDGKESLDNPSVAMECFIFL >LPERR05G17900.1 pep chromosome:Lperr_V1.4:5:16565035:16568848:-1 gene:LPERR05G17900 transcript:LPERR05G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGGTEFHGFRGGAGQLPRSRMLGRPLRVAPTPAAAPSGGGGGGIRAVSAPLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSETPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVKKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSSEEHPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDSEGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDRWGIDKFRAEVEKYYGKKFEDFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIEKAWKDPITAALAQSGLLEPNDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAESFMNKVKLQDIEKVLEPLFSYWNSARQEGESFGSFTCRMGFDKLKEVVESATAA >LPERR05G17910.1 pep chromosome:Lperr_V1.4:5:16571184:16571372:1 gene:LPERR05G17910 transcript:LPERR05G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKLRILAVAAAAAVVASSLVGTASAAHAPAPAPTSDASMAAPAVAAASLTALVFGYLF >LPERR05G17920.1 pep chromosome:Lperr_V1.4:5:16572491:16572685:-1 gene:LPERR05G17920 transcript:LPERR05G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRLRLVAAAAAAAVVASSMVGIASAADGPAPAPTSGANSATATAFAAVSFAVAAVGYLFC >LPERR05G17930.1 pep chromosome:Lperr_V1.4:5:16613789:16615868:1 gene:LPERR05G17930 transcript:LPERR05G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENTEENRRALRELLFCAPGVLPYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIAIAGADGETTTQGHDDLGKTCAKYYDAGARFAKWRAVLKIGPQFEPSQLSIDLNAHGLARYAIVCQENGLVPIVEPEILVDGAHDIDRCAYVSEVVLAACYKALNDHHVLLEGTLLKPNMVTPGSESKNKVKPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWGGKVENIEKARAALLARCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >LPERR05G17940.1 pep chromosome:Lperr_V1.4:5:16662108:16663895:1 gene:LPERR05G17940 transcript:LPERR05G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLRGKTSTLYIPPLPKSSSVKEKARSNATSLHKAKNHILCIAGAGWCMSHSFFSLIQTLTGRRELLFWLVSSPWRSRHLSGTPESNIFVSHGDRYQHSRRSLGDPPLTASEHIITGRAGGRVPRR >LPERR05G17950.1 pep chromosome:Lperr_V1.4:5:16662696:16663852:-1 gene:LPERR05G17950 transcript:LPERR05G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRIVIVLFFSVCILVATTDVAKHTSAAATGGGVAGRWTELTAGSPARYSAAGPAGDDVFRGSERRIPKGPDPIHNRRAGKMTTAPRRRD >LPERR05G17960.1 pep chromosome:Lperr_V1.4:5:16670829:16673280:1 gene:LPERR05G17960 transcript:LPERR05G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRRAGGGGGIGGEKKELFHVVHKVPAGDSPYVRAKHLQLVEKDPEMAIVWFWKAINSGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRQLCSRQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIHLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARQVLLVVVLRRISGSEDDKVVARAKQLLHELEPVTHVSSPLDAGLSVTEEIMERLDLALNEWTPFRSRRLPVFEEIATLRDQIAC >LPERR05G17970.1 pep chromosome:Lperr_V1.4:5:16673251:16675383:-1 gene:LPERR05G17970 transcript:LPERR05G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFENARSVRLKSHLGTYLCVLDDDGGGGGGGVSHGYRSDDIRGTVWAVELAAGDDYVRLQSQRGLYLCATDLPAALDGCSRGSAACCWVIQSPPPSNPHDGAFLWTPRREGDFLLLVGLYGRLLRVRHENFAVTVEYLPDDAAEESSWSVEVVVPAIPRCRAASCDARMEAASSQQQQPPTSFARFCSAKETKMVRKTKAAPAASGAAVHRTIFYNTARDDGGVDDFDEGTWKYFTFKDRSLAALRRRLVEETRREDFVVCRRRFSAPPPSPGLFPVVLDLPPGNRDMEFVLVLNSSRVKY >LPERR05G17980.1 pep chromosome:Lperr_V1.4:5:16678511:16690791:1 gene:LPERR05G17980 transcript:LPERR05G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAATPPGGASASASAGGAKRRKGSADAGPSFSSTAEAEAAKRRRRSGVLQFFDDAACVGDDDDEEEEEEEMFMSDEEEDIDDGFFTEGKAENINLKRTERSHPLPFLGIVKEEELSGDELEEFIKERYSSRVKHTAFDGSTNVDDDEFTMDGLLKEPTIWKIKCMVGRERQMAFCLMQKYVDLQKFGTKVPIISVFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINAVPAAEVPSLLSSRAKPFVISPGTWVVSADNGRKRVLIKLIPRVDLRAISKKFGGAISLKEAAIPAPRLISSQELEPHIEMKRDRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPTNTSSTDDLDWVSSMRKDFGVVIAFEKDGLRILKGGPEGFAMTVKKQDIKKVCADKMFTAVDHKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGSCENVKKRKELANSTFGNSEDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTGWLADYSCSCSLTVIDHSVIFSVQAEFLSVPAKRGDNSSGAPSGPFGNQDTTFFGSEADKPSWDNGLPSFGSDSWQPFSSSKLPVQKADGESDADPWSKKAASSVDDSDPWGTKTKSASIDVWNNSATQKENSSDDAWGKQPGGSGSNIGGSSWDRMTADNESGKSDNWGDACKEMDKTGSDPDPWGSKVKEVDLKETDNWGKASMETEKKSEDDNHGWGQPVGKLNQDHEKGARKSGAWDTVIAESSSSVPGRGDDDSWAKTDSSVAQDDAWGKSKDNNSDGAAGWSKTKTSNQSHGTGGWDTAAGNWNSSSDVDGQKDAWGKAKDANANSEEKNNEDGTWNKAAALDQVGGSDWGNPKFSSGDGPSSWNKEDEVGGDSQNGNWSRPSGNYEGGRGFGRGQGRGRGRESQYGGQGRGDNGWRNGGRSSSEYGRSDPDAPSWGNKQPNFANEGCADWDKGTSNKGSWDRGDNWNAPNSAINQPWSSSRGTKSSDENQASTWISSEDKKPSGGQEQQSDAWASQMTSTAGVEDKSDEWGTKAEGNAGSTGGKWENASTGEERGSDPWGSKICSAKGKEQETDPWASKVTSTADAGDNNNGWNTMVRDTSSGSEGKWGNAGTEEKVDAWKSNEGSENSGGWNSAGSSWGNQKSSWGKPTSSGGEQEPAWSNPKSGDDNSGYGRGGFGHGNRGRGRGRNFGDSGSSWGGGSSRNDESRGERSEDRWNTRDSDGGRGRGRGRFGREAGQKKEGSWAKSEGSGSQTGGSSRDKPDGGWNSNKGEDTEGGGSGSAWEKADGKGGSSGNGGW >LPERR05G17980.2 pep chromosome:Lperr_V1.4:5:16678511:16690313:1 gene:LPERR05G17980 transcript:LPERR05G17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAATPPGGASASASAGGAKRRKGSADAGPSFSSTAEAEAAKRRRRSGVLQFFDDAACVGDDDDEEEEEEEMFMSDEEEDIDDGFFTEGKAENINLKRTERSHPLPFLGIVKEEELSGDELEEFIKERYSSRVKHTAFDGSTNVDDDEFTMDGLLKEPTIWKIKCMVGRERQMAFCLMQKYVDLQKFGTKVPIISVFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINAVPAAEVPSLLSSRAKPFVISPGTWVVSADNGRKRVLIKLIPRVDLRAISKKFGGAISLKEAAIPAPRLISSQELEPHIEMKRDRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPTNTSSTDDLDWVSSMRKDFGVVIAFEKDGLRILKGGPEGFAMTVKKQDIKKVCADKMFTAVDHKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGSCENVKKRKELANSTFGNSEDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTGSEADKPSWDNGLPSFGSDSWQPFSSSKLPVQKADGESDADPWSKKAASSVDDSDPWGTKTKSASIDVWNNSATQKENSSDDAWGKQPGGSGSNIGGSSWDRMTADNESGKSDNWGDACKEMDKTGSDPDPWGSKVKEVDLKETDNWGKASMETEKKSEDDNHGWGQPVGKLNQDHEKGARKSGAWDTVIAESSSSVPGRGDDDSWAKTDSSVAQDDAWGKSKDNNSDGAAGWSKTKTSNQSHGTGGWDTAAGNWNSSSDVDGQKDAWGKAKDANANSEEKNNEDGTWNKAAALDQVGGSDWGNPKFSSGDGPSSWNKEDEVGGDSQNGNWSRPSGNYEGGRGFGRGQGRGRGREYGDLGGRNNQGSWKNSGGNDSSGRPSWRSDNQMDKEVGDSGGYRGRGRGRSQYGGQGRGDNGWRNGGRSSSEYGRSDPDAPSWGNKQPNFANEGCADWDKGTSNKGSWDRGDNWNAPNSAINQPWSSSRGTKSSDENQASTWISSEDKKPSGGQEQQSDAWASQMTSTAGVEDKSDEWGTKAEGNAGSTGGKWENASTGEERGSDPWGSKICSAKGKEQETDPWASKVTSTADAGDNNNGWNTMVRDTSSGSEGKWGNAGTEEKVDAWKSNEGSENSGGWNSAGSSWGNQKSSWGKPTSSGGEQEPAWSNPKSGDDNSGYGRGGFGHGNRGRGRGRNFGDSGSSWGGGSGNNDRSWGSGRGNRDQNNDRRPFGQDRGGGWSQSSDWNSNKVTTEDQAFSKGKSSWGSDKNDGWGASKPSGGDDQAGKNHATNPWGAPPSDTTTGGGSGGGGSWENRTEDTSEKSSWGGSEAGQKKEGSWAKSEGSGSQTGGSSRDKPDGGWNSNKGEDTEGGGSGSAWEKADGKGGSSGNGGW >LPERR05G17980.3 pep chromosome:Lperr_V1.4:5:16678511:16690791:1 gene:LPERR05G17980 transcript:LPERR05G17980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAATPPGGASASASAGGAKRRKGSADAGPSFSSTAEAEAAKRRRRSGVLQFFDDAACVGDDDDEEEEEEEMFMSDEEEDIDDGFFTEGKAENINLKRTERSHPLPFLGIVKEEELSGDELEEFIKERYSSRVKHTAFDGSTNVDDDEFTMDGLLKEPTIWKIKCMVGRERQMAFCLMQKYVDLQKFGTKVPIISVFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINAVPAAEVPSLLSSRAKPFVISPGTWVVSADNGRKRVLIKLIPRVDLRAISKKFGGAISLKEAAIPAPRLISSQELEPHIEMKRDRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPTNTSSTDDLDWVSSMRKDFGVVIAFEKDGLRILKGGPEGFAMTVKKQDIKKVCADKMFTAVDHKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGSCENVKKRKELANSTFGNSEDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTGWLADYSCSCSLTVIDHSVIFSVQAEFLSVPAKRGDNSSGAPSGPFGNQDTTFFGSEADKPSWDNGLPSFGSDSWQPFSSSKLPVQKADGESDADPWSKKAASSVDDSDPWGTKTKSASIDVWNNSATQKENSSDDAWGKQPGGSGSNIGGSSWDRMTADNESGKSDNWGDACKEMDKTGSDPDPWGSKVKEVDLKETDNWGKASMETEKKSEDDNHGWGQPVGKLNQDHEKGARKSGAWDTVIAESSSSVPGRGDDDSWAKTDSSVAQDDAWGKSKDNNSDGAAGWSKTKTSNQSHGTGGWDTAAGNWNSSSDVDGQKDAWGKAKDANANSEEKNNEDGTWNKAAALDQVGGSDWGNPKFSSGDGPSSWNKEDEVGGDSQNGNWSRPSGNYEGGRGFGRGQGRGRGREYGDLGGRNNQGSWKNSGGNDSSGRPSWRSDNQMDKEVGDSGGYRGRGRGRSQYGGQGRGDNGWRNGGRSSSEYGRSDPDAPSWGNKQPNFANEGCADWDKGTSNKGSWDRGDNWNAPNSAINQPWSSSRGTKSSDENQASTWISSEDKKPSGGQEQQSDAWASQMTSTAGVEDKSDEWGTKAEGNAGSTGGKWENASTGEERGSDPWGSKICSAKGKEQETDPWASKVTSTADAGDNNNGWNTMVRDTSSGSEGKWGNAGTEEKVDAWKSNEGSENSGGWNSAGSSWGNQKSSWGKPTSSGGEQEPAWSNPKSGDDNSGYGRGGFGHGNRGRGRGRNFGDSGSSWGGGSSRNDESRGERSEDRWNTRDSDGGRGRGRGRFGRGDRSQGNNYGSGGNNDRSWGSGRGNRDQNNDRRPFGQDRGGGWSQSSDWNSNKVTTEDQAFSKGKSSWGSDKNDGWGASKPSGGDDQAGKNHATNPWGAPPSDTTTGGGSGGGGSWENRTEDTSEKSSWGGSEAGQKKEGSWAKSEGSGSQTGGSSRDKPDGGWNSNKGEDTEGGGSGSAWEKADGKGGSSGNGGW >LPERR05G17980.4 pep chromosome:Lperr_V1.4:5:16678511:16690791:1 gene:LPERR05G17980 transcript:LPERR05G17980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAATPPGGASASASAGGAKRRKGSADAGPSFSSTAEAEAAKRRRRSGVLQFFDDAACVGDDDDEEEEEEEMFMSDEEEDIDDGFFTEGKAENINLKRTERSHPLPFLGIVKEEELSGDELEEFIKERYSSRVKHTAFDGSTNVDDDEFTMDGLLKEPTIWKIKCMVGRERQMAFCLMQKYVDLQKFGTKVPIISVFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINAVPAAEVPSLLSSRAKPFVISPGTWVVSADNGRKRVLIKLIPRVDLRAISKKFGGAISLKEAAIPAPRLISSQELEPHIEMKRDRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPTNTSSTDDLDWVSSMRKDFGVVIAFEKDGLRILKGGPEGFAMTVKKQDIKKVCADKMFTAVDHKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGSCENVKKRKELANSTFGNSEDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTGSEADKPSWDNGLPSFGSDSWQPFSSSKLPVQKADGESDADPWSKKAASSVDDSDPWGTKTKSASIDVWNNSATQKENSSDDAWGKQPGGSGSNIGGSSWDRMTADNESGKSDNWGDACKEMDKTGSDPDPWGSKVKEVDLKETDNWGKASMETEKKSEDDNHGWGQPVGKLNQDHEKGARKSGAWDTVIAESSSSVPGRGDDDSWAKTDSSVAQDDAWGKSKDNNSDGAAGWSKTKTSNQSHGTGGWDTAAGNWNSSSDVDGQKDAWGKAKDANANSEEKNNEDGTWNKAAALDQVGGSDWGNPKFSSGDGPSSWNKEDEVGGDSQNGNWSRPSGNYEGGRGFGRGQGRGRGREYGDLGGRNNQGSWKNSGGNDSSGRPSWRSDNQMDKEVGDSGGYRGRGRGRSQYGGQGRGDNGWRNGGRSSSEYGRSDPDAPSWGNKQPNFANEGCADWDKGTSNKGSWDRGDNWNAPNSAINQPWSSSRGTKSSDENQASTWISSEDKKPSGGQEQQSDAWASQMTSTAGVEDKSDEWGTKAEGNAGSTGGKWENASTGEERGSDPWGSKICSAKGKEQETDPWASKVTSTADAGDNNNGWNTMVRDTSSGSEGKWGNAGTEEKVDAWKSNEGSENSGGWNSAGSSWGNQKSSWGKPTSSGGEQEPAWSNPKSGDDNSGYGRGGFGHGNRGRGRGRNFGDSGSSWGGGSSRNDESRGERSEDRWNTRDSDGGRGRGRGRFGRGDRSQGNNYGSGGNNDRSWGSGRGNRDQNNDRRPFGQDRGGGWSQSSDWNSNKVTTEDQAFSKGKSSWGSDKNDGWGASKPSGGDDQAGKNHATNPWGAPPSDTTTGGGSGGGGSWENRTEDTSEKSSWGGSEAGQKKEGSWAKSEGSGSQTGGSSRDKPDGGWNSNKGEDTEGGGSGSAWEKADGKGGSSGNGGW >LPERR05G17980.5 pep chromosome:Lperr_V1.4:5:16678511:16690791:1 gene:LPERR05G17980 transcript:LPERR05G17980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAATPPGGASASASAGGAKRRKGSADAGPSFSSTAEAEAAKRRRRSGVLQFFDDAACVGDDDDEEEEEEEMFMSHPLPFLGIVKEEELSGDELEEFIKERYSSRVKHTAFDGSTNVDDDEFTMDGLLKEPTIWKIKCMVGRERQMAFCLMQKYVDLQKFGTKVPIISVFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINAVPAAEVPSLLSSRAKPFVISPGTWVVSADNGRKRVLIKLIPRVDLRAISKKFGGAISLKEAAIPAPRLISSQELEPHIEMKRDRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPTNTSSTDDLDWVSSMRKDFGVVIAFEKDGLRILKGGPEGFAMTVKKQDIKKVCADKMFTAVDHKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGSCENVKKRKELANSTFGNSEDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTGSEADKPSWDNGLPSFGSDSWQPFSSSKLPVQKADGESDADPWSKKAASSVDDSDPWGTKTKSASIDVWNNSATQKENSSDDAWGKQPGGSGSNIGGSSWDRMTADNESGKSDNWGDACKEMDKTGSDPDPWGSKVKEVDLKETDNWGKASMETEKKSEDDNHGWGQPVGKLNQDHEKGARKSGAWDTVIAESSSSVPGRGDDDSWAKTDSSVAQDDAWGKSKDNNSDGAAGWSKTKTSNQSHGTGGWDTAAGNWNSSSDVDGQKDAWGKAKDANANSEEKNNEDGTWNKAAALDQVGGSDWGNPKFSSGDGPSSWNKEDEVGGDSQNGNWSRPSGNYEGGRGFGRGQGRGRGREYGDLGGRNNQGSWKNSGGNDSSGRPSWRSDNQMDKEVGDSGGYRGRGRGRSQYGGQGRGDNGWRNGGRSSSEYGRSDPDAPSWGNKQPNFANEGCADWDKGTSNKGSWDRGDNWNAPNSAINQPWSSSRGTKSSDENQASTWISSEDKKPSGGQEQQSDAWASQMTSTAGVEDKSDEWGTKAEGNAGSTGGKWENASTGEERGSDPWGSKICSAKGKEQETDPWASKVTSTADAGDNNNGWNTMVRDTSSGSEGKWGNAGTEEKVDAWKSNEGSENSGGWNSAGSSWGNQKSSWGKPTSSGGEQEPAWSNPKSGDDNSGYGRGGFGHGNRGRGRGRNFGDSGSSWGGGSSRNDESRGERSEDRWNTRDSDGGRGRGRGRFGRGDRSQGNNYGSGGNNDRSWGSGRGNRDQNNDRRPFGQDRGGGWSQSSDWNSNKVTTEDQAFSKGKSSWGSDKNDGWGASKPSGGDDQAGKNHATNPWGAPPSDTTTGGGSGGGGSWENRTEDTSEKSSWGGSEAGQKKEGSWAKSEGSGSQTGGSSRDKPDGGWNSNKGEDTEGGGSGSAWEKADGKGGSSGNGGW >LPERR05G17990.1 pep chromosome:Lperr_V1.4:5:16698773:16700029:-1 gene:LPERR05G17990 transcript:LPERR05G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVAEAKPKPEYVASEPFLPIDELKTVGTQMHQFHEWYMKALADGKDTIGAKIRSMYYFTCQDDYVWIPFKDVFDLYQLDALDVSDHRVDHEKWRYKGPSKIKMTILHSWTLGKLTRSWCKPKSIVSRTVLSISWRKTILGNEFFFRTKKVSYISSHAIYNYHWILFAFNVSYSTVLVFDSMDKNEAWDRFRKLISGNFKEKLERIYKLRVDKHKIGTNLCGYFVCDYLHNLTPSQGRQEPLMGFINEQILDPAGEFYVDD >LPERR05G18000.1 pep chromosome:Lperr_V1.4:5:16724613:16735089:-1 gene:LPERR05G18000 transcript:LPERR05G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQVFSIVFLFCLSGVSSTSHYFTSIFSLGDSYVDTGNFIIMGTQPVRYDKLPYGMTFFGHPTGRMSDGRVIIDFIAKEFELPFLPASLANSSSIFQGVNFAVQGAVATDIDYFQRNNIVSFKLLNNSLDIQLGWFEELRPSICNITTEQANGFKNCFSKSLFIVGEFGVNDYNFLWTGGKTREEVESYVPKVVRKITMGVERLINQGAVYLVVPGNPPTGCAPALLTLLMSPNKTDYDGLGCLHALNDVAKYHNTLLWFAADGLLKACCGIGGDYNWNISDMCAMPGVVACKDPSASISWDGIHYTEAINSYIAKGSIMELKFIISIAFLFCLSRVSSTSHYFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHQTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGVDYFERNNIVPFKLLNNSLDVQLGWFEELKPLICNTTTEESTGFENCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITMGVERLINQGAVYVVVPGNPPTGCAPAILTVRMSPNTTDYDNLGCLRAVNEVVKLHNVMLRAALGNLRGKYPHAKIILVDFYKPIIRVLQNPSHLGFAADGILKACCGTGGAYNWNASAICAMPGVVACNNPSASVSWDGVHYTEAINQYIAKGSTSHYFTSMFSLGDSYIDTGNFVIMASSVVLIWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSTVSQGVNFAVGGAPATGVDYFERNNIVGFKLLNNSLDVQLGWFEELKPSICNTTTTEANGLENCFSKTLFIVGEFGVNDYNFIWTAGKAKQEVKSYVPQVVKKITMGVERLINQGALYVVVPGNPPTGCAPALLTLRASPNSTDYDKTPLAACAPSTAWPNITTQCFVRLSACSGARFSTDGVLKACCGTGGAYNWNASATCAMPGVVACNNPSASVSWDGVHYTEAINEHIANGWLHGPYADPPILAAIPH >LPERR05G18010.1 pep chromosome:Lperr_V1.4:5:16736912:16738414:-1 gene:LPERR05G18010 transcript:LPERR05G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICFSLKEEAQQYSSWKDYISIESYVYVLVEVGVENYRSIGSYVYDKKMAPKLGSKPFFAFIGEHFESVEELKHLKELLLDLFRGEVVENLNLACVEGLFVCTSISPTTVYMMHCALCLKQSATSIPRMELVEVGPSVDLVVRRHRYLVESLKEAMKTADHAKKMKNVMKDPVQGKLGKVYIPDQQIAKMTLSNDIKGSRGSVVRPRKTRSTQRSKGGKC >LPERR05G18020.1 pep chromosome:Lperr_V1.4:5:16739110:16742086:1 gene:LPERR05G18020 transcript:LPERR05G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTRRAFLLCNYLILGAASGCIFLTLSLRLLPSPCGLLLVFLHSLTAVLAAAACSGSFTSGAGATHYTAHTASAVLTAIFQGAAALLAFTRTGDFLAEIRSYVREEDGEVILRLVGGLGAAVFVLEWAALALAFALRLGDDGDEEDHDGGLPPPPRRAAAKMPKQIHEIKDFLLTARRKDARSVRIKRTKDAAKFKVRCSKYLYTLCVFDTEKANKLKQSLPPGLTVEEV >LPERR05G18030.1 pep chromosome:Lperr_V1.4:5:16742618:16748200:1 gene:LPERR05G18030 transcript:LPERR05G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRRGRIGLGILQAAVVLASLLQLIVVSGEVIFEERFDDGWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDKGGGLLWFYFHCNEQPSFTKREISTSEFSLHDSAFYHTTKGIQTTVDAKHFAISAKFPEFSNKNRTLVIQYSIKIEQEIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKEANAKKPKDWDDREYIEDPDEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARNVVDEIRAANKEAEKEAFEEAEKRRKAREDEGHRRYDYHDEL >LPERR05G18040.1 pep chromosome:Lperr_V1.4:5:16780005:16781788:-1 gene:LPERR05G18040 transcript:LPERR05G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERGAGAQVQRRSRGGTAVGAARGDGRRAASAGGGGGTAEAASRRLRSEALTSEQQPSTQHSPLPGWPSTRAASSRGTGEQASESNPTEEIAQPHNSNPIPDADDSNPTPDTIGTGLDNQ >LPERR05G18040.2 pep chromosome:Lperr_V1.4:5:16780061:16781788:-1 gene:LPERR05G18040 transcript:LPERR05G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERGAGAQVQRRSRGGTAVGAARGDGRRAASAGGGGGTAEAASRRLRSEALTSEQQPSTQHSPLPGWPSTRAASSRGTGEQASWYAFFNNI >LPERR05G18050.1 pep chromosome:Lperr_V1.4:5:16787394:16795141:1 gene:LPERR05G18050 transcript:LPERR05G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPLQSVHRFRYRLSSEAACRLRLRSSSPRAIPQRPTPRQPKSSALQFVKSIKSSDGIVVGPWGGTGGYPWDDGVYSTIRQVIITHGAAIDSIRIEYDLKGNSVWSGTHGSTDGGSETDKVKLDFPDEILLSVSGYYGSVCGTPVIIRSLTFQSNRSTYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVQVPDQSNSPALPQSQRLTSAYNRNGYSFPDSASGYDMVLAVRDRHDSYSVYTSNYPDQQYTNPSPDYSDGARWNKVPQTSPPLQMVSFPSYGERGAAAVGSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEVLTHITGYYGPTMIMGPTVVRSLTFHTNKRRYGPYGDEYGTYFSTSFTDGRIVGFHGREGWYIDGIGVHVQEGKLTPPRVSSRSVTEMNPSLRDMLAQSRNETYDEVAYSMVKEPVPMGPGPWGGDGGRPWDDGVYTGVKQIYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPQEVLTCVYGYYNTNREEGPRALRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRSAPKYVLSKYLF >LPERR05G18050.2 pep chromosome:Lperr_V1.4:5:16787098:16790922:1 gene:LPERR05G18050 transcript:LPERR05G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVSYLPLLLLAAAATAADYEARFEAWCAEHGRSYATPGERAARLAAFADNAAFSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEQDYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDLLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGFEGGKDYWIVKNSWGESWGMKGYMHMRRNAGNSYGICGINQMPSFPTKTSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRLLGFCLSWSCCELDSAVCCKDNRYCCPHDYPICDTASKRCFKANNGNFSVMEEGSRKQSFSKVPSWGVIFPSEGADDWCSSPTSSSQRNPSSTWTKWDRTFFIQRPRVALSVSQIAEVGAVSSARGKKSMAPDSRQ >LPERR05G18050.3 pep chromosome:Lperr_V1.4:5:16787098:16790922:1 gene:LPERR05G18050 transcript:LPERR05G18050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVSYLPLLLLAAAATAADYEARFEAWCAEHGRSYATPGERAARLAAFADNAAFLVSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEQDYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDLLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGFEGGKDYWIVKNSWGESWGMKGYMHMRRNAGNSYGICGINQMPSFPTKTSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRLLGFCLSWSCCELDSAVCCKDNRYCCPHDYPICDTASKRCFKANNGNFSVMEEGSRKQSFSKVPSWGVIFPSEGADDWCSSPTSSSQRNPSSTWTKWDRTFFIQRPRVALSVSQIAEVGAVSSARGKKSMAPDSRQ >LPERR05G18060.1 pep chromosome:Lperr_V1.4:5:16795599:16797625:1 gene:LPERR05G18060 transcript:LPERR05G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAQAEGVVIAIHSLEEWTIQIEEANTAKKLVVIDFTASWCGPCRVIAPIFADLAKNHPNVVFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAMKDELTNKVKLHAEMPTAAQ >LPERR05G18070.1 pep chromosome:Lperr_V1.4:5:16798104:16800749:1 gene:LPERR05G18070 transcript:LPERR05G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKAAAAVRGFVERLSTVEGRLLRLEIVVLISAVILAVLVLYGAARRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFAQRKKLLLQHVLQSALVLWLVVSATGRNASYRAAIWSFWILNVLKTSAKIVEMVKASLPDQSVKVIADYMDVEESIAGADGDENQPDPKTMRGYRYIFHGEDTMLPSSHGGGSGEMMRRQSETKSVVTIDRVFRWIDGEAGYSDVEKDMARDFCLAFALFKLLKRRFSGFVPAEAGSRKSRDLVCAGLIHDHATGPDAAFRVVDAELAFLYDEFYTRNIVLVGARTYVAIAVVVAGITVWTALFGTLVGPAYHRLLIGVRDLDRSVTVLVVAITAGLELAQAVAGFSSNWRYVKTVYRCVRDDQPWSNHRRRSHLWWKETITPPVTKYWSDKVGQYVLLKRFDHRPWNILSWVTLYLVEPRRQGQKRGRRKRLPPEVKRAVLVSLKKSYCNLCNGAATLRRHGLSSRLGWACALPKITDQILVWHIATTRCDWADHGRHDHRRRGDGDDVGVNVIDRLVARKLSNYCAYLVAFVPEMLPDASYNAEQIFDTAVQQAREQLGGCRGEGEVMARMQEIEEREMRGARDRAGSATVVEKAALLGGQLAAAVGGDEGMRWRVLAEFWAEFVLFLAPSDNVDIHAEMLGAGGEFMTQIWALLSHAGVLERPAGGATPSAPAV >LPERR05G18080.1 pep chromosome:Lperr_V1.4:5:16805835:16817104:1 gene:LPERR05G18080 transcript:LPERR05G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAANDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGEDDDPGPKPCDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRILADLNELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGTSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVSYQEAVALKRQEELIREEEEEAWLLGNETKGKRGGTGGGANEKDKRAKKKQAKQKKNNRKVKDKERDEKFEAKIMERLNDETVIDDSDGLPSKRAEEVTTKVDTSEEGASDMQDDLDSSVMVHRLDSGDKNPRQMNGLSDVASSAQKVNRASSMEANSLPFLADSVDVSGTHSRGNNLSDSKNRMTQNRGKNQRNKGISIISFAEEGEGTPSSSTGGSARYSSSCGTAPKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMDAQAKTSGSSPSSSPVEETSDVLKSPEQSAEVKMDVKANGTPNKDDPLTNCVPEESVSVMAVTKSTEAVSGMALAKTRVDPVSNKDHVPKSTLQAKASTNGSKSTPADVEKEVPLTSRSPQINKATPVPPKSPQVGNATPVPPKSPQVGNATPVPPKSPPVEKACPAPPRSPTAAKDTSLPSVKSLQVDKPVPVPPRLTQVDKATSLSSELPQTSTTSNSEAQEETTVIRVTSPPVSDIAVATSRPSSAPVFPAPRSTVPTTQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNATIGKHGRSTAPASSAYQSTSLAQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLGKPEAHDSWQPWNGNRHVDKHLWRDDLAYQQTTNSHTYPQPWKNVNFLQARGAETETSSRFGGPQPPRQFQAESPADFVLQQPQGALAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHAYGLPLPFLLRGSMADPEMATASSPGRFNLTEPYYDEGFSRTYDMSAFQGTRERQFPSLDAYSNGLSDMSAPKAWLNGSPNPSSVNHGVGTNGFPQQIPDYTANLASELNGGSLYHRRYANGRW >LPERR05G18080.2 pep chromosome:Lperr_V1.4:5:16806321:16817104:1 gene:LPERR05G18080 transcript:LPERR05G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAANDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGEDDDPGPKPCDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRILADLNELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGTSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVSYQEAVALKRQEELIREEEEEAWLLGNETKGKRGGTGGGANEKDKRAKKKQAKQKKNNRKVKDKERDEKFEAKIMERLNDETVIDDSDGLPSKRAEEVTTKVDTSEEGASDMQDDLDSSVMVHRLDSGDKNPRQMNGLSDVASSAQKVNRASSMEANSLPFLADSVDVSGTHSRGNNLSDSKNRMTQNRGKNQRNKGISIISFAEEGEGTPSSSTGGSARYSSSCGTAPKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMDAQAKTSGSSPSSSPVEETSDVLKSPEQSAEVKMDVKANGTPNKDDPLTNCVPEESVSVMAVTKSTEAVSGMALAKTRVDPVSNKDHVPKSTLQAKASTNGSKSTPADVEKEVPLTSRSPQINKATPVPPKSPQVGNATPVPPKSPQVGNATPVPPKSPPVEKACPAPPRSPTAAKDTSLPSVKSLQVDKPVPVPPRLTQVDKATSLSSELPQTSTTSNSEAQEETTVIRVTSPPVSDIAVATSRPSSAPVFPAPRSTVPTTQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNATIGKHGRSTAPASSAYQSTSLAQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLGKPEAHDSWQPWNGNRHVDKHLWRDDLAYQQTTNSHTYPQPWKNVNFLQARGAETETSSRFGGPQPPRQFQAESPADFVLQQPQGALAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHAYGLPLPFLLRGSMADPEMATASSPGRFNLTEPYYDEGFSRTYDMSAFQGTRERQFPSLDAYSNGLSDMSAPKAWLNGSPNPSSVNHGVGTNGFPQQIPDYTANLASELNGGSLYHRRYANGRW >LPERR05G18090.1 pep chromosome:Lperr_V1.4:5:16818754:16825918:1 gene:LPERR05G18090 transcript:LPERR05G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSCRVQEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSEDPESLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVETPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGEMRARKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDTNEIGCLERVSGLNDEADCVHEVYSDGAAVAAWEALVASPSRAPAQREIMAAVQKNEARFRSTSHS >LPERR05G18090.2 pep chromosome:Lperr_V1.4:5:16818754:16825918:1 gene:LPERR05G18090 transcript:LPERR05G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSCRVQEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSEDPESLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVETPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGEMRARKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDTNEIGCLERVSGLNDEADCVHEVYSDGAAVAAWEALVASPSRAPAQREIMAAVQKNEARFRSTSHS >LPERR05G18090.3 pep chromosome:Lperr_V1.4:5:16818754:16825918:1 gene:LPERR05G18090 transcript:LPERR05G18090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSCRVQEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSEDPESLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVETPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGEMRARKPYRIELVGVVCDAYLAVVRGIRCTSELSYFPTSLKICLFFLCSKQILLVYVCLLSHFHLSELGRTID >LPERR05G18090.4 pep chromosome:Lperr_V1.4:5:16821245:16825918:1 gene:LPERR05G18090 transcript:LPERR05G18090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGEMRARKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDTNEIGCLERVSGLNDEADCVHEVYSDGAAVAAWEALVASPSRAPAQREIMAAVQKNEARFRSTSHS >LPERR05G18100.1 pep chromosome:Lperr_V1.4:5:16826861:16828567:-1 gene:LPERR05G18100 transcript:LPERR05G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEVAMAVVIGIAALFLVVVNLVRSTRRERGAAAPSPAAALPVIGHLHLLMKRRAPLHRALASLAAGQPVVSLRLGSRRALLVSTHAAAEECFTAPRDAALAGRPRLLAGEILGYGNTIILWAPHGDHWRALRRLLAVELLSAPRLAAPTLFEMVLNVMLHAVTARRRSHDVRRLQEIIEETFSVTGAPSVGDFFPALRWVDRLTGVEAGLRRLQATRDAFVAGLVDDHRRRRDSGDRDEEEEYKGVIDALLTLQETDPEHFTHSVVKGVVLSLLFAGTDTSVLTTEWVMAQLLTHPKMMKKARCEIDVNIGTTRMVEEADMENLPYIQCVIKEMLRLRTVGPMIPAHEAMEDCMVGCYRVRRGTMILVNAWAIHRDGDVWDAPEEFRPERFMDATGDVVTTSPMLPFGLGWRRCPGEGLAMRIVGVVVATLVQCFEWDIGEVGVVDMAEGGRLTMPMATPLAAVCQPREFAKAVVSASTWISDVVKIR >LPERR05G18110.1 pep chromosome:Lperr_V1.4:5:16834133:16837522:1 gene:LPERR05G18110 transcript:LPERR05G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAARLAARRLLGLASSSSSAASESAAAAVARRLSPSPISYYAAAGCSSSRLFSTALNYHLDSPENNPNMKWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMDAIAKIVGVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEDALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGAEGYSYNYYEDLTPKRVVEIVEMLRRGETPPRGTQNPERKNCGPAGGNTTLHSEPKPPPCRDLDAC >LPERR05G18120.1 pep chromosome:Lperr_V1.4:5:16837933:16845716:1 gene:LPERR05G18120 transcript:LPERR05G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLTEAEAGIACFASSLPGFRGVLKHRYSDFIVHEVARDGSVSRLTSFDLPNECVDVKEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGGEADVSPVIVLSPDADKAHRSDVHNFFKRSFKFLVTDTVEHNDGVQKCIRVRLGSGARGGRGGGGRGGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPSHIGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKVSANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAGSEEIIKAAVEGLGKNGFINYYGLQRDDIREVREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGNYLQALKSIPRTLRMMYVHSYQSYLWNHAASTRVEKYGIVLYVVNLLSFVLTLIGYLTGISQVVEGDLVYSKETPPREATSVDTSEPYDDQINSSDFDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNEIAEIYHKMAKKDGIILTENAHGVKELMTYTDDTASLAETDLDILSRNKPTEANEVNETISSAQSHDSKLAGPLDSSMPGSETVLVEDKSVESSDMLATKLALKLAFTLPASSYATMAIRELLKTSTSVTYHKTLDC >LPERR05G18130.1 pep chromosome:Lperr_V1.4:5:16844277:16846391:-1 gene:LPERR05G18130 transcript:LPERR05G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAPQPVSIVAEAAEPCSMNQLALTPTPKRQKVEEGADGNGCKHCACKKSRCLKLYCPCFAGGGYCSDKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAVEDAHHSSSSTPPKRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEHDFIVERSPRLQSPISKESSFQQTPPHIRAGNRDTHMFPQALSQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQLSKQEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLSGDVRALWLKRKIQNLT >LPERR05G18140.1 pep chromosome:Lperr_V1.4:5:16848658:16851547:-1 gene:LPERR05G18140 transcript:LPERR05G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGRITRALEQMSSLTEVDDDGKALTDCLNEIARALHQSGVPFKTICAMSSSIRRIVVNLDARTNDKRRIIHEAVFTELCNMLDSGKPSFTPTKGKPSVVLFVGLQGSGKTTSCTKYAYYHSRKGFKPSLVCADTSRAGAFDQLKQNARKLKIPYHGSYMESDPVKIAVEGVERLRKENSDLIIVDTSRRHKQEAALIEEKPDLVIFVIDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSTAAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGIGDLSGLVNKEIHDVMPTDQPTEFMQKLAEGTFTLRLLYKHSQNILNMGPIGQFFHGVPGFSSELMPKGYDKENRAKIKRYMTIMDSMTDEELDSTNPMLIMNESRISQIARRSGRLMKDVRDLLQEHKQTAKRWSKFNTNR >LPERR05G18150.1 pep chromosome:Lperr_V1.4:5:16856631:16859326:-1 gene:LPERR05G18150 transcript:LPERR05G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGRISRALTQMSKRWWTRRCSRSASTRSRGRWCSPTCSSRWVVSMASNIRKIVNLDALAAGTNKRRIIHQAVFTELCNMLDPGKPSFTPTKGKPTVVMFVGLQGSGKTTTCTKYASYYLQKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKENSDLIIVDTSGRHKQEAALFEEMRQVAAATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVIFIELENTSINLSRLLGMGDLAGLANKFQEAMLADQPELMQKLTEGTFTLQTILRTLLSMLPGFKSEPMPEGHDKESQAKIKRYMTIMDSMTDAELDSTNTKLMNESRINRIARGSGRLVKDVMDMLQEYKRIAKVWSKLRTKLPKNLDRVPTNTHNLDIFKNIIPPQLLNQMGGANALQNLVKQMGSKT >LPERR05G18150.2 pep chromosome:Lperr_V1.4:5:16856629:16859326:-1 gene:LPERR05G18150 transcript:LPERR05G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGRISRALTQMSKRWWTRRCSRSASTRSRGRWCSPTCSSRWVVSMASNIRKIVNLDALAAGTNKRRIIHQAVFTELCNMLDPGKPSFTPTKGKPTVVMFVGLQGSGKTTTCTKYASYYLQKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKENSDLIIVDTSGRHKQEAALFEEMRQVAAATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVIFIELENTSINLSRLLGMGDLAGLANKFQEAMLADQPELMQKLTEGTFTLQTILRTLLSMLPGFKSEPMPEGHDKESQAKIKRYMTIMDSMTDAELDSTNTKLMNESRINRIARGSGRLVKDVMDMLQEYKRIAKVWSKLRTKLPKNLDRVPTNTHNLDIFKNIIPPQLLNQMGGANALQNLVKQMGSKT >LPERR05G18160.1 pep chromosome:Lperr_V1.4:5:16864830:16873656:-1 gene:LPERR05G18160 transcript:LPERR05G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKPTATLRASERGAAGGMAEEAKYLETARAERSMWLMKCPPVVSRAWDGAVSSAATAAGAGANPNPVVAKVVLSLDPLSYIFKMKMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKIEHKFDMEPHSDNLVNYGKLCRERTQSSMIKTRKLQVLGDGVNRMNLIPLPGMVDLIPSGSKEKKKKKQTPTKPSDAKRIRRDRSELVNIIFRLFERQPNWALKALVHETDQPEGKQKSEGPAHPKFPLQLDPFISLPAVSPQARAPPPPDSSPIRPNAAAGLLLDSTSSRPRLLSRDSGEVHARRPLVAALKIYHEFCQHWTTEGLENDFCRKSQAWSSTVCFSDLDEKSELGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRIVGDANLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRIYNDNINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELSSEEKGKCVQA >LPERR05G18160.2 pep chromosome:Lperr_V1.4:5:16864830:16870296:-1 gene:LPERR05G18160 transcript:LPERR05G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFNDGKQKSEGPAHPKFPLQLDPFISLPAVSPQARAPPPPDSSPIRPNAAAGLLLDSTSSRPRLLSRDSGEVHARRPLVAALKIYHEFCQHWTTEGLENDFCRKSQAWSSTVCFSDLDEKSELGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRIVGDANLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRIYNDNINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELSSEEKGKCVQA >LPERR05G18160.3 pep chromosome:Lperr_V1.4:5:16870445:16873656:-1 gene:LPERR05G18160 transcript:LPERR05G18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKPTATLRASERGAAGGMAEEAKYLETARAERSMWLMKCPPVVSRAWDGAVSSAATAAGAGANPNPVVAKVVLSLDPLSYIFKMKMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKIEHKFDMEPHSDNLVNYGKLCRERTQSSMIKTRKLQVLGDGVNRMNLIPLPGMVDLIPSGSKEKKKKKQTPTKPSDAKRIRRDRSELVNIIFRLFERQPNWALKALVHETDQPEQFLKEILNELCFYNKRGPNQGTHELKAEYKKSTEDTDAA >LPERR05G18160.4 pep chromosome:Lperr_V1.4:5:16870445:16873656:-1 gene:LPERR05G18160 transcript:LPERR05G18160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKPTATLRASERGAAGGMAEEAKYLETARAERSMWLMKCPPVVSRAWDGAVSSAATAAGAGANPNPVVAKFKMKMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKIEHKFDMEPHSDNLVNYGKLCRERTQSSMIKTRKLQVLGDGVNRMNLIPLPGMVDLIPSGSKEKKKKKQTPTKPSDAKRIRRDRSELVNIIFRLFERQPNWALKALVHETDQPEQFLKEILNELCFYNKRGPNQGTHELKAEYKKSTEDTDAA >LPERR05G18170.1 pep chromosome:Lperr_V1.4:5:16865721:16866038:1 gene:LPERR05G18170 transcript:LPERR05G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESVKCACCGLEEDCTQEFIKNVKANFGGKWLCGLCSEAVGDELSKDRSEQDGIEDAIEALAAFCRMALSSPAVRVADGMKEMLRKRSKAKVRPAKQSKAHTS >LPERR05G18180.1 pep chromosome:Lperr_V1.4:5:16877321:16878031:1 gene:LPERR05G18180 transcript:LPERR05G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGERMVIVGEEHCEEEERELTVRKTTLFCPGDGLEAYDHRNGKLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGGAHQKPLFSARRSSILGVGSGNSAVLVDLLHAPVPVEFRVDGSFPRRCCRLVVVAGGGGGGEEEEVVVAEVRRKVDEGAHVVMGRDVFVLWVRAGFDAAFAMGVVLVLDRIIGDEIDAGGDIGEDLAVAGSPL >LPERR05G18190.1 pep chromosome:Lperr_V1.4:5:16879273:16883200:-1 gene:LPERR05G18190 transcript:LPERR05G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRSSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPATSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLVANCEYTVPLAKHLESSIFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGVHFNTDFGQVRVDYAMNAFSRKTIYFGINSGGGS >LPERR05G18200.1 pep chromosome:Lperr_V1.4:5:16884244:16890960:1 gene:LPERR05G18200 transcript:LPERR05G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVQPPPSSPPPPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLSLRHSNLCKRVLPNKEKECAFCVFERQIWRLLRTEAGALDSPGKIIRCLPLFAENFRWGRQEDAHEFLRYVIDACHTAGLRMRKRLHAANANGDVGEEEGRPCMVMKETFGGALLSQVKCISCKGESNKTDEIMDISLDLPGSNSVADALARYFQPEILEGANKYSCESNHMHSSQWYTDFSQREKCKKLTSARKQMFILKAPKVLVIQLKRFEGINGGKINRSIEFKEALFLSDYMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAVGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPGTNGYSSSAAKSSNSNGNGISNATSNDPLKTPLVKQNGLCSTKGIAPPSVKNGKIAPGLHIKPIHLKNNGTEKVTSNGKANNVLGNKLEVNEGLTLPSIDGHESGKSAEPSKINANGSISCNKMDANSKSMLHSVNGNGNSIHFTDLQETTDAKSTYAEQYSEKSSIASLENSKSTVSHHEVSVDIVKDVVSSGKDSASFKHRREEGEFKEMLGKSASSELRLSGWVDDVYNFMRTTKRQRVQTMGMPQDSDTMRKELVSEAGKIFRAKIPEPLREHLIQDLRSYFVDNF >LPERR05G18200.2 pep chromosome:Lperr_V1.4:5:16884244:16890282:1 gene:LPERR05G18200 transcript:LPERR05G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVQPPPSSPPPPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLSLRHSNLCKRVLPNKEKECAFCVFERQIWRLLRTEAGALDSPGKIIRCLPLFAENFRWGRQEDAHEFLRYVIDACHTAGLRMRKRLHAANANGDVGEEEGRPCMVMKETFGGALLSQVKCISCKGESNKTDEIMDISLDLPGSNSVADALARYFQPEILEGANKYSCESNHMHSSQWYTDFSQREKCKKLTSARKQMFILKAPKVLVIQLKRFEGINGGKINRSIEFKEALFLSDYMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAVGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPGTNGYSSSAAKSSNSNGNGISNATSNDPLKTPLVKQNGLCSTKGIAPPSVKNGKIAPGLHIKPIHLKNNGTEKVTSNGKANNVLGNKLEVNEGLTLPSIDGHESGKSAEPSKINANGSISCNKMDANSKSMLHSVNGNGNSIHFTDLQETTDAKSTYAEQYSEKSSIASLENSKSTVSHHEVSVDIVKDVVSSGKDSASFKHRREEGEFKEMLGKSASSELRLSGWVDDVYNFMRTTKRQRVQTMGMPQDSDTMRKELVSEAGKIFRAKIPEPLREHLIQDLRSYFVDNF >LPERR05G18210.1 pep chromosome:Lperr_V1.4:5:16893511:16894749:1 gene:LPERR05G18210 transcript:LPERR05G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDPAAGSRRWRCDAGGGDGDDHFDRLPDPLLLVIFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSSSSASASSSSSPPSSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPSASAAANSASAFTASVPAAAGCPSSPSSSTASSLPLAGDVSHHSPSEVLRSFKELRRLRIELPAGELSMDEGVLLKWKADFGSTLGSCVILGASSAGKDGDGAAPVPVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHAMLESLDLTDADGQGVLTMDRWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPSEEATRDDVGSGIAGSAGGCWVSDAFEEPYRTAVRMLLKRRTYSLEMNSF >LPERR05G18220.1 pep chromosome:Lperr_V1.4:5:16901866:16912828:1 gene:LPERR05G18220 transcript:LPERR05G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAAWTRSREEACAEEDLVLAIGSWCGLVCVWRVM >LPERR05G18230.1 pep chromosome:Lperr_V1.4:5:16913719:16920174:-1 gene:LPERR05G18230 transcript:LPERR05G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLSRDFPQSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPTLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYDEYARHARLYTSIHALKPKYKTKSGAISESTTALNVDRSNNAVSKSTPLGPAALSASTPNKASGTNLPDQNAAPSDPALGASAVPKKDGLHAVKVPAEKKIDARKKSLKRL >LPERR05G18230.2 pep chromosome:Lperr_V1.4:5:16913719:16920174:-1 gene:LPERR05G18230 transcript:LPERR05G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLSRDFPQSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPTLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYDEYARHARLYTSIHALKPKYKTKSGAISESTTALNVDRSNNAVSKSTPLGPAALSASTPNKASGTNLPDQNAAPSDPALGASAVPKKDGLHAVKVPAEKKIDARKKSLKRL >LPERR05G18240.1 pep chromosome:Lperr_V1.4:5:16940994:16947838:1 gene:LPERR05G18240 transcript:LPERR05G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPGFFFTKIFHPNIATSGEICVNTLKKDWNPTLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYDEYARHARLYTSIHALKPKYKTKSGAISESTTALNVDQSNNAVSKSTPLGPAALPASTPNKASGTNLQDQNAAPSDPALGESAVPKKDGLHAVKVPAEKKKIDARKKSLKRL >LPERR05G18240.2 pep chromosome:Lperr_V1.4:5:16941077:16947838:1 gene:LPERR05G18240 transcript:LPERR05G18240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPGFFFTKIFHPNIATSGEICVNTLKKDWNPTLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYDEYARHARLYTSIHALKPKYKTKSGAISESTTALNVDQSNNAVSKSTPLGPAALPASTPNKASGTNLQDQNAAPSDPALGESAVPKKDGLHAVKVPAEKKKIDARKKSLKRL >LPERR05G18240.3 pep chromosome:Lperr_V1.4:5:16945804:16947838:1 gene:LPERR05G18240 transcript:LPERR05G18240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPGFFFTKIFHPNIATSGEICVNTLKKDWNPTLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYDEYARHARLYTSIHALKPKYKTKSGAISESTTALNVDQSNNAVSKSTPLGPAALPASTPNKASGTNLQDQNAAPSDPALGESAVPKKDGLHAVKVPAEKKKIDARKKSLKRL >LPERR05G18250.1 pep chromosome:Lperr_V1.4:5:16959412:16961837:1 gene:LPERR05G18250 transcript:LPERR05G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPHPPSISTDASNRHAHLALKRPLSRFRRESQPPMEHSSPAVSLLPRPVTMECSSQAAALPPGDSRSTSPSITPASSVQVLVAQEVGRPSSKSRAKAKRKAPASKHTRPSTAKRQKSPMVTIVRSPGIRIMMSPILRSPSSSSEEAIRLENHLLLRITSHQISKAKVAEMLFKTFGDFLCIPSPDPANEFPSPEPPVNLCRM >LPERR05G18260.1 pep chromosome:Lperr_V1.4:5:16971700:16973055:-1 gene:LPERR05G18260 transcript:LPERR05G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFTDGPPSPSVRRRQLLSLPPPSSNDSCAAANPVTDGVRSCLRARSARRPPPTAGAAAAFLAPVAAGRAKERLEPRGGSDPDLVDDKKAVVEAACGKPDPRSATQIQFGKPTMF >LPERR05G18270.1 pep chromosome:Lperr_V1.4:5:16973092:16975761:1 gene:LPERR05G18270 transcript:LPERR05G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVARGNAAAARRLPLDAGEFRRQGRQVADFIADYYARIEDYPVRRAVAPGFLAEKLLETAPSSPLEPNALAAALRDVRELILPGITHWQSPRHFAHFSATASNVGALGEALAAGLNINPYTWAASPAATELEVVVTDWLGKALHLPEKLLFGGGGGGGGTLLGTSCEAMLCVIVAARDEKLAVIGDLVVYFSDQTHFSFKKAARIAGIRRGNCREIPTPREDAFALTAAALIAAVNADVAAGRVPLLLCATVGTTATAAVDPVRELCAAVEGRGVWVHVDAAYAGAACVCPEHRHVIAGATSVDSLSTNPHKWLLANMDCCALCVSRPATLGTDDDVILKDAAARARGVVVDYKDWQVSLSRRFRALKLWLVLRCHGVDGLRDVVRGHVRMAASFERMVRADPRFEVPVPRRFALVCFRLRDAEDSKGAHGEFTVSSNEANRRLLEALCAAVEGRGVWVHVDAAYAGAACVCPEHRHVIAGATSVDSLSINPHKWLLANMDCCALWVSRPAALDVSPPGFEIE >LPERR05G18280.1 pep chromosome:Lperr_V1.4:5:16974876:16975115:-1 gene:LPERR05G18280 transcript:LPERR05G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAVTAVRRRPHRRWALGGSPAMAATTAAETRRRSRAAACAATTEEEKVASCGGGGEKRRGVESLRSPQRVSATLFP >LPERR05G18290.1 pep chromosome:Lperr_V1.4:5:16982227:16982466:1 gene:LPERR05G18290 transcript:LPERR05G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAVTAVRRRPHRRWALGGSPAMAATTAAETRRRSRAAACAATTEEEKVASCGGGGEKRRGVESLRSPQRVSATLFP >LPERR05G18300.1 pep chromosome:Lperr_V1.4:5:16982687:16984478:-1 gene:LPERR05G18300 transcript:LPERR05G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLAHAACDDQLGSRGAGERRRLPLDAGEFRRQGRQVVDFIADYYARIDDYPASTELEVVVTDWLGKALHLPEKLLFGGGGGGGGTLLGTSCEAMLCVIVAARDEKLAVIGDLVVYFSDQTHFSFKKAARIAGIRRGNCREIPTPREDAFALTAAALIAAVNADVAAGRVPLLLCATVGTTATAAVDPVRELCAAVEGRGVWVHVDAAYAGAACVCPEHRHVIAGATSVDSLSTNPHKWLLANMDCCALCVSRPATLGTDDDVILKDAAARARGVVVDYKDWQVSLSRRFRALKLWLVLRCHGVDGLRDVVRGHVRMAASFERMVRADPRFEVPVPRRFALVCFRLRDAEDSKGAHGEFTVSSNEANRRLLEAVNGTGART >LPERR05G18310.1 pep chromosome:Lperr_V1.4:5:16985198:16991834:1 gene:LPERR05G18310 transcript:LPERR05G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLEKMGRELKCPICLSLLNSAVSISCNHVFCNNCLTESMKSASNCPVCKVPFRRREMRPAPHMDNLVSIFKSMEAAIGTNVVSTQEAPAVKVTGSDCVKSGENSKRSQKPLARKRKVSSEKEKNTAKDATASASHPATKPSFPTNKRIHVRPFPESETPIKTKKNMKLEEPKNNTNDDAEEGRNKAMASAQPGSPSLSPFFWLREQEEEQEDCTAETLSQTLSLDTPLRHNAPSFSDIKDSDDEIPTDTTPNSKAAATELFDSEIFEWTQRACSPELCSTPLKKQIKAKSKLDQIEEKSDEEDLHISGSLDKMGHPSNAAQLVNTKTKKQKRKRTSATNKKDAKLSSRAEHGIEKCDANQQVSNVCISVALNSCQKKSSIGRNTSGRGSKASSNSKQVHRSSDNPPESNIFKEGLDAEAPNNPVSETSQNMEKNSQRKVSARKLGMSVKISANATEKNCEPRSKRARRMSDHTIAELAEVPSGSGNETETPQLHTLAKGSIKHKPSTAGRRQNKACGKQKSKNEPESTISNIGTMPAIIVPGRSQSNEAVCTVPSVRNASVKNKQEKIIENPDCFGMENFGNLQACLARNVSLEKCEDTILKVSCAFCQSGVITEETGEMVHYQNGKQVSAEYNGGANVVHSHKHCLEWAPDVYFEDESVFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSSKLPNEKSEQQKQPKRKTTLKGLSQIRSYQDCGNSWKWPSGSPQKWVLCCSSLSGAEKEVVSEFAKLAGVPISASWSPIVTHVIASTDLSGACKRTLKFLMAILNGRWIVSMDWVKTCMKRMEPIDEQKFEVTTDVHGISNGPKLGRCRVIDRQPKLFEGMRFYLHGDYTSSYRGYLQELVVAAGGIVLQRKPVSRDQQKLLDDSSPILIVYSIENQDKVKSKGDTKASDRRQADAQVLACASGGRVVSSAWVIDSIAACSPQPV >LPERR05G18320.1 pep chromosome:Lperr_V1.4:5:16986224:16986508:-1 gene:LPERR05G18320 transcript:LPERR05G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMYVLRCAVGNTLTEERHVREAWGVVQEQADAILATTTDASAIARTEEYAVDGDHCVDESPVTTTRFRPSAWELRSVYRAIRSYAVSFLLGK >LPERR05G18330.1 pep chromosome:Lperr_V1.4:5:16993638:16994442:-1 gene:LPERR05G18330 transcript:LPERR05G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLPLLVVLLLLIGGGAAGRPAKAPGKVDYRNQLVVRGKHLSSVEAEVNGLPVSVDWRAQGAVTTVKDQGDLGTCWAFGAVATVESAYYIKTGHLVPLSEQQLVDCAGPNMERNSPRIALEWIASNGGITSQVDYPYTGKIGQCDNAKLSHHAATITGYKRTYGQYQRVGADAVAQQPVAVGIAVDPTSFKMYKAGSIYDGPCIDEKRHCLTVVGYGQNGADKYWIAKNSYGTKWGDKGYVLLRRGLGDGGLCKMAVLPVYPTM >LPERR05G18340.1 pep chromosome:Lperr_V1.4:5:16997094:16998408:-1 gene:LPERR05G18340 transcript:LPERR05G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAAAVKDQVELSMRLLRHLSINSLATNLAFSPLSLHAVLALLATAAAGPTRDQIVAFLGPSGADAHTALASKAAATGILPSAAAPTRRDEEEEEEEEEDEEAWKWKTEVRSARHGRLGRRISPHQPRLRRRGRLAVQGLPPSRASAIAEIGEWFSSESRGLFNDDGILSADAISDDESADPFVFLANSLYFNCYWHAPFFPSHTKEGVFHVVSPGGGGGHGHDVTVPFMTGSHQHASMDIGCHPGFTVLSMTYFTGGAGGPHKFAMYIYLPDDRDGLPELVRKLGSDPASFLHKSIVVPDRPVTVGELRIPKFEISLKLEASCESCLLRDLELELPFLPGESSFSGMLLDSPTQGSMAVSSILHKDGGCCGHAVGEMLGFALTDDDQVVDFVDDHPFLFFIMEEKSGLVMFAGQVVNPSLH >LPERR05G18350.1 pep chromosome:Lperr_V1.4:5:17001214:17005933:-1 gene:LPERR05G18350 transcript:LPERR05G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYCSSVYRHQSSPSTMQQRSLARPSIHTGSASLSFRSGPNSVSIVRCHADAPPEPEGRTVAGWAPPGPYTGRDPEARKPAWLRQRAAHGEKYARLRESLGELNLNTVCVEAQCPNIGECWNGGGGAGGEGAGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKPTERHLRVREYVTPEKFDFWKEYGESLGFLYVASGPLHSNQVHSNSAADAPRCHRTLFSSCVLSSYVPSSGEALAPTHRFFSSVFPGSGAASPPVPPPLRNSPPEVCLRVEDAVVGFVTGKRKATELAHAMEEVVPEDFPVRLVAFNLGYLPGGDKTIITIPKTTELALQAASRIVSSGGLISVLVYIGHPGGRDELNVVESFASSLPIDTWTSCKFEMVNRPVAPVLILLYKK >LPERR05G18360.1 pep chromosome:Lperr_V1.4:5:17010113:17016554:1 gene:LPERR05G18360 transcript:LPERR05G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDSGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSAKGTKPLPDNTSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKACCYILGQRVYLLILRIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAQEIKTGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIG >LPERR05G18370.1 pep chromosome:Lperr_V1.4:5:17017909:17026523:1 gene:LPERR05G18370 transcript:LPERR05G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIISAYMNYHDAAERDVKRYERSFKMLPPAHKELLFNLGLKYQRLRWCISMNASFIMNMLEAFEPPFDMSQDVDGDDHDCGENMHGHCHADCGHSVERGDCSRSSICMNNSELHEPDDCPSKDDKTRELSRESDNKDEAVDMEGCSQLLGDKLGSCHSVDKSCNGDKTVDAADNCQDTDCIACSADENATLQQCLPPSLPLNVPPVDVDKVRCIIRNIVRDWAQEGQKERDECYKPILDELNRLFPNRSKQRPPSCLVPGAGLGRLALEISTLGFISQGNEFSYYMMICSSFILNDTQEANEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSSGITEGFSMCAGDFVEVYNEESHESSWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVERMIDTTYTGNMKSMMQNWYRAAFWTMRKNASQSKAGKH >LPERR05G18380.1 pep chromosome:Lperr_V1.4:5:17029794:17031098:-1 gene:LPERR05G18380 transcript:LPERR05G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINSTKFDFLDIIEPVTTCTDGYLLSFNLGMPPQVFQVYLDTGSDLTWVPCGTNTSYQCIECGNEHGTSKPTPTFSPAQSSSNMRELCGSRFCIDIHSSDNSHDPCAAAGCTILSFMSGLCTKPCPPFSYTYGGGALVLGSLAKDIVTLHGSIYGMGILLDFPGFYFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRYARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGSAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTSILSSLNSVIPYERSFDLERRTGFDLCFKIPCIHAPCTQDELPLISLHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDDDDDVDGNDDVGDANGPGAVLGSFQMQNVEVVYDLEVGRVGFLPKDCALHA >LPERR05G18390.1 pep chromosome:Lperr_V1.4:5:17032173:17034210:-1 gene:LPERR05G18390 transcript:LPERR05G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMTAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLAYDFTRFISSFYFKGYASLTKMKKIEWNNRGMSTVHAIFITVMSIYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSIGYFITDLAMILWLYPSLGGMEYLVHHALSLTAVTYAMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVANGVAMFVTWLIFLHYDQIKQMDTFGYLLVSVAPIILFVMNMIWFSKILRGLKKTLAKRH >LPERR05G18400.1 pep chromosome:Lperr_V1.4:5:17051308:17055810:1 gene:LPERR05G18400 transcript:LPERR05G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGVVGNGSGGGTPVVVKMENPNWSISEMEPAPPGSPAAAGKDARGKNARQITWVLLLKAHRAAGRLTGAASAALAVASAARRRVAAGRTDADAAATAPGESTAMRTRFYGCIRVFLVLSLLLLAVEVAAYLQGWHLEDVTASSLLAVDGLFAAGYAGWMRVRLDYLAPPLQFLTNACVVLFLVQSIDRLVLCLGCFWIKFKGIKPVPLAAAGGKGDVEAGADDGDFPMVLVQMPMCNEREVYQQSIGAVCNLDWPRSNFLIQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLMFQGVSFLVVGLDLIGEQVE >LPERR05G18410.1 pep chromosome:Lperr_V1.4:5:17057184:17060203:-1 gene:LPERR05G18410 transcript:LPERR05G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDSDADDESEHASAAADRAAAATEGSSPRNRDLDEPEEPEQPDTPSRGVKGDISELTETLTRRLWGVASFLAPPPPEPSSSTPRGEEDGDGEEEEESQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDDDEGEPVAGVTEEVVVFVRHVSTRPETWLDFPLFISERYADDFELSDAQYVHALAMEHLVPSLADLKAAICSTDMSEACFWKIYFVLLHSKLNKQDVELLSTPQILQAREELLQSLQTKNKRGSEVLGEGQSSNTVNMPSAPAEEKVIQLSSIENKAEKSEVSSFNEPTSDILPDIESEKFPIATAEVEIIDKSVIEEELSTKIETKSLPIESKIHAESDKDEVDEWPDDDDAEEVVGTAGNRTSLGQEEDVSFSDLEDDDDDGNKGVAK >LPERR05G18420.1 pep chromosome:Lperr_V1.4:5:17067470:17071129:1 gene:LPERR05G18420 transcript:LPERR05G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASAAAVASTAPPMRRSLAVSSHHRAVTRTRPRLAVVAASSTETETTSARGGGGERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVVKLKSGGLWLLKDLDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPVNLPLEFFGIFRAKPLQDEDDETPWAGEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGREVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >LPERR05G18430.1 pep chromosome:Lperr_V1.4:5:17071987:17072334:-1 gene:LPERR05G18430 transcript:LPERR05G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRRWGVVVRVVFLASLLVLASAQQPPPATAEMSGAADVDAILARVCGGGASRPLPLCHELRLRRRRVGAGRHHRRPSPVMMPPPGRDEEVDLRYGVAKRLVPTGPNPLHN >LPERR05G18440.1 pep chromosome:Lperr_V1.4:5:17074862:17081882:1 gene:LPERR05G18440 transcript:LPERR05G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGGGGGGGGRRGVYTKSLVLSLAALTLLAALWQILSSASLLAASSRSAASPSPPCSSSSPNLTASELAVDVDDAQGKDPNKRELRAHGTAAALFVQIGGYRGGPRTFAVVGLASKPTHVYGTPYFTCEWASNPPSPPILTKAYTMLPDWGYGRVYTTVVVNCTFPKNPNPNNTGGKLLLHAYPSSTSYHPDTFVAMVESPGSYNETKFSPPFKYDYLYCGSSLFGDISASRIREWIAYHARLFGPNSHFVLHDAGGAITAEVRAAMEPWVKAGRITVQDVHSQAEYDGYYHNQFLVLNDCLHRYKHAANWTFFFDVDEYIYLPDGRTIDQVMGELEGYSHFTIKQDPMSSKLCVQDPTKDHSREWGFEKLVFRNSVTRARQNRKYAVQARNAYSAGVHMSENFYGRSTHNTKNLIRYYHYHGSINAKGEPCQEFVPMPASKVMFEGIPYVYDDNLKRLAEEIKRFEKETIGSAHA >LPERR05G18450.1 pep chromosome:Lperr_V1.4:5:17082497:17085994:-1 gene:LPERR05G18450 transcript:LPERR05G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHHHEPPPPPAYCCCGCDAGGCGGGGCYYPAPAACYYYPAPPPPPPPAPTSASDHLLHAIAAHLLLGSTPQPPTPPPPQPQPQTQPPPPPPPTAAAPHYAFPYPYHHHQQQPNPHPQQQPQADHGHIVLHSLLRRVAALESTLPHHRFTSPPLHRNPRHRRATVHRVVEEEEEEESEEEEYAPPSPPPPLRAPRRARGGPSSAARERAARTIQAHFRRFLARRSRTLRHLKELALLRSKAAALRGSLSGRRGAGDPAAISVAAMGLLFHLDAIQVGMTAFRRKIRKWDYGGDPMIREGKRAVSRELTRILEFVDKVLVKENGDMAMSGALECKDYHEGCNSAFVANPSAMTKKKVSFCGNGKVQEIHEEAEQENVSDAEDSSETSSSAEADERKHVNGKNGANGKPGLAPPMPVTKIQKDNLALSTTFADEIHDS >LPERR05G18450.2 pep chromosome:Lperr_V1.4:5:17082497:17085994:-1 gene:LPERR05G18450 transcript:LPERR05G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHHHEPPPPPAYCCCGCDAGGCGGGGCYYPAPAACYYYPAPPPPPPPAPTSASDHLLHAIAAHLLLGSTPQPPTPPPPQPQPQTQPPPPPPPTAAAPHYAFPYPYHHHQQQPNPHPQQQPQADHGHIVLHSLLRRVAALESTLPHHRFTSPPLHRNPRHRRATVHRVVEEEEEEESEEEEYAPPSPPPPLRAPRRARGGPSSAARERAARTIQAHFRRFLARRSRTLRHLKELALLRSKAAALRGSLSGRRGAGDPAAISVAAMGLLFHLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLVKENGDMAMSGALECKDYHEGCNSAFVANPSAMTKKKVSFCGNGKVQEIHEEAEQENVSDAEDSSETSSSAEADERKHVNGKNGANGKPGLAPPMPVTKIQKDNLALSTTFADEIHDS >LPERR05G18450.3 pep chromosome:Lperr_V1.4:5:17084639:17085994:-1 gene:LPERR05G18450 transcript:LPERR05G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHHHEPPPPPAYCCCGCDAGGCGGGGCYYPAPAACYYYPAPPPPPPPAPTSASDHLLHAIAAHLLLGSTPQPPTPPPPQPQPQTQPPPPPPPTAAAPHYAFPYPYHHHQQQPNPHPQQQPQADHGHIVLHSLLRRVAALESTLPHHRFTSPPLHRNPRHRRATVHRVVEEEEEEESEEEEYAPPSPPPPLRAPRRARGGPSSAARERAARTIQAHFRRFLARRSRTLRHLKELALLRSKAAALRGSLSGRRGAGDPAAISVAAMGLLFHLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLVKENGDMAMSGALECKDYHEGCNSAFVANPSAMTKKKVSFCGNGKVQEIHEEAEQENVSDAEDSSETSSSAEADERKHVNGKNGANGKPGLAPPMPVYMESRRIDGGKR >LPERR05G18460.1 pep chromosome:Lperr_V1.4:5:17086403:17089214:-1 gene:LPERR05G18460 transcript:LPERR05G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAEEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGVHRHFVLYGMMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFTQGEEFSLPENFFNKDEMEHEQYA >LPERR05G18470.1 pep chromosome:Lperr_V1.4:5:17090712:17093109:1 gene:LPERR05G18470 transcript:LPERR05G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRWLGSPIKRTPRLGEVDSIALRTHYLPLPLPTSYTDWSRESSCCVAGGAAMAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMPSGACSQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPASASGVGTGTGMGTGGTSTGTGTNTGAGTGTGVGAGTGGAGTGTGTGAGMGTGTGTGTGMGTGAGVTAPGSTTGTQGGALSPPFGGAYGPSTGAMNPDYNVAAPERSQLAAATAALLAVAPLLLM >LPERR05G18480.1 pep chromosome:Lperr_V1.4:5:17105335:17107342:1 gene:LPERR05G18480 transcript:LPERR05G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHNNDLPKMMISGSTTTNDELAGAGGRKAESGISKAASSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLINAAQHEIDKLPPLQFPPHDDLLAAAAASMAMPPPPSSAMQLDDDDDKAAVGGGGVKGFMSLSNSLGLLNATTMPTSVTMAHHGGGGGAYYAAGGGESWGNGQAAAAAAVHNSPFPSLLSLAPGSQFVFYSPEGGGFAMKEAAVEHFAVDNLDHSQGQLSLSSARSFLHSGSQG >LPERR05G18490.1 pep chromosome:Lperr_V1.4:5:17112301:17117719:1 gene:LPERR05G18490 transcript:LPERR05G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAAARSRALLLLSRPTAALPFSTASSAAASAAGASEASSGAAPAAAAAAAAGDQQLAAPRKRWGLLKFGAFAAFFGALGGVGYTTYAYTIEEVNDKTMEFREEMTTPRPVAEDASEFEKFQAMDFAEPTSDKLLPDLDPQHQHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFIEHMGKFYEVVYVDPVLERLDTKGYITGRLSRPATKYQNGKHYRDLSKLNRNPGQVIYISGHALESCLQPENCVQIKPWKLETDDTQLVDLIPFLEYVAMMRPSDIRTVLASYQGHDVAAEFIERSKEHQRRMREQKQHGRIWRR >LPERR05G18500.1 pep chromosome:Lperr_V1.4:5:17122306:17126064:1 gene:LPERR05G18500 transcript:LPERR05G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLSSSGSRSRAAREPSARIDSGRSSPSAPMAASQPAGEALAANISAMSRPEMYDLMSQMKGMIDLDQERVRRMLVDNPDVTRALFRAQIVLGMVKTPKSAQSSDMAQPTAVQAIPSSSVKSTVQDHASFPQPHLPASQQNMQPSGPFSSGPSHLASSLDLPTMSANPQQPAQAKGYPIHQMPPTSTTQTSQHQNVTQPPQAPSQYSNVPSHMPIVHSQPQQPLQIPGMFNQQLQPPLPQLPRPPNMQPFAHQMHPQVPSSFGLSHTNAPQHILQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNPQSMQIDRSTPWGRGNTEASGSHFPGLPGLPGQMSQGIGGIHSARPEGSLTPDMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >LPERR05G18510.1 pep chromosome:Lperr_V1.4:5:17126683:17130441:1 gene:LPERR05G18510 transcript:LPERR05G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEDGRNSDEEKALRRQEMY >LPERR05G18510.2 pep chromosome:Lperr_V1.4:5:17126683:17130441:1 gene:LPERR05G18510 transcript:LPERR05G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEDGRNSDEEKALRRQEMY >LPERR05G18510.3 pep chromosome:Lperr_V1.4:5:17126637:17130441:1 gene:LPERR05G18510 transcript:LPERR05G18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEDGRNSDEEKALRRQEMY >LPERR05G18510.4 pep chromosome:Lperr_V1.4:5:17126683:17130441:1 gene:LPERR05G18510 transcript:LPERR05G18510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAVIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEDGRNSDEEKALRRQEMY >LPERR05G18510.5 pep chromosome:Lperr_V1.4:5:17126637:17130441:1 gene:LPERR05G18510 transcript:LPERR05G18510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEDGRNSDEEKALRRQEMY >LPERR05G18520.1 pep chromosome:Lperr_V1.4:5:17133297:17134559:-1 gene:LPERR05G18520 transcript:LPERR05G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSPTAAARPVRRDMRRRLQEELDAVRLLVKKAEAIVADARDRHDANAAAAAAPAAKRSPRHVRSPPPRRDRDREELDRASDRRRHHRSSDREELDRARRSRSRSRRDRRSDREVFDRRTSRSRRRRRHESGDREVFDRERRRSHSRRHESSEARRNIRRPRESESETEPRKNIIDEAVVASSSPPCQVEEGEIAVDDDVVEEGEIAGDQWAENDMDMDIDICGGVSPVVVNTVHLSPPLAKKDNCGGVSPVVVKTVQLSPPLAKKHDASSSPVAIQYFLESSSSRNSDAVADNDDDASSKPDTTNHPKSAAATTPLEQEKEASPATQMGKLIAMAKEKQRLRRVEEERRMAREELEEMSRKARPIFDTIDDTDMKVLGLVEVQYVVTAEKSPESLRRGGGGGGIIQQLGYFLRPDN >LPERR05G18530.1 pep chromosome:Lperr_V1.4:5:17134759:17137619:-1 gene:LPERR05G18530 transcript:LPERR05G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARHGPHPRRRGGQLTTANECLVLLLAFAAAAVVLCCSSYHTRSGSHRGEEVDRHRTIGPRVTIFSAPLPPPEGSPAQQELAVRSWLALPGNVTVVLLAAHPSAHELAGRLGGRVTVDHAIDISFTGTPFFHSIVARAQASDSDICVLADSEIVLLPETFTLMKNFSRSDNLDWFIFSVSRNVSAFPYHLVDNGNKWVDEDGREVSFKKLLEIQSDKWTRDVSDRGLIVAWNNPSSPLIAGVMPSFLIGRGVHNRWLIHEVVSSEIRLVFDARNLVLGLYPENFSEKHGMSTSSNASSPDGSWEYDVNRHLAAVYGSYCYEFPRKKPAMLYKVAKQFGDYLLMKVEELNLSGSIINKEQILPAEAVSQCKKEISYSTAVTLPHSFEMLLQLAADKNRSVVLAVAGASYGDMLMSWVCRLRHLRVTNFVVCALDQETYEFSVLQGLPVFRDPLSPKNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLMSDVDVYWFHNPMVFLHSLGPGTFAAQSDEFNKTGPINMPRRLNSGFYYARSDNATIAAMEMIVKHAANSGLSEQPSFYDILCGKDGANRIGNDRCLEPNTNLAVVFLSRKMFPNGAYLGLWEKKRDVQLACKKIGCFTIHNNWVKGRRKKLQRQMASGLWKYDPSSRFLFCL >LPERR05G18540.1 pep chromosome:Lperr_V1.4:5:17140018:17143778:1 gene:LPERR05G18540 transcript:LPERR05G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITAAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTTARKKTKRSSGCSLK >LPERR05G18550.1 pep chromosome:Lperr_V1.4:5:17142736:17147383:-1 gene:LPERR05G18550 transcript:LPERR05G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLRFTTTSSPTLPFCRSLAPPRPPPPTPHAGGRRVLGCLAAASSTPAPMDHLGQQMPMRRDLFPHIEPYDSGFLKVSGVHTIYYEQSGNTHGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLIRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYCKRLTSSDASVQVEAAKRWTMWEMMTAHLIQNHENIKRGEDDKIENHYFINKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGVAAELVSANEKLKNMLKK >LPERR05G18550.2 pep chromosome:Lperr_V1.4:5:17142736:17147383:-1 gene:LPERR05G18550 transcript:LPERR05G18550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLRFTTTSSPTLPFCRSLAPPRPPPPTPHAGGRRVLGCLAAASSTPAPMDHLGQQMPMRRDLFPHIEPYDSGFLKVSGVHTIYYEQSGNTHGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLIRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYCKRLTSSDASVQAFARIENHYFINKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGVAAELVSANEKLKNMLKK >LPERR05G18560.1 pep chromosome:Lperr_V1.4:5:17148824:17150362:1 gene:LPERR05G18560 transcript:LPERR05G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSSRDPSPQHHRRPLTLSSSTSSNAVAKPRGGLLLGRYELGRLLGHGTFAKVYQARNADSGETVAIKVLDKEKALRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFARVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNIMAMYRKIYRGEFRCPRWFSKDLTSLLNRLLDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHNLVDGENEIPELEPREPPPPPLPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSRGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFMVRRKDWRVSIEGTREGEKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNKELKPGMQHLVHHMGSVPNIPSDTE >LPERR05G18570.1 pep chromosome:Lperr_V1.4:5:17155498:17161493:1 gene:LPERR05G18570 transcript:LPERR05G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSWLSSVSRSSGGVGGGESKVSPEIAPVDAGEGEEGDEERWSRLLPELLTEIMRRVDASAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDVPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSYVGKLRSDFLGTKFIMYDSQPPYDGAKPSRSQSSRRFASKQINPNVSGSNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPSKEKPLSTSSTLSLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPASTSDPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >LPERR05G18570.2 pep chromosome:Lperr_V1.4:5:17155504:17161493:1 gene:LPERR05G18570 transcript:LPERR05G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRCSSSWLSSVSRSSGGVGGGESKVSPEIAPVDAGEGEEGDEERWSRLLPELLTEIMRRVDASAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDVPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSYVGKLRSDFLGTKFIMYDSQPPYDGAKPSRSQSSRRFASKQINPNVSGSNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPSKEKPLSTSSTLSLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPASTSDPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >LPERR05G18580.1 pep chromosome:Lperr_V1.4:5:17159950:17161882:-1 gene:LPERR05G18580 transcript:LPERR05G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKNRINLAAPPRSVRCHGGDTAADGEAIQPGGRPSEQRPGHPKSVRTRRAPPPARKEDAMAVVAVAAAAAAAPAFSDALSSVAESCVTANCSSSNATGISDAVRPAAAAAAIAGGGVMMDRFLPAAHAVAVLSPPQCASRKAGIAAARNNHRYVLPRLLLPPPEPSPPTSNALCTVPTLNAADDEDDGDWDARSAKGSSSRRCGLLLPTRCMKSTMLLLNPAPAMRRRRRDRRIPLLPNSKFGRSKSMANPLVRNTRNGQHDDDPITMQSWEEVYINSLRRSARGGGGRKGLGALLSPSPELDTTTATTTTMSPSVRELYLEQGDGGVNPKSNHLGFLLILDDDDDNNNNFSHVSDHTKLLRPRAPKVFDGGKKPRRDAGEGCGYGWPLLLEDNTAASRDVIEAAPLLPPLPSPKSPSESWLSRALPSMSSNPPATSFLGLHVQLKKQAASSPWCSSRGQSQSKVVVVDDHARPRQTRIHNLQKAYLLT >LPERR05G18580.2 pep chromosome:Lperr_V1.4:5:17160325:17161882:-1 gene:LPERR05G18580 transcript:LPERR05G18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKNRINLAAPPRSVRCHGGDTAADGEAIQPGGRPSEQRPGHPKSVRTRRAPPPARKEDAMAVVAVAAAAAAAPAFSDALSSVAESCVTANCSSSNATGISDAVRPAAAAAAIAGGGVMMDRFLPAAHAVAVLSPPQCASRKAGIAAARNNHRYVLPRLLLPPPEPSPPTSNALCTVPTLNAADDEDDGDWDARSAKGSSSRRCGLLLPTRCMKSTMLLLNPAPAMRRRRRDRRIPLLPNSKFGRSKSMANPLVRNTRNGQHDDDPITMQSWEEVYINSLRRSARGGGGRKGLGALLSPSPELDTTTATTTTMSPSVRELYLEQGDGGVNPKSNHLGFLLILDDDDDNNNNFSHVSDHTKLLRPRAPKVFDGGKKPRRDAGEGCGYGWPLLLEDNTAASRDVIEAAPLLPPLPSPKSPSESWLSRALPSMSSNPPATSFLGLHVQLKKQAASSPWCSSRGQSQSKVVVVDDHARPRQTRIHNLQKA >LPERR05G18590.1 pep chromosome:Lperr_V1.4:5:17164779:17169331:1 gene:LPERR05G18590 transcript:LPERR05G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRTGKKTSKFEDSDTVVAKPIRAVFEMPHRTSPRATPRLKTAAPPPPAGSEHHRAAVGAGRGSSPRSPLHEKKPAMGGGGGGGGAGAGSRVAELEAKLGKAEGQLADMRDQLAAAEKSRKDARAAFIEASKKRFSVKKRDIAVVAAAASPPIVVGDKEAPNAKPVEAEQTALQVDGDEANEKSASGDEANGVAAIVKDLEENTQSHEVEHLTTKLMAKEAEVEHLMTKLMAKEAEVEHLTTKLTTMESDTESLLANLATKDGEINDLKSKLIAKNADLAAVEVDNADLTKMAKKSAHAARETELALRESAAREARAAERLRASERAREALEAEMQRGRAQSEQWRKAAEEAAAVLGAVDRRGEGMVARDADEHLVGKRKAMRMLSDLWKKKGQK >LPERR05G18590.2 pep chromosome:Lperr_V1.4:5:17166985:17169331:1 gene:LPERR05G18590 transcript:LPERR05G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRTGKKTSKFEDSDTVVAKPIRAVFEMPHRTSPRATPRLKTAAPPPPAGSEHHRAAVGAGRGSSPRSPLHEKKPAMGGGGGGGGAGAGSRVAELEAKLGKAEGQLADMRDQLAAAEKSRKDARAAFIEASKKRFSVKKRDIAVVAAAASPPIVVGDKEAPNAKPVEAEQTALQVDGDEANEKSASGDEANGVAAIVKDLEENTQSHEVEHLTTKLMAKEAEVEHLMTKLMAKEAEVEHLTTKLTTMESDTESLLANLATKDGEINDLKSKLIAKNADLAAVEVDNADLTKMAKKSAHAARETELALRESAAREARAAERLRASERAREALEAEMQRGRAQSEQWRKAAEEAAAVLGAVDRRGEGMVARDADEHLVGKRKAMRMLSDLWKKKGQK >LPERR05G18600.1 pep chromosome:Lperr_V1.4:5:17168761:17169288:-1 gene:LPERR05G18600 transcript:LPERR05G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLPTRCSSASLATIPSPRRSTAPSTAAASSAALRHCSLCARPRCISASSASRARSDARRRSAARASRAALSRSASSVSLAAWADFFAIFVRSALSTSTAARSAFFAISFDFRSLISPSFVARFARRDSVSDSIVVSFVVRCSTSASFAISFVVRCSTS >LPERR05G18610.1 pep chromosome:Lperr_V1.4:5:17174594:17176010:-1 gene:LPERR05G18610 transcript:LPERR05G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPPAALEQISMVRSPSPGDNLAGVPAVDLSAPGAASDVVRACERYGFFKAVRHGVDGGVVARLEDEAVRFFASPQAAKDAHGGGRGPASSPFGYGNKRIGRNGDMGWLEYLLLAIDRDEISDSSPAPSFSLRFVTVRRNASTSNKFADGYVTIEFMTSCMSRVFRDAANEYVSAMRGMARTVLEMVADGLGVSPRGTLADMVVADKASDQLLRLNHYPPCPLLQNIMPNCSPTGFGEHTDPQLISILHSNSTSGLQVAIHDDDDDNNHQWVSVPPDPSSFLVIVGDSLQVMTNGRFRSVKHRVVANKLKSRVSMIYFGGPALGQRIAPLRQLLQRAAAGGDEEKESRYEEFTWGEYKKAAYLSRLGDNRLAPFLRQQQPPVAKRHA >LPERR05G18620.1 pep chromosome:Lperr_V1.4:5:17187847:17188104:1 gene:LPERR05G18620 transcript:LPERR05G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGKVFCAVLLLCAATVHVCSARPLRESNSKQDYEVINVTVASGDGEGSRMGGVVATTGDQSSPALYDQKRLSPGGPDPQHH >LPERR05G18630.1 pep chromosome:Lperr_V1.4:5:17194488:17194730:1 gene:LPERR05G18630 transcript:LPERR05G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTGIVLLLILLVGAQLAAVPEARQFVHLQAPAAGYFTSAVDDVVASGGARWPSKWNTRTSLVGDRVVPGGPDPQHHH >LPERR05G18640.1 pep chromosome:Lperr_V1.4:5:17198862:17201875:1 gene:LPERR05G18640 transcript:LPERR05G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEVQLGYANMLVCLLFLASCLLAAVWTFRSSDGGGGVKQIPSPPALPFIGNLHQLGKGRLHRTLHDLARRHGGGELLRLRLGPSSALVVVSSAPTAEAVLRHQDHVFCGRPQQRTAMGALYGCRDVAFSPYGERWRRLRRVAASRLLAPRRVDSFRALREHEVASLLLRVAHDAARGGDGVDVSALVVRMTNAVVSRAAFGRRLGGVDAGEARETIAELADLLETIAASDVFPWLGRVVDWATGLDARTKRTARKLDEVLEMALRDHERSRGDDGDGEARDFMDDLLSIVNDDDGGGEHGYKLDRIDVKGLILDMFIAGTDTIYKTIEWTMAELIKNPAEMAKVQAEVRHVARAQGNTGEGDDAIFVKEDQLGKMTLLRAAIKEAMRLHPPVPLLVPRESIQDTVLHGYHVPAGTRVMINAWAIGRDAATWENAGEFRPERFVHGDAAGVEYYGGKDCHDFRFIPFGAGRRGCPGVAFGTRLVEFALANMVCRFDWELPDGQDLESFEVVESTGLSPGLVNPLVLVAKPL >LPERR05G18660.1 pep chromosome:Lperr_V1.4:5:17213626:17219209:1 gene:LPERR05G18660 transcript:LPERR05G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVDEEESEASATPVVCGELWHACAGPGVALPRRGSAVVYLPQAHLATGGGGGGDVPPGAVAVPPHVACRVVDVELRADAATDEVYARLALVAEGEMLRRNFHDGGGEDGAEETEGCDTEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIQLTSNLYDYKTIRPSQELIAMDLHGTQWKFRHIYRVLGKGQKGQPRRHLLTTGWSSFVNRKKLVSGDAVFFLRGDDGQLRLGVRRAVPLRNEALFEPVNSSDSKLRILSSVASSLENKSIFHVCFNPRSGASEFIVPYWRFTKSLNHPFSIGMRFKICYEGEDSNERSSGLVSSISEVDPIRWPGSRWKCLVVRWDDSTDSSHQNRVSPWDIERVSGSVSATHSLSSSSSKRTKLHFPQGNLDTPFLNGNGNPDSMETGNFHRVLQGQEFRGSRSLGAVCSEPPGVPFFQAPDNRRFSADMQGYMMPASGPLRQNTQFTYQPVGFGESLRFPEVLQGQEMSQAVPLFRGASFGAHTQNGRVVSANSLPRSAAPTGFPAATQGLPVSQFALSASKVSSPSSVLMFNQATAPHLEPESGTNNKGIHGSQFFSQEMLIDTVTWPGIQHKMSSEITSNPFAMAKTSAPSSRAESGSPKRDSGRSSCRLFGFSLTENMLGEEGEGLEEESNKADCQGPRVLELFGRRHSTPGALRALCAAPLGI >LPERR05G18670.1 pep chromosome:Lperr_V1.4:5:17218889:17221016:-1 gene:LPERR05G18670 transcript:LPERR05G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTENGHQCSDQASSGLLDAQLDLYWNTFAVIKSMAFKSALDLRIADAIHHHGGAATVADIATTVSLHPSKIPCLRRLMRVLTVSGIFSVSGEENVYELTPASRLLVGSKNLAAIMSMILHPTLVVPFLGVGDWFRRETAADGDKTCIFKQAHGESLWELADRDAAFDALINDGMVSDSRFIMDVVVREHGEELFGGVGSLLDLAGGLGAAAQAISKGFPEVRCSVMDLGHVVAKAPRGTNVEYVAGDMFESVPLADAIFLKWVLHDWGDEDCVKILKNCKKAIPPRDAGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMFVNGIERDEQEWKKVFMEAGFSGYKIMPVLGFRSIIEVYP >LPERR05G18680.1 pep chromosome:Lperr_V1.4:5:17227631:17231451:1 gene:LPERR05G18680 transcript:LPERR05G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTAVAAGGSGSGGKRSSTRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELSNSQSQGNNREASDPPSETLRLLHLAGVDEEDDATSKLVMPRSPLPMPESHGHLQGGHDLNPVLQGGGGAAELMVPQSPLSMPSPHQNHGHGQNANHNLQGEPVIGGAMQQSQDHGMQGDCGEVAGAANAMFHDQLYFIDHELNIDDFLRDDDYKINLPGSNADGSNTMQGLGQLDHQQYNLPLDLPPGSFVDANNSQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRLSDECQDYCNPYHASLALNDDGLLGTRPVMRPRGIDLKDGPLFAALTAKIQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYEINDSDALALYRLEYKSSDAKRSVKSKLTNSPLSEIQQQMVKLSADSPVENKRTARSRPKANQKESNSNAYPALNTPVQVSTPNAHQTMSVNTPDQVNASNAYQTMSLNTPNQAGPSNAYQAASQMDQMYLNGNVVYGPHLPYGYSTERSDFYWKPSDGT >LPERR05G18690.1 pep chromosome:Lperr_V1.4:5:17231633:17233716:-1 gene:LPERR05G18690 transcript:LPERR05G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEKGKQIVADSGGDGGGEKRRPVGFRFKPREAEVVEYYLVPRLQGSRIVPNPAIVVNNVYQFEPERLIKEKCKGWIGDEEEWYFLSPRDRKYKNGSRPSRNTEDRVGRWKASTGKTASKTMICSGTTRFFVTSLVYFKGPVKTETKTKWLMREYTIPLFENKLDKSGASTSTSSDSGKEEEEEDVEEEEDGWVAACALFELEPDAAEISDKQAGKRPISESSAAAAAAQKTKRPFVPPPAPVIAGPRGVVEQYQPIYPMQPRPPQPQPMMMMNKNNCPQFQLMMQGNNGMMQPSMCNFRPAPAPASAYNGHRQQQPAAAAAAMQQGFRPVFTPHAQMQQQRRPIQLIGQALAAPVSNNYHMPPLQQQQPVQRGPIQYRGQAAPVSNNFHTQQQQPVQWGSIQYRGQVAPVSNNFHAPPAPQQQPVQLLPVHQMQWGSVQQQQPQPENDDVTLDPEFEAMLLKELENMAEEEVAFQPFAGDGGQ >LPERR05G18700.1 pep chromosome:Lperr_V1.4:5:17233957:17239181:1 gene:LPERR05G18700 transcript:LPERR05G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERTFSSEEQIDAGTSAGRPKSFKKKQDERHYDRRQESDDEDDRRQESDEEEYDNFQKNKHKGTEGLIEIENPNLVKPKNLKAKDIDIGRTSDLSRRES >LPERR05G18700.2 pep chromosome:Lperr_V1.4:5:17233957:17236032:1 gene:LPERR05G18700 transcript:LPERR05G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERTFSSEEQIDAGTSAGRPKSFKKKQDERHYDRRQESDDEDDRRQESDEEEYDNFQKNKHKGTEGLIEIENPNLVKPKNLKAKDIDIGRTSDLSRRES >LPERR05G18710.1 pep chromosome:Lperr_V1.4:5:17241478:17241852:-1 gene:LPERR05G18710 transcript:LPERR05G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEPSMPTQSSYFAGCMGSPAWLPAVQRSPARFHLLSRDGGRDVDGAGRTVWSRLMRRLVRESRSFCSLSGGGGGRSGGMGATTFHYDAASYAKNFDEGRRSHHHAAADVPAIRRSPPELAR >LPERR05G18720.1 pep chromosome:Lperr_V1.4:5:17246317:17249030:1 gene:LPERR05G18720 transcript:LPERR05G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAMAAAAAAAKLPRLTTSAALFPSPRPFNSRPAKPTPPPISISMDPAHVDPAHLQALMLACSHSCAVRLSPQSSASATAAEPVDLRKLRVALAHSFLVVSVFCSARFLEDGDGDGGGDGDGDGDGRRLMGLGLGLGLGLGQREDQRLVGFGRAVSDIGLTASVHDVVVHPTLQRRGIGLKIVDRITRVLHNRGIFDISTLCTEKERPHVNSFFCSNKSIIKSGSMIYSICAAINPHRPFFQACGFGDDALGSTTMMYTGKMHR >LPERR05G18720.2 pep chromosome:Lperr_V1.4:5:17246317:17249030:1 gene:LPERR05G18720 transcript:LPERR05G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAMAAAAAAAKLPRLTTSAALFPSPRPFNSRPAKPTPPPISISMDPAHVDPAHLQALMLACSHSCAVRLSPQSSASATAAEPVDLRKLRVALAHSFLVVSVFCSARFLEDGDGDGGGDGDGDGDGRRLMGLGLGLGLGLGQREDQRLVGFGRAVSDIGLTASVHDVVVHPTLQRRGIGLKIVDRITRVLHNRGIFDISTLCTEKERPFFQACGFGDDALGSTTMMYTGKMHR >LPERR05G18720.3 pep chromosome:Lperr_V1.4:5:17246317:17249030:1 gene:LPERR05G18720 transcript:LPERR05G18720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAMAAAAAAAKLPRLTTSAALFPSPRPFNSRPAKPTPPPISISMDPAHVDPAHLQALMLACSHSCAVRLSPQSSASATAAEPVDLRKLRVALAHSFLVVSVFCSARFLEDGDGDGGGDGDGDGDGRRLMGLGLGLGLGLGQREDQRLVGFGRAVSDIGLTASVHDVVVHPTLQRRGIGLKIVDRITRPFFQACGFGDDALGSTTMMYTGKMHR >LPERR05G18730.1 pep chromosome:Lperr_V1.4:5:17253017:17255894:1 gene:LPERR05G18730 transcript:LPERR05G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTFLISHASIFHHCVSGNFLPVTSDHNKNPQIHIHTYTPPPQSASNISDPPPQRSPSPAPVSGGTVSYADLEEATDGFSDRHLLGHGGFGHVYRGVLRGSRQEVAIKKLRPGSGQGDREFRAEVDIISRVHHRNLVSLVGFCIHAHHRLLVYEFVPNKTLHFHLHESGRAALDWARRWKIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDYSFEPKVADFGLAKIQPGDKTHVSTRVIGTFGYVAPEYAHTGKVNDRSDVYSFGVVLLELITGRRPLMSTEPYNDETLVSWARPLLTKALEEHVYDDDLVDPKLNNLYDAHDMHRLITIAAAAVRQTARSRPRMSQIVRYMEGELSIEDLNAGVAPGQSSLHSPEQSGDTTEQIRLMRRLAFVPGTGTVTGASAVSDSSYLSELTSEYGLNPSSSSSDGDDTASTSRPHAAAAAAASSPDDTAEAASLQHSAAAVAAANPMSRRTRHGRAGRLP >LPERR05G18740.1 pep chromosome:Lperr_V1.4:5:17256707:17259675:1 gene:LPERR05G18740 transcript:LPERR05G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRTSGCC >LPERR05G18750.1 pep chromosome:Lperr_V1.4:5:17260107:17260592:1 gene:LPERR05G18750 transcript:LPERR05G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATVAASRAFAAAPSPAVGHALVSSARVAVATTTRIGARRAAAAVVVLSHRRDGGEQGGGRLVDEDMDTLRRRIREAREAAEEEEDEYDDDCCGGGLPVEERRRQGSYVAGDLLQAFLHMSARPVLAAGLMAMLLLLAVSVQLLRAVDAVASALLLLGS >LPERR05G18760.1 pep chromosome:Lperr_V1.4:5:17261291:17263003:-1 gene:LPERR05G18760 transcript:LPERR05G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVSRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAAPGKGEKINVKDDVSAMKRAGCCSN >LPERR05G18770.1 pep chromosome:Lperr_V1.4:5:17265419:17271765:1 gene:LPERR05G18770 transcript:LPERR05G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNVVRFFFVLIHVGSCLGRSGKMFSPGFVSLSESLSNWPILSAGISVTSALVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISDSSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKIGIVQYMILKPICAILAIFMQLLGIYGEGKFAWKYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNIAIMQAARPGARDRRLSFPQSVRDVVLGSSEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKHKNTKRAPSSLKPFDFRFGRWF >LPERR05G18780.1 pep chromosome:Lperr_V1.4:5:17272381:17273283:-1 gene:LPERR05G18780 transcript:LPERR05G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIRRQQQQAPSPPPARFAAEQDGRGNKGKASAAAAFSFSPLSWIAKLTSRSSSSSSQRKGVTNANHAPAPAATTFPSCLPNRVDGAAAARHSPPRRSPTDVVPRRLSVGNDGADAAVARRLSHHRHRRRHCSLGGDRDNNLPPPLGHLIPFSFTASPPSHAAAAPPSDTDGAVTRHHRRRARHRRRHNTSLTGGRRSFSFAGRIQAVRIVRPPELERLAVVRRTRDPQRAFRESMVEMIASGGGGSMAARPEELERLLACYLALNADEHHECIVKVFRQVWFDYINLHLSRRRRARR >LPERR05G18790.1 pep chromosome:Lperr_V1.4:5:17278631:17283548:1 gene:LPERR05G18790 transcript:LPERR05G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPAGGGRRRSSSFGMKRMSRVMTVPGTLSELDDEDDEPAATSSVASDVPSSAVCERLIVVANQLPVVARRRADGKGWTFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQALIDGFGCAPVFLPAGLYDRFYQSFCKGYLWPLFHYMLPFASALPTSASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKERMVAELQKQFEGKAVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCERINRDFGRPDYSPVIFIDRSVPSVERIAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECEPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHHVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDAHFTKLNLDSIIMSYERAKSRAIFLDYDGTLVPQTSLNKNPSEELVRIINTLCSDRNNRVFIVSGRSKDDLGKRFISCPKLGIAAEHGYFLRWTRDEEWQTTAQASDFGWMQMAKPVMDLYTEATDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGLIAEKILTSMKENGQQADFVLCVGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDPMADLEDDLATSVSSLEINDRMLQFSNLRTEGS >LPERR05G18800.1 pep chromosome:Lperr_V1.4:5:17285598:17291278:1 gene:LPERR05G18800 transcript:LPERR05G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGRRLQGHTLPYSFFELLQDSHAAVQEAVVASLRSISTQLRVSEMARLPLVVPIAFLLIFAAVFMAANSLSGRDDDGVIKIKMRYTSEEDARWIDSWAAKHQSVGGGDGDDFAVHPATAEESARLNQMAADAHKMGRGFDSHIEFDDDMPRFVVTGFPRSSKVNDDL >LPERR05G18800.2 pep chromosome:Lperr_V1.4:5:17284949:17291278:1 gene:LPERR05G18800 transcript:LPERR05G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRHVQEAVVASLRSISTQLRVSEMARLPLVVPIAFLLIFAAVFMAANSLSGRDDDGVIKIKMRYTSEEDARWIDSWAAKHQSVGGGDGDDFAVHPATAEESARLNQMAADAHKMGRGFDSHIEFDDDMPRFVVTGFPRSSKVNDDL >LPERR05G18800.3 pep chromosome:Lperr_V1.4:5:17285118:17291278:1 gene:LPERR05G18800 transcript:LPERR05G18800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRHVQEAVVASLRSISTQLRVSEMARLPLVVPIAFLLIFAAVFMAANSLSGRDDDGVIKIKMRYTSEEDARWIDSWAAKHQSVGGGDGDDFAVHPATAEESARLNQMAADAHKMGRGFDSHIEFDDDMPRFVVTGFPRSSKVNDDL >LPERR05G18800.4 pep chromosome:Lperr_V1.4:5:17284900:17285712:1 gene:LPERR05G18800 transcript:LPERR05G18800.4 gene_biotype:protein_coding transcript_biotype:protein_coding LACARRLALVAPAVAEDAAERRPPPPFSTAADPNPPNFPLNRTASTSPTYLPYKFATPDSKNFLPPFLLLKPSTPESAAAVRQLPLPPREHRRGRCDRGRELIVGHLYFLLLPRLFTEAGAATSVPPAIHQCTLLVTANSLVLSPSRWPVRAPVIHAVL >LPERR05G18810.1 pep chromosome:Lperr_V1.4:5:17292266:17297241:-1 gene:LPERR05G18810 transcript:LPERR05G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHGRLILLPLLVVAAAVVGPSSAAGEEGVIRLPSGRACAARPDPAVYDRPVIGIVSHPGDGAAGRISNGTATSYIAASYVKFVEAAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGWEKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSAINQASTLQFPNYSLLEGSVFERFDPDLIKKLSTRCLVMQNHKYGISPKKLRENAALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKPMIPHSEDAVQVTQNFANYFISQARKSPNRPPADKVLDNLIYNYSPTFSGKKSKSFDEVYIFS >LPERR05G18810.2 pep chromosome:Lperr_V1.4:5:17292383:17297241:-1 gene:LPERR05G18810 transcript:LPERR05G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHGRLILLPLLVVAAAVVGPSSAAGEEGVIRLPSGRACAARPDPAVYDRPVIGIVSHPGDGAAGRISNGTATSYIAASYVKFVEAAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGWEKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSAINQASTLQFPNYSLLEGSVFERFDPDLIKKLSTRCLVMQNHKYGISPKKLRENAALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKPMIPHSEDAVQVTQNFANYFISQARKSPNRPPADKVLDNLIYNYSPTFSGKKS >LPERR05G18820.1 pep chromosome:Lperr_V1.4:5:17297787:17299557:-1 gene:LPERR05G18820 transcript:LPERR05G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDTESIALKVFLRIFEIAPGAKQMFSFLRDSGDDVAPLQNHPKLKSHAVTVFACESATQLRKTGDVEVRTATLKRLGATHVKAGVADAHFEVVKTALLDTIKDAVPEMWSPEMKEAWEEAYDQLAAAIKEEMKKAAST >LPERR05G18830.1 pep chromosome:Lperr_V1.4:5:17304452:17307198:1 gene:LPERR05G18830 transcript:LPERR05G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSSLLNAPPTASDNAPPCPNRGADLGSPFPAALGFRGSEGERERERAMALTNFILTVVGVGTAVLLLRRDVKQSASTFRRNVRHIRNWLEEESSSASRSAERVAPKELESQAAKKDVTPKDDKH >LPERR05G18840.1 pep chromosome:Lperr_V1.4:5:17307513:17314308:1 gene:LPERR05G18840 transcript:LPERR05G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADQSPEEVYSVWALPPEPVRDRLRGVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALXSAPRTGARHSSRTPPSSAPSASAAPPPSRRSAPPPPVSAPTPPALPASDAATSSTSASTSSLNPPPRWSKRAITAVATLAYMPHVSLLYGDLTDEEKEVARKKVEELDKEISGLQFEISELALYRTDTEDKSLESWELVEIYHLERNDYGSNYMTRGVTPRIAGASAVQDADRSPLLARRRCCRTRNRRRRRAQQQSERSLAPTHRGDASQSGKKQNGKASGRNEATRTMSSTVGKDDGMTPTPQARSAVMNS >LPERR05G18840.2 pep chromosome:Lperr_V1.4:5:17307513:17314308:1 gene:LPERR05G18840 transcript:LPERR05G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADQSPEEVYSVWALPPEPVRDRLRGVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALXSAPRTGARHSSRTPPSSAPSASAAPPPSRRSAPPPPVSAPTPPALPASDAATSSTSASTSSLNPPPRWSKRAITAVATLAYMPHVSLLYGDLTDEEKEVARKKVEELDKEISGLQFEISELALYRTDTEDKSLESWELVEIYHLERKGVTPRIAGASAVQDADRSPLLARRRCCRTRNRRRRRAQQQSERSLAPTHRGDASQSGKKQNGKASGRNEATRTMSSTVGKDDGMTPTPQARSAVMNS >LPERR05G18850.1 pep chromosome:Lperr_V1.4:5:17316343:17320471:1 gene:LPERR05G18850 transcript:LPERR05G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQFSIRGYAARARAGAADERGRCWPFGGEAGRLPPMEVRGFRWWGDEAAAAAALAEEEGEEERRLAAKRRKRSIVELFAVVPKVAAGGEGVGREKRVRRKPEKGEAAAVGVEAAKKKGFKKEKVVVEIGIRKKVKSSKMKVNSASMSQLVQDAIQKQKLKKSLSKKKGILLEKKRMKVNKVAILSNQKATKSSCHVQSILKNHLRTGMSTLLKNTDVMSPSKSLLKPKHVTFSDDNDILGRTASQLEDDTDKAQLLETSQQPYKYGKSQGDDNHRSTYEQPLMHQQADAVSESVKEDTNSTVQLAKSKEKTILSNSVDLNHSLEISRSGNCLTSNSSAVLSGQVLSKSFSGIGSDPIEGLNAGVGFQAEENHHKHHGSSVSASLAVKGRSGDLIRQQLPEPSRSCLVASLNVNDRNRSKMLQERSATVHPTLCRSKDMVKSIGSSAGSNKSTDAQTTNCVSACRNMYTSDGYVGLPINSHGEFVKLHRSGTVDPNGMFKRKFLGENYLQPPASFAPETCMDYAHLKTSYQVPRFCAVNTFGFQSEPYQSHTVSAAYGMGVRQSPSSERIEAHNYAVPSHTDSYNNQQELSVECFCPGYMVQDNQAHKPLEMHSCFPSQNYEQNTRPAPETTVRLMGKNFTLGTSSKQCGGIDNKNPYRSKQIRDEDHCTKAIPQLFHGDECVEPHSTLRNSNGGLEHLSCFSSVPEAELRCALDAYGFGTSGRYQQPHVAVQNKLYAHPLGRHNESELWRQQLPVENHILGVSEPQLLGSMHLRQSQNSATMQSYSPKHNFNNPVEVRPGHPQFAYFPRQIKNVTQRTPISSFLSGYAVQSSTGLTTQTKFTSLRPLPPSVTSSHVYSSEDAQSHGSVPPFYPPTALSDQASENSILVNPKIIGACNRL >LPERR05G18860.1 pep chromosome:Lperr_V1.4:5:17320958:17322977:-1 gene:LPERR05G18860 transcript:LPERR05G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACSWQWPLAAAAIVMLAAVAGVAVAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGPTGRFSNGLTTVDVISKLLGFDDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAEHLSRCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLIGRYTQLLRSMYGNGARKVAVIGVGQVGCSPNELAQQSDNGVTCVERINSAIKIFNQKLSGLVEQFNSLPGAHFTYINIYGIFEDILRSPGSHGLRVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYVFWDAFHPTEAANVLVGQRAYSARLSSDVHPVDLRTLARL >LPERR05G18870.1 pep chromosome:Lperr_V1.4:5:17355833:17364010:1 gene:LPERR05G18870 transcript:LPERR05G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSPAASSSSSSSCDGGGGAFSLDRMLRRPSSSSSSAAAGVSAASPDAMETDSAVTSVADYGFVSPAAAAAANMDDAGVGGGGHAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPECMSPFCALSPIYTLCDSTSMSAGVPQMHEVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRIRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDERTTVIVLSGSDRSVLDENFGDFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVSKGAAIDRILGEIVHSKSLVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSPSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADTTTAHNGFQSGTADYMFLDRQ >LPERR05G18870.2 pep chromosome:Lperr_V1.4:5:17355833:17364010:1 gene:LPERR05G18870 transcript:LPERR05G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSPAASSSSSSSCDGGGGAFSLDRMLRRPSSSSSSAAAGVSAASPDAMETDSAVTSVADYGFVSPAAAAAANMDDAGVGGGGHAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRIRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDERTTVIVLSGSDRSVLDENFGDFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVSKGAAIDRILGEIVHSKSLVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSPSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADTTTAHNGFQSGTADYMFLDRQ >LPERR05G18880.1 pep chromosome:Lperr_V1.4:5:17373778:17374517:1 gene:LPERR05G18880 transcript:LPERR05G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPETPPSQRSHLPPALAVHDTVTVFSSKSFGDPAASSSSSPRPATSTALLPFGDDDEPTAAEEEFQEADVLWPDHDVVDEDTAARDHQLDDVAELRWLIRRDFGEAAAGSGMEEAAGEREGLTA >LPERR05G18890.1 pep chromosome:Lperr_V1.4:5:17376270:17376644:1 gene:LPERR05G18890 transcript:LPERR05G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQESDVLWPEHHQQHNYRRDVDGRGGGHHQKQSGSNATPARQPSGGNKSAPVGIPVMMIRTAKERDEAAARSHEVAAARAKRWSEERAAFSVCVGNGRTLKGRELRSVRTAVLRMTGFLET >LPERR05G18900.1 pep chromosome:Lperr_V1.4:5:17381254:17385802:1 gene:LPERR05G18900 transcript:LPERR05G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSTASDPVDCRGKTGNDATSGHNRMTLKHQRLLSAPQEGENTKGARKNYRRTVNRRRNDSQVLADENYFLRSSDSRIKVPFRVSAENKSPSESVHTLVQPASKRKGGSRSTKTSQDNEFLKIRQRVKYILNRMNYEQSLIEAYANEGWKGKSLGCNIISLIFITCSLEKIRPEKELERAKAEILRCKLKIREEFQNLDLLLSKGKLEKSLFNSKGEIYSEDIFCATCGSGDVTLSNDILLCDGVCIRGFHQNCLNPPMLTKDIPGDEGWICPACDCKEDCIYLINEHQGANLSMTDTWEEVFPEAAAPVDDYRQIDASDLPSEDSEDDDYVPDLLEEEDADEGSDSDDSHFVTSSDNSESSEEKETMYDFGLPFEHSEYAKSWAQSEFAPGDKPGDRSNALKENTLKNLNVKQDVVLSGLGKRQVQRVDYKKMYNYPSSDDKEKLAEELGLTFRQVSKWFETSRRRTRAVVAKRSIHLENHSSKRKRSSSVESSMRVADTDITVEKPNGSENDAATSGSGSGA >LPERR05G18910.1 pep chromosome:Lperr_V1.4:5:17394463:17395161:1 gene:LPERR05G18910 transcript:LPERR05G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAKPQLLPFPSMAQAQAQDPNSPSSSTSSSSPSSAAASPRPVVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSGDATTTPPSSQRPPAAAKNTHLHHHAGGGVAKKPAFKLYERRGVHKNFKMIAPLAMAAAAAARKPATEVLSPSVLDFPSLALSPVTPLLADPFNRSSPASASAAASPEEEAAAIAKKGFFLHPSPRSAEPPRLLPLFPVTSPRAAAAAASPAVE >LPERR05G18920.1 pep chromosome:Lperr_V1.4:5:17401013:17405257:1 gene:LPERR05G18920 transcript:LPERR05G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTRFSHSIVRSNSGREQFGAFLDPFDSSTAWRQKRFSSELILRGHNLQPAAKGAVSKSARPSIPLSKSPVPQAERKLKTMFSDGEFRQRGKVSDGSLRETSKEKVLKVAHNAEDLSLDISHRSMDQTMKDESPDMLEFSFHSEEQSLRLLRVCSSPAPFYSNDTTPFGDSKIRSASVKVVGDGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDGSPRFGFSSMRTPSKLKTRRASSWPRNYDNGVAKAVALDILEKWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQQKRLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPLQAAFAVVNKNLRPVIPSSCPAPLRLLIQQCWSCLPEKRPEFSQIVQILKNLKQALDRDGTLDKIPNTIFQEAPDQNKKKLSNWIQRLSYSQVDFSGPPPPKLL >LPERR05G18920.2 pep chromosome:Lperr_V1.4:5:17400645:17405257:1 gene:LPERR05G18920 transcript:LPERR05G18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTRFSHSIVRSNSGREQFGAFLDPFDSSTAWRQKRFSSELILRGHNLQPAAKGAVSKSARPSIPLSKSPVPQAERKLKTMFSDGEFRQRGKVSDGSLRETSKEKVLKVAHNAEDLSLDISHRSMDQTMKDESPDMLEFSFHSEEQSLRLLRVCSSPAPFYSNDTTPFGDSKIRSASVKVVGDGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDGSPRFGFSSMRTPSKLKTRRASSWPRNYDNGVAKAVALDILEKWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQQKRLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPLQAAFAVVNKNLRPVIPSSCPAPLRLLIQQCWSCLPEKRPEFSQIVQILKNLKQALDRDGTLDKIPNTIFQEAPDQNKKKLSNWIQRLSYSQVDFSGPPPPKLL >LPERR05G18920.3 pep chromosome:Lperr_V1.4:5:17401013:17404845:1 gene:LPERR05G18920 transcript:LPERR05G18920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTRFSHSIVRSNSGREQFGAFLDPFDSSTAWRQKRFSSELILRGHNLQPAAKGAVSKSARPSIPLSKSPVPQAERKLKTMFSDGEFRQRGKVSDGSLRETSKEKVLKVAHNAEDLSLDISHRSMDQTMKDESPDMLEFSFHSEEQSLRLLRVCSSPAPFYSNDTTPFGDSKIRSASVKVVGDGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDGSPRFGFSSMRTPSKLKTRRASSWPRNYDNGVAKAVALDILEKWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQQKRLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPLQAAFAVVNKNLRPVIPSSCPAPLRLLIQQCWSCLPEKRPEFSQIVQILKNLKQALDRDGTLDKIPNTIFQEAPDQNKKKLSNWIQRLSYSQVDFSGPPPPKLL >LPERR05G18920.4 pep chromosome:Lperr_V1.4:5:17400645:17404845:1 gene:LPERR05G18920 transcript:LPERR05G18920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTRFSHSIVRSNSGREQFGAFLDPFDSSTAWRQKRFSSELILRGHNLQPAAKGAVSKSARPSIPLSKSPVPQAERKLKTMFSDGEFRQRGKVSDGSLRETSKEKVLKVAHNAEDLSLDISHRSMDQTMKDESPDMLEFSFHSEEQSLRLLRVCSSPAPFYSNDTTPFGDSKIRSASVKVVGDGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDGSPRFGFSSMRTPSKLKTRRASSWPRNYDNGVAKAVALDILEKWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQQKRLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPLQAAFAVVNKNLRPVIPSSCPAPLRLLIQQCWSCLPEKRPEFSQIVQILKNLKQALDRDGTLDKIPNTIFQEAPDQNKKKLSNWIQRLSYSQVDFSGPPPPKLL >LPERR05G18930.1 pep chromosome:Lperr_V1.4:5:17406968:17408891:-1 gene:LPERR05G18930 transcript:LPERR05G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEAAAGNEMSLSNMVLGFYEEAERERWPDGTAAGDGSDDEGSGRGAAESRAFWKEHHSQLKEALGKMSSAERRIQADAEEAIRQMRASASGSCSCANRTVAAAAAGNGGCRGCTLRFLAERLRDAGYNSAICRSKWSRSPDIPSGEHTYVDVVAPTRSGKAVRVVIEPSFRGEFEMARGGAEYRALVASLPDVFVGRAERLRGVVRVMCAAAKQCARESGMHMAPWRKQRYMEAKWLATPERVGDCAGDDAVAAVGSPPLPEVNRVQTRFRKSMLTLDFGGRTAVEVV >LPERR05G18940.1 pep chromosome:Lperr_V1.4:5:17415655:17422131:-1 gene:LPERR05G18940 transcript:LPERR05G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYHGYGGGGGAGGGMSMVVASTPGQELALTNCAYVSPADVRRFPNSLALVGDAFRRQTKVSTGDSITVSSFAPPDDFKLTLLTLELEYTKARVNRNEELDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLEGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKANKHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEGDIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAIYAGEEKIDINHFFSILSDIIRY >LPERR05G18950.1 pep chromosome:Lperr_V1.4:5:17423105:17427559:-1 gene:LPERR05G18950 transcript:LPERR05G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGDAVFAGDTSVLLSAVGNRVASTDLTASSSLTLPFESSSNVIRLAASPSGDFILSVDDAGRALYANLGRRAVLHRIAFKGAPSAVRFSPDGKLIAVAVGKLVQIWRSPGFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKKGLGGKPFLFLGHRAAVVGAFFATDKKTGRVNRVYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGDEPQLGGEEPELDGDEPESGGGSRKRKNLGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTASPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLVYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCILSVDPSNVRAICSSTPLKYLQRLIEAFADLLESCPHLEFILLWSQELCKVHGNYIQQNSRALLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSAGTRN >LPERR05G18960.1 pep chromosome:Lperr_V1.4:5:17428791:17431942:-1 gene:LPERR05G18960 transcript:LPERR05G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAATLAADKGGILRQAISQASGGDAAAPDSFERVVTGALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVLAARVRAELEKLRGGEGRKVESASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAISRLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQMEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPQKDELDYKVDTRGGLVNAETGQKSDILIQVPNGVVRNDAAQAVKKMRIMEEDEDGMDEE >LPERR05G18970.1 pep chromosome:Lperr_V1.4:5:17432199:17435911:1 gene:LPERR05G18970 transcript:LPERR05G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHKASPLSSSASAIRSAVVLYARSSVGSASLFQRQALGRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKFGKEIVAAIKKGGPSPSSNTTLAAILEKARELDIPKEVIERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKATDADKDQLLSVALNAGADDVIEPNFDDDDDNDVSSEDVSERFYKIVTTSENYPAVLSKLQEEELKFETDNGYELLPLNPIEVDDEAMELNKDLVAKLLELDDVDAVYTDQR >LPERR05G18990.1 pep chromosome:Lperr_V1.4:5:17451545:17451889:-1 gene:LPERR05G18990 transcript:LPERR05G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTTRAPDVRAAVLLHVFLASPPSLSSPSTPLSRTRRPDTEPTTSIRICGALAVRVAAETGGESSPSSAPDPERMRRFGQPHPHLVVLTGTAAVALQSQGRCRAPLPHESIG >LPERR05G19000.1 pep chromosome:Lperr_V1.4:5:17462263:17467820:-1 gene:LPERR05G19000 transcript:LPERR05G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPESSTAAAGGSRLRNAFGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLVNSINIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYVKGLLTPRLFKVAMTLVITVGLAVCFAVVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKFQLSKLFEDSPAASGDSSAESSSASTASTNSAKNETRSEKTETAPKEKPSKKNRKKEKEVVEGVPVKPKKVKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNTAGRKKNPWQ >LPERR05G19010.1 pep chromosome:Lperr_V1.4:5:17469662:17471525:-1 gene:LPERR05G19010 transcript:LPERR05G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSRSPASSTRRGGGGGARLSPFFRDLASPIPTHRAASRFASSSATNPSATTPPPPPLFTLDDRMAAADFSPDATASELLPVASSPSPRAATSRSPSWDRSRGRVSAPGSPMDGVVEVPRKEVLALPPPSSPGTPAPPPPPPAAEARSPVTPATVAAGAEKEMNGGEVDREEWITVFGFSLGDTNLVIREFEKCGVILRHQVGTRDGNWIHILYLFSPGLESYTDNYIIVYSVLKHSYDARKALQKNGIQLSSGVIIGVKPIDAMHRQQLDERSTEIKQGGFMVSLPPKSLVSKGTGASNQLGAMPRPYDPKANTNGTRDVSRRATGSLAAPAKSLVTNVMDLIFGI >LPERR05G19020.1 pep chromosome:Lperr_V1.4:5:17472629:17475012:-1 gene:LPERR05G19020 transcript:LPERR05G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRTPNPDRLSRRKGEEPWLAASLRPGNFLPGLAIGFLLGLLVDLTSSWRPKSSPPALAPAAPASRGSKRTGGGSFSAGGGTGGEELKMVLIVRQDLKMGAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRLKETAEHRGIPTFVVADAGRTQVLTGSKTVLAVGPGRKADIDSVTGKLRLL >LPERR05G19030.1 pep chromosome:Lperr_V1.4:5:17482054:17482392:1 gene:LPERR05G19030 transcript:LPERR05G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVVELRRQKEMQLMHHQQPHHVAAGGVAGGMLHVANPLLFDGPSAPPLIAGAAADEFLIHNRIGPDFRQLI >LPERR05G19040.1 pep chromosome:Lperr_V1.4:5:17491916:17493010:1 gene:LPERR05G19040 transcript:LPERR05G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRCRGRTQTAAAWGISRRRRCGASAAGWRRSSRRGTSCGCGSTSTGSGCAGCARRRSRSGRSVSRRSPWTAPSTRTPPSASASTPPSASTPSCRWRAPCATSPARAARTAAPPATRSSPPPAALAARVPPWHAPPAAPSRMSNDCPRMRMYIHVHVNRAVYVRAHSHACVL >LPERR05G19050.1 pep chromosome:Lperr_V1.4:5:17499867:17500688:1 gene:LPERR05G19050 transcript:LPERR05G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSEQPAAATTESAKKLWRVARAVYLVLVKGLGKHQPKLAALGVHLHQMMSSARRGHRGGSQNRHDHGLDDLRDHPAFLTYLSSTISCRSMDPAAAVHPYPRVQRRGAASRGISCRAMDPSAAVSSSQYHYRPREVEFSCRSTPLHKRRRAQRRLDSSSYGSAANVSRLFELMDVKEEAATDHIDEDDEEEADVVALVVPAPATATRQVRITDSPFPAWEEEDQGGGVVDRRADEFIMWFHEQLRMQQQHRANAKAKERSTSTYYLVR >LPERR05G19060.1 pep chromosome:Lperr_V1.4:5:17504775:17508473:1 gene:LPERR05G19060 transcript:LPERR05G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLCFVTLLTFCLLAPVALMLGYYYGSPGVVVVGSGCSRLVETNSFFVQDIKARMDGGSPENGLVLYGLPIAPPLGVPAEYSEAHRAVIPANSQREWVYFLNRGSEIEVIYSVGAETELSRPICMIIARGKESFMQWADNPSANDTTLSCRFVQGNGTVNQTMNLSSEYYIALGNFNNQDVTGMNSDDQYVQLSYGPRWIVYVLGLAMLAVALLILYEIVNLLFSLSPGGNSSSNTADTRASLLSSASKEEEDNESLGSSYDSVSSHDGDGMDDAERNGGESRHLCVVCCDARRDCFFLPCGHSATCHACGTRVVEEDGSCPFCRRKLKKFHGFRHFVDLL >LPERR05G19070.1 pep chromosome:Lperr_V1.4:5:17509700:17525848:-1 gene:LPERR05G19070 transcript:LPERR05G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSSRRRHDPPPHRIRGTSSILSSAGSASTLIGARESILPFEISIVRSAAAPRWQSERWYTEILVEECSSQATHSSSAAARRRRDTSEAEGIIALLPPIWMPLGENSLACAVTSVKESKHQKEGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVILNIEQAIEKYPKDFTRWDANMQQLKGSCFSIGASRVKNECTSFRNFCGEENTEGCTRSFQKVKREHAVLRQNYCDKLVLLGQQLGLQANRRAEVIEWLNALLPEYCLPLDSSDEELRELLSDGMVLCRIVNTLIPGALEGSWSAYASSWQDSGNVKKFLSVLDDMGLPGFSVKDLEEGSMSGVVDCLLVLKENVSPGLGNDISKAPLRKKWRVPEIDEPTVPSVAQGRTSPGEDKRNGLPDPKSQQKTPVFNGQKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHEIERRLCIQAEHIRSQNTIIKTREDKYRSKIKALEILVNGTNEENQMAINRLQILKEEKSKIEERRKLGEQDVARLIKEKEDAENRIASLKKEMEVMTIIHGKQLQKTEENARKMEEHLTSKIKEVESLLMHSNKKIEEVEAASQLKSQMWNKKEGIFQNYMNTQQLYVKDLRISSRSIRNEMHALKTELRDEMSSFGSGLKCLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRSHSILTVHVRGLDVKNKSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSLSFAVDVNGASLSNNSNSDLGSASSITTNQQSQLSDPQSYAEVNRDGHTSPTSITATCLDEADFEDNASEDGSSNSLSDRPADTSIQRVSSRIGRFSFTKNGQPAMSRPKPKDTALKTPNQSRVQSSQLIGGSSRCENILLAKDEREQLSSEYETQAINH >LPERR05G19070.2 pep chromosome:Lperr_V1.4:5:17509700:17517804:-1 gene:LPERR05G19070 transcript:LPERR05G19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDGDFEGPQEDRRAEVIEWLNALLPEYCLPLDSSDEELRELLSDGMVLCRIVNTLIPGALEGSWSAYASSWQDSGNVKKFLSVLDDMGLPGFSVKDLEEGSMSGVVDCLLVLKENVSPGLGNDISKAPLRKKWRVPEIDEPTVPSVAQGRTSPGEDKRNGLPDPKSQQKTPVFNGQKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNTIIKTREDKYRSKIKALEILVNGTNEENQMAINRLQILKEEKSKIEERRKLGEQDVARLIKEKEDAENRIASLKKEMEVMTIIHGKQLQKTEENARKMEEHLTSKIKEVESLLMHSNKKIEEVEAASQLKSQMWNKKEGIFQNYMNTQQLYVKDLRISSRSIRNEMHALKTELRDEMSSFGSGLKCLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRSHSILTVHVRGLDVKNKSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSLSFAVDVNGASLSNNSNSDLGSASSITTNQQSQLSDPQSYAEVNRDGHTSPTSITATCLDEADFEDNASEDGSSNSLSDRPADTSIQRVSSRIGRFSFTKNGQPAMSRPKPKDTALKTPNQSRVQSSQLIGGSSRCENILLAKDEREQLSSEYETQAINH >LPERR05G19070.3 pep chromosome:Lperr_V1.4:5:17509700:17517804:-1 gene:LPERR05G19070 transcript:LPERR05G19070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDGDFEGPQEDRRAEVIEWLNALLPEYCLPLDSSDEELRELLSDGMVLCRIVNTLIPGALEGSWSAYASSWQDSGNVKKFLSVLDDMGLPGFSVKDLEEGSMSGVVDCLLVLKENVSPGLGNDISKAPLRKKWRVPEIDEPTVPSVAQGRTSPGEDKRNGLPDPKSQQKTPVFNGQKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNTIIKTREDKYRSKIKALEILVNGTNEENQMAINRLQILKEEKSKIEERRKLGEQDVARLIKEKEDAENRIASLKKEMEVMTIIHGKQLQKTEENARKMEEHLTSKIKEVESLLMHSNKKIEEVEAASQLKSQMWNKKEGIFQNYMNTQQLYVKDLRISSRSIRNEMHALKTELRDEMSSFGSGLKCLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRSHSILTVHVRGLDVKNKSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSLSFAVDVNGASLSNNSNSDLGSASSITTNQQSQLSDPQSYAEVNRDGHTSPTSITATCLDEADFEDNASEDGSSNSLSDRPADTSIQRVSSRIGRFSFTKNGQPAMSRPKPKDTALKTPNQSRVQSSQLIGGSSRCENILLAKDEREQLSSEYETQAINH >LPERR05G19080.1 pep chromosome:Lperr_V1.4:5:17526625:17529470:1 gene:LPERR05G19080 transcript:LPERR05G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDRKRGKSTPSREPPEMKEDVVPSSKKTKFVPSTEGAMLPDEILTDVLLPNLKSVAVYKCSPSKPIDDLLFTLDDVRGNHVEVAPAPCHGLTLLYNAVAPAYYVFNADTRAVTRLPPCQDVTHATAGLGFDTRKKEYKVVRLFQAFLHDMLSLRCEVFTLGGEEGDQWRPAAGGVPFRFCRFARSAICNAAENKLQPVFVNGFLHWLINPIHFHKIPRAAVISFSLTDETFRWIRSPPFEVSKVHLVELDDQLCMVRDLRNGLPTGSMFEIWRLDYNSGDWSLDHQIDLTGNLQRDLIEPRTVKVIGSIGSCRSGKKIIIATSKHNVCAYDPVCRTLETIISITETCTAYQNEKSDIRFSLFRESLATVHKTREEIGFSTPLSKATQEILLRLPAETIFNLKLVCKQWLRLIKSERFVHAFFDHKNMDRRPKIMLVGKGSENSVFDFIPSSKWLQEAGLGTLFLDKKVVCSKPCHGLNLVSVEEKDYLFNPCTGYHKIYGNREHQLHQLLSLSVDRCEEDNPFAVGNKNVGLGFCQVTQSHAVVGIFYSWKNYKSRQYNLRCFMWSCAERGSPLLDPPLPVNDMPPVSLDGVLYWMSEPRLGWSYEWAIVSFDVTARTFDVIPCPSCIAMWHSGSPCHAFVVELQGMLCTVLSNSVADELDIWQWDHGLWNRAYTINLKFWPDYSLATNVVVPLTVDPTDGRVLLNTGRKLGLYDPCEQTIENLLALDQASQQQHPWIARCENDPRKFTEWKPSLAPCDKFANPSSASSGRNRFNSRDQSKELNSASQKIMPVVPMLYEESLACYPRTAKMRLLFG >LPERR05G19090.1 pep chromosome:Lperr_V1.4:5:17552691:17554915:-1 gene:LPERR05G19090 transcript:LPERR05G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEKAVISFSVHRHHSASCAYGLWSRSSAIPDSTLPARSTPSTTNEVPCCAGASAQHQSPETTSRVGLCRHC >LPERR05G19090.2 pep chromosome:Lperr_V1.4:5:17552691:17554915:-1 gene:LPERR05G19090 transcript:LPERR05G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEKAVISFSVHRHHSASCAYGLWSRSSAIPDSTLPARSTPSTTNEHQSPETTSRVGLCRHC >LPERR05G19100.1 pep chromosome:Lperr_V1.4:5:17555304:17560945:1 gene:LPERR05G19100 transcript:LPERR05G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYVELRFASSRRREASTESWASTRPTQERGEEEGRGPKSRLFTASPQLARSVPGAPAGGSGGEMADWDGVPARERRQMEEILQLDMEELNVEMVDTEEEEVDEDDGEGSFTSTDAFLRDNDGEGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVSLLDGGAILSLPMLYLQGVVLFPGATLPLRVIQDRFLATVEKALRQVDAPCTIGVVLMYRRQSRHYANASVGTTAEIRQLGRMDDGSLNVVARGQQRFRLMRHWMDADGVVWGDVQIIEEDTPLRTPRDAFAQLASCNSLWRHTSAPIISLGVSPIKQHNRMDSELDCGTPSPKSTASNHSALDSRMCNSDSQSSSSMRSSNDDGVFMHEQFASHEVHPLKGDCAAVQSGENTTADEENFCLTPLRSLSSSRTRDTKQQHQYILSKQPFQAPLSFWPRWAYEMYDSYALARRAADLWRQIITNPSMDDFVRKPDLLSFSIGSKLPVSESVRQKVLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIAACAECESNIGWLFKATKKNLRPRSFWGIRSTQIADDVQEQDQDE >LPERR05G19110.1 pep chromosome:Lperr_V1.4:5:17561792:17564757:1 gene:LPERR05G19110 transcript:LPERR05G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVARFMACIAVALLLVTSGFAGADAGGGRPHGHGHGRNKHGGQSTKAMRPGKAAARPYPVNATAVEAIERQFTRWVRSIGGRRHSTFQRALYRGLFPSSPRGVIVVDKIPGAGDFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVSISPMRAFVTIEGAGADKTVVQWGDTAETVGPLGRPFGTFASATFAVNAQFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLFEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGRAWGAFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVAWSRELTDQEAKPFISLNFIDGLEWVKL >LPERR05G19120.1 pep chromosome:Lperr_V1.4:5:17571649:17573263:-1 gene:LPERR05G19120 transcript:LPERR05G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWLKKVLTGKKEGGDKDRKEHAAAAGSTPPPIERRRWSFAKPRSSVAVGSRRPSVTAIVAGELSQVRPCSCGQPREVEAAIMIQKAFRGYLARRALRALKSLVKLQALVRGYLVRKQAATTLQRLQALMRLQASSRAIRKASSRKSVEQERIVVQLQGARVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRIPSRYAADLPPPDGAPLLSSPLLYLYKPPPKTTHNTPRLAALQASPPPSKGRAVSPRYMADTASSVARARCQSAPRQRHGGEAKASLGRAGSRRSRQDSVSMRSSEMSGRLEDSEFSDDVTRDYYLDQLW >LPERR05G19130.1 pep chromosome:Lperr_V1.4:5:17578747:17587988:1 gene:LPERR05G19130 transcript:LPERR05G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVAAAVGVAVVIARRRRRRELELAEGAAAERKRKVAAVIEEVEHTLSTPTALLRSISDALVSEMERGLRGDIHAAVRMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVNQQYDEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGDDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGAVGEDVVSELSKAMERQGLDMKVTALVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDAALFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDIVAERGARLAAAGIYGILKKLGRDKMPSDGSKMPRTVVALDGGLYEHYKKFSSCLESTLTDLLGDDVASSVVTKLANDGSGVGAALLAASHSQYAEVD >LPERR05G19140.1 pep chromosome:Lperr_V1.4:5:17584026:17587204:-1 gene:LPERR05G19140 transcript:LPERR05G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKSYLHLLLLLAVLPCLAAQDEKQLLLRIKSAWGDPDALASWTDAAPYCRWMYVSCDGGRRVTSLSLPNVTLAGGGVIPDAIGGLTALTVLNLQNTSLGGGFPAFLYNCTGITSIDLSMNSLAGDLPADIDRLGKNLTFLALDNNNFTGGIPPAISKLKNLKVLTLNSNLFTGTIPAAIGELTSLETLKLEMNSFDADQLPESFKNLTSLKTAWLASCNLTGEFPSFVTELLELEYLDMSQNSFTGSIPPGIWNLPKLQNVYLYKNKFTGDVVINGKIGATNLIVLDLSDNQFTGAIPASIGTLMNITELNLMTNNFSGEIPASLAQLPSVVIMKLFENKLSGQLPAELGKHSPFLRDIEVDDNDLTGPIPEGVCDNRRLWLITAARNRLNGSIPASLANCPALISLQLQDNELSGEVPAALWTETKLMTVLLQNNGQLTGTLPEKLFWNLTRLYIHNNRFSGRLPAVAVKLQKFNAENNMFSGEIPAGFAAGMPLLQELGLSRNQLSGEIPASIASLGGLTQMNFSSNQLTGQIPAGLGSMPVLTLLDLSSNKLSGEIPAALGSLKANQLNLSYNQLTGEVPAALAVPVYGESFLGNPGLCISASPAGNFAGMRSCAAAKASDGVSPGLRSGLLAAGAVLVVLIAALAFFVVRDIKRRKRLARAEPAWKLTPFQQLDFGEASVLRGLADENVIGKGGSGRVYRVAYTSRSGAAAGVVAVKRIWTGGKTDKNFEREFDSEVNILGHVRHNNIVKLLCCLSRAETKLLVYEYMENGSLDQWLHGHKRIGTTATARAPLDWPARLRVAVGAARGLAYMHHECSPPVVHRDVKSSNILLDAELMAKVADFGLARMLVQTGTPNTVSAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELVTGREAHDGGEHGSLAEWAWRHLQSGRSMADAADRCIADDWHGDDVEAVFKLGIICTGAQPATRPTMRDVLQILIRCEEGYHQKSLDGKVKEHDGDGAPFLPFMKGSRRKQLSDTKGIDKGNGSLDSIV >LPERR05G19150.1 pep chromosome:Lperr_V1.4:5:17591517:17594665:1 gene:LPERR05G19150 transcript:LPERR05G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQKWRSAALQMEAKMIDHPSGIDAYRALVFNGEIAANICESMTMCGFYFKLQLHYAYHVMGKV >LPERR05G19160.1 pep chromosome:Lperr_V1.4:5:17594102:17596608:-1 gene:LPERR05G19160 transcript:LPERR05G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFKDKGLPPKLLNLIPDGRECKMKDAEDGERPRNTSFDADEDEELELKLGLPGVEEEERAAGSREKMQQQQQESSSSPSLGCFPATHSKPITSIATTRPKRGFVAIGAIPEGYQTNQDREECGNELTLGIENMADDRKKDCSAAVHSSNPHGRRNLTNGNSSKRSPERQNDEADDTAKQICTKRPAKLICTKRPLVKINMDGIPIGRKVDFAVYDSYQKLSSAVEELFRGFLEAQKDLSSVESGGQGAEDKIFSGLLDGTGEYTLVYEDSDGDRMLVGDIPWNVFVSTAKRLRVMKRSDLPHDMCMLRS >LPERR05G19170.1 pep chromosome:Lperr_V1.4:5:17604401:17607131:1 gene:LPERR05G19170 transcript:LPERR05G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLGGEDRVGMYEEGDDDEVFGATSSVSGGESDEEEEGEDQFSEGGASAAAGNQMEHRAFAPAPLRRMNSDSIYDLSSMTAQLPAKKGLSRYYEGKSQSFACMSEVRSLEDLRKKEKPYKQKIKPCNSYAALGGIAKTQSSSSCANLSMMGPSGGFRAPPIPVSKNGYHQ >LPERR05G19180.1 pep chromosome:Lperr_V1.4:5:17606024:17608754:-1 gene:LPERR05G19180 transcript:LPERR05G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEEGREEARDGGGDEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRIDQYVLLGAVPFSSDVPHLKQLGVKGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEVALDHARSVRPRVLLAPSQWQAVKLFSTLNNRCLSTENSNQTHSKKSCEESGEPCSTLTDSCLQIQSSNRTHSIRFGEQFNEVCIMEAEVDGFTTEFDNEHFVLPLYEAVLAKPSSPSRCCDAVVITEDDLEGYETYADAGKDTVSVEVVIRQKPMIRRLSCFLGSLKLSSNCEPPPRRLTEVRAC >LPERR05G19190.1 pep chromosome:Lperr_V1.4:5:17610487:17616308:1 gene:LPERR05G19190 transcript:LPERR05G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAGSHLRFLKHHGASRFASTSVVKQSSGGLFGWLLGGNSSQLPTLDVPLPGITLPPPLPDFVEPSKTKITTLPNGIKIASETSPIPAASVGLYIDCGSIYETSASSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGHVFASASREQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEIAEVSGDPQGLLMEALHTAGYSGALAKPLMASESAVNRLDVTALEKFIAENYTAPRMVLAASGVEHDEFVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTNTHIALAFEVPGGWRQEKTAMIVSVLQMLMGGGGSFSTGGPGKGMHSRLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFASNAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMDLESRMVASEDIGRQVLTYGERKPIDYFLKTVEEITLNDISSTAKKIISSPLTMASWGDVIHVPSYESVSRKFHSK >LPERR05G19200.1 pep chromosome:Lperr_V1.4:5:17617741:17622806:1 gene:LPERR05G19200 transcript:LPERR05G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPPEEDDEEEQRRREEEEDDDEDPWARSGPPPPAPPEHAMKAAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLIDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKSSRRGVHFRRAGPRQRVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNVNKIYGIQNGYKGFYSSNYLSLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIEKRLKDNKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKETDRMANKPPLPSGLSHHAANSFDQSASSSSNSEI >LPERR05G19210.1 pep chromosome:Lperr_V1.4:5:17628120:17674824:1 gene:LPERR05G19210 transcript:LPERR05G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSFISVDCGLPGKTSYVDDKTKISYSSDDGFTDGGSYYNVSPEYIKPTLTARYYNVRSFPDGVRNCYTLRSLVAGLKYLFRATFMYGNYDGLNKLPVFDLHIGVNFWTTVNITYPSQPVLQEAIVVVPDDFVQVCLVNTGVGTPFISGLDLRPLMNKLYPQANATQGLVQLIRLNFGPSDESAIVRDLSNNYLTGSIPDALSQLSSLAILDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTGDNSCQPAKRKSKLVIYIVVPTVAVMVVVTVTTLLFCLLRRKKQGLEYLHKGCNPPLIHRDVKATNILLNTRLEAKIADFGLSKAFNPENGNHVSTNTLVGTPGYVDPEYQSTMQPTTKSDVYSFGVVLLELVIGKPAILRDPEPISIIHWAQQRLARGNIEGVVDACMRGDHDVNGLWKVADIALKCTAQVSTHRPTMTDVVAQLQECLELEDKRRVSDTNNFYTGNSGNPYSSNNMYATDQFPDVSQNNSAFEMEHNFGRKPSMATGFISVDCGLPGKTGYIDDKTKISYAADDGFTDGGSYHNISAEYITPILTARYYNVRSFPDGERNCYTLRSIVAGLKYLVRSTFMYGNYDGLNKLPVFDVYIGVNFWMMVNISDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKRLYPQVTETQGLSLFGRWNFGPTSPTALIRYPDDPHDRVWIPWVSPSFWTEVSTTRPVQRTDEDVFDAPTAVMQTAVVPLNASSNIEFAWVPYTQPKDLSPGYITIMHFSELQLRPNNASRQFYINLNGNMVFSKGYVPTYLYADAIYNSNPFLRYPQYNISINATANSTLPPLINAIEVYSVFSTATIGTDGQDVSAMLAIKEMYHVKKNWMGDPCIPKTLVWDRLSCSYDSSKAPRITSMDLSNNNLSSSIPDALSQLPSLAVLYGDNPNLCSDGNSCQPAKNKSKLAIYIVVPVVLVIVSVTMLLFCLLRRKKHGSAMMNSVKPQNETNYAPQTGGHEHSSSMQLENRQFTYNELEKITNNFQRVLGRGGFGKVYDGFLENGTQVAVKLRSESSDQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALIYEYMSAGTLQEHIAGKRNNGRCLTWRERLRIALESAQGLEYLHKGCNPPIIHRDVKATNILLNSRLEAKIADFGLSKAFNLDDGSHVSTNRLVGTPGYVDPEYQSTMQPTTKSDVYSFGKPAIFRDPDPTSIIHWAQQRLAQGNIESVVDAHMHDDYDVNGVWKAADIALKCTAQMSARRPTMTNVVAQLQECLELEDKRCPVTDANNSFYIGNSSNPNLSYNMYAADQSTNVSQNNATFEIEYNFERTPSLAAEVRINPSTMVTRRWLLPLALAAAAAIVHGQGGGPDTTGFISIDCGLPEKSSYVDDATKLQFTSDDAFTDAGTNHNVSVEYATPSTTTDRSLYNLRSFPAGTRNCYTIPSVVAGSKYLVRAKFMYGNYDGLNKPPVFDLHLGVNFWQTVTVPSAGWLGLAEVIAVVPDDFVQVCLVNTGAGTPFISGLDLRPLTNSLYKQANVTQGLVLVDRRNFGVGASTAAVRYPDDRYDRMWFPWLGNQPADWLDISTTEKVQNMIAAAFDVPSVVMQTAITLRNSSKEDIIQFSWYTNPSHDYPDPGMYFILYISEVELLTNKNAVRQFNITLNGVILTPHPYTPIYLSTNTLFNEVPRHGYSRYNISLITAGNSTLPPIINAAEVFSVISTVNVGTEAQDVSAINAIKAKYQVKKGWAGDPCAPKTLAWDGLTCSYAISTPPRITSVDLSNNNLTGSIPIVLSQLQFLTVLDLTGNQLSGSIPSGLLKRSQDGSLTLRYANDPNLCSSNSTCKLPRKNSNSMIPVYVAVPVVVIGTLAVLLFFFIRKIKAKGSVRPHTGSGMRSSDRQNGNEQNLLQLHNRQFTYKELAIITDNFQRVLGRGGFGPVYDGFLKDGTHVAVKVRDESSNQGYSEFLTEAQTLTKIHHKNLVSLIGYCQDGDYLALVYEHMSEGTLEDNLRGLEYLHKSCNPRFVHRDVKSSNILLNEKLEAKVSDFGLTKAFKNDNDTHVSTFRVVGTCGYLAPEYMATFQVTEKIDVYSFGVVLLEVITGQPHIIKCPEPITIIQWAKQRLERGNIEGVMDARMQGDQYDINGIWKVANVALECTAQAPEQRPTITDVVIQLRECLELEESIFSGGTSGSYMTGNDIDQNSSYNTYGSSEGSTTFEMEHNIRRCQSKMTLVERHDKESAIIIPFLQGGSPDTTGFISIDCGLPEKSSYIDDATKLKFTSDDAFTDAGTNHNVSVEYATPSTTTDRSLYNLRSFPAGTRNCYTIPSVVAGSKYLVRAKFMYGNYDGLNKPPVFDLHLGVNFWQTVTVPSAGWLGLAEVIAVVPDDFVQVCLVNTGAGTPFISGLDLRPLTNSLYKQANVTQGLVLVDRRNFGVGASTAAVRYPDDTYDRVWSPWSSPPAEWSDISTKENVQNTIAPLFDVPTVVMQTAIATRNPSVPIQFSWDTKPNHVYPDPGMIFTLYFAELELLTGNSSRQFNVTINGVIWTSYPYKPVYLSTDAIYNKDRPYWGISRYNVSLNSAGSSTLPPIVNAAEVFSVISTADLATDAQDVSAITAIKAKYQVKKNWTGDPCAPKALAWDGLTCSYAISTPPRIIGVNMPYGGLSGDISSYFANLKEIKYLDLTGNQLTGSIPFVLLKKNQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSILAVYVAVPVVMIGAVAVLLLLLVRKYKGPEKGSVKPQILGRGVQSQSQNGSGHSMLELHKHQFTYKDLVDITVNFQRILGKGGFGPVYDGFLKDGTHVAVKLRDESSRQGYDEFLREAQTLTKIHHKNLVSLIGYCQDEKHLALVYEHMSEGTLEDKLRGKELEGRSLTWRQRLRIALESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKRDGDTHVSTMRVVGTYGYLAPEYATALQVTEKIDVYSFGVVLLEMITGQPPILKYPEPTTVIHWTRQRLARGNIEDVMDTHMRGDHYDINCVWKVADMALKCTAQAPGQRPTMTNVVAQLKECLELEKSSLTGDTSGNYTTGSNIDPNSSYYTYSTEVSQASTTFEMDHNLRTMPTRDDIAQSWLLLLCLAVVAAGVPQARAQPDRGFISIDCGLTGKTAYLDDKTNLSYVSDDGFTDAGTNHNISVENLTPSISKRYYNVRSFPDGERNCYTIRSLVPGLKYLIRAVFMYGNYDGLNRLPVFDLYIGVNFFTMVNITRPDGAALEETIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNNQIIRYPDDPHDRVWVPWTNPADWTVISTTTMVQNLENDIFEVPSPVLQTAITPRNASSNIHFGWEAYIQPKDPVPGYIVNFHFTEVQLLANNVVREFYINLNSKLIYNESYRPAYLYADATYNSKPFLRYPQYNISINATSNSTLPPLINAIELFSVIPMIIVGTDSQDASAMMAIKAKYQVKKNWMGDPCVPKSLAWDRLTCSYSTSSRPRITSLNLSSSGLSGDISSSFVNLKAVQYLDFSNNNLIGSIPDSLSQLPSLTVLDLTGNQLSGSIPSGLLKRIQDGSLDLRYGNNPNLCTNGNSCQLAKRKNKLVIYIVVPIVLAVVIVSVAVTFYCLRRRWPGSASKSIENASYVPKNDGHMHNPSLRLENRRFTYQELEMMTDNFQHELGKGGFGCVYDGFLEDHTRVAVKLMFNKSKQGDKEFLLESEIQKSQPKTQAQILTRIHHKNLVSMIGYCKDGDKMALVYEHMSEGTLQQHIAENSIRTFLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTTNILLNAMLEAKIADFGLSKAFNHNNTHVSTNTLAGTPGYVDPEFPTTKSDVYSFGIVLLELVTGKPAILQDLDNTTIIQWVQQNLARGNIEDVVDARMHEDHDINSVWKVVDIALKCTMQESVQRPTMTEVVALLQECFELENRRPKDGNMTRLYGTRSSVEQSTNVIQSDDAFEVRHNIITRRPTPARTVAAHSCFLFLCLAVAGGGVLQVRAQPDIKGMLQGSTIVRPLALACICMIAHVHIIKIAKASSLTTLCSAGFISIDCGLPGKTSWVDDTTKLSYAPDDGFTDAGINRNISVEFIKPLLAKRYYNVRSFPDGTRNCYTLRSLESGLKYLIRATFMYGNYDGLSKLPMFDLHIGVNFWTMVNISDLNGAQFTEAIVVVPDAFVQVCLVNTGAGTPFISGLDLRPLEKRLYPQANETQGLYLYTRSNFGPTGETDAIRYPDDPHDRICPWVSQMDWVGLSTTNIVQNIEHDKFEAPTSVMQTAITPRNVSMNIEFSWVADPQPKDPSPGFIAILHFSELQLLASNAVRQFSININGKLWYNSSFTPHFLQAESVYSPSPLERFPEYKISINATANSTLPPIINAVEIFSVIPMINVATDSQDASAMMAIKANYLVKKNWMGDPCVPKTLAWDINLSSSGPSGDMSSSFANLKAIQYLDLSNNNLTGPIPDAFSQLPSLEVLDLTRNHLSGSIPSGLLKRVQDGSLDLRSDSLPAQKYIHHDMTKKHSTKIISHHRASFMIRKQSKPLHQRQFMPACKKERANLYSCSSGCGDSFNNGNTLLSTETKKRSGFSQTHKQYGLINSHILVNKRSASNSVKPRDEGSLRLENRRFTYQELQRITENFQRELERGVYDGYLEDGTRVAVKLMFKTSKQGDKEFLVEGEINLKVMTNHSGTNFNQNSSQEFGFHDWKTLVGDSYPGDNGSKSHYSLHMYLHKGCNPPLIHRDVKTTNILLNTMLEAKIADFGLSKAFNRDNDTHVSTNTLVGTPGYVDPEFPTTKSDVYSFGVVLLELVTGKPAILRDHDNTSIIQWVRQQLSQGNIEDVVDARMHGDLDINSVWKVMDIALKCTMQDLVQRPTMAVVVALLQECLELEDRRPKDNNMTSSIHDTSSENHNSSYDTYNVDQSINVVQSDDVFEVKHDIGRVPTMATGPAAR >LPERR05G19210.2 pep chromosome:Lperr_V1.4:5:17643375:17671116:1 gene:LPERR05G19210 transcript:LPERR05G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTEPSTMVTRRWLLPLALAAAAAIVHGQGGGPDTTGFISIDCGLPEKSSYVDDATKLQFTSDDAFTDAGTNHNVSVEYATPSTTTDRSLYNLRSFPAGTRNCYTIPSVVAGSKYLVRAKFMYGNYDGLNKPPVFDLHLGVNFWQTVTVPSAGWLGLAEVIAVVPDDFVQVCLVNTGAGTPFISGLDLRPLTNSLYKQANVTQGLVLVDRRNFGVGASTAAVRYPDDRYDRMWFPWLGNQPADWLDISTTEKVQNMIAAAFDVPSVVMQTAITLRNSSKEDIIQFSWYTNPSHDYPDPGMYFILYISEVELLTNKNAVRQFNITLNGVILTPHPYTPIYLSTNTLFNEVPRHGYSRYNISLITAGNSTLPPIINAAEVFSVISTVNVGTEAQDVSAINAIKAKYQVKKGWAGDPCAPKTLAWDGLTCSYAISTPPRITSVNMSYRGLSGDISSYFANLKEIKYLDLSNNNLTGSIPIVLSQLQFLTVLDLTGNQLSGSIPSGLLKRSQDGSLTLRYANDPNLCSSNSTCKLPRKNSNSMIPVYVAVPVVVIGTLAVLLFFFIRKIKAKGSVRPHTGSGMRSSDRQNGNEQNLLQLHNRQFTYKELAIITDNFQRVLGRGGFGPVYDGFLKDGTHVAVKVRDESSNQGYSEFLTEAQTLTKIHHKNLVSLIGYCQDGDYLALVYEHMSEGTLEDNLRGLEYLHKSCNPRFVHRDVKSSNILLNEKLEAKVSDFGLTKAFKNDNDTHVSTFRVVGTCGYLAPEYMATFQVTEKIDVYSFGVVLLEVITGQPHIIKCPEPITIIQWAKQRLERGNIEGVMDARMQGDQYDINGIWKVANVALECTAQAPEQRPTITDVVIQLRECLELEESIFSGGTSGSYMTGNDIDQNSSYNTYGSSEGSTTFEMEHNIRRCQSKMTLVERHDKESAIIIPFLQGGSPDTTGFISIDCGLPEKSSYIDDATKLKFTSDDAFTDAGTNHNVSVEYATPSTTTDRSLYNLRSFPAGTRNCYTIPSVVAGSKYLVRAKFMYGNYDGLNKPPVFDLHLGVNFWQTVTVPSAGWLGLAEVIAVVPDDFVQVCLVNTGAGTPFISGLDLRPLTNSLYKQANVTQGLVLVDRRNFGVGASTAAVRYPDDTYDRVWSPWSSPPAEWSDISTKENVQNTIAPLFDVPTVVMQTAIATRNPSVPIQFSWDTKPNHVYPDPGMIFTLYFAELELLTGNSSRQFNVTINGVIWTSYPYKPVYLSTDAIYNKDRPYWGISRYNVSLNSAGSSTLPPIVNAAEVFSVISTADLATDAQDVSAITAIKAKYQVKKNWTGDPCAPKALAWDGLTCSYAISTPPRIIGVNMPYGGLSGDISSYFANLKEIKYLDLTGNQLTGSIPFVLLKKNQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSILAVYVAVPVVMIGAVAVLLLLLVRKYKGPEKGSVKPQILGRGVQSQSQNGSGHSMLELHKHQFTYKDLVDITVNFQRILGKGGFGPVYDGFLKDGTHVAVKLRDESSRQGYDEFLREAQTLTKIHHKNLVSLIGYCQDEKHLALVYEHMSEGTLEDKLRGKELEGRSLTWRQRLRIALESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKRDGDTHVSTMRVVGTYGYLAPEYATALQVTEKIDVYSFGVVLLEMITGQPPILKYPEPTTVIHWTRQRLARGNIEDVMDTHMRGDHYDINCVWKVADMALKCTAQAPGQRPTMTNVVAQLKECLELEKSSLTGDTSGNYTTGSNIDPNSSYYTYSTEVSQASTTFEMDHNLRTMPTRDDIAQSWLLLLCLAVVAAGVPQARAQPDRGFISIDCGLTGKTAYLDDKTNLSYVSDDGFTDAGTNHNISVENLTPSISKRYYNVRSFPDGERNCYTIRSLVPGLKYLIRAVFMYGNYDGLNRLPVFDLYIGVNFFTMVNITRPDGAALEETIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNNQIIRYPDDPHDRVWVPWTNPADWTVISTTTMVQNLENDIFEVPSPVLQTAITPRNASSNIHFGWEAYIQPKDPVPGYIVNFHFTEVQLLANNVVREFYINLNSKLIYNESYRPAYLYADATYNSKPFLRYPQYNISINATSNSTLPPLINAIELFSVIPMIIVGTDSQDASAMMAIKAKYQVKKNWMGDPCVPKSLAWDRLTCSYSTSSRPRITSLNLSSSGLSGDISSSFVNLKAVQYLDFSNNNLIGSIPDSLSQLPSLTVLDLTGNQLSGSIPSGLLKRIQDGSLDLRYGNNPNLCTNGNSCQLAKRKNKLVIYIVVPIVLAVVIVSVAVTFYCLRRRWPGSASKSIENASYVPKNDGHMHNPSLRLENRRFTYQELEMMTDNFQHELGKGGFGCVYDGFLEDHTRVAVKLMFNKSKQGDKEFLLESEIQKSQPKTQAQILTRIHHKNLVSMIGYCKDGDKMALVYEHMSEGTLQQHIAENSIRTFLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTTNILLNAMLEAKIADFGLSKAFNHNNTHVSTNTLAGTPGYVDPEFPTTKSDVYSFGIVLLELVTGKPAILQDLDNTTIIQWVQQNLARGNIEDVVDARMHEDHDINSVWKVVDIALKCTMQESVQRPTMTEVVALLQECFELENRRPKDGNMTRLYGTRSSVEQSTNVIQSDDAFEVRHNIITRRPTPARTVAAHSCFLFLCLAVAGGGVLQVRAQPDIKGMLQGSTIVRPLALACICMIAHVHIIKIAKASSLTTLCSAGFISIDCGLPGKTSWVDDTTKLSYAPDDGFTDAGINRNISVEFIKPLLAKRYYNVRSFPDGTRNCYTLRSLESGLKYLIRATFMYGNYDGLSKLPMFDLHIGVNFWTMVNISDLNGAQFTEAIVVVPDAFVQVCLVNTGAGTPFISGLDLRPLEKRLYPQANETQGLYLYTRSNFGPTGETDAIRYPKLISPIST >LPERR05G19210.3 pep chromosome:Lperr_V1.4:5:17623232:17628278:1 gene:LPERR05G19210 transcript:LPERR05G19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAAWSWLLLLCFTAGVLQARAQPDNNGFISVDCGLPGKTGFVDNKTTIFIAADDGFTDGGEFHNISAEFITPTLGRSYHTLRSFPDGTRNCYTLRSLVPGLKYLIRAFFMYGNYDGLNKLPMFDLYIGVNFWTTVNITAPDNPVIVEAIVVVPDDFVQVCMMKTGAGTPFISGLNLRPLKNMLYPQANATQGLVLLGRFNFGPTDYTDIIRYPDDPHDRIWFVWSDSTRWSEISTTKKVQNTDNDMYEVPTAVMQTAITPRNASMNIEFSWDAVPLPNDPNPGYIGILYFSELQLLPSNVTRQFYINLNGKLWLQKVYTPIYLYSDATYNQNPYLRYPSYNISINATDNSTLPPLINAVEVFSVISTATIGTDSQDVSAIAEIKAKYQVKKNWMGDPCVPKTLAWDKVTCSYAISNPPRIISINLASSGLNGEISSSFGNLKAVQNLDLSNNNLTGPIPDALAQLPSLTILDLTGNQLSGSIPPGLLKRIQDGSLDLRYGNNPNLCTNGNSCQPAKKKSKLAIYIVVPIVLVVVIILVATLICCLLRRKKKASTNNSVKPQNETVSNMPSNGGYGHSSSPRLENRRFTYDELKKITNNFHRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEDGEYMALVYEYMSEGTMQEHIAGKNNNGRYLTWRERLRIALESAQGLEYLHKGCNPPLIHRDVKAANILLNSRLEAKIADFGLSKAFNHNNDTHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKTPILRDPEPISIIQWARQRLARGNIESVVDTQMHGDHDVNGVWKVADIALKCTSNASAQRPTMTDVVAQLQECMELEDRRGSINNTSNDFYTGNSNDPNSSYNIYTTDQSTNVSQSSSAFEMEHNFGRVSTMSAGPAAR >LPERR05G19210.4 pep chromosome:Lperr_V1.4:5:17623232:17628278:1 gene:LPERR05G19210 transcript:LPERR05G19210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAAWSWLLLLCFTAGVLQARAQPDNNGFISVDCGLPGKTGFVDNKTTIFIAADDGFTDGGEFHNISAEFITPTLGRSYHTLRSFPDGTRNCYTLRSLVPGLKYLIRAFFMYGNYDGLNKLPMFDLYIGVNFWTTVNITAPDNPVIVEAIVVVPDDFVQVCMMKTGAGTPFISGLNLRPLKNMLYPQANATQGLVLLGRFNFGPTDYTDIIRYPDDPHDRIWFVWSDSTRWSEISTTKKVQNTDNDMYEVPTAVMQTAITPRNASMNIEFSWDAVPLPNDPNPGYIGILYFSELQLLPSNVTRQFYINLNGKLWLQKVYTPIYLYSDATYNQNPYLRYPSYNISINATDNSTLPPLINAVEVFSVISTATIGTDSQDVSAIAEIKAKYQVKKNWMGDPCVPKTLAWDKVTCSYAISNPPRIISINLASSGLNGEISSSFGNLKAVQNLDLSNNNLTGPIPDALAQLPSLTILDLTGNQLSGSIPPGLLKRIQDGSLDLRYGNNPNLCTNGNSCQPAKKKSKLAIYIVVPIVLVVVIILVATLICCLLRRKKKASTNNSVKPQNETVSNMPSNGGYGHSSSPRLENRRFTYDELKKITNNFHRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEDGEYMALVYEYMSEGTMQEHIAGLEYLHKGCNPPLIHRDVKAANILLNSRLEAKIADFGLSKAFNHNNDTHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKTPILRDPEPISIIQWARQRLARGNIESVVDTQMHGDHDVNGVWKVADIALKCTSNASAQRPTMTDVVAQLQECMELEDRRGSINNTSNDFYTGNSNDPNSSYNIYTTDQSTNVSQSSSAFEMEHNFGRVSTMSAGPAAR >LPERR05G19230.1 pep chromosome:Lperr_V1.4:5:17681350:17683044:1 gene:LPERR05G19230 transcript:LPERR05G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVAHRLSLASGAFLPRHHRRPSPSAHRRRRRNGAVVAYMEPNPNSPASIAGRLIGALPIVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLICCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAREKRAKLKSPTPQIPMEARAEKALEAIYVCCFGQDMLEDEDVKLLCTMLNAIFPSVGRQTIERIVTSMSKQVAAGERKGPGAKTVSKEAAQRQLKDLEFLKQNKLDSSL >LPERR05G19240.1 pep chromosome:Lperr_V1.4:5:17683731:17685056:1 gene:LPERR05G19240 transcript:LPERR05G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRFYTRRYTGRDSPSVDDEAAADTAAASNITSKCDPHDRVLVIKEAVVNLHESRASTREAALASIIDALEGFVPALFVDGASYPDDVLRRCCVSIKRGAAKETTLALRAVALLAVTVRGGVGAKKIMSETCPLVSRIIRESTDASLLIAALECLAVVAFVDVAADNMDDTEACLKALWGLICPATAPKLAAGVARATSPRVLDAAVLAWTLVLTTTGGWKSAPRGWRERDTAAHLAGLLYSDCRAVRIAAGEALAVSMEMKLFTRDKNDVLFSRMEERAADLAIEAAGAGVVKDGFLEQKDLFRKINSFLADGKPPESSVRTSSSHHGFLTTSTWTDMIRLNFLRRFLGGGFLNHIQGKGLLRQVFIVKDSEIAGKLSAARSKQSLKKDTRIVEELNGGISMEVKEKKQEMKKKSQEKQRGLKKDRQTSCELKNGSDL >LPERR05G19250.1 pep chromosome:Lperr_V1.4:5:17685592:17688656:1 gene:LPERR05G19250 transcript:LPERR05G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYADLPKAAQALVAGDVLLAFRLLPDVQTGYALSAANGLLQAVEGTLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGAPHIPCDVLVHIFSFLDMRSLVAAGLVCWSWNPAANDNRLWKMNYSLFFGICNVNCDSIPAAGNVQNSDDHVQNMMCVASPYPSFNWKEAFRNKYAEREAWSSASNRALCGYCRSVIWLCNLTCATPHQCPKKGKDGVKLGPLLPRTVADYILDIDDIAASSSESDDADSDSENCQTARFWSLS >LPERR05G19260.1 pep chromosome:Lperr_V1.4:5:17690163:17691834:-1 gene:LPERR05G19260 transcript:LPERR05G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESVVESMMDKISDKLHSRGSSSSSSSSDSDGEGTAAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLAGATAIWLLFEVMDYHLLTLLCHCVILTLAILFLWSNASTFINKSPPNIPEARIPEDLAVNVARSLRFEINRGFATLREIGRGHDLKKFLIVIGGLWILSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDKIDAFGEKAMIELKKYYAIFDEKCLSKIPKGPSKSKKH >LPERR05G19270.1 pep chromosome:Lperr_V1.4:5:17694984:17695586:1 gene:LPERR05G19270 transcript:LPERR05G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDSLPSIGVGYAVAIALGFLVLLATLLLVSYYCFRRGGARGGEGVHSARHAASSASSSGHISITVPRVIFVADDSDSPGSSSRGGGGVASSPVGLHPSVIASYPKAPFSRAAAGADAETACSICLCEYKEGEMQRMMPECRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRHR >LPERR05G19280.1 pep chromosome:Lperr_V1.4:5:17698058:17698834:-1 gene:LPERR05G19280 transcript:LPERR05G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGEPAANSATAVEDKNEPARPLALSSPSVHPAEEEEAQAMMGWRSMQYLRKRRCALCCCGCCVTTLVVVGIIALVLALTVFRVKDPRINMNGIWVTAISTGPGSGSTTSTVQATNATLVADVSVKNPNIASLRFSRSATNVYYRGQTISVAYVPAGKVGADRTVRMNITLDLLADRLTSVLNGTGLLLGQEYDLTTYTEMNTRVKVFGIIKKSLEVRMNCSVVLEVGGIAGALVPGAGANSGVQTKGVDCVAIIG >LPERR05G19290.1 pep chromosome:Lperr_V1.4:5:17703958:17710902:1 gene:LPERR05G19290 transcript:LPERR05G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPTPTVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPASTADFFSSSASAVDRLAAANPSISFHRLSPPEYPDPDPDAFLQMLDTMRLTVPSLVAFLRTIPSVAALVLDLFCIDALDAAAAAGVPAYLYYTSAAGDLAAFLHLPHYFATTMDGVSFKDMGKTLLRFPGVPPIPASDMPHTVLDRADRTFSLRIGHYRRMPEARGVLINTYQWLEARAVAALREGVCVPNRPTPPVYCIGPLIVKGEETAKGERHPCLSWLDAQPERSVVFLCFGSMGAVSVEQLKEIALGLESSGHRFLWVVRSPPQDPAKFFLPRPESDLGVLLPEGFMERTRDRGIVVGSWAPQVDVLRHAATGAFVTHCGWNSVLEAASAGVPMVCWPQYAEQRLNKVFVVEEMRLGVVMDGYDEELVKADEVEKKVRLVMDSVEGNKLRERLAMAKEMAAEALSDGESSSLAFTEFLKDLKFANSPNNQTVVLHPTLGVGHLIPMVELAKLFLNHGYAVIIAIPTPPASAADIAASSAPAVERITAANPSITFHRLPPPDYPVPDADGFQQMLEVVRLSLPPLVAFLRSLPSVAAFIADLFCVDALQAAAEAVAGVPAYIYFTSAAGDLAAFLHLPHHFATTDGDMRDMGKDQPLHFPGVPPIPASDITHHLLDRANAIGAAMVEHYRRMAASARGVLINTYEWMEATAVAALRDGVCVPGHSTPPVYCIGPLIVSSARAELHQCLAWLDSQPDKTVVFLSFGSMGAVSADQIKEIAHGLEKSGHRFLWVVRSPPDDPAKIFLRRPEPDLAAILPDGFMERTRDRGMVVKSWAPQVDVLRHAATGAFVTHCRWNSTLEAVAAGVSMVCWPQYTEQRLNKVLVVDGMRLGVVMDGYDEEIVTAEEVEKVGLVMETEDGEKLRERLALAKEMAAEAMADGGPSRMAFAEFLKDLERSK >LPERR05G19300.1 pep chromosome:Lperr_V1.4:5:17720284:17726035:-1 gene:LPERR05G19300 transcript:LPERR05G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTPSSWIPSPSLRSTSPRGSTSQASSSTRAMPAPSPSISSFSSIRAEGDLSFGELGDTPLEIPGLCSMLASHFFDELLTHQAVIDVSRRSSQNSNGVLVNTFELLEAWVVNALADHAHGQVLPPFYCVGPFVKKASERRGHECLAWLDGQPERRVVFLCFGSTGVGNHSVEQLKEITVGLQKSGQRFLWVVRAPSPPLVAIDDTQNLFDPYADPDLGALLPAGFLSRTSGRGIIVKLWAPRATTQKLNFHWLRAAATFSMASEEHYLVRYYILIQHNNGQLRDLLCSTLIPQLVHAIVIDTFILQALDVPKELGIYTSLWFVSLIYRRSRHPSPVPFAPFEEQGYSELGDTPLEFLGVPELLASHDMEPLLAHLESKIYMEFMNMCKKIPEFDGIMVNTFESLESRAVGALRDITDFLPSLVLPPVFCVGQLVKDNDGSVGAERHRCLAWLNKQPDRSVKHGDTPAGAAEGDRYWLGKVWALVVQAPHNIDLTRLFEHHAEPDLEVLLPKDFLEQTSFPGAIAKLWAPQVDGLVTAKEVEANVRLGMESEIDSELRARVTVNMGAMVVA >LPERR05G19300.2 pep chromosome:Lperr_V1.4:5:17715009:17720279:-1 gene:LPERR05G19300 transcript:LPERR05G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVRHLPGSCVTWTADAMKKNNVVLYPCTAVGHLTPMMELAKVFLERGYTVTVALLDDPLNTPIMSANIERVIASHPSVSFYWLRGAVTFSVASDEHFLVSSTSYNTTTSNSVVCSALSLFPRPCTLFTDVLCHQVIDVPRKLGIPVYGFFCSSVGALAIHIQLPSLHAKSKASFGELGDTPLELLGVPPLPASHVPEHLLPHRESKIYKKFMGMCKKALEFDGIMVNTFESLESKMVEALGDSTGILPGRVLLSVYCVRPLVKHGVSAEKHHCLTWLDGQPDHSVVFLCFGSKGTHQQEELKEIAVGLEKSGHRFVCVVQAPRSTNPTKFLEPRTDPDLEVLLPEGFLERTSGRGVIVKLWSPQEDVLRHRATGAFVMHCGWISVLERVTAGVPMLCWPLYAEQGINRVLMVEEMGIALKMHGWQQGLVTAEKVEAKVRLVMESETGNELRARVMAQMEAAAMALTEGSSSHVTFARFLSSKLAMRTKTFVLYPSLGVGHLNPMVELAKHLRRHGLDVIIAVIDPPDNDATSADAVARLAAANPSITFRLLPAPPSSSPDAAVAHPVKRSHDTLRLANPVLREFLLSLPAAAADVTLLLDMFCVDALDVAAELAIPAYFFFASAASALAVFLHLPYYYPDAPSSFRDMGDSLVQFPSAPPIRAVDMLATVQDKESDPTKVRLYQFKRMTEAKGVLVNTFDWLEPKSLKSLAAGVCVPDKPTPRIYSIGPLVDAGKNGVESRHPCVAWLDAQPKRSVVFLCFGSKGAFPAAQLKEIAHGLESSGHRFLWAVRSPPEEQTTSPEPDLELLLPAGFLERTKGKGMVVKNWVPQAEVVRHDAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQALNKVFMVEEMGIAVALDGYENGGLVKAEEVEAKVRLVMETEEGRKLRERLVETRDMALDAIRDGGSSQVAFDEFMRDLEKNSLDNGACN >LPERR05G19310.1 pep chromosome:Lperr_V1.4:5:17726756:17734417:-1 gene:LPERR05G19310 transcript:LPERR05G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKTMVLYPGLAVSHFLPMMQLADELLKHGYDVTVALIDSAFQQLINFPATVDRVASSKPTIRFHRLPRAKQSLSTITNDGDFLFLAYLDLVSRHNDCLHDFLSSMAPPGGVHALVVDSLFVEALDVAKRLNVPGYVFHAWNAGVFAIYLQLYLTRAEGQSSFRELGDTPLELPGLPPMPASHLIAEMLEDPESEVYKAIMDLFRRNIEYSNGFLGNTFESLEACVVNALKDGSPALPPFYCIGPLVEKRTTAERHECLAWLDRQPDRSVVFLCFGSTGMASHSVEQLREIAVGLEKSNQRFLWVVRAPIDSDDPEKEYDPSAEPDLDAVLPDGFLQRTSGRGIVVKLWAPQVDVLRHRATGAFGLVTAEKVEAKVRLVIESEVGRELRMRVTAHMEAAAVAWADDGKSRSWTTYGYAVTVALINPAFQQQINFPATVDRVVSSKPSIRFHKLPRVELSPATAADDDGDVFLLGYLDLVRRHNECLHDFLRSMPPDGIHALVMDSLSVVALDVAKRLDIPSFVFHSGNAGAFAIYLQLSSIHAEGDPSFGELGDTPLEIPGPPSMPASHIFDELLTHPESEVYKAVIDVSRRNAQNSNGVLVNTFESSEARVVNVLADRALPLFYCVGPFVEKAGERGHECLTWLHRQLERSVVFLCFGSTGAGNHSMEQLNEIAVGQRFLWVVRALPPLVAINDTQNLFDPCADPDLNALLPSGFLSRTSGRGIVVKLWAPQVDVLRHRVIGIEDEQVLMVEEMGVAVELVGSRVAAGACHGRGSGEEGEVGHGIRDRQCELRALITSLKEAVAVAWAIGGPSHLAFARMKTNNVVLYPCTAVGHLTPMMELAKVFLEHGYTVTVALLDDPLNPPVMSSNIEHVVASHPSVSFHWLHGAATFSVASDEHFLVRYFNLIRHNNEQLRRLLCSVLVPQAVHAIVIDVLCNQAIDVPRGLGIPAYGFFCSGASALAIYLQLPSLHAKSNASFGELGDTPLELLGVPPLPASHVPEHLLPHRESKIYKKYIDMCKKAPEFDGIVVNTFESLESRAVEALGDSTGFPPGCVLPPVYFVGPLVKRSGGSVSTEKHHCIAWLDGQPN >LPERR05G19310.2 pep chromosome:Lperr_V1.4:5:17726129:17726754:-1 gene:LPERR05G19310 transcript:LPERR05G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWPLYAEQKMNKVVMVEEMGIAMELVGWQQGLVKGQEVEARVRLVMESNAGNKLRKQVMAHKERASMAWTDGGMSRVAFARFLSNADSQRMHINDKLMDHGYAVTAALIDPTFQQQINFPTTVNHVVSSKPAIRFHKLPRIELSDNRH >LPERR05G19320.1 pep chromosome:Lperr_V1.4:5:17734442:17737110:-1 gene:LPERR05G19320 transcript:LPERR05G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFVTFHVMVTPALSSSSSHRNVSEHNRAMNTSLHDYLQNSGENTTQYPTSTEK >LPERR05G19340.1 pep chromosome:Lperr_V1.4:5:17739181:17747042:-1 gene:LPERR05G19340 transcript:LPERR05G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARQFSQDLTKRFTRVPSSAGVDSGGHAAARDPRLAARDARRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMMESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLALRKRSPIRKISTKVSYYLEDNWKRLWVLALWIGIMAGLFIWKFMQYRNRYVFHVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLINSSEEKYAPLEKYFGEIKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEYLYLIRIWYKKTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEVSGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQSNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELSDLCHDFNGRSTSKFEFHKEHF >LPERR05G19350.1 pep chromosome:Lperr_V1.4:5:17747240:17747470:1 gene:LPERR05G19350 transcript:LPERR05G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSCRSSVTSTSSSPSSELRSDPRGDDPVLSDRGVVTPDPHCGRTGVLPPPPPAPLALINPSPFLRRSSLQLAR >LPERR05G19360.1 pep chromosome:Lperr_V1.4:5:17750936:17751511:-1 gene:LPERR05G19360 transcript:LPERR05G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLACIL >LPERR05G19370.1 pep chromosome:Lperr_V1.4:5:17752995:17755983:-1 gene:LPERR05G19370 transcript:LPERR05G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLQAPDAYLASSSSTSLGSMAAAGDDWAASLLIMSGSSTAAVESSTATTTTAGGSSGGGVTEAAVRRGKKAGGGRGRTPRYAFHTRSENDILDDGYRWRKYGQKAVKNSDFPRSYYRCTHHTCNVKKQVQRLAKDRGIVVTTYEGVHNHPCEKLMEALSPILRQLQLLSQL >LPERR05G19380.1 pep chromosome:Lperr_V1.4:5:17758087:17760427:-1 gene:LPERR05G19380 transcript:LPERR05G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARETHEPISLTFYNQKRHQSIKNCYKERRIPAAMSCSEQLVWVQGPIIVGAGPSGLAVAACLKEKGIDSLVLERSSCLAPLWQLKMYDRLSLHLPRQFCQLPLFPFPSNYPNYPTKQQFVAYLENYAARFGVHPMYNHEVVCAEYDEQLMLWRVRTQTTGMLEDVEYVSQWLVVATGENAEPVLPMIDGLEEFQGTAIHTSAYKSGSKFTGKAILVVGCGNSGMEVCLDLCNHNAYPHIVVHILPREMLGQPTFQLAMWLLKWLPTHTVDQILLLMARAILGDTARFGLKRPRLGPLELKSLSGKTPILDIGTLAKIKSGDIKVRPAIRKIVGQQVIFMDGRSEQFDTIVLATGYRSNVPCWLKDKGLFSEKDGLPRKAFPNGGKGERGLYSVGFSRRGLMGTAADARRIAHDIDMQWKARERRPA >LPERR05G19390.1 pep chromosome:Lperr_V1.4:5:17766052:17771195:1 gene:LPERR05G19390 transcript:LPERR05G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALLRRHCLAAATGANPTLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFAILIREQRKLYQRQEEEVVKEVRQEDVDAKQQEEKLKEYQTAAKRLDNALLVLRRFISTGNELRSPVTKDEIVSEVARQLNINIHPDNLHLPSPLASLGEFEVPLRLPRDIPRPEGKIQCTLTVKIRRK >LPERR05G19400.1 pep chromosome:Lperr_V1.4:5:17769278:17772127:-1 gene:LPERR05G19400 transcript:LPERR05G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPLSPMGSRDRDRELLIPVSGGGMAPGDGDGDGDRAASSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQSYTGQEELYGVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQTILGDRPGASRS >LPERR05G19420.1 pep chromosome:Lperr_V1.4:5:17780399:17782946:-1 gene:LPERR05G19420 transcript:LPERR05G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIAAVTGHASAAGFLLALCHDYRLMRSDRGVLYMSEVDIGLPLPPYFVAILRAKITSANALRDVVLGARKVKAPEAKEMGIVDVVCPTAAETAAEAIRLAEQLAARKWNGSVYASIRISMFPDACRSVGIALFGSVDH >LPERR05G19430.1 pep chromosome:Lperr_V1.4:5:17784848:17786980:-1 gene:LPERR05G19430 transcript:LPERR05G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMVVFLARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKEQDTFRHVVLVAAAFVLALIFNERFTFREICWAFSIYLEAVAILPQLVLLQRGRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWISWLSGLVQTGLYADFFYYYFLR >LPERR05G19440.1 pep chromosome:Lperr_V1.4:5:17787375:17790384:1 gene:LPERR05G19440 transcript:LPERR05G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDENSKKLKKPKPWKHTQAITPAQLTQMRDEFWDTAPHYGGQKEIWDALRAAAEAELSLAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIRDG >LPERR05G19450.1 pep chromosome:Lperr_V1.4:5:17797037:17802866:1 gene:LPERR05G19450 transcript:LPERR05G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAVAAQPDDRGDAAAGMGSSGGGEHMRGHAHLTNCIHLRHHHAHASSGRRQRSPTGDSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSKVAAEPEPDGDFDDRRSSRPSALKTLLDQLAENPQPKPPSRPPRRRFKRAAGRRPIDRAAVSVNSSSQEAVCGNRYIFGGASDGDEEEVEEEEMQRPQAATQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPRSASAARRSIAATSAAGSCGHHIDGSRSHPHFPVTARLPSSTSSDSDSLPLLVDGVRNGISRSFSGELGIFSNQTSELDSDFASEARSGQRSRGSQRGRHRSLTQKYSPKTFKDVVGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASLNQGLIACPEGDTGRSTAIDHNNIYAGSHGLPRNANLGVGSGNSMAGTRSGKRPGEHTPDSHVLSTGATRVNEVSRYSKTPTVQLMFSSRVNKSKAERSREQILHAFESVLTSAITLEIRYEPKDDARGGYVPAISPHPEVSASNMALRRSFTKHSSVSSGGESLIRRPKKYNMDHGANSNQTRWMQSDPHILTEGEIIEVGPSQVNWHGEPNNNAVATKERRDKIVWEQAALRSQDQENIVQGENMNNEYGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAISIAEKLEQENLRLEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLALCGRIAEQLPPKWLLSVSL >LPERR05G19450.2 pep chromosome:Lperr_V1.4:5:17797037:17802866:1 gene:LPERR05G19450 transcript:LPERR05G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAVAAQPDDRGDAAAGMGSSGGGEHMRGHAHLTNCIHLRHHHAHASSGRRQRSPTGDSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSKVAAEPEPDGDFDDRRSSRPSALKTLLDQLAENPQPKPPSRPPRRRFKRAAGRRPIDRAAVSVNSSSQEAVCGNRYIFGGASDGDEEEVEEEEMQRPQAATQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPRSASAARRSIAATSAAGSCGHHIDGSRSHPHFPVTARLPSSTSSDSDSLPLLVDGVRNGISRSFSGELGIFSNQTSELDSDFASEARSGQRSRGSQRGRHRSLTQKYSPKTFKDVVGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYVFAQERVRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASLNQGLIACPEGDTGRSTAIDHNNIYAGSHGLPRNANLGVGSGNSMAGTRSGKRPGEHTPDSHVLSTGATRVNEVSRYSKTPTVQLMFSSRVNKSKAERSREQILHAFESVLTSAITLEIRYEPKDDARGGYVPAISPHPEVSASNMALRRSFTKHSSVSSGGESLIRRPKKYNMDHGANSNQTRWMQSDPHILTEGEIIEVGPSQVNWHGEPNNNAVATKERRDKIVWEQAALRSQDQENIVQGENMNNEYGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAISIAEKLEQENLRLEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLALCGRIAEQLPPKWLLSVSL >LPERR05G19450.3 pep chromosome:Lperr_V1.4:5:17797037:17802866:1 gene:LPERR05G19450 transcript:LPERR05G19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAVAAQPDDRGDAAAGMGSSGGGEHMRGHAHLTNCIHLRHHHAHASSGRRQRSPTGDSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSKVAAEPEPDGDFDDRRSSRPSALKTLLDQLAENPQPKPPSRPPRRRFKRAAGRRPIDRAAVSVNSSSQEAVCGNRYIFGGASDGDEEEVEEEEMQRPQAATQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPRSASAARRSIAATSAAGSCGHHIDGSRSHPHFPVTARLPSSTSSDSDSLPLLVDGVRNGISRSFSGELGIFSNQTSELDSDFASEARSGQRSRGSQRGRHRSLTQKYSPKTFKDVVGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYVFAQERVRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASLNQGLIACPEGDTGRSTAIDHNNIYAGSHGLPRNANLGVGSGNSMAGTRSGKRPAPTVQLMFSSRVNKSKAERSREQILHAFESVLTSAITLEIRYEPKDDARGGYVPAISPHPEVSASNMALRRSFTKHSSVSSGGESLIRRPKKYNMDHGANSNQTRWMQSDPHILTEGEIIEVGPSQVNWHGEPNNNAVATKERRDKIVWEQAALRSQDQENIVQGENMNNEYGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAISIAEKLEQENLRLEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLALCGRIAEQLPPKWLLSVSL >LPERR05G19460.1 pep chromosome:Lperr_V1.4:5:17807891:17811935:-1 gene:LPERR05G19460 transcript:LPERR05G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPSPPLLTVSLRSASPSFSCSPRLTRPSVSARFARTGRWSDRRRRSGRTRGGKDLRIYAYAAEAEYGGAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATAINPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRMASVRWEKRQSKVLKKKQQRERREQQQQLGDGESTQEKVRTSHRDTDFQRQWAIKNEFRGKFPLAVVWS >LPERR05G19460.2 pep chromosome:Lperr_V1.4:5:17808393:17811935:-1 gene:LPERR05G19460 transcript:LPERR05G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPSPPLLTVSLRSASPSFSCSPRLTRPSVSARFARTGRWSDRRRRSGRTRGGKDLRIYAYAAEAEYGGAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATAINPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRMASVRWEKRQSKVLKKKQQRERREQQQQLGDGESTQEKVRTSHRDTDFQRQWAIKNEFRGKFPLAVVW >LPERR05G19470.1 pep chromosome:Lperr_V1.4:5:17814592:17818792:-1 gene:LPERR05G19470 transcript:LPERR05G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRSPSLAEEEEEEKGENFAMSSSGSESESLASRRSKKPKYSKFTQQELPAWKPLLTPGIVISAFSLIGVVFIPIGLASMAASQELVELVDKYDLECVPASDKVGFIQDSKTDKACTRTITVPKPMKAPIQIYYQLENFYQNHRRYVKSRSDKQLRHKDSASLVKTCEPEGTSEDGAPIVPCGLIAWSLFNDTYTFSVNKKTVQVNKKNIAWGSDKSSKFGSDVYPKNFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGKNNFIGVAYVAIGSISLLIALAFLVLSVVKPRTLGDPSYLSWNKESPDYPR >LPERR05G19480.1 pep chromosome:Lperr_V1.4:5:17820545:17820814:1 gene:LPERR05G19480 transcript:LPERR05G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIRFAPACVLLLLLVHCLPCEGRKLLPRGGEVMHFEGGLVLRVAGGSGGGETGVVETPPATATARFSASGRAERLMRSVPSPGVGH >LPERR05G19490.1 pep chromosome:Lperr_V1.4:5:17822736:17825359:-1 gene:LPERR05G19490 transcript:LPERR05G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLADRLAQGFTGLLHHHALPLPLPAKLIPFEIDLLPVVPFVGGGGSARRGAAAAATDLPAAAVASFVEIGGRLGQAGSELGAAVQQLARQIPVPFVAESARRSKREAIPPQPPAAAVAVKEGEVALSVERGEDRGLVSERAGDIGPLEVAAAAAAAATGGATVGSAGVVGAAGGDGLDDEEDGFGCEIGTIGNFKKSKGTVNISATYNTRHHDLETSVIARGDLWRLESSRGGLSSGNENAPLFLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNKMHSICPAIWSKHRRWMLMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGKCPGETRVSFSFKSKQGTRFTPMFQWPDNSFSFGVAQDLAWKKSGLMVRPNIQVSVCPTFGGSDPGVRAEFVHSLKEELNVMCGFSCSRHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLTVQLNGGFEF >LPERR05G19490.2 pep chromosome:Lperr_V1.4:5:17822736:17825359:-1 gene:LPERR05G19490 transcript:LPERR05G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLADRLAQGFTGLLHHHALPLPLPAKLIPFEIDLLPVVPFVGGGGSARRGAAAAATDLPAAAVASFVEIGGRLGQAGSELGAAVQQLARQIPVPFVAESARRSKREAIPPQPPAAAVAVKEGEVALSVERGEDRGLVSERAGDIGPLEVAAAAAAAATGGATVGSAGVVGAAGGDGLDDEEDGFGCEIGTIGNFKKSKGTVNISATYNTRHHDLETSVIARGDLWRLESSRGGLSSGNENAPLFLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGKCPGETRVSFSFKSKQGTRFTPMFQWPDNSFSFGVAQDLAWKKSGLMVRPNIQVSVCPTFGGSDPGVRAEFVHSLKEELNVMCGFSCSRHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLTVQLNGGFEF >LPERR05G19500.1 pep chromosome:Lperr_V1.4:5:17830749:17833737:1 gene:LPERR05G19500 transcript:LPERR05G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGQSGGGGGPPPFLIKTYEMVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAEAERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQTLEGRLMAMEQRQKNIVSSLCEMLQRRGGVVSSSILDADHFSKKRRVPKINLCLDDCAVEEQKVFQFDEIGTETPGLSPVLPVANGETFDKVELSLVSLEKLFQRASDACTAAEEMYHHGGTESSPAICPHAEMNTAPMETGINLQSPDGIHHSSPNTGHAHLCTTFTESPTTLVQSPELPMAEIHEDAHVTAEADVNSEFASSTDTSQDGTTETEVSHGPTNDVFWERFLTETPQCCLAESERREIKDCNGFHHREKVDQITEQMGHLASAEHTLHT >LPERR05G19510.1 pep chromosome:Lperr_V1.4:5:17834283:17837632:-1 gene:LPERR05G19510 transcript:LPERR05G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTFGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLSQVASVETPSSATEHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGQYNMKCRWSPGFPNHESMMHNNHGFGGESAIIETDDLSEKSTHVVKFEIQLYKTRDEKYLLDLQRVTGPQFLFLDLCSAFLTQLRVL >LPERR05G19520.1 pep chromosome:Lperr_V1.4:5:17845794:17847206:-1 gene:LPERR05G19520 transcript:LPERR05G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAASVALVLHLAAAAMAVVAVSAAMDPAEWETLLRVMEAVSSDRDWRSLGPDPCASPWPGLECKPVPSAAAAANSSAARMHVTRLDFGAPPSPSCKDGAAFPHLAFSLPHLQSLFLVGCFRNTAATAAARATPFTLPPAANLSSSSLQQLSVRSNPSLSGTLPPQLSSLRSLQVLTISQNALVGGEIPITIGELNNLVHLDLSYNSLTGKIPTQIGNLHTLAGLDLSYNSLTGSIPTQIGNLEFLQKLDLSSNNLTGVVPSTITGLKSLTFLALSNNRLSGHLPAGISGLKELEYLIMENNPMGVTLPPELGEIVKLQELRLANSGYSGEIPDSLGKLASLTTLSLEDNNLTGRIPVTLSRLKRMYHLNLSKNGLDGVVPFDGAFLRRLGRNLDLSGNPGLCVDGRAAAVQVDVGVGVCRRGGDVSAADAAAIALFRRDGFPLRFAGGRWPPSALVAVVLCCCCLLL >LPERR05G19530.1 pep chromosome:Lperr_V1.4:5:17855145:17855555:1 gene:LPERR05G19530 transcript:LPERR05G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELDEFEVLWPDTDELPPRVSSPAATVQLPSEPAPPPPRVVKQRWSRPVDVPVIRGSAGRWKEYDDYGRSVGDRKVVVVPPHLLLLLGSMRRPEEEEETAAATLPAFATRPCKRARDLRHLRNSVLRMTGFIEG >LPERR05G19540.1 pep chromosome:Lperr_V1.4:5:17858273:17858692:1 gene:LPERR05G19540 transcript:LPERR05G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDPPNPNSVDGVLIVTAPPPPPPSSSSAPVEIVSRRKRRSRPWASEFDMFDHETDDDDEDEEAMSMRKKMDDIVIVPPHAIVDRRRLRRGRTAAAAYSMCAGKGRTLKGRDLRNVRNLVLQMTGFIEK >LPERR05G19550.1 pep chromosome:Lperr_V1.4:5:17861862:17863387:1 gene:LPERR05G19550 transcript:LPERR05G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKIHLAAVAALFLGSLLPVSNAYGRHVAPAPAPFPSPPYTSPPPTPSRIQPVVIVQGTIYCKSCKLSGYNRYMDASPLPNATAQLVCYGDKVLNMTSTRSDKNGYFLVMVYKLDAFRRSRCRVYLGSSPSPLCATPYVPSNKWLGLTLERERVASLPKGVRGVYRAKTTLMFGPATAGKCPAAAAPAAAGAGAGVPMM >LPERR05G19560.1 pep chromosome:Lperr_V1.4:5:17866723:17867510:1 gene:LPERR05G19560 transcript:LPERR05G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVKLVVLSLAAAVLLTLDDGTASAMGLPPPPPTVNFSIGVQGMVWCKSCRHGRRRTATIRGVTGSGGYFRIETSQLTSFTSQECKVYVPSSPSRACAVAGHGRRGLPLKFEEFVKRDNGLLGLYSVGNFIFSPKYPNKCY >LPERR05G19570.1 pep chromosome:Lperr_V1.4:5:17869945:17878843:1 gene:LPERR05G19570 transcript:LPERR05G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVAGSLGLRSGSYGSLPSVGGGAGGGRTAAGAGPGCCCSSPLPPPPSSAPSSPSSKVEYASIDQILMHTNGLNDDNASMLIANNYEVVNTIQNSVYPSMMRPLVTSSDQYSASSVNKIELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCAVCYVSVDQAFALMPLQPSPSPVLKNLSYAFEDVTANFSNQGSVFGGHPSLEQRNKSFDINESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEQCHEETEAHVKNSTSLYRNNKIGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERNAMILIFDGCIESDCNLSSTRFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNTSIDYQIDFYRNEGLTHYSPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKVGWMPQMFLDCERRNFVLQAYHRELLEQMIASGWKPPSVASEPSRKLRLGRRKTPSKKPSMKRKRVKKSSLWRRLPKPITGMTDGTV >LPERR05G19570.2 pep chromosome:Lperr_V1.4:5:17869945:17874827:1 gene:LPERR05G19570 transcript:LPERR05G19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVAGSLGLRSGSYGSLPSVGGGAGGGRTAAGAGPGCCCSSPLPPPPSSAPSSPSSKVEYASIDQILMHTNGLNDDNASMLIANNYEVVNTIQNSVYPSMMRPLVTSSDQYSASSVNKIELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCAVCYVSVDQAFALMPLQPSPSPVLKNLSYAFEDVTANFSNQGSVFGGHPSLEQRNKSFDINESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEQCHEETEAHVKNSTSLYRNNKIGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERNAMILIFDGCIESDCNLSSTRFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNTSIDYQIDFYRNEGLTHYSPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKVGWMPQMFLDCERRNFVLQAYHRELLEQMIASGWKPPSVASEPSRKLRLGRRKTPSKKPSMKRKRVKKSSLWRRLPKPITGMTDGTV >LPERR05G19580.1 pep chromosome:Lperr_V1.4:5:17883093:17888914:-1 gene:LPERR05G19580 transcript:LPERR05G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGSVSRGARQNAMRSGLVVLGAVAFGYLSFRVGFKPYLDRAQEAMDDTTHDPASSYAPDAAQLDHRGEGEDLGTSKDPAVINDTIGTLAGGRYDDNDVIIAVILGTGTNAAYVERANAIPKWHDLLPKSGNMVYEKLISGMYLGEIVRRVLLKMAEEASLFGDEVPPKLKIPFIISFQSSINKYAFLEKSQSSMHIHKKTKSFCLIAVMYPVKDSRHPMMHGDGSPDLRTVGAKLKDILGVFTLTFSAVTQADLYQLIHRRISTQFVGPKHLTNSLKTRRLVVDVCDIVAKRAACLAAGILKKLGHDIPSTNKQRTVIAIDSGLYEHHTIFSECLESTLRDMLVDELSSTVVIKLGKNGSGSETLQEKYCLNDGCNADFESSFSVEGKKSQGATTTARRRRPLRVLSGNRTPHPPPGSRLRKPAAAAAAPPFTPTAACAQPPPAASDAAALDRLLLARSDLAGLVSQIDELVCSTLQCQTVSTKGKQEIESFSCFLSDTNSSLKQWTSRLKLALQASPEKSKNVSKFTSGTCSVPATKVNDRLLCGNSINLEDPDLIVSPSPLVSWRTGACMVDSGKQLFLLTPLPKTKVCSSRCPKSSAVQLKNATSLDQLNLPNLPVLKLTISDDDDHLNREQSLKANEADTCVMTPHFIKAKKGSSENSLFSPFSFSVQKSGRALPSPCLRTTLSCKQQRFSPISEGSRKEDIPSTGPTQSNKSSEASDEMLSNEISKDLASRYPDFYGFNRPATTYRRREADDTLDWYLSPLKTCVLMKLSDEKPIEPPARDGKPFVDTPCKALESDNLQKIKELSDDKPIQTPSVHSRALLGTPWKGLESDVLKKGQGISDDKPIQTPAMHNTALLGTPWKGLESTNRKGRQAGETTLKRELWTRFEAVSTNELHFDRSVFERSDGRRFIDILEEEAS >LPERR05G19590.1 pep chromosome:Lperr_V1.4:5:17890401:17892328:1 gene:LPERR05G19590 transcript:LPERR05G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGDGFYGNNTGTREHTLYPYVTGTSVIAMKYKDGVIMACDTGASYGKTLRYKSVERITVVGKHSLIGASGELSDFQEILRCLDELTLIDHMCDDGNSMGPKELHSYLTTLMYNRRNKFDPLWNSVVIGGLKKGSHGDEKFLGMVNMIGTHYEENYIATGFGNHLAMPIFRSEWREDMTFEEGVKLVEKCLLVLLYRDPTSINKFQVAKITSEGAAIYPPYSLKTYWGFSAFKNPTKDSSIEGSMKRLSLSDPAQTFEHFVAVSRNV >LPERR05G19600.1 pep chromosome:Lperr_V1.4:5:17894190:17897722:1 gene:LPERR05G19600 transcript:LPERR05G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGGDDNDDPAQLLAKAAVAAQKAEAKKPAAGAAAGKGAQPAAAAAKFPTKPAPPSQTVRESRDVSRGGFGRGERGRGRGGRGYGQNRDFGGEEMNGFQGGYGGSRAGGEEGAQERGPRQPYQGSGPGGRRGGFRDSGYGDDSERVPRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEFLAQETGEALKLDEKAPVPEKQGPLEDAPQADENKDNKDATPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLKSMQPLSNKKGNDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYEGHRSTAPPAPEDRSQFPTLGGK >LPERR05G19610.1 pep chromosome:Lperr_V1.4:5:17897584:17898123:-1 gene:LPERR05G19610 transcript:LPERR05G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSKIIVTSRSEKIARFGTTGAVRLKCLPTEAYWYYFKVFVFGSADPEEHPKMTSVATDVAAELRGSLFFSHVVGALLRVHFDDHFWRRVLECTREYMQNNLLLTSEYPHELKTDKNHPRFAWRIREPKPVKRLLIYDSSRKDSEEAEVPNVTLIATSVAVLSNCIFQMLSRCQFTL >LPERR05G19620.1 pep chromosome:Lperr_V1.4:5:17900515:17903710:1 gene:LPERR05G19620 transcript:LPERR05G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCAFEIDHIKPHKLRGGNGLNNAQILQMLANRSKGGDEISGDDLKKLAWKVDWQRAYNGILPQILPPGQLHGLQVIKTLTHFLRTPAQDSETSPAQDFINSDGITMNKLPVEGDKSFPVDVLRI >LPERR05G19630.1 pep chromosome:Lperr_V1.4:5:17906578:17908518:1 gene:LPERR05G19630 transcript:LPERR05G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFYIFRFEARTVHGWNSYVHKDLYALAQAKKQRDLGKDVCDWYLQQELDPNSDGGDPVSEDWESMLNLPPLAVRIANVIPVLCPNYQVSLPAHHHGLPNYSAQLSVVMKLSCSHLYEVID >LPERR05G19640.1 pep chromosome:Lperr_V1.4:5:17925130:17926857:-1 gene:LPERR05G19640 transcript:LPERR05G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGHPNAHEPDSAAAAGGGANHSNNNNHHHHPPPPRPLPAEVVPAFPPPESEDEESWVWSQIKAEARRDADEEPALASFLYATVLSHPSLPRSLSFHLANKLASSTLLSTLLYDLFLASLTASPTLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRRNGDSERDEDMPGESMDHTSFIRQWSSGSNVASFSSCAFRISFSF >LPERR05G19650.1 pep chromosome:Lperr_V1.4:5:17931037:17938309:1 gene:LPERR05G19650 transcript:LPERR05G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSAVAAAGAEAAPLLYSRRIAPSCPTVVSARRRLVVSCRARRRSLRTAAELPRKSTTNAKPNNGANIQRDEASVSTDKERQEKYEDENGISNLQLEDLVQMIQNTEKNIMLLNQARLQALDHVEKILKEKEDLQRKIKILETRLSETDAQLKLSTEGKFTAEINDSLPILELGNTKEKNMILKDDIQFLKTMFIEVAETEDGIFQLEKERALLDASLRELHSRFIDAQADMMKPGPRQYDTWWEKVENLGDLLETTANKVETAAMVLGRNHDLEDKVDRLEASLAEANRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDIFLKMTLSGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVLVQSYFEGKLFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKVDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVEELDREDRMRDNNSHGRINVVKGAIVYSNIVTTVSPTYALEARKEGGRGLQESLKIHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDIQGKVANKAALRKQLKLSSTYASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGIAEHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPMELRNGFTFVNPDEKALSGAMERAFNYYTKKPDVWKQLVQKDMRIDFSWDSSASQYEDIYQRAVARARAAA >LPERR05G19650.2 pep chromosome:Lperr_V1.4:5:17931037:17938653:1 gene:LPERR05G19650 transcript:LPERR05G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSAVAAAGAEAAPLLYSRRIAPSCPTVVSARRRLVVSCRARRRSLRTAAELPRKSTTNAKPNNGANIQRDEASVSTDKERQEKYEDENGISNLQLEDLVQMIQNTEKNIMLLNQARLQALDHVEKILKEKEDLQRKIKILETRLSETDAQLKLSTEGKFTAEINDSLPILELGNTKEKNMILKDDIQFLKTMFIEVAETEDGIFQLEKERALLDASLRELHSRFIDAQADMMKPGPRQYDTWWEKVENLGDLLETTANKVETAAMVLGRNHDLEDKVDRLEASLAEANRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDIFLKMTLSGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVLVQSYFEGKLFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKVDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVEELDREDRMRDNNSHGRINVVKGAIVYSNIVTTVSPTYALEARKEGGRGLQESLKIHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDIQGKVANKAALRKQLKLSSTYASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGIAEHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPMELRNGFTFVNPDEKALSGAMERAFNYYTKKPDVWKQLVQKDMRIDFSWDSSASQYEDIYQRAVARARAAA >LPERR05G19660.1 pep chromosome:Lperr_V1.4:5:17939073:17942351:1 gene:LPERR05G19660 transcript:LPERR05G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARMAALAAPRAYAAAAAATATASPAPYGGARAPAPAPGTSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNK >LPERR05G19670.1 pep chromosome:Lperr_V1.4:5:17942690:17944930:1 gene:LPERR05G19670 transcript:LPERR05G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQMQAKACEATRYAAKHGCAYHRALMEKNKQHVVDPPTVERCQELSKQLFYTRLASIPGRYEAFWKELDQVKNLWENRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTFTGYHV >LPERR05G19680.1 pep chromosome:Lperr_V1.4:5:17950082:17955710:1 gene:LPERR05G19680 transcript:LPERR05G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFARRLLTSRSGAASRLSAAVFSSSSYSSATRSPPPLRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYNESSNKCSMKSSVCGDVSKSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGEDVESIISNLLAAANFNVEATERGIVYIDEVDKLIKKVECHEDGRDVSGEGVQHALLKIFEGTVINVPRKRNLDNISDGYVEVNTKNILFICGGSFSGLGKIVSERHQNCHLGFGLSTSTDMRNCGWTNALGESCYVETIENDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAAARQTGARELRSIMEDILTEAMFEIPDAKEGKEKIIAVLVDEESIGPLHSRGCGAKIFRDDGALELYAYQNNITLPGLIQGNPKRTLIFRICLLVALSATKLWVYHTFHCFSSIYEWVASILCKANIFTQ >LPERR05G19680.2 pep chromosome:Lperr_V1.4:5:17950083:17955710:1 gene:LPERR05G19680 transcript:LPERR05G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFARRLLTSRSGAASRLSAAVFSSSSYSSATRSPPPLRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYNESSNKCSMKSSVCGDVSKSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGEDVESIISNLLAAANFNVEATERGIVYIDEVDKLIKKVECHEDGRDVSGEGVQHALLKIFEGTVNTKNILFICGGSFSGLGKIVSERHQNCHLGFGLSTSTDMRNCGWTNALGESCYVETIENDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAAARQTGARELRSIMEDILTEAMFEIPDAKEGKEKIIAVLVDEESIGPLHSRGCGAKIFRDDGALELYAYQNNITLPGLIQGNPKRTLIFRICLLVALSATKLWVYHTFHCFSSIYEWVASILCKANIFTQ >LPERR05G19690.1 pep chromosome:Lperr_V1.4:5:17954300:17956231:-1 gene:LPERR05G19690 transcript:LPERR05G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPLSARRLVPALFPLAHTSPASAAASRRARRRDAFVATPPTPPPSPSSVRLAEPLPSLAPSRLALHNRILELLISPKSDDDLAEAALFTRHALHSNCRPSSFTCAAVLAALLRARRLEEFFALHRFALQAAVPPTAVTHALYLSALAARGLPDAAIHHLRIISRPRSPVPPSPTAYRVVVRSLVVDHGRLSDGIQLKDEMLESGFVGPDPQVYNILMAGLVEAGDGAKAVELYDELKEKVGGELIVDGIVYGSLMKAYFLMGMEEKAMDCYREVIGAESEVRFDAESYNGVVDALGQNGRLDDALELFEIMRREHDPPLRISVNVRSFSVMVDAYCAAGRIDDAIAVFRRMGECEVVADVAAYNNLIRHLSLQRLVSEAELLYKDIEESGLKADEETHVLLMEGCFRVDRIDEGINYFDKMDELELKPDATAYHKIADGLIGHGMLDKARMYIDRMRVKEISPSTASYEALLKAYVAAARLDDSIGITKVILLDEKVAFSDEMKELLEGALCGEGRKDDITKLYEDVEKEKAEAAARAAEEKERADALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRRTENVTGEPAPDANIMDSEFQSRFGITSDGDGALQGISSGGETKEGEDETKGGDGQ >LPERR05G19700.1 pep chromosome:Lperr_V1.4:5:17957061:17959659:1 gene:LPERR05G19700 transcript:LPERR05G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSSSHFSRVFPNLLSPVSKPLKPPRHRRHRGVVVHTLSSSDGAADPSPPPPPPSMARLLAAALRGGRGEGELGDLRAGIGTLLMSTTAAAVTKARENPYILALAANPTFVSGMLAWAVAQAAKVVLASFVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVGDSLFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGALLGIMVAWFCCQGCIVPI >LPERR05G19710.1 pep chromosome:Lperr_V1.4:5:17964549:17966775:1 gene:LPERR05G19710 transcript:LPERR05G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFIKRPQGYEEPAVLAAQTAFTVNEVEALRELYNKMSYSIIKDGLIHKLYDLRGTGYIEKEELREMVLALLDESDLHLSESAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMSLPYLQDITMAFPSFVVHSEAYD >LPERR05G19720.1 pep chromosome:Lperr_V1.4:5:17969158:17972913:-1 gene:LPERR05G19720 transcript:LPERR05G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLRIGRALDCFSFSLCTSTCLCLSTLEDEEEEAMERKALVSSNQVDQVIRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCARKVQKHISRMEGVTWFEVDLERKKVVVTGDVTPFEVLQSISKVKFAQLWPQLPTQPAPANVSI >LPERR05G19730.1 pep chromosome:Lperr_V1.4:5:17974530:17980536:-1 gene:LPERR05G19730 transcript:LPERR05G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKAETETEAEEEYESDLDDAPLPAVRRRAAASDDDEEEPSPLAKAGSDAESDGQGAAEEYDDDVYEDDEEYEEVYEEEFEQGRGGEGGVATKAVAAAGEEEGLKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGRGRQRRMVGNRRLWNTKEDQAWVHDRFDEMNLRDIHNDYTTRRPRGRFRGRGGGPGSRARGGSRGNFRGNRSQTYHPDSAKNYIYVPKESHNYHDNTKKVQRVLKEDGKNRTIKPPNPHDANNFEYVPKESRSLYGNTKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNIENISSSANLGKHQHQTSNSQPERVFAVKQTFASNLNSASPPFYPSRSSNQELSVSQRGNAQPSTTTRPFSSPIGMEHASPTPQYGPLLRGKAFVPSVGHVKLHAEVPMKGIDHPSLHSPTSSSTSQFSRTTNQVTGVQSPHSVVQQRLVQSFNQPTPKMSAQMFAAQFGSGDKMPSSTQSTTVLNESTEVSSPHGSNKSNTRLMIKGQHSDQGEEHASFMYSGAQVLGATGSLGDQNYHRTPALLPGQHSGGPGVPSIGMALPGFVSQQQLSLSGSEMTWLPILAGASGALGATYASPYIAVDGSYYPRASEHASSSVSLRELSAPSQLKSQETTEALNDELSQRQHKPRRKM >LPERR05G19730.2 pep chromosome:Lperr_V1.4:5:17974530:17980536:-1 gene:LPERR05G19730 transcript:LPERR05G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKAETETEAEEEYESDLDDAPLPAVRRRAAASDDDEEEPSPLAKAGSDAESDGQGAAEEYDDDVYEDDEEYEEVYEEEFEQGRGGEGGVATKAVAAAGEEEGLKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGRGRQRRMVGNRRLWNTKEDQAWVHDRFDEMNLRDIHNDYTTRRPRGRFRGRGGGPGSRARGGSRGNFRGNRSQTYHPDSAKNYIYVPKESHNYHDNTKKVQRVLKEDGKNRTIKPPNPHDANNFEYVPKESRSLYGNTKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNIENISSSANLGKHQHQTSNSQPERVFAVKQTFASNLNSASPPFYPSRSSNQELSVSQRGNAQPSTTTRPFSSPIGMEHASPTPQYGPLLRGKAFVPSVGHVKLHAEVPMKGIDHPSLHSPTSSSTSQFSRTTNQVTGVQSPHSVVQQRLVQSFNQPTPKMSAQMFAAQFGSGDKMPSSTQSTTVLNESTEVSSPHGSNKSNTRLMIKGQHSDQGEEHASFMYSGAQVLGATGSLGDQNYHRTPALLPGQHSGGPGVPSIGMALPGFVSQQQLSLSGSEMTWLPILAGASGALGATYASPYIAVDGSYYPRASEHASSSVSLRKM >LPERR05G19740.1 pep chromosome:Lperr_V1.4:5:17983271:17989995:1 gene:LPERR05G19740 transcript:LPERR05G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGQSKLQHKKVATPRAADSGSKTTTNVQLEISKSLIDFDSDFEPHKVGSQTEVQKIPPLPDVGWATFDDTTPKKATAISISSTNSLEGVPYSVSALQSSFGTRQNTKSLSFPHANHGSQQNQLFSPPANNIQSFNPPLNRATSAPVNSQWWGAASQASTQGSQALPSNHGFAGTLASQKPAVDTTSSRGQALPEDISTMSYRPLYAATWDWRANPQLNMGYGQYSMQYPVRAANFPSLSSMQGALPDMGSTTLWPHVPFVGAVNSSLTTLHVPQPMKTNNNQFMVERAANVAYQMQNNSSPIGYQGVQGLATTGNAYGLSSAKAYLSVCWADSGYAFERRNPLEGAVKVPSASLPL >LPERR05G19740.2 pep chromosome:Lperr_V1.4:5:17983271:17989320:1 gene:LPERR05G19740 transcript:LPERR05G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGQSKLQHKKVATPRAADSGSKTTTNVQLEISKSLIDFDSDFEPHKVGSQTEVQKIPPLPDVGWATFDDTTPKKATAISISSTNSLEGVPYSVSALQSSFGTRQNTKSLSFPHANHGSQQNQLFSPPANNIQSFNPPLNRATSAPVNSQWWGAASQASTQGSQALPSNHGFAGTLASQKPAVDTTSSRGQALPEDISTMSYRPLYAATWDWRANPQLNMGYGQYSMQYPVRAANFPSLSSMQGALPDMGSTTLWPHVPFVGAVNSSLTTLHVPQPMKTNNNQFMVERAANVAYQMQNNSSPIGYQGVQGLATTGNAYGLSSGITQSSLPQVGGNPFA >LPERR05G19740.3 pep chromosome:Lperr_V1.4:5:17983271:17989320:1 gene:LPERR05G19740 transcript:LPERR05G19740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSMGPQYVCTSFSTFVCVSCSGMHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKDWDFQGQPLPDSSDVDGLRNFIKTIYVDRRYTGERIDDHRPQAKGSRDDTFRNSNIDSSRGVPRSPYGGTSEDNHGPRHSTTSTSEDQSNLQKNRTTTERENANTGKRQHLDGGGSSENNHKDMTKSVSSVVESSKKTNRILLPIRLPDPPRSHKATTSATPAETQKVATPRAADSGSKTTTNVQLEISKSLIDFDSDFEPHKVGSQTEVQKIPPLPDVGWATFDDTTPKKATAISISSTNSLEGVPYSVSALQSSFGTRQNTKSLSFPHANHGSQQNQLFSPPANNIQSFNPPLNRATSAPVNSQWWGAASQASTQGSQALPSNHGFAGTLASQKPAVDTTSSRGQALPEDISTMSYRPLYAATWDWRANPQLNMGYGQYSMQYPVRAANFPSLSSMQGALPDMGSTTLWPHVPFVGAVNSSLTTLHVPQPMKTNNNQFMVERAANVAYQMQNNSSPIGYQGVQGLATTGNAYGLSSGITQSSLPQVGGNPFA >LPERR05G19740.4 pep chromosome:Lperr_V1.4:5:17983271:17989626:1 gene:LPERR05G19740 transcript:LPERR05G19740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVSSVVESSKKTNRILLPIRLPDPPRSHKATTSATPAETQKVATPRAADSGSKTTTNVQLEISKSLIDFDSDFEPHKVGSQTEVQKIPPLPDVGWATFDDTTPKKATAISISSTNSLEGVPYSVSALQSSFGTRQNTKSLSFPHANHGSQQNQLFSPPANNIQSFNPPLNRATSAPVNSQWWGAASQASTQGSQALPSNHGFAGTLASQKPAVDTTSSRGQALPEDISTMSYRPLYAATWDWRANPQLNMGYGQYSMQYPVRAANFPSLSSMQGALPDMGSTTLWPHVPFVGAVNSSLTTLHVPQPMKTNNNQFMVERAANVAYQMQNNSSPIGYQGVQGLATTGNAYGLSSVNQPMAVRNMQGITQSSLPQVGGNPFA >LPERR05G19750.1 pep chromosome:Lperr_V1.4:5:17990696:17994451:1 gene:LPERR05G19750 transcript:LPERR05G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAAAGGGSSAAPSGVTAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKIVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDDAMQS >LPERR05G19760.1 pep chromosome:Lperr_V1.4:5:17997141:18002295:1 gene:LPERR05G19760 transcript:LPERR05G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALCCSKPAPSRLLSSSSAAATAAATAVSTFGARAGGANGIVSAVLEIVGPIELLLPSSEPARLYVRLLRRCARGGALAAGAAAVHGHVVKRGFASVSLVSNVLMDTYAKGGLIDACHHLFDEMPSRDVASWCTVIAAHASRGHCFEAIGLFKELLSSEAKPNQFVISSVLNACARSGVLEQGLMVHGFAVKSGLGTDRFVEVGIVDMYSKCGNVGYSFRMFNGIPVKSSVTWNAMISGFVENNCFMEAVELCQDMHRVGMAMDVVTLRVVAGVAAILGAFEFCRNVHVYALKVGLGRDCFVVSELIKSAGKAGETQYIKKLVPAVRRHTASLYSLAISGYHSNGCQGEAVKLAEVLLSSGFNLREGDLVTVLNICHSKKEVQQIHAYIFRTGNLSYTNVCNSLISIYSEIGSLIHAESIFKTMQSRDVISWTAVMAGCIKNLQYEKAFGYFSELRNSGEPLDQHSVANIINACTGLEDMYKGKQIHSLALKLGLLLSDFISASLVNMYAKCHHIEGAAQLFSNTLFPQNLVMVNAMISGYCWNFLPQKALLLFCREYRSGLCPDHFTFSTVLGACADIGAKGAGEQIHGHLVKIGSEYLDVVAGNAIINLYVKCGCIASACRFFHSMRGWNINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLHANRVTFARILRGCADLYAIRLGRQLHASIIKMGLISDVYVANALVGMYKKSEGWVESKRDSKETLAPEQDTKETEQRDIRSTLEEIGLFKLEEEKDVQTFADARKVYTRAASVLGHDLRTHSVIGNWKNDRVLLNHKNSEYQENGGEPVKLFALLQEDSMKSDKFVLLVITDNSNLKTKGAGFINAELVRRSGMPTLFKYSKERNYCGCHAHYLST >LPERR05G19760.2 pep chromosome:Lperr_V1.4:5:17997912:18002295:1 gene:LPERR05G19760 transcript:LPERR05G19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVELCQDMHRVGMAMDVVTLRVVAGVAAILGAFEFCRNVHVYALKVGLGRDCFVVSELIKSAGKAGETQYIKKLVPAVRRHTASLYSLAISGYHSNGCQGEAVKLAEVLLSSGFNLREGDLVTVLNICHSKKEVQQIHAYIFRTGNLSYTNVCNSLISIYSEIGSLIHAESIFKTMQSRDVISWTAVMAGCIKNLQYEKAFGYFSELRNSGEPLDQHSVANIINACTGLEDMYKGKQIHSLALKLGLLLSDFISASLVNMYAKCHHIEGAAQLFSNTLFPQNLVMVNAMISGYCWNFLPQKALLLFCREYRSGLCPDHFTFSTVLGACADIGAKGAGEQIHGHLVKIGSEYLDVVAGNAIINLYVKCGCIASACRFFHSMRGWNINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLHANRVTFARILRGCADLYAIRLGRQLHASIIKMGLISDVYVANALVGMYKKSEGWVESKRDSKETLAPEQDTKETEQRDIRSTLEEIGLFKLEEEKDVQTFADARKVYTRAASVLGHDLRTHSVIGNWKNDRVLLNHKNSEYQENGGEPVKLFALLQEDSMKSDKFVLLVITDNSNLKTKGAGFINAELVRRSGMPTLFKYSKERNYCGCHAHYLST >LPERR05G19760.3 pep chromosome:Lperr_V1.4:5:17997141:17998274:1 gene:LPERR05G19760 transcript:LPERR05G19760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALCCSKPAPSRLLSSSSAAATAAATAVSTFGARAGGANGIVSAVLEIVGPIELLLPSSEPARLYVRLLRRCARGGALAAGAAAVHGHVVKRGFASVSLVSNVLMDTYAKGGLIDACHHLFDEMPSRDVASWCTVIAAHASRGHCFEAIGLFKELLSSEAKPNQFVISSVLNACARSGVLEQGLMVHGFAVKSGLGTDRFC >LPERR05G19770.1 pep chromosome:Lperr_V1.4:5:18003432:18005982:-1 gene:LPERR05G19770 transcript:LPERR05G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHPINPCEVVRMLVANGVGRVKMFDADPWAAAALAGTGIQVMLAVPNDQLDHLAADPRRAHHWAERNVSAYLELGVDVRYVAVGNEPFLKSYNGSLINVTFPALKNMQSALDKLGLDVKAVVPLNADVYNSPENNPVPSAGSFRKDIHALMVDIVSYLHMNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEVGWPTDGDKNANIRYAQKFYDGFLKKMVRNIGTPLRPGWMEVYLFGLIDENQKSVLPGRFERHWGLLTYDGKPKFSMDLSGDGLDNFVGAEVEYLPAQWCVFNKDAKDKYKDLPAAVNYACSNADCTPLGYGSSCNGLSHEGNISYAFNIYFQTMDQDVRACSFGGLAKITTVNASQGGCLFPVQIISASERVVPLRFLPTFFLVLLVAVSVLI >LPERR05G19780.1 pep chromosome:Lperr_V1.4:5:18009171:18014874:1 gene:LPERR05G19780 transcript:LPERR05G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEVYQGRWPAPVAAAEGRRVVVRVYGEGVEVFFDREAEVRTFECMSRHGHGPRLLGRFPNGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKSVLLWDRLRNWLKTAKDLCPSDEANEFSLDRMEKEITGLENELSEDYQFIGFCHNDLQYGNIMIDEETKQLTIIDYEYASFGPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTDEQKKFVQSYLSSSGEEPDAEEVNSLIKSIEKYTLASHLVWGLWGIISEHVNDIDFDYKEYARQRFEQYWLKKPAILTCQVVE >LPERR05G19810.1 pep chromosome:Lperr_V1.4:5:18033547:18038421:-1 gene:LPERR05G19810 transcript:LPERR05G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITEREEGFSYKYGDRTLQPITARLSHATVERKRNVSLLQLWEAGGGKRMAEQAREELDLSPQMKNLDEESVNRLNMSIEILKSTKRTRFMQVKEYGDKLIELISVVNTHAELDIVDVIGLIDASSVEDVIEKRALSPSLLSKVKAEVSRLEQVKAKDLESKILRKKDKIRALMRKTHLFEKDLNIILLKKDMAKVLLEKVEKWENNAPKFSYDAEHLMPLLLFLNNDGHRQEHKGQKPARVLLPLLPLFPRYPRTPRMPVAAAASPVPAVPLELTDVSELPVPAADATTLPQYSCIAIG >LPERR05G19820.1 pep chromosome:Lperr_V1.4:5:18038679:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTSNQYNTCFWMLVKSGKSENEAHQILKGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.2 pep chromosome:Lperr_V1.4:5:18038679:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKDLSFGKSISTFSEKLVTTLKKCSINLTGVSGREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTSNQYNTCFWMLVKSGKSENEAHQILKGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.3 pep chromosome:Lperr_V1.4:5:18039158:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTSNQYNTCFWMLVKSGKSENEAHQILKGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.4 pep chromosome:Lperr_V1.4:5:18038679:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTSNQYNTCFWMLVKSGKSENEAHQILKGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.5 pep chromosome:Lperr_V1.4:5:18038679:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.6 pep chromosome:Lperr_V1.4:5:18038679:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKDLSFGKSISTFSEKLVTTLKKCSINLTGVSGREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.7 pep chromosome:Lperr_V1.4:5:18039158:18044128:1 gene:LPERR05G19820 transcript:LPERR05G19820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19820.8 pep chromosome:Lperr_V1.4:5:18038679:18046147:1 gene:LPERR05G19820 transcript:LPERR05G19820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFESRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDESALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLLQQFQINYDDELAMFRKGSCVYRDKVETKVKTDRSGNPIKRTRLVITVANVDIIGPEFWEKHQYILREEKCRYEYVKKFDINHRIPPCNWTVVSINANQFEQFSLTHSFDKPNDETALRLMNASASLMLELFPDIVFGYGFSNEYSFVFQEKTELYQRQESLILSSCTSCFTSFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTSNQYNTCFWMLVKSGKSENEAHQILKGTLSKDKNELLFQQFQLNYNNEPAMFRKGSCTYRQKVEEYVEVEGSENITRERWDVIVEHVDLGMEFWRKRPYILSR >LPERR05G19830.1 pep chromosome:Lperr_V1.4:5:18043501:18048829:-1 gene:LPERR05G19830 transcript:LPERR05G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVHYARGCRVEESSDFQRWIGLAAWEIYFSAREIHDHHHRHDPAMAASQARCSGRREDQGLMQRWAETMMSCCGVDCGCCGALQQWRKVVMRKWLNVGAGLGDSDFSADECDTSDSEVDGEDMEQEPCGEDRRLGELSAGTIGGEIKSMPYRLRRRKSETLRSQYIDIRELRICVGTWNVGGKFPPNDLDIQEWLDKEEQADIYVLGFQEIVPLNAGNIFGPEDNRPVAVWEHIIRETLNKISPNKPQYKCHSDPPSPSRFKSSDYVMVMKDEMLSESDSDNYGELHPLIKQNEDKVHDRTYKNFSYGSSGRVHKGKDFSRMDSTKTSDQSHDFTDEKVRSNLEETTNQRTKLLCHSERPGMIWSEQPSDMMGHCLQASTSLNALATPVSLKSTEKSSNYSPSDQFSQEVYSDNEMVKSKRPHFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNSDVEEILQRTIFNPLPGLSMPKGILDHERIIWLGDLNYRINLSFERTHELISEQDWDGLFENDQLNRELSKGRTFDGWIEGVVSFPPTYKYEFNSENYVSDEPKSGRRTPAWCDRILSRGKGIRRGELKLSDHRPVTAVYMTDVEVLCHRKLQKALTFTDAEVEYHLATEEDRT >LPERR05G19830.2 pep chromosome:Lperr_V1.4:5:18043501:18048203:-1 gene:LPERR05G19830 transcript:LPERR05G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAYSPLLPRRDHHHRHDPAMAASQARCSGRREDQGLMQRWAETMMSCCGVDCGCCGALQQWRKVVMRKWLNVGAGLGDSDFSADECDTSDSEVDGEDMEQEPCGEDRRLGELSAGTIGGEIKSMPYRLRRRKSETLRSQYIDIRELRICVGTWNVGGKFPPNDLDIQEWLDKEEQADIYVLGFQEIVPLNAGNIFGPEDNRPVAVWEHIIRETLNKISPNKPQYKCHSDPPSPSRFKSSDYVMVMKDEMLSESDSDNYGELHPLIKQNEDKVHDRTYKNFSYGSSGRVHKGKDFSRMDSTKTSDQSHDFTDEKVRSNLEETTNQRTKLLCHSERPGMIWSEQPSDMMGHCLQASTSLNALATPVSLKSTEKSSNYSPSDQFSQEVYSDNEMVKSKRPHFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNSDVEEILQRTIFNPLPGLSMPKGILDHERIIWLGDLNYRINLSFERTHELISEQDWDGLFENDQLNRELSKGRTFDGWIEGVVSFPPTYKYEFNSENYVSDEPKSGRRTPAWCDRILSRGKGIRRGELKLSDHRPVTAVYMTDVEVLCHRKLQKALTFTDAEVEYHLATEEDRT >LPERR05G19840.1 pep chromosome:Lperr_V1.4:5:18054951:18059095:1 gene:LPERR05G19840 transcript:LPERR05G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASISPRSRRAARRMPPPPPPRRHLRIRGPRAPSPLHATSGGEGGGGDELHLLDKPSPSPSAEAEGELEEEDAVSEPEPAQAMSPEEALAPFLKFFQVRSGSGEPDEDAVMEDGGGVEEEYEEVEEEEGRAMDDGAGAGGRGVVYYDPKPGDLAVGVVVSGDRRTLDVDVGAGGDPSLMMAKEAVPMPGEEFEYLACDVASEDAARFAAEGKVGVVVNGGGGGDGRSGRGRGRGSPVVGVGTVVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFMDLKDNVGREVLVCITRMDEKANELIISEKEAWATTYLREGSLLQGTVRKLFPYGAQIRIGETNRGGLLHISNITHGQLTSVGDVLKVGEEVKAIVIKSSAPDRIALSTKDLESEPGLFLSNKEKVFSEAEEMAQRYRDQIAETHQPAELDSLDEVAPFDDEAVSYANWKWLRFSKSDKTNFKPRAESGL >LPERR05G19850.1 pep chromosome:Lperr_V1.4:5:18063677:18068551:1 gene:LPERR05G19850 transcript:LPERR05G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKASNNKGYAAAGKDTGFESSPVISEPVLVTPHNTEAVQEVGRGDNSSLQSEVVARDVSQDLEKQNIVVSDEPNDPDRLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRTTWLVVKFQALVRGRNARLSSDYQVNLKLVHEKSGGGKLDAWKEKLSSNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTIGRVWRPISHPKRAAVTDAKPHTRKASYAMETASGKLKRNSRKSSAAPVEPSQTNMTMEIEKSRRNPRKFTSSNTDSVPDTQLTELEKVKRSLRKVTNSMAEAPKVSSPAIEIPERQEVQSEKPLRDAQEPPSYPEIQERHSGNLSEDAKADILVPDLQPEPEATTYQVAPEENIEETTVAAPAVETMPLQDIHNEENALVNDMEQRSKEEPLSTESLKSSKRRSSFSTKTEYPENGSKNSPAVPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPAHAGGKEGVKGDKSMLSSRDASGERPTKAEWRR >LPERR05G19860.1 pep chromosome:Lperr_V1.4:5:18069355:18073159:1 gene:LPERR05G19860 transcript:LPERR05G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPTTAGPGLYSEIGKKARDLLYKDYQTDQKFTLTTYAANGAAITVASTKKNEAIFSEIQSQLKNNNVTVDLITTFTVHDLTPGMKGILSIPFPYQKSAKAEVQYLHPHAGLNASVGLHANPLVSFSGAFGTAAFAFGGDVAFDTESGNFTKYNAGLSHTTQDLTAALLLNNKGDSLAASYYHKVSQGSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALLQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >LPERR05G19870.1 pep chromosome:Lperr_V1.4:5:18073044:18074912:-1 gene:LPERR05G19870 transcript:LPERR05G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSIMMVKSEIETYSGSSPAMIINAPEGGAEVGPVVRRRRRDPSLLAPINGETNGGAIGKTSLTGITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPTPTPVAMNPEALAMQIPADHFIPMETHMMMIPQNNPFISDHNAPTLAGDGSGSSSSNQEASMMISPNGSRKRGSSTALSLLLKSSMFRQLVEKNPDAGEETGGEISRELGAHPGEGYEYHNFFQGVAAPEICDLLSSGNVHASSSVGFEGDIACFGDGDRTAWNGFGSMQSLQLQ >LPERR05G19880.1 pep chromosome:Lperr_V1.4:5:18076202:18082278:-1 gene:LPERR05G19880 transcript:LPERR05G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSRRRLAAAVLRPGPVALAASRWLHTPPFATVSPHEISGSNPAEGSWTTSGNWNWLVDPLNGEKFIKVAEVQETEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAEAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKIEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSETLKSGNFELVTREIFGPFQVVTEYSDDQLELVLEACERMNAHLTAAVEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWVVFYRSRDIIKSRQE >LPERR05G19880.2 pep chromosome:Lperr_V1.4:5:18076394:18082278:-1 gene:LPERR05G19880 transcript:LPERR05G19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSRRRLAAAVLRPGPVALAASRWLHTPPFATVSPHEISGSNPAEGSWTTSGNWNWLVDPLNGEKFIKVAEVQETEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAEAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKIEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSETLKSGNFELVTREIFGPFQVVTEYSDDQLELVLEACERMNAHLTAAVEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWVLPSAT >LPERR05G19890.1 pep chromosome:Lperr_V1.4:5:18083814:18085994:1 gene:LPERR05G19890 transcript:LPERR05G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALSTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNKRAIPEKKAKEWCVSKGNIPYLETSAKDDYNVDSAFLCIAKLALEHEHDQDIYFKTITEPATDTAEHTSGCAC >LPERR05G19900.1 pep chromosome:Lperr_V1.4:5:18094017:18095786:1 gene:LPERR05G19900 transcript:LPERR05G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHAALYHHDAAYSMSSYYSHGGSSTSSSSSSFSAALAAPPPPPPIADPSGGAQFDISEFFFDDDAPPPPQPPANVFNAAARATRSVAEVVPVPAPAAMERPRTERIAFRTRTEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >LPERR05G19910.1 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKINAFQQRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.10 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVQKLVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKINAFQQRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.11 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDFSLQSSKGLTIICLSLGPTKPTHSLVGHRENPQQLLASAKSKSYSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.2 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.3 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVQKLVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKINAFQQRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.4 pep chromosome:Lperr_V1.4:5:18099465:18119147:1 gene:LPERR05G19910 transcript:LPERR05G19910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLQVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAFRCAGSRSSSASRPSPSRSRRLASSRTGTSANRGTAANASSISALRPLVDAQPHTGAQSIK >LPERR05G19910.5 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLQVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKIKNGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.6 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLQVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKINAFQQRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.7 pep chromosome:Lperr_V1.4:5:18099465:18118247:1 gene:LPERR05G19910 transcript:LPERR05G19910.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQTRWRCHRDSSYYLKLKRSVLIYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLQVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAEKGQQPAGASA >LPERR05G19910.8 pep chromosome:Lperr_V1.4:5:18099465:18119147:1 gene:LPERR05G19910 transcript:LPERR05G19910.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAFRCAGSRSSSASRPSPSRSRRLASSRTGTSANRGTAANASSISALRPLVDAQPHTGAQSIK >LPERR05G19910.9 pep chromosome:Lperr_V1.4:5:18099465:18119147:1 gene:LPERR05G19910 transcript:LPERR05G19910.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASISDIHPKDTEASSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGDPSSFHYLNQSNCIKVDGISDSEEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNINFVKGREADSSVLKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENTNVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRILAPEFFKERTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVARQKFLMLRNTSVSFQSFVRAILACKLHLFLRKQAAALKIQKSAHCYFASKSFSELRSSAITLQTGLRAFGAYNEYICRKQKKASTDIQAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSKAAEISKLQATLREMERRVEETRAMQEKESAKKVVEEALVLEREKIALLTKEVEELKVLLQKEQEEKNAAKCAFSIAQERSDDLSKKVEVADENFKQLNDTLKSFEESTKGLETSLMMERLQNEANRREVGEARQRVEELLRQVAEANGKSTTLQTTVQRLEQSLIDKEATWLTERQENEANNKLLIEAHGRNEELLNKIEVAENDIAKFRGNTQRFEETAKTLETSLVAEKQHSAAIMSQIAEVKQGNEELQKKLADANRTNDLLQDSLKRFEDNATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINKLLENAQRLEKHATARESLLLKTKQSHDATTKALVEAERRNRELAKSFEESYMKINMLEDSVNRLEVHTAEKDSLLAIERQENNATKDELASAHKKITELVNDSQQLQEIGKHLEDTIKRLEEDVTTREALLLSEKQTNEATKRTLTETQLRNGELTNKFRDSDKHALQLQLTVERLQENASAMEALLLREREQSNASMKAHSESQERNSQLLKKFEDVDMKIGLLQGTIQRLGEQTTKDTLLLSERQEKDGLKKALTETKYRNEELVIKIEEANKKSEHLQGTITMLKENIAAQAATMEVERQENDRIKKSLVESQERNDELFKKVRDSEYRAQQLQDTVQKLVDAISRLSSFVMEKQESDAVKKALAESHGRNEDLIRRNEDLLNRNDDLIKKIEDSGRVVTELQGTLERIEGKAANLEAENHILRQQAIATPPSTAKSQAACSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVKQGSNPDLINQKDYENGDKMQRAHDEVYQHPQPQDDQQLLLQYITQHLGFSGSKPVSALLVYQCLLHWKSFETAKTGVFDSILQAINLAIEAQHDTRSLAYWLSNLSTLSVLLQRSFKTTRAAISVPHRRRFSYERIFQGSQTSNSGLAYFSAQAVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDQRTSHSNQAKASLSSACHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQIKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNVISGEFTSSMRTMMNEESNKATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLHFVHENQSFAFRCAGSRSSSASRPSPSRSRRLASSRTGTSANRGTAANASSISALRPLVDAQPHTGAQSIK >LPERR05G19920.1 pep chromosome:Lperr_V1.4:5:18116963:18119804:-1 gene:LPERR05G19920 transcript:LPERR05G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAICGEDEATRAACSAECVGGIERLDLGERREAVAAAAAGKRSVYLMDCAPVWGCASTRGRSAEMEDAFAAVPRFADVPVRLLASRRDLDGLGLDADELRLPAHLFGVFDGHGGGEVANYCRERIHAVLSQELSRLGKNLGEMSEVDMKEHWDDVFTKCFQRVDDEVSGRASRPVNGELRSEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLSRGKEPVALSIDHKPDRKDERARIEAQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVISKPEVTVIPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGSTSPLSDEGEGFIDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKLKNNS >LPERR05G19930.1 pep chromosome:Lperr_V1.4:5:18146515:18147066:-1 gene:LPERR05G19930 transcript:LPERR05G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSLEEKLRTYSCFQVHPWIPPIPAAPAPAGSGSISSTAGTGPDSTSAVSLVSPSREEVGKGSILISAETAGAGLNSAAAAGSGGEAPARKRTPGRWRRIPRKQPPPPPGERYDANKTLEELLAENEDRDEDDYFLTEEQTVLFRETKREFAKTCQILIDVKNGKSQLVFELPRPLRSTVC >LPERR05G19940.1 pep chromosome:Lperr_V1.4:5:18150705:18157347:1 gene:LPERR05G19940 transcript:LPERR05G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDITISVSITYSGYIVAVVVSTAGSVIVEQESSAMNKGLQLLIIFVSTRLAYTDVLKCFFEDRLLLSQQLFASEERYQKILDLIPDENVASELHNKWQGNRRSSISKEDANATRWEQLKSTLQSGKHKGLRRCIEEIVFSYSYPRLDMEVSKHMNHLLKAPFCIHPKTGSVCVPIDPDNCDDFDPTTVPTLSQVWPTAQNWERTSLEKSVRFFRTLFLQPLLKACKEELESSYNAKLQQSKNTLTW >LPERR05G19940.2 pep chromosome:Lperr_V1.4:5:18150705:18157405:1 gene:LPERR05G19940 transcript:LPERR05G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDITISVSITYSGYIVAVVVSTAGSVIVEQESSAMNKGLQLLIIFVSTRLAYTDVLKCFFEDRLLLSQQLFASEERYQKILDLIPDENVASELHNKWQGNRRSSISKEDANATRWEQLKSTLQSGKHKGLRRCIEEIVFSYSYPRLDMEVSKHMNHLLKAPFCIHPKTGSVCVPIDPDNCDDFDPTTVPTLSQVWPTAQNWERTSLEKSVRFFRTLFLQPLLKACKEELESSYNAKLQQSKNTLTW >LPERR05G19950.1 pep chromosome:Lperr_V1.4:5:18160020:18187692:1 gene:LPERR05G19950 transcript:LPERR05G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLMFMQREQRPPRRRRHVQARNERVASLAKRKGSPCQQEEDDCDGVKRMKNPWTYLPEDIWYHIHSLLPLQDAARTACVSHKFLRSWRCLPNLIFSEKTLGLNHSLEKDNVTRELNIMVDRIMKNHSGIGLRTFKLEFFNTCYLDCWLQIAITPSIEQLILMLVQEDKLKYYNFPFSLLFNKGGNSIKLLHLSRCAFHPTVGLDCLRRLYLHEVRITGDELGSFLSNSFALEHLTLRYCEVLNFLRIPCLLQQLSYVNVYNCKALQMMEIKAPNISTFRYVGNIEHLSGGDLLAVKNMDISSIFQNNVIHYACAKLPSIVPAIETLTISSNREMVNTPIAPLRFLRLKCLTITIDKLCGGFSKGYDFLSLAYFLDACPVLETFILTRVGMESIGVESLADVVRLVESRRGGDRDVRVIILAIEGLSEAGEVYSALDEKRKELSWKVENMEVVSRLSALYAPLFLAWGRLDGMKRHRNDGGIRSVKKEASQLLADANQTRRIHASALLGPLGEDPAAGAASSSVREAGVALGVRIARVGGKLRNTRKLNKRFITNFFNQVEEISEEIEEFVGRIDELLHWLNEGAHPANKKIITKNEKSVDISSRGYLVSYTFLIADARCCSYCLCVSHISTFMEMSSQVPNLTFSKETFGLNDSLEKDKAARELTIMGDHIMKKHSGIGLRTFRLEFLNTCYLDHWLEIAITPALEELILMLVQEDKLKYYNFPSSLLINKGGNSIKDLHLSHCAFHPTIGQRAEPELEEEKRVMEFAVAEAAHVHAEADTATAAAAADGLVASLAKQKGSSCQQEEDDNRGVEIMRYPMTFLPEDIWYHIHSLLPLQDAARTACVSHTFLRSWRCHPNLIFSKKTLGLNDNSSEKDKVTVVDHIMKKHSGIGLRTFKLDFCYLLNTCYLDRWLQIAITPAIEELVLMFLPNDKQEYYNFPCSLLFNKNGNSIKHLHLSHCAFHPTIGLNSLRRLDLWEVCITGDKLGCFISYSFALEHLTLAYCKELDYLRIPCQLEQLSYLNVYQCRSLQTMEVKAPNLSTFRYHGNIARLSDGGLLAVKNLHISSIFQDNNIHYACSKLPSIVPIIETLKIYSDRENVNTPIAPIRFLHLKCLTISLEELSGVFSPAYDYLSLAYFLDACPVLETFILTVFQTSMSHAVISEESSDLRQMPGLRHDNIKNVEIIGFCSAKSMVELTCHILENTTSLECLTLDTVCDKFKNPNRHSVHRIGLCSRIYGRMIVEAENALLAIKRYIVRRVPSTVKLDVLKPCSWCHTAKVVS >LPERR05G19950.2 pep chromosome:Lperr_V1.4:5:18160020:18187692:1 gene:LPERR05G19950 transcript:LPERR05G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLMFMQREQRPPRRRRHVQARNRRVASLAKRRGSPCQQEDNYQGYLVSYTFLIADARCCSYCLCVSHISTFMEMSSQVPNLTFSKETFGLNDSLEKDKAARELTIMGDHIMKKHSGIGLRTFRLEFLNTCYLDHWLEIAITPALEELILMLVQEDKLKYYNFPSSLLINKGGNSIKDLHLSHCAFHPTIGQRAEPELEEEKRVMEFAVAEAAHVHAEADTATAAAAADGLVASLAKQKGSSCQQEEDDNRGVEIMRYPMTFLPEDIWYHIHSLLPLQDAARTACVSHTFLRSWRCHPNLIFSKKTLGLNDNSSEKDKVTVVDHIMKKHSGIGLRTFKLDFCYLLNTCYLDRWLQIAITPAIEELVLMFLPNDKQEYYNFPCSLLFNKNGNSIKHLHLSHCAFHPTIGLNSLRRLDLWEVCITGDKLGCFISYSFALEHLTLAYCKELDYLRIPCQLEQLSYLNVYQCRSLQTMEVKAPNLSTFRYHGNIARLSDGGLLAVKNLHISSIFQDNNIHYACSKLPSIVPIIETLKIYSDRENVNTPIAPIRFLHLKCLTISLEELSGVFSPAYDYLSLAYFLDACPVLETFILTVFQTSMSHAVISEESSDLRQMPGLRHDNIKNVEIIGFCSAKSMVELTCHILENTTSLECLTLDTVCDKFKNPNRHSVHRIGLCSRIYGRMIVEAENALLAIKRYIVRRVPSTVKLDVLKPCSWCHTAKVVS >LPERR05G19960.1 pep chromosome:Lperr_V1.4:5:18191748:18193200:-1 gene:LPERR05G19960 transcript:LPERR05G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTSLRKFLHGIPRLPNLLCEADQLLAKGDASLANGVFFCAKPAGYGLHILAQLTNAAYVSDDGIEVVAVPRFLHRVEPGRGEGEGDEEVGEAVHDDEVHRLPAAFGLHLLERGRNLLGVGEAIEAADEDPHGAIAASRHDEIAQSLQRSDLYLLHLSLHPEKGLIAILDSLTLSRGEELRAEDAEEGGRLAGVRRKKAGKVGPTPTASPHLSHHERRAPAAAVELPYAYVAVAAPRNVSARRATGTGSPSPASSPRAEPPNPGTAPTPSSSRTGRWFGSYDGAWFFLAAERQAAARAQDHALVNPNNFQYRGRVCIVAAIIERVVAHGRSGGLTAIRGCECTTSSTTMATSFSSPTRRNTFSNVRKDWNQSSMEMTCTWHLQPRPRVDDDDELVLAGYLVQYRRKLLLVVRLSSEPLTRRRRRRRRRSGCSRKRRTRSTMASWSTPGV >LPERR05G19970.1 pep chromosome:Lperr_V1.4:5:18193767:18194450:1 gene:LPERR05G19970 transcript:LPERR05G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSRRQWANLSDEALFEIVRRIPCEFDRVCRTWRRALPRLKLPPPPPPLTRFNLPAPADPLLPGLKLLVAPPRIKFSPPHPPLPLLLLPEDDGHGFAFSCVLSESRAGAATKSECRTHPFSLPPVARRAHWFGSYDGAWLFLAAESQGARDRDHHLLVNLRNFQQINLPNEINMLVPEEEDEPPVMETGCIGIVAATLSRPPTEERCIVAAIIELPNAAHRIAF >LPERR05G19980.1 pep chromosome:Lperr_V1.4:5:18196907:18198136:1 gene:LPERR05G19980 transcript:LPERR05G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSLLRSAAAGLTVDALYLIAHRVPCEIDRLHMSRVCHSWREALTKTKPAPPAPPPPLQWLLLPKGADGERPPTFSCAVSSCRVHPFFLPRGAHRARCFGSYDGAWLFLAVDVDKGKGQAADHVLVNLNNFKFLDLPNAIRVFAGFRRDGLESIAIVAAVLSRPPTERGCIVDLRFSPRRVAFWRMGDWVISQRFEAWAWPPEGVDDLLYHDGYFLFLTTQEHVLECPEPIFYKDGVRVDSTLQRFQPRPRPRVDGDELILARYLVRSREKLLMVVRLSSSLRDDSPSPTTSSFRVFEKEEKSLDGGGSEYSWRELKKLEGRMLFVGRGCSRCYEEDNGYPGMEGVYFLDDRSFRNRISMGFDDDPPQLQYHCSDNGKWSADAQMVRRCFFPEQDLSDYSPPVWILP >LPERR05G19990.1 pep chromosome:Lperr_V1.4:5:18199777:18201500:1 gene:LPERR05G19990 transcript:LPERR05G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPRPRRRAAADLAAGVLLEIVSRIPCETDRIHMSRVCHPWRQALAKLDPPPPPPARPLPWLVLPNSTGGGGGGAAPTFSCVLSGCRNHPFHLLEGAHEARYFGSYDGAWLFLAVGGRAQRHVLLNLNYGRVRDIFELPDLARINPENPNDRAMAIVAATLSCKPTVKGCIAAGIIDSSPFLYAEGLVNRRIAFWRIGDQVVLPNIWMMPDEEFWGSSLTRHDDLIYHKGAFLFLSQQEDILALEEPPVFCDDGVQLFAETMSFLPRLHDDDEIIETVLARYLVVSRDKLLMVVRISNQRRRWLTSESDDDVVAQHLPTSKFRVFQKKEFNKGEKDEPAQDPPNQFKYYWSELEKLEGRMLFVGRGCSRSYEVENGYPGMEGVYFLDDQIFHEPIIGNDANEVPYLCSDNGKWTPDLKIDGCFPQRDRMVCSLSVQF >LPERR05G20000.1 pep chromosome:Lperr_V1.4:5:18202471:18202716:1 gene:LPERR05G20000 transcript:LPERR05G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKSGDYDDETVLARYLVVSREKLAPPGGQARPLDTGRQHLLMKSALQVFQKEDMPSEHVKEAELFENAVLQTTGGEHW >LPERR05G20010.1 pep chromosome:Lperr_V1.4:5:18203830:18210657:-1 gene:LPERR05G20010 transcript:LPERR05G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRSFQNLLLALVLCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIIPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPRSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIKPAIKLRSSDSTYLSMVDRWWGVLLPKVAPLLYSNGGPIIMIENEFGSFGDDKNYLHYLVQVARRYLGNDIMLYTTDGGAIGNLNNGSIFQDDVFAAVDFDTGSNPWPIFRLQKDYNLPGKSAPLSSEFYTGWLTHWGESIATTDASNTARALRRILCHNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIKEYGDVHNVKYKALRRVIHECTGIPLHSLPPEIERANYGLVRLQKVASLFDVIDNISDPLKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSFSILSIPKVHDRAQVFVSCSHGVIKNPRYVGIIERWSSNTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGITLRHWKMHPISLNAVRNLSKLQLIMQTTDAQASKVSIYGGSENKLRDVSLYLNEGISEGPAFYEGHFHIDSESEKKDTFISFRSWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVVVIFELHSPNPVLSIQLVKDPDFTCGH >LPERR05G20010.2 pep chromosome:Lperr_V1.4:5:18203830:18210657:-1 gene:LPERR05G20010 transcript:LPERR05G20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRSFQNLLLALVLCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIIPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPRSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIKPAIKLRSSDSTYLSMVDRWWGVLLPKVAPLLYSNGGPIIMIENEFGSFGDDKNYLHYLVQVARRYLGNDIMLYTTDGGAIGNLNNGSIFQDDVFAAVDFDTGSNPWPIFRLQKDYNLPGKSAPLSSEFYTGWLTHWGESIATTDASNTARALRRILCHNGSAVLYVCDWSLFISAIHLHFIGAIHFHFSSLIVFNEIQMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIKEYGDVHNVKYKALRRVIHECTGIPLHSLPPEIERANYGLVRLQKVASLFDVIDNISDPLKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSFSILSIPKVHDRAQVFVSCSHGVIKNPRYVGIIERWSSNTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGITLRHWKMHPISLNAVRNLSKLQLIMQTTDAQASKVSIYGGSENKLRDVSLYLNEGISEGPAFYEGHFHIDSESEKKDTFISFRSWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVVVIFELHSPNPVLSIQLVKDPDFTCGH >LPERR05G20020.1 pep chromosome:Lperr_V1.4:5:18210800:18211165:1 gene:LPERR05G20020 transcript:LPERR05G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDGCLRDGDEPTNSARSVVEIGPSRERGTARRASPTACRGVSSLGTGSTGEEESASPARGRGGAGAGAGDGDGDGDGERRPRASPERNGNDSCVGVAWSDTLTLTWWVMGVSWEKSTPR >LPERR05G20030.1 pep chromosome:Lperr_V1.4:5:18211276:18215012:1 gene:LPERR05G20030 transcript:LPERR05G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLTPPSSPPLPFMSPEKSPGSSVVREQRIRISNKHGEYLVGLLHQACSKNLVILCHGFRATKDDSILVDFVSALTREGINAFRFDFSGNGESEGQFQYGNYRREADDLRSVVSYFTEQKYNIIGLVGHSKGGNAVLLYASMYHDIPVIVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYRVTEESLKDRLSTDTLLSSRSISKDCRVLTIHGSKDEIVPVQDALMFAANIQNHKLHIIEEANHRYTGHEKELTTLVLDFIKSQHHFSSSLRPKL >LPERR05G20040.1 pep chromosome:Lperr_V1.4:5:18215104:18218354:1 gene:LPERR05G20040 transcript:LPERR05G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAAAAAAGVEESIGRRRSRRGGEDGVVSARATRVWEFERDLVAGAVMGGAVHTVVAPIERAKLLLQTQDGNAALLAGGGRGGGGARPRFRGFVDCVARTARDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGASADNKFSSIACTNFIAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDTRQFRGICHFLQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRRIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >LPERR05G20050.1 pep chromosome:Lperr_V1.4:5:18218520:18221662:-1 gene:LPERR05G20050 transcript:LPERR05G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGSSAAVPNANELSAEDKANLMASIKNTLQGLAERHTDMLESLEPKVRKRVEVLRETQDDLEANFFEERAALEVKYQKMYEPLYSKRYEIVNGVVEVDGATKGADETSADEKEEKGVPEFWLNAMKNHEILSEEERDEEALKYLKDIKWYRISEPKGFKLEFFFDTNPTDIDWYPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEGIMEDDEDDDDDEDEDDEDDEDDEDEKKGGRVPAGEWQQGERPAECKQHQGLRKGSS >LPERR05G20050.2 pep chromosome:Lperr_V1.4:5:18218520:18221662:-1 gene:LPERR05G20050 transcript:LPERR05G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGSSAAVPNANELSAEDKANLMASIKNTLQGLAERHTDMLESLEPKVRKRVEVLRETQSQQDDLEANFFEERAALEVKYQKMYEPLYSKRYEIVNGVVEVDGATKGADETSADEKEEKGVPEFWLNAMKNHEILSEEERDEEALKYLKDIKCTDIDWYPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEGIMEDDEDDDDDEDEDDEDDEDDEDEKKGGRVPAGEWQQGERPAECKQHQGLRKGSS >LPERR05G20060.1 pep chromosome:Lperr_V1.4:5:18224876:18226156:-1 gene:LPERR05G20060 transcript:LPERR05G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAACVGEVVSSSNEMQELWPVGEVDPEGDKFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARCLQLDRRKCCFPANLAAHVCERSYEHTEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSAGWNVLNLAALVWLRGRWLGTMAVVRSLLPFTAVACVGVLMAGWPFLIGMAAFSSLLLGWFVFGVYCFKGLVC >LPERR05G20070.1 pep chromosome:Lperr_V1.4:5:18230917:18238341:1 gene:LPERR05G20070 transcript:LPERR05G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRAMRPSGGMRGVVARSPAVAFLAAAAVSAALVGGVYFWVVVSSFRLPDHRAAGCLPDGEGSWAIGMYYGKSPLELRPIELKGKSNGNSSAWPVANPVLTCATPTDAGFPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLVQYDGLWWLFASDFTRHGTEKNAELEIWYSNSPLGPWNEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVKLGIEETKKRRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLFGYMGFSVAVALVIFVGFVKGAISCYIPPSFWVPVTRRSEFSRILPVHRINQKFRRYSTSVGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCVAVHFLFGGNGAEEAYTYQGQRSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDIEKGFKVWREHPERMVGFYPRMIDGNPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSKEAKEGRDYVHENFNCEDLLMNFLYANASSERTVEYVHPAWAIDTSKFSAVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWGFGMREDGWDK >LPERR05G20070.2 pep chromosome:Lperr_V1.4:5:18230771:18239265:1 gene:LPERR05G20070 transcript:LPERR05G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRAMRPSGGMRGVVARSPAVAFLAAAAVSAALVGGVYFWVVVSSFRLPDHRAAGCLPDGEGSWAIGMYYGKSPLELRPIELKGKSNGNSSAWPVANPVLTCATPTDAGFPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLVQYDGLWWLFASDFTRHGTEKNAELEIWYSNSPLGPWNEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVKLGIEETKKRRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLFGYMGFSVAVALVIFVGFVKGAISCYIPPSFWVPVTRRSEFSRILPVHRINQKFRRYSTSVGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCVAVHFLFGGNGAEEAYTYQGQRSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDIEKGFKVWREHPERMVGFYPRMIDGNPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSKEAKEGRDYVHENFNCEDLLMNFLYANASSERTVEYVHPAWAIDTSKFSAVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWGFGMREDGWDK >LPERR05G20070.3 pep chromosome:Lperr_V1.4:5:18230917:18239265:1 gene:LPERR05G20070 transcript:LPERR05G20070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRAMRPSGGMRGVVARSPAVAFLAAAAVSAALVGGVYFWVVVSSFRLPDHRAAGCLPDGEGSWAIGMYYGKSPLELRPIELKGKSNGNSSAWPVANPVLTCATPTDAGFPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLVQYDGLWWLFASDFTRHGTEKNAELEIWYSNSPLGPWNEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVKLGIEETKKRRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLFGYMGFSVAVALVIFVGFVKGAISCYIPPSFWVPVTRRSEFSRILPVHRINQKFRRYSTSVGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCVAVHFLFGGNGAEEAYTYQGQRSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDIEKGFKVWREHPERMVGFYPRMIDGNPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSKEAKEGRDYVHENFNCEDLLMNFLYANASSERTVEYVHPAWAIDTSKFSAVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWGFGMREDGWDK >LPERR05G20090.1 pep chromosome:Lperr_V1.4:5:18241069:18244831:-1 gene:LPERR05G20090 transcript:LPERR05G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYSKREDATKELTEAVEEGDKDAIEKLSKRTVKVTKQHNEECKRLLRLMGVPVVEAPCEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEDGLVEFLVKENGFNQDRVTKAIEKIKYAKNKSSQGRLESFFKPVVSTSAPLKRKDTSEKTTKAVANKKTKGAGGKKK >LPERR05G20100.1 pep chromosome:Lperr_V1.4:5:18245054:18246406:1 gene:LPERR05G20100 transcript:LPERR05G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWRWYADRQFNRWEKTVLWDMVEPYRPPRSFVPLVGTYIAAFYTGVVASAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVMNGEVPRFVQTPEEAKPA >LPERR05G20120.1 pep chromosome:Lperr_V1.4:5:18248892:18250237:1 gene:LPERR05G20120 transcript:LPERR05G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTALHSVALFRYGCVQDMGHWDWEITKQQHHWSDEEKAKILNKIADRNSCSTTPLQEKDRPGEAVPLTPRRFYWGPWRMMNGEVARFIQTYEAKPA >LPERR05G20130.1 pep chromosome:Lperr_V1.4:5:18251859:18256094:-1 gene:LPERR05G20130 transcript:LPERR05G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRAAAAAISRSSSALRNQLARGGGGGGEQLLWARGYAAKEVSFGVGARAAMLQGVNDLADAVKVTMGPKGRNVIIERSHKAPKVTKDGVTVAKSIEFEDSAKNVGAKLVKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINSITTHLKSRAWIINSSEEINQVATISANGEKEIGDLISKAMEIVGKDGVISITNGKTLENELEAVQGMKLSRGYISPYFVTDQKTQKCEMENPLILIHDKKISTMNSLLPVLEMSIMSRRPLLIIAEDVEGEALSMLVLNKQRAGLKICAVKSPGFGESRRANLDDMAVLTGGEVVSEDRGLDLGKVELQMLGTAKKITVSLDDTIILDGGGDKQQIEERCQQLRESMDKSTAVFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALHAARAAVEEGIVPGGGVALLYATKDLDNIITANEDEKIGVQIIKNALKAPLMTIAANAGIDGGVVIGKLIEQDNLNWGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEASVAELPATKARIASRMPQMGGMDF >LPERR05G20140.1 pep chromosome:Lperr_V1.4:5:18261686:18268978:1 gene:LPERR05G20140 transcript:LPERR05G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGIPKGVFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMPPLMPTIEASHLKRRPAVEKEKVAWQWLPFTSSARADSLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYNDEEYEKYLTDPAWSREETDQLFELCERFDLRFVVIADRFPTDRSVEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMESRAASKHTEEAGTLVSFDNATLAADGVSPLSNAHPSSTATLPAAVVNNSIPASLRMLRVYLRTQALDQMVQEASASAGLRVIKRVDEFLHRLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQVQHKELEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFGGERAGKRDHKRKIALWINLFGSFPASTFTKLLSLVEAVLGLCLYSASALRRLSLRIHVGR >LPERR05G20150.1 pep chromosome:Lperr_V1.4:5:18270444:18271526:-1 gene:LPERR05G20150 transcript:LPERR05G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEAAGMGGAWSSIRGYFTPATLFLVVNLVIGTIALTSRSHQRRRRQIYHHHDAAAGHHHPEMIHQEYGGQYYGGEHHQEQDTLFAPPPLVRTSSVLDRLRSFGLYRFRSGDFPPEFAAAAPTSHHQSEEEVVVAPVDEAKQGHYARSQSEPAAAMAQEERKAAKSRLRNSSTEVRRAEVVRAPARVVEAAFTEETAGDFAPEKRDFVSEQEEEYVPPPAPLARTSSVLDRLRSFSLYRFRSGELAAADGDTPAAEKNVATDEKSKQAAAAHYGRSRSEPAREQSKKAKKQAATETKTMTKSSSEARKAPAPAEDGDDGGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGSSKQ >LPERR05G20160.1 pep chromosome:Lperr_V1.4:5:18273363:18277089:1 gene:LPERR05G20160 transcript:LPERR05G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVTLKQPSTSEGTKGRKKWPRLWRSSSSSSSSTGSGIGGARDASEAASSETAADAFSSVVAAVVRAPPRDFRVIRQEWAAVRLQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTLKCMSALVRVQERAMERRVAARCSADGRDSHDAAGDRSDAIKQAEEQWCDSQGSVAEVRSKIHMRHEAVAKRERAITYALSHQPRSSKHSTRPSSPASSLRNHESHRCNHDWSYIEGWMATKPWETRLMEQDHAELKCSKNSGELNLAGSKLPNATSVKVRRNNVTTRVATKPPSVLSVSSSEILYDESSPSTSSATPARSDGGHGGGRSYMGLTKSAKARQSCNSPFQLQRQGSGGMSSYKRVALSSLDGQSNAGSEISVTSRKLNSLSLKGEA >LPERR05G20170.1 pep chromosome:Lperr_V1.4:5:18273871:18274074:-1 gene:LPERR05G20170 transcript:LPERR05G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTCGMSRAMGPAVIEENGGIRGSWCARRTSGLEKGRLAFSAVGPERLACGDRDYIGPHVIQSVE >LPERR05G20180.1 pep chromosome:Lperr_V1.4:5:18278975:18281521:1 gene:LPERR05G20180 transcript:LPERR05G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAEADSSAEMATAAASSRFGTICVFCGSNAGRRRVFADAALDLGHELVRRGIDLVYGGGSIGLMGLIARTVRDGGCGVVGVIPRALMAVEAFCSSSYLHLHTEIFQISGESVGEVIVVADMHERKAEMARRSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRSHQEVAPATSWEISELGYGRTTDADQS >LPERR05G20190.1 pep chromosome:Lperr_V1.4:5:18293257:18294318:1 gene:LPERR05G20190 transcript:LPERR05G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDAFGWSSQSTPAAAGDDDDDVLLAAVLGASFELHSIVDGNGAVSPGDAYGLDVDLPLTIPHDASAATAFLGSMDALPSIAGGGGLLDTITFPNVAESTVQSAAAAAFSGGGNISSGESNTYDTEVASSSAPCAAVSTTTKRKLPENKYSIIAADRRNTKRSPPPSISSSSSSAAARSSITFGGIGRGGYEPDKEAIAQVKEMIYRAAAMRSVSSTLAAVDQSPSSPSPRRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLQALETLGNGHNGSNGNLLQNYYFTGNGRNNAAASTTGNGTVLAFGRDGLSGYVKSNRNLQL >LPERR05G20200.1 pep chromosome:Lperr_V1.4:5:18299725:18306482:1 gene:LPERR05G20200 transcript:LPERR05G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGADRIRIWCEGEEEEEQEELGHRGVPEEEKRTGVRNLWLCEVNWSELFAVTRAERALVRPAMEKDARNHYHTRGSTRGGGTTAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAGSLAHHRSARNSEESGAVRGSTQQHQMNGIRAVASPERERPARGSNVINNNGGPPASPDEKKGSSSGSEGSIWPKFAVALTNKEKEEDFLVFKGSRPPQRPKKRAKLIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLRAMHNMESDSE >LPERR05G20210.1 pep chromosome:Lperr_V1.4:5:18307122:18307301:-1 gene:LPERR05G20210 transcript:LPERR05G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIFYAAVVAAVAVSSLAGVAFAADSPAPSPTSGAAAVSSSIVAAVLCPAVALLLRQ >LPERR05G20220.1 pep chromosome:Lperr_V1.4:5:18308735:18310079:1 gene:LPERR05G20220 transcript:LPERR05G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPQKKLVVSKKLMKVGPWGGPGGNPWDDGGHTGIRSITLTYDRFIDSIAVEYDRNGLAVPGDRHGGAGGNQTTQIKLSFPEEYLTTVSGHYGTVATANTPAAIRSLAFRTNRREYGPFGTVAEGGTPFAFPVDGGAIVGFWGRSSGWQLDAVGLHVAPLRPETMYEKAHKLGVMAYRSVRQRIGTQ >LPERR05G20230.1 pep chromosome:Lperr_V1.4:5:18310506:18311673:-1 gene:LPERR05G20230 transcript:LPERR05G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNSFLVKQFGNGNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDGAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLKVSKSGAKKKNRQPTRA >LPERR05G20240.1 pep chromosome:Lperr_V1.4:5:18312754:18312996:-1 gene:LPERR05G20240 transcript:LPERR05G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQMGSEPPRVAAGDVPKAARIGSFSRLFSGLDAGGVLRDVEPEKMKDEIRRWAKSVVSLVRQLSFGSPPPENRRSSS >LPERR05G20250.1 pep chromosome:Lperr_V1.4:5:18314479:18316648:-1 gene:LPERR05G20250 transcript:LPERR05G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFVVKEPVVAASCLIAGFGLFLPAVVRPMLDSWETAKQVPPPALNDVVAGVTGKKKE >LPERR05G20260.1 pep chromosome:Lperr_V1.4:5:18318026:18323126:-1 gene:LPERR05G20260 transcript:LPERR05G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGEKWDGVRLFYRRYGRGAVKVLLVIGLAGTHDSWGPQIKGLTGSLEPADDEAEAERTDEESGDAASPAAAEGEDDGGEGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPQRVCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTEEYLNEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTSKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIQTDDGGNAAVAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPPAVV >LPERR05G20260.2 pep chromosome:Lperr_V1.4:5:18318031:18323126:-1 gene:LPERR05G20260 transcript:LPERR05G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGEKWDGVRLFYRRYGRGAVKVLLVIGLAGTHDSWGPQIKGLTGSLEPADDEAEAERTDEESGDAASPAAAEGEDDGGEGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPQRVCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTEEYLNEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTSKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSGVILMGFEHMRNIVKVMKPVRVAAIDRQ >LPERR05G20260.3 pep chromosome:Lperr_V1.4:5:18318076:18323126:-1 gene:LPERR05G20260 transcript:LPERR05G20260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGEKWDGVRLFYRRYGRGAVKVLLVIGLAGTHDSWGPQIKGLTGSLEPADDEAEAERTDEESGDAASPAAAEGEDDGGEGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPQRVCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTEEYLNEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTSKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIQTDDGGNAAVAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPLLGEIKAYEGHLSALLQCMLKKEYAMKVDMQEHTN >LPERR05G20260.4 pep chromosome:Lperr_V1.4:5:18318239:18323126:-1 gene:LPERR05G20260 transcript:LPERR05G20260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGEKWDGVRLFYRRYGRGAVKVLLVIGLAGTHDSWGPQIKGLTGSLEPADDEAEAERTDEESGDAASPAAAEGEDDGGEGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPQRVCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTEEYLNEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTSKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIQTDDGGNAAVAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPSKINSATGNQR >LPERR05G20260.5 pep chromosome:Lperr_V1.4:5:18319651:18323126:-1 gene:LPERR05G20260 transcript:LPERR05G20260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGEKWDGVRLFYRRYGRGAVKVLLVIGLAGTHDSWGPQIKGLTGSLEPADDEAEAERTDEESGDAASPAAAEGEDDGGEGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPQRVCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTEEYLNEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTSKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIQTDDGGNAAVAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPVRVAAIES >LPERR05G20270.1 pep chromosome:Lperr_V1.4:5:18331421:18333501:1 gene:LPERR05G20270 transcript:LPERR05G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTQVETTTTTKRRRQQQHLQVEKKISEEDDEVDDDGRAPKRTGTVVIGSGVLLLAWAIVQLGWVVGPTVMFLFVVVIYFTSNLLADCYRTDDSSAGRRNYTYMDAVKANLGGGKVKLCGFIQYLNLLGVAIGYTIVVSISMMDIQRSNCFHHAAMSNTKNPCHASSNIYMIIFGVVQEDYPKFNQRSAAHASVLFSPVFTADTNESRLLILRLSQCIFFLYNMVLF >LPERR05G20280.1 pep chromosome:Lperr_V1.4:5:18334693:18342239:1 gene:LPERR05G20280 transcript:LPERR05G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLTHALTTEAEEIMGLLFGDIKHSSRGGVTAVIWGASPQMRCERKKDRVEVNPELLAAASAEAEVMSATIGVKTRVIGWYHSHPHITVQPSHVDERTQASFQLMDQGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQPIVPVNPVINIDTSWSSLDTTSNPALIEGIEDTGDSKASRNSKVWAKSSDVDFYPHSDANHSATQQSNAIVAYDPNNAPETPVDLDGSDMTPSIQEALHRSTLDISGAEYVRKEVPLYVFPTGHLLKLDTTLTSYCDMQRVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHVSTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPHRLMYHGTSGSSSPMAQDKHASANQMSPRSPSGSSRRKAS >LPERR05G20280.2 pep chromosome:Lperr_V1.4:5:18336863:18342239:1 gene:LPERR05G20280 transcript:LPERR05G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLTHALTTEAEEIMGLLFGDIKVTHTHTHTYSSALPPPCAFEQGRGDGGDMGGVAADEVRAEEGQGGDERTQASFQLMDQGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQPIVPVNPVINIDTSWSSLDTTSNPALIEGIEDTGDSKASRNSKVWAKSSDVDFYPHSDANHSATQQSNAIVAYDPNNAPETPVDLDGSDMTPSIQEALHRSTLDISDGKIHPLTSIHHVSTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPHRLMYHGTSGSSSPMAQDKHASANQMSPRSPSGSSRRKAS >LPERR05G20290.1 pep chromosome:Lperr_V1.4:5:18343249:18343581:1 gene:LPERR05G20290 transcript:LPERR05G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDRHLSGEIKPAGGGDDGVGGRAAMARVERSRSSEHGGGYRAAGRVQPAIDPPSPRVAVCGFCRFFAGNGKGGAAGATVAGGKGKARRR >LPERR05G20300.1 pep chromosome:Lperr_V1.4:5:18346781:18357031:1 gene:LPERR05G20300 transcript:LPERR05G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVLFLFLTRREGSCVIVCPTLQQDLVSFSSPLQMLLSPARPYVCSPMAKLWLLPLYHEKSTGKSRMALAAAGVLLLLIFPYAAESRVLLSLDDFGAVGDGVANDTQALLDAWTAACSTGEHVFLHVPAAKSYLIWPLTLAGPCREEIKLFISGNIVAPESPEDWPAGGGEWLHFRGVSDLALTGGGVIDGRGHRWWARSCKSKHNATENCTSEAAPKALHFENCQDVSVMGITVQNSQQSHLTFTRCSHVKANYLRITSPEDSPDTIGVQIVSSRNVHIMDDLISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGALGENRSYHRVEKIKMDTLFISNTENGVRVKTWQGGCGTARKMKFGDILMKNVTNPIVIDQHYSSSNEIPCSSKNGSAVAVGEISYMDIAGTSASERAVTFACSDASPCSKLSMENVNITMAGGQNASAYCHQAFGKTVGVVVPDSCLAEEDFLRQRRVPAAAAAEEEGGEDDGDGEDDQ >LPERR05G20300.2 pep chromosome:Lperr_V1.4:5:18346718:18357031:1 gene:LPERR05G20300 transcript:LPERR05G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVLFLFLTRREGSCVIVCPTLQQDLVSFSSPLQMLLSPARPYVCSPMAKLWLLPLYHEKSTGKSRMALAAAGVLLLLIFPYAAESRVLLSLDDFGAVGDGVANDTQALLDAWTAACSTGEHVFLHVPAAKSYLIWPLTLAGPCREEIKLFISGNIVAPESPEDWPAGGGEWLHFRGVSDLALTGGGVIDGRGHRWWARSCKSKHNATENCTSEAAPKALHFENCQDVSVMGITVQNSQQSHLTFTRCSHVKANYLRITSPEDSPDTIGVQIVSSRNVHIMDDLISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGALGENRSYHRVEKIKMDTLFISNTENGVRVKTWQGGCGTARKMKFGDILMKNVTNPIVIDQHYSSSNEIPCSSKNGSAVAVGEISYMDIAGTSASERAVTFACSDASPCSKLSMENVNITMAGGQNASAYCHQAFGKTVGVVVPDSCLAEEDFLRQRRVPAAAAAEEEGGEDDGDGEDDQ >LPERR05G20310.1 pep chromosome:Lperr_V1.4:5:18355611:18358310:-1 gene:LPERR05G20310 transcript:LPERR05G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVFLDVVESVNILVNSNGQIVRSDIIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLVWVEAQVEKHSRSRIQITVKARSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEEAVPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >LPERR05G20320.1 pep chromosome:Lperr_V1.4:5:18358639:18361373:1 gene:LPERR05G20320 transcript:LPERR05G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLPPPRLLAAAAAAAKALGRLLGLRATKGSADFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGILGKEEAHDNARRIEEICFASADEHFKKEPDGDGSSAVQLYAKETSKLMLDVLKRGPTTTAEPEKPVVDTPLQPTDTVFDISGGKRAFIEADEAKELLSALTKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDSLEELYVMNDGISEEAAQALSELIPSTENLKVLHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTHLKKLDLRDNLFGVEAGVALSKTLSKLPDLVELYLSDLNLENKGTIAIVNVLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHSDLKELDVSTNMLQRVGARCFAQAITNKPSFVQLNINGNYISDEGIDEVKDILKNGRNSVDVLGPLDENDPEGEAEDDDGEEEDEDEDGELDSKLQGLKVEQDD >LPERR05G20330.1 pep chromosome:Lperr_V1.4:5:18362181:18365329:1 gene:LPERR05G20330 transcript:LPERR05G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATATATLSRLDDEIVHAMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITTATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGMLRLRGRPSVAYDQQGLVFAIAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTPDGQYVISGSGDGTLHAWNINTVHEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNQSNSN >LPERR05G20340.1 pep chromosome:Lperr_V1.4:5:18365880:18368992:-1 gene:LPERR05G20340 transcript:LPERR05G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSAGGVDTRGEFSRIYGVLKEELLKDPAFEFTDSSREWIDRMVDYNVLGGKCNRGLSVVDSYKLLKGDNVLSEEEMFLSSTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGIILRNHISRLLRLHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNIGVHRRIVQYKTAYYSFYLPVACALLLSGEDLTNYGAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKRVLFENYGKKDPACVAKVKSLYRELNLEAIFQDYENESYKKLIADIEAQPSIAVQKVLKSFLHKIYKRQK >LPERR05G20350.1 pep chromosome:Lperr_V1.4:5:18382961:18384006:1 gene:LPERR05G20350 transcript:LPERR05G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISSSGGGVQAKLRKGLWSPEEDEKLYNHIIRHGVGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGLDPATHKPLAMVASSPDEHLDDVDEDQKPLAVAGAVVVGGGEGLAVAKKSVFDPFPVMADFGVGAGFDLAAAAALFDAGAGGDYSSVLDVSENLGYGGGGGESSSNSSNWTCAEVMSNVLDWAGAGEVAVAAKVEDDAVLEEKFSLPLQEQSLAYFDFNLEYNF >LPERR05G20360.1 pep chromosome:Lperr_V1.4:5:18387330:18390530:-1 gene:LPERR05G20360 transcript:LPERR05G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAYYDTLGVSVDASPAEVKKAYYQKAKLVHPDKNPGNPDAARKFQLTRRFRDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEDKLNSQEAREKVQEKIKELQREREQKLIQSLKDRLQPFVDGRKDEFVDWANREALRLSQAAFGEAMLHTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQLQEGMKKMEESDNKEEQFMRSFEEKKDAMLSSLWKINVVDIESTLSHVCQAVLKDTSVLKENLKLRARALKKLGTIFQGAKSLYQRENSLRVETSPKQGATPSN >LPERR05G20370.1 pep chromosome:Lperr_V1.4:5:18405517:18408285:1 gene:LPERR05G20370 transcript:LPERR05G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGGRLPPCARGSPAASAATTPVTEGYHFVPNTLYLIVDYIDRYVSGNEINRQRLQLLGVACILIAARFVRVAQVSSDEDEDPALQLEFLANYVAELSLLEYNLLSYPP >LPERR05G20380.1 pep chromosome:Lperr_V1.4:5:18410381:18413310:-1 gene:LPERR05G20380 transcript:LPERR05G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTGSSVILPPNTPSDPQRQDGDCDPYSDYLVDSFITAVKAKPKQSVGSIDYAAAGRRQAEKYTRSALDYYNKDESNKYSLVQAFKSCAIRTNLGSYGHVNFVASSGSKEEFFFAEVCYDPETCGGRRNSEFVEWRDLQNPPIDNTCCYACGDAVKHPEDGSLFKAGHECNCFPSNPIAYFLQNPENRLRYTLSIQLQNPIEAKPLMLYNMKIQMVCLIFFTS >LPERR05G20390.1 pep chromosome:Lperr_V1.4:5:18417055:18420247:-1 gene:LPERR05G20390 transcript:LPERR05G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLNVLRTEGVLMEEDFTNIHAGRLQMKDCYRCCLESIREYSPYDIFDMREALRQMRATGPLLAVIDISENYDNCRDSGHIYSFEPENVVVDESDEPVTHAICVVAFVIEKGTACFDCQDSQGPNWSKVGVRLVNRGLFVC >LPERR05G20400.1 pep chromosome:Lperr_V1.4:5:18427682:18431382:-1 gene:LPERR05G20400 transcript:LPERR05G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVILPLNTPSNPQRQDGDCDPYFDSLVDSFITAAKTEPKQSVGSIDYAAAGRHQAEKYARSALDYYNRDENNKVVVAILSLWSVGIFRISLSTTISAMLVVMQLSILKMEVCLRLGITLTLRRCGGLIRATGGGGLGRPDFKDAGSPSSIMTARKSTVDAKKVSMVSIASSAAFDCNNVCFDLVASVELQIGMDGDAKWKSMRICNWSGFEELLGELMPLLSMFQKCLRRYKLLLMI >LPERR05G20400.2 pep chromosome:Lperr_V1.4:5:18428556:18431382:-1 gene:LPERR05G20400 transcript:LPERR05G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVILPLNTPSNPQRQDGDCDPYFDSLVDSFITAAKTEPKQSVGSIDYAAAGRHQAEKYARSALDYYNRDENNKVCFDPDTFGMIPTCMVSLDGKNIIGGCRNTEFVECWDIQNLPINNNFCYACGDAVKHPEDGSSKEEFFFAKVCFDPETSGMIPTCMISLERKNRIV >LPERR05G20410.1 pep chromosome:Lperr_V1.4:5:18440041:18445980:1 gene:LPERR05G20410 transcript:LPERR05G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFTHNDEKKEIRSDADNSEGDKKTKMGSFKKKAINAGNKFRHSLRRRSKKKNEPRVSIEDIRDVQDLQAVDAFRQCLVDDDLLPQQHDDYHMMLRFLKARKFDVEKAKCMWSDMLKWRKEFGADNIEEFGYTEADEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLMQVTTIDRYVKYHVKEFERCFQMRFPACSIAAKKHIDSSTTILDVQGVGFKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEEFGGCQKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYTRPQYPARKGSDGSAESGSEVEDVTSPMAPRNLITNPLLTPVHEEANSSPFRSLPITFDGLWTQVITWLTVLIVSLLALVRSVPSRMAKRLSSQSNEHDHLYVEYPQEPEYKEEFRPPSPAPSYTEKDVLSSMIRRLGELEEKVQVLETKPSEMPFEKEELLDAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDIIKFRKKKFCF >LPERR05G20420.1 pep chromosome:Lperr_V1.4:5:18456068:18461544:-1 gene:LPERR05G20420 transcript:LPERR05G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPCGLLWRGSLSSLLALITLKKGSKLIKYSRKGKPKIREFRLSSDEATLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLETLITSCRLNFPTEGQSDRVSFSEEVSIYQDRTSYDATLDIASSITRSFNSAGYSIPNSLNSIRADVGSERANMLRASTGDSSRVSISSAPSSSSQGSGLDDIESLGDVYVWGEVWADVLPSEGSSNYLCSKSDVLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDADISRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYNWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALAMSSGKLFTFGDGTFGALGHGNRESVAYPKEVEAMGGFRTMKVACGVWHSAAIVETSGQTNANVVSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGYSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGSELVEEISCGSYHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSICSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFTKLKAADTGISSSYNKRNVITRRSIDIKDKSERPEIRPSRLATTTPAEPVKYQEPKTVRNEMKPADPMSMMKASQVPGMLQFKDLAFAGTFGSIPTNIKPMTMAAPMQMGAPMFSPSPHTRKPSPPPATSSPLIPKVDNDSLKRTNEMLNQDISKLQSQVNKLKQKCETQDEQLQKAERKAKQSASMASEESARRNAVLELVKHLDSELKVIADKVPSDIADSLKTLQCQSERFLTGQTSHLVELTGLTGHEIGHHRSTSVGNMAMPQDGCSGIASGSAIAMTSESPCHRIMENNLKVQGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHPTN >LPERR05G20430.1 pep chromosome:Lperr_V1.4:5:18465401:18473589:-1 gene:LPERR05G20430 transcript:LPERR05G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMSQRRQWTRVRTLGRGASGAEVFLAADDATGDLFAVKSAPAASAAAVRREQALMSRLASPHVVPCIGGGDGSDGSYQMLLEFAPGGSLADAGRLDDDEIRGFAGDVARGLAYLHGLGIVHGDVKARNVVIGVDGCAKLADFGCARRAGEGGIIGGTPAFMAPEVARGEEQGAAADVWALGCVVVEMATGRSPWRDMDVLAAVHRIGFTDAVPEIPIWLSADAKDFLGRCLQRRAVDRSTAAQLLDHPFLAAAVAGKPESAKGGNWVSPKSTLDAALWESDIDDEEEDDDVLRSTAERIGSLACSASSLPDWESDDDGWIDVISKSITTIEASSSTITTTSPADEETSTATELDGDVTTTAEFELPQIDANNVGETNAQHMISPSNLVFDQVVRCSCKPSFCNNRHNAIESSIESPSCFLLPNVSVPHIASRASHFYRCEIYRLNSRQRQLAWCASANEPRGRVDKTPMDATGISGGRMRRLRTLGRGASGAVVSLFAAGDGDGDGGELIAVKSAAGKAAAAQLRREGGILASLSSPHVLPCLGFGAVAGGEFRLVLEFAPGGSLADEVARHGGGRLGEDEIRGFAGDVARGLAYLHGMGIVHGDVKARNVVIGGDGRAMLADFGCARRAGDDPPGRIIGGTPAFMAPEVARGEEQGAAADVWALGCVVVEMATGAAPWRDMDGAADVVAAVRRIGFADDAVPEIPEWISPEGKDFLEKCFRRRAGERWTAAQLLEHPFLAFAGGAAVTAAEGTKAKWVSPKSTLDAAFWEFDADDEEDDDDVSPESSSERIMALAGDCTALPDWESDDGWIDVMSSNCEFPIAGVETPAEIPEAAAEGSPAVETKTSYDEIVWDEGSDEEMDADVDDDDDELVHNHNVGDDDDAFGDEQLQDIYFDFTSDPIVLPVDISDGRKVKLLPPIPHCLCSCPFPIVSLTNLTIL >LPERR05G20440.1 pep chromosome:Lperr_V1.4:5:18477608:18484635:1 gene:LPERR05G20440 transcript:LPERR05G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAEALETKEKQKPSFELSGKLAEETNKVAGVNLLHSEPPEARKSDIRWRFYVFKGGEPLNEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINDNRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >LPERR05G20440.2 pep chromosome:Lperr_V1.4:5:18477573:18484635:1 gene:LPERR05G20440 transcript:LPERR05G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARERGSPPRRRSSPARRERSPARRSPVKTSSHRDRSPVRSGSPRRRSPVKSSSHRERSPQREKAKERVRSPKQAWAQSRSPSLARKQGSRSPSPRTKRLRRAQGEREVIEASEGDRRKTIVREEQDSRRYRDRDEGNDVSRDRKAEREDSRGSFKDRKLDLDDERDHSRDRRLDRSNRDDERRDSRGRRSDGDDRKGVSREQRADRDDRRDSARERRDRDESNGVSGRSSRHGRSVSPEENRHRGRHESRQSPRSSRSAARGEDTSSVTDVASRSGDPDSLVKMNAAAEALETKEKQKPSFELSGKLAEETNKVAGVNLLHSEPPEARKSDIRWRFYVFKGGEPLNEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINDNRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >LPERR05G20450.1 pep chromosome:Lperr_V1.4:5:18488544:18489059:1 gene:LPERR05G20450 transcript:LPERR05G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSPCKLNLLLLLAVFVLLAPVLSEARRLQVTPPLLMLPSDGDGVVAVSPPRGCGGDRPGYVPPPPQSPRGKTPMLAAGDYDDDGVAASALRRRPSSSRVVIAGDHPVEPLSDVDGGVSRDDWRRGMPPPSPERNPSPVPRLQADDQAPGLLWVIRDAVIQYMMGELGA >LPERR05G20460.1 pep chromosome:Lperr_V1.4:5:18491819:18492367:1 gene:LPERR05G20460 transcript:LPERR05G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLSPCKLNLLLLLAVFLLLAPVPIEARHLQVTPPLLMLPSDGDGDSVVAVSPPPGCGGGGDVGRPGKYVPSSPYSPRGPTPMLACAGAGGDDNDDGVAVAALRRWPSSSSSRVDAGDHPVEPLSDVDDGVSRDDRRRAPPSPKGNPSPVPRLQADDQAPGLLRVIRDAVIQYMMGELGA >LPERR05G20470.1 pep chromosome:Lperr_V1.4:5:18494972:18497069:1 gene:LPERR05G20470 transcript:LPERR05G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKMIDVKNGKFQFVFEPRVLSDTPYVYLCHEHLTAEEMEATLVNKDVGHQARHFAKLAVDHYNNKEENTTKIEICTTLLSKCFHEICGSAFGHVNFTAKAKNDDQAKKNLYFAELKLNPDLLGRPDVEPMCVVCVYNLDGGCHEISRKIDYKTVGNLDYERCHACSDRIKHSSGNMFTAGHDSTKTPYFSAG >LPERR05G20480.1 pep chromosome:Lperr_V1.4:5:18497730:18500904:-1 gene:LPERR05G20480 transcript:LPERR05G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGEEEEEACEFAISGEGLRKMADPELEAIRQRRMQELMAQRGAANPQNAGQQKAQEEAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHASKQTKVTIQRRRSVLDDDD >LPERR05G20490.1 pep chromosome:Lperr_V1.4:5:18501112:18501711:1 gene:LPERR05G20490 transcript:LPERR05G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAAAAVAGEEFGEQPLTNGGGGVYPTKGGYDADELDALREANRGLEEKLDAVEKENRVLAAEAYRLEGLVARTTEEIATAEHAIAASEEEAASLRAEVERVKGLIAATRAEREAEERKAAELEAELQAKEEEIKALKAEEETNAAAARSTTVPPKKEAEVRTLDLVAAAAVGAAATIAVAMVFFHLKR >LPERR05G20500.1 pep chromosome:Lperr_V1.4:5:18504316:18505290:-1 gene:LPERR05G20500 transcript:LPERR05G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAAAAGDGDGLYCPDCHRTTATVLDHTTGDTICTECALVLDARFIDETSEWRTFSNDGADDRDPNRVGDRSDPFLSHHSIGTSISFSSSTKPSSKFPTRSLLADVITTGGGAESSEKALVAAFRGIADMADRLGLVATIRDRAKQLYKRLEESKSVPRCRNRDAVHAACLFIACRNEGNPRTYKELASAVRGGAAAAKKEIGRMTTLIKRQLGEEEGNATMDIGVVRAEDYLRRFCSRLGLGHAEVRAAAAAARRIEAAALDVRRNPESVAAAVIYLVVQRAGAGKSARDVAAATGVAEGTISAACKELAPHAAVLFGTGV >LPERR05G20510.1 pep chromosome:Lperr_V1.4:5:18508959:18516160:1 gene:LPERR05G20510 transcript:LPERR05G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGSEGGGGEGEAEEGKLKVGMHRLLLRFADGVDLVLMAAGAAGAVASGVAQPLMTLVFGEVVDAFGSVSGDDDVLHRVCLKFFYLAIGSWLACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLIATFVGGFVISFTKGWLLSCVMLSSIPPIIVAGATMSWVISKLSTQGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIQNAYVSAVQESIATGLGFGFIMFILFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIRRKPAINSSGTDGVLLENIRGDVELRDIYFSYPSRPDQLIFDGFSLHVLSGITMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKTLKLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIDNLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERVVQEALNRIMKDKTTIIVAHRLSTIKDAEIISVVQHGRVVEQGTHTELLKDPNGAYSQLIQLQGVTEERDKSHVNYKRSISTVRSAMSISKSRSCNASFKKSFSRGTSFGSTSVHLITATGMTVPESMYTEVPSKVLDDIEEHKRVPLCRLISLHKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYESPHQLRKDARFWTLMYIAAGVVSLISLPVENFLFGVAGGKLVERIRSLSFKRIVHQEISWFDNPSNASGTIGARLSVDASNIRRLVGDSLALIVRSSVTVLAGFTIAMVANWRLALVATVVLPLGGLQGFLQIKFLEGFSEDAKVKYEEATQVAHDAVSSMRTVASFCAENRIMKAYYKKCEAPVRQGIRQGLVSGLGFGVSFFVLYSTYALCFYVGAKFMLDGKATFSEIFRVFFALLMATIGVSQTSALGSDSAKAKASASSIFAMIDRESKIDSSSDNGMVLDAVAGNLELHNVCFSYPSRPDMQIFRDLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGIDIKNLNVRFLRQQMGLVSQEPILFNDTIRANIAYGKEGDATTTEEEIIAAAKAANAHGFISALPCGYDTCAGERGVQLSGGQKQRVAIARAILKNPRILLLDEATSALDTESERAVQAALEQVMIGRTTVVVAHRLSTIRGADVIAVVKNGEVVEMGRHEELMEEKGGVYASLVELRLSSDDHSSAS >LPERR05G20520.1 pep chromosome:Lperr_V1.4:5:18531269:18536896:1 gene:LPERR05G20520 transcript:LPERR05G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTAKAADGDTHGKEENGKRLAKDGKVAFHHLFKYADSTDIALMLVGTIASLASGMTQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGVVSCWAVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVVSSISADTTLIQGAIGEKVGKFLQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMLAEISSEGLASYSNAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKKAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSINRGYSGADIMNILFGIMIGARSLGDATPCTAAFEEGRIAAYRLFKIIKRKPEIDYDDTSGIVLEDIKGDVELKDVFFSYPSRPEQLIFDEFSMYVSNGTTMAVVGESGSGKSTVINLVERFYDPQAGEVLIDGINIKSLNLEWIRGKIGLVNQEPILFMTSIKDNIMYGKEDATLEEIKRSAELANAARFIENMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNQIMVGRTTLVVAHRLSTVRNAHCISVVYKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQAIDPHLDAGLSGPLNKRSQSIKRSLSRNSAGSSPRSLNLPFNLHGATELLENDGADSENHSLKNDGKVPKKGSMGRLISLNKPEIAILLFGSLAAAIDGAVFPVIGLVLASAVKTFYESVDKREKDATFWGLLCVGMGAIAMISKLANSLLFAIAGGKLIERIRALTFRSIVHQEVSWFDHPANSSGALGGKLCADALNGYAQVKFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMARYNRKCQASRHQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSHGKSTFGDVFKAFFSLVVAMLGVSATAAMASDSSKAKDSAASIFAILDRKSQIDSSSDEGLTLELVKGDIEFLHISFKYPSRPDVQIFSDFTLSIPSGKTVALVGQSGSGKSTAIALLERFYNPDSGVILLDGVEIKNLEISWLRDQMGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAQAANAHEFISSMPQGYSTSVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTIVVAHRLSTIQGADIIAVLKDGAIVEKGRHDALMGIAGGAYASLVELRHNVT >LPERR05G20530.1 pep chromosome:Lperr_V1.4:5:18540233:18542452:-1 gene:LPERR05G20530 transcript:LPERR05G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEMLTAARGAGASSSTPATDLAGAGGGGAGVGGAGGGNFALAVALLAFAFANFVNLLAIWLKEKRMDARKFLTSAGIISSLSATVGSLAVAVGQQEGGHSSSFALALVFAAVVMYDASGIRFHTGRQAALINQIVSDYPPEHPIISTFRPLREPLGHSPFQVFVGAIVGCSVAFLIGTSV >LPERR05G20540.1 pep chromosome:Lperr_V1.4:5:18543360:18547909:-1 gene:LPERR05G20540 transcript:LPERR05G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRGLAHNRRSRGFSRSRRATTTDMDAVAVQPVTANGMGEVERKAQRSYWEEHSKDLTVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVARMAKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDKDGGSYELSLEACKCIGAYVKSKKNQNQICWLWEKVKSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVDTTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKSYPPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEEAGFHHVVAEDRTDQFLSVLRRELSEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSADLFVRPDW >LPERR05G20540.2 pep chromosome:Lperr_V1.4:5:18543360:18547688:-1 gene:LPERR05G20540 transcript:LPERR05G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAVQPVTANGMGEVERKAQRSYWEEHSKDLTVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVARMAKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDKDGGSYELSLEACKCIGAYVKSKKNQNQICWLWEKVKSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVDTTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKSYPPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEEAGFHHVVAEDRTDQFLSVLRRELSEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSADLFVRPDW >LPERR05G20540.3 pep chromosome:Lperr_V1.4:5:18543360:18547688:-1 gene:LPERR05G20540 transcript:LPERR05G20540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAVQPVTANGMGEVERKAQRSYWEEHSKDLTVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVARMAKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDKDGGSYELSLEACKCIGAYVKSKKNQNQVKSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVDTTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKSYPPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEEAGFHHVVAEDRTDQFLSVLRRELSEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSADLFVRPDW >LPERR05G20540.4 pep chromosome:Lperr_V1.4:5:18543360:18547688:-1 gene:LPERR05G20540 transcript:LPERR05G20540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAVQPVTANGMGEVERKAQRSYWEEHSKDLTVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVARMAKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVTASTQVFKECHSYDKDGGSYELSLEACKCIGAYVKSKKNQNQVKSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVDTTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKSYPPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEEAGFHHVVAEDRTDQFLSVLRRELSEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSADLFVRPDW >LPERR05G20550.1 pep chromosome:Lperr_V1.4:5:18551688:18558154:-1 gene:LPERR05G20550 transcript:LPERR05G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEVSVPDPVEEEKPPVEEAVPVPPVTEAVSPPPPKTEVADTGDLLGLNDPNPSVSAIEESNALALAIVPTDAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSVADSQLGGGFDKLILDSLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFAMSNQVAPPPSVQMAAMSQQHQQIPSMIQANPFGPPMQPQHVGMGPATNNPFLDSGFGPFPVANNGHPQANPFGGTQLL >LPERR05G20560.1 pep chromosome:Lperr_V1.4:5:18558777:18563985:-1 gene:LPERR05G20560 transcript:LPERR05G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKDDFVLGKKLGEGAFGVVYKASLADSKAAEKQGDVVVKKATEYGAVEIWMNERVRRACAGSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQNLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKIALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVSLMAKSGTEEVGGFTEAQLQELREIKPTKGGARRNLLASVLRVQRKIVRTINESMDELNSQRKSIWWSRWIPREDLDRTITVAQVHTN >LPERR05G20560.2 pep chromosome:Lperr_V1.4:5:18559852:18563985:-1 gene:LPERR05G20560 transcript:LPERR05G20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKDDFVLGKKLGEGAFGVVYKASLADSKAAEKQGDVVVKKATEYGAVEIWMNERVRRACAGSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQNLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKIALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVSLMAKSGTEEVGGFTEAQLQELREIKPTKGGARRNLLASVLRVQRKIVRTINESMDELNSQRKSIWWSRWIPREE >LPERR05G20570.1 pep chromosome:Lperr_V1.4:5:18576014:18577042:1 gene:LPERR05G20570 transcript:LPERR05G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNPPSSPPPPPPPVCGSSSSGQKRKAVVMENDDVPPPSWLSLASMDYGDDVGSSSCAASATTPTSPAAHVDDASAAASAILATSTGEFLADHHATGDGGNSNSMAAAAGDDDAAVRAGNSIAPNFFNSFPPSTTHAGSSSSATRSTVIRRRSSNAAASTPSSSDSADDHNDAGKILPNPPYPWATNKLAKHHTIAELSRRGITSIEGETKCRRCDKRNLKTYNISEKFQNLIEYFIPNHRDMNDRASNKWMNPRAQDCVDCGQKNCVRPVIAAEKERINWLFLLLDETLGFCTLDQLKFLCEHTKRHRTGAKDRLLFSAYEELCCQLVPGNLPFERECWA >LPERR05G20580.1 pep chromosome:Lperr_V1.4:5:18578061:18580813:1 gene:LPERR05G20580 transcript:LPERR05G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLTGVPFSSATAASSCLTKFHRFLRFFALRANPRSMTAGAPACSLPAADAGAGAASSSSGSAAAVAGDGGGAQNPWLLVGLGNPGRMYKGTRHNVGFEVIDAIAEAEGISVSSKQFKAIVGKGLIGDVPVMLAKPQTYMNASGESVGLLIAYFKIPLSQVLVIYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKMDAINFVLRSFTEEEQEELDLTINRSLQAVRIMLLEGFNKSATFVNTPQPPEMLNR >LPERR05G20580.2 pep chromosome:Lperr_V1.4:5:18578061:18580810:1 gene:LPERR05G20580 transcript:LPERR05G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLTGVPFSSATAASSCLTKFHRFLRFFALRANPRSMTAGAPACSLPAADAGAGAASSSSGSAAAVAGDGGGAQNPWLLVGLGNPGRMYKGTRHNVGFEVIDAIAEAEGISVSSKQFKAIVGKGLIGDVPVMLAKPQTYMNASGESVGLLIAYFKIPLSQVLVIYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGKSVNHLFSRASKKFELLSLECCPGIGRPTGKMDAINFVLRSFTEEEQEELDLTINRSLQAVRIMLLEGFNKSATFVNTPQPPEMLNR >LPERR05G20580.3 pep chromosome:Lperr_V1.4:5:18578061:18580813:1 gene:LPERR05G20580 transcript:LPERR05G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLTGVPFSSATAASSCLTKFHRFLRFFALRANPRSMTAGAPACSLPAADAGAGAASSSSGSAAAVAGDGGGAQNPWLLVGLGNPGRMYKGTRHNVGFEVIDAIAEAEGISVSSKQFKAIVGKGLIGDVPVMLAKPQTYMNASDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKMDAINFVLRSFTEEEQEELDLTINRSLQAVRIMLLEGFNKSATFVNTPQPPEMLNR >LPERR05G20580.4 pep chromosome:Lperr_V1.4:5:18578061:18580813:1 gene:LPERR05G20580 transcript:LPERR05G20580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLTGVPFSSATAASSCLTKFHRFLRFFALRANPRSMTAGAPACSLPAADAGAGAASSSSGSAAAVAGDGGGAQNPWLLVGLGNPGRMYKGTRHNVGFEVIDAIAEAEGISVSSKQFKAIVGKGLIGDVPVMLAKPQTYMNASGESVGLLIAYFKIPLSQVLVSRDFPRLRIGIGRPTGKMDAINFVLRSFTEEEQEELDLTINRSLQAVRIMLLEGFNKSATFVNTPQPPEMLNR >LPERR05G20590.1 pep chromosome:Lperr_V1.4:5:18581709:18582941:-1 gene:LPERR05G20590 transcript:LPERR05G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGRDHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRLPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >LPERR05G20600.1 pep chromosome:Lperr_V1.4:5:18593653:18594816:1 gene:LPERR05G20600 transcript:LPERR05G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLLDDASSGASTAKKSSPAATSSKPLHRVGSGGGSSAVMDAAEPGAEADSGGERRAAAAGNKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDAAAQRFRGRDAVTNFRPLAESDPDAAVELRFLASRTKAEVVDMLRKHTYPDELSQFRRAFAAISPRPSPPSPSPASNTLLSGSTAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQISPATIAGVSGGGGGGGECKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAAAAAGKQAQLFIDCKLRRQKQQFPAAVKAIRLFGVDLLTAAAASPEKHAGGKRGMEAIAESQSKSQSHVVFKKQCIELALT >LPERR05G20610.1 pep chromosome:Lperr_V1.4:5:18602085:18605957:-1 gene:LPERR05G20610 transcript:LPERR05G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDLFLFSSATQNETFSSADPPTSHSTTPQNTPVRQVKMPPPPAPAPAAIRLGAPHPYLKTHGTKVARLHLFDWIVLILLVVIDAGLNLIEPFHRFVGEDMMTSLRYPLKDNTVPIWAVPIYAVLGPMIIFIAIYIKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPNYNNITRQVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDQRGHVAKLCIVVMPLLLAAMVGVSRVDDYWHHWQDVFTGGMLGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPEVENHVQLTATSNHHQGPVALDMRTNSQSLDSMEEGRRVR >LPERR05G20620.1 pep chromosome:Lperr_V1.4:5:18607429:18611104:1 gene:LPERR05G20620 transcript:LPERR05G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGAAPAPAAEQRRRVALRILLAGGEESSQAAEVEEARGRSNKGLASAALRGLGCTSTAALRAQAPGSAAEAGRGRRRRRKGRERVGPPAAGDVWCTCAPGIPFAAEASSVHCVVATRHHHTAAAAAAMGSGRRGEAERRHRERPAVPRARRITMREHISSSLMDSPPLLNADLLPPPPPGRHRRGYRHNHVGAEEEIMMFRTRLLWGRMGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEITRSLRMIKYSAFNPKHFATEVERNCSICQEEFEANEETGKLICGHSYHVHCIKQWLSRKNTCPVCKTAVSKT >LPERR05G20630.1 pep chromosome:Lperr_V1.4:5:18610530:18612753:-1 gene:LPERR05G20630 transcript:LPERR05G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSLYYWIWVSRKAWKCHATLTVTRLKLQLQRQQSIFHLPGYKRFYRFDMINESAMEENSVGSGSGEDAMNIFGQSIDVRRTGKSRRRVTHKRLSPEIEESVGSSRHRQHRRKAIAADQQRARAESELSRAMSMAMKLEHQIEQTNSKSRSHSQMSELQRTRASDSKCSRRTTTTRGLAADAPGSSTAYGEVMRNLDRIKAELRDLQRRVNAASSGPRVEHEEHSSLQAAAAAAEAEAELSSARMELESIKAEGHRFTASIERTREEIAHFADEIRRVNEQEKAASARLQQVKVNLVKSRSRLDAVTAADEEAEETIANLTATLRRLDGEVELTVTETETRRVRADAEGIDGEIAAAERRIRAAVRGLEAARAEEAAATARLKAAVESAGAAAAVTSSSGNVTISRFEYDYLTRRGEVVRAVAEKKAAAEEAWAAARRAGEKEMVMRAEAIEREIGDEEEETRAAEAEAMDGQDARTSRRSAATATAAARRGKSRRMPASSSTAAARKPRSPAAAVKRRKRRVLTLNCLKIFKGKKM >LPERR05G20640.1 pep chromosome:Lperr_V1.4:5:18613359:18615008:-1 gene:LPERR05G20640 transcript:LPERR05G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPHVQSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNISAGILAGATLIWFLFEVVEYNLVTLLCHIALLGMLLLFVWSNAAPLFDRAPPHIPEVIVSEHAFRELALTVHSKVAHFSSVLYDIACGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPVLYERYETEVDHLVAKGGQDLKKFYKKIDSNVLNKIPRGPVKTKVH >LPERR05G20650.1 pep chromosome:Lperr_V1.4:5:18617248:18617532:1 gene:LPERR05G20650 transcript:LPERR05G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTGQMMAVAVVLMLLCAARAEAATTCDALQLTPCAGAIIGNAAPTASCCSRMKEQQPCLCQYARDPSLKRYVDSPNGKKVLAACRVPVPSC >LPERR05G20660.1 pep chromosome:Lperr_V1.4:5:18621221:18621505:1 gene:LPERR05G20660 transcript:LPERR05G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASVVMVLLVVVASVVMEGAEAATCTPTQLTACAPAIVGNSPPTAACCGKLKAHPATCFCQYKKDPNMKKYVNSPNGKKVFAACKVPLPKC >LPERR05G20670.1 pep chromosome:Lperr_V1.4:5:18621936:18628477:-1 gene:LPERR05G20670 transcript:LPERR05G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSTFSSSSRWPGDRRSVFLVVFLSVLASASVAASSAGAVCKSPVFCGEHVEIKYPFFLSNTTEDVVLTDKNTSVPSHCGYPWLGIICEDNGKRAILRLGRDNYTVLEINHDNHTVTVADTDALDATTACPRVKHNVTLPPVLRFPIPNNDSIAFFFDCDFTGNFAEPADIAPINCSGFGRGQPSALPSFVVAEPDTPEGEWWKACKEVYVAPVMKTWLVSNLYYQRLGEDGYGQVLKRGFQLSWDPTAGDCHRCEISGSRGRCSYDNSTTFIGCLCSDGHVSTTVCGDMNHNLLFPPLLVILLLSSVPPSVSQSDAYFRYRNCAPTPYQCGSLKFEVDYPFSANGVDRPDYCSYPGYRFFCTTDSKLMIYMNSTAFQVTDFDYRNKILTVVDQNQPQETCPDRYHNTTIDESRFMFTDRDQNLTVYVNCSASLSSLPFIYDLASCLSGGKSYYKLDNSLAPEVLGSCNSTVVVPCNSTMAGLLATENSSLVDVIRGGFTVRWKAGIGWCSDCQASGGRCGVNSTFPVDDHTCYCPDGQAIGSCPSLGRSGPKISRKRTIVIGVAVVSGVNFMFLLLMCTLCGKKFYRLLFWRRGPKGTANIESFLQKHEAQHPKRYSYAEVKTMTKSFGHKLGQGGFGTVYMGKMPNDKLIAVKLLKHCKDDGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALIYEYMPNGSLERFAFRLNSGTEDSLSWEKMFDIAVGIARGLAYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKDSIISIDGARGTIGYIAPEVFSKQFGEASSKSDVYSYGMMILEMVGARKNISASAEVSSKYFPQWIYEHLEEYCTSASEIRVDDSVLVKKMIIVGLWCIQLLPNNRPSMTRVVEMLQSCADDLQIPPQSFWS >LPERR05G20670.2 pep chromosome:Lperr_V1.4:5:18621936:18628477:-1 gene:LPERR05G20670 transcript:LPERR05G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSTFSSSSRWPGDRRSVFLVVFLSVLASASVAASSAGAVCKSPVFCGEHVEIKYPFFLSNTTEDVVLTDKNTSVPSHCGYPWLGIICEDNGKRAILRLGRDNYTVLEINHDNHTVTVADTDALDATTACPRVKHNVTLPPVLRFPIPNNDSIAFFFDCDFTGNFAEPADIAPINCSGFGRGQPSALPSFVVAEPDTPEGEWWKACKEVYVAPVMKTWLVSNLYYQRLGEDGYGQVLKRGFQLSWDPTAGDCHRCEISGSRGRCSYDNSTTFIGCLCSDGHVSTTVCGPKISRKRTIVIGVAVVSGVNFMFLLLMCTLCGKKFYRLLFWRRGPKGTANIESFLQKHEAQHPKRYSYAEVKTMTKSFGHKLGQGGFGTVYMGKMPNDKLIAVKLLKHCKDDGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALIYEYMPNGSLERFAFRLNSGTEDSLSWEKMFDIAVGIARGLAYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKDSIISIDGARGTIGYIAPEVFSKQFGEASSKSDVYSYGMMILEMVGARKNISASAEVSSKYFPQWIYEHLEEYCTSASEIRVDDSVLVKKMIIVGLWCIQLLPNNRPSMTRVVEMLQSCADDLQIPPQSFWS >LPERR05G20680.1 pep chromosome:Lperr_V1.4:5:18632425:18638989:1 gene:LPERR05G20680 transcript:LPERR05G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLIHLVVVFLSFLASASVAAASAGAVCKSPVFCGEHVEIKYPFFLSNTTEDVVLTDKNTSVPSHCGYPWLGIICEDNGKRAILRLGRDNYTVLEINHDNHTVTVADTDALDATTACPRVKHNVTLPPVLRFPIPNNDSIAFFFDCDFTGNFAEPADIAPINCSGFGRGQPSALPSFVVAEPDTPEGEWWKACKEVYVAPVMKTWLVSNLYYQRLGEDGYGQVLKRGFQLSWDPTAGDCHRCEISGSRGRCSYDNSTTFIGCLCSDGHVSTTVCGTFSGSKTKLQAEQQMHPLYALPLLITLLLSSVPLSVPESDAYFRYRNCAPADYQCGSLKFEVDYPFSANGVDRPDYCSYPGYRFFCTTDSKLMIYMNSTAFQVTDFDYRNKILIVVDQNQPQETCPDRYHNTTIDESRFMFTDRDQNLTVYVNCSASLSSLPFIYDLASCLSGGKSYYKLDNSLAPEVLGSCNSTVVVPCNSTMAGLLATENSSLVDVIRGGFTVRWKAGIGWCSDCQASGGRCGVNSTFPVDDHTCYCPDGQAIGSCPSLGRSGPKISRKRAIVIATSTAACVLFLLLVVVFFLYIRKRRQYKMSSSSRLLKYTTSGGTPRSNNGSSGHSTMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTVYKGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEYVPNGTVADHLHGGRATERALTWPLRLDIAVEAAAALAYLHAVEPPIVHRDIKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLAELISSKPAVDVTRDRDEINLAGMAINKIQRCQVDQLVDHELGYDSDQATKKMMTMVAELAFRCLQHNGEMRPPIKEVFDVLRGIQEECRAEKKGSKRDSPCSPNTVHAPWDSRSTTPNTSQ >LPERR05G20680.2 pep chromosome:Lperr_V1.4:5:18632425:18638989:1 gene:LPERR05G20680 transcript:LPERR05G20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLIHLVVVFLSFLASASVAAASAGAVCKSPVFCGEHVEIKYPFFLSNTTEDVVLTDKNTSVPSHCGYPWLGIICEDNGKRAILRLGRDNYTVLEINHDNHTVTVADTDALDATTACPRVKHNVTLPPVLRFPIPNNDSIAFFFDCDFTGNFAEPADIAPINCSGFGRGQPSALPSFVVAEPDTPEGEWWKACKEVYVAPVMKTWLVSNLYYQRLGEDGYGQVLKRGFQLSWDPTAGDCHRCEISGSRGRCSYDNSTTFIGCLCSDGHVSTTVCGPKISRKRAIVIATSTAACVLFLLLVVVFFLYIRKRRQYKMSSSSRLLKYTTSGGTPRSNNGSSGHSTMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTVYKGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEYVPNGTVADHLHGGRATERALTWPLRLDIAVEAAAALAYLHAVEPPIVHRDIKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLAELISSKPAVDVTRDRDEINLAGMAINKIQRCQVDQLVDHELGYDSDQATKKMMTMVAELAFRCLQHNGEMRPPIKEVFDVLRGIQEECRAEKKGSKRDSPCSPNTVHAPWDSRSTTPNTSQ >LPERR05G20690.1 pep chromosome:Lperr_V1.4:5:18641285:18655303:1 gene:LPERR05G20690 transcript:LPERR05G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIAAAAASSMVPCSPSPPDDAAEAAGLAAEAPMLIFVYFHKAIRAELERMHAAAVRFATERSGDVGELERRCRFLFSIYRHHCDAEDAVLFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVLNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLVKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEELLQQVVFAWIGGEEIKTVTHDFYSPCSESNVRCKDAIDQADKYGCSHENSKTGKRKCTESSYSQLVTQPIDEILCWHDAIRKELSDIVEETRRIQQSGDFSNISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDEVSFEQEHAEEERRFNRFRCLIEQIQIAGTRSTAVDFYSELCSQADEIMEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVMPLKLLEHVLPWFVSRLNDQDAEAFLQNMFLAASSSETALVTLLSGWACKGRSKDTSNSGKFICLTPRVLSSPLDGSNGACLLLLQSDNCSRPAKKRNHMESNMGISNCSQTADIAALTCKNRACHIPGLRVESSNHGVNSFASAKSFRSVSLNYSAPSLYSSLFSSETDATFSGPDNILRPIDTIFKFHKAIRKDLEFLDIESGKLIDGDESCLRQFTGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTVLCELSELHADLKRPLDGADAVGTNHIHSYNDIDWYKKKNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDRHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNLMDTWRQATKNTMFDEWLNEWWKTSTSSSPSNDASPPEENHFQEKLDQNEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPHSEDHNGCTVLPGCCPSYRDPENQVFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLEVQPVGRNCRTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLKEHVCREKKLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGSR >LPERR05G20690.2 pep chromosome:Lperr_V1.4:5:18641285:18655303:1 gene:LPERR05G20690 transcript:LPERR05G20690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIAAAAASSMVPCSPSPPDDAAEAAGLAAEAPMLIFVYFHKAIRAELERMHAAAVRFATERSGDVGELERRCRFLFSIYRHHCDAEDAVLFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVLNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLVKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEELLQQVVFAWIGGEEIKTVTHDFYSPCSESNVRCKDAIDQADKYGCSHENSKTGKRKCTESSYSQLVTQPIDEILCWHDAIRKELSDIVEETRRIQQSGDFSNISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDEVSFEQEHAEEERRFNRFRCLIEQIQIAGTRSTAVDFYSELCSQADEIMEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVMPLKLLEHVLPWFVSRLNDQDAEAFLQNMFLAASSSETALVTLLSGWACKGRSKDTSNSGKFICLTPRVLSSPLDGSNGACLLLLQSDNCSRPAKKRNHMESNMGISNCSQTADIAALTCKNRACHIPGLRVESSNHGVNSFASAKSFRSVSLNYSAPSLYSSLFSSETDATFSGPDNILRPIDTIFKFHKAIRKDLEFLDIESGKLIDGDESCLRQFTGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTVLCELSELHADLKRPLDGADAVGTNHIHSYNDIDWYKKKNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDRHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNLMDTWRQATKNTMFDEWLNEWWKTSTSSSPSNDASPPEENHFQEKLDQNEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPHSEDHNGCTVLPGCCPSYRDPENQVFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLEVQPVGRNCRTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLKEHVCREKKLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDALLAAEELPEEYRDRCQFIGAIAIR >LPERR05G20700.1 pep chromosome:Lperr_V1.4:5:18651057:18654611:-1 gene:LPERR05G20700 transcript:LPERR05G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNSAASVPNAGGNSLAIAERQKPPAPSCVAALFQMLAKKKLFSSSSKKTKLLTPVRAQKFLPGRPPAAGEKTPPAKMRNLMNPANSSCAIFLQLDSPYYPNEVISRLPPPGQDNSCSEMCTPGVVARLMGLSSMPATSNQKLVKAMDSSELGGHRNECSYGCTDNSHQQKPGQLRNGLHDNGSHQFNADVQPLWSQKHAHNKVASPIKSPRSISSRNKARLIEAAVKVLEPGLQSRRNQARRHSRLEYRFNGSVVQSSDEAMHHFSDQYSREMCDVDALRPGPQNVGATSLHKTTSNQWSEEDNKRNASVRRPNQNMSCQARSEGNHNGQSNGFKDNARRTSEAVVVASQGVQKIQPKNKSRENVDCRPLKQNNMKQNALPETYRTADTGHMAQRQKHRAGEQNVANTDGDFSCSNRGMSKNTSASLRSKGNAMDKIVMPHSSAENKNLSTKSHRTGGLHGDRSNKLKLKSATPKATEKDMIIAKGAGLVSEKPKSTTSHSARNDSRRKVESRSASRGNNSDIVSISFNSPRKVVPTLMNGHTKGSDSVVLGSPTSSCPKRNYGRDCQNISSQRELVLREALQGISSLESGESICFNRSELKNRDILGDRVTSSLFQKTSAAPLMEEYPNDEFLWQCNLVESLTFGFRDLPRSAELRETHKMHEATTKGDPSHYVDDACISGSLVNPTEAELRDGHPLKTRTMQVQRNSRCAETNFGQDGMHLSEQLPFQDSSNLRHPGEVAATVELLLTNVRRSTQRTSKAPFKAFLVQTFESALTTLTTTTSKKKKKNNGFSNAGVKVASTEGRRNPLGNLAFDSVMECLDCMFTQFCDSGYMSFSRLPLICPEERLAAEVNREIERCSAMAGKGLDDLIASDVQHAPAVETGVSFSHEVVQIGIQIERDLIQELVVEIGVDVSRRLCFS >LPERR05G20700.2 pep chromosome:Lperr_V1.4:5:18651057:18654611:-1 gene:LPERR05G20700 transcript:LPERR05G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNSAASVPNAGGNSLAIAERQKPPAPSCVAALFQMLAKKKLFSSSSKKTKLLTPVRAQKFLPGRPPAAGEKTPPAKMRNLMLDSPYYPNEVISRLPPPGQDNSCSEMCTPGVVARLMGLSSMPATSNQKLVKAMDSSELGGHRNECSYGCTDNSHQQKPGQLRNGLHDNGSHQFNADVQPLWSQKHAHNKVASPIKSPRSISSRNKARLIEAAVKVLEPGLQSRRNQARRHSRLEYRFNGSVVQSSDEAMHHFSDQYSREMCDVDALRPGPQNVGATSLHKTTSNQWSEEDNKRNASVRRPNQNMSCQARSEGNHNGQSNGFKDNARRTSEAVVVASQGVQKIQPKNKSRENVDCRPLKQNNMKQNALPETYRTADTGHMAQRQKHRAGEQNVANTDGDFSCSNRGMSKNTSASLRSKGNAMDKIVMPHSSAENKNLSTKSHRTGGLHGDRSNKLKLKSATPKATEKDMIIAKGAGLVSEKPKSTTSHSARNDSRRKVESRSASRGNNSDIVSISFNSPRKVVPTLMNGHTKGSDSVVLGSPTSSCPKRNYGRDCQNISSQRELVLREALQGISSLESGESICFNRSELKNRDILGDRVTSSLFQKTSAAPLMEEYPNDEFLWQCNLVESLTFGFRDLPRSAELRETHKMHEATTKGDPSHYVDDACISGSLVNPTEAELRDGHPLKTRTMQVQRNSRCAETNFGQDGMHLSEQLPFQDSSNLRHPGEVAATVELLLTNVRRSTQRTSKAPFKAFLVQTFESALTTLTTTTSKKKKKNNGFSNAGVKVASTEGRRNPLGNLAFDSVMECLDCMFTQFCDSGYMSFSRLPLICPEERLAAEVNREIERCSAMAGKGLDDLIASDVQHAPAVETGVSFSHEVVQIGIQIERDLIQELVVEIGVDVSRRLCFS >LPERR05G20710.1 pep chromosome:Lperr_V1.4:5:18663883:18671058:-1 gene:LPERR05G20710 transcript:LPERR05G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPAMTNSTAPAAMSETPVTTPVTAPATRSRDPGLFKSFDLPAGWGCRRPMAFCLDSSAAVAAPAAVAVEPKRSASRSPPKGDETELAPAAVEAPRKHWNLRDRKGGRWEGVDDAQNPWSMDGGVARGFSVELTRQEIEDDFFAITGRKAPRKPAKRPKSVQRQIDAICPGNSLWEVNRDRYKVNEAARDGGGGGGGAAGEDHFDGGIEELRVKLMGHLRDAADRLRVPHASPQLPPPPAPPPPPPPSTTTTTKPAATQPPETNSDPELRAPPPPPPLLPPPPPLADGNGAAARPWNLRQRTRRRPATSLSSWAAVPGSSSSSSRRRKRAPFSVTLSPEEIEEDIYALTGARPRRRPRKRPRASLFPGLWLTEVTADAYRVPDE >LPERR05G20720.1 pep chromosome:Lperr_V1.4:5:18676651:18679574:1 gene:LPERR05G20720 transcript:LPERR05G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAATTAAAADDDGRRHASKEKSSPPASAPAGPQAGGSSTRTPLHGFSFPTSFGWGTRRLLRCAKEGGEETSPIPSPEKEKGKEVAGDGGSQPSRPWNLRARRPSNVAPNAAPRSEAAAGKKAPAAVQAVVNPPPPSPVAAPSPKKRGFTIALTKQEIAADFLAIRGTAPPRRSRKRPRALQRLLDPLFPGLPLADVDLDNYKIEER >LPERR05G20730.1 pep chromosome:Lperr_V1.4:5:18681980:18682975:-1 gene:LPERR05G20730 transcript:LPERR05G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGATGTGKTKLSIDAAKVFDGEVVNADKIQLYAGLDVTTNKVSLADRRGVPHHLLGSIRPDAGELPPSSFRSLAAATAASIAARRRVPVVAGGSNSLIHALLADRFSPTAGDPFSPSAASAFRHYRPNLRFPCCLLWVHVDESLLDEYLDRRVDDMVEDGMVEELRDYFATTTADERASHAGLGKAIGVPELGDYFAGRKTFSAAIDDIKSNTRVLAAAQVSKIRRMDDDWGLAIHRLDASDTVRARLARAGSAAESASWERDVRRPGLAAIRSFLAADAAADQSPPPRRSEGGVSTGIGFLEPEPPPSPAPPLLRWPRIQYCDMVG >LPERR05G20740.1 pep chromosome:Lperr_V1.4:5:18696031:18700582:-1 gene:LPERR05G20740 transcript:LPERR05G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSRPHLRLLPLRLLSSSRPAAASPSGGNRAPPPPTTRGAPWMQKWGPADPAAPPPPPPPAPAPSSSIDRIVHRLRNLGLASDDDEDGDPTAASAATATAPPDGNERLSDLLDRSWARPDQQFAASSFDESVLPWERDEAARGRGGEEEVDGVKRRRVRAPSLAELTIEDEELRRLRRMGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTLDGTSSVVKGEDGTLFIPDTSSPAEGKDLNAQHEIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQRRCIPIVHSTDDSLDGHALVGTLAEFQEAQARWGREVTAKEKEEMKEASSRLVKEKLFKKLEHKLSIAQAKIHRAERLLSKIEASMILANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAEVERNIRQMKLDLGIEVDEEYDEDNSDSENEDDASVTSARYDEGDFY >LPERR05G20750.1 pep chromosome:Lperr_V1.4:5:18703925:18708176:-1 gene:LPERR05G20750 transcript:LPERR05G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMMRLLSGTGEEDGLEEGGVVGRFNFFSFNSDPTESRQVSGNRKRANYKTEDFQVLLRMRDLGESSNKNSQWLSHWTKGSTSAEPQFVNSSNDSMEDAKYVTCTENFGFSNFEFMKSRLSEMLMLGIRQERASLDHGQQLNSNMWAVAKDACQHTAQNQIDQEDGPIQKSVIQKDVLYAKAVVSKSLSFQKFSELSLDFQKIASSEDQSSEWNHFPMFAINRKIYSILNTKRKSAKNTWPNNVFVPQQTLKVNMITSNMMAFSSQEYELQPHRTTDEIMDQCKQAGGAVSDLEHHAGLMLDPKEQKLKGQISTAMSCSCSNDDSSSSDCPLDEQHTSHYFADSDTEPTCRSSETELKHSENNNTNHTIGTSSQNQKSEATGHHKQKGSSGVIFHTSVPGKKFKADQINRCNKSKQDDEQIYGPCDSHGRTVASDGQRHLNTQRMVSAANAIGSSMLPDPIANLSAINGRGEAVTQTSNIFGDSNKQKAPYLFEMLTVPSKAQRMYPEDSLPSGNSTAFGVHMYETNIGSHLFGANNKSSDKTETLSGDSQHVSKSSAGIASLLEQKVVAKSKRFRTLCLKGESGCSKANGFQNVNKHQGVSSKAAVVDRQQYYIPKIARMDLDFMQFQMSRMRNQESQAQTKTSDRWLKRLQLDNKDHNLPSSKRPKVGEDYPVTEEPSCMTPRFDRSDNDIVDGDKEERGLDEGGKIEGVRETSPTPSKSDNPWIGRWCQGGVPVYHEDYPDQRKEETKPDLVSGELEGQFPSIAAMAMMGRAMSKVRPCQQERRGSFMVWKT >LPERR05G20760.1 pep chromosome:Lperr_V1.4:5:18711027:18712046:-1 gene:LPERR05G20760 transcript:LPERR05G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLYKQLGLGAAGSPISPSHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSNADCAQPHTPTHVATSPAAENATTPATLARHDDDVRVLIGIQTLPSKYARRNLLRTVYALQATEHPSHAVAVDVRFVFCNVTSPVDAALVSLEIIRHGDIIVLDCTENMDNGKTYTFFSTVARAFPNSSYTYVMKADDDTYIRLGALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVEWVATAEESARDRVGPEDMWTGRWLNLAGKAKNRYDMAPRMYNYRGVSPPSCFRHDFVPDTIAVHMLKDDSRWAETLRYFNVTAHLPPSNLYHL >LPERR05G20770.1 pep chromosome:Lperr_V1.4:5:18712951:18715036:-1 gene:LPERR05G20770 transcript:LPERR05G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVTAIAAPIDNSPFVVSSSRDKSLLVWDITNPSAAVASDSEAAPPEYGVPYRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHGKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDLGAGDGHTGWVSCVRFSPNPLAPTIVSGSWDRSVKVWNLTNCKLITKLEGHGGYVNAVAVSPDGSLCASGGKDGTTLLWDLGEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSIKIWDLESKQVMQDLKPEIQASKTMLYCTSLSWSADGSTLFAGYTDGTIRVWKVSGFGGYAI >LPERR05G20780.1 pep chromosome:Lperr_V1.4:5:18716116:18719889:1 gene:LPERR05G20780 transcript:LPERR05G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAMPSSVIASDEDVEDSRAKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTPPSMLFHHGRNSIFFRTPGYIQAQVMQNADRSAAASTSYDPELPNPKGVIYCRIVALTLLVLLVLHDAISVFLGDHDAYTVAMITISEAAAVADITQQVPPSPRRQHVIVIQ >LPERR05G20780.2 pep chromosome:Lperr_V1.4:5:18716116:18719889:1 gene:LPERR05G20780 transcript:LPERR05G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAMPSSVIASDEDVEDSRAKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTPPSMLFHHGRNSIFFRTPGYIQAQVMQNADRSAAASTSYDPELPNPKGLLVLLVLHDAISVFLGDHDAYTVAMITISEAAAVADITQQVPPSPRRQHVIVIQ >LPERR05G20790.1 pep chromosome:Lperr_V1.4:5:18719061:18723240:-1 gene:LPERR05G20790 transcript:LPERR05G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGVSRFVVLVLVLVAVSLASAPRGAAARSLGVGEGAGEVEAADAAVDLNATNFDAFLKASLEPWAVVEFFAHWCPACRNYKPHYEKVAKLFNGRDAAHPGIILMARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDPKQEKSEIKLIDDGRTAERLLNLEDKKYENENMLPKNASDPEQIFQAIYDVEEATAHALQIIFENKRVKPKNRDSLIRFLQILVAHHPSKRCRRGSAELLINFDDNWSFNTSLSLQESSKLLEGATEENHWICGKEVPRGYWMFCRGSKKETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFVCEECREHFYEMCSSVSAPFKSARELSLWLWRTHNKVNVRLMKEEKDLGTGDPSFPKLIWPPNQLCPSCYRSSKITDGAVDWNEDEVYQFLINYYGKMLVSSYKETYMESLQQQEKKIVLEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN >LPERR05G20800.1 pep chromosome:Lperr_V1.4:5:18737560:18738960:-1 gene:LPERR05G20800 transcript:LPERR05G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLAVILNTPSSSTSTPLTALVRVTDRARDVVADEMRVDVEVGVGEQAEIAVPAAVEVERVSVPADEPRVLAHSARQVAVCKDTCTQSLSTRTWRSPCGRSEGNFWGSMPAWCRWDISLCSCRRPRRWTAEETMAPRVEEVMVLLVVAAMAPPVEEAMAPRVEEAMERQVEEAMEPRVVAAMAPQVEEAMAQQAVAVAVASKLVAAAMIAVVAAASKPVVAAMNVVVAAASRQKECDPVQQHVPVAGRNGKTPLLG >LPERR05G20800.2 pep chromosome:Lperr_V1.4:5:18737558:18741145:-1 gene:LPERR05G20800 transcript:LPERR05G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWCRWDISLCSCRRPRRWTAEETMAPRVEEVMVLLVVAAMAPPVEEAMAPRVEEAMERQVEEAMEPRVVAAMAPQVEEAMAQQAVAVAVASKLVAAAMIAVVAAASKPVVAAMNVVVAAASRQKECDPVQQHVPVAGRNGKTPLLG >LPERR05G20800.3 pep chromosome:Lperr_V1.4:5:18738732:18741146:-1 gene:LPERR05G20800 transcript:LPERR05G20800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVVVSDPVPVHPRVLLGDGHHARRDLEHAVELHEHAVDRLGPRHGQGVPFPPGVLGALQLHCLAVEDDDETVGVVVPHGRLARADVEAVAGE >LPERR05G20810.1 pep chromosome:Lperr_V1.4:5:18737746:18739489:1 gene:LPERR05G20810 transcript:LPERR05G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPARWALLLLLGVALLVPAALAAGNTPNGNKGNDGNNGNDGNNGKNGNDGKNGNGGNNGNNENNGNHGNKSPPPPSPVYSPPPPPRSSPPPPAYSPPPPPRSSPPPPAYSPPPPPPPVVPSPPPPVVPSPPPPVVPSPPPPVVPSPPPPVVPSPPPPVVPSPPPPVVPSPPPPVVPSSPPPSSDVVSCTNTTRYPTCTTPAYCPRNCPHSCHMDCATCKPVCDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDTNLHINAHFIGNHVPGLKRDPTWVQAVAVLFSGHRLYVGARKTAVWDDDSDRLVVVFDGETVQLQRAKHARWERDTLSVTRTKAVNGVLVELDGVFKITASVVPITKEDSRVHRYGVTDDDCLAHLDLAFRFYSLTDDVHGVLGQTYRSNYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGLTGDNNAVAVATDELIDVKCSTGIDGVGVVCKK >LPERR05G20820.1 pep chromosome:Lperr_V1.4:5:18742492:18743407:-1 gene:LPERR05G20820 transcript:LPERR05G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCATCKTICDCNLPGAVCQDPRFIGGDGNTFYFHGHRDRDFCLLSDANLHINAHFIGNHVPGLKRDPTWVQAIAVQFAGHRLYVGARKTAVWDDDSDRLVVVFDGETVQLQRAKHARWERDTLSVTRTKAVNGVLVELDGVFKITASVVPITKEDSRVHRYGVTDDDCLAHLDLAFRFYALTDDVQGVLGQTYRSNYVNRLDVSAKMPVMGGDKQFSSSGLFAADCAVARFGRAGDADAVAIASEELIDINCSTGLVGVGVVCKK >LPERR05G20830.1 pep chromosome:Lperr_V1.4:5:18746921:18748333:-1 gene:LPERR05G20830 transcript:LPERR05G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHVLLVSFPMQGHVNPLLRLGRRLAAKGLHVTFTTLRLSAAAPLRDVPDDGACVHVGLSGRLRFEYMRSPPNDDTRHHLVPDEILSHVIAVGPTSLADLITRQADAGRPVTYVVNNIFVPWALDVAADMGIPCAMLWIQPCSVLSIYYHFYESPEKFPSADDPDVAVNLPGLPAMTMDELPFMVRPEFARCLWGDTIRAQVGAIRNGTVSRVLVNSFHDLERSSLDAIRASTTVAVSPVGPLLDQHDGDGDDDDDDGCVAWLDAQPARSVLYVAFGSLVSIGRDETAAVAEGLVASGRPFLWVVRDDDRRVGHIPESVLAASGGERGKITAWCPQGRVLRHGAVGCFVTHCGWNSIVEALAAGVPVVGYPWWSDQFANAKFLVEEYKVGVRLPAPVTGEHLRASVDRVMSGPEAAVIRERAMRWKEEAAVAVADGGSSDRSLEEFVGHVRQSRGSVELARLAQDIEI >LPERR05G20840.1 pep chromosome:Lperr_V1.4:5:18757663:18758199:-1 gene:LPERR05G20840 transcript:LPERR05G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSCTLVKVPGGGKGARVILPDGVVRHVTLPATAAELMMDSPGHFIADARAARVGARLAALSADEELELGAVYATFPMKRLATPLAAADMARLAAAAAREARRSAKVSSVVASPPQPMTSAEDAPPAPRLRLDEMVGEDEAAAVDMSVFKHRLSSARSRRPTLETIQEENYMSRN >LPERR05G20850.1 pep chromosome:Lperr_V1.4:5:18761362:18764871:-1 gene:LPERR05G20850 transcript:LPERR05G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMCGSLMRELQVIWDEVGEPEAVRDKMLLELEQECLGVYRRMVDQANRSRAQLRQAIAQCEAELAAICSAMGEPPVHVRQSNQKACGLREELSAIVPYLEEMKRKKIERWNQFLDVVGRIKKISSEIRPANFIPFEVPVDQSDLSLRKLEELRVELQSLDKEKAERLKQVMEYLKTLHSLCEVLGLDFKQTVSEIHPSLDEAGGSRNISNTTIEMLALAIQRLRETKMQRMQKLQDLASTMLEIWNLMDTPIEEQQAFQNITRNIAASEAELTEHNTLSVEFLNYVEAEVSRLEQLKASKTKELVLKKKTELEELRQRAHLVGEEGYATQFTIEAIDAGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNACEEEDDNRYNAGRGAHVMLKRAEKARILVNKIPGMVDILETNIIAWENERGNEFTYDGARLISMLEEYMVVREEKEQEKKRQRDQKKLQDQRKTEQEALYGSKPSPSKPHMQPPKSDILHSKTIRATKKTEDISTLSPGLDTVGLPIKKLSFNSSTLHEMETPRKPFSQITPGNCILSTPVQPISNGTEQNKTPKTLAAPTPKTPMTVSSHMQMAVTPVLTTKVVSVLSYDESELTLQEDTEYSFEERRFAVYLAAQVA >LPERR05G20850.2 pep chromosome:Lperr_V1.4:5:18761362:18764871:-1 gene:LPERR05G20850 transcript:LPERR05G20850.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMCGSLMRELQVIWDEVGEPEAVRDKMLLELEQECLGVYRRMVDQANRSRAQLRQAIAQCEAELAAICSAMGEPPVHVRQSNQKACGLREELSAIVPYLEEMKRKKIERWNQFLDVVGRIKKISSEIRPANFIPFEVPVDQSDLSLRKLEELRVELQSLDKEKAERLKQVMEYLKTLHSLCEVLGLDFKQTVSEIHPSLDEAGGSRNISNTTIEMLALAIQRLRETKMQRMQKLQDLASTMLEIWNLMDTPIEEQQAFQNITRNIAASEAELTEHNTLSVEFLNYVEAEVSRLEQLKASKTKELVLKKKTELEELRQRAHLVGEEGYATQFTIEAIDAGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNACEEEDDNRYNAGRGAHVMLKRAEKARILVNKIPGMVDILETNIIAWENERGNEFTYDGARLISMLEEYMVVREEKEQEKKRQRDQKKLQDQRKTEQEALYGSKPSPSKPHSTKKVPRNSTAGANRRLSLGGTSVQPPKSDILHSKTIRATKKTEDISTLSPGLDTVGLPIKKLSFNSSTLHEMETPRKPFSQITPGNCILSTPVQPISNGTEQNKTPKTLAAPTPKTPMTVSSHMQMAVTPVLTTKVVSVLSYDESELTLQEDTEYSFEERRFAVYLAAQVA >LPERR05G20860.1 pep chromosome:Lperr_V1.4:5:18767174:18770234:-1 gene:LPERR05G20860 transcript:LPERR05G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALTSLVRTASRLRGASPAPRPRASLHQRPSPAGYLFNRAAAYATAAAAKEAPPAAPAAAGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >LPERR05G20870.1 pep chromosome:Lperr_V1.4:5:18778899:18779950:-1 gene:LPERR05G20870 transcript:LPERR05G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVHSHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTDQEDHIICTLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMGATHAQPRVATPPPGQCTSSPAPSLSPASSSVTSSSGDACSFAATNTIYPPAAPASQLIRFDAQPLPPASQTEFAAPVPLNDGGVLSLDDVFLSELTAGEPLFPYAELFSGFADLPLPVPVVPEKAAAMELSACYFPNMAEIWAASDHSHGHGHAKPHGLYNTLT >LPERR05G20880.1 pep chromosome:Lperr_V1.4:5:18781274:18789539:1 gene:LPERR05G20880 transcript:LPERR05G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVDRLNNAVGGDDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLAVKKVKGLTKEELATRTDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDVYFKGTDESNQYRREYEMRKMKQDEGLDVIGEGLQTLKDMASGMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIILLCIILGIAAYLYKRLHSRHPDLASRPNSRRRINPATKRLPSPLSLSISPRRSPIHQRRRRRRRRGDSFPFSSPFHRPAASSLPAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTGSPENHTGGEESLQLATSANDV >LPERR05G20880.2 pep chromosome:Lperr_V1.4:5:18781274:18789539:1 gene:LPERR05G20880 transcript:LPERR05G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVDRLNNAVGGDDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLAVKKVKGLTKEELATRTDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDVYFKGTDESNQYRREYEMRKMKQDEGLDVIGEGLQTLKDMASGMNEVVPHLELYNIIYSGGIMQWLYQTWGNVLNFCDHQELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIILLCIILGIAAYLYKRLHSRHPDLASRPNSRRRINPATKRLPSPLSLSISPRRSPIHQRRRRRRRRGDSFPFSSPFHRPAASSLPAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTGSPENHTGGEESLQLATSANDV >LPERR05G20890.1 pep chromosome:Lperr_V1.4:5:18792674:18797000:1 gene:LPERR05G20890 transcript:LPERR05G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRIPLLSPRFPADGTAGVGGGGDQKDGGGGDRWWGGLAREAGKVGTMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPLIAQEAGRYIVWLIPGLFAYAVCQPLTKFLQSQGLIFPMLWSSIATLLLHIPLSWLLVFKTSLGFTGAALAISISYWLNTFMLAAYIRFSCACKVTRSPPTIEAFRGVGLFLRLALPSALMLCFEWWSFEILILLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGALLASQHILGYAYSSDKEVVTYFTSMVPFVCISVAADSLQGVLSGVARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGLKMEGTGLWLGIACGSVLQFFLLGIIAFFSDWKKMAEKARERVFGETPSEKQHLVLDATSSV >LPERR05G20900.1 pep chromosome:Lperr_V1.4:5:18798451:18799047:-1 gene:LPERR05G20900 transcript:LPERR05G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLLRSGHRLLLLRHGRIAPAFSTAAAAAEELIDVRKLPTDYDPSTFDPTAPSRPAPSDRVWRLVEDVSSLTLAESAALSALLLRRLDIPAPPIAVLNSAAGLGGGGAAAAGAAGEKAGGAAAAEKTVFELRLEGFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAQTIIDKMKAVGAKVVMD >LPERR05G20910.1 pep chromosome:Lperr_V1.4:5:18803254:18812020:1 gene:LPERR05G20910 transcript:LPERR05G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQRTRQGDGEERRLMAAAADGGGEEYDPWTAWLYKPHTISVLLVGACLLICQGMYLSGASTLSELEAGHVPVCWASGALDPEGASSHTSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARRFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDIIICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWFPFMGPLRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGIALLVFLLAWSWRNHLRYQRKRL >LPERR05G20910.2 pep chromosome:Lperr_V1.4:5:18803593:18812020:1 gene:LPERR05G20910 transcript:LPERR05G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGTSKKPAGGGELSRFLQSHIQTINDTYQMMAQAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPERKTTIPPVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDVLREMKELPIGDTGDSTAEKSSNGPVDTTSCSDRDELSSDLELDEDFTEEEIAVAKLVVTVASDALVVVKETIRFITCLLKSSVNRMGANEEKVEAMEKLLSCCREAADQINDLGASVYPPQDLSEMKSSVKRLYDGTNAMRREIGSLGGSPESAFAALETFEKSLGALEAEIADDVVDEMENLTISSS >LPERR05G20910.3 pep chromosome:Lperr_V1.4:5:18803593:18812020:1 gene:LPERR05G20910 transcript:LPERR05G20910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGTSKKPAGGGELSRFLQSHIQTINDTYQMMAQAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPERKTTIPPVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDVLREMKELPIGDTGDSTAEKSSNGPVDTTSCSDRDELSSDLELDEDFTEEEIAVAKLVVTVASDALVVVKETIRFITCLLKSSVNRMGANEEKVEAMEKLLSCCREAADQINDLGASVYPPQDLSEMKSSVKRLYDGTNAMRREIGSLGGSPESAFAALETFEKSLGALEAEIADDVVDEMENLTISSS >LPERR05G20910.4 pep chromosome:Lperr_V1.4:5:18803593:18812020:1 gene:LPERR05G20910 transcript:LPERR05G20910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGTSKKPAGGGELSRFLQSHIQTINDTYQMMAQAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPERKTTIPPVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDVLREMKELPIGDTGDSTAEKSSNGPVDTTSCSDRDELSSDLELDEDFTEEEIAVAKLVVTVASDALVVVKETIRFITCLLKSSVNRMGANEEKVEAMEKLLSCCREAADQINDLGASVYPPQDLSEMKSSVKRLYDGTNAMRREIGSLGGSPESAFAALETFEKSLGALEAEIADDVVDEMENLTISSS >LPERR05G20910.5 pep chromosome:Lperr_V1.4:5:18803593:18812020:1 gene:LPERR05G20910 transcript:LPERR05G20910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGTSKKPAGGGELSRFLQSHIQTINDTYQMMAQAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPERKTTIPPVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDVLREMKELPIGDTGDSTAEKSSNGPVDTTSCSDRDELSSDLELDEDFTEEEIAVAKLVVTVASDALVVVKETIRFITCLLKSSVNRMGANEEKVEAMEKLLSCCREAADQINDLGASVYPPQDLSEMKSSVKRLYDGTNAMRREIGSLGGSPESAFAALETFEKSLGALEAEIADDVVDEMENLTISSS >LPERR05G20920.1 pep chromosome:Lperr_V1.4:5:18822545:18831495:1 gene:LPERR05G20920 transcript:LPERR05G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVITIGGAKGTVGWVDLWRGILLFDVLDELPELRNVPLPLPSKGTWPLLSTRAETLSDSCCFVRTGSWKVNTWNMPIPVNSWKDWKLGCSFTSNRMDNILKDFGRRMHFKSNTAALSVKRGTAYPTLSIADDDDDGVVYLLHSKGTTRMVLTVNARTWTLMRCFLSCGISKHLNTTACPSCAAQINASGRETERLNLNSGLDAFRNHSQLQAHHWSRVTTTRLNSSKISGPLSMALRGLNVGSRKTPCKVNQHAHI >LPERR05G20930.1 pep chromosome:Lperr_V1.4:5:18823006:18827251:-1 gene:LPERR05G20930 transcript:LPERR05G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDPNFGRFPSRSSPESAHLPNATEIPRQQKARRRLGFVAAETTVLDSEKYHMILSAAVAADATEVAYTRATTSHECSIQNACSIPSFLKDKAAHQNQKEEIDHVRQLDQLLAPFHLFEGGDAKLREWRQTRSTYGKDSPPPPHRCHRLFRIKLTVKRGQALWSHKETPRFCPEREGGFPIRLARLAADGLHLELEEDGGGQVGAISSFRLNPSQSGLSGNGEIVECDSDSPPVRLVVVIRRLPGAGGGDRLALMSNMWTAELRVRLHDKFFVLNPCGSIVTIAFSERWFRIPSNWTEEKLYARAGHDFINITDTLEDLARTLYQMYEQEEQEKIVLREKQEQERRMQEEMDREREELERRPLSYIPLGHGDMRWESPDESMHRRFRLSLGTNGDVIRCDFVEQESCCDMRWRLACYDRFVLPLTTMELQAVGFVEGFSDLEINGFIEQSGKTKNLRPVAMVSMSPHVDQIYSFMFLVDNLAIRLNDGIVLTGWSGIKVGIYCRDDDNSCAFSSSTLAHSWAHQILEWKVDDNSPISCSCLFLQLNRKLRRLNDARIAREEHDLGLSAIFALEAEQDERLLFHQKQQENKDLKLNALSLSGTQSGGGEGEGEYSLLFESPQEFDWVKVSEPYVPKFPTEEEIRKREEWCKERLTLVMEPIIQPVQEPRRGRKYFMCEPGSRSTREAELPLHESFFVLPYNWTLSDKSECFVAIRDLKDGRRNCIFNRDMDHPFTVISHGVLRLPTLSPRRAIESGPEILLEFNLKMKRSGDSIDSCHELIQGVLEHPSIYERDWSRINELSILPSGCHSTPMMRLKLAMISKGVEATVEVQPLSLPPGGIDLRCAARAGWIADDIELFDGKYGGDNTSLQFVVATELHGNMEIHLEGVCNGVSKRWSIGFVPKFHALFSQELVYLMFCGSDEAHEFKGEQIAQQRRRMKQLKLRTCSVEMFGDPTGKETAHKSPRPRINSEYHPCGALGMQQVNNTIIVIISYAQGRVSRSAFH >LPERR05G20940.1 pep chromosome:Lperr_V1.4:5:18836298:18837504:-1 gene:LPERR05G20940 transcript:LPERR05G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTSLASLVSLAIPTTTSSRFSTTRGHGHRTGSGLFRASRIRCSNAASPNAPADAAAAAPPPKPQIDLEFVGPKAEADGSYPVDRAAAASGEKLLRDVMSENKIELYAAYGKVMNCGGGGSCGTCIVELGYLTRELAIVNVAQIVEGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKACVTDTFLLYHWNEIWPTHLFTMV >LPERR05G20950.1 pep chromosome:Lperr_V1.4:5:18844766:18857012:1 gene:LPERR05G20950 transcript:LPERR05G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQAAAASRQAAEAAAGSHIRSMSKIPESSIGLYDPSMERDSCGVGFIAELSGEHSRKTVDDAIEMLERMAHRGACGCEKNTGDGAGILVALPHDFFREVTKDAGFELPAPGEYAVGMFFMPTDEERREKSKLLFREKAQLLGHDVLGWRRVPTDNSGLGKSAVDTEPVIEQVFVTKSARSKAGFEQQMYVLRRFSIMSIREALGVKNGGPKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLTKDEMSKLLPIVDATSSDSGAIDNVLELLIQSGRSVPEAVMMMIPEAWQNDVNMDSERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPEDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKARPYGEWLKRQKIQLTDIIESVNEIERVAPTISGALPITNEDKADKGIRGILAPLKAFGYTVEALDMLMLPMAKDGVEPLGSMGNDTPLAVMSNREKLTYEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLSLKGPLLNTDEMEAIKKTNYRGWRSKVLDITYPKRNGRIGLQQTLDKICAQAREAIHEGYTILVLSDRGFSSDRVAVSSLLAVGAVHQHLVSNLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIEAIWRLQIDGRIPPNSDGKPYTQKQLVEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVKKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEIHLNDPFSIAKLQEAARINSREAYKEYSRRIHELNKACTLRGMLKFREIPTKISLDEVEPAKEIVKRFCTGAMSYGSISLEAHVSLAEAMNTLGGKSNTGEGGEQPSRMEPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGNIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGIDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEIDPKVLEGNEKLQNIDLSRLLKPAAEISPWAVQYCVEKQDHGLDMALDNKLISSSTAALQKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSGTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSTRCNYELVDLYDVVEEDDITTLRMMIQQHRLHTESNLAKDILSNFDSILPKFIKVFPRDYKRVLDKLKADKAAKEAEQSASKVVDMKPVEAIKPPNGISIKTEIVKNEKPSTRPSRVSNAVKYRGFIQYEREGTSYRDPNERVRDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKVGIVQRRVNLMAEEGISFVKNAHVGSDPLYSVEKLRSENDAVILACGATKPRILSLSRDLRIDGREFSGIHFAMEFLHANTKSLLDSNLEDGKYISAQGRKVVVIGGGDTGTDCIATSIRHGCINLVNLELMPEPPIKRAPDNPWPQWPKIFRVDYGHQEAASKFGKDPRSYKVLTKRFIGDENGKVKALEVIRVEWGNVDGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVAEQLGLEKDRRSNFKAEFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSKDESETNDTEELAVSSESLVQPVVA >LPERR05G20960.1 pep chromosome:Lperr_V1.4:5:18860296:18860646:1 gene:LPERR05G20960 transcript:LPERR05G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPLPCPCKEGTAVATSGVKKRRKRMIAPVREEEEMKSAWPGCHVEASGGDGVRVKVVMKRKDAAELMARLEERCALERKARMVQLNSGLGGGGVMSPCRDAWAPRLASIAES >LPERR05G20970.1 pep chromosome:Lperr_V1.4:5:18862813:18870025:1 gene:LPERR05G20970 transcript:LPERR05G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRLVRTQPGWAPTAADRGRRRRPLALALGLSPCRVRCRAAAMPPPPPSVRTVSIPFSDLKERDGDLSRKIEEGLGPNGLGIISIADVPGFPELRKELLRLAPKVASLPEDVKKELEDPDSRYSFGWSHGKEKLESGKLDTFKGSFYANPVLDVPTTEDVLVRRYPSYCRPNIWPANHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGSYDGESLEQTIARSRCHKGRLLYYFPRKFSKSEEGGSVSSWCGWHTDHGSLTGLTCALFTRSSMEIPCPDSAAGLYIRTRDDQVVKVTFEEDELAYQIGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDENLKFPSEIPYHHELIPPNGTLTFGEYSERLVNKYYQGKT >LPERR05G20970.2 pep chromosome:Lperr_V1.4:5:18863799:18865162:1 gene:LPERR05G20970 transcript:LPERR05G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALTVASKYLQVTFSELQGCSLEVFYTVLSRRSVQVSCLICKNSVVVGILEAFYQLVSGR >LPERR05G20970.3 pep chromosome:Lperr_V1.4:5:18862813:18865162:1 gene:LPERR05G20970 transcript:LPERR05G20970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSNPTQETFKTLPALGLISSHSPCAVTPLLRRHLHLHPPRRSAAAAAKMVKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSSGTTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVMMR >LPERR05G20980.1 pep chromosome:Lperr_V1.4:5:18870636:18875959:-1 gene:LPERR05G20980 transcript:LPERR05G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQPWVGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKMLSKHLESVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMETSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >LPERR05G20990.1 pep chromosome:Lperr_V1.4:5:18878926:18886965:1 gene:LPERR05G20990 transcript:LPERR05G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPSTADPDPATSTTSSSSTHSTTTTTTPSSLRKRLLSVDTSSRCGGSERESKARSEMAETERDVESAVPVPMSPAGRLFREKHFNCYIVAVIGLGSRVDVAAARAGLEATLVRHPRFCSVQVTDDASKSAKPKWVRTTVNLDDHLIFPDLDPTATSSSPDQAIEDYMSTLSTTPMDHSRPLWELHVLDFPTSDAAATVAVRMHHSLGDGVSLLSLLIACTRSAADPSRLPALPPPAAQQPRRREGGVAAAFVAWVWWCVVLAWHTVVDVVLFVATSLFLRDARTPFAGTDGVEFRRKRFVHRTLSLDDVKLVKNAMKCTVNDVLVGVTSAAMSRHYFRKTSDINSEKSKRRKNIRVRAALLVNIRKIPGLHVLAEMMKSSKSNGARWGNLIGYMGKRTVDRKKSSLEAIFTYRSGNLIVKLFGIKAAAALCYGMFTNTTMSFSSMVGPSEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNTVKVVLAVDDAQFPDAHQLVDDFAESLRLIRQAASANS >LPERR05G20990.2 pep chromosome:Lperr_V1.4:5:18878926:18883646:1 gene:LPERR05G20990 transcript:LPERR05G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPSTADPDPATSTTSSSSTHSTTTTTTPSSLRKRLLSVDTSSRCGGSERESKARSEMAETERDVESAVPVPMSPAGRLFREKHFNCYIVAVIGLGSRVDVAAARAGLEATLVRHPRFCSVQVTDDASKSAKPKWVRTTVNLDDHLIFPDLDPTATSSSPDQAIEDYMSTLSTTPMDHSRPLWELHVLDFPTSDAAATVAVRMHHSLGDGVSLLSLLIACTRSAADPSRLPALPPPAAQQPRRREGGVAAAFVAWVWWCVVLAWHTVVDVVLFVATSLFLRDARTPFAGTDGVEFRRKRFVHRTLSLDDVKLVKNAMKCTVNDVLVGVTSAAMSRHYFRKTSDINSEKSKRRKNIRVRAALLVNIRKIPGLHVLAEMMKSSKSNGARWGNLIGYMGKRTVDRKKSSLEAIFTYRSGNLIVKLFGIKAAAALCYGMFTNTTMSFSSMVGPSEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNTVKVVLAVDDAQFPDAHQLVDDFAESLRLIRQAASANS >LPERR05G20990.3 pep chromosome:Lperr_V1.4:5:18883755:18886965:1 gene:LPERR05G20990 transcript:LPERR05G20990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAVAVVVMALAAAATAQMESCNTELPAVLVGNYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGIGFSRDGLMVGSSAMVGWIGRKGLPHVKQFSLRGKTSGKVVVNRGFLVSNDHDHTVVVQQARIHLAFQLRFSYKLSHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFADGSFPYKLRRAHGALNVFAWGVLLPIGAILARYFRRMDPLWFYLHVGIQFVGFIIGLAGVVAGVALYSKIQADIPAHRGLGIFVLFLTILQILAFFLRPNTDSKYRKYWNWYHHWSGRLLLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVVGLEFMLWTRWSKDSAPTPTY >LPERR05G21000.1 pep chromosome:Lperr_V1.4:5:18894476:18897611:1 gene:LPERR05G21000 transcript:LPERR05G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIRSGEPSSSRADKRPAASEGKAHKAADAGAGGGVVMALAKTDTKYQQHHAGSADHHGPSSSSKAPAPAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFICPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMESDSE >LPERR05G21010.1 pep chromosome:Lperr_V1.4:5:18899232:18904008:1 gene:LPERR05G21010 transcript:LPERR05G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHPMTIIAGFRMAAECARDALLKRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKSGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLGLVTGGEIASTFDNPESIKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHNEQSTAGIDVISGGVGDMKKLGISESFKAKQAVLLSATEAAEMILRVDEIVTCAPRRREDRM >LPERR05G21010.2 pep chromosome:Lperr_V1.4:5:18899353:18904008:1 gene:LPERR05G21010 transcript:LPERR05G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLLKDDATEEKGDRARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKSGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLGLVTGGEIASTFDNPESIKLGHCKVIEEIMIGEDRLIHFSGVEMEVDELARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHNEQSTAGIDVISGGVGDMKKLGISESFKAKQAVLLSATEAAEMILRVDEIVTCAPRRREDRM >LPERR05G21010.3 pep chromosome:Lperr_V1.4:5:18899674:18904008:1 gene:LPERR05G21010 transcript:LPERR05G21010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGHHIFLRNYQILIFLSLFLMPKEKKSNSLTWTSDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKSGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLGLVTGGEIASTFDNPESIKLGHCKVIEEIMIGEDRLIHFSGVEMEVDELARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHNEQSTAGIDVISGGVGDMKKLGISESFKAKQAVLLSATEAAEMILRVDEIVTCAPRRREDRM >LPERR05G21020.1 pep chromosome:Lperr_V1.4:5:18905380:18906519:-1 gene:LPERR05G21020 transcript:LPERR05G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARRTTLLLMANYAALLVGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVAGVFAAGRRPSAAAPPAPRPFTWFSRRFLAVCLVIGALMGANNLLFSYSTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALGEKSSTSSSTSSEEQRGYIVGFAVTLAAAALFAAYLPVMELVYREAVSGGFVLAVEVQAVMQAMASAVAAIGLATSASGVADDVARWEAAGGSSAASYWAVVGTLVVTWQACFMGTAGVIYLTSSLHSGICMAAVLVLNVIGGVVVFGDAFGAEKAMATALCAWGFSSYLYGEYAKSKKANSLAAEAAAEMVAGEDSSSDDGGGVHKRLTGGAQLVETAEAV >LPERR05G21030.1 pep chromosome:Lperr_V1.4:5:18909886:18915737:1 gene:LPERR05G21030 transcript:LPERR05G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQNVADHRHPRPNPLLHADAFHPGRRVDHVALRRRLQPRRAGELHGQPRHHGAVVTPRPVVTILERVHGDTWQTKPVQLGAERGHHRLVRRRGENREARAGVDDSSAVAADVPHLGRDFERLAVDGHGVDRHRVERPHAGVEHQRRAPRGGGGRLR >LPERR05G21030.2 pep chromosome:Lperr_V1.4:5:18909886:18916663:1 gene:LPERR05G21030 transcript:LPERR05G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSANCKDGQFNMAIFSIRNQLGVGQSAAFTVAQHGVILLLLDIFSAPRRVQAVAEHEARHMAPPLRTLFCAQALARRHQLAHLHFSYENEKRHRIGACNARDAPPAEAVRSCRDVRAARAKSSAQQRDGRAGHGSSKCAGGNNSPTETIEKRDPTPEKLLQILQGATLRTSSSTLVVGLLPACFSSS >LPERR05G21040.1 pep chromosome:Lperr_V1.4:5:18912407:18915912:-1 gene:LPERR05G21040 transcript:LPERR05G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRLLMVVVVGFALAAAATAASGGRHGRGRSKSAARLQLVPAVPGASMAERARDDRHRHAYISARLAASRHRRRAAETARSPPVETSAFAMPLTSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCHGGAASSAPRVFRPSDSKTWAPIPCSSDTCKSTIPFSLANCSSSTSACSYDYRYNDDSAARGVVGTDSATVAISTGVAHGGGERKAKLQDVVLGCTTAYGGQGFEASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLSPRNATSYLTFGSSSSSPPSSQTSSSSSWRTPLVLDARVRPFYAVTVDSVSVDGEALEIPPEVWDVGSNGGTIIDSGTSLTVLASPAYKAVVAALSAKLDGLGLPRVTMDPFEYCYNWTGGDDRAVVPRLAVQFAGAARLEPPAKSYVIDAAPGVKCIGVQEGVWPGVSVIGNILQQEHLWEFDLNNRWLRFRQTRCTQ >LPERR05G21050.1 pep chromosome:Lperr_V1.4:5:18921756:18927474:1 gene:LPERR05G21050 transcript:LPERR05G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAQAMEPGELDAMLQAAAEFGAHGDDAVRQFLEQFPLPKLLGVLQSEADVPGMDETVATCLDKVFSSRYGASFLPSYGAFIQAGLLANSKNIKQLACKAVIHLLDKAGDSAVAVDTFVQYNLYPLLINCLTEGDEEISAVSLDGIKRLAEIPKGIDIIFPPSGQGSVQLDRVAAQSSSMARIRILSLIAKLFAVSTYTATAICNSNLLSLFQNEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSGDSIVRSRATLIGGRLLSSADAFMAIDKNCVTNLLLAIDKILKMEDSQNTDEIESALEALGLIGTTSVGACLLLTDSSNVTRHVVEASFDRQGRGKQLAALHALGSICGVDRQENQMKLDNQAEERLKHLVYTTARNSPKMTPSAFLLSVLQQDPDIRIAGYRVISGLVAREWCLMEVCSKSDIINLVTDPNMEMTKLGMDARHNCCMAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERALSML >LPERR05G21050.2 pep chromosome:Lperr_V1.4:5:18921756:18927535:1 gene:LPERR05G21050 transcript:LPERR05G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAQAMEPGELDAMLQAAAEFGAHGDDAVRQFLEQFPLPKLLGVLQSEADVPGMDETVATCLDKVFSSRYGASFLPSYGAFIQAGLLANSKNIKQLACKAVIHLLDKAGDSAVAVDTFVQYNLYPLLINCLTEGDEEISAVSLDGIKRLAEIPKGIDIIFPPSGQGSVQLDRVAAQSSSMARIRILSLIAKLFAVSTYTATAICNSNLLSLFQNEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSGDSIVRSRATLIGGRLLSSADAFMAIDKNCVTNLLLAIDKILKMEDSQNTDEIESALEALGLIGTTSVGACLLLTDSSNVTRHVVEASFDRQGRGKQLAALHALGSICGVDRQENQMKLDNQAEERLKHLVYTTARNSPKMTPSAFLLSVLQQDPDIRIAGYRVISGLVAREWCLMEVCSKSDIINLVTDPNMEMTKLGMDARHNCCMAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >LPERR05G21050.3 pep chromosome:Lperr_V1.4:5:18921756:18928954:1 gene:LPERR05G21050 transcript:LPERR05G21050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAQAMEPGELDAMLQAAAEFGAHGDDAVRQFLEQFPLPKLLGVLQSEADVPGMDETVATCLDKVFSSRYGASFLPSYGAFIQAGLLANSKNIKQLACKAVIHLLDKAGDSAVAVDTFVQYNLYPLLINCLTEGDEEISAVSLDGIKRLAEIPKGIDIIFPPSGQGSVQLDRVAAQSSSMARIRILSLIAKLFAVSTYTATAICNSNLLSLFQNEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSGDSIVRSRATLIGGRLLSSADAFMAIDKNCVTNLLLAIDKILKMEDSQNTDEIESALEALGLIGTTSVGACLLLTDSSNVTRHVVEASFDRQGRGKQLAALHALGSICGVDRQENQMKLDNQAEERLKHLVYTTARNSPKMTPSAFLLSVLQQDPDIRIAGYRVISGLVAREWCLMEVCSKSDIINLVTDPNMEMTKLGMDARHNCCMAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >LPERR05G21060.1 pep chromosome:Lperr_V1.4:5:18927915:18930560:-1 gene:LPERR05G21060 transcript:LPERR05G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMRGFGFAGNGAGGGMMMMTAQEAAEAAVGVVGCGYDLNSDVRLSRVKPGGRLVDIDGGSSGGEARRELILPGGAVVAGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKEAAATRSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVVAGVKMGGKDVVCIKQLKGSNLTQSDVQARLKKLADDKLSQDSPESHTARDDKFSQGLNVNLFGPGSAAWRSLRPSVVSSKDDIVCTHIRRGGVDNGQSHSKWLSTISSSPDVISMSFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLQVNTAKADSGNRLVTGIRLFLEGKKNNRLGVHLQHLSATPATITITGEAASAVDADVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAVVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVASRKSGSFSARLSAAIVAGGSSSQMTKPPPEGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEVQDDPDV >LPERR05G21060.2 pep chromosome:Lperr_V1.4:5:18927915:18930560:-1 gene:LPERR05G21060 transcript:LPERR05G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMRGFGFAGNGAGGGMMMMTAQEAAEAAVGVVGCGYDLNSDVRLSRVKPGGRLVDIDGGSSGGEARRELILPGGAVVAGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKEAAATRSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAESVRRSPLVTVAFAAMGFIDKYGTHVVAGVKMGGKDVVCIKQLKGSNLTQSDVQARLKKLADDKLSQDSPESHTARDDKFSQGLNVNLFGPGSAAWRSLRPSVVSSKDDIVCTHIRRGGVDNGQSHSKWLSTISSSPDVISMSFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLQVNTAKADSGNRLVTGIRLFLEGKKNNRLGVHLQHLSATPATITITGEAASAVDADVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAVVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVASRKSGSFSARLSAAIVAGGSSSQMTKPPPEGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEVQDDPDV >LPERR05G21060.3 pep chromosome:Lperr_V1.4:5:18927915:18930560:-1 gene:LPERR05G21060 transcript:LPERR05G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMRGFGFAGNGAGGGMMMMTAQEAAEAAVGVVGCGYDLNSDVRLSRVKPGGRLVDIDGGSSGGEARRELILPGGAVVAGVPVGIVADKGERTRFRSDVLSFAQYGTHVVAGVKMGGKDVVCIKQLKGSNLTQSDVQARLKKLADDKLSQDSPESHTARDDKFSQGLNVNLFGPGSAAWRSLRPSVVSSKDDIVCTHIRRGGVDNGQSHSKWLSTISSSPDVISMSFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLQVNTAKADSGNRLVTGIRLFLEGKKNNRLGVHLQHLSATPATITITGEAASAVDADVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAVVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVASRKSGSFSARLSAAIVAGGSSSQMTKPPPEGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEVQDDPDV >LPERR05G21070.1 pep chromosome:Lperr_V1.4:5:18935323:18938019:-1 gene:LPERR05G21070 transcript:LPERR05G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRSPNPNPLLPAIAVVLLLFPATAAASPYSDHCHGLPSAPDLADGGGGGEGTDFRSLQLHTGYFTGGGERLFGPDPSHPPRSFAFLPSSVVRTTDASLLHVSATLTVSGGWRRPTVGGRHRLFEYDAQHARHQFRPRLPRVIGRRGSVTFGLEGYYSSASGELCMVGNGSGRTADGTEVNLISAVLRVRYPGRANLTSPFVTGSLESTDSPGLFDPVSLVAYAEEGYAYAESASCPPPPAGRLDALQVFEGRKFSCGKLSSMFKEPFRLEYSNGSDLTASSLGLHQRFMFFNRMRCADDGAVRAYVVFSNQTDAPKYYFTLGEKAMVVEGFWDDKRNRLCLKGCHVVNSAQSRADLSVGECGIGMSFWFPAVWTLQERSFSAGLIWNASLTSGEGIAASSSTIPPYIRGSISGLKYNYTKVDEARKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVALDLFSHVTAENQRLLNVSYDFQYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISSDCEILVTAQFASLDTKAAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDQVDESIWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSITMLVVLSLGYMIPLVLNFEALFKNSNKQTVPLSGGGWLEVNEVIVRVITMVTFLMQLRLLQLAWSARSVDVSKDQSWAAEKKVLWICLPLYIIGAVVTWVVHMRFNNNRRMLRKVARFPRVINRHSFWEDLVSYGGLILDGFLLPQIVLNAFSGSKVKALSPGFYIGSTMIRALPHVYDLFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLSLLLFFQQRLGGSFFLCLKNNSKSSEYEMVSTVSS >LPERR05G21080.1 pep chromosome:Lperr_V1.4:5:18940727:18944203:1 gene:LPERR05G21080 transcript:LPERR05G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSLWQASVNATKRAIVWNSEDLLPPSEKYIFNFNSKDEVKRWHLYSDSEYGGLSSASLEITDGVAGGDTSSTGVFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFDGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQEEDNSWQAFVYLPHDRWQIMKIPLDSYLPTWRGNVIEAKLEMNPARVVGMSLSVNAEGGVPGAKTGPGDFKLEVDWIKALRTL >LPERR05G21080.2 pep chromosome:Lperr_V1.4:5:18940727:18944133:1 gene:LPERR05G21080 transcript:LPERR05G21080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSLWQASVNATKRAIVWNSEDLLPPSEKYIFNFNSKDEVKRWHLYSDSEYGGLSSASLEITDGVAGGDTSSTGVFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFDGFIDLDAYDTIAMKLRGDGRCYISTVCIPFPRQYSIYGIKLMHLLHNVNLKQIYTENWVNSPGQEEDNSWQAFVYLPHDRWQIMKIPLDSYLPTWRGNVIEAKLEMNPARVVGMSLSVNAEGGVPGAKTGPGDFKLEVDWIKALRTL >LPERR05G21090.1 pep chromosome:Lperr_V1.4:5:18945044:18945819:1 gene:LPERR05G21090 transcript:LPERR05G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIRRTELRRWLMSPTAARTPPPPPPRHHYVGPDVVSGLASRSKPRFQFCPTAANIQQLLEWTQPRWDWRGSGNGNERSPALPVRLCAVYLVAEGSPAPAAGLFPLGMYGLWLRRGIAELQLRRTVNNHRMHNACNFYKYKYL >LPERR05G21100.1 pep chromosome:Lperr_V1.4:5:18946846:18950695:-1 gene:LPERR05G21100 transcript:LPERR05G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPKGYLCAGSSSFDDPDVVELTPAAAAAGGWSSGHKKRKRSQVIPHEVIELDDDDDPDGVVIISEKSSVDKNKQAVGYPIDWLKHAKSSFAGEIAGPSTYAPKNPDVLFGGLKVFQDNPVYYGTDDYTYEPFEEEDAYDEDDYDDYEYDSALYESEYNWGVKFDDLDIPFPPGMSAPLPWPHKAAAEMPNKTKPVNILDDKIDEKYNVFKQFDTVDDHSDHYYSKPDSRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAMHANTPHGEKKSLSYNEDTFLLSCRTMLYALRNPPKHFEDFIGGHFRKYGHNILVACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKTSLKRLFEQLLKEFTVKGAECDKFLAEKAKSAAYRAAPADTTLRL >LPERR05G21110.1 pep chromosome:Lperr_V1.4:5:18952865:18961154:-1 gene:LPERR05G21110 transcript:LPERR05G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAIDSDSESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVSSAADPSGQLGRVVGVDMFVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPVLFEDAPYFYYPGQRVSIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPSNFQESKDLTLLSCFPYANWQLGDWCTLSVDHEGNLWENSGKSCFMSMRTYGSDCSQTYVVAKTKSTVDVLWQDGSTSLGLEPQDLVPVSTLGDHDFWPGQFVLEKLTVEDNGRCQRTGIVTDVDALERTVNVKWAVAVDNDTVTYGDGPTEETVSAYELVLHPDFSFCTGEVVIRSAVNIENSEAALTNGTVAVSKERFDTPSAFLSCIGNVLGYKNEGLEVQWASGAISRVQHFEIIALDRILDDSLESMVEEHTTDDMVDMAEQEKMDLEDSKNALEESAGNCTASLRKATAFLFPKTAFDFLTNVASSLFGAHDSTSSSSVTADPQYQIVMTAELQPSAEDIYEEKQTVELMAQIEKPTLTSENVMTKGFDVVTDCSDHHFVKENGHENVKRGWVKKIQQEWTILQNDLPDDIHVRVYEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFEKFVKEHFTCRAPHILDACKAYLGGDLVGHARDISYISDDGCKNSSTGFKIMLGKLLPKLVTTFSEAGIPCSLKEDPALPAEVVVLQKTWKF >LPERR05G21120.1 pep chromosome:Lperr_V1.4:5:18970450:18972863:-1 gene:LPERR05G21120 transcript:LPERR05G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCLLLARRLIAHAPQTLASSSIAARTLTSMAQPLAPRVLASPRHLFPSHRHFASRSSGEEDEEDDDDHYDDEGSEGEWGEDEDEEAVAVAAKPPSGKTEEEKVAEAAEIGYAVVGALEPEEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQTQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEAAAVAA >LPERR05G21130.1 pep chromosome:Lperr_V1.4:5:18973708:18976824:-1 gene:LPERR05G21130 transcript:LPERR05G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHVFGYIDWIPRHREFQFSPCYKRSLPATERYIHGEASLLSVSNPIKDQTSNGLPEAIVLREGLSSISQRLPSVFHGFRLGQQLAFHFRELCSVVACKIRSKLARFLHRFWTTLQGSSKDIGWLQRTKTLPCLVDGTNRFKELLHDVRNGMHRLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILREGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHRLPVDELPIISFHTEASTAPTMLATLSRVAHAELLPWLPLPRRFLSASEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDRAEADATQMCEALMAMLVEIGRKKCC >LPERR05G21130.2 pep chromosome:Lperr_V1.4:5:18973708:18976824:-1 gene:LPERR05G21130 transcript:LPERR05G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHVFGYIDWIPRHREFQFSPCYKRSLPATERYIHGEASLLSVSNPIKDQTSNGLPEAIVLREGLSSISQRSYGRLPSVFHGFRLGQQLAFHFRELCSVVACKIRSKLARFLHRFWTTLQGSSKDIGWLQRTKTLPCLVDGTNRFKELLHDVRNGMHRLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILREGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHRLPVDELPIISFHTEASTAPTMLATLSRVAHAELLPWLPLPRRFLSASEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDRAEADATQMCEALMAMLVEIGRKKCC >LPERR05G21130.3 pep chromosome:Lperr_V1.4:5:18973706:18975942:-1 gene:LPERR05G21130 transcript:LPERR05G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHVFGYIDWIPRHREFQFSPCYKRSLPATERYIHGEASLLSVSNPIKDQTSNGLPEAIVLREGLSSISQRSYGRLPSVFHGFRLGQQLAFHFRELCSVVACKIRSKLARFLHRFWTTLQGSSKDIGWLQRTKTLPCLVDGTNRFKELLHDVRNGMHRLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILREGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHRLPVDELPIISFHTEASTAPTMLATLSRVAHAELLPWLPLPRRFLSASEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDRAEADATQMCEALMAMLVEIGRKKCC >LPERR05G21140.1 pep chromosome:Lperr_V1.4:5:18977030:18979395:-1 gene:LPERR05G21140 transcript:LPERR05G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSQLQNPNEVDRAAVATAVAALFKWMRARAAEAPPNLLTDERDDLVILQLSLRRIPSSSATKPRLLPLLHPVLLPGDSASVCVISDDRPKSTRSPAATDIADKAKSHGLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRGVLPLLPRVLGKAFYSTKKAPVAVDFTRAGWPEQVRKVMSSTFLYLRSGTCSGIKVGRLDMKEEEIVENVMAAVAAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPELGMKIEVPVAQLEIEAGCGEVIDAAEVETGKKSMGKKKMLQLTHVEYGVVVEAAKESGKRKRSKKKQAEDVMEEDVTEKRKKVKGTTSAVEELKVSKKGREKSKRALDKEEDDGSVEKKGRKNEHASEEASNKKKKGKKEVESDIGEKISKGKKSNGNKEKKRTSYLCILQRFKVCILAIEWKSWKPSQICNCKCAGKSSYDYEVKRRTNPSATRTQPTLKVGGTVQTDRFKFQRGLSLTIFPGNGRHSHTWRAMEVARADDIPRGAHALARASSRRVA >LPERR05G21150.1 pep chromosome:Lperr_V1.4:5:18979715:18987462:1 gene:LPERR05G21150 transcript:LPERR05G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLYAALGRTYTQEEFEALCFEFGIELDDVTTEKAIIRKEKHLDDDGDVDGDDEVIYKIEVAANRYDLLCIEGLARSLRVFTGTEATPKYKISPIPRDSMHRMYVKPQTSQVRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTVKGPFSYEALPPQEINFIPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLNTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEIVGPIGISLDETQVVSLLNKMQLQAESCASKEEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDGSCDVGASNNRRLAALYCNSQSGFEEIMGLVDRIVKVVRAPHVKFGQNYYVPTNEPEFFPKRQCKIVTSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >LPERR05G21160.1 pep chromosome:Lperr_V1.4:5:18986072:18988798:-1 gene:LPERR05G21160 transcript:LPERR05G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLLHAAVLAATLLLLANTTEAFFNVFNIFHPRSESDYFQNAFDGSLEQSIPNQPQQIITTEQEEQGAGVAATATTGLTKVPPLGPPSKAAQAVILPVNDADAGRPTGTWTIISENSGVSAMHLAVMRHSKAIMFDTSTTGRSSPTRGARRAHLMRMTGGYFEGEKAVRHLGACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGQTNGQSVRFPFLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVIFDHRTGKIIRELPKLAGGGRNYPASAMSALLPLDLRNLTSGSDPEPEVIICGGALKTAFRVGENNTFQPTLRDCARINLARVDAQWAVEAMPVGRVMGDMLVLPTGDLLLINGAAKGCSGWGFARQPILSPIMYSPRLPEGSRFRPLAASTIARMYHSTSAILPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFSPPYLSPEVVGGNRAVIDVASVAVDGMRYGTKFAFRFHTPTAAVVEADVRVTMYAPPFTTHGISMNQRLLVLPVTGFAEQGQMYEITVDAPRKPELAPAGYYLVYVVAKDVPSVAVWINRCSKSANNEG >LPERR05G21170.1 pep chromosome:Lperr_V1.4:5:19006224:19008289:-1 gene:LPERR05G21170 transcript:LPERR05G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFRSRKGKRSAGSSSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPSLGQQPPPVHGIGSLNLEDARSSNSLSSSPSPSFRANINASSPYPIHPNIARRTGVSLQLKRFYSPMHVNMAYGLGSRSGDIRYGEFQSASPIIRHYGLNQPADSINSDDPEDVDLELKL >LPERR05G21170.2 pep chromosome:Lperr_V1.4:5:19006224:19008289:-1 gene:LPERR05G21170 transcript:LPERR05G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFRSRKGKRSAGSSSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPSLGQQPPPVHGIGSLNLEDARSSNSLSSSPSPSFRANINASSPYPIHPNIARRTGVSLQLKRFYSPMHVNVISLMAYGLGSRSGDIRYGEFQSASPIIRHYGLNQPADSINSDDPEDVDLELKL >LPERR05G21170.3 pep chromosome:Lperr_V1.4:5:19006224:19008289:-1 gene:LPERR05G21170 transcript:LPERR05G21170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFRSRKGKRSAGSSSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPSLGQQPPPVHGIGSLNLEDARSSNSLSSSPSPSFRANINASSPYPIHPNIAMAYGLGSRSGDIRYGEFQSASPIIRHYGLNQPADSINSDDPEDVDLELKL >LPERR05G21180.1 pep chromosome:Lperr_V1.4:5:19013436:19014463:-1 gene:LPERR05G21180 transcript:LPERR05G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQTGGGASAAAAKLKPTPRALFSCGIFSTCTHPALSPTATPNHNALAGATTPKRATTPNNNHNNDMMIMMTSPAAADAAAATKPAVELSHHHHQERHPRQQQSSSSSSSSSASQSFTQWRLPVHHPPHASSSATAAAGTVMMSAEEKFAAGEVVAALRTVEREMEAAAAARAVPVGVNSPLWSASTPSAAPDPYGADLSPPPPPPPKPPPPPSYEQACAIGVLAAIYGNNSNTNGGEDAASSSSSSSPPPAEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGQP >LPERR05G21190.1 pep chromosome:Lperr_V1.4:5:19019069:19023197:-1 gene:LPERR05G21190 transcript:LPERR05G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLEARDYIGLGAAAATSSSCSSSLGPPEVGGAHLALRLGLPGSESPGRAEAVVVVDAALTLGRGGGAKRVFVDSLERPESRRAAAAAADEGGVREEEKGEAAAEVPRAANSKITGTDSLKLRNSDD >LPERR05G21200.1 pep chromosome:Lperr_V1.4:5:19030981:19035113:1 gene:LPERR05G21200 transcript:LPERR05G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKSAWAAIGSQRHTRPTERSKSSRGLNCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENERLPFDEGVVERGIVDSKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESSPAIPDINDLDIPVRKLLIIVTITTVRPQQAYYLNRLAHVLKTAQSPLLWLVVEWPDQSYETAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMADVFEEMRKIRRFGTWPVAIHTGVKYRVVVEGPICKGNRVTGWNTIQKKGAVRRFPVGFSGFAFNSTMLWDPQRWNRPPMDSVIVHSGGRGGLQESRFIEKLVKNERQIDGLPEDCNRVMVWNFNLEPPQLNYPAGWSLYKNLDSIIPVAMRLLSDAFLNKLHTGLHEVAVA >LPERR05G21200.2 pep chromosome:Lperr_V1.4:5:19030981:19035113:1 gene:LPERR05G21200 transcript:LPERR05G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRRNTGGIQRDGSVRDWSEFVDPSPSPKLLYSQSYVAMRGLISSLVSMDFALLSSRLKSAWAAIGSQRHTRPTERSKSSRGLNCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENERLPFDEGVVERGIVDSKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESSPAIPDINDLDIPVRKLLIIVTITTVRPQQAYYLNRLAHVLKTAQSPLLWLVVEWPDQSYETAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMADVFEEMRKIRRFGTWPVAIHTGVKYRVVVEGPICKGNRVTGWNTIQKKGAVRRFPVGFSGFAFNSTMLWDPQRWNRPPMDSVIVHSGGRGGLQESRFIEKLVKNERQIDGLPEDCNRVMVWNFNLEPPQLNYPAGWSLYKNLDSIIPVAMRLLSDAFLNKLHTGLHEVAVA >LPERR05G21210.1 pep chromosome:Lperr_V1.4:5:19040979:19041488:1 gene:LPERR05G21210 transcript:LPERR05G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLIITILPGLLYLGAVVGGGSGRGLKATIGGFAFPMQAAMHQLDLLLVALLYGCAFTGALLAATALVLVAFAAGALLVTLALAASDARRLVAGPAARRAADVAAANLRLARALALYAVVGAAVRAALAVRPKVAAVASRVATARAEGARGAMSLLRRGPGRLHIVE >LPERR05G21220.1 pep chromosome:Lperr_V1.4:5:19043961:19052916:-1 gene:LPERR05G21220 transcript:LPERR05G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSRTSNSDRIGFFNPVMAFMGHNGEDSSEASEKQQSPEITSTAEQNQSASTEPPASKADASEDSGSTQSPKQPSEKEETPISSTDSSVSKAEVSEQPAAPTIPTQLSATEEKPSGPTESPTYKGDASEVSETPQSSTQPSTAEENCGSTETGNTTEEENRDHQETKYPGRSDEPLESQLGKSDNGTKPSSPTELDQSGNTETTEYLHAGTEDKDDGNAIQSQPADSIMASSDDVNEAVKIVQGPVDQNEISNPQENSDTVDQASHLEVKERDENTNAVENEEEANQTEAQEAIVVERENNIVVQSEDLSSKSIIVNNESNSQNESMPTSADVPVVGLVEIASNSKDLRKEETNQGSVTTNSHLGSVGSVAELEKLRHEMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDGLKSKSAEAEMDALKDEYHQRVSTLERKVYALTKERDTLKREQNKKSDAAALLKEKDEIISQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEASAEARVNNEAKVELESRLREACEKENMLIKTIEELRHALTRQEQEAAFREERLKRDYDDLQRRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHKKELQEAAEHRELLEKDLEREKATRAELEKTSSREAPKIPLPDQTRNAPLRKQSSAGSINSLEESHFLQASLDLSDSSSLERRMSSESNMSYYLRSMTPSAFESALRQKDGELASYTSRLCEKLRTEAAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQVWILSVEKPLSFASPNKSILLQAPNP >LPERR05G21230.1 pep chromosome:Lperr_V1.4:5:19054181:19057524:1 gene:LPERR05G21230 transcript:LPERR05G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSAISGRKLAVRSSSTALCRTTRKPRAAVVAKYGEKSVYFDLDDIGNTTGQWDLYGSDAPSPYNGLQSKFFETFAAPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQLPPTPGPRGKI >LPERR05G21240.1 pep chromosome:Lperr_V1.4:5:19056323:19058698:-1 gene:LPERR05G21240 transcript:LPERR05G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLEYRFRRRRSASSSSPLSLSSSSAAAATALLSSDDDMAESPMDPAMPPAARRALSRSCGSRGRLSFELPPLAGGPSDKEETPPRLSLSSSSPAPAPALARPSAAAAALHEGPPSDAEMVREKFSKLLLGEDMSGTGKGCSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVTDHIVEFVPSKQVSEDGTTMEIMITQQRQDLQMNVPALRKLDAMLLEYLDNFKDKQEFWYVSKDADESEKGNTPRQDDKWWLPTVRVPPNGLSDASRKWIQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSTLGDSMYKIITDDYFNPEELLGTVDLSAEHNIVDLKNRIEASVVIWQRKMVQKEGKLSWGHGVKFEKRERFEARAENVLLLIKHRFPGIAQSALDISKIQYNRDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLVQDHTTQDSMRMPSLSSDDTDKVVLDAKAEVERLRRMEPFSATLFDFVGPRDQDVEATKMDSRDIGRREDTPGRKLTKVSPIATKRFSYLEKLENLGGTRSPISRH >LPERR05G21250.1 pep chromosome:Lperr_V1.4:5:19059895:19060569:-1 gene:LPERR05G21250 transcript:LPERR05G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATRRFHLWFRGLRALRRDLRSARWADDPSQIGPLVGRFVAHMEDYCSARAEMDPVWTLSAPWASPVERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVRSGNLGDLSPAQLAQIDDLQRRTVAEEDVLSREMAMVQEGHGAVVAGGGIDVEGIVERVRAVLARADALRVRTMKRAVEILEPAQAAELLVAAADMEIGFREFGVKYGGGGRGE >LPERR05G21260.1 pep chromosome:Lperr_V1.4:5:19061102:19063890:-1 gene:LPERR05G21260 transcript:LPERR05G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSFMLLVLTLQAVVIGCSSLDLPVQLSNRRLLQGRIHDAPYRDHFPSVVNITLSPSHRPQNDSNRTPHGSSQSAAEAPAKKESSKGFKKWLYIVVIPVTGLFMLAGIAWMVLPCRKKSVSTIGPWRTGLSGQLQKALVSGVPQLQRSELQRACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHSEDCFRKKIDSLSRINHKNFINLLGFCEEEEPFMRTMVYEYAPNGTLYENLHDISLDRIDWRSRMRIIMGIAYCVQHMHELNPAKVHPDLHSSAIFLSEDGAAKIADLSVWHEVVSKGRMSTTNDDQNETISSGLAENVYSFGILLLEIISGKLPYSENEGSLVNLALGCIIKGQSLTSLLDPVLESHKENELEVICQVIIECIQSDPMKRPNMREITTRLRDTIAISPDAATPRNSPLWWAELEVLSPVEASLCICLIFSAPANVKDEYSSVCNNTSDNLVLGHSISQPDGWIMKPHSPKALLIPTQTPMCINDFDQFPASRRLIAHVKQQIFPCP >LPERR05G21270.1 pep chromosome:Lperr_V1.4:5:19065803:19073517:1 gene:LPERR05G21270 transcript:LPERR05G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAEAAGLLEEEVGEREDRWGGLLPELVEEVVRRVEASGGERWQARKDLVSCACVCRRWRDAAAAVVRPLHESGKITFPSSLKQPGPKDFQIKCFIRRDKKKSLFYLYLDLLNATTDKGKFLMVARRFRRGPHTEYIISLDAGDLSQGSNAYLGKLRSDFWGTNFKIYDSQPPYDGAKASSIRSCQHFGSIRHFKSRRICPQVLAGNFDVGQISYKYNLLKSRGPRRMFCTMECPSTQETWYNSLKKKYLRRLGTTVLRNKAPHWHEHLQCWCLNFHGRVTVASMKNFQLVATADPSQPDSIGDEETVILQFGKVDSDIFTMDYRQPLSAFQAFAICLSSFGTKLACE >LPERR05G21270.2 pep chromosome:Lperr_V1.4:5:19065803:19074118:1 gene:LPERR05G21270 transcript:LPERR05G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAEAAGLLEEEVGEREDRWGGLLPELVEEVVRRVEASGGERWQARKDLVSCACVCRRWRDAAAAVVRPLHESGKITFPSSLKQPGPKDFQIKCFIRRDKKKSLFYLYLDLLNATTDKGKFLMVARRFRRGPHTEYIISLDAGDLSQGSNAYLGKLRSDFWGTNFKIYDSQPPYDGAKASSIRSCQHFGSIRHFKSRRICPQVLAGNFDVGQISYKYNLLKSRGPRRMFCTMECPSTQETWYNSLKKKYLRRLGTTVLRNKAPHWHEHLQCWCLNFHGRVTVASMKNFQLVATADPSQPDSIGDEETVILQFGKVDSDIFTMDYRQPLSAFQAFAICLSSFGTKLACE >LPERR05G21280.1 pep chromosome:Lperr_V1.4:5:19073110:19073427:-1 gene:LPERR05G21280 transcript:LPERR05G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKVSSSRLSEPINTTVTTDNGQEVAASHFISQASQLDEAARERLHRMNQRLKMLEMQMETLEAGVAKASSDTFE >LPERR05G21290.1 pep chromosome:Lperr_V1.4:5:19076975:19080035:1 gene:LPERR05G21290 transcript:LPERR05G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAVAAAAAAAAATGGGGGGLRLPPPNPNLPYREDCWSDGETAALVCGAHPPRTDVQCKNRVDTLKKKYKAERLRTSPSTWSFYGELDRLVGPTLSASAAKRPSPSSTPSPVAHFALPIHPSAVRKPPSPSSASPSPPPPMALPLPNYRRGSPLPAAALIMQEAAAAAAAAVSDSEDSEGLGHNMNINNNNNINNAHQSPSQSVSSRSGNSNKRSRREAVRGDEGGFRELARAIEAFSEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKKHSGAAQDDYQCEVQ >LPERR05G21300.1 pep chromosome:Lperr_V1.4:5:19090040:19091253:-1 gene:LPERR05G21300 transcript:LPERR05G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIDQIPLVKCPKANAAIIPSIDLSAPGAAAAVADACRRFGFFKATNHGVPAGLAESLEAGAMAFFALPHQQKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSVSSSSVPTSALPPSLQMTVEEYTRAVREVSGKVMELMAEGLGVAKEEREVLRRMVVGREGSDEMVRVNHYPPCCSCLLPPGRDCGVTGFGEHTDPQIISVLRSNSTAGLQIMLRENDDDDLPRWVPVPPDPHSFFINVGDSLQVLTNGRFRSVKHRVLAPEGNESRLSVIYFGGPAPSQRIAPLPQVMREGEESLYREFTWGEYKKAAYKTRLGDNRLRPYELVASP >LPERR05G21310.1 pep chromosome:Lperr_V1.4:5:19100649:19101543:1 gene:LPERR05G21310 transcript:LPERR05G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSIVAGVTLMCIILLVLSSAVTAGEAVRQWEGMESTVAVKGRFRKMKRELFSVLGCSLALLVVQQLQQ >LPERR05G21320.1 pep chromosome:Lperr_V1.4:5:19107759:19108001:1 gene:LPERR05G21320 transcript:LPERR05G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVVQIWSLIVLAMIVVGSVTPAMAARDGRRLLQPPAPVGRVNGGGTWNRGRTTEESAGGGGGVKREVPGGPDPQHHH >LPERR05G21330.1 pep chromosome:Lperr_V1.4:5:19110552:19114087:-1 gene:LPERR05G21330 transcript:LPERR05G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICPPSSDSSSPGSGARVWIFHGLALGAAAAAAAAAAYLYRRPGGGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTSESCRNGSERCCEALQKIDKNYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTELKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQFGSSI >LPERR05G21330.2 pep chromosome:Lperr_V1.4:5:19111243:19114087:-1 gene:LPERR05G21330 transcript:LPERR05G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICPPSSDSSSPGSGARVWIFHGLALGAAAAAAAAAAYLYRRPGGGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTSESCRNGSERCCEALQKIDKNYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTELKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >LPERR05G21340.1 pep chromosome:Lperr_V1.4:5:19118044:19122626:1 gene:LPERR05G21340 transcript:LPERR05G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGGLSADPARCSFDQTLRREGFTVAGAKRELQKLDFQDNRLLRSLVNIHEQETYSREIITEAIENCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEVQKSVQVLQDKQEVAETQRELTKLQLLHEESAQKSEGTAPSVLLTKENDGSMPVANHELALVPLHQVNAVQSPAMQFQSCNGLVLQQLVPVSLSTQQDQQHLNQATMYCMQNQTHVEHRQAQPFQPAPQSVQRHTQNPQPQTVIEVPQVTSQAPEFYLQPQQQWARQTGQQVQPQSRQPQPQVVQQQQYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMGVQPSYSTISSSQRNHHEVAPIYVQSSTISVPLAEHNLQHQQPQQLQSLCNGSFKPSKVSLHGAASYTVQGSAQAYNAAYGNLSNNAATVVAVLPQQPQSNAPMVLHHLGPQSVQNHPVDMAEKVARMSYFKDQAESMALRMATAGQPVEFKHLA >LPERR05G21350.1 pep chromosome:Lperr_V1.4:5:19123250:19126375:-1 gene:LPERR05G21350 transcript:LPERR05G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHGGADSRRIVSISHFCLRGIVGEFLISLVGGADRAVGMEEMMDMDEEVRPELACPYCYEDHDVASLCAHLEEDHPFEPHAAPCPICSEKIAKDMLNHITVQHGYLFKVLLVGGGHRPSSNSSTTNISADPLLSSFGLSFPTSDAEETSKPPISIPDDVSMVKETPAQPWDSRIDSSLTSEEREQKRKQASVRATFVQDLLLTTLFGD >LPERR05G21360.1 pep chromosome:Lperr_V1.4:5:19128567:19133199:-1 gene:LPERR05G21360 transcript:LPERR05G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRDSGVRSSISNRPVASLPGVDLIARNQRRGAAEVPAPPRGGEKWKAASLNYLPAFVLMSASGYERPAEASKRTADDILAEFFGSSSPFSGMGGGPGIRMSGGGRGSGFGGGADGHHHGVHAGGGGKAVKAPAIERKLPCSLEELYKGTTKKMKISREIEDNSGGGDLTIEVKPGWKKGTKITFPEKGNEQPNIIPADIVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALSGYTVHLTTLDDRSLTIPISSIIHSGYEEVVSGEGMPIRKGTSKKGNLRVKFDIEFHRRNVPGPCPSPSASTSAPPQRRMCKPVFTTVDQLCPQTHGHTLTARVLFSRTILDKPPLRARLAECLVADQTGAVLFTARNQQVDLVKPGTTVIFRNAKIDMFKRTMRLAVDKWGCIEVAEHASFQVNEDNNGNLMVKFDIKFPSRLTADQKSGVKRLLGQ >LPERR05G21370.1 pep chromosome:Lperr_V1.4:5:19133751:19135464:-1 gene:LPERR05G21370 transcript:LPERR05G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKAASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGPGMRMGGGGGPRFSSSIFGDDIFGSGFGGGADGHHHGMHAGGGGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTVQLMTLDGRSLTIPISSVISPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPSRLTADQKTGVKRLLGQ >LPERR05G21380.1 pep chromosome:Lperr_V1.4:5:19136461:19147043:-1 gene:LPERR05G21380 transcript:LPERR05G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAASASASAGKEESPPPASASGPAPALNPAPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRADLSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTAGMYGEPLPPSSSRRGSGRPSAVPRLSAPDVARRYYEPPQVMLPPMAPMQLTRAEHRVIDSVERLIGEPLRDDGPVLGVEFDPLPPGAFGAPIVPDQQRQPFRSYEAKMFSGHDTKHMKASAFLPSVDPFVPNTVTGKRKSMAGNSPHLGSRAVREYQFIPEQSSDIYERTSHSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYHGHISGSSHLTLHGRPSVFPSGPTDYEMDPSNINASSVPNEGQYGIPPVVGYENSLAPSDRMVYHDEDAYRIDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDILNRKREEQMRREMERNDRERRKEEERLLREKQREEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSQSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSETVRLKVPFSVKPWTSTDDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVSLLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGFGPHLKKRNAENVNSHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRLFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKAPLVKAQDSGVSTAVGDTNKERNSVANASISPLIHTKSPESISLHTPDKSGQVHTTSDLLAEISSDNEVAANSTQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREDFSTKMQYDSGMGLKVDVDQPNSLAESTLTPVHNLVKDNNGNGSSVKNELPVDQQSQPNAGNIVHERNGVKQEFSANPENLSAQQYVTSEKTRSQLKAYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSARIFFESRDGYWRLIDSVEAFDALVSSLDTRGIRESHLHSMLQSIESTFKEAIGRKRCASIEPLAGRVLKNGTSEIISPNHSNEFGSPCSTLSGAASDSAMAYSDSFRIELGRNDVEKTAISKRADVFIKWMWKECNNHQLTSAMKHGKKRCSDLIQCCDSCYQIYLAEETHCASCHKTFKSIHNISDHSSQCEEKQKTDPNWKMQISDNSVHIGLRLLKLLLATIEASVPAEALEPSWTDVYRKSWGVKLYSTSSTEEVFKMLTILEGAIRRNFLSSDFEITTELLNSNTQDSASQNIAGRSGSADVLPWVPDTIAAVALRLLDLDSAISYTLQQKVVSNKERGAGEFMKLPPRYTPAKAKQETEPLGTGTFDRQETWLTPSNGRRGRGRGGRGGSRGGRSRSRGGKVPRGIGSSPKIEFRGYSASAMPSEKAPRKYARRGRNRGRGRGLRTVRPRQPADIGARSIPKENLLGSFSMLRNTKHTATVESPQSSGAEEWGLERRPSYAKDDEDNSVSQSDESSEEDNSEPMNEYDEQLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDDGEEDGEDYDAEQHGDEDNDDAEMDEDDVEDNDDGGGGAENGDDDEDGTSYSSEYSE >LPERR05G21380.2 pep chromosome:Lperr_V1.4:5:19136461:19147043:-1 gene:LPERR05G21380 transcript:LPERR05G21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAASASASAGKEESPPPASASGPAPALNPAPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRADLSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTAGMYGEPLPPSSSRRGSGRPSAVPRLSAPDVARRYYEPPQVMLPPMAPMQLTRAEHRVIDSVERLIGEPLRDDGPVLGVEFDPLPPGAFGAPIVPDQQRQPFRSYEAKMFSGHDTKHMKASAFLPSVDPFVPNTVTGKRKSMAGNSPHLGSRAVREYQFIPEQSSDIYERTSHSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYHGHISGSSHLTLHGRPSVFPSGPTDYEMDPSNINASSVPNEGQYGIPPVVGYENSLAPSDRMVYHDEDAYRIDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDILNRKAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSQSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSETVRLKVPFSVKPWTSTDDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVSLLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGFGPHLKKRNAENVNSHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRLFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKAPLVKAQDSGVSTAVGDTNKERNSVANASISPLIHTKSPESISLHTPDKSGQVHTTSDLLAEISSDNEVAANSTQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREDFSTKMQYDSGMGLKVDVDQPNSLAESTLTPVHNLVKDNNGNGSSVKNELPVDQQSQPNAGNIVHERNGVKQEFSANPENLSAQQYVTSEKTRSQLKAYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSARIFFESRDGYWRLIDSVEAFDALVSSLDTRGIRESHLHSMLQSIESTFKEAIGRKRCASIEPLAGRVLKNGTSEIISPNHSNEFGSPCSTLSGAASDSAMAYSDSFRIELGRNDVEKTAISKRADVFIKWMWKECNNHQLTSAMKHGKKRCSDLIQCCDSCYQIYLAEETHCASCHKTFKSIHNISDHSSQCEEKQKTDPNWKMQISDNSVHIGLRLLKLLLATIEASVPAEALEPSWTDVYRKSWGVKLYSTSSTEEVFKMLTILEGAIRRNFLSSDFEITTELLNSNTQDSASQNIAGRSGSADVLPWVPDTIAAVALRLLDLDSAISYTLQQKVVSNKERGAGEFMKLPPRYTPAKAKQETEPLGTGTFDRQETWLTPSNGRRGRGRGGRGGSRGGRSRSRGGKVPRGIGSSPKIEFRGYSASAMPSEKAPRKYARRGRNRGRGRGLRTVRPRQPADIGARSIPKENLLGSFSMLRNTKHTATVESPQSSGAEEWGLERRPSYAKDDEDNSVSQSDESSEEDNSEPMNEYDEQLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDDGEEDGEDYDAEQHGDEDNDDAEMDEDDVEDNDDGGGGAENGDDDEDGTSYSSEYSE >LPERR05G21380.3 pep chromosome:Lperr_V1.4:5:19136461:19147043:-1 gene:LPERR05G21380 transcript:LPERR05G21380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAASASASAGKEESPPPASASGPAPALNPAPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRADLSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTAGMYGEPLPPSSSRRGSGRPSAVPRLSAPDVARRYYEPPQVMLPPMAPMQLTRAEHRVIDSVERLIGEPLRDDGPVLGVEFDPLPPGAFGAPIVPDQQRQPFRSYEAKMFSGHDTKHMKASAFLPSVDPFVPNTVTGKRKSMAGNSPHLGSRAVREYQFIPEQSSDIYERTSHSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYHGHISGSSHLTLHGRPSVFPSGPTDYEMDPSNINASSVPNEGQYGIPPVVGYENSLAPSDRMVYHDEDAYRIDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDILNRKAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSQSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSETVRLKVPFSVKPWTSTDDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVSLLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGFGPHLKKRNAENVNSHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRLFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKAPLVKAQDSGVSTAIYLAEETHCASCHKTFKSIHNISDHSSQCEEKQKTDPNWKMQISDNSVHIGLRLLKLLLATIEASVPAEALEPSWTDVYRKSWGVKLYSTSSTEEVFKMLTILEGAIRRNFLSSDFEITTELLNSNTQDSASQNIAGRSGSADVLPWVPDTIAAVALRLLDLDSAISYTLQQKVVSNKERGAGEFMKLPPRYTPAKAKQETEPLGTGTFDRQETWLTPSNGRRGRGRGGRGGSRGGRSRSRGGKVPRGIGSSPKIEFRGYSASAMPSEKAPRKYARRGRNRGRGRGLRTVRPRQPADIGARSIPKENLLGSFSMLRNTKHTATVESPQSSGAEEWGLERRPSYAKDDEDNSVSQSDESSEEDNSEPMNEYDEQLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDDGEEDGEDYDAEQHGDEDNDDAEMDEDDVEDNDDGGGGAENGDDDEDGTSYSSEYSE >LPERR05G21390.1 pep chromosome:Lperr_V1.4:5:19150154:19150789:-1 gene:LPERR05G21390 transcript:LPERR05G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAPAVQRDGDDVESRPLLANGGDGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCDASGANRAMSATLITLCALSSFVLSFTDSFRDAATNAVRYGFATPRGLWVIDGGAALDAEAASAYRVRPIDVVHAVVSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTVLPVAIGVVGSMLFVAFPTTRHGIGFPLSPR >LPERR05G21400.1 pep chromosome:Lperr_V1.4:5:19157132:19161141:1 gene:LPERR05G21400 transcript:LPERR05G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCSSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDDVDGSGGETRWHKTGKTRPVMSSGRPMGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSAAKEAAATAAIAVAVNGGGGGGYSGHHQAAAGGGNGGFLKEANVNLHEFYDPATTMGGYRAPAPVAHFTPNLAVHAARNNGFVP >LPERR05G21410.1 pep chromosome:Lperr_V1.4:5:19159964:19166186:-1 gene:LPERR05G21410 transcript:LPERR05G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGLRSGGGGDAGDGGPGRPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGKSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLSNFRPEFRAGLDALTKFVFDRTRPKQLGASTMTGPVLAGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDALREAHEDALKKAVSVFNASAVGAGSARSKFDKLLQTSLKKSFEDYKRNTFLEADMQCSNRIQSMESKIRTACSRLDAKLDDVVRLLDGLLTEYESTSYGPGKWKRLATFLQQCLGGPVLDLFRRQIEHVDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKIAKDYSGRIAELQTKSSKLEERCVSLSSALENAKRESVDWKNKYDHNLLQQKADESKLRSQIASLESRVHISEGRLSAVREQAESAQEEASEWKRKYEVAGSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEDEIARLNTKINQTEIHATNLISRLEATEAKLKNHESHTLSLKEEIKSLTSSLESIRSEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADRRCKEAEREAKRATELADIARAEAVASQKDKGDAQRLAMERLTLIERMERQVESLEREKNKMLEEIERLDQSEKDAVCKVSLLEQRVDEREKEIDEMMQRSNQQRSNTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEGTESVHDMDIDDDNSGRRRKRSKSTTSPFKNNNHTEDGGSVFIGEDTNNGSQQQAEETETEDYTKFTVLRLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGLK >LPERR05G21420.1 pep chromosome:Lperr_V1.4:5:19183120:19188746:1 gene:LPERR05G21420 transcript:LPERR05G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEDEEEAAAAAEKVAAAANFSPAPAARTSAVCLELWHACAGPVLPLPRKGSVVVYLPQGHLDHLADASSSAAAAAAAVQPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRTEDGDGEEDGDAATKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVGTESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFAVGMRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPDYMAPHGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAVATSQPCEARHLQYINERSCSNDASNSILGVPRLGDRAPLGNQGFPYHCSGFGESQRLQKVLQGQEVFRPYRGTLVDASIGSNGFHQQDGPRAPGVVNKWHAQLHGRAAFHGPPAPAIPSQSSSPPSVLMFQQANSKMPRFEFGLGQLDRRESDGRVRLVPSEGIERREQRIPPQPYPTPGEVIDGQPTVEKSHSPGRVGKDGPDNKAVGTNSCKIFGISLTETVPAREELDHGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >LPERR05G21420.2 pep chromosome:Lperr_V1.4:5:19183120:19188746:1 gene:LPERR05G21420 transcript:LPERR05G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEDEEEAAAAAEKVAAAANFSPAPAARTSAVCLELWHACAGPVLPLPRKGSVVVYLPQGHLDHLADASSSAAAAAAAVQPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRTEDGDGEEDGDAATKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVGTESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFAVGMRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPDYMAPHGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAVATSQPCEARHLQYINERSCSNDASNSILGVPRLGDRAPLGNQGFPYHCSGFGESQRLQKVLQGQEVFRPYRGTLVDASIGSNGFHQQDGPRAPGVVNKWHAQLHGRAAFHGPPAPAIPSQSSSPPSVLMFQQANSKMPRFEFGLGQLDRRESDGRVRLVPSEGIERREQRIPPQPYPTPGEVIDGQPTVEKSHSPGRVGKDGPDNKAVGTNSCKIFGISLTETVPAREELDHGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >LPERR05G21420.3 pep chromosome:Lperr_V1.4:5:19183120:19188235:1 gene:LPERR05G21420 transcript:LPERR05G21420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEDEEEAAAAAEKVAAAANFSPAPAARTSAVCLELWHACAGPVLPLPRKGSVVVYLPQGHLDHLADASSSAAAAAAAVQPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRTEDGDGEEDGDAATKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVGTESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFAVGMRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPDYMAPHGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAVATSQPCEARHLQYINERSCSNDASNSILGVPRLGDRAPLGNQGFPYHCSGFGESQRLQKVLQGQEVFRPYRGTLVDASIGSNGFHQQDGPRAPGVVNKWHAQLHGRAAFHGPPAPAIPSQSSSPPSVLMFQQANSKMPRFEFGLGQLDRRESDGRVRLVPSEGIERREQRIPPQPYPTPGEVIDGQPTVEKSHSPGRVGKDGPDNKAVGTNSCKIFGISLTETVPAREELDHGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >LPERR05G21430.1 pep chromosome:Lperr_V1.4:5:19184043:19184405:-1 gene:LPERR05G21430 transcript:LPERR05G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPFSAVQILGNKLLRRPLLAWWEAVLGCAARHGEAAVRAGVGGRERLAEHVRHPREPLLRRRVAVFLAVAVLRPPRHLLQ >LPERR05G21430.2 pep chromosome:Lperr_V1.4:5:19184043:19184405:-1 gene:LPERR05G21430 transcript:LPERR05G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPFSAVQILGNKLLRRPLLAVILRAPNQTIHQRTNPIVLGCAARHGEAAVRAGVGGRERLAEHVRHPREPLLRRRVAVFLAVAVLRPPRHLLQ >LPERR05G21440.1 pep chromosome:Lperr_V1.4:5:19189422:19191572:-1 gene:LPERR05G21440 transcript:LPERR05G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLANATERESSETQALIADIRKALVGMRSIAVEYEKDGKSDKASGRSTLTPKWRSPDHVMLLQVKQLEKAALELVASYEDCACYAEAIREVPRAYQSSDQRTDFEKLIEAEVNKVKGASSTSLENHPLIRQFREAVWKVHHEGQSMPGDEQEDIVMTSTQTSLLNITCPLTGKPVTQLTEPVRSADCRHIYEKVPIMHYMKNQRPPKCPIAGCPRVLQVGRVICDSLLQVEIEELRSSGPSAPSAENIEDLADDEDDSNE >LPERR05G21440.2 pep chromosome:Lperr_V1.4:5:19189422:19191572:-1 gene:LPERR05G21440 transcript:LPERR05G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLANATERESSETQALIAVKQLEKAALELVASYEDCACYAEAIREVPRAYQSSDQRTDFEKLIEAEVNKVKGASSTSLENHPLIRQFREAVWKVHHEGQSMPGDEQEDIVMTSTQTSLLNITCPLTGKPVTQLTEPVRSADCRHIYEKVPIMHYMKNQRPPKCPIAGCPRVLQVGRVICDSLLQVEIEELRSSGPSAPSAENIEDLADDEDDSNE >LPERR05G21450.1 pep chromosome:Lperr_V1.4:5:19197765:19202517:1 gene:LPERR05G21450 transcript:LPERR05G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLLVAAAAALCLALAVPAARGQPAAAAPAPKAAAGPPNVTAILEKGGSYTTFIRLMKSTQQDTQLNSQLNGTSTGFTLFAPTDSAFSNLKPGTLNSLSAQDQVSLVQAHIVPKYYSMDAFDTASNPVRTQASGADGPYTLNITATSTNQVNVSTGVVTTILGNTLRSDMPIAVYSVDKVLLPYALFGPKPPPSPPPAAGAAKKSPSKGDSSASAEAPAGAGDKPAGAAPAGARVAGWGLAALVAAACKTPSSPTPKTPSKATPAAPGPAAAAADGPAPTNVTAVLEKSGKYTTFLRLLHESRVDTQINSQLMDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLAMLSTLSGPVNTQASGADGPYKYRIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPTELFGPKPPTPAPAPAPAPSKSKSKKHKKGIAEPPVADDASADDTTTKKAAAAVGAGVSRWVAAVGVVAGAVLAGGVF >LPERR05G21460.1 pep chromosome:Lperr_V1.4:5:19209189:19209587:1 gene:LPERR05G21460 transcript:LPERR05G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYNASRAWPAATAMAAAAPASGGGGEDEVRKAVAECPVVVVGRSGCCLSHVVKRLLQGLGVNPAVHEVAGEADLAGVVVVAAGDGGGVTLPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >LPERR05G21470.1 pep chromosome:Lperr_V1.4:5:19213969:19217115:-1 gene:LPERR05G21470 transcript:LPERR05G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAESAASVPRSRDLPSNWDRYADAVEADAPSESTGEVAPRSKGADFAFLLEQARAQPRDAAPGIAPQDSPFGDFMQASASMLEAKGEEILSWRGDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLENDLLPEDLAVASEVNQIQIQCGTDVESDAKGSLVHQKDTGEDKSNSEGAKFEVVSAEEELDMLLNTLGGTHLSGSNLDESFGNKSALQDVNVNQPYKEVTPSILSKSSLIDITTSIDDLVDDLFTDTSLCLNGQKQASAQGKDNISKVSIPLNSGPSNASDDFDSWFDSL >LPERR05G21470.2 pep chromosome:Lperr_V1.4:5:19213969:19217115:-1 gene:LPERR05G21470 transcript:LPERR05G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAESAASVPRSRDLPSNWDRYADAVEADAPSESTGEVAPRSKGADFAFLLEQARAQPRDAAPGIAPQDSPFGDFMQASASMLEAKGEEILSWRGDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLENDLLPEDLAVASEVNQIQIQCGTDVESDAKGSLVHQKDTGEDKSNSEGAKFEVVSAEEELDMLLNTLGGTHLSGSNLDESFGNKSALQDVNVNQPYKEVTPSILSKSSLVSAPSDDALDNLLSETSPSIQNECFAEPGSTTSNDGHNIDIRYANQIDITTSIDDLVDDLFTDTSLCLNGQKQASAQGKDNISKVSIPLNSGPSNASDDFDSWFDSL >LPERR05G21470.3 pep chromosome:Lperr_V1.4:5:19213969:19217115:-1 gene:LPERR05G21470 transcript:LPERR05G21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAESAASVPRSRDLPSNWDRYADAVEADAPSESTGEVAPRSKGADFAFLLEQARAQPRDAAPGIAPQDSPFGDFMQASASMLEAKGEEILSWRGDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLENDLLPEDLAVASEVNQIQIQCGTDVESDAKGSLVHQKGIGIHDYGNLHFDDQMKSDCQLKCFEDDRSTSSPKTDSHFVYSDTGEDKSNSEGAKFEVVSAEEELDMLLNTLGGTHLSGSNLDESFGNKSALQDVNVNQPYKEVTPSILSKSSLVSAPSDDALDNLLSETSPSIQNECFAEPGSTTSNDGHNIDIRYANQIDITTSIDDLVDDLFTDTSLCLNGQKQASAQGKDNISKVSIPLNSGPSNASDDFDSWFDSL >LPERR05G21480.1 pep chromosome:Lperr_V1.4:5:19218049:19218735:1 gene:LPERR05G21480 transcript:LPERR05G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLLDAAAAAALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQLHWNCPAHLAALASPRRFDLVVAADVVYVPESVPHLVVAMDALADADRGVVLLGYQVRSPEAHQAFWDAVPAAFPVIEKIPREYLDPDYAYEESDVFVLRRRPRQ >LPERR05G21490.1 pep chromosome:Lperr_V1.4:5:19221093:19223491:1 gene:LPERR05G21490 transcript:LPERR05G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPHHRRGNRDHDDFRRDRDGYRGGGGDGYRGGGGGDGYRGGGGGDGYHGGGGGYDGYRGGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKQ >LPERR05G21500.1 pep chromosome:Lperr_V1.4:5:19225750:19231169:1 gene:LPERR05G21500 transcript:LPERR05G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMGSLAGCSLTGLDYHSSNLVSARVASLENQVQPESLYYGGAGSNLSNPGVQVAVGIPGNTDFRGHYENISLQHQHVQNSYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPQLLKDPWMRVAAVAILLTVPENSSRGKMHYMSWNASFESNILPSTGVSNPPDYLSADGPNRSTLMAAHPELVHHGNYVIPAGHMNQYNTWIPQAANRTGGLPQWEHGNAAANPPGGFVHPGNLDMSNGGFQGYQAGPSAFFYGPLPYFHQNTMHSLQDPGLFNHIQMQVPPQHRLSNHLLHCINPSGNGLPLDPRTLVISSNSGHTFGPTAQPSVAHQVNAGSLRIQPHENAPFVNLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEEQIGSVNTGFTESYIKENLKLTSYIPDAVCMPDQSSVENDACIICQEEYEAKELIGTLGCGHKYHAMCIKEWLMVKNLCPICKTTALPADRRNG >LPERR05G21500.2 pep chromosome:Lperr_V1.4:5:19225384:19231169:1 gene:LPERR05G21500 transcript:LPERR05G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTNQVASLENQVQPESLYYGGAGSNLSNPGVQVAVGIPGNTDFRGHYENISLQHQHVQNSYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPQVLPLYHSVAQGSMDESGSSGNFADSAREFIKRKNALHVGGHHFVSNFASSSSSAHVPQNPSHRSWNASFESNILPSTGVSNPPDYLSADGPNRSTLMAAHPELVHHGNYVIPAGHMNQYNTWIPQAANRTGGLPQWEHGNAAANPPGGFVHPGNLDMSNGGFQGYQAGPSAFFYGPLPYFHQNTMHSLQDPGLFNHIQMQVPPQHRLSNHLLHCINPSGNGLPLDPRTLVISSNSGHTFGPTAQPSVAHQVNAGSLRIQPHENAPFVNLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEEQIGSVNTGFTESYIKENLKLTSYIPDAVCMPDQSSVENDACIICQEEYEAKELIGTLGCGHKYHAMCIKEWLMVKNLCPICKTTALPADRRNG >LPERR05G21500.3 pep chromosome:Lperr_V1.4:5:19225384:19231169:1 gene:LPERR05G21500 transcript:LPERR05G21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTNQVASLENQVQPESLYYGGAGSNLSNPGVQVAVGIPGNTDFRGHYENISLQHQHVQNSYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPQVLPLYHSVAQGSMDESGSSGNFADSAREFIKRKNALHVGGHHFVSNFASSSSSAHVPQNPSHRSWNASFESNILPSTGVSNPPDYLSADGPNRSTLMAAHPELVHHGNYVIPAGHMNQYNTWIPQAANRTGGLPQWEHGNAAANPPGNLDMSNGGFQGYQAGPSAFFYGPLPYFHQNTMHSLQDPGLFNHIQMQVPPQHRLSNHLLHCINPSGNGLPLDPRTLVISSNSGHTFGPTAQPSVAHQVNAGSLRIQPHENAPFVNLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEEQIGSVNTGFTESYIKENLKLTSYIPDAVCMPDQSSVENDACIICQEEYEAKELIGTLGCGHKYHAMCIKEWLMVKNLCPICKTTALPADRRNG >LPERR05G21500.4 pep chromosome:Lperr_V1.4:5:19225750:19231169:1 gene:LPERR05G21500 transcript:LPERR05G21500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMGSLAGCSLTGLDYHSSNLVSARVASLENQVQPESLYYGGAGSNLSNPGVQVAVGIPGNTDFRGHYENISLQHQHVQNSYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPQVLPLYHSVAQGSMDESGSSGNFADSAREFIKRKNALHVGGHHFVSNFASSSSSAHVPQNPSHRSWNASFESNILPSTGVSNPPDYLSADGPNRSTLMAAHPELVHHGNYVIPAGHMNQYNTWIPQAANRTGGLPQWEHGNAAANPPGGFVHPGNLDMSNGGFQGYQAGPSAFFYGPLPYFHQNTMHSLQDPGLFNHIQMQVPPQHRLSNHLLHCINPSGNGLPLDPRTLVISSNSGHTFGPTAQPSVAHQVNAGSLRIQPHENAPFVNLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEEQIGSVNTGFTESYIKENLKLTSYIPDAVCMPDQSSVENDACIICQEEYEAKELIGTLGCGHKYHAMCIKEWLMVKNLCPICKTTALPADRRNG >LPERR05G21510.1 pep chromosome:Lperr_V1.4:5:19230562:19231717:-1 gene:LPERR05G21510 transcript:LPERR05G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTYFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINMGGKDDVSAVKKSACCSS >LPERR05G21520.1 pep chromosome:Lperr_V1.4:5:19239191:19242561:1 gene:LPERR05G21520 transcript:LPERR05G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQLITSPDWPAAAASQYMPAAELGGVLGSHMINTPAAGVLLGLGHQIQQQEIIDNHGDMISSTTKEGLFGNYAEIINKNDDAVSAVIREDETARLGLLHYGVVTAANPLPRHHHHHHHQQQQQLASPVHAAVEASSTAMLPFTTAAVTTTTTNSAIADQLQGAGLLDAGLLQGGVGAATPLPSATVVALSRDAVTMCVKTTSYSFPAMMHLSVKMFGESAVLVRNTGETVLVDDSGVTVEPLQHGAFYYVLATEDAVQWIN >LPERR05G21530.1 pep chromosome:Lperr_V1.4:5:19244777:19245610:-1 gene:LPERR05G21530 transcript:LPERR05G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEAAAAANSSQNYDTRNILENVWATIMTTPPPPSSSSPPAVTSSVAGGEEYSAMLERLPSLGRWISMGAEEWDELLLGGSSSVLASDYSSSSTTATATATTAAAAAGARRSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDVAALRMRGRRAHLNFPLDTVQRQLDRDLLLAGAGVGAGDQTTTATATRVRRRKRRSSAGGGGGDMSMPAARCDKMVSFADSERSDQISMQECLTSDDGGAGVIDFEEIGGAYWDYLFPPLV >LPERR05G21540.1 pep chromosome:Lperr_V1.4:5:19251846:19258867:1 gene:LPERR05G21540 transcript:LPERR05G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSNIKFPLVYRKVRPPTRKLKTTFKASRPNLFMSSPPPPVAAAAEMVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSNIKFPLVYRKVRPPIRKLKTTFKASRPNLFM >LPERR05G21540.2 pep chromosome:Lperr_V1.4:5:19251846:19258978:1 gene:LPERR05G21540 transcript:LPERR05G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSNIKFPLVYRKVRPPIRKLKTTFKASRPNLFM >LPERR05G21540.3 pep chromosome:Lperr_V1.4:5:19251846:19258867:1 gene:LPERR05G21540 transcript:LPERR05G21540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSNIKFPLVYRKVRPPIRKLKTTFKASRPNLFM >LPERR05G21540.4 pep chromosome:Lperr_V1.4:5:19249231:19251913:1 gene:LPERR05G21540 transcript:LPERR05G21540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREQQEEAAAEVSKLGFSTSGDLPPSAPPHLQGQDPRQYQYGTFQPPPHHAAASGEFGRPPVGFPQPAPPPGFASGGAGGGHHHHHHQQQQPYAAAEPYYAQGYQAGPGYGPIVEGRPVRMHRLPCCGLGMGWLLFIAGFFLAAIPWYVGAFILICVRVHDYREKPGFVACTVAVRYTTEGTLK >LPERR05G21550.1 pep chromosome:Lperr_V1.4:5:19260648:19266775:1 gene:LPERR05G21550 transcript:LPERR05G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAPSSHDNFNQSYNVVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRITNNVKSKRSIKILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVRFRSIWYLTHWLLGISICVVGIANVYIGIHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQREDAAGIGDDDERSEEEKHVYPANDHKEVAMVPDGGHGAAAGAGSWVGEDGLVWHSHDGLAPHSHEPIYSPGDFSKRAPPLTSRRFVERAFTVGIGGPVGTGKTALMLALCRFLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRK >LPERR05G21560.1 pep chromosome:Lperr_V1.4:5:19267343:19269654:-1 gene:LPERR05G21560 transcript:LPERR05G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSLGLLQAPSFTVAMASRPASRRRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFHSAVEAGAKMIEIGNYDSFYETGIEFSSEKILKLTRETKKMLPDITLSVTVPHTLSLPDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMIAEVKSLAEALGLPSRNVSNNLRTVHYYC >LPERR05G21570.1 pep chromosome:Lperr_V1.4:5:19270483:19271455:-1 gene:LPERR05G21570 transcript:LPERR05G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSTAAEFGFPAPVRPAATRAAALVAAGASLAGSPAAVAAASDVVFTMVGNPGDVRAIVLDGAAGVLAGLRPGGVLVDCTSSSPSLAREVAAAAHAAGCHAVDSPVSGGDVGARDGTLAILAGGDEAVVTWLGPLLAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGVSEAVAFAAAAGIDESLFLAAVSKGAAGSRVMDIFGERMVRREFATGGAVKYIVKDLGMALETEEGAKALPGTALFRQMFSAMVANGDGDLCLQGLITVVERLNGIRK >LPERR05G21580.1 pep chromosome:Lperr_V1.4:5:19271820:19272257:-1 gene:LPERR05G21580 transcript:LPERR05G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETNAARASSDTAPMSPPQLNSSSSSAAAAIAPRAATARRRGWLRRLMPRGDYLSSRSSRRWKLGGAFAGGGEGGGAASRLASSLSRSLRWKRLPAAFSLSLRSGASASAVVDAVAFRVMYVVEAVVLGLALSCFFLCCGCHL >LPERR05G21590.1 pep chromosome:Lperr_V1.4:5:19291808:19295259:1 gene:LPERR05G21590 transcript:LPERR05G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASHDHLQLAGGDVGFPFHDELASLFAQQQQQQQQQLPWSFAPATPLDYEAFVAGTEFDDDDVAPPPPTLEEVKREILQLDTASAGVGFFSGGGGGAPATAGPMTPNSMSVSSTSSEACGGGGAGVGGEEEFAGKCKKEEEGDHGGDDGKEGSETNKEDGEGDDKNKKGAAGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGTTAHLLQYHPGHFAGGMPSAIDALGNHLLPPPPHQQQQQHHLLHHHAPPPMHHQQMLQPAAGLAAIVASTTAASAMAPASSAPLRMQHFMAQDYGLLQDMFIPSSSSLFMNSNDDTNNHR >LPERR05G21600.1 pep chromosome:Lperr_V1.4:5:19301415:19305337:1 gene:LPERR05G21600 transcript:LPERR05G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMMNNCNNDGAKDELYECCNLDNTIQSQNVHSQINELELSQTREFNQCEQPAGFCLSHGDTQNDPYLRTQAASQDIKIGQGAEFMDDRTFTVLKGLITKGRTSSPYFEGGHKLNLLNHVNEDDGDGIPVATNPTLVLSQSCDPLDHVPINVDTSAGVLTNNPNVNDSMLIDELGVVSGRYGVLPSIEKTEGNIAIDEANSFGATATMCCDNGHLSHYIHQNLTGPLPNSTDLTSMYPTSNLPAPQTPRKNVTLVLGLDETLIHSSPVHCDGADFTIRMYHGTKEHTVYVKKRPHVDAFLQKVADMFKAVIFTASAFRLQEENGIPIKSWINDPADRSLFELIPFLEDLAVADDVRPIIAKMLGSPRSIT >LPERR05G21610.1 pep chromosome:Lperr_V1.4:5:19307220:19308946:1 gene:LPERR05G21610 transcript:LPERR05G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTTPFEAMCFDDINLSSSNLTEPALPDFTVPAEVCLPTPKKEVTLVLDLDETLIHTSMFARDDADFSFPMSHGSKDYTMSVKKRPHVDTFLQKVSKMFKVVIFTASSSSYANRLLDKLDPENTLISQRFFRDSCVRQYLDYIKDLTIVVDDLAKVVIIDNSPEVFRLQKENGIPIKTWIDDPNDNSLLDLIPFLEVLAVADDVRPIIAEKLCS >LPERR05G21620.1 pep chromosome:Lperr_V1.4:5:19309716:19314904:1 gene:LPERR05G21620 transcript:LPERR05G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASASASASAPVSLFFSPSPPCRALLRRLPSPYAHFPPRRLALAPARPSAAPALLSSLSDAHEEEEEEEAEEEEYEEEVEEEEMVEVGYVSGAHGVRGDVLVAPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVSGRAHTGKKGWIVSFDGINTVDEARQIVGSAILVKAGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVAQVFNFGAGDLLQVMVGDTEDIVSQPNSENQDPTPSGEHVWIPFAEDIVPDVDVESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKNVFVKSSSSRKKLMRIPYETLMNNEENVNFSSELNEGVRILQKSKAATILITDDSVTLEAEFQGLLDSYNKSMKVEETRGSLPFVIVCPAGHVESIQNCLVKNDYFGLDTQKVWVLEEMKLPIVSMSSKLNSRKILLKSPWEILQKPAGSGVIFSLLSSSKILDTLNEMGVEYVQICSLSNKLTVGHPLLFGAVSSFGSDAGLMLCKSSKETEGDFDMILSMSHINKMCRDVTKLRFSAQPEQQIHIEHVDGEWVTIQPEAANCHRLHVEVTSVLNYCSPDKVCVIEIVEQ >LPERR05G21630.1 pep chromosome:Lperr_V1.4:5:19314412:19314612:-1 gene:LPERR05G21630 transcript:LPERR05G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCLWLDGDPFAIDMLYVYLLLRLGREPELSNISAHLVYVTHGKNHVKITLSFLAALAKHEASI >LPERR05G21640.1 pep chromosome:Lperr_V1.4:5:19315190:19320681:-1 gene:LPERR05G21640 transcript:LPERR05G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGWFAAGRDAPAPAPEQRSKNTTEMDFFSEYGDSNRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIQNIFEHLSDAARILREVKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFDDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDSWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPVPFSDKFPNVDPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGSEKTSFLYPSAVDNFRRQFAILEENGGKSGALDRDRKHVSLPRATTVHSTPVPPNEGPDAKSQVPQRIPPGARPGRTVGPVLPFENIGVTDPHNARRVVRNPVAPPAAANKPVYSYHLKSDYHGTQHQQELEKDRVQYRSVQHSTDAKVAPETTLDMRSSQYYITRSAPKTDLRERAALQGSMLYSIAPFNGIAAVAGGAVQYAVASL >LPERR05G21640.2 pep chromosome:Lperr_V1.4:5:19315575:19320681:-1 gene:LPERR05G21640 transcript:LPERR05G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGWFAAGRDAPAPAPEQRSKNTTEMDFFSEYGDSNRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIQNIFEHLSDAARILREVKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFDDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDSWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPVPFSDKFPNVDPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGSEKTSFLYPSAVDNFRRQFAILEENGGKSGALDRDRKHVSLPRATTVHSTPVPPNEGPDAKSQVPQRIPPGARPGRTVGPVLPFENIGVTDPHNARRVVRNPVAPPAAANKPVYSYHLKSDYHGTQHQQELEKDRVQYRSVQHSTDAKVAPETTLDMRSSQYYITRSAPKTDLRERAALQGSMLYSIAPFNGIAAVAGGAVQYGVSRMY >LPERR05G21650.1 pep chromosome:Lperr_V1.4:5:19328406:19330163:-1 gene:LPERR05G21650 transcript:LPERR05G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVAFNPDGWGPPESAPAPASLGGGAAAASIPFAPFSRSDKLGRIADWTRNPAGPAALAAASRDSVFDFTSVDDSLAAAAEDSSFRLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEARRREAEKERARRERHFQNNRSHHHPGFRGNQSSSAKPSVDIQPDWTMREQIPFANFTKLSFSVTDQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFAAQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNTTGAAGGEGEKSAEAAVA >LPERR05G21660.1 pep chromosome:Lperr_V1.4:5:19331238:19334408:-1 gene:LPERR05G21660 transcript:LPERR05G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDIYNMSGKLSDDTTGQVRPEGDGSDEKVEIASQNEKEVMPSAQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASVDSEEDLNSPTEDANQYQESNEPKDEK >LPERR05G21670.1 pep chromosome:Lperr_V1.4:5:19335605:19337420:-1 gene:LPERR05G21670 transcript:LPERR05G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLRLALPTAVPSPVLMRQAVRAASPVAGAGDGLRTGALRREAVSVGCASLPLKPQPLGAGAGKPSGRRGAAAVCHSSAHLSARTMEWVSAGATAVLLLAKGTAINKSFLVPLFALQSPCSVISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAVLSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLIMLF >LPERR05G21670.2 pep chromosome:Lperr_V1.4:5:19335605:19337420:-1 gene:LPERR05G21670 transcript:LPERR05G21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLRLALPTAVPSPVLMRQAVRAAGCASLPLKPQPLGAGAGKPSGRRGAAAVCHSSAHLSARTMEWVSAGATAVLLLAKGTAINKSFLVPLFALQSPCSVISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAVLSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLIMLF >LPERR05G21680.1 pep chromosome:Lperr_V1.4:5:19339641:19347477:1 gene:LPERR05G21680 transcript:LPERR05G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGKGAAAAGPIPAASRKLVQSLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASSAASRGIRGGADRGGRNSSFHSSSIDNVASRSISGPGVTPTNSTQKQTVPSSSVNRSVVADGPSVPPQSSSGFQHGWSGTPGQLSMADIVKMGRPQPQVKQSSSKPAVTADKGYAGQYPSLPSTVNQNLKQSASTVSATNHDQGLHSAQDSIHFNDHNHSAAVNKQAYDNDWLPQDVPPSGYQSALPETSGDQSFYESSTQVAGVINSHENSHSDENNSAAIASERHLEHHGGNSEYDNELLQDSSYLPQKNSLAEDEVEDSNADVALAAENFQGLNLHNEELAATKLGEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPAIEESVHLDQIDSRDQDYYDSAAVNSSGNENLGNMIGSNMENLDVPSVSQPADVLRQEVLDHPGLQYNLPSDSSAAYANTTQPSTMESSQGNNQEHTLSHLTNLLQANSLHNSLLGSNMPLRDLDFSLSPLLAAQSMAPKYNSAAPTTTGPAISMQEALKPGVFSNTQSTQNLPSTSIQTGPLLPQQLVHPYSQPTVPLAPFTNMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPAAAMKYNMNVPQQYKSNLSATSLQQQPSSVISGYGGFGSSSNLQGNFALNQNAATASTNLGFDEALSTQYKDPSQYMALQQGDNSAMWLHGAGSRATSTLPPNHFYGFQGQSQQGGGFRQAQQPQQHNQFGGHGYPAFYHSQGGLTQEHHQNPAEGGLNGFQTAQSQPSHQGWQQHTGY >LPERR05G21690.1 pep chromosome:Lperr_V1.4:5:19349203:19351472:-1 gene:LPERR05G21690 transcript:LPERR05G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGASHKAASGSTPSAAANPIAMLSALMSKRAKLQEKLRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSLAVDEHMTGRDDGREYGSGRSKGATTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEDDF >LPERR05G21710.1 pep chromosome:Lperr_V1.4:5:19360071:19365387:1 gene:LPERR05G21710 transcript:LPERR05G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLSAVLLQALLPASAAEGLVRIALKKRPIDENSRVAGRLSGEEGYRRMGLRGAANSLDGGGEGDIVALKNYMNAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLIVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAKGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEDGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDRLPSPMGESSVDCGSLASMPEIAFTIGGKDFALKPEEYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKMRVGFAKSA >LPERR05G21710.2 pep chromosome:Lperr_V1.4:5:19359734:19365387:1 gene:LPERR05G21710 transcript:LPERR05G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLSAVLLQALLPASAAEGLVRIALKKRPIDENSRVAGRLSGEEGYRRMGLRGAANSLDGGGEGDIVALKNYMNAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLIVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAKGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEDGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDRLPSPMGESSVDCGSLASMPEIAFTIGGKDFALKPEEYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKMRVGFAKSA >LPERR05G21710.3 pep chromosome:Lperr_V1.4:5:19359984:19365387:1 gene:LPERR05G21710 transcript:LPERR05G21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIATMGTRSVALVLLSAVLLQALLPASAAEGLVRIALKKRPIDENSRVAGRLSGEEGYRRMGLRGAANSLDGGGEGDIVALKNYMNAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLIVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAKGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEDGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDRLPSPMGESSVDCGSLASMPEIAFTIGGKDFALKPEEYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKMRVGFAKSA >LPERR05G21710.4 pep chromosome:Lperr_V1.4:5:19359499:19365387:1 gene:LPERR05G21710 transcript:LPERR05G21710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLSAVLLQALLPASAAEGLVRIALKKRPIDENSRVAGRLSGEEGYRRMGLRGAANSLDGGGEGDIVALKNYMNAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLIVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAKGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEDGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDRLPSPMGESSVDCGSLASMPEIAFTIGGKDFALKPEEYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKMRVGFAKSA >LPERR05G21720.1 pep chromosome:Lperr_V1.4:5:19366189:19371164:1 gene:LPERR05G21720 transcript:LPERR05G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVGVKRKNVVVGGGDGREMLPPSPAPAPVVEFPIGYQSSSPTPRVVVGEMDFFKTAEKRCDRKDVPPPPRLYVLPSPSAAAATLHGGSSPEDLSLNKDDLTINMGLQVGRRKNRGSEESVIIDDGVSSNDEDHRPEAKPPLPLTKSEVIGRLSEENKMLKNSLSSLTTKYSSLHMQFISVMQQRRSILGPPIHQQELLDPEKKEQDGSQHQHQHQQQLIPRQFISLGSASLQPDAVEPPHSAAAAVADVCALPPASNPDAAAAVPAMTMPLPHFEQQHHHHPIHGGRENGSRSPETDHRRYHQQPEQQLQHQLPPPPSWLHGDKVPKFLPGAGKCPDQPPVTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAVAMAQTTASAASMLLSGSATSADGSLMAGSNFLTHALLPCSSTVATISASAPFPTVTLDLTSPPPPPPPPSNPNPNSNSSAATAALAEAARPVALPQLFGQKLYDQSKLSAVQAVAGTKGSTGSDGGTGAHIADTVNAATAAIASDPNFTAVLAAALTSYIGSSSSGGGGGVAAGGSSGGDSCSREDKIGELAAKHQSKYSGYGE >LPERR05G21720.2 pep chromosome:Lperr_V1.4:5:19366189:19369114:1 gene:LPERR05G21720 transcript:LPERR05G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVGVKRKNVVVGGGDGREMLPPSPAPAPVVEFPIGYQSSSPTPRVVVGEMDFFKTAEKRCDRKDVPPPPRLYVLPSPSAAAATLHGGSSPEDLSLNKDDLTINMGLQVGRRKNRGSEESVIIDDGVSSNDEDHRPEAKPPLPLTKSEVIGRLSEENKMLKNSLSSLTTKYSSLHMQFISVMQQRRSILGPPIHQQELLDPEKKEQDGSQHQHQHQQQLIPRQFISLGSASLQPDAVEPPHSAAAAVADVCALPPASNPDAAAAVPAMTMPLPHFEQQHHHHPIHGGRENGSRSPETDHRRYHQQPEQQLQHQLPPPPSWLHGDKVPKFLPGAGKCPDQPPVTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAVAMAQTTASAASMLLSGSATSADGSLMAGSNFLTHALLPCSSTVATISASAPFPTVTLDLTSPPPPPPPPSNPNPNSNSSAATAALAEAARPVALPQLFGQKLYDQSKLSAVQAVAGTKGSTGSDGGTGAHIADTVNAATAAIASDPNFTAVLAAALTSYIGSSSSGGGGGVAAGGSSGGDSCSREDKIGE >LPERR05G21730.1 pep chromosome:Lperr_V1.4:5:19370062:19372494:-1 gene:LPERR05G21730 transcript:LPERR05G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLVAPPPPWLSRRALPPPSPSCFSPCAAGGRVRIGSSRLRRRVGVVSGGSVAYSAAVEDEEEEEEEGEEWEEEEEEEEAAVVTAKPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVIDACKMPEKIDEMLEEGVGNKDTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGQGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKIVVKVKENWRQDEVLLKRYGYGGEIRAL >LPERR05G21740.1 pep chromosome:Lperr_V1.4:5:19372751:19377643:1 gene:LPERR05G21740 transcript:LPERR05G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGKSRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPLGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDKQKRNRDGYEEGNTTLRKVGLASDFIWSEALTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLFVLDKNSFKHILKWRIRIRKALSSSQVTQKSDDTAVETNVSVKDDDELLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAVESAELNVDDLGDSENEDIKTREDTDEEMDSDREQQRYDAQLEEMLDEAYERYVTKKGGEVKQECKRAKRVNTDADADLLEGGEDDGGDVDMYQGSDEEQDEETNPLVLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVAEKSDSEDEREQLNRTAKRKADSGKKEKATKKAKHPQQDDFEIVPAEPVQKEDDSSSSSDESDDPDEDLDDGTKAEILAYAKKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQNRAGKGKILVDKRMKKDKRASKVKGGKGGAKGKGKKGQKGGGTRGKPGRKA >LPERR05G21750.1 pep chromosome:Lperr_V1.4:5:19381312:19383390:1 gene:LPERR05G21750 transcript:LPERR05G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSNSQWSTKENKMFEQALAYYGEDTPNRWDKVSSAMGGIKSAEEVRCHYEVLQYDVDMIEAGRVPFPNYNTQGFWSRG >LPERR05G21760.1 pep chromosome:Lperr_V1.4:5:19385172:19386023:-1 gene:LPERR05G21760 transcript:LPERR05G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRAAAPPRPAAAAGDATTTTTGKISFRSRKIVKSTHTKSKSVATTTIPPPLPLPPVLPALSSPGELAAALRHLHAADPLLSAVIASTAAPTFISSPSLPAFHSLAHSILHQQLAPSAAAAIYGRFLALLPTAAVNPAAVLALSAADLRGIGVSARKAGYLHDLAGRFAAGELSDLAVAAMEEADLLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAAICERWRPYRSVGAWYMWRLMESKGAATKKAKVKAIAVE >LPERR05G21770.1 pep chromosome:Lperr_V1.4:5:19386712:19392552:1 gene:LPERR05G21770 transcript:LPERR05G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKNQKAWKQLANDHEAITSTKSSPRIQLFQKVTERKKLSSLSVSVQTKSEQAPLLSPTVGPTADQPLFPHFNGKENLHSPARGGGDRARKQSSGEMNGGGSGSRRGGGICADEGGGGGGEQDVGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLNLSISEFSAFGSLCNVGAMVGAIASGQMAEYIGRKGSLMIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQAKMKMMEDFEASLQVLRGFETDITAERAVASANKRTTVSFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQISTTGMTLSLLAVAVVFFLEGNISHDSHAYYILSMISLAALVSYIITFSFGMGAIPWVMMSEILPVSVKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIHSD >LPERR05G21770.2 pep chromosome:Lperr_V1.4:5:19392421:19397530:1 gene:LPERR05G21770 transcript:LPERR05G21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGDESGSDHDGGGMRKPLLMNTGSWYRMPGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYVVPVYIAEISPQNMRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDITAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLMLGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVVATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAIVFFFKDSVSQDSHMYYILSMISLVAIVAYVISFSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLLFVVLWVPETKGRTLEEIQWSFR >LPERR05G21780.1 pep chromosome:Lperr_V1.4:5:19399407:19400386:-1 gene:LPERR05G21780 transcript:LPERR05G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPCTCGMMYGGCGGGCASAFSLLFPMAGGQYYYRHCGAAAEENRPSPYGVGGAAVDCTLSLGTPSTRRAEATPPPTTARPGGGGGKQDGAAVASCKPRRCANCNTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPAPPVMDSATAAAYAYCYSMPTPAPAPAQWGCYGQAAAAAKSASYAMFGEVVDAADDGPCLSWRLNMMPSSPAFAVGERPGLFQYY >LPERR05G21790.1 pep chromosome:Lperr_V1.4:5:19409271:19410842:-1 gene:LPERR05G21790 transcript:LPERR05G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAAAAAAVAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLVTLLLLPLMAVIILEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFSCYKPPDDLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDELFKSTGVKPKDVGILVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGSRKSMLIPNCLFRVGGAAVLLSNRGGDRHRAKYALRHVVRTHKGADDKAFNCVYQEQDAEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKVFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPKSPWEDCIDRYPVELVDGFPTHNNTTTATTTQQQ >LPERR05G21800.1 pep chromosome:Lperr_V1.4:5:19418298:19423263:1 gene:LPERR05G21800 transcript:LPERR05G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGKHLLLGGGVGIRPAAGAGEAPAAVAARRGYHERVVDHYDNPRNVGTFEQDDPDVGTGLVGAPACGDVMKLQIRVDEGSGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDAAAIRNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAKGSE >LPERR05G21820.1 pep chromosome:Lperr_V1.4:5:19425675:19426120:-1 gene:LPERR05G21820 transcript:LPERR05G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRHLAVACNSTATAPKVFDLGHAIAGLTLEEARGLLDHIQDHLSVSSATFSPDIVVTSRGAAWDGENAAAAPAEKTAGVRRGDRGGAEQRAQSRGSPKSSSRRSGPRIV >LPERR05G21830.1 pep chromosome:Lperr_V1.4:5:19426807:19427187:1 gene:LPERR05G21830 transcript:LPERR05G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPATPLFAGAGEHPMRPRQYTSAAGAAGTGGGGGSYTPVFIVLGVIAALLVISCIVGQVCTKKHLRPRPRRDRVAYYDDEMEGGFAHGAIAKMEAAAPPPATTTTSVAAPVTAGAVEGHQTAAA >LPERR05G21840.1 pep chromosome:Lperr_V1.4:5:19430180:19430665:1 gene:LPERR05G21840 transcript:LPERR05G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMQLQRRRRFDGGGGEEEEESTTAAARCRCRSCAAVMVADCIAVGCCPCAVVSVLGLALVKAPLVVGRRCVGRLRTRGRALLHNKRVRDVAANANAVETKAAIAKAEADDVAVVVDDDGEAMGGGGGGEVDDDMAWLEEMYRMGHWGFGRVSVSGKTP >LPERR05G21850.1 pep chromosome:Lperr_V1.4:5:19432121:19432768:1 gene:LPERR05G21850 transcript:LPERR05G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDNSAAESDDGGFTFAAADLIGGGVISGGRIGAVYPVFGRPRSPPAKEEEDGESETATVRVPLGQLLLEERASSSSSLEEEMKPDGDGDLDGVAAETYCVWTPGSPAVSPARCQKSGSTGSVLRWRQRLIGRSHSDGKEKFVFLSSNSSSDRSKGRKTTSGGGWRYYGRGGGGGGGNGGGGGRRPSFLPYKQDLVGLFANAGAFRRIYHPF >LPERR05G21860.1 pep chromosome:Lperr_V1.4:5:19434321:19434932:1 gene:LPERR05G21860 transcript:LPERR05G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGFTFAEVRPPLAAAVGPPPIYPIFGRPRSPPASLTPAAEGDSRRPLWRYLMVDQGPPPPPPATAAAAAEDDELDLDGEPPETAIPYMYCPLFPALPAATASASPARCRKSGSTGSSLLRWRQRSSISRSRSDGKERFVFLNTSSSFSGSGSDHHKGSRGGGEDALSYYANGGGGGRRRSFLPYRQDLVGIFAFRRSYHLF >LPERR05G21870.1 pep chromosome:Lperr_V1.4:5:19437380:19441618:-1 gene:LPERR05G21870 transcript:LPERR05G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSAAAAAAACFLSPSPPPRPRSHSIKHLACAAARSSSSSPPSPGPGPSSSRALALASSSASRSVWPWPRRLRELLLPDEAGQILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDQKEKIPVILEPKPDESYRGRM >LPERR05G21870.2 pep chromosome:Lperr_V1.4:5:19437919:19441618:-1 gene:LPERR05G21870 transcript:LPERR05G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSAAAAAAACFLSPSPPPRPRSHSIKHLACAAARSSSSSPPSPGPGPSSSRALALASSSASRSVWPWPRRLRELLLPDEAGQILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDQKEKIPVILEPKPDESYLQQHGD >LPERR05G21870.3 pep chromosome:Lperr_V1.4:5:19438413:19441618:-1 gene:LPERR05G21870 transcript:LPERR05G21870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSAAAAAAACFLSPSPPPRPRSHSIKHLACAAARSSSSSPPSPGPGPSSSRALALASSSASRSVWPWPRRLRELLLPDEAGQILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDQKEKIPVILEPKPDES >LPERR05G21880.1 pep chromosome:Lperr_V1.4:5:19442373:19445929:1 gene:LPERR05G21880 transcript:LPERR05G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMEINAPLPAQEDRLSALPDDILPQINDRIPCLVLRRRLARACAAFRDAVNPQHEAAATPPLPWILLPRPGGGPSFSCVLSGCHTHELHLDVPADARAASYFGSYEGGWVFLATGQIYGHALLNLRSYMLRRPSLRHRSRSTASSPPSEYAGKGTPYLEDVIHHDGVFYFLSLAEHLLMFAPVVHEDGDFEITSMGTRRFQREERHYDEGEEVAARYLVESHGQLLMVVRISPPLGFRLPTSAFRVFQMVQAPARAPIDDNGGGENNNIADAEYTWNELHDLGGRMLFVGRGCSRSYDVANYPGFRAGVYFLDDERIYGEETMIDNHDIGKWLSSEPIPSIDNFLPEKGPSVYSPPACVLCCYVLCAALNDVVEQNYFTMEAPLLELDLLNVLPPHILRLINDRIPCLVRRRSLALVCPAFRDAVNPQHEPATPLLPWILLPRPGGPSFSCVLRGCATHDLDLDIPADARAARYFGAYEGGWVFLATGQIDGHALLNLRTHQCFDIPDGVNSGGAIVAATLSSPPEHEHCVVAAIDQLVGGRRVPAFWHLGHPVPLLPYQIWSLEDVIHHNGAFHFLTDEEDLLVFAPLVHDDGRLEMISMGIHFGHQRHHDGDARIRYLVESRGELLIVVRLTPHLGQPTSSFRVFQMEQALLPAADDNGGYNHAEYTWNELHDLGGRMLFVGRGCSRSYDVANYPGFRDGVYFLDDGRFYDEEGIFTGADAQATHQYPCRDIGKWLSSEPIHHIDNYLPEEGPSIYSPPAWFLS >LPERR05G21890.1 pep chromosome:Lperr_V1.4:5:19462081:19463496:1 gene:LPERR05G21890 transcript:LPERR05G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMYGETILVELWWEILLRVPTKDAARSCCVSKQWRDIVKDPSFQKRHHDRHVVSPPKDDDIPDTLVVVRCDIDGEYVSSVYPAALVSPSFTGQMPMCRINNPHSYTLANVCNGFLCFASWNRAKVIVCNPVTGEKLSLPKAPPLVIDERRCRTRIVTFALGFSPTTGAYKLFRFADRRMDVYTLGETSGWRRNPISHPCRVVHNTPTVVVGGKICMLTPGHVLHRHPFDLGKPGPVLVVDVASEEHRVYNPSDYGCPWDNVRVSVCAFELHGSLCLAVRTDTEIQFWAVPVQENDEGLPWQMLYKLKVDMHDVLIGNNLDTQKVQSTSAWLDNETHTLCYRVCNRFYSKYVGTTMTTTSAATRCLSPAEVMTWDNKIRIQSIPSSLLACEWDVYAGYRPNLLSPLTFSVQQDNEDDESGSFTRNLLCTRRHLKSKKTPHVSDINRLYQWQESVLWKSMHMLMSFANAR >LPERR05G21900.1 pep chromosome:Lperr_V1.4:5:19465605:19469066:-1 gene:LPERR05G21900 transcript:LPERR05G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSATSPAPADLELAARALDLHAISDTDAEYFEKFLPGRRTLVAVCAFALLQAVPDLRGLIDVIPHCIYDVGHIGGNRCFRCDMKELTKYMLNDYSEMQRIRSSLFAFRNWEPNSTVVDLQALMDEILSEICHWCLEKDRENMMSMTMMRFSFMRQINDLFLFDCSTKWGCYNCGVHFTRTTSNRSIYMGGSSISEFQGESGENCLDCSECKIPTGYKVMTGCSTPEILTFYEVSDLLPHFRVLHQDYELACVLPVKNKARMYCLIEMNWTCIDTTEFDGLVRPLGPVLPSEVLSKDKCAIAIYKRT >LPERR05G21910.1 pep chromosome:Lperr_V1.4:5:19472563:19473951:-1 gene:LPERR05G21910 transcript:LPERR05G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICTDTFPVELWTEILLRAPTKSVIRLSCVSTQWRNIVTDSSFRKLHHDKHATPSKDDDVTDALLVSSNKIDKQRVTSVFPATLASPATSPMCRINNMDDYNLTNICNGFLCFASCSTDKVIISNPITGEKLAIPKPPPLKPNQDGHHSPVTFALGFSPTTGTYKLFRFADRTMDVYTLLAGGGETNGWRQHPLCPLVKNTPPVTIGGKICMVTAGLAPHRHRSDFGMPGPVLVVDVTSEEYCTYSPPDYGEPWAEAVVCAFGLHGKLCLGIRTDTIIQFWTMPVEEEDDDLPWELLYKFKVDMDDIRSVSWHGGVQRQVSINAWLDGETHTLCCKVGNSLYCRCIGTTTTTTTTLGMSRRLSPTQFMSWDHKIHLPVTPLSLSECHWDIYMGYRPSLLSPLTFKARQHGDDDEGDESGPFIRSLLRALQHQKSLKCHPSPTLDGRTNTKRICTRSPCKF >LPERR05G21920.1 pep chromosome:Lperr_V1.4:5:19474050:19476273:1 gene:LPERR05G21920 transcript:LPERR05G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNGKAQGEGEKGKEGGSHRFRNQEGRTAATMSMAPSSSLRALVAPTLPSVRPGLRGAAAPVVDYARQRRRSRGAAAVVVVRAAALPSEAEWLERLPEKKKPLYTHSLPCIEAWLRSIGFTQTREDRAVWVAEMPLWHARLSLDATDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >LPERR05G21930.1 pep chromosome:Lperr_V1.4:5:19480575:19485719:1 gene:LPERR05G21930 transcript:LPERR05G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKTGKTTSPPKDQPAPSPYPDWSTVQAYYGSGVLPPTYFAPAIAAGHAPPPYMWGPQPMMPPPFGTPYAAMYPHGGAYPHPFMPMMANPLSMEPAKSANSKEKNSNKKLKEADGAAVSAGSGDSKKTMTSSEDCSADGCSDVNDLKVGKSSRKRRSDGAAQVIKPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSDKLRLENSALMGKLKDPATTTQAETSMHKTTASSPRVVENFLSMIDNTNKSSVRHTEHAEPKLRQLLGSSPATDVVAAS >LPERR05G21940.1 pep chromosome:Lperr_V1.4:5:19486680:19491883:1 gene:LPERR05G21940 transcript:LPERR05G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGQYSNVRDPRTELEVVRDWNGVEQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGALEQHGFARNRIWALDEEHLSFNQNNNNSKSSVDLILKPSEDDLKCWSHCFEFRLRVSLSKDGDLSLVSRVRNVNGKPFSFSFGYHTYLSVSDISYVLSINPSEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPNVIAVLDHEKKRTFVIRKEGLPDIVVWNPWEKKSKNMVDFGDEEYKQMLCVDAAAAERPITLKPGEEWTGKLELSEVPSTNCSGHLDQPGII >LPERR05G21940.2 pep chromosome:Lperr_V1.4:5:19486680:19491883:1 gene:LPERR05G21940 transcript:LPERR05G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGQYSNVRDPRTELEVVRDWNGVEQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGALEQHGFARNRIWALDEEHLSFNQNNNNSKSSVDLILKPSEDDLKCWSHCFEFRLRVSLSKDGDLSLVSRVRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPNVIAVLDHEKKRTFVIRKEGLPDIVVWNPWEKKSKNMVDFGDEEYKQMLCVDAAAAERPITLKPGEEWTGKLELSEVPSTNCSGHLDQPGII >LPERR05G21950.1 pep chromosome:Lperr_V1.4:5:19504265:19509118:-1 gene:LPERR05G21950 transcript:LPERR05G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRNWDELPAYVLYEITSHLPCNADRVRTRVVSKSWRSSLRHLEAPPLPPQLPWLLRPSAVGPTFCCLISGADEHSVHRLRVPGDDLRAARYFGSYDGGWLFLAFGRHFGHTIVNLRTGARFRLPDIIPRPMGLDDFPMIMLAATLSSPPSDRAEDRCFGAAIIHSSPFITDSRDIAFWRMGDFRTTSIIPADEPFDVVSGKFVKEEMEDVIYYNEAFYFLSKLRNILVCRPALQQGDHLRVHDEWMRFVPQDDLGYYRYHRPVSIARYLVESRGQLLMVLKGKCSVPGLPQLLFSVFRITHDPQAPAGAPPFSWTMMPALDGRMLFVGHGCSRSYELAVFPGFKEGIYFLDDLQFYDVRRIIQYQEYLCFDNGKYTWGMPPYISRCFWPDQVMSNYSSPVWLLPGGEDTGNNAEAQIDAPLPALDRLTALPDDILPLINDRIPCLVHRRRLARVCTALRNFFNPQHDAAPPAPLPWILLPRPAGPSFSCVLRGCATHELDLDVPADARAARCFGAYEGGWVFLAVGQTGFHVLVNLRTHHRVYLPDIAHYGITRRRCYMGITMLAAALSSQPEKEHCVVVVAAICSMMRGVEARGPYLRAFWRLGNVAPVELDSEEDTDAAMPYLEDVIHHDGVFYFLTDEEDLLMFAPVVHEDGELEIESMGTRRFLHEERQYDGEATARARYLVESLGQLLMVVRITPRQGQAQIDAELMTSAFRVFQMVQAEAPAPINDNAGGENDKIADADAQYTWNELHEMGGQMLFVGRGCSRSYNVANYPGFRPGVYFLDDERIYGEETMFEHRYTRQYPCRDIGKWLSSEPIPSIDNFLPEQGPSSYSPPAWFLN >LPERR05G21960.1 pep chromosome:Lperr_V1.4:5:19511392:19514648:-1 gene:LPERR05G21960 transcript:LPERR05G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGNPDADLVPRVLFEIAYLCCIHHAIKNYNPSMCLISLWDGILGGSIFLACNVWYLVLDKIGSPNARPWRRYR >LPERR05G21970.1 pep chromosome:Lperr_V1.4:5:19522384:19526956:1 gene:LPERR05G21970 transcript:LPERR05G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYFNTDAGTISPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNSITNGKIFHYVYEKERRGDYLGQTVQIIPHVTNAMQEWIERVAMIPVDGEVDPADVPKTKPTQHSVRDLRGSGLTPNIIVCRSIKELEKNAKEKLSLSGRVPVSNIFTLYDVSNIWRVPLLLRDQKAHDAILKVLNLESLVGEPNLEEWAARADLYGTLHETVRIAMVGKYTGVSDTYLSVLKALLHACIACGRKLIIDWVPSTDLEDSAATVAPDAYNAAWSLLRGADGVLVPGGFGDRGIEGKILAAKYARENDVPYLGICLGMQLAVVEFARHVLKLPGANSTEFDANTENPCVVIMPECSNEGMGGTMRRGSKRTFFKVADSKSAKLYGSVNHIDERYRHIFQVNPNMVQLFENSGLQFVATDETEERMEVQVETVKAFCAICWTNCCIVWATGSCAAKRG >LPERR05G21980.1 pep chromosome:Lperr_V1.4:5:19528133:19529350:1 gene:LPERR05G21980 transcript:LPERR05G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQLPEQDRLSGLHPDFLRLINEHIPCLVHRRRMARVCTALRNVFNPQHEAATPPLPWILLPRPGGPSFSCVLRGCDTHDLDLDIPADARAARYFGAYEGGWVFLAAGQIDGYALLNLRTHQRFDIPDSVDIVIGDVGVAIVAATLSSPPEHEHCVVAAICCLAPPELVGGPCVRAFWHLGHPVPVNDDDANTAAITLPNLEDVIHYKGAFHFLTDVEDLIVFEPLVHDDGRLEIIPKGTRRFGYPCQYDGLAHARYLVESCGELLMVVRLTPYLGELTLAFRVFQMEQAILPAVDGDNNNAKYSWNWNELQDLGGRMLFIGRGCSRSYKVAKANYPGFKAGVYFLDEGRFYGEEGILTGVDAQEYPCRDIGKWLSSEPIPSIDNFLPEQEPSSYSPPAWFLN >LPERR05G21990.1 pep chromosome:Lperr_V1.4:5:19530845:19532623:1 gene:LPERR05G21990 transcript:LPERR05G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKLKRLGDFELPDFFNYPPFFTLQPVRATSEMQMRVWKELILDYCRSQRIYIISLDQDFPLFCNPAIERSLSREAKEAFLSALVTEGRAEWMDRDHTRCLILWRRIQDWADYILNFVKENGSEFVSTVEEIRSGVETRETELAGIDPGVLLRAVKLLEQKGKAVVFKGNSTDDEGIKFSV >LPERR05G22000.1 pep chromosome:Lperr_V1.4:5:19532729:19533646:1 gene:LPERR05G22000 transcript:LPERR05G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVETMASRWAAEKAQALIDLEHKFNLQTAMILSCYDLPKHIRLDLHMQHRNNYKVPDDLRLKFINSAFEGDAGILDHPEQLKIHSRKEAEKFWIENAAATKKAQALEDMQETYKKKLLKYALDLEDFPEHIKENYIRKCKVDDDELGFRNLVEERFGVGNHDKQLRIQAWEEFQWFLINTMDDKLAANKVHAFQEIQERYVQGIMNRFDRVDIPDYLQQDWKLQEHKIPDEIRLGFINDIENKFSVSDDEEEPKEGYISEDYNKLKAQALHDLEEKFNQQTTRILKLFSIPEHIRLDLQEQH >LPERR05G22010.1 pep chromosome:Lperr_V1.4:5:19533968:19534449:1 gene:LPERR05G22010 transcript:LPERR05G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLRAWEKTQQFRIEMMADKQAAKKVKYLQHMEQGYIQDFINNIVDRQDVPEYIQQSCLRELKVPDEIRLHYIRVIEERNGDTSPKNKSLINVVILLSIGAVVFLSSFLVPESLKVVFWVASIVICGLAVLSYDHGSLIAIAPTETHDLENPPITN >LPERR05G22020.1 pep chromosome:Lperr_V1.4:5:19534628:19535528:-1 gene:LPERR05G22020 transcript:LPERR05G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTHYAFLLLLAVMASLFAGSDAGVYHIVGAGKGWRMAPNKTYYEEWAETRNISIGDKLMFLYRSGVYNIVEVPTKELFDVCSMKNITNRWQNGPTIIELNQSGPRYYFCGVGKHCEEGQKLAINVSAFAPTPPDAPSSSSATAISAGDLLLLYLAGLAAAMCVASASSLLL >LPERR05G22030.1 pep chromosome:Lperr_V1.4:5:19536783:19543141:-1 gene:LPERR05G22030 transcript:LPERR05G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHGFHRVGVVEMEAEAAAEVAGAGSGTDGDVQIKGIKENGQPATQQPPAVSEALEMPSTPLPLPRDLDWSEHFSFFNSVGGFGGSTDGARGLTSVGISNSESRPDSVTQSCLNNADEMVEELTLKNCISSDVQVEVSAGGSTSSGEKPTIMRGLWGNFTRMAWRASEMASREKLAANRGDVTNLKIGDMSSRENLAVSFGNNMILRSNDASNKEMSVNHGDNVNNEFKTFGSQQQPFLSSRPNQSEQQVERENALIVSSFSTRILDQMRSKNVTPSSGVQGLPFKTVMKGKGVVYQGAREETQVQANGRPRIPMDKIRKIPNIPHDSMARADGTFFGGGGNVLEPQCEGTSLREVIKPGCQTTSKFEKMHLFKQILDLVDKSHAQDFALQHLRPSYFTVLSSNQVKYIGSYGTQDLPAPSKLEIGTNDFFNRKRCFDPKVESQETNGDNASTIKYQKVGEQGSIAVKRPVHTFWANHRGGNQGEGVDPNAFWQGNSSAVKERFKAAESFYGSSTPYAQATQRLSNPGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFELFCCCESWEAHRAAMSDLRHRILPPSFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVERRHSMRMGFSSEDMDVLACSNDFLGASAYALGGTSLSGLPPSLCRPSIYEERVMRNLEQLENAYYSMRSTIDPSETNIIKRSDNDSLRVRQNFHQLHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGIMKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDSLLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLVSASTDNTLKIWDLNRTNSSGLSHDACSMTLSGHTNEKNFVGLSVHDGYISCGSENNEVFSYYKSFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSSGSIKVLELV >LPERR05G22040.1 pep chromosome:Lperr_V1.4:5:19551728:19557063:-1 gene:LPERR05G22040 transcript:LPERR05G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKQLNCRTDCRYYCMMQREGERQSHGLSPVKYHGKWPFIRVSVFQEPLSSALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRIFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGVTQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSMWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >LPERR05G22050.1 pep chromosome:Lperr_V1.4:5:19558774:19560312:1 gene:LPERR05G22050 transcript:LPERR05G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLLLPAFPSSPASTLLHHHPPPPKSPFLGSSLPPPLLHPLLLRRRISPAAVVVAQAGAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFDIVEEGVYSLRFRLTPAAERLYLDELHLKNEAEGLAVTKLRKLLMMSQEKRILIDKIAHLKHDLGLPPEFRDTICLRYPQYFRLVRMDRGPGLELTHWDPELAVSAAEVAEEENRAREAAERNLIIDRPLKFNRVKLPQGLKLSRGEARRVQQFREMPYISPYADFSHLRSGSAEKEKHACAVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSLKGDRDSVFLREAYKNSQLVDKSKLVLLKEKMRALVAVPRFPRKGVPGTGEEADGINGDLRMLSEGSDVDDDEEDGLSDMEDLISEMSGGKSDADYHWGDGWVGENDDSPPDFEDGDDSSLNEVKITMKNTADSAYGKANVPVFPDGRPRERW >LPERR05G22060.1 pep chromosome:Lperr_V1.4:5:19568376:19569486:1 gene:LPERR05G22060 transcript:LPERR05G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGEMLASELGKVQAMAMELERHVDQDSPAAMELCRALASSVDRSIRLAASCFPPATATAMATMSGGGGNAGAASFKKRQGKEKVRKQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRNTKGCNATKQVQRADGDPLLFDIVYLGDHTCGHKSAPPPLAGAVEPVKLPEQQRQQSSLLAAETEGIRQVVEPMASAAAPFLFTSTAAAAAGVDVDVSSYFFVSPANSDCQFSSDFSAGSVGIDMDHEARFEEFFMNTPEFFHSEIQNL >LPERR05G22070.1 pep chromosome:Lperr_V1.4:5:19570291:19571970:-1 gene:LPERR05G22070 transcript:LPERR05G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAARVAGDHPDGILVIVAEPENSRPAFWCPDRNPAEVIAHYLQSMLGGAAVPDPGATAASAAPEDPRRREQLIPEAFREIPQVYGWPEPLRRDSSPLSLLLAGLGFIDDLGSSAPPDARRRPALIRMLLEDGVFIPSDPSDQPSSSSSSCSTRRRRRPSRWDQVGGCLGCRRLQIFRVLTTLDHIAVAYYNAEDAYLNAQDSYHVQTDGVGFELHAHPDSV >LPERR05G22080.1 pep chromosome:Lperr_V1.4:5:19578838:19580566:-1 gene:LPERR05G22080 transcript:LPERR05G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFIAACHGLTLLFQPISSEYYVCDLSTGDHIALPPCAPAAKPDGRSAVHARSSTGLGFGDGEHKVVRLYESLEDGQPRCEMYSLVSGAGGGWRPLPAAAAAESTKYLDTRPPVFLDGSFYWLMDASRLGARESSTTLRSRERSILSLAVATQHFTWIGMPESLAREACHLDELDGALCAVVDYRLVTEEYELWTWTTTSPSWSLRCRISLPTLTPQMRRELGLGFRLLPLCTSPVDGKILLATSRHKVYAYDAGTNSVEMVFSMHHFVDVPPEPMLTLNVGLHGGGGGGEESSVVVGRSGEEGRRRLEIRMGNGGGVVERREGRPDGHILNINLTPQANLCDNANGHSDIARVLLRVNLNVVDVTRIDNYEHNFSNNPLYPYLNMCDCFCAS >LPERR05G22080.2 pep chromosome:Lperr_V1.4:5:19579328:19580566:-1 gene:LPERR05G22080 transcript:LPERR05G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFIAACHGLTLLFQPISSEYYVCDLSTGDHIALPPCAPAAKPDGRSAVHARSSTGLGFGDGEHKVVRLYESLEDGQPRCEMYSLVSGAGGGWRPLPAAAAAESTKYLDTRPPVFLDGSFYWLMDASRLGARESSTTLRSRERSILSLAVATQHFTWIGMPESLAREACHLDELDGALCAVVDYRLVTEEYELWTWTTTSPSWSLRCRISLPTLTPQMRRELGLGFRLLPLCTSPVDGKILLATSRHKVYAYDAGTNSVEMVFSMHHFVDVPPEPMLTLNVGLHGGGGGGEESSVVVGRSGEEGRRRLEIRMGNGGGVVERREGRPDGHILNINLTPQAVQMFMDFAQSFLENELFNFYKNKNY >LPERR05G22090.1 pep chromosome:Lperr_V1.4:5:19581327:19583224:-1 gene:LPERR05G22090 transcript:LPERR05G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSACRSPSPAPACPWQLPRPRPLPAERVHGLVRARARVAKRRRAAAAARRGMVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFITRSKTAPGLTLFGFYFLLAFVGATSKKLSFGDDD >LPERR05G22100.1 pep chromosome:Lperr_V1.4:5:19584525:19585850:-1 gene:LPERR05G22100 transcript:LPERR05G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAEAAEIRPVEEELKTAAKKVEANFSENKRKIHRFPANLHGVTKEGRYLVPSAVAIGPYHHDLPHLQEAEEVKQASAYYLWRDSAHEEADQSADAAYQKILSVTGNLRRCYVGDTVEGIPELDFAAMMFLDGCFLLQFMLFIRSKPGELVAPSLQGWFNSKLANILRDIFLLENQIPWLVLQTLMAFKPMLDVEDFISRAGLGFEARLDIVKRPLVVSNSYTPAHLLGLLRYYQCGSIPIGRRALDLPEGVTSLPQSSSAIELAEIGIQLVANDTSQLKDMGIFEGAPRLFGGLFLAPLVIDDLKACWLVNMVALEASITTGLGDEDTVSSYVLLLAMLMNREDDVHELRAKGLVRGGFSDKETLEFFKNLVKQLFVGLDYFRILAELESYRRKRPLLIPIHKFVYNNVRAIITVFSIIGVLVGIFKTLLSIKQHQQ >LPERR05G22110.1 pep chromosome:Lperr_V1.4:5:19587120:19588696:1 gene:LPERR05G22110 transcript:LPERR05G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLISQCNEHTHADHCLLDAQLELFLNTFAFIKSMALKSALDLRIADAIHHNGGAATLPEISTTATLHPSKLPCLRRLMRLLTISGVFTVAAAAGDGEDEPVYALTPASRLLVGGGNLASTSSMILHPTLLTPLLAVGDWLRLETPSPAACIFRQAHGEGIWELADRDAVFDAAINEGMACDSRFIMDVVVRENGEVFEGIGSLIDVGGGLGAAAQVVSDAFPEWVLHDWSDDECVKIIKNCKKAIPSREAGGKVIIMDIVVGAGLLDQKHREVQAFFDLYIMYVNGIERDEQEWKKVFFEAGFSGYKIMPVLGFRSIIEVYP >LPERR05G22120.1 pep chromosome:Lperr_V1.4:5:19590121:19590402:1 gene:LPERR05G22120 transcript:LPERR05G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEEKKAVVRRRAWDCGSPLYDSYELASVYGLLDSNLMVLPFGKRSPARRTPAAKTMANEQRRRKAAATKNNKGKSVLRSIFRSVTCSRKL >LPERR05G22130.1 pep chromosome:Lperr_V1.4:5:19596826:19597623:-1 gene:LPERR05G22130 transcript:LPERR05G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQTAAAASSSASVNSAATAERKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGAAAGDVPDHLRHLRDVVDAKIQAIRVRMARKRARARRQREEIKQSQLADSVNAARHVAAERAASETTTTTTTTTTTSSSYGSPDGVLSVDGGGDCPLERMPSFDPELIWEMLNF >LPERR05G22140.1 pep chromosome:Lperr_V1.4:5:19604340:19606451:-1 gene:LPERR05G22140 transcript:LPERR05G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETVRDEAAAHACKVVADGKAAAARRRRRLELRRLGQAEGDVTAKRIRSVKKEDLSSSSSDESLTEAVLRRWPACVSHGAVSVIGRRREMEDAVAIERTFMAAADAGGGAIGGEEEEEDFFAVYDGHGGSRVAEECRRRMHVVLAEEVSRRPAPPSSSGGDVRWKEAMVASFARVDGEVVGSAAAAARVDDGGAKPSGSSFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRDGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVTVIERTEKDEFLILASDGLWDVVSNEVACKIARNCLNGRASSMFPESVSGSSATDAAALLAELAVARGSKDNISVVVVELTRLKAKKAAAS >LPERR05G22150.1 pep chromosome:Lperr_V1.4:5:19612035:19616259:-1 gene:LPERR05G22150 transcript:LPERR05G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDQRRVAVAVLRAAAAAGELSLGKAVHARVIRAARFDVVQYNNLIAFYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALALLRVADFGLNEYVLSSAVAAAAHVRSYDMGRQCHGHAIKAGLAEHPYICNAVLHMYCQCAYMDEAVKVFENVSSFDVCALNSMINGFLNRGQIDGSARIVRSMVRKVEQWDYVSYVAVLGHCVSTKGVVLGSQVHCQALKRRLELNVYVGSALVDMYGKCDYPHDANRVFEVLPEKNTISWTAVMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLASLKIGNALGACTLKTGHWGLLPVGNALMNMYSKSGSVEDARRVFLSMPYRDVVSWNSIITGYAHHGRAKEAMEAFHDMLFAEEVPSYITFIGVLSACAQLGLVDEGFYYLNTMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAERFIESNCIGTDVVAWRSLLNSCQVYRNYGLGHRVAEQIFQLKPKDVGTYVLLSNMYAKANRWDGVVKVRKLMRELGIRKEPGVSWIQVGSEVHVFTSEDKKHPYMEQITEKLQELIDRIKVIGYAPNIAVALHDVEDEQKEEHLMYHSEKIALAFGLIHTPKGETIRIMKNVRICDDCHVAIKLMSLATSRKIVDPDGNKVINEGKAKYRYCKHIAKQRLPNLTSELMKRGKHFILICNPLNILTFPFAFYDLLEQSFPFYNMLKRQIKDAIFRTLNANGMFNNAHIRFTLTRGKKVTSGMSPSFQPLLDLKARFAAPYC >LPERR05G22160.1 pep chromosome:Lperr_V1.4:5:19617560:19621235:-1 gene:LPERR05G22160 transcript:LPERR05G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNFPTALSSGAPCLAGRGRSFLVSSAPDLRPAGGVRAQLGLDWGQGRDAVVRPAVAASIALSRYRFRRRRGGQNDDINVAHSDGGKTERRDVTNPNRGQHDEAKNDNDEKNKKDKSTEGTTKTKDEATVNHLDKDSSTAKSSHVTDFSQDPLIKECDPLHRCVIENKKFIACLKVPGEDSLALSLLMDNKGMDPLDVRIATPEFVTSAEDTIHVKANDHNETQVTIFNNGAPNLAIVLRVAEETCNISIHRAIARETSRVMPMRLTSTYMLVPVFVLIGAVVACVKLRRTGKQDGGPAYQKVDVAELPVSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRAMPNLSSKGLASRRSTKDGWKD >LPERR05G22170.1 pep chromosome:Lperr_V1.4:5:19623842:19629173:-1 gene:LPERR05G22170 transcript:LPERR05G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKGCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKLKLAQFCHVPTPNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKVPREPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAAEETPDAYGKAWELLKGAHGVLVPGGFGDRGVQGKILATKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSFKLYGSASYVDERHRHRYEVNPDMVPEFEKAGLSFVGKDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDQLLQQPCGVVSSPVRRSSSCNGVTKQKLYPNGHVKNGLVNGYYANGNSILHT >LPERR05G22180.1 pep chromosome:Lperr_V1.4:5:19657348:19660985:1 gene:LPERR05G22180 transcript:LPERR05G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSASISPYIQPTSPIMNCPEREKQKERKKKKRKGRSRSRRKREESNRLVFSSAPDPAAGRVLLDLQPTALIPSPPPSPSTQKRLLRRCRRGSTARRTAAEVEEEGGSRIGTCRSPTSAESCAVPCRRTARSPRTPRIPSRSASPSSSASSPAKRVISL >LPERR05G22190.1 pep chromosome:Lperr_V1.4:5:19661963:19663851:1 gene:LPERR05G22190 transcript:LPERR05G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENEAKQVTVKIIETVYVEADTADEFKSVVQRLTGKDAVADETEHNGVQRIIGTRREANQHGDHNKQLVVNQPGRRLIIMHDRDV >LPERR05G22200.1 pep chromosome:Lperr_V1.4:5:19664304:19664714:-1 gene:LPERR05G22200 transcript:LPERR05G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRSTGYMWIAQRKKVEHHFKMVSKHVSYDVDITGYVKPKCIKKLKGVKAKELMLWPPVNEITVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >LPERR05G22210.1 pep chromosome:Lperr_V1.4:5:19665162:19668227:-1 gene:LPERR05G22210 transcript:LPERR05G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTLALSHPKTLAAATAAAPKALTAPAAVSFPASHANCAVSASGARRRAVTAMVAAPPAVGAAMPSLDFETSVFSKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFDEARGAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSSGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMSEELAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPENDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >LPERR05G22220.1 pep chromosome:Lperr_V1.4:5:19670073:19671830:-1 gene:LPERR05G22220 transcript:LPERR05G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVETMADKWAAEKAQALIDLEHKFNLQTAMILSCYDLPEHIRLDLHMQHRNNYKVPDDLRLKFINSAFEGDVGILDHPEQLKIHSRKEAEKFWTKTAAAANKAQALRDMQETYKQKLLLKHALDMEDFPEHIKENCIRECKVDDDELGFRNLVEERFGVGNHDKQLRIRAWEEFQRFLINTMDDKLAANKVHAFQEIQERYVQGIMNRFDRVDIPDYLQQDWKLQEHKIPDEIRLGFINDIENKFSVLDNLRAKFINAVFEGNPGILDHEWHLKVHAQKESEKFWIKAAATAKKAEALQDMEEKYKQDFLKPGYVSKGIPEHILNECKLVSKEIRLEFKNRVEEKFGVRNHEMQLLLRAWEKTQQFRIEMMADKRAAKKVKYLQHMEQGYIQDFINNIVDRQDVPEYIQQACLRELKVPDEIRLRYIRVIEERFRVLYGQEERKVDTSPKNKSLITVGIQLSIGAVVFLSSFLVPESLKVVFWVASIVICGLAVLSYDHGSLIAIAPTETHDLENPPITN >LPERR05G22230.1 pep chromosome:Lperr_V1.4:5:19687705:19690990:1 gene:LPERR05G22230 transcript:LPERR05G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHKFTTPKTPSALHVTQNHPKSNATFPPKPPCVRAPPSPRETLTTAPALVRLRRLDVHVHRRTTRALRNSTCDRSIDRSAEYMGEARDDEAARMMRAAVKRLSFGTAEEERAEAAAEVRRLARSDERTKRLLPELGVVPPLVSMLADVKNFPGARITAAGALLELARGAHRNKVHIVQAGFLKKLPLLMEDKNMSRSNELALLLLSISSLANTDFPIAASDLLPFLVAVLRADDAPADTKLTCLAALHNLSTKIEHVRAVASSGAARALVALSTLAAADDGTTSEVALSVLGDLAAASGAARREIEDDEAAAAAIVDAMARHDAPRHQELAAYLAMVLAHNGGGGGGGRILLRRRMRRLGVVQVLLEVSLLGTPLAARRAAKILQWFKDGDGRSRITAHSGPRMEGGGADDDGDGEEDGEKDCRKGVERIVKQSLDRNMKSIMRRATASVDLTNVKLLDGSSSSKSLPC >LPERR05G22240.1 pep chromosome:Lperr_V1.4:5:19691271:19694865:-1 gene:LPERR05G22240 transcript:LPERR05G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSSSSSARKAPPQPGVAAAAAAAAAQPDTPRRRSAGSPAPSSATASGSKTTGGGGGVFARSFGAYFPRSSAQVQPARAATAPSPAEIGEMARLVEELQERESRLRTELLEHKILKETVAIVPFLENELAAKSSELGRCRDAVSRLESENARLRAALDAAVASSVDNEKRILDLENQRSELRKRRQRDAAAADDCSSSASSENSEESNAASNSAKVAGCSSVRPPPPPPPMPASLKTKSYFSGSSRASPANSSSSSSSSEQSTPSCSSDTAASRSRLPELSKLPPIPPPPPPPPPMPMATARSSRSASPSPSTSSSGSAGPPAPPPPPPPAARRSSRASPPATATASAPATGPCVRRVPEVVEFYHSLMRRDSRRDGGGGGADAGGGGGAAAARDMIGEIENRSSHLLAIKSDVERQGDFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASLFCDDPRQPCSSALKKMQALFEKLEHGGYSLARVRDGAMNRYRGYQIPWEWMQDTGIVSQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFQSQRECQNQHLQQHKLAGRS >LPERR05G22250.1 pep chromosome:Lperr_V1.4:5:19699408:19701756:1 gene:LPERR05G22250 transcript:LPERR05G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKWLGDTAKRWRELHGESDWNGLLDPFDLGLRRTVIRYGEMAQATYDAFNRESVSPHAGLSMFSRRSFFRRAQLPDHAAAYRVTRFLYATSSVAVAAEAFMLRSVSPRGRRCRESNWIGYVAVATDGGAAALGRRDVVVAWRGTIQKMEWIDDLEFVMAPPKGILGDVEAPDAMVHRGWLSMYTSSDPESSHNKDSARDQVLKEVARLVDMYGDEEMSITVTGHSLGAALATLNAFDIAANGHNVSRRRASPFPVTAFAFASPRVGGHGFKRRFAAAAALRLLRVRNARDVVTRYPAEALHYADVGAELAIDTWESPYLRRPGNELVWHNLECYLHGVAGARGGGGGSSFELVVERDVALANKTYGALCEEHGVPAGWWVPSNRGMVRGDDGRWTLMDCEEDEDCAE >LPERR05G22260.1 pep chromosome:Lperr_V1.4:5:19701441:19704180:-1 gene:LPERR05G22260 transcript:LPERR05G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSPSPMLGGIADRWRELAGEDSWRGLLDPFDLDLRASIISYGELVQATYDSFNREKRSPHAGACVYGHGDILAASCASPAVAAGYTVTKFFYATSGIAVPEAFLLLPLPSLIPPAWSRSSNWMGYVAVATDDGAAALGRRDIVVAWRGTVESLEWVSDFDFTPVSAAPVLGDAAAANPTAVVHRGFLSVYTSSNKDSKYNKASARDQVLEEVRRLMELYKDEVTSITVTGHSLGASLATLNAVDIVANGVNCPPPSSSQPPCPVTAIVFASPRVGDVAFKAAFASFPDLRLLHVKNAGDVVPLYPPLGYVDVATARLPITTSRSPYLRSPGTVETLHNLECYLHGVAGEQGSAGGFKLVVERDIALANKGADALKDVYPVPPGWWVSKNKCMAKDADGHWALQDFEQI >LPERR05G22270.1 pep chromosome:Lperr_V1.4:5:19707781:19711291:-1 gene:LPERR05G22270 transcript:LPERR05G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLKSAAQHLRRRDYSAAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGVTILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSEFEKEGLENLKGELKSSIEKGIKFAHAN >LPERR05G22280.1 pep chromosome:Lperr_V1.4:5:19712705:19714612:-1 gene:LPERR05G22280 transcript:LPERR05G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGHEAELAAAAAQPLPDDDDDLIE >LPERR05G22290.1 pep chromosome:Lperr_V1.4:5:19715717:19717294:-1 gene:LPERR05G22290 transcript:LPERR05G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQPLLQMPPAPSSMTSTTTTTTTASRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLTDLAELWHNLQYNLVSVLLCSTMLVLVSTAYFLTRPRPVYLVDFACYKPHDERKCSRARFMNCTESLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVKPKEIGILVVNCSLFNPTPSLSAMVVNHYKMRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDTDGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVSRKLLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFVNPWIDEIHRFPVPVPKVSAI >LPERR05G22300.1 pep chromosome:Lperr_V1.4:5:19719376:19721754:-1 gene:LPERR05G22300 transcript:LPERR05G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAAAKPVALLPGPICRCSGGGLRSARPAASRLRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGVAY >LPERR05G22310.1 pep chromosome:Lperr_V1.4:5:19722109:19724700:1 gene:LPERR05G22310 transcript:LPERR05G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTRTHTNPPPLLVSHSAPPSSAAHGRLAARKRARGGLSSTAPLRAAVSAEATYSDRSAAIRALCSHGQLAQAVWLLESSPEPPDEDAYAALFRLCEWRRAVGEGMRACARADAERPSFGLRLGNAMLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWTGVRPDVYTFPCVLRTCGGIRDWRMGREVHAHVLRFGFGDEVDVLNAVVTMYAKCGDVVAARKVFDGMAVTDCISWNAMIAGYFENEECEAGLELFLSMLENEVQPNLMTITSVTVASGMLSDMGFAKEMHGFAVKRGFAIDVAFCNSLIQMYTSLGRMGDAGNIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVSPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYIVVANALLEMYAKSKHIDKAIEVFKYMAEKDVVSWSSMIAGFCFNHRSFEALHYFRHMLAHVKPNSVTFIAALSACSATGTLRSGKEIHAHVLRCGIGSEGYLPNALLDLYVKCGQTSYAWAQFSVHGEKDVVSWNIMLSGFVAHGHGDIALSLFNQMVEIGEHPDEVTFVALLCACSRAGMVSQGWELFHMMTEKYSIVPNLKHYACMVDLLSRVGRLTEAYNLINGMPITPDAAVWGALLNGCRIHRHIELGELAAKVILGLEPNDVGYHVLLCDLYTDAGRWGEVARVRKIMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINAVLYGIYERMRAYGFAPVDFLEDKEVSKDDIFCGHSERLAVAFGLINTTPGTSISVTKNRYTCQSCHMILKAISKIVRREIAVRDIKQLHHFKDGDYCCGDIGYG >LPERR05G22320.1 pep chromosome:Lperr_V1.4:5:19730615:19731763:1 gene:LPERR05G22320 transcript:LPERR05G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVASAYPHTLSSSHGSLRQLRWLVAHGRRRRCHDVCQGNKQQQSSNNRAAVYGSLPPGMVVPPLVSFTVQIEAVRRWHEIEKAIMRLVNHLVTCTCAIQAGDYIAMAGSLSDAREILTKIPTHIGIGRVLAIFTDALSERLFPMFPNLALPPPLPRTKQRDLFRGFYEVGPYLKFPHFIANRAILKAFESYGIVHVIDFILMDDVQWPPPLAIEDHDELCDVGIPLAEFAWSCNVPFSFRGIARDQIDCLCPWMLHTIPSEAIAINAMIQLHRLLVDQNTTMAVSSSVPIDIVLDLIASLNPKVFTEADHNMLSLLERFNNSLFYYAVMFDSLEAASCHVRGNVPGNPLIEALL >LPERR05G22330.1 pep chromosome:Lperr_V1.4:5:19735632:19737035:1 gene:LPERR05G22330 transcript:LPERR05G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATALAKAINSNNNEEAAYAPLTPGMVPPPPGVPSALQIEATRRWQEMEKLALRLVNHLVTCAGAIQAGDYAAASGRLSNAREILTKIPTGVGIGRVLTHFADALYERLFPAFPNSASPLQPPRAERRELFRGFYEAGPHLKFAHFTANQAILEAFKGCGVVHVIDFALMDGVQWLSFIQAMAVRPGGPPILRLSGVGPLATDDRDELREVGIRLAEFARSCNVPFAFRGIAGEKIDELHTWMFQTVPGETIAVNAVLQLHRLLVDQDDVVAASSPAPIDIVLDLIMSLNPKVFTMVEHEADHNKSSLLERFTNSLFYYGAMFDSLEAASRHDGGDGTGNPLAEAFLQGEIADIVSHEGSSRMERHEPMARWIERMQRAGMTQIPQSRNELWQAAMHVRSGTDFRVQEHDGFLTLTWHNQKLYTASAWRCADVGPRVVTGGAATMDPKESKNGGKNNSGGTCSEN >LPERR05G22340.1 pep chromosome:Lperr_V1.4:5:19737407:19739569:-1 gene:LPERR05G22340 transcript:LPERR05G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSIAVRRRRSPSPRRAGAGASGCFRSKFDLLDPRLVPLASTPISSHARPPPARISIHPSISRAEQSCCRPTTFASDFSPNHEFVPTPVLMFSLY >LPERR05G22340.2 pep chromosome:Lperr_V1.4:5:19737622:19739569:-1 gene:LPERR05G22340 transcript:LPERR05G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSIAVRRRRSPSPRRAGAGASGCFRSKFDLLDPRLVPLASTPISSHARPPPARISIHPSISRAEQSCCRPTTFASEVWWACDYQDKS >LPERR05G22350.1 pep chromosome:Lperr_V1.4:5:19740601:19740867:1 gene:LPERR05G22350 transcript:LPERR05G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTREVATATARWSDRRQCPPWRANSLENIVPENLPRPSAQRRFNNITAAAAENAPAPASSASAASPDAVVPFLALRSGMGCFSL >LPERR05G22360.1 pep chromosome:Lperr_V1.4:5:19742698:19743066:1 gene:LPERR05G22360 transcript:LPERR05G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSQPRRSIQMYWARRNNYHRLGSPSRHLRVARLGGAGAGARRKDSRGMSWRLRAARVLLIMSPLRLLARARDAYVEARLQGSSRRSGGGDFERRMMEHIYRMVVTPELPGAAAAAAVA >LPERR05G22370.1 pep chromosome:Lperr_V1.4:5:19746751:19750402:1 gene:LPERR05G22370 transcript:LPERR05G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRNRRRTVAQNHEQIRYKRKKSPCQHADSRSGKIMRSSTSYPCLPEVVVKPGIKELTLVLCKTKREYNFPCSLLSDGVQNSIRYLKLNWCAFRPTAELGPLRSLTSLHLRFVSITGEELQCLLSNSPALEELHLSNCMEIICLKIPCTLQKFRYLYVMGWCSLKVLENKAPNLSSFFLRGSVKLSLGDTLQMKKLDVVHSLHYARSVLPSVMPNLESLQITSGCEVVNTTMLPTKFFLHLKNLTIHLISGLAFSPTYDYFSLVSFFDASPSLETLILNVRQQDMKHESVLAKSSDLREIPENRHSCLKSVKISGFSSAKSLVELTCYIIKNMVSLESLTLDTICVDRSISCYLKTFRMCYPIGEGALMEAPRALSAIRTYIEAIVPSTFKLTVLKPCSRCHVKGIPRCISNT >LPERR05G22380.1 pep chromosome:Lperr_V1.4:5:19750875:19751735:1 gene:LPERR05G22380 transcript:LPERR05G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNPYFSRRQHTTPGTSAHPVRVRPHPREIRLPLLARGASTTPASASSSGERRWCGLPLASSPMAAPTSSSSRSPYDYYAPATSARQEQLAAAKAAADIELWAHQVPISGFYQSGKPKFTLDDNFMMYYFKASECHCSRRHPWTTCPYAHPGETMVRRDPLRFDYAAMPCRNFRRTGAGDAGTCPRGLWCPFAHGEFETLLHPARYRTQMCRHGVFCTRPICFFAHDYREMRIVRDGAATTLSPWMPQPDVVRLLWFGRQYEQIAFRDRDVVPREYNRRGAWKW >LPERR05G22390.1 pep chromosome:Lperr_V1.4:5:19753823:19759526:1 gene:LPERR05G22390 transcript:LPERR05G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLRRVEDIIYHNEAFHFLDAGEQICVCTPNFLQEEEHAVLDGLIYIRLQIGHSYDDQGVAARYLVESGEHLLMVVKLIAPDLVSPASASAFRVFKMTERINDDQIQYTWEELNLPAFEDRMLFYIPQFAKHIVRRIPCAFERVHVKAACKSWRDALAQATHLPPPQPPLPGIIFVNADEDGPTFSCISRGGDWCAHRVSLPDALRRARYFGSYGGGGAWLFLAAGQANRHSILNLKTFQGIDLPDRVRRANRQKGIVIVTATLSSQPDEQGCIVGGIIDVFRPMAARCIAFWRMCSDAILLSKIIPQSMLCWEVEDIIYHREAFHFLTRGEEICVCAPNLHMEEQDICNGDGLHYIRPRNGHCYDDRDVTARYLVESRGHLLMVVKFFTPNMLSPTLEFRVFQLSGNDKVEKYTWEELPITLNGRMLFVGRGCSRSYEAVDYPGLEAGVYFLDDRSWYDQTIVLRGVDARDIPLRFGFSLEATESGGIAGGIISLDFYNMLRADGKIAFWHTGSDVIAKVMRSEPSLETLEDVIYRDGAFQFLTPEEHIRVCTASVPPRTVDRVDVTTEDIHMTRPNGPGYDQCVVARYLVESRKQLVMVAMLAPDLDSPASAFRVFQKTEHKLDDDKVQYTWEEIALDGRMLFIGRGCSRSYEAADYPGLDAGVYFLDYRCRYDQDVMWKEVTEREYHCNGCGKWSGTPPQVELFAPDLGPSHYSPPKSLIKN >LPERR05G22400.1 pep chromosome:Lperr_V1.4:5:19765684:19766882:1 gene:LPERR05G22400 transcript:LPERR05G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVFNPGKQQERFYLAVIHAPSGTPVSDNALVDAAAANNVRAFKDTNAAVASMSVNAWGLFAVVVDGALVFRVGLLPAEFCAVKLGSDDRLHVTSFALVNSSTLIGHDFVFPVGDYDLPLQCPSLGLCTAAGNTARPALLIKSNREEWCAWRKVRRGSCVVRASVWLTATSSKSCGTWRIDRHGG >LPERR05G22410.1 pep chromosome:Lperr_V1.4:5:19768511:19769703:1 gene:LPERR05G22410 transcript:LPERR05G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRCADIPVSTRPEKAMRIVIKSWSRFMPGPYEFPPYIPDDVMFNILSWLPACHPSLLSDLSPYAWYAMISSPCFITAQLERSKQNPSVIMVPGAYQKQNNGEKIAFLMSLYKYQGGKIMEQFHVQDFPEGIGSWTRPVHCNGMLLISTMNQEMVICNPSTREIVCLPKGSYNFRVGSRDGFGFDPRSNKYKVARFFYQRDDDTLELVCKFEVLTLGTNLWRQTDDPPYPITGLTPIHVKGALYWIVSTSLCADPPNAFLRFCLTNEKFSLVPYPPCNIKPSCFVEVEGELCCACFCSQTLALEIWTCNYAQNPKWTPRCTVQRIPPDMVVKNPVPSPPIVFFHGKRLLLTWDQVYQYDFQTCKMEKIASGIKEFTCYMIQGTRSTGHIWRKE >LPERR05G22420.1 pep chromosome:Lperr_V1.4:5:19772606:19773829:1 gene:LPERR05G22420 transcript:LPERR05G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPPWANLPGAVLFEIVRRIPCAFERIHMRAVCAAWHQALQQFEIQPPPPLPGILLLRDDRPTFSCIAAASGGGGGDWCTHRVYVPDAYLHARYFGSYDGSWLFLSIGQHNGHGLFNLRNLQGIGLHEFVPFVLDGRLFGADILTVAATLLPCPIVSESIGAGVFTIAPPPPPPPLNCSRYNFSCWKNGSAAINIIGSDALLPFPGVEDVIYHDMAFRFLSPDETILWCEATVSLAPDMPEGRVDVVTEKMEITRLDADGRCDSKCFVARYLVESRGRLLMVARLALHPQSPAFAFRVFQLNEGEEADEDRYTWEELPALDGRMLFVGRGCSRSYEAADYPGLEAGVYFLDDRSHHIPNIPFQNAHERQYLCSDNGKWSGTPPQIRLYAPDPGPSNYSPPVWLIP >LPERR05G22430.1 pep chromosome:Lperr_V1.4:5:19776178:19777407:1 gene:LPERR05G22430 transcript:LPERR05G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPPWTTLPDKVVLEIARHIPCAFDRIHVKTICRSWRATLLQFPYDIPPPLPGFLLMRSDADGPTFSCISRGGGWCTHRVSLPDALRRARYFGSYDDSWLFLSVGQRDGHMFFDLNNSQEIDLPKRATLHLDEAGRQFDIGILPAAATLSSSPYSFGCIGAGIFTVGIPPFHESLEYTACRFWRVKSEVIPKVIKPELLEPVMEDVLYRHSDESFNFLTPDEDVHVVRASTASTPYVRVDEVASVGVLKCTSRPDYGDRRFHDQCVVARYLVESSGELLMVIRFAPRPLALASAFQVFQLEEFKMDDGVYQYIWDELPALDGRMLFVGRGCSRSYDVAKYPGLEAGIYFLDDRSRPFPSIPFLDASERQYPCSDNGKWSGTPPRIRRYAPDPDLSEYSPPVWIIPHR >LPERR05G22440.1 pep chromosome:Lperr_V1.4:5:19780429:19782698:1 gene:LPERR05G22440 transcript:LPERR05G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPTHPGRFYSHFGPTVFGGGDQRLSSPNLLTNGEDLFYGCYSPFSPTRPRGLSPPPRGAAASFSHSSSSNSVVDDGDDAAAAAAAATDHRIHLAHLALQYQEVADRFELCLSHLAEAADEAAALRRENAELRVANNDLACRIAKFDSRQSSAIALAGDLRRLRLGLPEKHAVPALPPPPPPPAALMHPVAVPEKRAVLPKSISIRSTGYQKQNQGGKHRVSKPVNVGSQRVFVGIDGADGGDHKGGAKKKEPPAMGGLELEVYNQGTFKTELCNKWEETGECPYDDQCQFAHGVAELRPVIRHPRYKTEVCRMVLAGGVCPYGHRCHFRHSITPADRLAFRH >LPERR05G22440.2 pep chromosome:Lperr_V1.4:5:19782378:19784356:1 gene:LPERR05G22440 transcript:LPERR05G22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCPDRPDFRFGCGFTVPMACFHPYCQPRVCCYPVRPGFPLLKFLDPQRPPVGVRPRQARPGSLLQWDHLRQRLTCHGVAAEAYRTLLQEDSVRPLAKPISATVMVASGLSVTAFWVGRYYWLPDTRICFVRIQNQFSDLYAKMGLIGRACQPN >LPERR05G22450.1 pep chromosome:Lperr_V1.4:5:19784628:19786583:-1 gene:LPERR05G22450 transcript:LPERR05G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEETKLPRVDFSGVDPAAPGTGRWAEVHAEVMDAVTTAGVFEAHYPQLTPELRASLFRDAVQPLFSLPVETKRRNTYGPGKPFHGYMGGLSGLDSYESLAIVDGLKPAPVHAFAELMWPGAANDSFCELVHGAAKQIGDLEGMVRRMILEGLGVENHHEKMSESTWHLFRMAEYKAPNSEEKVTGYIAHQDTNMLSIVCENGVNGNEMRTRDGEWVLVKPSPTSLIVNVGNTLRAWTNDRVHAPFHRIMVSGNATRYTAMLFSVPNFMIQAPDELVDENHPARFKPHDNDDFIRFCVSEEGARHEDKLKAFCGI >LPERR05G22460.1 pep chromosome:Lperr_V1.4:5:19787363:19789695:-1 gene:LPERR05G22460 transcript:LPERR05G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEETKLPRVDFSGVDPAAPGTGRWAEVRAEVMDAVTTTGVFEAHYPQLTPELRASLFRDAIRPLFSLPVETKRRNTYGPGKPFHGYMGGLPGLDFYESLAIVDGLKPALVHAFADLMWPSAANDTFCELVNGATKRLGDLEGMVRRMILEGLGVVNHHEKMSESTWHLFRMTEYKAPNSEEKVTGYIAHQDTSMLTVVCQNEVNGNEMQTRDGEWVLVKPSPTSLIVNVGNVLRAWTNDRVHAPFHRIMVSGNDTRYTAILFSGPNFMIQAPDELVDENHPPRFRPHDNDDFIRFCVSEEGARHEDKLKAFCGI >LPERR05G22470.1 pep chromosome:Lperr_V1.4:5:19791102:19791650:-1 gene:LPERR05G22470 transcript:LPERR05G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIGFTRGIRSYWGKRKYQRLDAANGKTSRGATQQLGGVGRRGAGAGGWGLRLRRLLRVKVCVARAVLSTPARILARIRDAYVGGMLAVSRKASGMALPNAPEGLWPRRVPRRKQLPAASSRPGQLSEFEQRLVVEIYKSIVASKELTTMLQHSTAHLPQHNNNSTAPSAQQDLLAI >LPERR05G22480.1 pep chromosome:Lperr_V1.4:5:19793501:19794022:-1 gene:LPERR05G22480 transcript:LPERR05G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQHHAHGEPVRPVATHRQAYTAAPASDSSTTLLRRVQTHAPNSTQVVGFLTLAVSGAVLLLLTGLTLTGAVVALIFLAPIALLTSPIWVPVAFALFVLAAAALSTCTFLIAAAAGGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >LPERR05G22490.1 pep chromosome:Lperr_V1.4:5:19795898:19800793:1 gene:LPERR05G22490 transcript:LPERR05G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSVFRWLRHRSRRVSSSSFHLTTTTSTTKENAEGDEGHEGHESDPEEYIVVELEDAGTRLPVRNKPQPQLMDPTKKTSESDFFTEYGEANRYQVIKANDDLTSEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPAVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYMRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKPGHASVTDGISKPLLSARSLLKSESISASKCIGEKPRHDRDEESLAESLDETVDEVSEKIAQVKT >LPERR05G22490.2 pep chromosome:Lperr_V1.4:5:19795898:19800793:1 gene:LPERR05G22490 transcript:LPERR05G22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSVFRWLRHRSRRVSSSSFHLTTTTSTTKENAEGDEGHEGHESDPEEYIVVELEDAGTRLPVRNKPQPQLMDPTKKTSESDFFTEYGEANRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVIFELMESDLHQVIKANDDLTSEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPAVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYMRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKPGHASVTDGISKPLLSARSLLKSESISASKCIGEKPRHDRDEESLAESLDETVDEVSEKIAQVKT >LPERR05G22500.1 pep chromosome:Lperr_V1.4:5:19806070:19808259:-1 gene:LPERR05G22500 transcript:LPERR05G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWEELYMVLAAVVPLYVAMMAAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISTSDIYAMNLRFVAADTLQKLLVLAALAASSRFIPNSALDWSITLFSVSSLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSTVWYTVLLFLFEFRAARALVAERFPDTAAEIAAVRVDPDVVSLEGSQAEAVAEVAADGRLRMVVRRSTSVSRRSLAVAVAALATPRPSNLTGVEIYSVSSSRNATPRGSTFTLADIHGHHHPPLPPAAAAISALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAAAAARGRVSATVAPTNDATKDMHMFEWSSGDSAASEVSGLPVFLSGRDIRRLVPSDTPSIASSRVIRPPGATGGDHELAKLEGGGKTEQQTAKDGGAGAGGQQTAPAGVMTRLILTTVWRRLIRNPNTYASLLGLTWSLIAFRFHITIPIIVSKSISILSDTGLGMAMFSLGLFMAMQTKIIACGHSAAAASMGIRFFFGPAIMAAASAAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >LPERR05G22500.2 pep chromosome:Lperr_V1.4:5:19806072:19808259:-1 gene:LPERR05G22500 transcript:LPERR05G22500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWEELYMVLAAVVPLYVAMMAAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISTSDIYAMNLRFVAADTLQKLLVLAALAASSRFIPNSALDWSITLFSVSSLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSTVWYTVLLFLFEFRAARALVAERFPDTAAEIAAVRVDPDVVSLEGSQAEAVAEVAADGRLRMVVRRSTSVSRRSLAVAVAALATPRPSNLTGVEIYSVSSSRNATPRGSTFTLADIHGHHHPPLPPAAAAISALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAAAAARGRVSATVAPTNDATKDMHMFEWSSGDSAASEVSGLPVFLSGRDIRRLVPSDTPSIASSRVIRPPGATGGDHELAKLEGGGKTEQQTAKDGGAGAGGQQTAPAGVMTRLILTTVWRRLIRNPNTYASLLGLTWSLIAFRFHITIPIIVSKSISILSDTGLGMAMFSLGLFMAMQTKIIACGHSAAAASMGIRFFFGPAIMAAASAAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >LPERR05G22510.1 pep chromosome:Lperr_V1.4:5:19810866:19812775:-1 gene:LPERR05G22510 transcript:LPERR05G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITARNPHDSLSFSRRHFKWPVLGKSYSHGATRSDVDDDYMKSSEAEEEDEATMAFSSVCPSFHSEDFVSPPKKPPPPPPPATRQQNPQPQQRRKVRAAVSRLRSALLLELAAPTAALVREMASGLVRIALECERAKGGAATANGEKRLIEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVIQITIHPQVTPAHSQSVIVSKA >LPERR05G22520.1 pep chromosome:Lperr_V1.4:5:19816743:19820453:-1 gene:LPERR05G22520 transcript:LPERR05G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASPISGDAAGTEAEAFVSRGGVQQVLLCRRSSQFTSDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGLDLYIPRDQSKPSPVVAFVTGGAWIIGNFPQGTISDMVCDASDGISFVCETVSAFGGDPNQYNIQNLVDHFHERGLYRSIFLSIMEGEGSLPHFSPEIVAKKSSPEAISLLPQIVLLHGTEDYSIPFSASETFAGVLKQAGAKTKLLLYEGKTHTDVFLQDPLRGGKDKLVEDVISVIHADDTAAREKDALAPIPDRLVSEWQIKLARRISPF >LPERR05G22530.1 pep chromosome:Lperr_V1.4:5:19821440:19821967:-1 gene:LPERR05G22530 transcript:LPERR05G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSAPAAEGKPQPQQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDADGNGSVEFDELAAAIAPVLTTQMHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFQEFAAVMAKSALDFLGVA >LPERR05G22540.1 pep chromosome:Lperr_V1.4:5:19824483:19825453:1 gene:LPERR05G22540 transcript:LPERR05G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATLTTNYRLSKRKGQTKINEGWKKPPEGMLKINIDASFDIDAGSGESSICRDNSSQRAVFTDLCIA >LPERR05G22550.1 pep chromosome:Lperr_V1.4:5:19825207:19828593:-1 gene:LPERR05G22550 transcript:LPERR05G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAEGGGGGGGRPVLAAAAQSLSLNRRQRREDWEIDPAKLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQDIAAVRSAFSQEVSVWHKLDHPNVTKFIGAVMGARDLNIQTENGHLGMPSNVCCVVVEYLPGGALKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGWLSCFRRYREPARLVHPMFDVT >LPERR05G22550.2 pep chromosome:Lperr_V1.4:5:19825431:19828593:-1 gene:LPERR05G22550 transcript:LPERR05G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAEGGGGGGGRPVLAAAAQSLSLNRRQRREDWEIDPAKLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQDIAAVRSAFSQEVSVWHKLDHPNVTKFIGAVMGARDLNIQTENGHLGMPSNVCCVVVEYLPGGALKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGWLSCFRRYRGP >LPERR05G22560.1 pep chromosome:Lperr_V1.4:5:19831592:19844571:-1 gene:LPERR05G22560 transcript:LPERR05G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPPPFTFPAAAARTRMAVPAYEVMFGKPQRRSLFEDYFDQVGSINSGMIMLRPLEDCHVDLTAHMTTTGQGEVRFRWQRDLDDPNTFMDLLLDTSTKPVLQLRSCAYYPKYRIGAFGTFPVLKDKADRDSSEDGYGVMGLRYSSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYRPINTPASEGMDPFTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRSTQLVASFYQHFVVQRRVLNPREEEHIVGTTNYVDFGLELATSVDKGKAKENGSDPLFQVAAGWQASKNFLVKGKLGPSKSSIALALKSWWRPFFTFSFTAMYDHLKRTGSYGFGISLEDLKEPSYQMADSNYVILTQDKEDVSPRLSKKLGKKLMFQSDIDSGNFDNLPIGLKPMDKIFKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRIMLKPLEDPHVDLIATVRSCAYYPQYRIGAFGALPLLMGNRVRSENYGVMGVRYGSENLSFGASFVPFSGSAELPFGAWLVGRIGSFTAGLQYKPLSGDKHAMPYTDLKNWNYAMSYGVGLTSPLSPSFIFSLELARNAEFIASFYQHLVVQRRVKNPFEDDQIVGITNYIDFGLELATRIAEDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGERPMFQADIIWFGHDIFCSSTTRSRAIQGYLRYVMNLHFICWT >LPERR05G22560.2 pep chromosome:Lperr_V1.4:5:19831592:19844571:-1 gene:LPERR05G22560 transcript:LPERR05G22560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPPPFTFPAAAARTRMAVPAYEVMFGKPQRRSLFEDYFDQVGSINSGMIMLRPLEDCHVDLTAHMTTTGQGEVRFRWQRDLDDPNTFMDLLLDTSTKPVLQLRSCAYYPKYRIGAFGTFPVLKDKADRDSSEDGYGVMGLRYSSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYRPINTPASEGMDPFTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRSTQLVASFYQHFVVQRRVLNPREEEHIVGTTNYVDFGLELATSVDKGKAKENGSDPLFQVAAGWQASKNFLVKGKLGPSKSSIALALKSWWRPFFTFSFTAMYDHLKRTGSYGFGISLEDLKEPSYQMADSNYVILTQDKEDVSPRLSKKLGKKLMFQSDIDSGNFDNLPIGLKPMDKIFKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRIMLKPLEDPHVDLIATVRSCAYYPQYRIGAFGALPLLMGNRVRSENYGVMGVRYGSENLSFGASFVPFSGSAELPFGAWLVGRIGSFTAGLQYKPLSGDKHAMPYTDLKNWNYAMSYGVGLTSPLSPSFIFSLELARNAEVKNPFEDDQIVGITNYIDFGLELATRIAEDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGERPMFQADIIWFGHDIFCSSTTRSRAIQGYLRYVMNLHFICWT >LPERR05G22570.1 pep chromosome:Lperr_V1.4:5:19844769:19847628:1 gene:LPERR05G22570 transcript:LPERR05G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPEAGMGDSSASHLSDEVQDPGRGSSDASVGSATLQDSRGKEAVAAPEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSDSVSPSSCTSQGVCDYSSKIIQEKPETVSKYMDNTGRPEPETQSVDPVVPRHPKSYMEIMEMIQRGEQPDDIQDINDDPPNPDQPISESRMAPKPKPWEKQAQESSISELKSESTDTVESRLEVQHDSTNQFTGTGNRSNQGDKLLLAETVAGSEAHNDDADSTKS >LPERR05G22580.1 pep chromosome:Lperr_V1.4:5:19851103:19851924:-1 gene:LPERR05G22580 transcript:LPERR05G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPEPTHPQSPTAADAVADDVPTAAEATPVSDDSDAEEFEFEFPFVTRDSPALADDLFADGRIKPFYPVFAKASPPPVTPPPPPRTRGPLGRLFLEESSRESLHRWTSSSTSSSSAASDEGGGGLDGAPPESYCLWTPGGGGSASASPRLPPRKSGSTGSMARWRRISELVVGRSHSDGKEKFLFLPTPPPPPPTKDHGDDMADHFKPKPKPPKPPTPAAGGRKPPEKSTQSPRCTESLTAPRAASPAPAARRGGRSCLTARSSSDSSPT >LPERR05G22590.1 pep chromosome:Lperr_V1.4:5:19858247:19864705:1 gene:LPERR05G22590 transcript:LPERR05G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLITFWKDLPFPKRGRKTKTAQPFITQPTRHEPSSKPMRLSSHSSSHTCLVSLVFIPVSLKRNPSPTDHTSKNHHHSTRKSIPHQSGDAKGKTRRSIQQARGQPERIRGEVIKCGTISGGGGRRGAIGMGVLRSTQSMEEMRAALLLHHGAGAWRSGGAAKREAGAEEGAAPEGRTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLQEMEMFGENGRDGVWTVMANVMDPESLDRAFNGCVGVFHTSSLVDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHRRRFPTIIDENCWSDESFCRENKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMMADGVLATADVERVAEAHVRVYEAMSGGGGGAGAGGRYICYDHVVRRGEEFAELQRQLGLPSARRFSGDGGGDVGGDARFALCNGKLARLVSSRRRCTYDVYYPASYD >LPERR05G22600.1 pep chromosome:Lperr_V1.4:5:19865645:19870109:-1 gene:LPERR05G22600 transcript:LPERR05G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKGLTFLLLLVFLVLCSNVSVSDARSGKHWRQNRAPSTSMLRRKGKGKAGNSHKQYGKGNQNPYQPSPSTSPNVPVNPSESPVQGKGHQGPTMPTPSIGSGPTLPSPPPPLPPLLQTPQPPATPSQNTVFNVVDFGARGDGVTDDTQAFEAAWAEACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRAWGSGLLQWLEFTKLSGISIQGSGVINGRGQEWWTYSDPNDDDEVDAYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVLVHDLTISSPENSPNTDGIHLQNSKEVSIHHTNLACGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGKYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPVIIDQFYCDRTTCRNQTSAVAVSGVQYENIKGTFTIKPAHFACSDNSPCSEITLSGIQLKPLIVPQYHLYNPFCWQAFGELFTPTIPPISCLQIGKPSGNNVMSDHDMC >LPERR05G22610.1 pep chromosome:Lperr_V1.4:5:19869758:19873623:1 gene:LPERR05G22610 transcript:LPERR05G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAEMVIYTDIGTQNKEDKEKQEGDCHGEEFCRTNCHNRTREKGPSAQVAQRHYAQALTRPPTSPYHHPESPISNQSSNHPYNHPAARAGWLITIMVGHSLRGPMPSGHLGDDVDVAGTLDDWINRYCALGSMVVACNKHCMVVAWSKHWRLVPIDTRVSTCLSSQGDLQAHRLTRIFCAG >LPERR05G22620.1 pep chromosome:Lperr_V1.4:5:19885688:19886532:1 gene:LPERR05G22620 transcript:LPERR05G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFSLFFPLPTKNQSWPAPAPAMEESSPYDDHSTVTTSPSSSSSSSGSVDCTLSLGTPSSRRAAVPPVANHGGATPAAAAAAHYSSMSVAWNASAESYYCHQGKPAAGAAVAVGHDALLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAATTDGAVAAGYGFTAQRARSTPGAKAATCGEEAAPYAAAAGEVVADAAPFLAWRLNVVPPAAATAAAAFSVWPERGSFYHYN >LPERR05G22630.1 pep chromosome:Lperr_V1.4:5:19887078:19891795:-1 gene:LPERR05G22630 transcript:LPERR05G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGVGGRSSSASDLRKPFLHTGSWYKMSAAGGGMMGSRQSSLMERLGSSAYALRDGSVSAVLCTLIVALGPIQFGFTCGFSSPTQDDIIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFSKVPVYIAEIAPQTMRGALGAVNQLSVTVGILLAYLLGMFVPWRILAVLVLIPGLFFIPESPRWLAKMGKTEDFESSLQVLRGFDTDISAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKAFVISFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCSATLVFVILWVPETKGRTLEEIAFSFRFADEDSQF >LPERR05G22630.2 pep chromosome:Lperr_V1.4:5:19887478:19891795:-1 gene:LPERR05G22630 transcript:LPERR05G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGVGGRSSSASDLRKPFLHTGSWYKMSAAGGGMMGSRQSSLMERLGSSAYALRDGSVSAVLCTLIVALGPIQFGFTCGFSSPTQDDIIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFSKVPVYIAEIAPQTMRGALGAVNQLSVTVGILLAYLLGMFVPWRILAVLVLIPGLFFIPESPRWLAKMGKTEDFESSLQVLRGFDTDISAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKAFVISFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCSATLVFVILWVPETKGRTLEEIAFSFR >LPERR05G22640.1 pep chromosome:Lperr_V1.4:5:19893931:19895542:1 gene:LPERR05G22640 transcript:LPERR05G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDPEEKLLGVLQCPQAHPTQDTRHNTATVEQVEKVLIYSTPRNTTNAPRSNHPKSKHPPSTSQFHPLPSPPWATSTTTTTTSPTSSRSKKPNPSSPTSNSSKKPRVVGPAALVFPGRPLSADGVVAAAIHHLRAADHALAAVIDAHEPPAFVCPHRPFHSLVRSILYQQLAFKAAASVYSRFLALLGGEHNVLPDAVLALTTQDLRQIGVSPRKATYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLARPDVLPAADLGVRKGVQHLYGLKDVPRPSQMEKLCEQWRPYRSVGAWYMWRLIESKSPQPVPTIPVEPPALPADDELLLQHQQQHQQQEQHSIIQMIDPLQMLPGMG >LPERR05G22650.1 pep chromosome:Lperr_V1.4:5:19898248:19900101:1 gene:LPERR05G22650 transcript:LPERR05G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQLVVHRYLPVWAKLPAFASKPAFIWADDDDTAGATSYATLTYSQLDSAVEIMASGLLATLRRADTVLVLASPGLLLVKLLFACQRAGLTAVPIIPPDPSKPGSPPHAHLLRAVSQTQPTAAIAEARHIDTVANSAAVLRSLRWLSVEEVEQQGGEIVPGVTSFKGCEPDDVYLIQYTSGATAVPKPVVITAGAAAHNVRAARRAYEMHPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPAAFLRRPRLWLELVTEFKATCTPVPSFALPLVIRRGIRSPKPLQLGSLENLILINEPIYKACVDEFVAAFSRDGLRAASVSPSYGLAENCTFVSTSWRSRCVVNIPSYKKLLPSARLTRMADEEAETEIEIVVVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSASREVFCARLPGKTACSVRTGDRGVIAGAERYLYVVGRIADVLALDAGGGVHAHYVETAAFDSAPDRQRGGCIAAFTTAPATSVSLVVVVAELLKEGGGGDYVGLCEGIRRAAWEEEGVRVGWVLLVKSGVVPKTTSGKMRRGAARDMLLAGKIPILFEARYDDGESSVAEEMEFAAIATTAYGSASRRLRLQSFL >LPERR05G22660.1 pep chromosome:Lperr_V1.4:5:19903296:19904370:1 gene:LPERR05G22660 transcript:LPERR05G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAYGRTPPSSSTSPAAAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPGGDNSDSDALKCAACGCHRSFHRKDDGQPPPPRLLLPSPSPRVPLLMPPPPPPPSHHYPHLSFPYHGHTPSGSGGTTTESSSEERGTPSASATAALAQGRRKRFRTKFTPEQKEQMLASLHGDKQ >LPERR05G22670.1 pep chromosome:Lperr_V1.4:5:19911263:19911954:-1 gene:LPERR05G22670 transcript:LPERR05G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRAQWTQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEEMKRYYELLEEDVKHIESGKVEALEDLDVDIYVEDRSN >LPERR05G22680.1 pep chromosome:Lperr_V1.4:5:19914802:19916057:-1 gene:LPERR05G22680 transcript:LPERR05G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQQQQQQAAGGWTQRQNKQFECALAVYDKETPERWQNVSRYMGGAKSPDEVRRHFDHLLHDVARIESSAGTRLIPFLRYNHLDLDATTRPIYRYLKFQ >LPERR05G22690.1 pep chromosome:Lperr_V1.4:5:19920450:19923649:1 gene:LPERR05G22690 transcript:LPERR05G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEEEAAATPGGGGGAPAAGRLKGSPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDSYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGTDPRETFIHTFMLQIGVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVR >LPERR05G22700.1 pep chromosome:Lperr_V1.4:5:19929418:19937589:1 gene:LPERR05G22700 transcript:LPERR05G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRRERRHHHHNHRKAAAATAVQAPGVGGMGIGGGGNGVAAAARAAYGDVFGGPPRYAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVAVADDYGCGGGGGGFIGDDYGEIFGRFDFGDFAAPYEELFGGDTDAAAAVGERGVEAEAEEIASSSGSSSSSMKKESTQPDVQPFMLPQHFKEHEPVISFPPNNQQFVMSYNKATQRSDDLVEMTTCMVDSSVDYVVDSQEFSHVRATNHVSTRDNGREANREKKNASAPSSANVSLRSPESDFTADQKQHIPACPSISENVSVNENHQNPNIISTPSNGTPSPDYAFLRVSDANIQTQMVKPPPPLKQQSKFLKKREIAAKGDVHLENQSFTPPSSAHVPSSTGVPQVERRDDTASFNTEANPSSAAAAMKEAMEYAEARLKAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFGEKLSVKKSTKEEINSEDSLLDKHQKDSAARIDHCDESGKRLFSLEKPQHIMQSCTAPNQTSTKLGKLGNWTSGDEFYELTGEEQKQKTDTAVGEEDKCGITNHVTKPSKEQKGEVTAAESDLERYEKLWEDNDGRDVGVKHVTLREEDNTSPTGKNRVPTVLESSTENVDRDGICNSHFNGPAVVENTKENHDGEDVAVEHPCKSGISISEPNLMKDMPSSFQEASSSGKHATDFDNDTTEESSVAGTSQEPKSTKRGLEAACDVEMQCTAGGSEKLQEPPEITNIDSSRARQIKSLILEDLEGSYESQTSPKDFDTAGSEAETDRMNVGTTESYGREKVSFVEEPFMHNANGNIAESPFETAFSEQVEKVETEERVGSCTHSDESIVDKDDECSEEVGNITSENSNSNLPYHEDSSTLNIFEAASKLIQRDLDQEKQDTLQPGEVETRTVGPDGFVLDSKDKDAKENPSGNSDKTGTEEVRSHDNQEDQKVPEMDKTERQSDVNAQGNVNCYEDEGVITAANNCTTGLTTNSIDQVSSSLEMETGRHHLPQDAGPAISRSSKGSSPSLEKTEEFCKEAGQELQTEKSAVYEEENSWTSKVAEKLRQQQSHLEKSSSLPKSAEGHFPSSADISRKEAHGVQKPKERGSLRTEREREKDKETSRRLEETKEREKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKMALEKAREKEERASAEGAAERAARIKAERAAVERATTEARERAIEKAKAEKAAAEARERRERYRSSFKDNQQDTQFQRATSSNIMRNPDSYSKGLEVESALRHKARLERHQRTTERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKAGNLRALLSTLQYILGADSGWKPVPLTELITAADVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >LPERR05G22700.2 pep chromosome:Lperr_V1.4:5:19929418:19937589:1 gene:LPERR05G22700 transcript:LPERR05G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRRERRHHHHNHRKAAAATAVQAPGVGGMGIGGGGNGVAAAARAAYGDVFGGPPRYAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVAVADDYGCGGGGGGFIGDDYGEIFGRFDFGDFAAPYEELFGGDTDAAAAVGERGVEAEAEEIASSSGSSSSSMKKESTQPDVQPFMLPQHFKEHEPVISFPPNNQQFVMSYNKATQRSDDLVEMTTCMVDSSVDYVVDSQEFSHVRATNHVSTRDNGREANREKKNASAPSSANVSLRSPESDFTADQKQHIPACPSISENVSVNENHQNPNIISTPSNGTPSPDYAFLRVSDANIQTQMVKPPPPLKQQSKFLKKREIAAKGDVHLENQSFTPPSSAHVPSSTGVPQVERRDDTASFNTEANPSSAAAAMKEAMEYAEARLKAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFGEKLSVKKSTKEEINSEDSLLDKHQKDSAARIDHCDESGKRLFSLEKPQHIMQSCTAPNQTSTKLGKLGNWTSGDEFYELTGEEQKQKTDTAVGEEDKCGITNHVTKPSKEQKGEVTAAESDLERYEKLWEDNDGRDVGVKHVTLREEDNTSPTGKNRVPTVLESSTENVDRDGICNSHFNGPAVVENTKENHDGEDVAVEHPCKSGISISEPNLMKDMPSSFQEASSSGKHATDFDNDTTEESSVAGTSQEPKSTKRGLEAACDVEMQCTAGGSEKLQEPPEITNIDSSRARQIKSLILEDLEGSYESQTSPKDFDTAGSEAETDRMNVGTTESYGREKVSFVEEPFMHNANGNIAESPFETAFSEQVEKVETEERVGSCTHSDESIVDKDDECSEEVGNITSENSNSNLPYHEDSSTLNIFEAASKLIQRDLDQEKQDTLQPGEVETRTVGPDGFVLDSKDKDAKENPSGNSDKTGTEEVRSHDNQEDQKVPEMDKTERQSDVNAQGNVNCYEDEGVITAANNCTTGLTTNSIDQVSSSLEMETGRHHLPQDAGPAISRSSKGSSPSLEKTEEFCKEAGQELQTEKSAVYEEENSWTSKVAEKLRQQQSHLEKSSSLPKSAEGHFPSSADISRKEAHGVQKPKERGSLRTEREREKDKETSRRLEETKEREKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKMALEKVTAARQRASAEAREKEERSSSDAAAERAARTKAERAAVEQRTSSEAREKEERASAEGAAERAARIKAERAAVERATTEARERAIEKAKAEKAAAEARERRERYRSSFKDNQQDTQFQRATSSNIMRNPDSYSKGLEVESALRHKARLERHQRTTERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKAGNLRALLSTLQYILGADSGWKPVPLTELITAADVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >LPERR05G22710.1 pep chromosome:Lperr_V1.4:5:19938460:19941824:-1 gene:LPERR05G22710 transcript:LPERR05G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSVFPLEGKACVSPIRRVGEGPGCDRLKIGDSSSIKHERAMRARCFGARGTTSSAQCVLTSDAGPDTLHVRTSFRRNYADPNEVAAVILGGGTGTRLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSTSLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQLLLLYWLTFHIHHSQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEAMVRINLIPDSDICLNFLNMKQLQYLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECAIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVVITNSEGVQEADRPEEGYYIRSGIVVILKNTTIKDGTVI >LPERR05G22720.1 pep chromosome:Lperr_V1.4:5:19952282:19953686:1 gene:LPERR05G22720 transcript:LPERR05G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQALPPWLLSLVLSTTEGQLSDRCYNSFPIPISLLQLPNRQTGRGALAMLVDEQVSLVPSLLKLQSPYNK >LPERR05G22730.1 pep chromosome:Lperr_V1.4:5:19954176:19955853:-1 gene:LPERR05G22730 transcript:LPERR05G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEISITACLGYEFTAASSPLFRPHPLLRPPPLPPPPPTPPGRRWAEAAMSTVAAGGSTMTEASAAISASTEAGDAGSGIQARLPRTIFIVVVVAATTWMAGGGRERPADVARRFRRLGSAPPDLRRRRLHAIGSGDWAELGRWWRARWGRGRRRSGGTSRRMMMAKVGMESVDVDGGEQAELAHAVQKESAERQQLARRCSPAPDLLGPKPVPINRCRWTRTVTSGSCPLPKTPLQ >LPERR05G22730.2 pep chromosome:Lperr_V1.4:5:19953757:19954881:-1 gene:LPERR05G22730 transcript:LPERR05G22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAGGSTMTEASAAISASTEAGDAGSGIQARLPRTIFIVVVVAATTWMAGGGRERPADVARRFRRLGSAPPDLRRRRLHAIGSGDWAELGRWWRARWGRGRRRSGGTSRRMMMAKVGMESVDVDGGEQAELAHAVQKESAERQQLARRCSPAPDLLGPKPVPINRCRWTRTNDRFAATHSDYLVVALSN >LPERR05G22730.3 pep chromosome:Lperr_V1.4:5:19954376:19955853:-1 gene:LPERR05G22730 transcript:LPERR05G22730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEISITACLGYEFTAASSPLFRPHPLLRPPPLPPPPPTPPGRRWAEAAMSTVAAGGSTMTEASAAISASTEAGDAGSGIQARLPRTIFIVVVVAATTWMAGGGRERPADVARRFRRLGSAPPDLRRRRLHAIGSGDWAELGRWWRARWGRGRRRSGGTSRRMMMAKVGMESVVAG >LPERR05G22750.1 pep chromosome:Lperr_V1.4:5:19967535:19968860:1 gene:LPERR05G22750 transcript:LPERR05G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARSGFATFGIFNVSISVCSWLCVASFLPSPLVSPPALIRIFDMFDGGGSAVSKANEERRLKKLDNAEKNKTVPD >LPERR05G22760.1 pep chromosome:Lperr_V1.4:5:19976441:19986369:1 gene:LPERR05G22760 transcript:LPERR05G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSSHENFFFSAGAGGGGTDEAFRRPKAELASLRALCRQPAWHDEEAAWILVVGLAPPPPPTSHAKPWRWSMRKAQAARAAVKTWNLLQA >LPERR05G22760.2 pep chromosome:Lperr_V1.4:5:19976441:19986369:1 gene:LPERR05G22760 transcript:LPERR05G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSSHENFFFSAGAGGGGTDEAFRRPKAELASLRALCRQPAWHDEEAAWILVVGLAPPPPPTSHAKPWRWSMRKAQAARAAVKTWNLLQA >LPERR05G22760.3 pep chromosome:Lperr_V1.4:5:19976404:19986369:1 gene:LPERR05G22760 transcript:LPERR05G22760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSRSGRAGSSRSRGVGGRRRARAPAAPGD >LPERR05G22760.4 pep chromosome:Lperr_V1.4:5:19976404:19986369:1 gene:LPERR05G22760 transcript:LPERR05G22760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSRSGRAGSSRSRGVGGRRRARAPAAPGD >LPERR05G22760.5 pep chromosome:Lperr_V1.4:5:19976441:19986369:1 gene:LPERR05G22760 transcript:LPERR05G22760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSSHENFFFSAGAGGGGTDEAFRRPKAELASLRALCRQPAWHDEEAAWILVVGLAPPPPPTSHAKPWRWSMRKAQAARAAVKTWNLLQA >LPERR05G22760.6 pep chromosome:Lperr_V1.4:5:19976404:19983997:1 gene:LPERR05G22760 transcript:LPERR05G22760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSRSGRAGSSRSRGVGGRRRARAPAAPGD >LPERR05G22760.7 pep chromosome:Lperr_V1.4:5:19976441:19982156:1 gene:LPERR05G22760 transcript:LPERR05G22760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAEERWIRTVVDELRRFHALRPHPSGVRPRFAPLPPELRARMHEKLGALWPLAQSTRDGIVSRAARRISGAAGLPWRRYGFIPEPEASRIAVAADAEAFAAVSAYAAGKSVATDEERSDLYRMYAKEVWASVYRYEESRAQAAAATSSSHENFFFSAGAGGGGTDEAFRRPKAELASLRALCRQPAWHDEEAAWILVVGLAPPPPPTSHAKPWRWSMRKAQAARAAVKTWNLLQA >LPERR05G22770.1 pep chromosome:Lperr_V1.4:5:20016184:20017653:-1 gene:LPERR05G22770 transcript:LPERR05G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGTSSYVTRSSFVTIMILNVKSHEQANHYKDKLETLLKKHEDLKRTAVKELGAMKTKHSEEFLKMKAELEEARKINAEFCQAAEPILNNLHAATTGANTSSFETMVELLQSAPSRLKKIILESANIACGIPQA >LPERR05G22780.1 pep chromosome:Lperr_V1.4:5:20018818:20024253:-1 gene:LPERR05G22780 transcript:LPERR05G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHFGPIHKRLKLGGGLDWTTHGVQIRLPRSSLDRDLIDLICKNLELYQLCQAKIGKEKFVNLPTERRDAELKLTLMTENKLHPALFSGSAEHKVLQSLADGLMSVTAKPQDLQCYFFRCSARELLACAVMRPVLNLANPRFINERIESLALSHANKAEIGVSESLEQATTVKQREPPMPTADELAALIDPTGPGVELVRFHQDQSKSAPDMQLSKTQKPSNLKTNSSNTSLTNSSHPLDSSILSSTTHGYSDSSMSLHPQSSVRTTAECYGGEWGQTMDISSQRKNQAVAPEHLENMWTKGKNYKLESAKHVAKVPAKSSSLGTAPVQKSAPYSTSIGRYPNAPQREAALSRSEDQHLIKHSTTAAYINGTNHPRMALSRESADNASQEDLGVDSESSYATEDDENSNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESSSSHKAKKNRNHIGKLKMTKTSGRKRSRPNSQKPPVWQDVERSSFLLGDDLDVLNMSEDDSRADRLCDDTGVESMSRIFSGANASSLSLASTDSSYPSNYPTNNVLEDSYLKLKCEVVGASVVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVTVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTMSVKLDERSKEKNTKSINSSQTLNGNLVSASQSKHLHKDDTVPKEKDTDFDAVGGLRSRKGNMEQNLGIGVDKSNANLYEDLSGSDSEQNEHSFLINSGNSKKMLSSETDYPPQSLESDGYSVTHNNWMAPNLSVPLFHLVDAVFQLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQILWPDGIFLTKHPKRKAATPPPGSQNNGIANHLTDEQRIEDAHRANFVHELMIDKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFELLELLVLAAFPDLDGTVRKWHEDKQQFYALQ >LPERR05G22790.1 pep chromosome:Lperr_V1.4:5:20027297:20027990:1 gene:LPERR05G22790 transcript:LPERR05G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNQAKKKGEKKGREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSTYPRSYYRCTAPRCGVKKRVERSQQDASMVITTYEGQHTHPSPMGYHQHRQRAAAAMVAAGAGYYPYFGTAPLSLPGFCPDDDALAAGVTTMNHQQMSIHAACPRR >LPERR05G22800.1 pep chromosome:Lperr_V1.4:5:20035816:20037180:-1 gene:LPERR05G22800 transcript:LPERR05G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPPPPILLAVLLLVGIVTALGPYLVNPDSISLSPNPFSWNRRFGLLFIDNPLGTGYSAAPSAAAIPTNQSTIAAHLFAALNSFFAIQPPEFRSRPFFLTGESYAGKYVPSAGSYILAANAKEPDRRRRINLVGVAIGNGLTHPVSQVATHAETAYAMGLINPKQKRELEALQARAVDLTNAARWREAADARGAVLTWLEKATGLATLYDAAKQRPYETGPVGKFANRGEVKAAMGARGDVEWEECSGAVGDAMHGDVMRSVKPEVEALLRRGTRTRVLLYQGIRDLRDGVVSTEAWMRELEWEGLGGFLDADRAVWRVGEELGGYVQRYGSLSHVVVYGAGHLVPADNGRAAQEMIEDWVLEAGLFGRGGRGSMRHAV >LPERR05G22810.1 pep chromosome:Lperr_V1.4:5:20054889:20055517:1 gene:LPERR05G22810 transcript:LPERR05G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPPSKPWGRKRAGKLAADQLGWVGSAAAAPLTTGGGKRVGVGERARRAHLGTGVLAGGGEGARVVMGRVSWIYMFVVTAGERTECTVGESGSDPLRREGLKDVPWFVRPRDRWLKPFQGFGRKRMEARNVVTEFGL >LPERR05G22820.1 pep chromosome:Lperr_V1.4:5:20056968:20058326:-1 gene:LPERR05G22820 transcript:LPERR05G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPNPFSLHVCIVFAAAVSLSASAMVLPREALPTKAGYLPIPPANASLYFAFYEATEPLTPPASTPLLVWLEGGPGCSGLLSNFLQIGPYLFSGAGAGAGTLSPNPFAWNRRFGLLFIDSPLGTGFSAAPSPAAIPRNQSVVAEHVLAALHSFYSLEPSFRARPLFLTGESYAGKTIPATGSLIVATNPTLPERQRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQRREAEAMQAETVALTKAERWRDASAARARLVSWLENATGVASLLDVEPPPQQRSVSSVVAGVVDFVNGGDDVKASLGARGSDVVAWEACSAAVEAAMLEDVMKSAKRDVEALLRTPTRVLLYEGILDVQNGPVCAEAWLREVDWDGLPAFQDADRVVWRGGSGGSLAGYVQRHGALVHVAVYGAGHFVPFSQGGAAREMIEDWVFDKGQFSVIGGGAV >LPERR05G22830.1 pep chromosome:Lperr_V1.4:5:20059629:20060978:-1 gene:LPERR05G22830 transcript:LPERR05G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFHLAVLAAAVSLSASNNSLSPNPFAWNRRFGLLFIDSPLGTGFSIAPSPAAIPRNQSVVAEHVLAALQSFYSLEPSFRARPLFLTGESYAGKTIPAAGSLIVTTNPKLPEEERINLRGVAIGNGMTHPVAQVTTHADIAYFMGLINPKQKREVEAMQAKAVELIGSEKWTEAYLLRESLLKWMEDASGVASLFDVDSNQSLLDAAAELVPLVNGAEAKAALGVAEAEWKMCSAAVGRAQQEDVMKSEKRHVETLLLRGPEEEGPTKTRVLLYGGVRDVKNGPVCTEAWVRELEWAGLAAFQEAERAMWRTRGGGEVAGSVQGHGGLVNVAVYGAGHFVPFSRRRASQEMIEDWVFEKGLFGGKAA >LPERR05G22840.1 pep chromosome:Lperr_V1.4:5:20061446:20061754:-1 gene:LPERR05G22840 transcript:LPERR05G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCVDPPEVDDNEPVAQSIRRRHSRFSTLRLHRRCSPPLDPLAAHGRGLRATWHGSADVVR >LPERR05G22850.1 pep chromosome:Lperr_V1.4:5:20061924:20062578:-1 gene:LPERR05G22850 transcript:LPERR05G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFGWKMIRWIRATINTLLLKNKLYTTPNQLYPSPSLLLVPPRHTAPAVVEDASLLILRCRSSPLPSMGSGRRRLAAQDGDGKAGFNGARLALKSLLRCLGLSSLALI >LPERR05G22860.1 pep chromosome:Lperr_V1.4:5:20062663:20067752:-1 gene:LPERR05G22860 transcript:LPERR05G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRKKSSAEAEFFTEYGDANRYRIQEIIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYIVFELMESDLHQVIKSNDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDMPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPLSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKMEFEFEQRKLSKEDIRELIFQEILEYHPQLLKNYRNGTERTTFLYPSAVDQFKKQFSNLEEGNESGSAIPMERKHASLPRSTTVHSTQIPAKEQPLVASSKSRSVADELCKNTWVMGGLPGNAPTASQTPQGAKAVAPGRTVGSVLPYQTGCTNDSYGARGPMMNSGYPPQQQISQAYNYQQMPARMNYIDQSKAMDGYKTHSQTQTYAYANNKVKADVALDMRASSFQNSAGSKNSSLDRMVTQTDIYTRSLNGIVAAAASAGVNTNRKVGAVPVSTSRMY >LPERR05G22870.1 pep chromosome:Lperr_V1.4:5:20069910:20072876:-1 gene:LPERR05G22870 transcript:LPERR05G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFDQLASCRCRWAGRGSTRVAPRRRMPCVCFVASPSQTGLAAIDVPAEAIASATTTVITERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTTEHRRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLAASTRSAAIFSGVSSTICEQIESDSLATAIELVHRSGGIRKAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >LPERR05G22870.2 pep chromosome:Lperr_V1.4:5:20069910:20072876:-1 gene:LPERR05G22870 transcript:LPERR05G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFDQLASCRCRWAGRGSTRVAPRRRMPCVCFVASPSQTGLAAIDVPAEAIASATTTVITERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTTEHRRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLAASTRSAAIFSGVSSTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPRLREIIDSEFSESDSLATAIELVHRSGGIRKAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >LPERR05G22880.1 pep chromosome:Lperr_V1.4:5:20078589:20084910:1 gene:LPERR05G22880 transcript:LPERR05G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLSYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSSKLRNMSTKANLIRFITMDESFLLENRAVLRAMAECGIVLVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKHNEKPSVMAIKIACCFLTVILIWEVPGVFELLWAPLTFLLGYKDPDPSKANLHLLHEWRFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGAIVTLSLMAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPDYPMLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISVVKSCQVNVNDLDRRPVLQIVYLVSGGNMFSSGLNSILHLEVKMQFPFRTC >LPERR05G22880.2 pep chromosome:Lperr_V1.4:5:20078297:20084910:1 gene:LPERR05G22880 transcript:LPERR05G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLSYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSSKLRNMSTKANLIRFITMDESFLLENRAVLRAMAECGIVLVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKHNEKPSVMAIKIACCFLTVILIWEVPGVFELLWAPLTFLLGYKDPDPSKANLHLLHEWRFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGAIVTLSLMAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPDYPMLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISVVKSCQVNVNDLDRRPVLQIVYLVSGGNMFSSGLNSILHLEVKMQFPFRTC >LPERR05G22890.1 pep chromosome:Lperr_V1.4:5:20086412:20087293:1 gene:LPERR05G22890 transcript:LPERR05G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPIAAASPSSSGAATAGGGESSSASTGSIVARVVAVLAVAAVSLFAQHEAARGFRIDVINDAPRGTVAGRRFDLHFVSNGRAERILHYASRAVEEALFPDPSFPRKRVTRVTLKLAGHNLTADAAVADEVAPGDYVISLSPNLMRDAGDYDAVARAVRRGVARMWIWDGRGAAPARVTDAMVDYLASGGAGDEDSPAVADDGDGDAEKCMSARFLGHLERRRRGGGFVARLNRAMRDRWSDAAVDAALGVPSRPICAAFRAAATATTAAVLTQQQPAATSAGDRVAM >LPERR05G22900.1 pep chromosome:Lperr_V1.4:5:20093096:20095496:1 gene:LPERR05G22900 transcript:LPERR05G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCPVHCLGWLLVLLLFSHARGAERDVTSPLATVPVENPEATSTTNPAAMPATGTGNTPSVAASGGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAINSGGGCFNPNTVRDHASYAFNAYYQKNPVQTSCDFGGAAILTSTDPSSPSCKYASTSTGASVLNTSTPTTPTFGGGGYDNPPPGYGNSPPLYGSLSPPGYSDNIGGAAAMAGSRRAVLSMACLLVAI >LPERR05G22910.1 pep chromosome:Lperr_V1.4:5:20094944:20095573:-1 gene:LPERR05G22910 transcript:LPERR05G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSRCRVQIATRRHAMDKTALLLPAMAAAPPMLSLYPGGDSDPYNGGELPYPGGGLS >LPERR05G22920.1 pep chromosome:Lperr_V1.4:5:20096131:20102760:-1 gene:LPERR05G22920 transcript:LPERR05G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRAPSAAAAADGAAAGDAATIPPASRKLVQGLKGILTDRSEAEIYATLLDCGMDPDVAVDRLISQTQDPFHEVRRKRDKKKEIKGAQETRPRPFYKSAFRGSKTGSDRSGHFHSGFGGDSTGSGKGPTKKETALHSLPNSSASDSVKESNPTEKRSAADHATTNDVSSGQADANSTILQPPSQVKHGWGGMSGRPSMADIVKRGRSQVKPVTRPVASNTGAPIVGSSVISNSTNHNSKDSQNLVSPSEVDSVTTDKIPNGTNKVSPDVLPPKEGLEVPESVAAGKPEPSTADVNTDEVEEDTNLDKNEEMSAEGPTSSGPYTASSKEIQSEYTQIATNLNNDLIVETNERQSDSNAFEHNRDPEGIMSATDNQFEQLTLHEEKRSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKPANSDEEVAAVSDNHLIDQSDARIHEYENKDIVEPAADEHVAAPTKSDTENLDMTPVQQPELGTADLMDVTNSTVYNLSSTSDYATSSAVQPDSSSHIYMQEHRQLQNISPLSSFMQGNIPNGLLAPALPPLRDFDPAFSLLLTNPPLATMVHGTAPSSMGNATTASTQPQEIVNPGASSNPQLSQSQPSTSTSIASGPPLPQHLALHPYAQATLPLGYASMIGYPSLPQSYAYLPPAAFQQPYMNSGLFHQAAAAVPNSNVKYPLPQYKSNVPLASLPQPASLLSSYVGGFGAASSMPGNFAMNQSTPSATAAPGYDGTVPAQYKDGNHFVSLQQSENPAMWMHGAGSRTMPPLAANALYGYQGQGHHGGLRQGQLPSQFGATLGQSQPGLSHDHRNPSDGNLSAAAAQANQMWPNSY >LPERR05G22930.1 pep chromosome:Lperr_V1.4:5:20111734:20112564:-1 gene:LPERR05G22930 transcript:LPERR05G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSSSSPNSNPNPNSNSNLPASSTPASTPAPPPPAIFPPFAVPQPSPVKAVEAAAPAVEKVVTCALSEAAAAAVAEVYEEKEVRVVSKARAPRRSRSEKMGACGGGAFRRAASPEMPLRRSESDNGRRPQRRRSSVTARDCWGADDADEFRRTVEAFIAKQTRFHREESMSSAVVVAAAAGVGHGEVAPAIAGALAVVE >LPERR05G22940.1 pep chromosome:Lperr_V1.4:5:20118317:20121362:-1 gene:LPERR05G22940 transcript:LPERR05G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRLRHIHLGRSVWTDAIRPDPPPLSMVDHLSRLKQLVRSGRLADAHRLFDGMPRRDEVAYATLLAGHAAAADFHGAMALFSRLRASSSSPPLAAADPFVLSLVFKSCAAAADARLLPHAASLHAFAVRSSAVSSVFVATALADVYAKGGCLGLALKVFDEMPHKNVVTWTTLVASLTRAGRRHDALRRFAEMRVSGVHCDSYACAAALTACADAALLSRGREVHAFCAKLGLDSTPYVANTLATLYARGSDVDGALAAVSRMGTRDVAAWTTVIAAYVQTGRAKEAVEAFVRMLREEISEAASPNEYTYAAVIAACADNAWVCLGEQLHGQAARKGFAGARSVANSLVTLYTRAAGCLLAADAVFRESLVKDVVSWSAIISGYAQEGLTEDAFALFREMRHHNSCSRPNEFTLASLLSVCASAAALDTGRQLHALAVAAGLEHHAMTMSALIDMYGKSGSMPDADMVFSNRVKDDVVSWTAMIVGHAEHGHSKKALELFKEMCHVGLRPDHVTFIGVLNACCHAGEVDLGLRYLNVMNQNYGLHPAKEHYGCVVDLLGRAGRINEAEELIGKMADNERDGVVWTSLLRACAARGEEETGKRAAERAIEAEPWGAGAHVSMANLYASKGQWHEAAQERHMMKQKGVMKGAGWSSIAVGGEGRRVGVFVAGDHTHPQDTAIYGMLELIYYGDGMARYMLLDGVHTSAE >LPERR05G22950.1 pep chromosome:Lperr_V1.4:5:20122881:20125595:1 gene:LPERR05G22950 transcript:LPERR05G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVEVLRKFLGWVAKNAGLWRLDVAVEDAGATVMSFWAPAPPRDDKGATSEIKRTSVVLVHGFAGDGIVTWGLQLAALAKRHDVYVPDLLHFGASSSPPSNRSPAFQAACVAAALRRLGVERCAVVGFSYGSVVAFRMAEAFPGLVRSVVVSGAAVDMTHAMNDALLARRGAGSLGELLLPESVDRLRSLFSAAIHRKLWLPDRLLRDFLEVMFTNRKERGEMLEVTKILPLWGENDDFVTIEMTKKLKEPDVSLEQAAKALVAKFGFPRSEFSVNNFAPENFIFAFASEALRDRVAELCRPDHGHLSFIIKPWTRQAQAWCQTLTKKVLLEIEGILAHIWNW >LPERR05G22950.2 pep chromosome:Lperr_V1.4:5:20122881:20131039:1 gene:LPERR05G22950 transcript:LPERR05G22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVEVLRKFLGWVAKNAGLWRLDVAVEDAGATVMSFWAPAPPRDDKGATSEIKRTSVVLVHGFAGDGIVTWGLQLAALAKRHDVYVPDLLHFGASSSPPSNRSPAFQAACVAAALRRLGVERCAVVGFSYGSVVAFRMAEAFPGLVRSVVVSGAAVDMTHAMNDALLARRGAGSLGELLLPESVDRLRSLFSAAIHRKLWLPDRLLRDFLEVMFTNRKERGEMLEVTKILPLWGENDDFVTIEMTKKLKEELGEKATLRSISKAGHLVHLERPCVYNRILMEFLQPHCGCV >LPERR05G22950.3 pep chromosome:Lperr_V1.4:5:20125620:20131039:1 gene:LPERR05G22950 transcript:LPERR05G22950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWLERLDPATENREDLSIFRAEAWTDNPATIPAEVIYKVEEPLPPREDADDPILGHLPLELGEKATLRSISKAGHLVHLERPCVYNRILMEFLQPHCGCV >LPERR05G22960.1 pep chromosome:Lperr_V1.4:5:20131623:20137859:-1 gene:LPERR05G22960 transcript:LPERR05G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLEKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRIKPVADPTVLPKGKSQAKYEAVTLPENMMDMDVEQEQPMFFPEADPTKFRGMRLEDLDEQYVNVNLDDDDFSRTENHHQENITLVDKFDSGLGDTDVHNRFERFDITLVPSPPRQEDPPPQQEKYHASPSIRHEGSSSHEQEPQKMKGQQPAPSKRKKRMKDPQVTIDEDQIMIPGIVYQTWLKDSSSLIAKRRRINSKVNLIRSIKIGDLMDLPPVSLISSLNKSPLEFYYPKELMQLFKESAEVKSPKAPSSGGQQSSSPEQQQRNSPAQAFPPQPQVANGRERGFHPEVFADDIEKLRANTSAELGRDYNLFQSDHSVCVKLWPKRRQQSSGKSFGNLDTVEEEFLLEQELREFKMRRLSDVGQTPDLLEEIEPTQTPYEKKSNPVDQVTESIHSYLKIHFDNPGASQFESLSQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >LPERR05G22960.2 pep chromosome:Lperr_V1.4:5:20131623:20137859:-1 gene:LPERR05G22960 transcript:LPERR05G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLEKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRIKPVADPTVLPKGKSQAKYEAVTLPENMMDMDVEQEQPMFFPEADPTKFRGMRLEDLDEQYVNVNLDDDDFSRTENHHQENITLVDKFDSGLGDTDVHNRFERFDITLVPSPPRQEDPPPQQEKYHASPSIRHEGSSSHEQEPQKMKGQQPAPSKRKKRMKDPQVTIDEDQIMIPGIVYQTWLKDSSSLIAKRRRINSKVNLIRSIKIGDLMDLPPVSLISSLNKSPLEFYYPKELMQLFKESAEVKSPKAPSSGGQQSSSPEQQQRNSPAQAFPPQPQVANGRERGFHPEVFADDIEKLRANTSAELGRDYNLFQSDHSVTPGSPGLSHRPKRRQQSSGKSFGNLDTVEEEFLLEQELREFKMRRLSDVGQTPDLLEEIEPTQTPYEKKSNPVDQVTESIHSYLKIHFDNPGASQFESLSQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >LPERR05G22960.3 pep chromosome:Lperr_V1.4:5:20131623:20137859:-1 gene:LPERR05G22960 transcript:LPERR05G22960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLEKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRIKPVADPTVLPKGKSQAKYEAVTLPENMMDMDVEQEQPMFFPEADPTKFRGMRLEDLDEQYVNVNLDDDDFSRTENHHQENITLVDKFDSGLGDTDVHNRFERFDITLVPSPPRQEDPPPQQEKYHASPSIRHEGSSSHEQEPQKMKGQQPAPSKRKKRMKDPQVTIDEDQIMIPGIVYQTWLKDSSSLIAKRRRINSKVNLIRSIKIGDLMDLPPVSLISSLNKSPLEFYYPKELMQLFKESAEVKSPKAPSSGYYTICSFLSPFWIIKETEGSNHHHQNNSRETHLLRHFHLSLRLLMGGKGDFTQRSSPMTSKNSERTLVRNWEEIIIFFRVIIVLLPEVLAPHDIRPASGLSHRPKRRQQSSGKSFGNLDTVEEEFLLEQELREFKMRRLSDVGQTPDLLEEIEPTQTPYEKKSNPVDQVTESIHSYLKIHFDNPGASQFESLSQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >LPERR05G22960.4 pep chromosome:Lperr_V1.4:5:20131623:20137859:-1 gene:LPERR05G22960 transcript:LPERR05G22960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLEKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRIKPVADPTVLPKGKSQAKYEAVTLPENMMDMDVEQEQPMFFPEADPTKFRGMRLEDLDEQYVNVNLDDDDFSRTENHHQENITLVDKFDSGLGDTDVHNRFERFDITLVPSPPRQEDPPPQQEKYHASPSIRHEGSSSHEQEPQKMKGQQPAPSKRKKRMKDPQVTIDEDQIMIPGIVYQTWLKDSSSLIAKRRRINSKVNLIRSIKIGDLMDLPPVSLISSLNKSPLEFYYPKELMQLFKESAEVKSPKAPSSGYYTICSFLSPFWIIKETEGSNHHHQNNSRETHLLRHFHLSLRLLMGGKGDFTQRSSPMTSKNSERTLVRNWEEIIIFFRVIIVLLPEVLVCVKLWPKRRQQSSGKSFGNLDTVEEEFLLEQELREFKMRRLSDVGQTPDLLEEIEPTQTPYEKKSNPVDQVTESIHSYLKIHFDNPGASQFESLSQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >LPERR05G22960.5 pep chromosome:Lperr_V1.4:5:20131623:20137859:-1 gene:LPERR05G22960 transcript:LPERR05G22960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLEKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRIKPVADPTVLPKGKSQAKYEAVTLPENMMDMDVEQEQPMFFPEADPTKFRGMRLEDLDEQYVNVNLDDDDFSRTENHHQENITLVDKFDSGLGDTDVHNRFERFDITLVPSPPRQEDPPPQQEKYHASPSIRHEGSSSHEQEPQKMKGQQPAPSKRKKRMKDPQVTIDEDQIMIPGIVYQTWLKDSSSLIAKRRRINSKVNLIRSIKIGDLMDLPPVSLISSLNKSPLEFYYPKELMQLFKESAEVKSPKAPSSGGQQSSSPEQQQRNSPAQAFPPQPQVANGRERGFHPEVFADDIEKLRANTSAELGRDYNLFQSDHSVTPGSPGKPKRRQQSSGKSFGNLDTVEEEFLLEQELREFKMRRLSDVGQTPDLLEEIEPTQTPYEKKSNPVDQVTESIHSYLKIHFDNPGASQFESLSQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >LPERR05G22970.1 pep chromosome:Lperr_V1.4:5:20158081:20160263:-1 gene:LPERR05G22970 transcript:LPERR05G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGRDHGGFYGDHPADGFVPFVDENFFFGRSAACEGGQFAGAGVDDDGMINTTPYSSITDYLQEFLQDPVMVDDAAVKQEMVVDHAGQQAAAPFTPNSSSCSDKKGRRLEEEEEEIDDEGSAVQSCKMNNNQAKKKGEKKGREPRVAFMTKSEVDHLEDGYRWRKSQQDASMVITTYEGQHTHPSPMGYHQHRQRSAAAAMAGTTAGGYYPYFGTTAPPSTIVGFCPDDDALAARVTTMNNQQTSAMMPSDLHHLYSSHHVMTGNSHGY >LPERR05G22980.1 pep chromosome:Lperr_V1.4:5:20168034:20170260:-1 gene:LPERR05G22980 transcript:LPERR05G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAVRRLCAAGDVRSALAVLARGTKLGYAALDVAACTALVHGCCRSGDVAEARRVFDVMPLLGLLPNEVTYTALMHGYFIHGQREKGFALLEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARNLFDEMPVRGIVRNVVSYNTFIAGLCRHGKLWDSAKLLDMMRREGTRPSIITFNLLVDGYGKAGKMSNALHFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALCDMKERGLEPTKVTYTILMDSFARENDMDKAFEMLAGMEKAGLEVDVRTYGVLVRALCMEGNMKDARKLLQSMAEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQNSMVPNSASYGLTIRVLCKDDKCQEAEALLDDMVCAGLQTHESISQALLDAKARLIGSTDVSFKLYGHLLGSTRTPLQAKDDRQRKDEDKCTGHFLHLKGVINGY >LPERR05G22990.1 pep chromosome:Lperr_V1.4:5:20173163:20175573:1 gene:LPERR05G22990 transcript:LPERR05G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNDWDLQAVVRSCGTAIADDSEAASRRAEIAVVGRRAAAPEFVGKPVRSSSSAAASYYDLEYLDLYHELPRAPFMVTPSSATASLEEQQRREADHEVIISFPAASTSGQGQQLIPARKQPGRKPGLRTPRPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIADHCHAVPTQLNSLAGTTRNNKPALSSSPVDDHHHNHQLPSPGGGATTSADEAAAAKDSGGETCSMADDEMNDDLIWAPVEMEMDDFFGPFDDDLDHFLDDDVDGGALLGRRLSL >LPERR05G23000.1 pep chromosome:Lperr_V1.4:5:20177601:20178138:-1 gene:LPERR05G23000 transcript:LPERR05G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISIVKDVGRDWDIDYVLEVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >LPERR05G23010.1 pep chromosome:Lperr_V1.4:5:20179189:20179917:1 gene:LPERR05G23010 transcript:LPERR05G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDPKKPKDVDVIVFDSTTKPKSTKSNAVFTADADVETAKPTDGSSRLLRRACYVVGVIAAAAAVSMLAMSLTLLKVRDPTLSMDTVAVDRFSVRFGSRPPLRINVTLTAGIVIRNPNYESMRFGASVTEFYVDGVEGYVGLGAAPAGDVAARGTSHVRVGMDVFVDRVGPAVVGEVLFGRGEVGLTSHTAVDGRVSVLGGLYGRRTVHVAMRCRVVLRVSAAAVAAAGSPSCVADFTGH >LPERR05G23020.1 pep chromosome:Lperr_V1.4:5:20184002:20184967:-1 gene:LPERR05G23020 transcript:LPERR05G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLASRRPRRRAVSTTITAAAAAAPVASSSDAGEEDEGPFFDLDFSSVRAASSSSSGSQTGDSDSDSDDLDFIISLHRSRSASPSYESLFFSAAGDTAPPSKRGGGGGLRTLSFGAKKAAFYGGGRHSFARSSCSARSLRLFIDSPANDDDVAAGERRRAPPPPPSRDVIKRYLTKISRRFRRRTAHAGEARELRRLRKSRSASAAATATLASAPSRRDDSLVEKQDGIASAIAHCKESLHRASVSDCDLSLSRSRSDPGKCEAVNVN >LPERR05G23030.1 pep chromosome:Lperr_V1.4:5:20189442:20195122:-1 gene:LPERR05G23030 transcript:LPERR05G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRSNSSSSSHFALHSSQLAKLPSFGAARVLVLPPMEQRNLFVSALSVGVGVGLGLASARWNGGDGAGSGGAGAGVGVAEVEAELRRMVVDGRESDVTFDEFHNFHYYLSDRTREVLISAAFVHLKQADLSKHIRNLCAASRSILLSGPTEPYLQSLAKALSHYYKAQLLILDVTDFSLRIQSKYGSSSRGLVHNQSLSETTFGRMSDLIGSFTIFSKSAEPRESLQRQTSGAELKSRGSDAPGNAPALRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKILIQSLYKVMVSVAENGPVILYIRDVDHLLHRSQRTYSMFQKMLGKLTGQVLILGSRLLDSDSDHKEVDERVSSLFPYHVDIKPPEEETHLDSWKTQMEEDAKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLVLSSKSLSHGLSMFQESGFGGKETLKLEDDLKGASGPKKSEAEKSTTVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPANEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGILSKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRILLSKEKVAEDIDFKELATITEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKVATAENSENPESKKENSENPESKKEREKENSENKEEKTEDKPDNSEAKAEGGNEVTIDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYSKSNPQEVRNRNS >LPERR05G23030.2 pep chromosome:Lperr_V1.4:5:20190197:20195122:-1 gene:LPERR05G23030 transcript:LPERR05G23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRSNSSSSSHFALHSSQLAKLPSFGAARVLVLPPMEQRNLFVSALSVGVGVGLGLASARWNGGDGAGSGGAGAGVGVAEVEAELRRMVVDGRESDVTFDEFHNFHYYLSDRTREVLISAAFVHLKQADLSKHIRNLCAASRSILLSGPTEPYLQSLAKALSHYYKAQLLILDVTDFSLRIQSKYGSSSRGLVHNQSLSETTFGRMSDLIGSFTIFSKSAEPRESLQRQTSGAELKSRGSDAPGNAPALRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKILIQSLYKVMVSVAENGPVILYIRDVDHLLHRSQRTYSMFQKMLGKLTGQVLILGSRLLDSDSDHKEVDERVSSLFPYHVDIKPPEEETHLDSWKTQMEEDAKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLVLSSKSLSHGLSMFQESGFGGKETLKLEDDLKGASGPKKSEAEKSTTVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPANEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGILSKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRILLSKEKVAEDIDFKELATITEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKVATAENSENPESKKENSENPESKKEREKENSENKEEKTEDKPDNSEAKAEGGNEVTIDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >LPERR05G23040.1 pep chromosome:Lperr_V1.4:5:20214125:20216455:1 gene:LPERR05G23040 transcript:LPERR05G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDSIIQVSPRPPPPQPLLLRVPSRAAVSAAGDREKIPYCVSFSVPASPSGTHLAALSVRGDGNDVVRETKIDIEPPPQRRLSSHPSLLIRGGGDPPAMARSDSARTRDPRRFDHFKTFSGRLERQLSSLRGAMPSADIETAANSRRISEEDVAAGDADATETAILPEDETWPFLLRFPITTFGICLGVSSQAMLWKTLATEPSTSSIAVNLAAVTVSHALWWLSVTVTAVISSIYLLKLVFFFEAVRREFHHPIRVNFFFAPWVASLFLVKGSPPPPSSIHHLVWFLLMSPVFALDVAVYGQWMSGGERRLSKVANPTNHLAVVGNFVGALLGARMGMREFPVFFFAVGLAHYAVLFVTLYQRLPTNVQLPRELHPVFFLFVAAPSVAAMAWARLAGEFDAAARMAYFVAIFLYVSLAARVDMFFRGVRFSLAWWAYTFPVTSAAIATAMYATEVSNVFTRSLAVGLAGIATVTVAGVLVTTVYHAFVRRDLFPNDVSIAITKRKPRFSKILAQLRRSSGGKGVVVSVSDDSDECSKASSGDRSPMAHDGAGR >LPERR05G23050.1 pep chromosome:Lperr_V1.4:5:20217519:20219806:-1 gene:LPERR05G23050 transcript:LPERR05G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIYTDRAGLRQQQIGGTHKRPQVLAHLQAENGQDSKPKPYPSAKTLSWHLASDNSISTTGGQKYAFTLGDTISSKPATNGSLYLLGKDLPASPMHKPLQLNGNTSFDAKKNVGAKDQPGFRHMSTFNSLDKPRGREIQKVPVGTKSMLATFFIKHKSAKMRKTLAR >LPERR05G23060.1 pep chromosome:Lperr_V1.4:5:20223395:20226921:-1 gene:LPERR05G23060 transcript:LPERR05G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRHHQSTTSKPINTRPTPKPKPNPQPQPQPPPPPPPHHHLPPPPPPPAVEDGIGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGHRYACKSIAARKLARADDADDVRREVHIMHHLTGHRNIVELRGAFEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSAAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKDDHIYKAFGYFDKDHSGFITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPDIVPNRRRMF >LPERR05G23070.1 pep chromosome:Lperr_V1.4:5:20227687:20228704:1 gene:LPERR05G23070 transcript:LPERR05G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSSRSSLRLRLFSCHVLRRLSCQHRAHGKKRRLLTTMESKNGSKGRKISSAASPSESILPADSKSPPQSFARTSLGRR >LPERR05G23080.1 pep chromosome:Lperr_V1.4:5:20227778:20229957:-1 gene:LPERR05G23080 transcript:LPERR05G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMANRLQDGCAFNALNNVSNGAVPRISKFTAGRIDSEGDAADEIFLPLLPFLDSMVVSNRRFLPCARC >LPERR05G23090.1 pep chromosome:Lperr_V1.4:5:20233988:20236718:-1 gene:LPERR05G23090 transcript:LPERR05G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSARVALRQAFSPSTVAASPDLGALLGRASPLGFHRRLLNSDASTHIKMVALDAKMDLKRRLELKEKEFFHLLEEALKTTDSAKIGVVLEKNEELIGLFRQYKSGPKKSSLFPLNLAAMLPYAVSGALTGWIWRGNVDEV >LPERR05G23100.1 pep chromosome:Lperr_V1.4:5:20237823:20244314:1 gene:LPERR05G23100 transcript:LPERR05G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSASASASAALSEAAAASEVTAAEAVVVVVSEAVVSEQPPRPAHDKRLGVRHPLKHRRFRTGGKVMMEPGDPPSAQGEVEVVDEEASEVEQEAPPVERGPHEGEEEGGDVEVSSAPAEMEVEVVEGDAMEELPEPAVAVGESELEGRPGGDEEEVSSPVVPQGETKQDTPAAAAPVPAMEERKHKDQEKKQNEREREKERERVDEVGYMSGGWKSDDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMKDTKLAISETYRKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDEVEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPSGDKS >LPERR05G23100.2 pep chromosome:Lperr_V1.4:5:20237801:20244314:1 gene:LPERR05G23100 transcript:LPERR05G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSASASASAALSEAAAASEVTAAEAVVVVVSEAVVSEQPPRPAHDKRLGVRHPLKHRRFRTGGKVMMEPGDPPSAQGEVEVVDEEASEVEQEAPPVERGPHEGEEEGGDVEVSSAPAEMEVEVVEGDAMEELPEPAVAVGESELEGRPGGDEEEVSSPVVPQGETKQDTPAAAAPVPAMEERKHKDQEKKQNEREREKERERVDEVGYMSGGWKSDDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMKDTKLAISETYRKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDEVEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPSGDKS >LPERR05G23110.1 pep chromosome:Lperr_V1.4:5:20245044:20247465:1 gene:LPERR05G23110 transcript:LPERR05G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPPTPCAARASLLCLHLAVAMLAMLSPPAAAQGEQETCRAAAAPPRRGAWMSVASFGGAGDGRTLNTAAFARAVARIERRAARGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLVDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTILAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITVRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFSMGVGIHIKTNSGRGGFIRNITVSDVTLNGARYGLRIAGDVGGHPDASYNPSMLPVVDGVTIKNVWGQDIRQAGLIRGIRSSVFSRICLSNVKLYGSASVGPWKCRDTSGGALDVQPLPCQELTASTSGTSFCTN >LPERR05G23120.1 pep chromosome:Lperr_V1.4:5:20247936:20249198:1 gene:LPERR05G23120 transcript:LPERR05G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNVPGYAPLPTDDRDENDERDLRFSYTPKSLRKIPWKSIALALFLLLLGSSLLFLSYFIFTAHMEGDNSQAYGLLFLGILSFLPGFYETRVAYYSWRGAPGYTFASIPDY >LPERR05G23130.1 pep chromosome:Lperr_V1.4:5:20249973:20250657:-1 gene:LPERR05G23130 transcript:LPERR05G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLLAIYDDKFHIVRTGPVFQRWPLDWPLSISFPTVDGDLYVLDRQKPFQALIRKDVADFHDYLPHELQDPEMQSYRSYTVFGGAGGESIWFSEEGIGTYAFDVQRREWALPFTGLAVYVPDHKLWFGLSRKHHSKGNPLRARGLYSNLAHLGDANFCIVRVFARDKNPGLDFDRRTDEDTFAVLTAVEVVQSSAGLRMVKHKSVCYTVQEGDCVDPLSLL >LPERR05G23140.1 pep chromosome:Lperr_V1.4:5:20253418:20256092:-1 gene:LPERR05G23140 transcript:LPERR05G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHYALPATSPPPRSPPPPFINAAALRTPGYSAGVLVSLLRDCADETDLRVARRLAPQLHSLAVRTGLSRDPRVTCALVDLLARLGRIPSCARLLDEAAAADQAKDAVLWNKHVAMLAEAEEWGEAIAVFREMQGKGVAADGYTCARVLHACGRAGALREGKAVHGHALKLAFLAAHPLVPGFLAGMYAENSDIESATKVLDEMGTSSVVPWNAVVSCCARLGLVDDALELAARMARSAGPEPNLVTWNAVISGCSRHGRDREALGVVASMLKQGLRPDATTVSSLLKSVANAGMLRHGMEIHGFFLRNQLEADVYTGTALVDMYAKCGRLDCAQKVFDELEHRNLTTWNSLVAGYANAGQFKRALELVELMKRNRLDPDITTWNVLITGYAMNGLSSQAVLLLRQIKAAGGTPPNVVSWTSLISGSCHNGEYEDSFYFFDEMQKDGIQPGLVTMSVLLRACAGLALLKKGKELHCFTLRRAYDCDMVVSTSLIDMYSKAGSLVSAKTIFGRIQQKNLVCYNAMLTGLAVHGQGREAIALFHDMWNSGMKPDSITFTALLTACRSMGLVTEGWEYFDSMETKYGVTPTTENYACMVDLLARCGYLDEAMDFIERSPTDPGASLWGALLTGCSIHGNLALAEVAARNLFRLEPYNSANYLLMMNLYENEQMYDEAESLKYAMKARGVDSRPGWSWIQIEQSLHFFEVDGKPHPETAEIYEELIRLVFQMKKVGYVPDTSCIVYNVQEEEKEKLLLAHTEKLAITYGLIRSDASRVPVRVIKNTRMCNDCHEVAKYISSLCDRQIILRDAVRFHHFVDGKCSCGDYWGNSMAKHSLGYQIL >LPERR05G23150.1 pep chromosome:Lperr_V1.4:5:20256745:20258953:1 gene:LPERR05G23150 transcript:LPERR05G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIAGSAARAARALRGSAAATSSMTAGEMAIVASLAIITSGSVLTYTAWQVTNQLRDCLKGIVSEQKAFLRNLESQNSEFLQSLRALMEKQNALLGGIRVREASEEEEDEAGSIEDV >LPERR05G23150.2 pep chromosome:Lperr_V1.4:5:20256745:20258997:1 gene:LPERR05G23150 transcript:LPERR05G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIAGSAARAARALRGSAAATSSMTAGEMAIVASLAIITSGSVLTYTAWQVTNQLRDCLKGIVSEQKAFLRNLESQNSEFLQSLRALMEKQNALLGGIRVREASEEEEDEAGSIEDV >LPERR05G23160.1 pep chromosome:Lperr_V1.4:5:20260588:20263007:1 gene:LPERR05G23160 transcript:LPERR05G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIVRSLRGSAAAGTSSMTRLFNATAGDKAIVASLGIITSGTYVAWHASNELRDCLDKMESEHKEFLRNLESENREFLLNLKTLMQGTMEEREALLGRIRERGASEEEGGSCVD >LPERR05G23170.1 pep chromosome:Lperr_V1.4:5:20263432:20264202:-1 gene:LPERR05G23170 transcript:LPERR05G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARMYGPALAVRRWEYANAAAYAFATLLLLLAAAMTLLPLSASGGGAARAVAGVALSAIAAVNAHDLAAHLAGIDCHVGLVRHDIQLGLVELLVPALHVIGCALAVAALATSNNAMATAAAAAAAWVVGSVANACQTYERADSRAQLMQSGVQVPMLVGSLLFLVSAAVVDVDTSPAARWRWVVVVGSVMWVVAAAVNVAKVYMMHQSDALRLEKLRGGAQEWLGRDREGRVPLSVNWEEAAWRAELR >LPERR05G23180.1 pep chromosome:Lperr_V1.4:5:20265361:20267378:-1 gene:LPERR05G23180 transcript:LPERR05G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTTPSRPVSAGCHRRTPRRSAPVVLSLRCGPRRRAPPSTSCSALASPAKQGTPKLPPPPEPTSTAAAAAIDAKRERTDYNEVAAALESIYKLSPAVVDDADAVDEKSTKKARRKRKGRKATTVTVSSRRRRRGQRLDLGKRVEMRRREEEAGVKEGDDEERVFEEMLLRENAVSTDMGSLDWKRMKIPPVLSSSQSARLFKIMQPMKAILEVKENLQEQLQREPNDAELAEAMNIPVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMTSDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEIHKAREELAFELGRAATDEEIMKRVGLSPARYRDVLRMTKPTYSLHARNRVTQEELINEVTDADAIGVDTNKHNTLLRLAIDDLLDSLKPKENLVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >LPERR05G23190.1 pep chromosome:Lperr_V1.4:5:20267454:20267681:-1 gene:LPERR05G23190 transcript:LPERR05G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAHVARGSSTHISLQPPAPLRSTRPHPAPSDPSRREILTVRSAGGKLVAAVAAFASAPYMARRRAERASFLLA >LPERR05G23200.1 pep chromosome:Lperr_V1.4:5:20268302:20273630:-1 gene:LPERR05G23200 transcript:LPERR05G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSPLLFASPANSTPLSTPSAAVFDVEATTHRHHHGNSSNKLAVADAGAAFVLESKGKWWHAGFHLTTAMVGPTVLTLPYALRGMGWALGLTSLTAVAAVTFYAYYLMSAVLDHCEANGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLEIMYSNLAPHGPLKLYHFIIIVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGASSDAPAKDYSLSSSNSEKTFNAFLSTSILATVFGNGILPEIQATLAPPASGKMMKALVLCYTVVFFTFYLPAITGYWAFGNKVQSNVLQSLMPDVGPTLAPTWQLGLVVVLVLLQLLAIALVYSQVAYEIMEKTTADVARPRLSRRNVAPRVALRTAYVACCALVAAMLPFFGDIVGIVGAVGFVPLDFVLPVVMYNVALSPARRSPVYVVNVVIMVVFTGVGIIGAVASVRKLVLDAGQFKLFSGNVVD >LPERR05G23210.1 pep chromosome:Lperr_V1.4:5:20275198:20280658:-1 gene:LPERR05G23210 transcript:LPERR05G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRAHLLLAAPCGSPPPASPLPRAVASRPATCELRAFAEDRRFGERGESPLLREAGAMGSEAKEMKYRRRGRVPEPVDYGQCGGGGGDRSGVLDWGAALKQDPAELLRKLDELRDQITSEMAEQPRERHRMSRRTVSLRPSPHAEPPPPQPLGRGQEHYRSRYGGGRYGHGLSQSPSEQMHRSMLSDRYARQPSGRFRQWPERQWESSGYIVQGNHHQSSCQCAQCVHGQRAVMQEEHIPMARYFASQQGSHLFDSSPSVSSELDRRSVVSSLYSHFSVSKRRTEYFRKKAESFCRPVRGAAPFVACSSCNQLLQLPPGKCTTRKQIQVQCGSCLEIVSFKLKEVKVHPLVSPTSFPASRTVGSSSRQVNQSFGWYQHQDEGNTSFHKLQAHDRWQQNKDFSDNISLSSASSYDRADKERGSNRSRPLQPVPVRRSRLADSPKDILCQGDAYSQVETSASNTVSPQAPIVEDKRVDPFSSRQKDCSGGDGITSKECILNSIADFTDANFRDKRSDVKYEPKRKDHNEGFRDETVNGRCKQQHKESTSNFCDDVSMGNKYKPRVSPDDTSSLEDGSMSKKYEEKSKQDDKSFQAECTKQYSNCRKEDNNSAIEVETIATMCKQTDIDDCYDKLLSPNSEPDVVLSKIESSVNERTNSSSRVSSEAELDEVQSEAVKNGDSKFFGGFLKKGFKDLSLFNQLVDSAKVSINGHPISERALRKAEKKSGPVSPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYAMPKNCAGGNTGVVVNGRELHQKDFELLVKRGLPRISGKSYSVEISGNVIDDTTGKKLRNLGKLAPTVEKMKRGFGMHIPEEIS >LPERR05G23220.1 pep chromosome:Lperr_V1.4:5:20286095:20288316:1 gene:LPERR05G23220 transcript:LPERR05G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETSHLDSFAPPPEDPPVVAGGEAAVYRSTTAGEFLRCFYGEMVDYMLGQPPPPTPGPQSHVSPFDKLTFSDVLQFADFGPKLALNQPAATDNAGDDDINDDDDDDDSYFLRFQSLPSLPAVPHPPPPPRGAADEEGSKQTTAGDGGGCCGGGGLSESTTTLVNQAAAEKEGGGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNDYLRLLRSLMPSSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGDAPPPPPPPPPRPVAADGSAPVLPMTPAMSFVFPPSIPFPASSGDAAADKIALDLDGGGEVREEMAENKSCLGDIEVRLLGVDAMIKILSRRRPGQLIKTVAALEDMQMSILHTNITTIDQTVLYSFNVKIAGEARFSAEDIAGAVHQILSFIDVNYTL >LPERR05G23230.1 pep chromosome:Lperr_V1.4:5:20289353:20289971:1 gene:LPERR05G23230 transcript:LPERR05G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARNLVVGTLRRQPPQVTAAAAVVREEQRRLIHGYLSPSPSTSCSLPRLLSSSAIGDPSSSSSRDKFVFI >LPERR05G23240.1 pep chromosome:Lperr_V1.4:5:20292149:20297029:-1 gene:LPERR05G23240 transcript:LPERR05G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPETGDRSRTGQLPPAAAAAAVAFLVPTWCAGGISREKNPTITMTICSCEETINEFEMLTRDAGRVQQDTLKKILEINANAEYLQNFGLGGRTDAESFKSCIPLCVHSDIEPYIQRIVDGDTSPVVTGKPITNLSLSSGTTHGKPKFIPFNDELLETTLQIFRTSYAFRNREYPIGQGKALQFVYGSKQVNTKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCADIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYQKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNINPTVPPEQVTYAVLPQTGYFEFIPLEKPIGEETENSAAIHYIESDPVDLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKLLEGEKLEVVDFTSFVERSSDPGHYVIFWELSADASDEVLSSCANALDLAFVDAGYMGSRKIKTIGPLELRILRKGTFKEILDHFLNLGGAVSQFKTPRFVNPSNSKVLQILSRNATQSYFSTEYGL >LPERR05G23240.2 pep chromosome:Lperr_V1.4:5:20292149:20297029:-1 gene:LPERR05G23240 transcript:LPERR05G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPETGDRSRTGQLPPAAAAAAVAFLNPTITMTICSCEETINEFEMLTRDAGRVQQDTLKKILEINANAEYLQNFGLGGRTDAESFKSCIPLCVHSDIEPYIQRIVDGDTSPVVTGKPITNLSLSSGTTHGKPKFIPFNDELLETTLQIFRTSYAFRNREYPIGQGKALQFVYGSKQVNTKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCADIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYQKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNINPTVPPEQVTYAVLPQTGYFEFIPLEKPIGEETENSAAIHYIESDPVDLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKLLEGEKLEVVDFTSFVERSSDPGHYVIFWELSADASDEVLSSCANALDLAFVDAGYMGSRKIKTIGPLELRILRKGTFKEILDHFLNLGGAVSQFKTPRFVNPSNSKVLQILSRNATQSYFSTEYGL >LPERR05G23250.1 pep chromosome:Lperr_V1.4:5:20324704:20329605:1 gene:LPERR05G23250 transcript:LPERR05G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVVKGPGHQVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPLDLAKDNELHVATRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >LPERR05G23260.1 pep chromosome:Lperr_V1.4:5:20335016:20336989:-1 gene:LPERR05G23260 transcript:LPERR05G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNAVMAIFHDDLPLRCVNPRQCALLAHAYSLAFHAIAGDLRSRLRLAAGAAKWKPLEDPLRELHRVIRDGEGYIRRCLIVNGGSWWARTAAATHGVECVERHLHDLLWCVAVVVDAIELASPARDDEGILLDLEIFRRRLGNTYLATAELAARIDIAWKEDRWLLSHLLDERRNGCSTANFSPSPAALTTLQEHRLADVLASPRGKLHPATVLHVTEFQMRRRLGGCMKEANWMGESFAVKHLVGVDGDDAAAVAAAAASVSPHPNVAHSRYCFHDEEKREMFVVMDDDHLTCKDLAVFVKELTSGKRRSPSSLPLLVVVDAMLQIARGMEHLHSKRIYHGNLIPSNVLVKPLHGEGYLHVKVAGIGHGESIVSNSGKKSTAVNDAIPSCIWHAPELMGNESPPTARCTEKGDVYSFGMICFELLTGKIPFEDNHLAGENMGKNILAGERPLFPFQTPKYLTSLTRRCWHGDPSQRPAFHSVCRVLRYLKRFLVINPDAVAAPATAPPVDYLDVEAQLLRRFPEWETNAAARPRVADVPFLMYAYRVMEREKVNAMVNRERSSDSGSDGNSSLCGDDCVNGVSVTTTVADTQPASSRTSLTNRNSGGGGRWSSPRKVNGGKGIAAAAAVIKAGKCVFAHLIVTD >LPERR05G23270.1 pep chromosome:Lperr_V1.4:5:20349566:20352686:1 gene:LPERR05G23270 transcript:LPERR05G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPRGPADNGLPACLETKARCGSDISVRSDWTAEIDPIGSTREGWGQTLAAPFSLFHSQSPFSSFSFGDRACVGDDGGRKSRRDSTLFSPSFPLGFSSPTPRWARFRAAIRSKAATVSCGDAPTRDRAEPAKGGCTTALIWCGDTADARPRLSQQSRKEGTTGVHVVARRCRRCKTGADRRCFSDEGRTRTLLEPKFDPEGYVEASEDHISQGKPRLRSFK >LPERR05G23280.1 pep chromosome:Lperr_V1.4:5:20359902:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGAASPLLLLLPSPLPRHPLAFPPRRAAASRRVLLQPPRAGRPRLRDRPPTPVVEEDELGADEEDDVTPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKPPWMFFPDIIPLGHPIFDVIESTDPETDWDLRLACLLLYAFDIEDNFWQLYGDFLPSVDECTSLLLAPKEDLMELEDQDLATKMLKNQQRTIDFWQKHWHKTIPLKLKRLAPDHVRFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELLNFSSDAKIHLDSFLSVFNIAGLHDELYHNSALTLGENTFVDGGVVAAARTLPTWSDGDVPAIPSVERKSAQALQEECQTMLESFSTTIQQDQDILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDRILF >LPERR05G23280.2 pep chromosome:Lperr_V1.4:5:20359902:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQHKTIPLKLKRLAPDHVRFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELLNFSSDAKIHLDSFLSVFNIAGLHDELYHNSALTLGENTFVDGGVVAAARTLPTWSDGDVPAIPSVERKSAQALQEECQTMLESFSTTIQQDQDILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDRILF >LPERR05G23280.3 pep chromosome:Lperr_V1.4:5:20360040:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQHKTIPLKLKRLAPDHVRFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELLNFSSDAKIHLDSFLSVFNIAGLHDELYHNSALTLGENTFVDGGVVAAARTLPTWSDGDVPAIPSVERKSAQALQEECQTMLESFSTTIQQDQDILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDRILF >LPERR05G23280.4 pep chromosome:Lperr_V1.4:5:20359902:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGAASPLLLLLPSPLPRHPLAFPPRRAAASRRVLLQPPRAGRPRLRDRPPTPVVEEDELGADEEDDVTPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKPPWMFFPDIIPLGHPIFDVIESTDPETDWDLRLACLLLYAFDIEDNFWQLYGGSNGARGPGSCHKNVKEPAENN >LPERR05G23280.5 pep chromosome:Lperr_V1.4:5:20359902:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGAASPLLLLLPSPLPRHPLAFPPRRAAASRRVLLQPPRAGRPRLRDRPPTPVVEEDELGADEEDDVTPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKPPWMFFPDIIPLGHPIFDVIESTDPETDWDLRLACLLLYAFDIEDNFWQLYGDFLPSVDECTSLLLAPKEDLMELEDQDLATKMLKNQQRTIDFWQKHWHKTIPLKLKRLAPDHVRFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADDDRLHEWSEQLVYGKIWFLITNAALTLGENTFVDGGVVAAARTLPTWSDGDVPAIPSVERKSAQALQEECQTMLESFSTTIQQDQDILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDRILF >LPERR05G23280.6 pep chromosome:Lperr_V1.4:5:20360016:20364369:1 gene:LPERR05G23280 transcript:LPERR05G23280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKPPWMFFPDIIPLGHPIFDVIESTDPETDWDLRLACLLLYAFDIEDNFWQLYGDFLPSVDECTSLLLAPKEDLMELEDQDLATKMLKNQQRTIDFWQKHWVHFCTV >LPERR05G23280.7 pep chromosome:Lperr_V1.4:5:20359902:20362998:1 gene:LPERR05G23280 transcript:LPERR05G23280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGAASPLLLLLPSPLPRHPLAFPPRRAAASRRVLLQPPRAGRPRLRDRPPTPVVEEDELGADEEDDVTPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKPPWMFFPDIIPLGHPIFDVIESTDPETDWDLRLACLLLYAFDIEDNFWQLYGGSNGARGPGSCHKNVKEPAENN >LPERR05G23290.1 pep chromosome:Lperr_V1.4:5:20366681:20369898:-1 gene:LPERR05G23290 transcript:LPERR05G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDGGGRDKPDTKQDVFADLGSPVSPLRRPGATPSSSSSRRLCAMLGWGGDGGGGGGCGGGSTASSPLTNALPTGNICPSGRVNAAPPPAPPRRARPDVLGSGTGHYGHGSIMRGGVASVGATAPARSSIDAAAGGSYYSRSPASCPGNLQEVTRAGNEWYKKGNYGEALRHYDQAVALCPDSAACRSNRAAALAGLGRLADALRECDDAIRRDPTSARAHGRLAALCVRFGMVERARKHFMLAGQVNQSDHAELQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTMTSLSKLDNVSLSSVSTKLSGMVADSYVHVVQAQVNMAFGRFDTAVTMVEKARVIDPGNLEIGRIINNIRLVAQAREQGNELFKAGRFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWVKAVEDCNEALKIQPGYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDREVAESLFHAQVALKTTRGEDVANMKFGGEVETVTSIEQLRDTIHSPGVSVVYFMATMNQQCQQITPSVDSLCSECPSVNFLKVNIDESPMVARAENVRIVPTFKIYKDGARVKEMICPSLHILRYSIRHYAVSSS >LPERR05G23300.1 pep chromosome:Lperr_V1.4:5:20379600:20385906:-1 gene:LPERR05G23300 transcript:LPERR05G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSYLLPARFLPSLPTSTALLDFLLENHKCAAVQGRKFMAAAAIQHCARAGMPPMNFQCGANSSSRITFSCYKYCAALEPSLKHYKFQEHRRLIQYREFVLPQSSLKEQACEQLVHDMDEQWSHHSSYSQPFTSTQPSTIKRNVEKIMQDHSPCQTSILQGDVHTTGLSMKEIERRRKIGAANKGKIPWTKGRKLSKEHKELIKQRTTEALRDLKVRQKMLGHRQLHRQASKDKISDALRKIWERRIVSVKARQEVLQIWSNNIAQAAKQGDHCQDTLDWDSYDRIKSEMISMYLWNKEKERIVKKLRKAEAKIIAKKVQAAEKSKLQTRGAKKLRCEKLVLRKSDAQPTRVVVSTRPKLKERLTKSKANGSIQKAGKVNHTQGGGSNWVLVAGGVLLSTLSVKLGCKLKQMFDTKKQNCSAPKALADGVEVKQPPGSPLPKSTDPSSLLLVKIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQHLKRRDEMIMEMQAQIADLKNSLGTQVTQTSNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHVNGYADSSVDGSELHCISVEKRKGEVERVEMLKREVGELKEVVEGKDFVIQSYKEQKLELCSKIRELQEKLSAQVPNIL >LPERR05G23310.1 pep chromosome:Lperr_V1.4:5:20386349:20389209:-1 gene:LPERR05G23310 transcript:LPERR05G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDCGGGRDKPDTKQDVFADLGSPVSPLRRPGATPSSSSSSTGSAKSPALCNAAAGRGRGSHSGELAADGERIGQRRAGHRRSGSGPLIFSGGSSSAGSGGRGCGGDSTASSPLTTNALPTGNICPSGRVNAAPPPRRARPDVLGSGTGHYGHGSIIRGGGVAPARSSIDAGSYYSRSPASLQEVTRAGNEWYKKGNYGEALRHYDQAVALCPDSAACRSNRAAALAGLGRLADALRECDDAIRRDPTSARAHSRLATLCLRFGMVERARKHFMLAGQANQSDHAELQKLQEVEQHLGRCMDARKTGDWKNALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDNVSLSSVSTKLSGMIADSYVHVVQAQVNMAFGSTNTKVDSCRFDTAVTMAEKARVIDPGNLQIGRIINNIRLVAQAREQGNKLFKAGRFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWVKAVEDCNEALKIQPGYTKALLRRAASYAKLERWADCVWDYEVLRKELPSNMEVAESLFHAQVALKTTRGEEVANMKFGGEFKTVASIEQLRDAIHSPGVSVVYFMATMNQRFQQITPSVDSLFSECPSVNFLKVNIDESPMVARAENVRIVPTFKIYKDGARVKEMICPSLHILRYSIRHYAVSSS >LPERR05G23320.1 pep chromosome:Lperr_V1.4:5:20390455:20391591:-1 gene:LPERR05G23320 transcript:LPERR05G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKQRTTEALRDPKVRQKMLGHRQLHSLTPFI >LPERR05G23330.1 pep chromosome:Lperr_V1.4:5:20393306:20395839:-1 gene:LPERR05G23330 transcript:LPERR05G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLKPPSMSTRKRLAGAAIGFLSLFLLSRALLSSSSLPPHSDEPGELKQPQPPEEEEEASSISISMAPPLHPNRNGVIAASPAPSPTAAASAAVTDGSPAPAPAEGEVRCDLFDGRWVPGYPMYEAAECPLLSEQVTCRRNGRPDSGYEHWRWQPRERNCAAALRLGGVEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSQALIDDASAEHKIFQALEFNCTVEFYWSPFLVELDDESRALKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAMNRALRTWTRWLDRNVDSVNTAVFFRSISPEHKNMNWCYNETSPMATGEEYVEEFPRGMVSIVERNVKRAKTAVGYLNITRMSELRRDAHPSVFTAKGGKMLTPEQRRRPESYADCSHWCLPGLPDTWNLLLFALWKLHASMR >LPERR05G23340.1 pep chromosome:Lperr_V1.4:5:20404821:20406023:1 gene:LPERR05G23340 transcript:LPERR05G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRRRRLMAVSSFILGAKNYCPCFSTYHFCVDCKCSGCHNTEDNGDEVEETFAIARMKNPGAFGPKIVSVQDATIVDPRSSSGAVSDPKNSSGAVPGNEQLMYAKGCICRKSKCSKNYCECYKNKVGCTSKCKCQECGNQHGIKNSEYTTS >LPERR05G23350.1 pep chromosome:Lperr_V1.4:5:20406821:20409448:-1 gene:LPERR05G23350 transcript:LPERR05G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAALYSAACYAHGKLASGIPYPIALSAVISLSGWLPCSRTLRGKMESSHTAARRAASLPMLLSHGRADEVVSYRNAERSSDTLRSSGFLYLHSKSYNGLGHYTIPEEMDDVGRWLSSRLGLDRSR >LPERR05G23360.1 pep chromosome:Lperr_V1.4:5:20414142:20416309:-1 gene:LPERR05G23360 transcript:LPERR05G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWSCLPPPPPQATAPARGICIVSTSWRDKQQPSLINFVAAFLAANSYRLNFQSISPDFIFNNGGSSVAFIFETNWDCQNEGAVFKRVNLLKRQMRYLYVIVVVPSGEQNESFNQSYHKYGMELGCPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIVTTMKNEREQAVQCMDSFLCVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETVVRFFRDPQYYLRPKIN >LPERR05G23360.2 pep chromosome:Lperr_V1.4:5:20414142:20416309:-1 gene:LPERR05G23360 transcript:LPERR05G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWSCLPPPPPQATAPARGICIVSTSWRDKQQPSLINFVAAFLAANSYRLNFQSISPDFIFNNGGSSVAFIFETNWDCQNEGAVFKRVNLLKRQMRYLYVIVVVPSGEQNESFNQSYHKYGMELGCPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIVTTMKNEVLPHVSRYTMLCFLREQAVQCMDSFLCVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETVVRFFRDPQYYLRPKIN >LPERR05G23370.1 pep chromosome:Lperr_V1.4:5:20418432:20423349:1 gene:LPERR05G23370 transcript:LPERR05G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTAAAASRERSRLVFVGKGAGTYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTATVVVKRLKDVAASRREFSAHVENTLGKIDHRNLLPVRGYYFSKDEKLLVCDYVAGGSLSAMIHGSRGTGKTTMDWEARMRAAASAARGVAHLHAAHGLAHGNLKSTNLLIRPSDPDAAALSDYCLHHLFAPSPPPHRPLTAGGGGYRAPELVDARRPTLKSDVYALGVLFLEILTGKSPGNNAAAVDGGGGEVDLPRWVQSVVREEWTAEVFDAELVRLDGGGAEEEMVALLQVAMACVATAPDARPDAGDVVKMIEEIGSGHGRTTTEESDGRGVSEEERSRGTPPSGTTP >LPERR05G23370.2 pep chromosome:Lperr_V1.4:5:20420458:20423349:1 gene:LPERR05G23370 transcript:LPERR05G23370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRYSVRSRGTGKTTMDWEARMRAAASAARGVAHLHAAHGLAHGNLKSTNLLIRPSDPDAAALSDYCLHHLFAPSPPPHRPLTAGGGGYRAPELVDARRPTLKSDVYALGVLFLEILTGKSPGNNAAAVDGGGGEVDLPRWVQSVVREEWTAEVFDAELVRLDGGGAEEEMVALLQVAMACVATAPDARPDAGDVVKMIEEIGSGHGRTTTEESDGRGVSEEERSRGTPPSGTTP >LPERR05G23380.1 pep chromosome:Lperr_V1.4:5:20428174:20428575:1 gene:LPERR05G23380 transcript:LPERR05G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGYSSDRRVVAKLASLVAFCARHLSRASRRLLRDRRCYGGAAMAVAGDNKHQQQNGDGGGEGIIWRRTILMGERCEPLDFDGAIHYDSFGRRLPAPRSASSLSSSCCSSNTLASYIPHTSPPSAHHHVHDL >LPERR05G23390.1 pep chromosome:Lperr_V1.4:5:20429302:20430306:-1 gene:LPERR05G23390 transcript:LPERR05G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPDAVRNVVGIIGNFISFGLFLSPLPTFVTIVKKKDVEGFVPDPYLATFLNCALWVFYGLPIVHPDSILVATINGTGLLIEVAYLAIYFAYAPKPKRCKMLAVLAAELVFLAAVAAAVLLGADTYEKRSLVVGGLCVFFGTIMYAAPLTIMKQVIATKSVEYMPFTLSLVSFLNGICWTIYALIRFDIFITIPNGMGTLLGAAQLILYFCYCGSTPKAGDDKNLELPATPAKDSPV >LPERR05G23400.1 pep chromosome:Lperr_V1.4:5:20432384:20438534:1 gene:LPERR05G23400 transcript:LPERR05G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGDPGDGFPSKRVKTNQYGENTMQCLMYVKGHLPVDVYSDFVHSLVQIRRRKRNISIDECKDIILNILDGQPRVIKAFQTFIQGGSPYYGGILEKSIGFFKRVQTCPDISTDVYNALIRTMANFSHSTTMTLEDVIEEVKGLIGNNTELLQEFLTFVPYYMRALQNEQSCTSPKNSRGTKTVLSLTPDANNKCDGIQVKETNHRNEVPQLKYTQDQNNQGDDESPHVELDEDDEEYNPEPLHQWVISLEDKLPPKVDLSNAKQCTPSYCLLPKNCVTLQSSYQTELGKSILNDSLVSVTSGSEDCFKFRTKNQYEENMFKCEDDLYESDMVLQRFRATVDFIKNLQVRVGSNVKIQEHLTPLHKRCIEQLYDDSGTDMLDVLSESENTSSALAVILSRLNHKIRDLSEARLSLNKICSDIVANNYHMSLDLRSPSFKQLDMKRMNPKALLAEDKQISKTKSHTDIHIHEDIGNIINYAYSRSRSTEDKPMMNWTELVKEFLPVKFQWTDLKDRVAHKKRVESSRGKSSGPTSSLDHFDAEVEEEHYESKEDFNDEVGSSAYSGRKAKAVLCRLLQVMYERLLVAKELSKGASVRDSYAEFKEKLCNLIDGTTDNWNFEQHCLKFLGPNSYVLFTLDKLIERAIKQICKIYPSHEDSSVLQQQDKLRTNSLNGPALVARRINLSKEFLHHKNARGYPIQPSIELSKQDGGEGAGSKPHSDSGKVNQNHFQRRRKRTLEKGAPSSCRPGPEN >LPERR05G23400.2 pep chromosome:Lperr_V1.4:5:20432384:20438534:1 gene:LPERR05G23400 transcript:LPERR05G23400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGDPGDGFPSKRVKTNQYGENTMQCLMYVKGHLPVDVYSDFVHSLVQIRRRKRNISIDECKDIILNILDGQPRVIKAFQTFIQGGSPYYGGILEKSIGFFKRVQTCPDISTDVYNALIRTMANFSHSTTMTLEDVIEEVKGLIGNNTELLQEFLTFVPYYMRALQNEQSCTSPKNSRGTKTVLSLTPDANNKCDGIQVKETNHRNEVPQLKYTQDQNNQGDDESPHVELDEDDEEYNPEPLHQWVISLEDKLPPKVDLSNAKQCTPSYCLLPKNCVTLQSSYQTELGKSILNDSLVSVTSGSEDCFKFRTKNQYEENMFKCEDDLYESDMVLQRFRATVDFIKNLQVRVGSNVKIQEHLTPLHKRCIEQLYDDSGTDMLDVLSESENTSSALAVILSRLNHKIRDLSEARLSLNKICSDIVANNYHMSLDLRSPSFKQLDMKRMNPKALLAEDKQISKTKSHTDIHIHEDIGNIINYAYSRSRSTEDKPMMNWTELVKEFLPVKFQWTDLKDRVAHKKRVESSRGKSSGPTSSLDHFDAEVEEGEFIPDVENIQSCVQRLPTNNSTHSTYGHWNESEEHYESKEDFNDEVGSSAYSGRKAKAVLCRLLQVMYERLLVAKELSKGASVRDSYAEFKEKLCNLIDGTTDNWNFEQHCLKFLGPNSYVLFTLDKLIERAIKQICKIYPSHEDSSVLQQQDKLRTNSLNGPALVARRINLSKEFLHHKNARGYPIQPSIELSKQDGGEGAGSKPHSDSGKVNQNHFQRRRKRTLEKGAPSSCRPGPEN >LPERR05G23400.3 pep chromosome:Lperr_V1.4:5:20432384:20438534:1 gene:LPERR05G23400 transcript:LPERR05G23400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGDPGDGFPSKRVKTNQYGENTMQCLMYVKGHLPVDVYSDFVHSLVQIRRRKRNISIDECKDIILNILDGQPRVIKAFQTFIQGGSPYYGGILEKSIGFFKRVQVKGLIGNNTELLQEFLTFVPYYMRALQNEQSCTSPKNSRGTKTVLSLTPDANNKCDGIQVKETNHRNEVPQLKYTQDQNNQELDEDDEEYNPEPLHQWVISLEDKLPPKVDLSNAKQCTPSYCLLPKNCVTLQSSYQTELGKSILNDSLVSVTSGSEDCFKFRTKNQYEENMFKCEDDLYESDMVLQRFRATVDFIKNLQVRVGSNVKIQEHLTPLHKRCIEQLYDDSGTDMLDVLSESENTSSALAVILSRLNHKIRDLSEARLSLNKICSDIVANNYHMSLDLRSPSFKQLDMKRMNPKALLAEDKQISKTKSHTDIHIHEDIGNIINYAYSRSRSTEDKPMMNWTELVKEFLPVKFQWTDLKDRVAHKKRVESSRGKSSGPTSSLDHFDAEVEEGEFIPDVENIQSCVQRLPTNNSTHSTYGHWNESEEHYESKEDFNDEVGSSAYSGRKAKAVLCRLLQVMYERLLVAKELSKGASVRDSYAEFKEKLCNLIDGTTDNWNFEQHCLKFLGPNSYVLFTLDKLIERAIKQICKIYPSHEDSSVLQQQDKLRTNSLNGPALVARRINLSKEFLHHKNARGYPIQPSIELSKQDGGEGAGSKPHSDSGKVNQNHFQRRRKRTLEKGAPSSCRPGPEN >LPERR05G23400.4 pep chromosome:Lperr_V1.4:5:20432815:20438534:1 gene:LPERR05G23400 transcript:LPERR05G23400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGDPGDGFPSKRVKTNQYGENTMQCLMYVKGHLPVDVYSDFVHSLVQIRRRKRNISIDECKDIILNILDGQPRVIKAFQTFIQGGSPYYGGILEKSIGFFKRVQTCPDISTDVYNALIRTMANFSHSTTMTLEDVIEEVKGLIGNNTELLQEFLTFVPYYMRALQNEQSCTSPKNSRGTKTVLSLTPDANNKCDGIQVKETNHRNEVPQLKYTQDQNNQGDDESPHVELDEDDEEYNPEPLHQWVISLEDKLPPKVDLSNAKQCTPSYCLLPKNCVTLQSSYQTELGKSILNDSLVSVTSGSEDCFKFRTKNQYEENMFKCEDDLYESDMVLQRFRATVDFIKNLQVRVGSNVKIQEHLTPLHKRCIEQLYDDSGTDMLDVLSESENTSSALAVILSRLNHKIRDLSEARLSLNKICSDIVANNYHMSLDLRSPSFKQLDMKRMNPKALLAEDKQISKTKSHTDIHIHEDIGNIINYAYSRSRSTEDKPMMNWTELVKEFLPVKFQWTDLKDRVAHKKRVESSRGKSSGPTSSLDHFDAEVEEGEFIPDVENIQSCVQRLPTNNSTHSTYGHWNESEEHYESKEDFNDEVGSSAYSGRKAKAVLCRLLQVMYERLLVAKELSKGASVRDSYAEFKEKLCNLIDGTTDNWNFEQHCLKFLGPNSYVLFTLDKLIERAIKQICKIYPSHEDSSVLQQQDKLRTNSLNGPALVARRINLSKEFLHHKNARGYPIQPSIELSKQDGGEGAGSKPHSDSGKVNQNHFQRRRKRTLEKGAPSSCRPGPEN >LPERR05G23410.1 pep chromosome:Lperr_V1.4:5:20442150:20443721:-1 gene:LPERR05G23410 transcript:LPERR05G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVVNELVPYEVRDMLFSGLSYLRSQISSQHTIVIEENEGWSHNHVYSAVRAYLATRINHKMQRMRVSSMDDSSEKMVVGMEEGEEMTDMYEGTEFKWYLITRTSTSDSNNNGNGSTQSQSRSYELSFHNKHKEKALKSYLPFIIATAKAIKDQERILQINMNEYSDSWFAIDLHHPSTFDTLAMDQKQKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTAVNSNSDLRRLLIGMTNRSILVVEDIDCTIELKQREAGEERAKSNSAEEGKGEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEILMRNDDADVALHGLVELLKSKIKDGNEIKTESKDGNKQEVETKDDKVTDNKNESSTDEST >LPERR05G23420.1 pep chromosome:Lperr_V1.4:5:20442297:20444508:1 gene:LPERR05G23420 transcript:LPERR05G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHIGVIIPHENLGNLCGRHHYLLDQLLDLWICDMVVDGVIVAGKNSESLWGTHFVFSLAFFCRIGLGTFLPCLPLFQFDGTVDVFNNKNGSVGHPNKKPSEIRVGIDCSQLKIIYVKLEVVGHGCDQAGFAGPRWAIQQGFLLVLIVKAQLIGAGLRLSAAIAIVPFELCSFIHIGHLFTFLHANNHLLRRIIHAADPHALHLVVDACCKVAEAGEEHVTHLIWNQLVHDAANQHHRRCNGCSCCDGLLVGLDGLVVGRHGDRE >LPERR05G23420.2 pep chromosome:Lperr_V1.4:5:20442297:20444508:1 gene:LPERR05G23420 transcript:LPERR05G23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHIGVIIPHENLGNLCGRHHYLLDQLLDLWICDMVVDGVIVAGKNSESLWGTHFVFSLAFFCRIGLGTFLPCLPLFQFDGTVDVFNNKNGSVGHPNKKPSEIRVGIDCSQLKIIYVKLEVVGHGCDQAGFAGPRWAIQQGFLLVLIVKAQLIGAGLRLSAAIAIVVGVACAAEAGEEHVTHLIWNQLVHDAANQHHRRCNGCSCCDGLLVGLDGLVVGRHGDRE >LPERR05G23430.1 pep chromosome:Lperr_V1.4:5:20446627:20453922:1 gene:LPERR05G23430 transcript:LPERR05G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEASGGGGGAEQEQKRAAAAAYDYEGDARWADYWSNVLVPPHLASRPDVLDHFKRKFYQRYIDRDLVVEPMSATGSTQPSRPEVRSSSSSPGENVRARTSGSSSRSAAPPPPPQTDNTTNPLRFDARTIHFSVNAWVLVVAGLGMLPILPKHLADRACKLSLLGTIISSAYSLYSTHGVETKSVEHACSPGLVAICTWNQGFCPFDVLSYALHVSVALEDFAVCGTTSVHCWRRSIIQTFMYWHVLKLMYHAPVTASYHQSVWAKIGRIVNPYIHRYAPFLNTPISTAQRWWLRCVPPKNAEIGGVMKRRWNETAKESIKGGVDAERRIPDDTLDRKSQIDWIEEDISSWMDRSCTSSNSEYNLLMQNIHMLESSLAGKDLVRLERDILVHIERLGALKSFNASMSRATLTQTYESEFSLPWNIIEIDPEIPLEEKDDQVIVRSGKSQERKLKRMRASEKGSRISVKAPSRKSKKSSSSQFIAEWKNYPGRRRSIIREQSALLVTIKECANLERIRENLLKDGSEVTYARWAKAAGVDEALLKSRLQEGYCCRERLLVTTEWLVKYIARTYTGMGTAFEDLLQAGKMGVLDGAEKFDSQKGCKFSTYVKYWIRKAMLALLVENSGVIQLPARMEGIIRKVKEARRAIRYNTGRYPPDAEIATLIGVSVANVRLARKCSRRVVSLDMEIGVGQNAKFVEVTPDTSLEAPDEAMFRRQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNEDVRHELKDFCGF >LPERR05G23440.1 pep chromosome:Lperr_V1.4:5:20456368:20457330:-1 gene:LPERR05G23440 transcript:LPERR05G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCSDSTAAGDGAGLRLFGVQLHAAPASTQLLHKSYSMDCLRMQASSSSSLASLLSPSPPLPLLLSIDEGGERPADGYLSDGPHGGATMREKKKGVPWSEQEHRQFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRQQNSAGKKTNKRRTSLFDMVQDCESGRSFATDPASHCKNNTSASRSLKVSHQGSCKKSPDYSMWASSETSSVSEAAPVVMEQAQAHGYGSNHHCSPLDLELGMSLSTQSIGT >LPERR05G23450.1 pep chromosome:Lperr_V1.4:5:20458421:20462017:1 gene:LPERR05G23450 transcript:LPERR05G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPASPAPAKLTTKPAPPAQAVREARNYGAPRDGAGRDGGGAGRGRGGGRGGRGGPRREFGDGDANGFEGGYGGGSFGGDGGVARGEEGEGKMAERGRGPRQPYRGGGRRGGYTEGQNGDDYGRPRRAYERHSGTGRGYGMKREGAGRGNWGTVTDEGLAQEIVEPVNTEETAATAEGEEKKPEDAPQSEVDKDKEGADNEDEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQSMQQLSVKKDNDEVFIKLGSDKDLKKKENAERDERAKKSLSINEFLKPAEGERYYNPSGRGRGRGRGRGDRGGFYGGYNGNGGRRQAAAPVIKDQAQFPSLGGK >LPERR05G23460.1 pep chromosome:Lperr_V1.4:5:20463050:20467526:-1 gene:LPERR05G23460 transcript:LPERR05G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSVSESVQIVLIRLAGEKQSGDRPAWRRRSSHYVEFSLCCEMKEMTAFSGKAAAEGGRGAEAVVVVAVRGAPREISKAAVVWALTHVVQHGDTILLLAVMPPPNSGRKFWGFPLFAGGCASGHRSVLTQNSDVADLCNQMMLKLRDYYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPEKESKPPLAPLPELSASVDATENSIKEQRSLIREPAVTPSSSPESETAFGSTDVGTSSISSSDPGTSPYSASETISSFKKEATKDNFQHSDVNISDSESEASTPPPAASLQPWMADILKGSASSRLAANRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGSVRDVVSLSRGAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPGSEAGSRSRRMILQEQQQSSPVEHGSQRVMIDGKQQSYVARRIAWDREMQSLSHGF >LPERR05G23470.1 pep chromosome:Lperr_V1.4:5:20475091:20476560:-1 gene:LPERR05G23470 transcript:LPERR05G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTLLLVTALLLLLSLPATVFSKPTRTQPLLATPLSPDPTTTVDQQQQQLHLAAAGDIANSTTLHFRLAHRDHFPLINATASDLLAALLSRDAARAASLLAATNSTRRPAPRRRRGGFAAPLLSGLPEGSGEYFAQVGVGTPATAALMVLDTGSDVVWLQCAPCRHCYAQSGKVFDPRRSLSYAAVSCSDPLCRRLDAGGCDRSRDKCVYQVAYGDGSVTAGDFATETLTFAHGARVRRVGVGCGHDNEGLFVAAAGLLGLGRGKLSFPSQISPRFGRSFAYCLVDRTSSVKPTTSRSSTVTFGAGAGAVAASTAAFTPMGRNPKMPTFYYVHLLGFSVGGARVSDSELRLDAATGHGGVIIDSGTSVTRLARPVYGAVRDAFRAAAAATGLRASRGGFSLFDTCYNLRGRRVVKVPTLSMHFAGGAEVALPPENYLIPVDTGGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFVPKSC >LPERR05G23480.1 pep chromosome:Lperr_V1.4:5:20477410:20479963:1 gene:LPERR05G23480 transcript:LPERR05G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGAAISSAAAGGVDEQQEILLPIRPPPPSHDFAAESRSIVIYITAPGVTVMPMRVMVSDFIASVKLRVQRWRGFLARKQRLVFAGRELARNECRIGDYGVGDGTMLHLVVRVSDLRLITVVNLRGEELTLRLHPGRTVGYVKRQIVDIDADHSLVLHGEVLDDAHLIHDVCRSDGAVIHLRLVRRRAMAPRGGEPGRPKSKSDFGIEPVIVNPKASPPSPSVIENMVAAVLAGMDKGNAPIMSSEGTGGAYLMPDATGQEHVAVFKPVDEEPMAANNPRGLPESTTGEGLKKGTRVGEGAIREVAAYILDHPTGGRRSFAAGHHGVGFAGVAPTAMVRCMHRSFKQPVSDQELKQQQQPVTKIGSLQAFVKNSGSCEDMGPRDFPVHEVHKICVLDIRLANADRHAGNILICREEGSQGLTLIPIDHGYCLPESFEDCTFEWLYWPQCRELFSEETVNYIRSLDAEEDIAILRFHGWEMSPKSVRVLRITTMLFKKGVERGLTAFDMGSIMCRETLTKESGIEEIIREAEDEDDGENGDEGVFLQSVSEIMDRRLDELSKKK >LPERR05G23490.1 pep chromosome:Lperr_V1.4:5:20481413:20483535:-1 gene:LPERR05G23490 transcript:LPERR05G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQWRANARVVGRIGGHEDEERSAAVSVVLAHGYGASQAVWDKLVPSLSLNHNLLLFDWNFTSTGAGAGDEAAADEVYTFGRFADELIAVMEESGVGDSGAVVVAHSMSAMAACIAAAKRPDLFAHIVLVCASPRYINSEVEGYVGGFEEKAIHAMLGAMESDFPAWVESFVPNAAGDASAVQHLLKSFLAMDPGVALNLAKMIFLGDQREVLDGVRTPCTIVQVKADFAAPPAVAEYMHHRIAATKAAVEIIDSVGHFPQLVAPEKLLDILDGVLRLREAAVEHDDAGAVEIDGGIDVAI >LPERR05G23500.1 pep chromosome:Lperr_V1.4:5:20489416:20490274:1 gene:LPERR05G23500 transcript:LPERR05G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVACRSGGVWGVTRSAGGVLLEILEPYADAAIRAGQRGGHPHRQHQILHRRRMSPACSWRRRTPLDFTHQIKARCRPWRHPPTTAASEFRYVSVVDEAVIRGALLLSHPSQARFLEDCYDFWYHRHS >LPERR05G23510.1 pep chromosome:Lperr_V1.4:5:20499418:20503896:1 gene:LPERR05G23510 transcript:LPERR05G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAAPTQEAASQATGTAAVESSMGGGDGGGERRSRFRRICVYCGSAKGKKPSYQDAALDLAKEMVERGIDLVYGGGSIGLMGLVSNAVHDGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVDEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELESGITSS >LPERR05G23520.1 pep chromosome:Lperr_V1.4:5:20505579:20507448:1 gene:LPERR05G23520 transcript:LPERR05G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFLKEEAEKTVIILDFKISNILYDEDTRGIQHKATDFRLARDGPDSDGTHASTGVLGSYGYGAPEYIFVY >LPERR05G23530.1 pep chromosome:Lperr_V1.4:5:20509786:20514681:1 gene:LPERR05G23530 transcript:LPERR05G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQRLFLLSVIGQGFSPNSMGAGRSVPARDNKESGGCWGWRRSNRAMSSTASSSPHYPAHPQPEIENSVYNGNIAGGEPFLPEDVFSGSISPSLVAKDDQLRRFSYYDLICATGHFRPDNFLGIGGFGPVHKGWIRETGTSPARHGTGLPVAVKTLSSQGMQGHPEWVAEIHYLRTLRHPNLVKLFGFCIEGNQRQLVYEFMSRGSLDKLLFNVDSVPPLPWNIRVKILLGAAKGLAYLHEETETPVIFRDFKTSNVLLDEDYNAKLSDFGLARDGPEGDRTHVSTQVVGTHGYGAPEYVMTGHLNTKSDVYSFGAVVLEMLSGRKAMDKSRPMEEQNLAEWARPFAIDRERFHKLIDRRLGSKFSITGSQMLARLGHNCTNLDPKSRPIMSKVVTTLETIINLDDMATNTNLYHQMLAERAHANANANLLPASPTLVVGSSSANSQPSSPRTMRSPARGPNPRRSTSSPYGPHGPVHSASPFRPFRQERMPPSSWRRPGA >LPERR05G23540.1 pep chromosome:Lperr_V1.4:5:20515721:20521111:-1 gene:LPERR05G23540 transcript:LPERR05G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSSIVFPKPKWRARRKKEHAFLCLSHTLHCKAPSPSSAGRLLFLDHPKTRSGLFLVCVSIVEVDNELTGESDPRTVAMGNLFCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWFIGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQSAATTH >LPERR05G23540.2 pep chromosome:Lperr_V1.4:5:20515721:20517444:-1 gene:LPERR05G23540 transcript:LPERR05G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWFIGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQSAATTH >LPERR05G23540.3 pep chromosome:Lperr_V1.4:5:20517447:20521111:-1 gene:LPERR05G23540 transcript:LPERR05G23540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSSIVFPKPKWRARRKKEHAFLCLSHTLHCKAPSPSSAGRLLFLDHPKLLAKAIRGL >LPERR05G23550.1 pep chromosome:Lperr_V1.4:5:20523142:20524389:1 gene:LPERR05G23550 transcript:LPERR05G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKQGGGSKAGGHDHQDQEIDPKFEWLDNANNFLLRLTLTGFKKEDFRVQVDGTGKLTVRGQRSSAGAGAGNKQHNIRFHKVFQLPSNANIEDITGRFEANVLTITVPKRPASSATVQDIKQQQQHKDDEAARKKKQQLQEEEDAKKKKLQEEEEAKKKRQLQEEEEAKKKKLIQEEEEARKKKKLQEEDDEARRKKKMEEELQLGRKKKLQEEEEDESRKKKAQQQKKEEEEHYIIAKKRSGSQQEQKNVVDREESMVEKVKRRAEEERAKAAAAAEERTATALNGWRERVAEELERIGEMRWVDGAVETVRKNKEVIATAVAAFSIGFFLSQKIFCRTR >LPERR05G23560.1 pep chromosome:Lperr_V1.4:5:20523553:20528053:-1 gene:LPERR05G23560 transcript:LPERR05G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAAATSPSPAWSPFPPSSSPPAARLPRRPPATCRCYYHGDGGGFRKSYEHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGVHEGPAGYSMGMGMGSMSMMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADCLNRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSRGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSKIFWERKKPMEKAATAVAITSLFFLTVSTAPSTHLISPILSSSSATRSLHPFNAVAVLSSAAAAAFALSSSARRFTFSTMLSSRSTTFFCSLLLFFLLLLLRLLLPRLVFLLLLKLLLPAQLQLLFHLLLPPRLVLLLLGIFFLLKLLLLLPGRLVVLVLLLLFDVLDGGGGCRALGDGDGEHVGLEAAGDVFDVGVRWQLEHLVESDIVLLIAGAGAGG >LPERR05G23560.2 pep chromosome:Lperr_V1.4:5:20524988:20528053:-1 gene:LPERR05G23560 transcript:LPERR05G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAAATSPSPAWSPFPPSSSPPAARLPRRPPATCRCYYHGDGGGFRKSYEHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGVHEGPAGYSMGMGMGSMSMMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADCLNRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSRGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSDCPAGQSTGDAAIREAASSRSWAMVDSKRTQID >LPERR05G23570.1 pep chromosome:Lperr_V1.4:5:20530659:20549408:1 gene:LPERR05G23570 transcript:LPERR05G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQIGGGKVADPNPKGRLDHVAADKDRPSSKRNRRRHKKAAASAVAVAAPCPSLPPVPAMQSLFDTSKEFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDSGSNTLEASDGARLAKVNTDAVFNASAETTVLYPENGGNLHCFTAITPCAVLDVMGPPYNRADGRDCSYYDESPYMSSSGRDARYSWLKENHSMFEMKGVQMPQRRFLKVIMYRIRSVWFVFGLVNREAKIILFASSRKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRIRGREVILATDESLFSVTTTDNLYADKRGGAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDIKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFRAIPIKQSIIRAYGRVDPDGSRYLLGDNTGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADTSGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAISDLLVQVPFVLNYIFVAFLVTANSVRLVSCTSRELVDQWNAPEGYSVNVASANASQVLLATGGGHLVYLEIRDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELKRKETLGGEIVPRSVLLCTLEGISYLLCALGDGHLFSFLLNASSGDLSDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDPYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRSKMEEVSKGMGVPVEELSKRVEELTRLH >LPERR05G23570.2 pep chromosome:Lperr_V1.4:5:20534113:20549408:1 gene:LPERR05G23570 transcript:LPERR05G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTWRGEVPASLLLTSSRTLSTLHFPAAAETAAEMSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDIKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFRAIPIKQSIIRAYGRVDPDGSRYLLGDNTGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADTSGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAISDLLVQVTANSVRLVSCTSRELVDQWNAPEGYSVNVASANASQVLLATGGGHLVYLEIRDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELKRKETLGGEIVPRSVLLCTLEGISYLLCALGDGHLFSFLLNASSGDLSDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDPYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRSKMEEVSKGMGVPVEELSKRVEELTRLH >LPERR05G23580.1 pep chromosome:Lperr_V1.4:5:20551521:20557329:-1 gene:LPERR05G23580 transcript:LPERR05G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPRDDAAATDPSAPPPPAADKDKPKKGGKKGKKGAKAAVPDDDDYEPPAPPPPADDEFEPINLVFTGKKKKKKGGGGGVSSFSAFDALAADEDEGEAEADEEEAAAAEDEDDDLDFDFSKAKKKKKKKDKGVRSAPAEDDDFDLDKPADEEDDEEETMAAAAAAPKKSQKKKKKKGGFNMDDEDMDKLLAEIEEDPSPPTEEAEPVAAPAGDDALGKKSKKKKKKGGFTLDDEDVDKIIAEFEDQTPPVEEPEPVKDESNVAAATTVDDAEGKESKKKKKKSGRTAQEEEDLDKLLAELNEAPKPAEKEEVPPQAPPAAAVKEDTETAEDGNAEQKGGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLRKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQPQEVNEANNDEEEYVLVDQESQSQVEESEEKTEPDQDVEEMKPEEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEAKPVVKKAVEPAQKQENSKTQSTAATVKKVGPQVADSNKGEMEDGESGNGNVRRNRGASKKGAVKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLRSRDAVFIVALNKVDRLYGWKKCPNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQGPIITTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDVATKGQKVAIKIIGSNPDEQQKSFGRHFEMEDELDDLSMDDWKLVVKTVGRPDRIAARLKVY >LPERR05G23590.1 pep chromosome:Lperr_V1.4:5:20557900:20561831:-1 gene:LPERR05G23590 transcript:LPERR05G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPTRHLTDSWAPFLLLLYPPSSAPHATPDPIPKSLLLSASSPLSGRRRHRRAIVVVVGGGGEARQGELARSTDRIELAPSPTACSPSPPRLHSTPPPPPCRSPPPSLTSPPPPLPMEDVAVPAAPTLSSHAAAGLTLFAAAAVAEAMEEALGAALPPPVTAVPGDEDNACGSPCSVTSDCSSVASADFEGFAELGPALVAGSDDLTAAVVVAEAADPPRAVGATARSVFAMDCVPLWGLESICGRRQEMEDDYVIVPRFFNLPLWMVAGDAAVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRKRIHAVLTEELLRAEEDASGSDLSGVESKKLWEKAFMDCFSRVDAEVGGNAASAAQPPIAPDTVGSTAVVAVVCSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCEAARKRILLWHKKNAATASTSSAQISGESSDPAAQAAADYLSKLALQKGSKDNITVVVVDLKAHRKRGNDA >LPERR05G23600.1 pep chromosome:Lperr_V1.4:5:20563414:20567914:-1 gene:LPERR05G23600 transcript:LPERR05G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPNPGGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGRYPTMSPLKDIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIVSKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKEELEKLLQEQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPAFLSAVLAPSAKGEKKVRGILEELCGNVHSIYWKSEDDVGVPGLGGETESHPYVSFTINFV >LPERR05G23610.1 pep chromosome:Lperr_V1.4:5:20568594:20570113:-1 gene:LPERR05G23610 transcript:LPERR05G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKPKPPPPPPPEARKSFMRRMIPFFLAANVFVGVYLLVRTYQKEPGKKDTGTDPTSAASTASSATAEKPAEPSAAPIKVLPPIPEDEQRLLYKWMLEEKRKIKPRDAAEKKRIDEEKALLKEVIRGGTLPSL >LPERR05G23620.1 pep chromosome:Lperr_V1.4:5:20571577:20581827:-1 gene:LPERR05G23620 transcript:LPERR05G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIINYGVSVGNKSSPRTMSIEKAQEELRQEFDVREEHKRELEFLEKGGNPLDFKFVHVASVSVHSTSLTDQIVEQNVISDAKGSFAYAASPHGDSVESNGKPGSSSCRETNTADNLMLFHGDKNDVADDKIVKRGTKRTNAAQSKQPLPTGDHNNAKQAEDSVLSRLGAKSQAYVRRNRSKPSREIASVKSPVVSAKNSEPKDTKGVIQEKQADGHGACSVSGLKQAGQKHENTKSTAADEQVAMELDGIQSIRGSHCLVNNKATPGDGSSRAIEVLPNTNGNQQHRGCGEVVAAGESVETPDSTSKVILKTSNSSSVSTHHASETCSHDEKVDDGQMDKGMTSIHVGELDNSDIGPVCAVESGTLFTNTVDPHRKETIDMPNNHADDKINRVDLKIVGEPQEDMDTSRLCNKGIKESGQLEDISWCTSVKENSNYVQPEVSTTVHVKDESEACDSVVISQMDIVRPSPGHSMNNEKSPGSEGRESGIGNSSSVHPIIVDPVLPKNPLPEKSGSNTESEIKRSGENLDKLAQKEHEDSILKKARLIEVNLKRAGERSIYNMSLEKRTKDHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVASKGRAKFEEASIQRKHKAVTRSLVKGIMGFWRSAEALRTTCRTAVTQEHDSHMLEKAKRTDMEAEKSQGNESSETEELTYPHQSRIQDYAVKFLDYNSRTSGSVVLAEAPPTPDRLNDFGTLKVSDVLSEGSFFYTVAPSAMQAYRESVESLSVHHKKASYTGLKDDYEVSGCDSAADLARDNVYEDEGETGTYLSEAYDGGLLSRMGHKKHLGQKRINSARSYEISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPFPAGVGGSTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVFSKANKKKKLKNPGYKTTQNAANSSALASGKGRIYDQRSQADYFTQYDQKDFLKKKSDSEQFDSKWNIAAHGGQHALKKLKLMRQGIDISQETSPVTSQMSNMANSAKIIKIITNRDRGRKGKALKVASSGGWSNFEDQALVVLVHDLGKNWELVSDAINSIVQFKGSARQLFQRLQGPIEEENLKAHFEKIILLMRQLHSRRRKGNSQELKSAMQPHSSHIAALTQACPNNLSGGVLTPLDLCDTISSNLDAPGSGYQSSHTNGLTPPNQHGFNGPTTPNSTLNSARLTGSPGTVLGNNLSPSSPFGAPARDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLPQTGGSVSGAFPPGADRGARVMAGGMGMVTGLSRGMPAATAGFPRHSSPGMPNVVSPGNGLANSGQGASNAVNVHPGPMSAPGNPTLRPHNPMQVPHTSTYLFLGKLEKYEIYNILKNMEEHRQIMMPEFCMQVSQGNTQAVSSMNQSFSNAASSSPVQSFPIQQHQQTHQISQSPHMFGNPQHHQIQGTSHSNPQQQTYAMRLAKERNIQQRMFPQQQNDLPGASAVPSVQNSLQGQQKKQSPAASSAPSSQPQHQLQQAAQNPPDSYALPNQPTNATQHKPKKSQQQPRQSQQQRNQGSQQAKLMKSLGRGNMLIPQIAIDTNPTNAVSASSKKQVSENKLIQHGQGTLPGNKTSNLSIPHLANQHKLYSSPLPQSPKQLPDIGNQGVPQGSPSHALLTSQQLPLHSKSPLTTQQQQRQVNSSQNSIRRIMVHQNLQTSSDCRTDSQIIQVQNNQIIPAPSIPCSTESGSPGLSSISQRKQEVSNETAVNSTSAVLSSPQDTIAGNDRSLPSSSQDVLERQMPGVLPMYGGQWHQEQQSKQQLQPPNQQRPVVQDNVYAPLNPGPG >LPERR05G23630.1 pep chromosome:Lperr_V1.4:5:20583954:20597984:-1 gene:LPERR05G23630 transcript:LPERR05G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKNASGLSGGTGDVGSVLQRADTTATSELAFASFDINRVFEFGSPLLASGGQEYDIANDNGKNPADRLARQKQNLRRRLGLDVCEQFMDFNDVFKDEDLLAQKNYWGANVQNNGLYSFNAGQNIQHLVASMVPKYPKHSNFRPRRLSARELNMLKRKAKSNAKDHTKTLPEDDDLALKSSGPSNGASSDQDTFDATADEDNLDYSENGRWPFQQFVDQLILDMFDPIWEVRHGTIMALREILTHQGACAGVYSPDLNSPFADLDDRNNLDSLKRAHDIDLNEDIDSVQLEPVMKRQKKEESNPEVMEHLDKVPSNSDYSKTEASLSTEPTIISGEPNLAHPKVESAFQVDGSADPSKVDSHYTPLRETPNSMPKPSSITHLPENSKFIKLMRLADYSAIKNWQFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLRRQEWEVRHGSLLGIKYLVAIRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAASSLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTSIGEGNEFDLNSATLVASEEKLRFNENPYVLATLTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRSSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAARSYFSNWVQLATTPYGSALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTIMISFGSTGENTSHEKHSEVSLSVSKIIVGSDSDKSVTHTRVLTAMALGLFASKLPVGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVGVLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYKKMRNEANNLFQSIDSSAFLKDYTSNLNFNADMLSVDDAINFASNIFLPSEPDLHSDSDKIVLNSIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELILSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGEDRSKLEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLIPVKAGDDLTKEDPNIAQLGRSCEDKEPQPLINNIQVVRSVTPHLAEPLRPQLLSLLPCILGCLCHPHVAVRLAAARCITSMAKSLTVNVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAMVAADIAESRARNDEHDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRPQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQGTYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSPLQLKLYDKFSSSNAKQEISTIVKENESEQSISQPKATRHVFQALQYLLKLCSHPLLVIGESPPDYLVDFLKEIGMGSGDELHELHHSPKLVALQEILQECGIGSEISSPDASSAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKATVLASSSSGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >LPERR05G23640.1 pep chromosome:Lperr_V1.4:5:20609020:20609915:-1 gene:LPERR05G23640 transcript:LPERR05G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPDRKRKRAPAAVARLVRVRLGEKRSEGPAVYMVLAHGAEEDKPTYSIVEVTAGATVSRLVHTYAGSMSFVAVGKQIVGVGEDKTSVYDPKTSTEIRVYSKQGKEGTCAFDLDAEKQWEMVHDKNLPFTGQAVPLGHHRFVASKADGGEPAVYYIEVFHPDVTGTGKKELSIVELQVASNRLVPGHLLCAMGKGSFSSSPEKLYRARIVHRTYSHKPEDQDPQVYKLLDPHAFLPHPCWPVAVLTM >LPERR05G23650.1 pep chromosome:Lperr_V1.4:5:20610597:20611719:1 gene:LPERR05G23650 transcript:LPERR05G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSKKRKRRIKKHKEEGSRSPSLYLMVGHVVAVPAYSVFKVNPPLLIADDDGDDPPPLPPHLTRLAAKHCMTFVSVPSRRWIVGVGGNRTHNYGPETIVFDTKLGKAISGPKLLSTKIYAPCRTSHRPKDLRLGRHACRHGGRHQFRALVRGRAIPHDGIGLFPGLSFFTMRITAFRIKLSASDNTTPTVSVDAIDVVTDDDVVSSGTLISLDYPHKPGFCAFTWCNDDPLSFSPLPDHTRELLTITSYSFEGPLSRHYLDSTRGLAVTKRGEQVYTICDPVRELFSPCLVAAISL >LPERR05G23660.1 pep chromosome:Lperr_V1.4:5:20614987:20618621:-1 gene:LPERR05G23660 transcript:LPERR05G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFCHSKSFLHRDIKPDNFLMGLSKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPVANNNRLSATEEGRKSGWSDLDAMRRQGPPPAINVGSLAKQKSPIRHEQSTSKDPMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSAARWSPQVLDPSDNRRTSSGRHPSNSKNYENTIRGIQGLNFDGDDRIQY >LPERR05G23670.1 pep chromosome:Lperr_V1.4:5:20618890:20619950:-1 gene:LPERR05G23670 transcript:LPERR05G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISACTCWPPLHINAALDSIADVLLHVAAGLASTLIADLDEASVSIDNEMTRLSKKKRSTEGKRGAPLLCEGKTVSVPSILQSDT >LPERR05G23680.1 pep chromosome:Lperr_V1.4:5:20621186:20622728:-1 gene:LPERR05G23680 transcript:LPERR05G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGVVCLLLLLQVLAAASAGGGRWQEFLRLPSDSDERSDGTRWALLIAGSSGYYNYRHQADVCHAYQIMKKGGLKDENIVVMMYDDIAHSPDNPRPGIIINHPTGADVYAGVPKDYTGNDVNPNNFLAVLLGNRSALTGSGSGKVISSGPNDHVFVYYADHGGPGVLGMPGEEEVYLYANDVVKALKKKHEGKGYKSLVMYVEACESGSIFEGLLPSDIGVYATTASNAEESSWGTYCPGDDVGAPPPEYDTCLGDLYSVAWMEDADAHHNTRTAPETLRQQYAAVRDRTSANGTYVFGSHVMQYGDLALAPQSLDLYYMDTSTTSTSADVDEYLGVRGSANQRDADLVYMWRKYRKSREGTAEKAEARERLLREMARRSRLDTTVEKITGELMIGRRQFIRRRAAGQAVVDDWDCLKSMVRAYEMHCGSLGQYGMRHMRSFADVCNAGVQHHQMAEAASQACTTLLPNW >LPERR05G23690.1 pep chromosome:Lperr_V1.4:5:20623952:20626774:-1 gene:LPERR05G23690 transcript:LPERR05G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVGWSEGALVWVRRPNGSWWPGRIISLVDVPEHCPAPPKAPATPILLLGRRQGPTFVDWCNLERTKRVKPFRCGESEFDHCITKAQAQHALRRTTTTGKEDAILQALQIESACSSPFQDDAPAHTINPQPPSPPKRKKRKTPNDSDDDALPRMRHLSDIGSAAFSHQLPSACPLKRSRHSHATTAKRNQPQHQVYATLRNKDRSRPLSELCNGDMWNGLKPNGRGADQQHSVDAAGCSSTSSATSSLDAVMDKFSSRSNGASKKGQSKGAQISCMTRLPADDFSHGNSFATTSLPAASLLEPDHLKVCQPPTLTKDPVCKRKRQGTNCSKASVSSPCDLRNFEKQVLSSADRGSNNGERNALETGYHKSRAVKHKASINEVILLEEKVGKSSLNKPTGPDDGKRLAVFPTGLDSDGALKQQCSEVKHEHEESCEILSIPSNCENVSSSSLVFELPLQVLPPEQKSPGPARCRAVKPTKTLQLNPILYDVELSGNGSTNKGRCVPLVSLMSRWNRRPVVGYPVSVEVSDGVCFLPASVINDHGPPTSIVNGLQKNDEASSPERLRSRTGGAEPKSRRKMSELEMDRSWRPHTKNHVSSSRKMRKLSSFEINQRGAGDKKSILGKLSGPTVACIPFRVVFSRINEALMFQMK >LPERR05G23700.1 pep chromosome:Lperr_V1.4:5:20627333:20628199:-1 gene:LPERR05G23700 transcript:LPERR05G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSYDREFWQFSDQLRVHNFSSLSIADSIWSPAPAAAPLDNSNKLAFSNATTNAADRYNNIINNKSSSSYLFPSQQLLNNATAKTYFDKSVGRPAKNNNNNTVFVNDGKKKGIDGGRNNNSNKNNNKNENKSISNRFKSLPASESLPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPAAWEDSKCPGESRFPAQVRVATRKICDPLEEDAFRPVLHHYDGPKFRLELSVAEAVSLLDIFAEKLFA >LPERR05G23710.1 pep chromosome:Lperr_V1.4:5:20629486:20632633:-1 gene:LPERR05G23710 transcript:LPERR05G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRLLESSSSSPTTTMAKEMAVRHHLLPQGDGPRGKTAHNLSSSSLRKRSDASLVRKVPCAALRSLLANLNEVLLGTKLFLLFPAVLLAIAATFLHSGQVWVFVLSLIGLVPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIALCALREGKIQVVKCSLLGSVLSNLLLVLGTSLFLGGIANLRADQPYDRKQADVNTGLLMLGVLCHSLPLMLRYAVTSGEHAVVSGSAALDLSRACSIVMLIAYLAYLFFQLKTHRQLFEPQEVEDDDDDLVISQDEPVLGFSSAMIWLALMTLVTALLSDIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPLSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLLLLLCYAVISVCFFVIRRPSAGVV >LPERR05G23720.1 pep chromosome:Lperr_V1.4:5:20634707:20639597:-1 gene:LPERR05G23720 transcript:LPERR05G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGTILLLFAFAAPLLCSAADGDVSVSFSKAPPRLSRSTSAAFAFQVVDSNGGPCQDCAITCKLDGERESGCGRNGNNGTTVVMSYARLKDGNHTFAACAEKTRGRRRQEPTCANYAWDVDTIAPTASVTAESGFTSASNVSVLVSFSEPCPGAGGFTCNSTYCDLIVYGPGRVEPSTLQVLRPGLHYSVDVTISPELPYGRLFLVMARGFCTDAAGHHFIRTANSTFTLRFDRRSDSMSIGSSIPEKMLQIEGATRVVEATNDDRELKIYLSFAEPVMNSSLEILAALSATDAVLTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGSSIISRQGTPVFSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFSSSAVKIQAVDNLVSVQVAENSAQDVAGNTNLASDSLEVRHYSVPASSSLIAIVTTVIFAVTAAIATLLTVSTSSLLASGAIQRPSSYIISEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSELLDRSAVAGRPSHPAGVAMPMPMPSSIEQQQSPLEGKPLTAMEYRYLLENQDMKPEAQIIMKLQDLDGWKYLFRNIFWLAVIGGAIVLLHAAVLVYLKVRHYHRRRVRRRENDGGEAVIGYGALVLPRAELMVAVLAMPCVSQAAAALIRGGTTAGLGVGIVLTGVLTSFMVALVLFLSVGVTTGRLLQYKEVRHESSSGAYHQEIARRTLGPGKRGQWTWKDPGRATWLVKLGPLFEDLRGPPKYMVSQIAAAKASSSAEERIMASEDENEDTEAPLLQKVFGILRIYYTVVESVKRVALGIVAGAHASSDRSSRAHAVVVLAIASFQLFFILLKKPFIRKRVQLVEIVSVAAEVFVFAACLRLIDDTTAAGDVDASNGLGLAMLGVFALAIAAQVGNEWNALYRQVRMLSPDRSSFTEGAKAAWVGLLLLVLPSSALGDRLDRMKQQQQPAAGTAAQLGGGVEAQRSWLGQLREMAKASFSRDGGGGDQASGSRLGLGKKQAESMSSVASSSDSKAKPDQWSSKSKGLYKDLEAIFSDR >LPERR05G23720.2 pep chromosome:Lperr_V1.4:5:20634707:20639597:-1 gene:LPERR05G23720 transcript:LPERR05G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGTILLLFAFAAPLLCSAADGDVSVSFSKAPPRLSRSTSAAFAFQVVDSNGGPCQDCAITCKLDGERESGCGRNGNNGTTVVMSYARLKDGNHTFAACAEKTRGRRRQEPTCANYAWDVVTVVNKMAKFADTIAPTASVTAESGFTSASNVSVLVSFSEPCPGAGGFTCNSTYCDLIVYGPGRVEPSTLQVLRPGLHYSVDVTISPELPYGRLFLVMARGFCTDAAGHHFIRTANSTFTLRFDRRSDSMSIGSSIPEKMLQIEGATRVVEATNDDRELKIYLSFAEPVMNSSLEILAALSATDAVLTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGSSIISRQGTPVFSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFSSSAVKIQAVDNLVSVQVAENSAQDVAGNTNLASDSLEVRHYSVPASSSLIAIVTTVIFAVTAAIATLLTVSTSSLLASGAIQRPSSYIISEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSELLDRSAVAGRPSHPAGVAMPMPMPSSIEQQQSPLEGKPLTAMEYRYLLENQDMKPEAQIIMKLQDLDGWKYLFRNIFWLAVIGGAIVLLHAAVLVYLKVRHYHRRRVRRRENDGGEAVIGYGALVLPRAELMVAVLAMPCVSQAAAALIRGGTTAGLGVGIVLTGVLTSFMVALVLFLSVGVTTGRLLQYKEVRHESSSGAYHQEIARRTLGPGKRGQWTWKDPGRATWLVKLGPLFEDLRGPPKYMVSQIAAAKASSSAEERIMASEDENEDTEAPLLQKVFGILRIYYTVVESVKRVALGIVAGAHASSDRSSRAHAVVVLAIASFQLFFILLKKPFIRKRVQLVEIVSVAAEVFVFAACLRLIDDTTAAGDVDASNGLGLAMLGVFALAIAAQVGNEWNALYRQVRMLSPDRSSFTEGAKAAWVGLLLLVLPSSALGDRLDRMKQQQQPAAGTAAQLGGGVEAQRSWLGQLREMAKASFSRDGGGGDQASGSRLGLGKKQAESMSSVASSSDSKAKPDQWSSKSKGLYKDLEAIFSDR >LPERR05G23720.3 pep chromosome:Lperr_V1.4:5:20634707:20639597:-1 gene:LPERR05G23720 transcript:LPERR05G23720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGTILLLFAFAAPLLCSAADGDVSVSFSKAPPRLSRSTSAAFAFQVVDSNGGPCQDCAITCKLDGERESGCGRNGNNGTTVVMSYARLKDGNHTFAACAEKTRGRRRQEPTCANYAWDVVTVVNKMAKFADTIAPTASVTAESGFTSASNVSVLVSFSEPCPGAGGFTCNSTYCDLIVYGPGRVEPSTLQVLRPGLHYSVDVTISPELPYGRLFLVMARGFCTDAAGHHFIRTANSTFTLRFGWYPPFFCMPSANRRSDSMSIGSSIPEKMLQIEGATRVVEATNDDRELKIYLSFAEPVMNSSLEILAALSATDAVLTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGSSIISRQGTPVFSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFSSSAVKIQAVDNLVSVQVAENSAQDVAGNTNLASDSLEVRHYSVPASSSLIAIVTTVIFAVTAAIATLLTVSTSSLLASGAIQRPSSYIISEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSELLDRSAVAGRPSHPAGVAMPMPMPSSIEQQQSPLEGKPLTAMEYRYLLENQDMKPEAQIIMKLQDLDGWKYLFRNIFWLAVIGGAIVLLHAAVLVYLKVRHYHRRRVRRRENDGGEAVIGYGALVLPRAELMVAVLAMPCVSQAAAALIRGGTTAGLGVGIVLTGVLTSFMVALVLFLSVGVTTGRLLQYKEVRHESSSGAYHQEIARRTLGPGKRGQWTWKDPGRATWLVKLGPLFEDLRGPPKYMVSQIAAAKASSSAEERIMASEDENEDTEAPLLQKVFGILRIYYTVVESVKRVALGIVAGAHASSDRSSRAHAVVVLAIASFQLFFILLKKPFIRKRVQLVEIVSVAAEVFVFAACLRLIDDTTAAGDVDASNGLGLAMLGVFALAIAAQVGNEWNALYRQVRMLSPDRSSFTEGAKAAWVGLLLLVLPSSALGDRLDRMKQQQQPAAGTAAQLGGGVEAQRSWLGQLREMAKASFSRDGGGGDQASGSRLGLGKKQAESMSSVASSSDSKAKPDQWSSKSKGLYKDLEAIFSDR >LPERR05G23720.4 pep chromosome:Lperr_V1.4:5:20634707:20639597:-1 gene:LPERR05G23720 transcript:LPERR05G23720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGTILLLFAFAAPLLCSAADGDVSVSFSKAPPRLSRSTSAAFAFQVVDSNGGPCQDCAITCKLDGERESGCGRNGNNGTTVVMSYARLKDGNHTFAACAEKTRGRRRQEPTCANYAWDVDTIAPTASVTAESGFTSASNVSVLVSFSEPCPGAGGFTCNSTYCDLIVYGPGRVEPSTLQVLRPGLHYSVDVTISPELPYGRLFLVMARGFCTDAAGHHFIRTANSTFTLRFGWYPPFFCMPSANRRSDSMSIGSSIPEKMLQIEGATRVVEATNDDRELKIYLSFAEPVMNSSLEILAALSATDAVLTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGSSIISRQGTPVFSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFSSSAVKIQAVDNLVSVQVAENSAQDVAGNTNLASDSLEVRHYSVPASSSLIAIVTTVIFAVTAAIATLLTVSTSSLLASGAIQRPSSYIISEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSELLDRSAVAGRPSHPAGVAMPMPMPSSIEQQQSPLEGKPLTAMEYRYLLENQDMKPEAQIIMKLQDLDGWKYLFRNIFWLAVIGGAIVLLHAAVLVYLKVRHYHRRRVRRRENDGGEAVIGYGALVLPRAELMVAVLAMPCVSQAAAALIRGGTTAGLGVGIVLTGVLTSFMVALVLFLSVGVTTGRLLQYKEVRHESSSGAYHQEIARRTLGPGKRGQWTWKDPGRATWLVKLGPLFEDLRGPPKYMVSQIAAAKASSSAEERIMASEDENEDTEAPLLQKVFGILRIYYTVVESVKRVALGIVAGAHASSDRSSRAHAVVVLAIASFQLFFILLKKPFIRKRVQLVEIVSVAAEVFVFAACLRLIDDTTAAGDVDASNGLGLAMLGVFALAIAAQVGNEWNALYRQVRMLSPDRSSFTEGAKAAWVGLLLLVLPSSALGDRLDRMKQQQQPAAGTAAQLGGGVEAQRSWLGQLREMAKASFSRDGGGGDQASGSRLGLGKKQAESMSSVASSSDSKAKPDQWSSKSKGLYKDLEAIFSDR >LPERR05G23730.1 pep chromosome:Lperr_V1.4:5:20642548:20650770:1 gene:LPERR05G23730 transcript:LPERR05G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPISSGAAVQKFVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLIGLKIFVPITILAFLVLVPVNWTNDTLETMKVVSSDIDKLSISNIPYGSKRFITHLVMAYAFTFWTCYVLLREYEIIATMRLRFLASEQRRPDQFTVLVRNIPLDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMRNWLDYYQLKYERNQSTRPTTKTGFLGCFGSEVDAIEYYKTEIEKIGKEEADERKKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLTFFYIIPIAFVQSLASLEGIEKMLPFLKPLIEAKVVKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLVSQSSLERRSASKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPKTIGLAIPMKATFFITYVMVDGWTGIAGEILRLKALIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLAYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQRYESGAQFWPSVHGRIITALIVSQLILIGLLSTKGFEESTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFRSDEQDDKMSISEDVGMEEVIVPTKRQSRRTTPVQSKYDGSDTLSLPETVHER >LPERR05G23730.2 pep chromosome:Lperr_V1.4:5:20642548:20650770:1 gene:LPERR05G23730 transcript:LPERR05G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPISSGAAVQKFVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLIGLKIFVPITILAFLVLVPVNWTNDTLETMKVVSSDIDKLSISNIPYGSKRFITHLVMAYAFTFWTCYVLLREYEIIATMRLRFLASEQRRPDQFTVLVRNIPLDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMRNWLDYYQLKYERNQSTRPTTKTGFLGCFGSEVDAIEYYKTEIEKIGKEEADERKKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLTFFYIIPIAFVQSLASLEGIEKMLPFLKPLIEAKVVKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLVSQSSLERRSASKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPKTIGLAIPMKATFFITYVMVDGWTGIAGEILRLKALIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLAYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQRYESGAQFWPSVHGRIITALIVSQLILIGLLSTKGFEESTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFRSDEQDDKMSISEDVGMEEVIVPTKRQSRRTTPVQSKYDGSDTLSLPETVHER >LPERR05G23730.3 pep chromosome:Lperr_V1.4:5:20642548:20650770:1 gene:LPERR05G23730 transcript:LPERR05G23730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPISSGAAVQKFVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLIGLKIFVPITILAFLVLVPVNWTNDTLETMKVVSSDIDKLSISNIPYGSKRFITHLVMAYAFTFWTCYVLLREYEIIATMRLRFLASEQRRPDQFTVLVRNIPLDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMRNWLDYYQLKYERNQSTRPTTKTGFLGCFGSEVDAIEYYKTEIEKIGKEEADERKKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLTFFYIIPIAFVQSLASLEGIEKMLPFLKPLIEAKVVKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLVSQSSLERRSASKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPKTIGLAIPMKATFFITYVMVDGWTGIAGEILRLKALIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLAYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQRYESGAQFWPSVHGRIITALIVSQLILIGLLSTKGFEESTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFRSDEQDDKMSISEDVGMEEVIVPTKRQSRRTTPVQSKYDGSDTLSLPETVHER >LPERR05G23730.4 pep chromosome:Lperr_V1.4:5:20643981:20650770:1 gene:LPERR05G23730 transcript:LPERR05G23730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPISSGAAVQKFVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLIGLKIFVPITILAFLVLVPVNWTNDTLETMKVVSSDIDKLSISNIPYGSKRFITHLVMAYAFTFWTCYVLLREYEIIATMRLRFLASEQRRPDQFTVLVRNIPLDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMRNWLDYYQLKYERNQSTRPTTKTGFLGCFGSEVDAIEYYKTEIEKIGKEEADERKKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLTFFYIIPIAFVQSLASLEGIEKMLPFLKPLIEAKVVKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLVSQSSLERRSASKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPKTIGLAIPMKATFFITYVMVDGWTGIAGEILRLKALIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLAYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQRYESGAQFWPSVHGRIITALIVSQLILIGLLSTKGFEESTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFRSDEQDDKMSISEDVGMEEVIVPTKRQSRRTTPVQSKYDGSDTLSLPETVHER >LPERR05G23740.1 pep chromosome:Lperr_V1.4:5:20654029:20658621:-1 gene:LPERR05G23740 transcript:LPERR05G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPTPAHRGPAAKEDGSTCAPSPSSLSLSKITSHLLVKKKIKNHITSASIPKLHPTPPESEPPPPPPPPSPLCTTSTAPPPTLNLLDLHFVVANFTSMESTEPLQDIMCEFRAGKMSLDGTRVTPDARKGLVRIGRGEEGLVHFQWLDRTQDLVEDDQIVFPEEAVFEKVTQSPGRVYILKFRHDSRKFFFWMQEPNADDDSQICRQVNANINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLESYLPEGPWTAADILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGEKDSESQKGGGNDPMDES >LPERR05G23740.2 pep chromosome:Lperr_V1.4:5:20654029:20658460:-1 gene:LPERR05G23740 transcript:LPERR05G23740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHFVVANFTSMESTEPLQDIMCEFRAGKMSLDGTRVTPDARKGLVRIGRGEEGLVHFQWLDRTQDLVEDDQIVFPEEAVFEKVTQSPGRVYILKFRHDSRKFFFWMQEPNADDDSQICRQVNANINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLESYLPEGPWTAADILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGEKDSESQKGGGNDPMDES >LPERR05G23740.3 pep chromosome:Lperr_V1.4:5:20654029:20658460:-1 gene:LPERR05G23740 transcript:LPERR05G23740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHFVVANFTSMESTEPLQDIMCEFRAGKMSLDGTRVTPDARKGLVRIGRGEEGLVHFQWLDRTQDLVEDDQIVFPEEAVFEKEPNADDDSQICRQVNANINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLESYLPEGPWTAADILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGEKDSESQKGGGNDPMDES >LPERR05G23740.4 pep chromosome:Lperr_V1.4:5:20654029:20657383:-1 gene:LPERR05G23740 transcript:LPERR05G23740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPLQDIMCEFRAGKMSLDGTRVTPDARKGLVRIGRGEEGLVHFQWLDRTQDLVEDDQIVFPEEAVFEKVTQSPGRVYILKFRHDSRKFFFWMQEPNADDDSQICRQVNANINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLESYLPEGPWTAADILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGEKDSESQKGGGNDPMDES >LPERR05G23750.1 pep chromosome:Lperr_V1.4:5:20658434:20661187:1 gene:LPERR05G23750 transcript:LPERR05G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAHKCSHPPLLLGLDGLEWASLIKYLNGPYKSPLESTKAELARVFSSFPSRLLPPVQKPPIDADADADAASFSGAPATRRRPRGASCVRNLSTPPEYRMATGGPGLESLVDQIISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEELDARLDLTKLRAHPLKPVIH >LPERR05G23760.1 pep chromosome:Lperr_V1.4:5:20660472:20661044:-1 gene:LPERR05G23760 transcript:LPERR05G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSVALLVLVLIPFYAVARAEPRNLAQGYGGGGSNSNSSAAGVSPTNGMGWYKGMTREFVNGHNAIRARYGAKPLKWDKKLARQARRWSDTMRTDCVLRHSTGSDFAESLYIGRSGWKAMAHNAMDTWAGNEERIYDPVTRACKDGLAFKACGHFAFMVDPRFTRMGCARSECFNQAGVFITCNYYF >LPERR05G23770.1 pep chromosome:Lperr_V1.4:5:20661292:20661838:1 gene:LPERR05G23770 transcript:LPERR05G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSTIFLDLTWLAGWLAIRLYQIVPAAPASSTSFQQPTDGMFALFWVACCRLRSNPLFSLLTPLQQRTRPQLVNYASPLFCCWPVMLLLIKLSVRHFDTCYTTPYKYSSIIN >LPERR05G23780.1 pep chromosome:Lperr_V1.4:5:20666241:20670306:1 gene:LPERR05G23780 transcript:LPERR05G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVVDNLDNASEVALLRVRELAAHNANNLDFRKVDLRDKEALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDICRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEVVYAATAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSTN >LPERR05G23790.1 pep chromosome:Lperr_V1.4:5:20673114:20673749:-1 gene:LPERR05G23790 transcript:LPERR05G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVVILLLSAATIILVHPAASFRIGINMGGNNNNQEQEHDQGGDESDGPGGLHSFTGGRGSYKFMAHEFLEAHNTVRARYGMKPLKWSNKLARYARRWAAARRFDCVLMHSPGSPYGENVFWGTGWDWRAIDAVKSWAGESNYYDWRAQTCRSGQECGHFTQVVWNDTELVGCGRSECVAGGVFITCSYEPPGNYKGEVPLTGYIDD >LPERR05G23800.1 pep chromosome:Lperr_V1.4:5:20684038:20684634:-1 gene:LPERR05G23800 transcript:LPERR05G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFENKKRKMTQTEGNMIKRWRQPEAAGAGHLPSVFDASCAEAEACCATLQAVLAHGISSISVETDSSQLVLALESQQHDHASGGVIFRELKFLIHLEFASFDISFAPRSCNSIAHEPAQLGVVGTLANCRFG >LPERR05G23810.1 pep chromosome:Lperr_V1.4:5:20695332:20699262:1 gene:LPERR05G23810 transcript:LPERR05G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGVFGFAAYSQPPPDASSCCSIYTAAAMPLIADPPDILGNMMQPSLVSEYDLGGEGDLFKAPEPIIEEPVLNLDPVAAAISMMSGTENVMDDTIEVADIGDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEELPRQTEQLLVLGQVEFPAMENEKPSVPECSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAFSDGDIQNLGASTPRPGNSANIQASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEENELLKPKK >LPERR05G23810.2 pep chromosome:Lperr_V1.4:5:20695332:20700836:1 gene:LPERR05G23810 transcript:LPERR05G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGVFGFAAYSQPPPDASSCCSIYTAAAMPLIADPPDILGNMMQPSLVSEYDLGGEGDLFKAPEPIIEEPVLNLDPVAAAISMMSGTENVMDDTIEVADIGDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEELPRQTEQLLVLGQVEFPAMENEKPSVPECSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAFSDGDIQNLGASTPRPGNSANIQASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEENELLKPKK >LPERR05G23820.1 pep chromosome:Lperr_V1.4:5:20699449:20703761:-1 gene:LPERR05G23820 transcript:LPERR05G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFLPSQQSMDTADGLSTSKSNLDSPPSSRRRSWTPKRVMGAASLLHLLSIPRIRWSSSNEDDDKIELTRAEVESLRTEVADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRCIPISDLSPQESTMLGDVVEVGHLPNFVPEDGKTRYALYIMTRQGLKFECSSMCEIQVDSWVRALRGDCGLSDDGGEGRNAALATLTNMGRKTIPTAYAYHKSGAAATGSWLPTIAAISAAVYMLQGQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAVKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIALWFEPRELVSYTSNEEKWVYGVN >LPERR05G23830.1 pep chromosome:Lperr_V1.4:5:20706488:20706688:1 gene:LPERR05G23830 transcript:LPERR05G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPATGRPLCPVSIAFNATESSVEEEGEFFFDPYNQPALRSTSSGKEKIDKTPRRLQHLPYQIHS >LPERR05G23840.1 pep chromosome:Lperr_V1.4:5:20707400:20711247:-1 gene:LPERR05G23840 transcript:LPERR05G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPRLLLLVALAAMVCISAPLLLTSAASASEIQAAALVSIKDAFSPPLPPALRTSWSMASHASLCWSWHAVRCGPDNLTVVSLDLSAHNLSGALSPAIGHLQGLCFLSLAANSLAGELPPTIADLRHLRHLNLSNNQFNGTLGFSAAMTSLEVLDVYDNDLSGPLPMIIMMIPPTLRHLDLGGNFFSGTIPPPSLGQSPRIEFLSLAGNSLVGRIPPELGNLTSLRQLFLGYYNQLDGGIPAELGRLTSLVHLDLASCGLQGEIPPSLGALSRLDTLYLQTNQLNGSLPRELGNLTKLRFLDVSNNALTGDIPPDLAALTGLRLINMFINRFRGGIPDFIADLRHLQVLKLWQNNFTGAIPAALGRVAPLREVDLSTNRLTGEVPRWLCALGKLEILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPALTTVEFQDNYLTGRLHGGNSTALSLLNLSSNRLNGSLPASIGNLSSLQTLLLSGNQLSGDIPREVGQLRRLLKLDLSGNKLSGEVPGEVGDCASLTYLDLSGNQLWGAMPARQLVHIRMLNYLNVSWNRLNGSIPPEMGAMKSLTTADLSHNDFAGSVPRNGQFAYFNASSFEGNPRLCTSTSGPAVLWRGAPGGRRRPVVMWRLKVAAALGLLVLSVAFAAVAVTTRMRRRRRSGWRMRAFHKVGFGCEDVVRCVKETRCVVGRGGAGVVYAGEMPSGERVAVKRIVAAGDGGFTAEVQTLGRIRHRHIVRLLALCWSAEAKLLVYDYMAGGSLGDALHNNKQQLMMPWSARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDAHMEAHVADFGLAKYLPAGASQSMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLEEAAVAVDLVQWVRARSSGIGSGGVWRVLDPRLGGDVPAEEATHIFFVAMLCVQEHSVERPTMREVVQMLEQAKQPRPPPSPIHLHHHPPPHTT >LPERR05G23850.1 pep chromosome:Lperr_V1.4:5:20714583:20715926:1 gene:LPERR05G23850 transcript:LPERR05G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDRMRQRRVMVQVPQLMSNTSMFELPMRSLVNIARVGVYIVVVRIGTPALPYSLVLDTANELTWINCRLRRRKGKHPGRPHVPPAATTMSIDGGEFGEPPLKVIKNWYRPALSSTWRRFRCSEEDTCGRLMYTTCRSNNQTESCTYFQTTKDGTITSGIYGQEKATVAVSNGTLFKLPGLVIGCSTLEKGGAVETHDGILALGNHPTSFGVSAAMRFAGRFSFCLLATASDRNTSSYLTFGNNPAVEHHPDTMETQLIFNPDFNVGYSVRVTAVLVDGRPLDIPPEVWEEGSPNSGLLILDTGTSITGLVPAAYDALTAAYDAFLAPLLEKIDVEGFQFCYDLPKNRSITLPRLTIEMDGGARLEPDVNGVLIPDVSPGVSCLGFRRFDQGPMILGNTLMQEHIWEFDHMALKIRFRKDSCLHHPQRSKSSSSSPPSPPANHAA >LPERR05G23860.1 pep chromosome:Lperr_V1.4:5:20716636:20717838:-1 gene:LPERR05G23860 transcript:LPERR05G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAALARAGGKSAISSGSTAKFEAGKGKASVKPKPKPKRTAARGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQIRLGTPIKPTTSSVKKDKPESSKKPSLYNSADSKAKKRVDYSDDDDDFIVKLKRSRG >LPERR05G23870.1 pep chromosome:Lperr_V1.4:5:20720401:20721618:1 gene:LPERR05G23870 transcript:LPERR05G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMTASARRRLPLVAVLLSAAACAAAAQPATAEAAPETPAGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSGVPATGDGAVAATAAAAAVTGGEEGVAKAVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDADPAAALGLKYAACSARFVFGSASDRFDADPGRDLLGIFVERVPSSRMAPAAAHQQQQAPHHECLDRYNHRILVSDAVFKSRWSEINSSDLIALDNQLLRHAADLELDLELYGEAADRHRVSKEETTTDRRKLLDVDPEAAASSKGGKAAVADAARMISSGVRSMSEMVSLPRLRLADEEDQARRRWVPIARRTARWLAGREIRRQHVNDAADEAVDSPPAPHDSHA >LPERR05G23880.1 pep chromosome:Lperr_V1.4:5:20729166:20741611:-1 gene:LPERR05G23880 transcript:LPERR05G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAAKRPKLDPSSAQPPQRGEDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPSILGRASNVGAFVKRGEESGHVKISLRGDTPDHKLCITRKIDTNNKSEWQLDGTNVPKKEVVDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPLLPVMHRQLIDRSKELKNLEVAVKQKEQTLNNLKALNGELEKDVERVRQRDKLLRKAELMKKKLPWLKYDMKKKEYMEAQEQEKTEKKIMEEAAKIWEDAKGPVEGLKKKKMSHTSNTKRINNQMAENMKRRQDVTDKELQLNEQLRGTLKNIEDLKLQERSRQQRILKAKEALAAAEREFDDLQPYEAPKDEMRQLSEEIVRLNCAINELKKKRTDMESQLVRERENLRRCSDRLKQMENKTNKLLQALRCSGAEKINEAYSWVQDNRHKFKAEVYGPVILEVNIQDKVHASYLEGHVANYIWKSFITQDASDRDLLVRQMKQFDIPVLNYMGDKGMRREPFNITLEMQQVGIYSRLDQIFEAPPAVKDVLISQSHLDHSYIGTDETHNRADDVAKLGISDFWTPDNHYRWSRSRYGGHLSAFVDGVNPSHLFMCDLDVIDTERLQYQKDKHIKDIEGIDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMHEKKRQQEIRKHVDIKRRMLETIYQEEDMESSKRKFVDQAAKLNDQRFELVLKLKDLLNNAVALRRSCAQENMVSIELDTKIWEMEKDVKKLEKDAVEAAKKYEYCKRKTQEHKQQLSNAKQHAESIAIITEDLAEEFRKMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQQRQREMESISEKLEDDKGECQRCYSDIETTKGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHDLNFEQYGILIKVKFRQTGAISFNNPVPCITSRSYQLPLPGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSAACSILNIMNGPWIEKPAKAWSTGDCWRTVMSVSGH >LPERR05G23880.2 pep chromosome:Lperr_V1.4:5:20729166:20741611:-1 gene:LPERR05G23880 transcript:LPERR05G23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAAKRPKLDPSSAQPPQRGEDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPSILGRASNVGAFVKRGEESGHVKISLRGDTPDHKLCITRKIDTNNKSEWQLDGTNVPKKEVVDLIKKFNIQVNNLTQVHLQSISASVVYIPLSFYSACHDMLIQAYPFIVIRESVPDFCCFLVNSMQFLPQDRVCEFAKLTPIQLLEETEKAVGDPLLPVMHRQLIDRSKELKNLEVAVKQKEQTLNNLKALNGELEKDVERVRQRDKLLRKAELMKKKLPWLKYDMKKKEYMEAQEQEKTEKKIMEEAAKIWEDAKGPVEGLKKKKMSHTSNTKRINNQMAENMKRRQDVTDKELQLNEQLRGTLKNIEDLKLQERSRQQRILKAKEALAAAEREFDDLQPYEAPKDEMRQLSEEIVRLNCAINELKKKRTDMESQLVRERENLRRCSDRLKQMENKTNKLLQALRCSGAEKINEAYSWVQDNRHKFKAEVYGPVILEVNIQDKVHASYLEGHVANYIWKSFITQDASDRDLLVRQMKQFDIPVLNYMGDKGMRREPFNITLEMQQVGIYSRLDQIFEAPPAVKDVLISQSHLDHSYIGTDETHNRADDVAKLGISDFWTPDNHYRWSRSRYGGHLSAFVDGVNPSHLFMCDLDVIDTERLQYQKDKHIKDIEGIDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMHEKKRQQEIRKHVDIKRRMLETIYQEEDMESSKRKFVDQAAKLNDQRFELVLKLKDLLNNAVALRRSCAQENMVSIELDTKIWEMEKDVKKLEKDAVEAAKKYEYCKRKTQEHKQQLSNAKQHAESIAIITEDLAEEFRKMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQQRQREMESISEKLEDDKGECQRCYSDIETTKGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHDLNFEQYGILIKVKFRQTGAISFNNPVPCITSRSYQLPLPGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSAACSILNIMNGPWIEKPAKAWSTGDCWRTVMSVSGH >LPERR05G23880.3 pep chromosome:Lperr_V1.4:5:20729166:20741611:-1 gene:LPERR05G23880 transcript:LPERR05G23880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAAKRPKLDPSSAQPPQRGEDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPSILGRASNVGAFVKRGEESGHVKISLRGDTPDHKLCITRKIDTNNKSEWQLDGTNVPKKEVVDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPLLPVMHRQLIDRSKELKNLEVAVKQKEQTLNNLKALNGELEKDVERVRQRDKLLRKAELMKKKLPWLKYDMKKKEYMEAQEQEKTEKKIMEEAAKIWEDAKGPVEGTLKNIEDLKLQERSRQQRILKAKEALAAAEREFDDLQPYEAPKDEMRQLSEEIVRLNCAINELKKKRTDMESQLVRERENLRRCSDRLKQMENKTNKLLQALRCSGAEKINEAYSWVQDNRHKFKAEVYGPVILESFITQDASDRDLLVRQMKQFDIPVLNYMGDKGMRREPFNITLEMQQVGIYSRLDQIFEAPPAVKDVLISQSHLDHSYIGTDETHNRADDVAKLGISDFWTPDNHYRWSRSRYGGHLSAFVDGVNPSHLFMCDLDVIDTERLQYQKDKHIKDIEGIDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMHEKKRQQEIRKHVDIKRRMLETIYQEEDMESSKRKFVDQAAKLNDQRFELVLKLKDLLNNAVALRRSCAQENMVSIELDTKIWEMEKDVKKLEKDAVEAAKKYEYCKRKTQEHKQQLSNAKQHAESIAIITEDLAEEFRKMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQQRQREMESISEKLEDDKGECQRCYSDIETTKGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHDLNFEQYGILIKVKFRQTGAISFNNPVPCITSRSYQLPLPGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSAACSILNIMNGPWIEKPAKAWSTGDCWRTVMSVSGH >LPERR05G23890.1 pep chromosome:Lperr_V1.4:5:20743302:20744213:1 gene:LPERR05G23890 transcript:LPERR05G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRQQQQSPSPTPSPRSLITSCSPSAATASGSSASSSISPKPPAPSQLLVAELAACCNSPLADVEARISGANVLLRTLSPRRAAPPAVRIIAFLETLHLEVLHLNITTMDDTLLYSFVLSIGLDCHLSVDDLATEVHHTFLLCSNNNPPPTTPPINI >LPERR05G23910.1 pep chromosome:Lperr_V1.4:5:20757997:20764110:1 gene:LPERR05G23910 transcript:LPERR05G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPPFTIKVRIFRSWYQHRIQQRSYIAAEDKKKPLDQFASDIFRDNSATGYLEVAEHGVIGESTETVDAISVHHQVKEAEHDVAGQSGQTMDAITVDDQVKEVEHDATVEGCETADGIAVDDQVKEAEHDVVGESGETVDAIAVDDQVKELEHDVTGEGGETADDIAVDDQVKEMQHDLIGQSGENADAIAVGNQGKEVRHADIREEEVEQCVVGVFHTTKDKDAMEEKVEQNVIGKEVIAMKTQDKAVEQCTNDELRTNKNEKAVNKHIRVEGQGAIDENVVELCIDDELRAAKDVVTFPDQGNMLEQTIGDEQGATKGKFAVQNNIEMVDHVSYEWGTTEDDLAINMLKAKPDVSSLPSENENHGVTEILELNRVGLPIREGARNCSYYMHNGTCSYGKKCHFNHPEQVIDAQFDPPTGWEDDALPSPPPSKIDDKSYFKRSFTHVASEKKSSTSEVLPPNILRMLLPPQKVAPGIEEKAIKVNKDTNWPVASDDCNGCRSTDSSGRDLCKQEYVNYPERPGRPECPFYTRFGDCKFASSCKYHHSKDKFPRRSSVGSDNSSRCRSADSSGGALCKREHVSYPERPGRPECPFYTRYGDCKFASACKYHHSKDRFPSTCHSNDLLQGELVEYPERPGEPECPFYMKNRFCQFGVECKFHHTKGSIPTRWSLTDVKKPLAPEEHHPTIEIKPQDHMCQQDQYPERPGQPDCRYYMQFGKCKYFSACIFHHPKDRLSNGWHPLENVLKQEEHKENTLYPERPGELECVYFMRHGSCKFQRNCKYHHPKDRLSKKLGKIMQLLRV >LPERR05G23920.1 pep chromosome:Lperr_V1.4:5:20766205:20768509:-1 gene:LPERR05G23920 transcript:LPERR05G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEVKPGQTVKCEPEDDRFLHLSQAALGESKKGTDNAVMYVKVDDQKLVIGSLSADKFPQIQFDLVFDKKFELSHTSKSASEQKKLGETDSSASKAKAENNKVDEDDDDDDSDDDDSEDGLSPEDDDDDDSSEDDSSDEDEDESDEEETPKKPETGKKRAAEVVLKTPASDKKAKVATPSGQKTGDKKGVHVATPHPAKQASKTPVNNDKAKEKSPKSGGGSISCKSCSKTFNSEMALQSHSKAKHSAK >LPERR05G23930.1 pep chromosome:Lperr_V1.4:5:20770298:20771752:-1 gene:LPERR05G23930 transcript:LPERR05G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQALAELHRSSRRAIAKYISDNFSGLPSRHDALLSAHLRRLRSQGLLLMSGHSYYLVSNSNSAPQGRGRPPKNAAKRGPGRPRKNPLPVASSAVPVGFKRGPGRPRKNAAPAVVKRGVGRPRKKAAPMPPPPGSKNATPPPTAVSGLKRGRGRPPKITPILSTAPGAKGIPGRLPVSVAAVPIGKRRPGRPPKVARRPSNVVVSVAGKRKPGRPPKIATAGAVLGSSGKAVAGVKRGRGRPPKAKPLQSASVLSGNAALTKRGPGRPRKNRPLEAGDGVAAEVEAGHTEDKGEAEHIQIVGEAEAVQNGGEVKSLLSGGASSVEKSPGSPGKEMPLENKPTQVGVSASVEKRGRGRPKKEKPPAARPDGTGDAKSVGIKRGRGRPRKDSSFQAVFAEEAAGEVSRDVTEARPEGDAELLSGKETATAAVVLGESKEARPAVAGGVLVFGEETQTAPVEAGSVISCVGAGVDRMDSNLGMDNP >LPERR05G23940.1 pep chromosome:Lperr_V1.4:5:20774948:20779426:1 gene:LPERR05G23940 transcript:LPERR05G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQSLLSCHRPAGCKENDAIEDKSNNIHLAIALPDIYPLTGLQIGDMQSYVSRAFVYFAPVSKKLLILVDNQPWRTDKQSRSARVWQFMVTKYRMSPFANSRAVREEKASAAAAAAAGAEGPGGWFEVADGLSLAGLHGFLVFEVSWRDVHGINYLNDLLTDTSLALEARYMKKWEFYSAEQAARCAPLAQESESSSESSEQVVVVSDTLLLLRFSDSAVPEKLRQIIMSDIRLLTLLESGLPAWVIFLQSYPLLCLLYRPWMRPLARTLYLLASLSTLLIGFYDLYKNVPLLKAAAARLCGPLFGWIETWDMLTRIQYLGTILFLRNHLRKILQALLALLRMARALLRPLASPLLHLFDLLADTLWSPLDALLDFLHPLLQSLLLPLRLAASLASFAGSLLSHTYNFGKDIWETLSSMLELNHMAEAHHSALDVSLLKTLWNDLFSQIFRAIRSILNGVLVFFASCNRHRLSIYNHMQARLRHMLHVARLTHYSCPCKMKRRLQGQSGEDDVVECDICK >LPERR05G23950.1 pep chromosome:Lperr_V1.4:5:20791197:20792461:1 gene:LPERR05G23950 transcript:LPERR05G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSTFVVEFKDLHAMFRREELDTNLIAVWCMMQHDMADKAKLDITYLNPLRISKPAHTFQINRNSEAYKEMSDAEIDEVVRKEAERKRMYVGVYIARLMLIMNHWLCFVLFPRLLQVIVLDPMDVDPSKYLEFIDIIDYTVLCGYYVWHFLKCEGRYYNNPEDDHDRNINDQEIQMMISDFCWFFRCEICHKRGRFFNTNSYMVDDPKYTKLIEWEKNKEWPRGH >LPERR05G23960.1 pep chromosome:Lperr_V1.4:5:20805393:20805632:-1 gene:LPERR05G23960 transcript:LPERR05G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRMAMVSLAIVGFLVGQLASTANAKNNVHVNNFKTSGTEDEGRVVYADMKMAVTSASASEGPAPAPSPSSTDDTNN >LPERR06G00010.1 pep chromosome:Lperr_V1.4:6:7703:11804:1 gene:LPERR06G00010 transcript:LPERR06G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGKEASVVAVFAGMRVESSHSVCSTTCSKYYLDLEIPEVEEFCAKVTLIDIDCNKGWCYLGCHTCQKSMYGAPRQYKCGRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIGDDDEWYTLPDEIEDLLGSTHTFKVFDEHCDGSFSVHSIMDHVRASAGSVHVAAAIPTQCKEEEPVSDSSDYAAVPAPSTTQCKKEPASASASDDNDNTAVPTPTATTAAKCKEEPVSEGSGIAAFLTPTTTQCKCKEEPVPEGSLDARSKSTRVLDC >LPERR06G00010.2 pep chromosome:Lperr_V1.4:6:7703:11804:1 gene:LPERR06G00010 transcript:LPERR06G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGKEASVVAVFAGMRVESSHSVCSTTCSKYYLDLEIPEVEEFCAKVTLIDIDCNKGWCYLGCHTCQKSMYGAPRQYKCGRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIGDDDEWYTLPDEIEDLLGSTHTFKVFDEHCDGSFSVHSIMDHGSVHVAAAIPTQCKEEEPVSDSSDYAAVPAPSTTQCKKEPASASASDDNDNTAVPTPTATTAAKCKEEPVSEGSGIAAFLTPTTTQCKCKEEPVPEGSLDARSKSTRVLDC >LPERR06G00010.3 pep chromosome:Lperr_V1.4:6:7703:11804:1 gene:LPERR06G00010 transcript:LPERR06G00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGKEASVVAVFAGMRVESSHSDCNKGWCYLGCHTCQKSMYGAPRQYKCGRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIGDDDEWYTLPDEIEDLLGSTHTFKVFDEHCDGSFSVHSIMDHVRASAGSVHVAAAIPTQCKEEEPVSDSSDYAAVPAPSTTQCKKEPASASASDDNDNTAVPTPTATTAAKCKEEPVSEGSGIAAFLTPTTTQCKCKEEPVPEGSLDARSKSTRVLDC >LPERR06G00020.1 pep chromosome:Lperr_V1.4:6:16153:20956:-1 gene:LPERR06G00020 transcript:LPERR06G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLYRRVLPSPPAVEFASEEGKRLFAEALEGGTLEGFFNLISYFQTQSEPAFCGLASLSVVLNALSIDPGRQWKGPWRWFDESMLDCCEPLDKVKADGITFSKLACLAHCAGAKVRSFHAHQATIHDFRNHLLTCASSQDCHLIASYHRATFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDLPSLLKDKIRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.2 pep chromosome:Lperr_V1.4:6:16153:20956:-1 gene:LPERR06G00020 transcript:LPERR06G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLYRRVLPSPPAVEFASEEGKRLFAEALEGGTLEGFFNLISYFQTQSEPAFCGLASLSVVLNALSIDPGRQWKGPWRWFDESMLDCCEPLDKVKADGITFSKLACLAHCAGAKVRSFHAHQATIHDFRNHLLTCASSQDCHLIASYHRATFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDLPSLLKDKSIHNVRALLSRLVKSLPANAGNLIKWVVEVRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.3 pep chromosome:Lperr_V1.4:6:16153:18137:-1 gene:LPERR06G00020 transcript:LPERR06G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVWRMDIEETMIDMAKPSCQIQFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDLPSLLKDKIRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.4 pep chromosome:Lperr_V1.4:6:16153:18379:-1 gene:LPERR06G00020 transcript:LPERR06G00020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHALIARVRGLDKHGVLEKEHRFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDLPSLLKDKIRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.5 pep chromosome:Lperr_V1.4:6:16153:19325:-1 gene:LPERR06G00020 transcript:LPERR06G00020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWKGEGYLIKKASVAYHVCGPSWSNRVSTAMVHAYLKTHFSSFVALHSAFVQSCRDESWKSMAKYCMEDLPSLLKDKSIHNVRALLSRLVKSLPANAGNLIKWVVEVRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.6 pep chromosome:Lperr_V1.4:6:16153:18137:-1 gene:LPERR06G00020 transcript:LPERR06G00020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVWRMDIEETMIDMAKPSCQIQFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDLPSLLKDKSIHNVRALLSRLVKSLPANAGNLIKWVVEVRRKEEGGSGLSNEEKERLILKEKVLQQVRDTELFRLVCELQFAKLPCCGCSWSYSSDDDSLAQIAATVCCQGAALLTGNTISKDGFCCRETCFKCVQVDGDELKTVITGTAVSGVNEQSVDMLLPISPPETSVCNSNSSNEVVKYPSRTDILTVLLLALQPSIWAGIEDERLKAEFQSLVSTDNLRDDLKREILHLRRQLHYVKACKEEPYDDPLPQSL >LPERR06G00020.7 pep chromosome:Lperr_V1.4:6:20169:20956:-1 gene:LPERR06G00020 transcript:LPERR06G00020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLYRRVLPSPPAVEFASEEGKRLFAEALEGGTLEGFFNLISYFQTQSEPAFCGLASLSVVLNALSIDPGRQWKGPWRWFDESMLDCCEPLDKVKADGITFSKLACLAHCAGAKVRSFHAHQATIHDFRNHLLTCASSQDCHLIASYHRATFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGSLFSSPLSATPPSLLKYSYMISSV >LPERR06G00030.1 pep chromosome:Lperr_V1.4:6:19410:19601:1 gene:LPERR06G00030 transcript:LPERR06G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAGQRKKEDLEATPLTPLASKLAMAAASEPAMSICIQLEVLLHTGHPAGGGRQKHRGNR >LPERR06G00040.1 pep chromosome:Lperr_V1.4:6:21821:22742:-1 gene:LPERR06G00040 transcript:LPERR06G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLYRRVLPSPPAVEFASEEGKRLFAEALQGGTLQGFFNLISYFQTQSEPAFCGLASLSVDDTAEVKLTRENIKAFVLDIFTAGSDTTATTVEWMLAELVNHPACMAKLRGELDAVVGRSRVVGEQDVPCLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVRGYTIPADTQVFFNIFSIGRDAAYWDDPLHFRPDRFLPGGAGASVDPKGQHTQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQALPLDMEEAPALVSARKQPLLLIPTPRINPLPPFSS >LPERR06G00050.1 pep chromosome:Lperr_V1.4:6:23282:24814:-1 gene:LPERR06G00050 transcript:LPERR06G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGESMGGGVVVGVVVAALMMMLMMRRRGGAGKGRLPPSPMALPVIGHLHLIKSPPHRAFDRIIARHGPLVYLRLGPSTHCVVVGSADMARDLLKFEASIPERPPTAVTRQLAYGTSGFAFAPYGPYWRFVKRLCMSELLGPRTVDLLRPVRTAELEGVMRAAAQAADHVDMSQLLIRMANNAIMRMVASALPGEMAEAARDCAKQVAELVGAFNAEDFVSLCRGWDLQGLGRRTSLVHARFDALLEVMIRAKEQERQMSRSLSSSKDLLDMLMDAAEDDTAEVKLTRENIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRVVGEQDVPRLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVHGYTIPTDTQVFFNIFSIGRDAAYWDDPLQFRPDRFLPGGAGASVDPKGQHTQLMPFGIGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQGLPLDMEEAPGLVSARKQPLLLIPTPRINPLPPFSS >LPERR06G00060.1 pep chromosome:Lperr_V1.4:6:27300:31722:1 gene:LPERR06G00060 transcript:LPERR06G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAGGNSVSGEAAAEAVLPVGFRFRPTDVELVRHYLKAKIAGRTHPDLLLIPDVDLSACEPWDLPSKSLIKSDDPEWFFFAPRDPKYPGGHRSNRSTAAGYWKATGKDRLIRHAGALIGIKKTLVFHRGRAPRGHRTAWIMHEYRATEPNFQSGQNGSFVLYRLFNKHEQQDDTQEQQLHSMAPSTSSPGNPHNNTVSVQAGLASVMKDETVPLSDLSQLTEIQNVTDDADRSMAHLLTSVDGKDQTKTHDDDFLGMLYQLPDLEPEQSYSGFPNITSPMRPYSDNPFVSNLGGQELSAHFGSIISEQDLHSLLLSPNSTIMDKHPIGHAETNPAMLTNNSNSNALLVDNWRDNDSYQMLPIQRADDTDAACCSSSINAPQTETGSANLEVRAQSGMVYCGVSQSSHLCNQYQLQSTLNPHTESQMSGSFCLAGSRVPYPQHWFSTMVEPGGSSMTFSNALKEQGQEPPPSIQQLAVQDLVDPQQGTAARRIRLVCSVQRASISQPVSTSQLQTEYEAGSCCNTCSSSDNNKENVESEDEAGSCCTTGSSSDNHNEENAISASQTMDGEPMHIQCNGNTPIQVDPTMEVTDKLQGFSFHEEILSHADQPRGTNLKKRFRLEYTNRAEENAPGEPSLETRRHQTIRSSVVPMLWCALFVMGPLLLLVGVWKSLKSLPDPDVNDQLGPL >LPERR06G00070.1 pep chromosome:Lperr_V1.4:6:38849:39838:-1 gene:LPERR06G00070 transcript:LPERR06G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAHPQRFYCYQCDRTVAITPPSSPDADVLCPVCGGGFVELVGEDTPNPSSPHPPLPFPIFPFASPSFDLRHPSDLNAFFGAPSPSPAAASRHFDASNFLHDHFSGLLSGGATIQIVLEGSGAGAGMGGSFGDYFVGSGLEQLIQQLAENDPNRYGTPPTAKSAIASLPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDYAHRRGPDFPTASAAVAAAFPSASAAPPSATASPRVAERRFRISLPWPLRAAFGAQPESSNPTNQDPGSGSGSGNNNATDAHRSYDDLD >LPERR06G00080.1 pep chromosome:Lperr_V1.4:6:42885:43936:-1 gene:LPERR06G00080 transcript:LPERR06G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVLLPCEEEEAPPPPAAGVQMGAYWGPVRAVGESGGAGETMLLWALGQPASQRHNAFVRQSSHSLTLDACGRRLSLLQSPSSMATPGVTGAVVWDSAIVLAKFIEHSVDSSLLLLRGARAVELGAGCGLAGCVAALLGAHVLLTDLPDRLKLLRKNVDLNVLACRGSARVADLVWGDEPDPDLLNPPLDFVLGSDVIYSEEAVDDLLLTLNHLSAPQTTIILAAELRNDVVLECFLDAAMADFQVGCIEQEQWHPDFRTSRVALFILLKKPPLASSQPDLL >LPERR06G00090.1 pep chromosome:Lperr_V1.4:6:44179:48437:1 gene:LPERR06G00090 transcript:LPERR06G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQPPAAAAGVRVVARVSPCPPDSAAQFQVQVNPSASSLVAFLPRQPISAAPVSSGSSKYRLDGCYLKDDPNQTIFRNEVKPLIHGITTRACVVACGTAAAKNHLFMGSHDQPGLLTMAMAQILDSSKPIGAAVTISSYQVLQDNHILDLLDPKDTEILILEDAQGHTHLKGLSRVDVKSIDEFSQLSCSATNQHRHHPTKDSTLLQEWGHQGLIIYVSTFDHQGKECIQAKINFLGLAGYVDPKKKKNEGLAVPTGNKSMYALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKTSGAVLIACLAEDCCQDTVSTLSLASRSSQVVNEQCYSLSLSAKSSSKSNVNLSTGAKTSSRTFLSCANKTMQEKNSQTKFNNSGVKGRQTPTASRRSQPITKKPGSSVSTSIKIKPNYAKPTISGRKLFCPSTNSSKEDAVGVSPTVVTQTESTSAIQAELGPPFIIAQEVQQTAAMEIRPPSPNEGHDKTRNTAHVKSAEMQEVLHCNTQELVPSTIQEEVYASSNMKMDLPLISSSITDNLVEKTPASTTQHSPKLTDRLREISNSLKLLSTRSVSIMAQKSDIECNRRINMEQEPKTPIMHFKLEGLEDPKDIFTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGEKRANYILELREQSPELFKEISDLTNIIGMNSKEINKMMSGIINS >LPERR06G00090.2 pep chromosome:Lperr_V1.4:6:44179:48437:1 gene:LPERR06G00090 transcript:LPERR06G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQPPAAAAGVRVVARVSPCPPDSAAQFQVQVNPSASSLVAFLPRQPISAAPVSSGSSKYRLDGCYLKDDPNQTIFRNEVKPLIHGITTRACVVACGTAAAKNHLFMGSHDQPGLLTMAMAQILDSSKPIGAAVTISSYQVLQDNHILDLLDPKDTEILILEDAQGHTHLKGLSRVDVKSIDEFSQLSCSATNQHRHHPTKDSTLLQEWGHQGLIIYVSTFDHQGKECIQAKINFLGLAGYVDPKKKKNEGLAVPTGNKSMYALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKTSGAVLIACLAEDCCQDTVSTLSLASRSSQVVNEQCYSLSLSAKSSSKSNVNLSTGAKTSSRTFLSCANKTMQEKNSQTKFNNSGVKGRQTPTASRRSQPITKKPGSSVSTSIKIKPNYAKPTISGRKLFCPSTNSSKEDAVGVSPTVVTQTESTSAIQAEEVQQTAAMEIRPPSPNEGHDKTRNTAHVKSAEMQEVLHCNTQELVPSTIQEEVYASSNMKMDLPLISSSITDNLVEKTPASTTQHSPKLTDRLREISNSLKLLSTRSVSIMAQKSDIECNRRINMEQEPKTPIMHFKLEGLEDPKDIFTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGEKRANYILELREQSPELFKEISDLTNIIGMNSKEINKMMSGIINS >LPERR06G00100.1 pep chromosome:Lperr_V1.4:6:51748:58536:-1 gene:LPERR06G00100 transcript:LPERR06G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAIKASPGRTRSGRSLTSSAPAPAPKRRRRPPDHALDKDKDKDLLVSPHTPPMDAEAPKPPIHLLTDGEGDHVGSHPHHHAGSHESQPAPDLIAAVTTVAAPAEPPKLNALAAASHAQQPTEPYAATAATAPAVPMDLDAAAAAVPAEPTILNAAAATTPAESSEVNTAAETVKPMALNGEPAQTANPDSAMELQQPPALPAADADKPMDVPHESNGPNLQHQVLDNALTDPSLLAESAATPSSTADIKPMRRFTRSLLKTKPEGEEATPSKSQGPSLSLASDDNNDNASVNLYVPPEVPQRRFTRSLLKVKVVPRSTTSLPQSKEAIESTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVMYVIPSSKKAVLKGVITGCNIRCFCSSCNGSKQHAGSNKKHPADHIYLENGNSLRDVLRSCESSPLESLDKTIRSSIDPIAKRSYVNCLNCNEHLPSSQTQSFLCQRCLEPKQHQDPPSPSYACKSNSSLVPSPKEFLVKKTPLNTKCGSAGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNTVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSAVPSEWYCDNCTNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQCQVDLKELPEGEWFCCNSCSEIRSSLDKIIADGALLLPESDIDIIRKKHETKGISMDSNVDIRWRLLAGRSASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAHEAEGIWMKKFGFSKIPQEQSEAYLNGAHLTIFHGTSNLYKAIPSS >LPERR06G00100.2 pep chromosome:Lperr_V1.4:6:51748:58536:-1 gene:LPERR06G00100 transcript:LPERR06G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAIKASPGRTRSGRSLTSSAPAPAPKRRRRPPDHALDKDKDKDLLVSPHTPPMDAEAPKPPIHLLTDGEGDHVGSHPHHHAGSHESQPAPDLIAAVTTVAAPAEPPKLNALAAASHAQQPTEPYAATAATAPAVPMDLDAAAAAVPAEPTILNAAAATTPAESSEVNTAAETVKPMALNGEPAQTANPDSAMELQQPPALPAADADKPMDVPHESNGPNLQHQVLDNALTDPSLLAESAATPSSTADIKPMRRFTRSLLKTKPEGEEATPSKSQGPSLSLASDDNNDNASVNLYVPPEVPQRRFTRSLLKVKVVPRSTTSLPQSKEAIESTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVMYVIPSSKKAVLKGVITGCNIRCFCSSCNGSKDVCSFIFEQHAGSNKKHPADHIYLENGNSLRDVLRSCESSPLESLDKTIRSSIDPIAKRSYVNCLNCNEHLPSSQTQSFLCQRCLEPKQHQDPPSPSYACKSNSSLVPSPKEFLVKKTPLNTKCGSAGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNTVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSAVPSEWYCDNCTNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQCQVDLKELPEGEWFCCNSCSEIRSSLDKIIADGALLLPESDIDIIRKKHETKGISMDSNVDIRWRLLAGRSASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAHEAEGIWMKKFGFSKIPQEQSEAYLNGAHLTIFHGTSNLYKAIPSS >LPERR06G00110.1 pep chromosome:Lperr_V1.4:6:58992:61960:1 gene:LPERR06G00110 transcript:LPERR06G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPLLRHPPCFATSTPSLSHSSPTPRRLTLAQPRCAMTLSASSSYRHHHFLFLRHRRRRTGSKRRSRAAGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYTPTTMNNSQQPAVDPS >LPERR06G00110.2 pep chromosome:Lperr_V1.4:6:58992:61284:1 gene:LPERR06G00110 transcript:LPERR06G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPLLRHPPCFATSTPSLSHSSPTPRRLTLAQPRCAMTLSASSSYRHHHFLFLRHRRRRTGSKRRSRAAGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYTPTTMNNSQQPAVDPS >LPERR06G00110.3 pep chromosome:Lperr_V1.4:6:58992:61284:1 gene:LPERR06G00110 transcript:LPERR06G00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPLLRHPPCFATSTPSLSHSSPTPRRLTLAQPRCAMTLSASSSYRHHHFLFLRHRRRRTGSKRRSRAAGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYTPTTMNNSQQPAVDPS >LPERR06G00120.1 pep chromosome:Lperr_V1.4:6:63464:69730:1 gene:LPERR06G00120 transcript:LPERR06G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVADEIAALPEPRGPLRRPCADLSRRVRLLSPLLDHLPASSASSSSPLADALEAARDLLRKTRDGSKIDQAMRFDAFLDEFASVNSQIHLALDALPYSTFDMPQELQEQVVLVHSQFKRASTRTDPPDSQLSKDLAWALSDKPTDPALLNRISDKLQLHTMADMKNESIALHNMVISTAGEPDGCVEHMSSLLKKLKDCVVTDDTANDALASRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKQNSRDKKVAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKSGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGTPRGKKDAATAIFNLCIYQGNKVRAVKAGVVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKVVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADAEQKFAAKAAGAEDALKELSETGTDRAKRKASSILELMRQENEA >LPERR06G00120.2 pep chromosome:Lperr_V1.4:6:63431:69730:1 gene:LPERR06G00120 transcript:LPERR06G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVADEIAALPEPRGPLRRPCADLSRRVRLLSPLLDHLPASSASSSSPLADALEAARDLLRKTRDGSKIDQAMRFDAFLDEFASVNSQIHLALDALPYSTFDMPQELQEQVVLVHSQFKRASTRTDPPDSQLSKDLAWALSDKPTDPALLNRISDKLQLHTMADMKNESIALHNMVISTAGEPDGCVEHMSSLLKKLKDCVVTDDTANDALASRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKQNSRDKKVAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKSGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGTPRGKKDAATAIFNLCIYQGNKVRAVKAGVVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKVVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADAEQKFAAKAAGAEDALKELSETGTDRAKRKASSILELMRQENEA >LPERR06G00120.3 pep chromosome:Lperr_V1.4:6:63431:68982:1 gene:LPERR06G00120 transcript:LPERR06G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVADEIAALPEPRGPLRRPCADLSRRVRLLSPLLDHLPASSASSSSPLADALEAARDLLRKTRDGSKIDQAMRFDAFLDEFASVNSQIHLALDALPYSTFDMPQELQEQVVLVHSQFKRASTRTDPPDSQLSKDLAWALSDKPTDPALLNRISDKLQLHTMADMKNESIALHNMVISTAGEPDGCVEHMSSLLKKLKDCVVTDDTANDALASRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQVHFYIHISVLINNTILTDHSSLCFSDL >LPERR06G00120.4 pep chromosome:Lperr_V1.4:6:63431:66489:1 gene:LPERR06G00120 transcript:LPERR06G00120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVADEIAALPEPRGPLRRPCADLSRRVRLLSPLLDHLPASSASSSSPLADALEAARDLLRKTRDGSKIDQAMRFDAFLDEFASVNSQIHLALDALPYSTFDMPQELQEQVVLVHSQFKRASTRTDPPDSQLSKDLAWALSDKPTDPALLNRISDKLQLHTMADMKNESIALHNMVISTAGEPDGCVEHMSSLLKKLKDCVVTDDTANDALASRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKQNSRDKKVAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKSGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGTPRGKKDAATAIFNLCIYQGNKVRAVKAGVVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKVVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADAEQKFAAKAAGAEDALKELSETGTDRAKRKASSILELMRQENEA >LPERR06G00120.5 pep chromosome:Lperr_V1.4:6:68210:68982:1 gene:LPERR06G00120 transcript:LPERR06G00120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMNIGGEAGGVVMGCKVLPMWPRESGGNGNGMMDSARKKMVHGKKAVARVKDLLWPSATRWKKVLSFQTRDSGGGMSSKAGDDSTRSKLSFKWDAASCSSASSSAMYSPLSAVSAPAKAPSSQQQLRTWSSVPADAAVKDEQRMAQWITTDSDCECLTSLSIYFDTVCLVKRTNDDVCSCGAGAVIHSLPSLG >LPERR06G00130.1 pep chromosome:Lperr_V1.4:6:70494:85939:1 gene:LPERR06G00130 transcript:LPERR06G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASKPNSPSPMETRSSSARHASSPQTLTLRRSTRETRGNKSKLSTPSPPPKPSSVAKKPLKPSASTDKQHPKRRATNSAPHALANNTAKRKITDSADTNADDASTTLLKKPNKRLNRKTYLALFETSSEDKADPPALATPLRVDDESAFMVHVEDSTTVLSHEEVDAQEQDNQACATEVASKYLQGTSGLHKVPEVVVETDVSKNKVDEPAPTSEPCMPDEMCSHNNASESSPAMQGGEQTVGCSNPSSVTELPNRPCPTVPHKEVANKTIDDGDSGEILGASTSSQAAIIQSVETDYNEYTSCDGKGCKSWYHDSCLDPPLQYLPLGIWLCTTCTKKRLQFGLYAVSEGIESLWDVKEGSQNSKQYFVKYKNLAHVHNQWVPESDIICTPGGHDLITKFCKRIQKEKTIRWKQEWTEPHRLLKKRSLMSQKEAEEFFNSLGDKFSYCNVEWLVKWKDLGYEHATWELESSSFLCTPEAKDLKKNYESRHEDARRGSDPAKIKKVKQSPLQKLQRLPDGLPPGLDKDHLSSLNRLREFWHNSDGAIFLDDQERVIKTILFAMSILPDVCQPLLIVSTSTSLSLWEAKFNRLTQFINVVVYNGEKDVRKQIQDLEFYENGSVMFQVLLSHPDAILEVDIQTMECIVWEAVIVDDCQSLRVSKCLEQLKHLSTNFRMVLLSSPLKESIPEYINVLSFLNPEGDVISSSSNGHSIDTVGDLATLKEKFARHVAFERKADSPKFLEYWVPARLSRVQLEMYCSTLLSNSPALRSHSRTDNVGVLRDILVSLRKCCDHPYLVDQSLQSSLTKGHPLTDILDIGVRASGKLLLLDKMLQEIRNQGLRSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDRTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHTLDSNIQNVMPIVSHSLLSWGASFLFHRLEEFQKHGYSSKDSEGDDLFMSNAFLEFVVKLSTKVEASTKMDNAVIISRAHQSGSFYSRDITVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYITEPVQRNRRKIQNMEDQMIIPAEETDEVILKRRKIGEITDSSPKVIPVKDKDALLPENNTTLSTHETSVEDTWQELGEESLQGTQKGLHTQLKSELSKLYELLELPEAVRCLCEELLDYILKNHQVSQGPKGILHAFNIALCWRAASLLKHKINRRESLALAVRNLNYECDEKQAEYVYEKLRILKKKFTRRASETSKQSQFTPVNNTSTYQQQTSPMLRSDGSIPKKVATLDGDLEDVSHQEAPHDYVNEMVLEQKELISALETHREEHVSRDELLKRTTEKKIHLIHMVFSLREKNIHSKQENETSQLDMHKQKEVEKLRETCTLVVKHLRKSHDDSEDRDRMVKLLIDWFTLLLYAFLDHMSRQRDKLKMQQSIAWNKELQLKEKFLKEAKSGHLDDTFDQQIPLPDSCFTLEEFSHFKEVVGNFPVGADTSANCQQPLASAMEITLVRSTIPSENVNSEAAMNGAAEVSVHTERRPTSEVGLSQDRMENSSDGIDPQGGSPLAVQHSLSSNPAIEDSMESSVGSHRSKHIGDVAVEVNADNSETTPSGPPHLEAPTVAALPSNGALPVAREVQIQTDPVIQSAQQNIVTGQVPQEDQPEGSTVVTSVQPLQPEMRPSSSVSSILLGRTHSDQSRESHQPEAPPSSVDPTQLFPVASMMFNHPPVGNEPLKNELHRLQLHIDSLNKIYELKKSELQTQCSQEIEKIKHKYGLLIKEQESIHHHHRKTLNNLYGKVLLNQSLADDFRLKFVSSAARARSVSPPNRQAAVVSQQLPARPSLSGSIASPAGSSSAGRPPLQRHCAEPSQVDRSPSSEGCHSSSSSSQVVRSPPAMLGNVVRAASAPFSQTPTAHGNYGVRSELARAPAPHLQFRLSRAHPTASANQEQQQQLPIILEGISSRTQSSPVSTPVNARQLSSQAVSPVNNSSLSSSQPLLSSVSSSVPALAANSSSNTVLAAAAVPSPPSSHPLESVTSAQVADRKAVEASTQPSTTNSQVIMAGPNAAGAAASGLNTVAAVSSGLSAAAAASRSMQQQHADSDSVSLDAWLTSNLGGVDVVCLSDDDEPEQ >LPERR06G00140.1 pep chromosome:Lperr_V1.4:6:88120:89472:1 gene:LPERR06G00140 transcript:LPERR06G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVRVVSRRTVKPSPARPRERIPLTSWDSAMLSADYIKKGLLFHPPPSTLDVADHLAAALATALHTYYPVAGRFVTDTHPDGGCFVSIDCDGQGAEVVHAVADGVSVADVIPPDADVPRDLLDSFFPFPDAVNYDGHHLPLFVVQVTHLLDGVFLGFTYNHALSDGTAFWDFLNAWATIARGAPPARPPPVLKRWTPDGEPAVLPYPDISKLIEKPEVPPLRERMLHFSAETLAALKERARDELLAAGDTEGAAAVTRFQALSALLWRSITRARRLSAEQETVCRAAINNRGRLRPELPREYFGNSISAIATEAVRASELMERGHGWAAAAVGRAVAAHTDEAIRARVEAWKASPIIYTTRFFDPCSIMMGSSPRFDMYGCDFGWGKAVAARSGKTNKVDGKASLFPGREGQGSIDAELLLSPENMAELDKDHEFWAAVTPHKKQSF >LPERR06G00150.1 pep chromosome:Lperr_V1.4:6:89512:92740:-1 gene:LPERR06G00150 transcript:LPERR06G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPQEEGRLRYMSGGLGNSLSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRHPPHRRLIGEFDRTTTLATPTQLRWRPTDLVPREEAPLDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQDHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKVYDLSKFCPFNTVLFDHADPSINTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRSDANEPSRLSGTLAFISSERSKEREE >LPERR06G00150.2 pep chromosome:Lperr_V1.4:6:90096:92740:-1 gene:LPERR06G00150 transcript:LPERR06G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPQEEGRLRYMSGGLGNSLSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRHPPHRRLIGEFDRTTTLATPTQLRWRPTDLVPREEAPLDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQDHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKVYDLSKFCPFNTVLFDHADPSINTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRSDANEPSRLSGTLAFMFESSLIPRVCQWALDSPSRDLDYYQCWIGLKSHFAHDNGQATSKEEPADEDNTK >LPERR06G00150.3 pep chromosome:Lperr_V1.4:6:90096:92740:-1 gene:LPERR06G00150 transcript:LPERR06G00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPQEEGRLRYMSGGLGNSLSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRHPPHRRLIGEFDRTTTLATPTQLRWRPTDLVPREEAPLDFVDGLYTVCGAGSSFLRHGYAIHMYPIPLTCVYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQDHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKVYDLSKFCPFNTVLFDHADPSINTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRSDANEPSRLSGTLAFMFESSLIPRVCQWALDSPSRDLDYYQCWIGLKSHFAHDNGQATSKEEPADEDNTK >LPERR06G00150.4 pep chromosome:Lperr_V1.4:6:90096:92740:-1 gene:LPERR06G00150 transcript:LPERR06G00150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPQEEGRLRYMSGGLGNSLSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRHPPHRRLIGEFDRTTTLATPTQLRWRPTDLVPREEAPLDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQDHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKVVTFLAGLVTDLLSHYDLSKFCPFNTVLFDHADPSINTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRSDANEPSRLSGTLAFMFESSLIPRVCQWALDSPSRDLDYYQCWIGLKSHFAHDNGQATSKEEPADEDNTK >LPERR06G00150.5 pep chromosome:Lperr_V1.4:6:90096:92740:-1 gene:LPERR06G00150 transcript:LPERR06G00150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPQEEGRLRYMSGGLGNSLSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRHPPHRRLIGEFDRTTTLATPTQLRWRPTDLVPREEAPLDFVDGLYTVCGAGSSFLRHGYAIHMYPIPLTCVYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQDHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKVVTFLAGLVTDLLSHYDLSKFCPFNTVLFDHADPSINTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRSDANEPSRLSGTLAFMFESSLIPRVCQWALDSPSRDLDYYQCWIGLKSHFAHDNGQATSKEEPADEDNTK >LPERR06G00160.1 pep chromosome:Lperr_V1.4:6:93844:95876:1 gene:LPERR06G00160 transcript:LPERR06G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRMRGSALTAIVIVVVTLLLLLVGELHCCCCAKSPPAAASTPKSQAVPAAAHSQAPTISPPRRRRHNARQQQAAPPPPAPRKQDDHHPVQPQQPSVAEAEAVCGRAIDSNNNTSTSSIDDGGRCSTLLVFGDSTVDPGNNNHLLTKAKANFLPYGVSFLDAYGRSPTPTGRFSNGRLPTDMLAEKLGIARSIPGFFDPTLKLSQLINGVSFASAGSGYDHATAKRLNVVSFSTQIKQLWRYKLLMRKLMGPTRAERLVNRATFVVSAGTNDILFNYLDSNRSGYNTMPEYDSYLMQRLANYTQVMMMLGGRRFLFAGLPPIGCLPIARTLLGAGEDRCDDNLNEVAASFNSKLLDLSNSINCNHQQDARSAYIDTYNIIREATNNPSNFGLTEVWRGCCGSGMIEVGQTCRGRTTCTDPTKYLYWDAVHPTETTNQLITNAMLASIQQLYS >LPERR06G00170.1 pep chromosome:Lperr_V1.4:6:96475:97642:-1 gene:LPERR06G00170 transcript:LPERR06G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGRPFNRRGGGGNYVARPRAPNPPAPAAAPPAPRQLRKPVFTTVEQLRPQTHGHNFTARVLSSRIILDNPPPRARVAECLVGDHTGTVLFTARNQQVDVVKPGTTVIFRNAKIDMFKGTMRLTVDKWGRIEVTEPATFQVNQDNNVSLVEYELVDVADED >LPERR06G00180.1 pep chromosome:Lperr_V1.4:6:100068:104853:1 gene:LPERR06G00180 transcript:LPERR06G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVGEVDHLAGERATAQFDVEQMKVAWAGSRHAVDVSDRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLFVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPNTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVYVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSVTADGIEECRKLCGGHGYLNSSGLPELFATYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRVLCNVYALYLVHKHLGDFLATGCMTPRQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDSVVPDGYHEHIRPLLKQELKLSRL >LPERR06G00190.1 pep chromosome:Lperr_V1.4:6:106039:121982:1 gene:LPERR06G00190 transcript:LPERR06G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.2 pep chromosome:Lperr_V1.4:6:106039:121982:1 gene:LPERR06G00190 transcript:LPERR06G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.3 pep chromosome:Lperr_V1.4:6:106039:121982:1 gene:LPERR06G00190 transcript:LPERR06G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.4 pep chromosome:Lperr_V1.4:6:106039:121516:1 gene:LPERR06G00190 transcript:LPERR06G00190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.5 pep chromosome:Lperr_V1.4:6:106039:121982:1 gene:LPERR06G00190 transcript:LPERR06G00190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.6 pep chromosome:Lperr_V1.4:6:106039:121516:1 gene:LPERR06G00190 transcript:LPERR06G00190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.7 pep chromosome:Lperr_V1.4:6:106039:121516:1 gene:LPERR06G00190 transcript:LPERR06G00190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.8 pep chromosome:Lperr_V1.4:6:106039:119724:1 gene:LPERR06G00190 transcript:LPERR06G00190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00190.9 pep chromosome:Lperr_V1.4:6:106039:120423:1 gene:LPERR06G00190 transcript:LPERR06G00190.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALAWAPLLPLSPTIPSPSSTTTRFSRCRRRHGRLLSAAADGDAPSISVSAAEGPSPSSPSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNAAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQELFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQVFYESEDEQMINTPPIDFVDCGFLHPYLQSLINTSEQGLVGITRAQKEMSAYYLEHANVDSIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGHPLGTYEESNLIYPYVSEKSTRKGDVGEPRAFVLEIGTEELPPHDVIEAAKQVVVQNLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYRRIDGKTEYIYTQVKESARFADEVLTEDLPTIISSISFPKSMRWNSNIVFSRPIRWILALHGDLIVPFCFAGIPSGNQSCGLRNSSLANFKVETAELYLHTMEKAGILIDMQERKRQILYDSSRLAEGVGGDIIAPDSLVQQVINLVEAPMPIIGQYDVSFLELPKDVLITTPICRLCRNTRVLAARLPMVPLGKKWSVKGMKLYSGMVDSELAYKYRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHEKLGTMLDKMKRVENTVAELALILGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYAFRDGLPEQIAEALFEITLPRFSGDVFPKTDAGIVLAIADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVEILVENKKNFDLAKALTLVAGEQPIKIDSGVIDEVVQFVTRRLEQLLVDDGINCEIVRSVLMERANCPYLASQTAIEMEAFARTEDFPKIVEAYSRPTRIIRGKEIVSGLEVDASVFEKDEERALWVAYLEVVDKIHPGVDIKTFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLSKVASLPKGIADLSVLPGF >LPERR06G00200.1 pep chromosome:Lperr_V1.4:6:119131:122042:-1 gene:LPERR06G00200 transcript:LPERR06G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKRSEVGRQRPNRERSEEEEEEEEEKRQMPRRRTLPQHHRRIPMPPANLSISTPAAAPLPPLYRRCRPLIAALAALLLLLIVLVAAGLPYAPWRATSAPAVLLGRPAVPSTPPATKFYSFDLIREYPHDPYAFTQGLLYAGNDTLFESTGLYHRSSVRKVDLTTGKVLVQHEMEGRMFGEGLTLLNDKLFQVVWLKNEGFIYDRHNFSKRESFTHKMRDGWGLATDGKVLFGSDGTSKLYQLDPKSIQVMKTVTVKYQDKEVPFLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQKLLRSSNMELDVLNGIAWDEENHRLFVTGKLWPKLYEIKLRPVDGPEDGSIEKLCPKASFYR >LPERR06G00200.2 pep chromosome:Lperr_V1.4:6:119131:122042:-1 gene:LPERR06G00200 transcript:LPERR06G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKRSEVGRQRPNRERSEEEEEEEEEKRQMPRRRTLPQHHRRIPMPPANLSISTPAAAPLPPLYRRCRPLIAALAALLLLLIVLVAAGLPYAPWRATSAPAVLLGRPAVPSTPPATKFYSFDLIREYPHDPYAFTQGLLYAGNDTLFESTGLYHRSSVRKVDLTTGKVLVQHEMEGRILFQVVWLKNEGFIYDRHNFSKRESFTHKMRDGWGLATDGKVLFGSDGTSKLYQLDPKSIQVMKTVTVKYQDKEVPFLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQKLLRSSNMELDVLNGIAWDEENHRLFVTGKLWPKLYEIKLRPVDGPEDGSIEKLCPKASFYR >LPERR06G00200.3 pep chromosome:Lperr_V1.4:6:118157:122042:-1 gene:LPERR06G00200 transcript:LPERR06G00200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKRSEVGRQRPNRERSEEEEEEEEEKRQMPRRRTLPQHHRRIPMPPANLSISTPAAAPLPPLYRRCRPLIAALAALLLLLIVLVAAGLPYAPWRATSAPAVLLGRPAVPSTPPATKFYSFDLIREYPHDPYAFTQGLLYAGNDTLFESTGLYHRSSVRKVDLTTGKVLVQHEMEGRMFGEGLTLLNDKLFQVVWLKNEGFIYDRHNFSKRESFTHKMRDGWGLATDGKVLFGSDGTSKLYQLDPKSIQVMKTVTVKYQDKEVPFLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRTTHTSLEQFQRSVRKGFNVHAYRMDRWIQVNINIQHARKNRR >LPERR06G00210.1 pep chromosome:Lperr_V1.4:6:127989:136664:1 gene:LPERR06G00210 transcript:LPERR06G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWEFKEDVEIMEEEEEEDGSSSLQTRARNKNHALINGGEDEQEQEVVEEHKSVFFDPSQDSEHVTEVSGKNEATKVEQFVAKKEKAHEILSPKGKKIDTQENIYSQETNGDSNGSRLENGSHSNGSYEVCNSGETVTVAKGEVGLKMITIIDKNQNIMANSNGAANGSMNKTNIHEIEAEKDEDVIEGKVNIEEYDLEKILGEQETHDLFCPNCNSCITKRVILRKRKRTVRQTTRDEPPKKPQLEEPSAGTSNPPVPERQEPASPPIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEPSATRPMPGSDSCGSWLLSCFEPGDSPKKADAASSVEGSTTYIYSHGTSVKQEESKKPAESSPHLQPSNTKKENFATVSFGGSSSVEAHSSSSASIIKPGQSTTGFVQTGESHVVIGKQDAVWQQQITLPKPGEAAHLGTQKKENATGSQTFPTPEAKVPDANPAISIPELMSPLLDKPGQGIVIPPEAVGSQARPDDHVSLQVVPDSPVPPAPAPSEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGAKTLDIFILGIANLIGGLPIIFHSIADLRSIGDVDENDEQVGHYWSQLGRRTRYQLHVFMAVLSYFLFGLLPPLIYAVSFRGGAGEADTNAREKKVAVVAAASLACIALLAIGKEHVKWPARSRSYVKTLLYYLTIGVSASGLSYVAGVLITKLLSHFGLIDDQSPPTSATLLFPDASAAATWASSY >LPERR06G00210.2 pep chromosome:Lperr_V1.4:6:127989:136664:1 gene:LPERR06G00210 transcript:LPERR06G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWEFKEDVEIMEEEEEEDGSSSLQTRARNKNHALINGGEDEQEQEVVEEHKSVFFDPSQDSEHVTEVSGKNEATKVEQFVAKKEKAHEILSPKGKKIDTQENIYSQETNGDSNGSRLENGSHSNGSYEVCNSGETVTVAKGEVGLKMITIIDKNQNIMANSNGAANGSMNKTNIHEIEAEKDEDVIEGKVNIEEYDLEKILGEQETHDLFCPNCNSCITKRVILRKRKRTVRQTTRDEPPKKPQLEEPSAGTSNPPVPERQEPASPPIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEPSATRPMPGSDSCGSWLLSCFEPGDSPKKADAASSVEGSTTYIYSHGTSVKQEESKKPAESSPHLQPSNTKKENFATVSFGGSSSVEAHSSSSASIIKPGQSTTGFVQTGESHVVIGKQDAVWQQQITLPKPGEAAHLGTQKKGSQTFPTPEAKVPDANPAISIPELMSPLLDKPGQGIVIPPEAVGSQARPDDHVSLQVVPDSPVPPAPAPSEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGAKTLDIFILGIANLIGGLPIIFHSIADLRSIGDVDENDEQVGHYWSQLGRRTRYQLHVFMAVLSYFLFGLLPPLIYAVSFRGGAGEADTNAREKKVAVVAAASLACIALLAIGKEHVKWPARSRSYVKTLLYYLTIGVSASGLSYVAGVLITKLLSHFGLIDDQSPPTSATLLFPDASAAATWASSY >LPERR06G00220.1 pep chromosome:Lperr_V1.4:6:133889:142303:-1 gene:LPERR06G00220 transcript:LPERR06G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILRAKPAASIPLSSSSFSAAPGTGFRCRPPPRCSSSSSPKLSAAVQFDPLLRSGSGSDSDSDPWQQTTLEGEESDDDEEEIKGGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPAADAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHHRDDGSLATDEQDQSQAQPLLNGNTSAAAAFLGGFTDNGTLLFTRSLGLRTLLGLSPDPDSNNRVAFATHFQRSFMKLLRNAQFEELSAQDLLLTYALNNDYLLTLPIYVDWKKAAQSNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNVFFGLSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSILLIENSSWDKLRSDKLPDADVPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLTWTGLIPSLPEETIKSDKIDTKDLEGYVRPNFLPRITLANIWEPASRESCNNNLWEITKASFRILFGKSTLQEPAFQELILLYTDEADQSKESEKSDMMPLQLKIFERIPIPDLPVRLDIATVIGLLAYVVNYKFESFASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQVSSRVSIRDSCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSHVIALPCSDAYEILKSRWDSLLEHKTEQQGLMAKEQSGSPKARQPEFQRMRVTLTIGVIGLCVVSYIFGAWQGTSTSIQPSIVYTKTQCGDSVLRTSSNSSGQSSGPHLDFQAHHQVSFNESSLAAEKIPPCQLKYSEYTPCQDPRRARKFPKAMMQYRERHCPWKEELFRCLIPAPPKYKNPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGERFKFPGGGTMFPHGADAYIDDINALISFTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVMSTERIPYPARAFDMAHCSRCLIPWNKLDGIYLIEVDRVLRPGGYWILSGPPIHWKRHFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDANSEDEIAGGALEKWPKRAFAVPPRISRGSVSGLTTEKFQEDNKLWAERVDHYKKLIPPLTKGRYRNVMDMNAGIGGFSAALMKYPLWVMNVVPSGSAQDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADKIFSFYQDRCDITYILLEMDRILRPEGTVIFRDTVEVLVKIQSIADGMRWKSRIMDHESGPYNNEKILVAVKTYWTGEPTQKQ >LPERR06G00230.1 pep chromosome:Lperr_V1.4:6:142409:147432:1 gene:LPERR06G00230 transcript:LPERR06G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGPYTAHVVQSTKLTARPSRIGSDPQGGSPSSSSPPSPSPPSAAADLPRRASFFLYQAESMGSTPAAGANLRAALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKIYANKLVEHPVARVLSSVVSEQKISKHWLKRSVEARINDANRDDYAIPEAVSELERYSEDTQSTILYMTLQAGGIQSTISDHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTQEGDRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPPEAIPVLLPGVPAQVLMDSLRRCEFNVFDSRLSRGVHGISPLWYQIKLNWHAFRKKY >LPERR06G00240.1 pep chromosome:Lperr_V1.4:6:150332:151600:-1 gene:LPERR06G00240 transcript:LPERR06G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQASRDQVVYLYGATERCRINGGAAEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYASKHMSHLLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETSETAPPQASNHHIHKLAIPETCEEGWVVCRVFKKRLPTTRRDSEHDAPCSWYVDEDAPPAAFMSPMMSSRSMRGGITLQEQHLQMLDNNTYYKHRDQLISNKTQQQLQQVPAGHHHHHHLLNTMPHELESSASFHSLLVSPDHHQINMHHHAQADQLFDDMHAVDQVTTTDWRVLDKFVASQLSNDDATNKLPADYADEGDILQVNDKQEVATADYASTSTSSSQIDPWK >LPERR06G00250.1 pep chromosome:Lperr_V1.4:6:151195:151890:1 gene:LPERR06G00250 transcript:LPERR06G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPVAFQNPAAVARFVLVPVGYFLSLWLKKYHSFCSSSAAPPFIRQRSVAPYRSHGSIL >LPERR06G00260.1 pep chromosome:Lperr_V1.4:6:159286:163142:-1 gene:LPERR06G00260 transcript:LPERR06G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLALALAVAAGAFLAHATDPYAFFDWDVSYITASPLGVPQKVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTNCPIPPGWNWTYDFQVKDQIGSFFYFPPLSMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHPDLRKMLDDGKELGMPDGVLINGKGPYRYNDSLVPDGIEHETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVGILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVTINGKKRTTLSGISFSPPDTPLRLADLSDKTGVYTLDFPTMPIDGPPVMKTSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEIVAPDNMLYCGLLKDKQKAQKPHGSSSLSSSAATLNRYLLAVMVSMVAMFFQ >LPERR06G00270.1 pep chromosome:Lperr_V1.4:6:165855:168209:-1 gene:LPERR06G00270 transcript:LPERR06G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHHRYGYGDLLDDPFFLFFPPSSSSSSCPFLLGSSGCPFFPIESSPFDDDLIHSFLPPTSLLDPSPYPYPFLLHSLSDRVAHLELALAARTPKPSRRKSTYVTESAGRKVKWTTEDKPHGERAIKWEAEVKSPYDDGFDRKWKWEAKGSKATPATARKIKWGTELKGKGSLEPWSHSYTWEEDFSSSDDEEIEEELVHKKLATKEVKKKNKSKNKNEENVVVNKEQKKCPFSVKIEEIPPEDNNAGCVAIRKAFAMGNGKAKRKELSPQDAALLIQLNFRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRRRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGVISDEKTDGVNNAVKVIQKGKK >LPERR06G00280.1 pep chromosome:Lperr_V1.4:6:171352:171741:1 gene:LPERR06G00280 transcript:LPERR06G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGMRMSGNVAVACAMVGVVWLMSLGSKAAACDGHPCPTPAGKCPINTVKLGVCADVLDGLVHATVGKPPKEPCCPLIAGLADLDAAVCVCLALNANIIGLNLALPVDITLLLNYCGCNIPAGFKCA >LPERR06G00290.1 pep chromosome:Lperr_V1.4:6:172636:173724:-1 gene:LPERR06G00290 transcript:LPERR06G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDADGPSTFFRQLTLSECDERPPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLVDAVADKVRGEMLDLQHAAAFLPRVNIVSGTDIASLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRRIVPAAAEASPESLLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVAGMPVLAHLQRNHRSSAAAAKAFDAAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVIGVAAELELTEEEEKRLRVSADTLWGYCHALGL >LPERR06G00300.1 pep chromosome:Lperr_V1.4:6:177282:178730:1 gene:LPERR06G00300 transcript:LPERR06G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHPPHQYSSLIQEQQLAAAGKSPEPTSVLYNRSPSPPTSSSLGSSLKPDHHPIPPPNSIAAADVDDDWESVLMISGDMFAPHPQDSSFLRWIMVDAQPHAIPHNPFPFEEPNQPQDEDALVEHLLDAARHLDAGDITTAKGILARLNHLLPSPSPLLRAAALLRDAFLLPPPPPSSPPLDVPLKLAAHKALADASPTVQFAAFTSTQAILDALAAGNAARRVHIVDFDLAFGAHWPPLIHELARRSLTTSIKLTALVSPASRHPLELHLTYETLTRFAADLAVPFEFNAVAFDPLAAITSPATMGISAAPDEAVAVHLPSGSGTFSPAPAHVRLVKELRPAVVVSVDHGCERGDLPLPHHALNILRSCAALLESLDAAPAASQEMVSKVEQFILRPRVEHLALFAAGGGGGGEKAPPLQSMLASAGFAPLQLSNAAEAQAECLLRRTSNDGFHVEKKTQAALALWWRRSELVSVSAWRC >LPERR06G00310.1 pep chromosome:Lperr_V1.4:6:182373:186091:-1 gene:LPERR06G00310 transcript:LPERR06G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSPTMTEGNIARWVKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKIVHGDGAKEIKVGEIIAITVEEEEDIGKFKDYKASSPAESAAPAESKPQSEPTEPKVEKEQPKAPEPKATKTEESSLSEDRTFSSPVARKLAEDNNVPLSSIKGTGPDGRIVKADVEDYLAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDSRVDNLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLATIADEVKQLAQRARANSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >LPERR06G00320.1 pep chromosome:Lperr_V1.4:6:187741:195064:1 gene:LPERR06G00320 transcript:LPERR06G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWCLASPPAAAVAVAVRLPLRRRRRMASRAFQLRLNPLTGDSEWLVVEGEEEEEEAHQTPTPPPPPPRQLLATTSYLDMLNDSARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGDEPTGSVSACESYLPMGKLMRRVLRANGMENKVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAHDMLLAKNPKIVPYRAITYGQLVESTFLWKLHDLHSNETSAADGVWLTPGGMERIVSVKLQQHAMQCDALENEIRLLSEPFKVFEFDFWKRPDSHRETDIKIRTTCDGRGYFSWILLGQSFTQLPLDGLDNQVLRIYNMDWCDHWKQCVWFTQEKGIPATEDQVISLRARHNQTSISYQLNFNDEVCDRSLPGDHLTLLPERIALYGDKDWRSALINTIRNALTVKSSPTCVVADDSLFLALLISSLSPTSNVIAMYPGHREKGATYLRAVADANNFSINQIQVIGKRASSLTADDLKYKKWGSHFIMEVKECFRGKIYDLWKSRCRLTDVEGFDHSVVNETLGACGDLPGDQQGSCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDDKKSVILSTGPDNRYWKQGVQLISKPVEVNPGKSVMHVEASFDPSTGELTFSSTSSTCS >LPERR06G00320.2 pep chromosome:Lperr_V1.4:6:187741:195666:1 gene:LPERR06G00320 transcript:LPERR06G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWCLASPPAAAVAVAVRLPLRRRRRMASRAFQLRLNPLTGDSEWLVVEGEEEEEEAHQTPTPPPPPPRQLLATTSYLDMLNDSARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGDEPTGSVSACESYLPMGKLMRRVLRANGMENKVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAHDMLLAKNPKIVPYRAITYGQLVESTFLWKLHDLHSNETSAADGVWLTPGGMERIVSVKLQQHAMQCDALENEIRLLSEPFKVFEFDFWKRPDSHRETDIKIRTTCDGRGYFSWILLGQSFTQLPLDGLDNQVLRIYNMDWCDHWKQCVWFTQEKGIPATEDQVISLRARHNQTSISYQLNFNDEVCDRSLPGDHLTLLPERIALYGDKDWRSALINTIRNALTVKSSPTCVVADDSLFLALLISSLSPTSNVIAMYPGHREKGATYLRAVADANNFSINQIQVIGKRASSLTADDLKYKKWGSHFIMEVKECFRGKIYDLWKSRCRLTDVEGFDHSVVNETLGACGDLPGDQQGSCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDDKKSVILSTGPVIYLYNGIRMLLHVKK >LPERR06G00320.3 pep chromosome:Lperr_V1.4:6:187741:195064:1 gene:LPERR06G00320 transcript:LPERR06G00320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWCLASPPAAAVAVAVRLPLRRRRRMASRAFQLRLNPLTGDSEWLVVEGEEEEEEAHQTPTPPPPPPRQLLATTSYLDMLNDSARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGDEPTGSVSACESYLPMGKLMRRVLRANGMENKVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAHDMLLAKNPKIVPYRAITYGQLVESTFLWKLHDLHSNETSAADGVWLTPGGMERIVSVKLQQHAMQCGYFSWILLGQSFTQLPLDGLDNQVLRIYNMDWCDHWKQCVWFTQEKGIPATEDQVISLRARHNQTSISYQLNFNDEVCDRSLPGDHLTLLPERIALYGDKDWRSALINTIRNAVSFPNSFNFPLTVKSSPTCVVADDSLFLALLISSLSPTSNVIAMYPGHREKGATYLRAVADANNFSINQIQVIGKRASSLTADDLKYKKWGSHFIMEVKECFRGKIYDLWKSRCRLTDVEGFDHSVVNETLGACGDLPGDQQGSCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDDKKSVILSTGPDNRYWKQGVQLISKPVEVNPGKSVMHVEASFDPSTGELTFSSTSSTCS >LPERR06G00320.4 pep chromosome:Lperr_V1.4:6:187741:195064:1 gene:LPERR06G00320 transcript:LPERR06G00320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWCLASPPAAAVAVAVRLPLRRRRRMASRAFQLRLNPLTGDSEWLVVEGEEEEEEAHQTPTPPPPPPRQLLATTSYLDMLNDSARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGDEPTGSVSACESYLPMGKLMRRVLRANGMENKVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAHDMLLAKNPKIVPYRAITYGQLVESTFLWKLHDLHSNETSAADGVWLTPGGMERIVSVKLQQHAMQCGYFSWILLGQSFTQLPLDGLDNQVLRIYNMDWCDHWKQCVWFTQEKGIPATEDQVISLRARHNQTSISYQLNFNDEVCDRSLPGDHLTLLPERIALYGDKDWRSALINTIRNALTVKSSPTCVVADDSLFLALLISSLSPTSNVIAMYPGHREKGATYLRAVADANNFSINQIQVIGKRASSLTADDLKYKKWGSHFIMEVKECFRGKIYDLWKSRCRLTDVEGFDHSVVNETLGACGDLPGDQQGSCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDDKKSVILSTGPDNRYWKQGVQLISKPVEVNPGKSVMHVEASFDPSTGELTFSSTSSTCS >LPERR06G00320.5 pep chromosome:Lperr_V1.4:6:187741:195666:1 gene:LPERR06G00320 transcript:LPERR06G00320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWCLASPPAAAVAVAVRLPLRRRRRMASRAFQLRLNPLTGDSEWLVVEGEEEEEEAHQTPTPPPPPPRQLLATTSYLDMLNDSARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGDEPTGSVSACESYLPMGKLMRRVLRANGMENKVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAHDMLLAKNPKIVPYRAITYGQLVESTFLWKLHDLHSNETSAADGVWLTPGGMERIVSVKLQQHAMQCGYFSWILLGQSFTQLPLDGLDNQVLRIYNMDWCDHWKQCVWFTQEKGIPATEDQVISLRARHNQTSISYQLNFNDEVCDRSLPGDHLTLLPERIALYGDKDWRSALINTIRNALTVKSSPTCVVADDSLFLALLISSLSPTSNVIAMYPGHREKGATYLRAVADANNFSINQIQVIGKRASSLTADDLKYKKWGSHFIMEVKECFRGKIYDLWKSRCRLTDVEGFDHSVVNETLGACGDLPGDQQGSCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDDKKSVILSTGPVIYLYNGIRMLLHVKK >LPERR06G00330.1 pep chromosome:Lperr_V1.4:6:195793:202691:-1 gene:LPERR06G00330 transcript:LPERR06G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEADADFFDNLAVDDDDIGGGGADTHHRPTSDIDAAAALSALTLTLTQDAQPEPEAAPPQPEPETGVPPPPPPAPEPEVAAAALPELEMNAPAVAPSGSPGSAKGVHTAVKQVQWSAFAGSNSGVGAATGDYDPFGEFMAGASVGVGEDTFFGGNDSMMGDQPMQPSVVATTSSIGSTDQSFYNELGTVADSQSGWAAASAAEFMDHSTNVQSESTGATVDSASTDPKYLETLYPGWKYDEATQQWYQVVDTYTVQGNADNLGALPVVGGDNVQQQQFSVTYLHNSSQAGLETIAEEGTTMAASWGPNDNNIGAVEYPSNMVFYAEYPGWYFDTNTQQWHSLELYQQGDPQTETTAASSGGLIGTGDSDHSHQGQPQHGSWGDSSLAGSFYGSSNQHTENQVAQKANVEPFKSSINYHANINTSSHQSSYKGFEPSTSHQSTYTNHHSGYEGSESSTVQQAVHQGFKPSTNMQNHKGFEPYSGHQSGYKGYEYSTGYQIGHEQFEPSTDNKASHAPYEHSPSHFNSVTVVSKPQDPVPTANMSPSQAHADPDRYMHFPNNYLSAGNPVNFAQPQLISSNSSVQQFGYTPNEQRSSAGRPTHTLVTFGFGGKLVVVREASSMSTNFDSRNQGNSSGMVSILDVSEIVSDKVDHQSVPNGSTLSYFHALCRQPIPGPLVGGSPAAKDVNKWLDDIIGGYDSSIREFQGGDDQKLLGIDGPEMAVTKLFSSCKSKGDYGAIAHCIKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALLLALRLGDKFYEDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNVENPIDGNYGNLHMPQRSVEAVNPKGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKERNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFISPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLVYAYMLVEVGKVSDALRYCQACLKVLKASGRAPELEALKQLFSSLEERIRTHQQIVLFMLTDDQLTIIQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSSLPPVPQDSLTERDSYSAPAAANVVNSQPAMAMSSLMSSVSEQSMSEMSGNSGPGKKVPHNRSVSEPDFGRNPKQGAGLDNAQSTSGSGSSRFGWLLQKTIGFVSKSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKASFQNGIPDHNLNGAPNVSYTANGFSEARPLNPSEPSLGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGANAAGPSYSKPATPSMSQLSGATFFVPTPAAVASEQIPEPTINVHLDQPSSSAAMRESSASPAPSVPVQSSIQRYPSMDNIMTPSGSGNDSSFSRSRAASWSGAYSEQLSSNAVSRSPDGQRTMMQSPLIPRQKHSHSRSSSNSSLQFNNGLGEDLQEVEL >LPERR06G00340.1 pep chromosome:Lperr_V1.4:6:203850:206923:-1 gene:LPERR06G00340 transcript:LPERR06G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGEGSGKKKVEEGVIVGGLLVAQCILAGYVVFVHHVLSLGANPLALIVIGGVASSLFFLPFAIALERKKWPSKISRTLMVQFVLIALGGTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIVAACFRLEKFDKACKYTRAKIMGTLVCLVGAMAMSFLQSPVSSSSPQLRTNSEPATADGTYYDWILGCSYLFMGVVVLSLYNVLQAATLVSFPAPLTMCSITSMMGAVFTAILQFILEGKIDIGSPKIDLKIISGIVLMGGGLVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFGQILSIGSLAGMVLMFCGLYVVLWAKSNEGHLEEERGDVEKALLS >LPERR06G00350.1 pep chromosome:Lperr_V1.4:6:208317:211206:-1 gene:LPERR06G00350 transcript:LPERR06G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTLCAMPDFAQVYNFLGSVFDPETSGHLQRLREMDPIDVETRKALASHGSGVDQVKHEALGDLGSTHNLQLPFMGIRTGTMKFEVYWISTL >LPERR06G00360.1 pep chromosome:Lperr_V1.4:6:211495:213258:-1 gene:LPERR06G00360 transcript:LPERR06G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWTCLLLPPPSLTASLCHRNIFHPPQHQRRSIERALNPSDLEEGGIVSRREEMSSGTEHHPLDSSAGEPPEDDAGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQPHKLSYHKLLI >LPERR06G00370.1 pep chromosome:Lperr_V1.4:6:213465:220254:1 gene:LPERR06G00370 transcript:LPERR06G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSEPPEPADPKPKMVISYSREFLISVGESERCKKLPQGFDATLLSDLQEMSAGVLDRNKGYYATPLGRSDGSGGYSYSSRGGNSGGRWEVRSSGSSDREGDLPDRDSSMQDRRNGNQYRRNWQSTEHDGLLGSGVLPRPPGYGGQLASKDRGNTYQPNRTSERYQPPRPKAGPFSRKDIDAMNDETFGSSEYSNDDRAEEERKRRASFEMMRKEQHKALQEKKSGPDIEKENSGHDIISLLQTPSERTGATTKSEKPDGSAISSAYQEDTSKTSSIVAASTARPLVPPGFSNAFMEKKLQPQSSNTSLEPKVIDATSEDNILATARFGGLVEGNQPAAEITASKNKEKDVPDDIVSVGQRHTLPSGGITYSAEFASSILKGSGDWEGDVMDKYSFENEGKSKNVDSVRKDNSLSILDQFFGSTLSKGGTDLPSYVENQQKKTDENVNVSSLPESSKFARWFCDEDLKPAEDLSSKGLLSMIVKNEKPDQESIAPGPPLSDGAGQNLLPRSPTHKSDVAPTLLSFAAPAPAVGILERNNHADIPEPAPVMMTCEDLEQTMLAQVASSSNSTQKNIVKEHQPVLDPPTATQKVAVDNNASHHLLSLLQKSTDNKGSSSLGFQIGSADRPHSSDVTANGGVPGTTPINKAETAPTSAKNITLEALFGAAFMNELQSKDAPVSIRGSATGGANFEFTDTIKNSRASSHEGYYPGEQVLPFGTIKDGAPTKEPGTGMEYRNSVLSGPSQGSAILDKKGFEIQLPEEDNLFTVNDSLDGQKPDILPSVRSSRVEGLLPEKAVDDLNYRLQNLVPGDSEHVQVLGPDALGSHSHERRYQVESQNLYHLLQGRPPALAPRPMMDHIGNRNQQAPFDMTQALQHDPHRSFPSNMNPMQQSLHVPRVPHVDPAAHHLMLQHISAPGGFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHIHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERLIQMELTARSKQIHPPMAGPVPGGMYGPEVDINLRYR >LPERR06G00380.1 pep chromosome:Lperr_V1.4:6:220714:222928:1 gene:LPERR06G00380 transcript:LPERR06G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRLLPATPPSRCPPPASSRHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLPAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKVGFFSFFGG >LPERR06G00390.1 pep chromosome:Lperr_V1.4:6:223256:225511:-1 gene:LPERR06G00390 transcript:LPERR06G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVGRNSSPFTDQPIETRDGRNKYALPQGLFCNFVADRTSPTASSRLRERNRSEKLMTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTTLKPRKEGQNTQEEVQKRNLRDELEDRERKHYSSKDKSYAEERDRRKSASLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEDAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >LPERR06G00390.2 pep chromosome:Lperr_V1.4:6:223256:225263:-1 gene:LPERR06G00390 transcript:LPERR06G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTTLKPRKEGQNTQEEVQKRNLRDELEDRERKHYSSKDKSYAEERDRRKSASLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEDAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >LPERR06G00400.1 pep chromosome:Lperr_V1.4:6:226744:228774:-1 gene:LPERR06G00400 transcript:LPERR06G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQAPNASPLHLLLCVVLLAASTSAAARRHGPSVSGQSMYLAPSCRAHTASLTDFGGVGDGNTSNTAAFKSAVDHLSQYSGEGGGGGMLYVPAGRWLTGPFNLTSHFTLYLDSDAVILGSQDMSEWPIIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVISGGNGTIDGQGAMWWSKFHSNKLKYTRGYLVEVMHSDTVVITNVTLVNSPAWNIHPVYSRNVVVKGVTILAPTRSPNTDGINPDSCSHVSIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSEHIVIRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVNSESAVRIKTAVGRGAYVRDVFVRGMNLDTMKWVFWMTGNYKSHPDDGYDPNAIPVVENISYQDVVATGVYKEAARLEGIQGAPFKGICMANVTATLSKSRKYPWNCADVEGVSVGVTPPPCQPLQGAHDGACPFPTDTLPIDQLVLQQCAYSVPKI >LPERR06G00410.1 pep chromosome:Lperr_V1.4:6:231887:234101:-1 gene:LPERR06G00410 transcript:LPERR06G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLKICNHWEDFVGFGFAPDSQGVDTYPSGRPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDYEAPLYQEVLPQSDIHPGPIAFVSPLQKREEAAAAASSATESARWNQQ >LPERR06G00420.1 pep chromosome:Lperr_V1.4:6:234647:237624:1 gene:LPERR06G00420 transcript:LPERR06G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEVVAHVYDVASSGSEGGGGNTAIVHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGSGVFSCPPCKNPMYTYRESIVLGKTSCSIFTVNQILRELSWKWPGGSYELLSRNCNHFCNAFCEKLGVPKLPAWVNRFANAGDAALEVAENTAVKLKQAKKEIAGACKTATTYLTGASSSSPSNAEDTGGSTNNSLFEGTWIRSIIGISMKPSRSLMCADSSDDEKSEDDSESDCEQSSSDHIEDKKDATQEQTVDSENRAGCNP >LPERR06G00420.2 pep chromosome:Lperr_V1.4:6:234647:237765:1 gene:LPERR06G00420 transcript:LPERR06G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEVVAHVYDVASSGSEGGGGNTAIVHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGSGVFSCPPCKNPMYTYRESIVLGKTSCSIFTVNQILRELSWKWPGGSYELLSRNCNHFCNAFCEKLGVPKLPAWVNRFANAGDAALEVAENTAVKLKQAKKEIAGACKTATTYLTGASSSSPSNAEDTGGSTNNSLFEGTWIRSIIGISMKPSRSLMCADSSDDEKSEDDSESDCEQSSSDHIEDKKDATQEQTVDSENRAGCNP >LPERR06G00430.1 pep chromosome:Lperr_V1.4:6:238247:240979:1 gene:LPERR06G00430 transcript:LPERR06G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMAALLFSNQSSLPYYRLARSPSRLPLHLRPLPYRRHAAGPLRAAALPVPAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAAMSGALVSIMAGLAATATGLVTAGAPAHDAVMEYLLPATVPLLLLGADLRRVVTTTGDLLKAFLIGSVATTIGTTIAYLLVPMRSLGQDSWKIAASLMGSYIGGAVNYVAISEALGVSPSVLAADGQNGNGDSDGRNRMSVLHGGAAVALSFVICKAGTAISNRLGLQGGTLPCVTALVVILATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFLFALVQVSVHLAIVLGVGKLMGFDRKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFLGIGFGMFVLRRICAAG >LPERR06G00440.1 pep chromosome:Lperr_V1.4:6:250887:253620:1 gene:LPERR06G00440 transcript:LPERR06G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVIGGGLPVVAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAMFLGPIAYFKERKTRPKLTLEILAYLFVSAALGAALRQYMIFVGLRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRTKTGIAKLVGTLISLGGAMVLTFYKGVPVTHTKIHSSSSQLHHGAGAAAATISSRNWTLGTLAILGNCVCLSCWFLLHSRLAKKYPHVYSCNAFMSMFSFLQVAVVGLCTERNIAVWIVKSKFQILTVLYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQLFLGSVLGSVLVIGGLYLLLWGKRQEALHRPPKVAEHDKDQQQVQL >LPERR06G00450.1 pep chromosome:Lperr_V1.4:6:253553:255665:-1 gene:LPERR06G00450 transcript:LPERR06G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSTSAAAVTTKASPSPATNCFLPCLPRTRPAAARGLLLRAQVSTTDAPAAAPAKKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEAEIPYREGQSIGVIADGVDAKTGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVQITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAEGQKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEVY >LPERR06G00460.1 pep chromosome:Lperr_V1.4:6:258581:259183:-1 gene:LPERR06G00460 transcript:LPERR06G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTAIVGWESSRREKLQGGGHGGGGERREHMFEKVVTPSDVGKLNRLVVPKQYAEKYFPLGAAARSSPAGTVLCFEDAGGGGETWRFRYSYWSSSQSYVITKGWSRFVRDKRLAAGDTVSFCRGSGGRLFIDCRRRADSSTSLSPVAAAALIKAQRPPSMSVVVDEKEVVHGGGRRCLRLFGVDLQLRAETPALDLQL >LPERR06G00470.1 pep chromosome:Lperr_V1.4:6:263891:268599:1 gene:LPERR06G00470 transcript:LPERR06G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGGGRRRGGRREMRRIEDATSRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPDLEDTIDRYISHTEEAAANKKPGELTAHHVQKMKIQAETIATEIGTVEAYTRKMQGEDLESCSLQELNDLEMQLEKSLSSIRLHKQKKMMDKISELQQQEKILSEENALLLSDLQCKVQHVPSEADDAAPERELNHQHSRDIDVDTELKSVPKQFMPK >LPERR06G00470.2 pep chromosome:Lperr_V1.4:6:263891:268599:1 gene:LPERR06G00470 transcript:LPERR06G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGGGRRRGGRREMRRIEDATSRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPDLEDTIDRYISHTEEAAANKKPGELTAHKMKIQAETIATEIGTVEAYTRKMQGEDLESCSLQELNDLEMQLEKSLSSIQLQQQEKILSEENALLLSDLQCKVQHVPSEADDAAPERELNHQHSRDIDVDTELKSVPKQFMPK >LPERR06G00470.3 pep chromosome:Lperr_V1.4:6:263891:268599:1 gene:LPERR06G00470 transcript:LPERR06G00470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGGGRRRGGRREMRRIEDATSRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPDLEDTIDRYISHTEEAAANKKPGELTAHHVQKMKIQAETIATEIGTVEAYTRKMQGEDLESCSLQELNDLEMQLEKSLSSIRLHKAS >LPERR06G00470.4 pep chromosome:Lperr_V1.4:6:263891:269040:1 gene:LPERR06G00470 transcript:LPERR06G00470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGGGRRRGGRREMRRIEDATSRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPDLEDTIDRYISHTEEAAANKKPGELTAHKMKIQAETIATEIGTVEAYTRKMQGEDLESCSLQELNDLEMQLEKSLSSIRLHKAS >LPERR06G00480.1 pep chromosome:Lperr_V1.4:6:269708:270562:-1 gene:LPERR06G00480 transcript:LPERR06G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRANPAIFFLAAFTLAQVATAGFTSDFWQQQPQPDPSPNGGAVKPYKTSDWHDGSATFYGDPSGIGDDFGGACGYVSNDIVSLYSAATAALSTPLFSDGNGCGQCYEIRCVKSPWCNPGSPSVIITGTNLCPPNWYLASDNGGWCNPPRQHFDMAPPSFLKLAQRVAGIVPVQFRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVAALSVRSSNGGNWMQAAHNWGITYQVFAALDNTAGLAVKLTTFSSPQQTIVVGDAIPAWWITGLCYQGSNNFY >LPERR06G00490.1 pep chromosome:Lperr_V1.4:6:279494:283082:1 gene:LPERR06G00490 transcript:LPERR06G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQHQQQHHHFFDFSSPPNAMISSSSSSFDHAAGLLSLHDAAAADDDHVHVHVRAAGSGPSPWSQQQVSLNLYNPAAAAGAGPFHQHQQQIAAAPAAMQMQQQPLFQLRESKYLGPVKDLLAEFWSLEIEATNNHTNPKMGKWDDDSSSTSWGNLSLSSMDLIDLERRKARILSMIEEVDRRYRRYGEQMRLVEVWFEAVAGSGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRKAMGERDAAGGMVAPGATKAHTPRLRVLDQCLRQQRTFQQSGAIDNFPWRPQRGLPERAVAALRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETNPESDSSKLNPTNAGGGSAGNKLVEQHRDDKNNYTAATTIQSSTTATSLLVGQSYHHLRSSANSNNSSSLIQSNIDHQAADGGSHQQLFGHSYSSASASLHGGRAVSLTLGLQHQQPPFSSASMAMQQQQSFMVELAAEEEDDALPYRNLMESQLLHDFAG >LPERR06G00500.1 pep chromosome:Lperr_V1.4:6:283709:284815:-1 gene:LPERR06G00500 transcript:LPERR06G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTRCPPSLHLHLHRRRRPGVAVSTMIRCCEDTLGVPRRRRPTHESELAESQRFPELVTPYGASSYLPQFSHHHQPPPPPPRPRRIVLVRHGESEGNVDESTYTRVPDPRIPPLGRLHAADCGRRLHRLFSSNSDDWKVYFYVSPYRRTLETLRGIGRAFEARRIAGVREEPRLREQDFGNFQDGERMRADKEARRRYGRFFYRFPDGESAADVYDRITGFRETLRADIDIGRFQPPEDRNPDMNVVLVSHGLTLRVFLMRWYKWTVKQFEGLSNLANGGAVVMQTGEGGRYSLLVHHTVEELREFGLTEEMIQDQMWQKTARPGELNFNFITNGPSFFTHFSDYDYDAAASCFDDRAAADYDNA >LPERR06G00510.1 pep chromosome:Lperr_V1.4:6:286774:287217:-1 gene:LPERR06G00510 transcript:LPERR06G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPIGGYSGVPRLLLHLLFLLSHLRRLSSCLLRLAGAHIDDSPVAADADSDHYYNSQLLDDHSPALRFDALMRSSHHHQTMSCAVCLREFHAAAQVRRAHRCRHVFHRQCLDAWASHGHRTCPLCRSPLLPPPPVLLPLPLPLPAS >LPERR06G00520.1 pep chromosome:Lperr_V1.4:6:295288:298594:1 gene:LPERR06G00520 transcript:LPERR06G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAMAQIDEWKPVIAMLFFDLISAVTTALLKKALADGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEIFVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAVLLRVESLNMKNKAGAAKITGTLMSFAGVMLLTLYKGVALTHQAVSSLSSDHHAVIEETNKKSWTLGTVALLANCLCFSFWLLLQTKLTKKYPALYSSTAYMFLISSLQGGGLTAAIQRRASVWALTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFFLHENLYLGSVVGSILMILGLYILLWGKNRDASAASVKEEEEDKEKQMPSEHRGTL >LPERR06G00520.2 pep chromosome:Lperr_V1.4:6:298532:302070:1 gene:LPERR06G00520 transcript:LPERR06G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQLVATVFLGPIAYFKERKTRPKFTTEIFIYMFLSGILGPVLLQYTLFVGLEFTTATFAATFANMLPVVTFLISLAFRFEVLNVKSRSGSAKISGTLISLSGAMMLTFYKGSALTHTPSSSSPASTSGDRQTEEHGTVRWVLGSVSMLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALAIERSSFSVWALKGTIEIATVVYCVRSLHSSLVFQQIAYVLSIFFCIVDKYQGVVASGIGYLMLTYCVEKRGPVFTAAFSPLSQIFVAAIDLFILHEPLYLGSVLGSVLVILGLYLVLWGKREEAASAIALPEKPVQSAEAAPVDVEQQQEKSVIN >LPERR06G00520.3 pep chromosome:Lperr_V1.4:6:298532:302070:1 gene:LPERR06G00520 transcript:LPERR06G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQLVATVFLGPIAYFKERKTRPKFTTEIFIYMFLSGILGPVLLQYTLFVGLEFTTATFAATFANMLPVVTFLISLAFRFEVLNVKSRSGSAKISGTLISLSGAMMLTFYKGSALTHTPSSSSPASTSGDRQTEEHGTVRWVLGSVSMLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALAIERSSFSVWALKGTIEIATVGVVASGIGYLMLTYCVEKRGPVFTAAFSPLSQIFVAAIDLFILHEPLYLGSVLGSVLVILGLYLVLWGKREEAASAIALPEKPVQSAEAAPVDVEQQQEKSVIN >LPERR06G00530.1 pep chromosome:Lperr_V1.4:6:303233:311597:1 gene:LPERR06G00530 transcript:LPERR06G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARHVVSKSELRKHISELGLSGYPAERVAVELRSRIPKLSRQKLGPFAARARPGGRSHFQSELLRHISDRGLAGFSAEHVADELRRNIDKFKRQKLPPLTAAVRRALDTLPSQASDSDSDSDSHASTSLSTEPQPPHPEDDLTRSILRTNYISQARKQVAASNHQLEIDVATEKPRRLITSDGGAGGEAKPEAAPSSEGGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSTGGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILAMLTRNLRLEGQLDLFKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFRQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSSIELPHFERALAKLQPSVSEQQRKHYEALCKKYSAS >LPERR06G00530.2 pep chromosome:Lperr_V1.4:6:303233:310987:1 gene:LPERR06G00530 transcript:LPERR06G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARHVVSKSELRKHISELGLSGYPAERVAVELRSRIPKLSRQKLGPFAARARPGGRSHFQSELLRHISDRGLAGFSAEHVADELRRNIDKFKRQKLPPLTAAVRRALDTLPSQASDSDSDSDSHASTSLSTEPQPPHPEDDLTRSILRTNYISQARKQVAASNHQLEIDVATEKPRRLITSDGGAGGEAKPEAAPSSEGGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSTGGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILAMLTRNLRLEGQLDLFKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFRQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSSIELPHFERALAKLQPSVSEQQRKHYEALCKKYSAS >LPERR06G00540.1 pep chromosome:Lperr_V1.4:6:309653:310426:-1 gene:LPERR06G00540 transcript:LPERR06G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKLASSPATMEKTTATPTPVEAVLFDIDGTMCVSDPFHHRAFSELLQKLGYNSGVPISPEFGMAHMAGRSNDQIGRFLFPEWGQDQLDAFFAEKEALFARYAGEGLREIAGVTDYCRWAAGHGLKRAAVTNAPRANAELMISILGLSDFFQVIVSAADDCDLPKPSPEPYLRALSLLGVSADQAIVFEDSVIGVQAGVAAGMPVIAVAEESREAKVVAAGASLVIRDYKDDKLWAALDKLNTAAAAQVDGELGA >LPERR06G00550.1 pep chromosome:Lperr_V1.4:6:311822:316828:1 gene:LPERR06G00550 transcript:LPERR06G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVAAAACAADDRSSSAAAARRNTAPPTPTAQVAGGGGEDEDGEGGRGHAHGGVRRRQRVQWHRFVQLERRLIVSKTGLDGTYTQESRRLISSWEKDNNCICHSTMIKNLMHEGKLVSSDLIVKLILKAMRESGNDKFLVDGFPRNEENRQAYEKIIHIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFDVFQQSTLPVIQYYEKMGKLRKVDGTKQADEVFEDVKAIFSQLNNQENHADQQGSGLSRAPTNPFKRWFFDLCCGCFGTQEARN >LPERR06G00560.1 pep chromosome:Lperr_V1.4:6:322662:333277:1 gene:LPERR06G00560 transcript:LPERR06G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWVVAAAVIIAGVVAVDAVVRRVHDWARVAALGAERRSRLPPGEMGWPLVGAMWSFLRAFKSGDPDAFISSFIRRFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKLRTKLKAVQLKFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKVSDEY >LPERR06G00560.2 pep chromosome:Lperr_V1.4:6:322662:333277:1 gene:LPERR06G00560 transcript:LPERR06G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWVVAAAVIIAGVVAVDAVVRRVHDWARVAALGAERRSRLPPGEMGWPLVGAMWSFLRAFKSGDPDAFISSFIRRFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKLRTKLKAVQLKFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKVSDEY >LPERR06G00560.3 pep chromosome:Lperr_V1.4:6:322662:333277:1 gene:LPERR06G00560 transcript:LPERR06G00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWVVAAAVIIAGVVAVDAVVRRVHDWARVAALGAERRSRLPPGEMGWPLVGAMWSFLRAFKSGDPDAFISSFIRRFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKLRTKLKAVQLKFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQVVDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKVSDEY >LPERR06G00560.4 pep chromosome:Lperr_V1.4:6:322662:333277:1 gene:LPERR06G00560 transcript:LPERR06G00560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWVVAAAVIIAGVVAVDAVVRRVHDWARVAALGAERRSRLPPGEMGWPLVGAMWSFLRAFKSGDPDAFISSFIRRFGRTGVYRTFMFSNPTILAVTPEACKQVLMDDESFVTGWPKSTVSLIGPKSFVSMPYDDHRRIRKLTAAPINGFDALTTYLTFIDDTVVATLRRWSESGGEIRFLTELRRMTFKIIVQIFMSGADDRTMEELERSYTDLNYGMRAMAINFPGFAYHRALRARRRLVAVLQRVLDGRRAAAAKGFARSGAMDMMDRLIEAEDESGRRLADDEIIDILIMYLNAGHESSGHITMWATVFLQENPNILARAKAEQEEIMRSIPPTQKGLTLRDFKKMQFLHQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKTFDPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKVSDEY >LPERR06G00570.1 pep chromosome:Lperr_V1.4:6:334686:340234:1 gene:LPERR06G00570 transcript:LPERR06G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVSASAVDATRDEIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDNDKSIEIGKRWENLILELCDEHFFYEEIENYNEPFLSAIKEYDDGKDLTAYDFEADCFSSPYDDMNKRKLAYRHRAIGEKYTKGLEKILDHHMVKVWNDLYSATDKYTDGWLSSAHKLLEEATGKSTSEPTAKRSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYNGPNVRFCAIGDGHEECSAAQIMKWPFVKIEFRPDAPHRFPGLNLSTIHRLMDAVYDSSSKDG >LPERR06G00580.1 pep chromosome:Lperr_V1.4:6:341246:341569:1 gene:LPERR06G00580 transcript:LPERR06G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKVKDKVSAAKAKGKVSKAKAEEKKEVATARSHAERELAHERAKAKVAAAKMELHQDKAIHREEAIQHRLNKHGAHRAATTAPPAPAGTTATTHPPPPATYY >LPERR06G00590.1 pep chromosome:Lperr_V1.4:6:344023:369364:1 gene:LPERR06G00590 transcript:LPERR06G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRRRPPRPERVMDNWERLVRAALKHQHRAPTAAASLGIGLASAVPPSLGKTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGAAIDRQNDIQVLWNFYQEYKSRRRVDDMQREQERLRESGTFSTEMGSRAVEMKKIYATLRALLDVLEILVGKSPSDRLGRQILDEIKRIKRSDAALGGELMPYNIVPLDAPSSVANSIGFFPEVRAATAAIQNCEDLPRFRYEGPQLRQKDIFDLLQYVFGFQEDNVRNQREHVVLALANAQSRLSLPGGTEPKIDERAVTEVFSKVLDNYIQWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANMAKELDGILDSSEAEPAKSCIIIHDDSSTSTSYLEKIITPIYQTMAAEAENNNNGKAAHSAWRNYDDFNEYFWSRSCFDLGWPPAEGSKFLRKPAKRKRLLTIIAFHHGKININTIKILLSAGPAFFILNFIECCLDVILTFGAYKAARGFALSRLVIRKVLEEKNARNSDSTYFRIYVLVLGGYAAVRLFFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVEPTNVIVTLRNLRKQKCFDPPESMGTSIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPRRISNRPVAQIMLANDYAIDCKDSQYELWYRISRDEYMAYAVKECYYSTERILNSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETVDRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSKIYWPKDPEMREQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEEDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSTAEYVDTQGYELSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVTSDGRTIKEYHSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYVFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGFTKGGASSFILITISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLALFTATPKKSTALPTFIRFLQGLLAIGMIAGIALLIALTEFTIADLFASALAFVATGWCLAVTWKWLVKAVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >LPERR06G00590.2 pep chromosome:Lperr_V1.4:6:344023:368157:1 gene:LPERR06G00590 transcript:LPERR06G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRRRPPRPERVMDNWERLVRAALKHQHRAPTAAASLGIGLASAVPPSLGKTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGAAIDRQNDIQVLWNFYQEYKSRRRVDDMQREQERLRESGTFSTEMGSRAVEMKKIYATLRALLDVLEILVGKSPSDRLGRQILDEIKRIKRSDAALGGELMPYNIVPLDAPSSVANSIGFFPEVRAATAAIQNCEDLPRFRYEGPQLRQKDIFDLLQYVFGFQEDNVRNQREHVVLALANAQSRLSLPGGTEPKIDERAVTEVFSKVLDNYIQWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANMAKELDGILDSSEAEPAKSCIIIHDDSSTSTSYLEKIITPIYQTMAAEAENNNNGKAAHSAWRNYDDFNEYFWSRSCFDLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLFRSCLDVILTFGAYKAARGFALSRLVIRKVLEEKNARNSDSTYFRIYVLVLGGYAAVRLFFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVEPTNVIVTLRNLRKQKCFDPPESMGTSIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPRRISNRPVAQIMLANDYAIDCKDSQYELWYRISRDEYMAYAVKECYYSTERILNSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETVDRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSKIYWPKDPEMREQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEEDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSTAEYVDTQGYELSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVTSDGRTIKEYHSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYVFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGFTKGGASSFILITISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLALFTATPKKSTALPTFIRFLQGLLAIGMIAGIALLIALTEFTIADLFASALAFVATGWCLAVTWKWLVKAVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRVNLTILDP >LPERR06G00590.3 pep chromosome:Lperr_V1.4:6:344023:367989:1 gene:LPERR06G00590 transcript:LPERR06G00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRRRPPRPERVMDNWERLVRAALKHQHRAPTAAASLGIGLASAVPPSLGKTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGAAIDRQNDIQVLWNFYQEYKSRRRVDDMQREQERLRESGTFSTEMGSRAVEMKKIYATLRALLDVLEILVGKSPSDRLGRQILDEIKRIKRSDAALGGELMPYNIVPLDAPSSVANSIGFFPEVRAATAAIQNCEDLPRFRYEGPQLRQKDIFDLLQYVFGFQEDNVRNQREHVVLALANAQSRLSLPGGTEPKIDERAVTEVFSKVLDNYIQWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANMAKELDGILDSSEAEPAKSCIIIHDDSSTSTSYLEKIITPIYQTMAAEAENNNNGKAAHSAWRNYDDFNEYFWSRSCFDLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLFRSCLDVILTFGAYKAARGFALSRLVIRKVLEEKNARNSDSTYFRIYVLVLGGYAAVRLFFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVEPTNVIVTLRNLRKQKCFDPPESMGTSIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPRRISNRPVAQIMLANDYAIDCKDSQYELWYRISRDEYMAYAVKECYYSTERILNSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETVDRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSKIYWPKDPEMREQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEEDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSTAEYVDTQGYELSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVTSDGRTIKEYHSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYVFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGFTKGGASSFILITISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLALFTATPKKSTALPTFIRFLQGLLAIGMIAGIALLIALTEFTIADLFASALAFVATGWCLAVTWKWLVKAVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >LPERR06G00600.1 pep chromosome:Lperr_V1.4:6:373341:375193:-1 gene:LPERR06G00600 transcript:LPERR06G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDADVKKGPWTPEEDKLLVDYIHKHGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGDFTKAEEEIIINLHATLGNKWSSIAGKLKGRTDNEIKNYWNTHLRKKLMNQGIDPVTHRPRTDLLAGIPNLLAAAGAGGQLPLIDINAIKLHADAAKFQILQGLIRVLTTAAGAGAGAGGGIDHLMTTMLASGLLGQQQQQQQQLQLQQQLLQQLDGVDLSRFGQQFDGSCNLPPLYDSSSSPAMSSLSPDSLLERYAGAGFQASDVMGSPEIVPPISMTTTTPVAAAPMPAMVAADQDGGGSGGVSPCEQTAAASSSTFEELQNLNLDELDINYERCWTEMLLEQLSNNASDMYPTKNNTTDM >LPERR06G00610.1 pep chromosome:Lperr_V1.4:6:387732:391493:-1 gene:LPERR06G00610 transcript:LPERR06G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTYLLLEEEEEEEEQKTPPPPSLSSPPPSVVVPALACFPLLYFPLPRCSSIMDVKPVRTVKVSNVSLSATVQDIKEFFSFSGEIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPTSSPPPMYSGTSIPVSGDNNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKFTMGTSIVNEKVKDLDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKIAKAATDVGTMTKEKMAAEEQHKSSGQSGGHSYTPIQ >LPERR06G00620.1 pep chromosome:Lperr_V1.4:6:392370:397820:-1 gene:LPERR06G00620 transcript:LPERR06G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDDSPPLPAGAISNLLIVIAMQTEALPLVNKFHLVEAPSNESIFPKGAPWIRYHGNYKGLHIDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGAGIGDVFLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAIQNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKSVTEVMKDDVLELMDSPSKQMMQADASYTNLTGIIKPKVESCDDDDELLPAPPASGSEDWEVTTPIGTGNPFFTTVISRSHLRNKYQMWVPHRFQRRLPEARTGVVLHCGGKSWPASYCGDLKVKKIDVAGWSNFAVDNRLRVGDACVFELIAAADTHLELKVQILRGDLPEEVTSKGATSDEPILIDD >LPERR06G00620.2 pep chromosome:Lperr_V1.4:6:392370:397820:-1 gene:LPERR06G00620 transcript:LPERR06G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDDSPPLPAGAISNLLIVIAMQTEALPLVNKFHLVEAPSNESIFPKGAPWIRYHGNYKGLHIDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGAGIGDVFLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAIQNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKSVTEVMDSPSKQMMQADASYTNLTGIIKPKVESCDDDDELLPAPPASGSEDWEVTTPIGTGNPFFTTVISRSHLRNKYQMWVPHRFQRRLPEARTGVVLHCGGKSWPASYCGDLKVKKIDVAGWSNFAVDNRLRVGDACVFELIAAADTHLELKVQILRGDLPEEVTSKGATSDEPILIDD >LPERR06G00630.1 pep chromosome:Lperr_V1.4:6:401741:405744:1 gene:LPERR06G00630 transcript:LPERR06G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELFPRQQLDLSLHISTPSSSSSPSPSLSPAPTSAIASWPWTKQQQLPQETTAAKTTTSARSACSPVLPPTTNSSTNHLANLQLKSPLQPIHGFPVYHGQQQQQKQRYEPYAVGGRSNDGGRRLFSGHRQIGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSYAGHNNDGFDNVSSGDISDDSFAEGPLRQNKSMLASDQNDTTNIYSGLWSNNSSGKVNRLGLSLPIREPTNEFYRRYLKNAQLNVDLDTSVLKLPGRPNLEFTLGIGKASQ >LPERR06G00640.1 pep chromosome:Lperr_V1.4:6:407437:412747:1 gene:LPERR06G00640 transcript:LPERR06G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELASLTRCQTVQALALLLFFLLVGSSMALPAQPVMDRARWQVDKVNRRGPSIGLVMSYIDEATALQSSGYFKPWHVHPFIDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTGAWTWKKFKSPKESDTELNFGEFNVPNGGGNLLGALKYRNEELYSVGKPMKEVFWLPVDSAWFRIAEGLKVKLERCNDTFCLPTTPKVVCGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKHKGTTLAQK >LPERR06G00650.1 pep chromosome:Lperr_V1.4:6:410966:413035:-1 gene:LPERR06G00650 transcript:LPERR06G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGARPVLRTFTSLLKLCAGRGDLATGRAVHAQLAARGLDTESLAATALANMYAKCRRPADARRVFDRMPVRDRVAWNALVAGYARNGMASVAMEMVGRMQEDGERPDSVTLVSVLPACGNARAIDACREVHAFALRAGVDELVNVSTAILDAYCKCGDVRAARAVFDWMPVKNSVSWNAMIDGYAENGDAKEALALFKRMVGEGVDVTDASVLAALQACGELGCLDEGRRVHELLVRIGLDSNVSVMNALITMYSKCKRTDLASQVFDELGNRTRVSWNAMILGCTQNECSEDAVRLFTRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLHQDVYVLTALIDMYAKCGRVNTARNLFNSARERHVITWNAMIHGYGSHGFGKVAVELFEEMKSIGIAPNETTFLSVLSACSHAGLVDEGRKYFTSMKEDYGLEPGMEHYGTVVDLLGRSGKLEEAWAFIQKMPVDPGISVYGAMLGACKLHKNVELAEESAQKIFELQPQEGVYHVLLANIYANASMWKDVARVRTAMEKKGLQKTPGWSIIQLKNEVHTFFSGSTNHQQAKEIYARLAKLIEEIKAVGYVPDIDSIHDVEDDVKAQLLNTHSEKLAIAFGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >LPERR06G00660.1 pep chromosome:Lperr_V1.4:6:427519:430398:1 gene:LPERR06G00660 transcript:LPERR06G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQTPSGTCDLNSGEGGGGAGDARTTGTKIGGGTGAVQSDGDRGYNCKDLEGEPQAKPLQDELELGFGCP >LPERR06G00670.1 pep chromosome:Lperr_V1.4:6:441423:457668:-1 gene:LPERR06G00670 transcript:LPERR06G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAALEEVCARLSLGLPVTEMWTALSGAFNKVGLTIDMAVKRILFARLIALPVITLVVEGVLVHPARMDVEDAERCGARLLASSPLRDNFLGIYDHRCSASKLSDIQRKTLQLIGASRTFGLTQRNLSKEAEKKGNNFHYVVKTLKSQGLIVGKQAIVKINAQAKTKAVSQNKCVINTNSLYLSRYAKKLNMNPYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKFLAVSDIKKDLSYRMQSGHRAWRNVLQRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKAKGTISNYKLGKKGLTTDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVAGKSKQGRVWTSKNFSLYNAALRNCDAPDDHDYCSVWPLIPSEESDSVSPYGFSVNNKLLLEEDCHDEPLVHHLLSSHEACVGISQPVEQDKVAFQKKRHCWPTSISDDRRQKKIIHILKKHSFVLMVELHKCLERVEKGNRKIFDRKTLIRTLNKLQQEGSCKCIKVNVPVVTNYTGSRSIGVILNSSEVMSPELMDQIRNRIRNFDSQSRSGAAAKLKIKQDTAAIHGLRVQRRVKVKKTSISEAIHDNGFIGAKMIRAIGLPNGSNDRVKEGQHYDKNLDQSCLLFSITAAIKKMPLELFLQVVGSAKIHTMITKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIVLSSHLSKLESVDTYWETLKYSYLTAGSAETSAFPGGCVPEVSHPRSWSSVRVMTAEQRLELQQCLMNQSETGKLSYKVCRMIAKELNLSLQQVLCASSRQFHGQASMSGTQNQRKISSRSNSQKRKRSAIEISMKFIKQKAEASGLASQREEITDKISPTSTESGFLEHAKLSRHSSSIHESKSMPIPFRFHENVIKFNEAEITKRGVCKSLAIANALELLKLIIRVRSASFINSNLPALFRAAAKEYYGQYSLSVSRSTMWGNCSPLFSGELLISPSLPSEGVGETDEPNSFTPFIKGTSELDDHTHKRKTVELISSKSKKHKPLPKIDSDFCYRREKGFPGIQVALNQERIQTSDLMQVLHHKECLMFTLAREMGSNDIDSQVERSETLTDLNNSRMQYIELVVDILERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYSQCSCLRAPAPEIESTGDTRNIMKEKYAMPFNLQETVKKLGDGHIASVLNVQSKPSSHLHSQSPGDDEGPSTWNWGSGCSHVCKSRIYHPILPWLNSDGSINSTVYEGFSRRIIGYVMQYPGIMEDDVIDRVNVLNPQTCRTLLGKLTIDKHLYVRVFDEPVSTAPTMLQNILRQGHNREPSKCGRRYFANPMSTFML >LPERR06G00670.2 pep chromosome:Lperr_V1.4:6:441423:457668:-1 gene:LPERR06G00670 transcript:LPERR06G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAALEEVCARLSLGLPVTEMWTALSGAFNKVGLTIDMAVKRILFARLIALPVITLVVEGVLVHPARMDVEDAERCGARLLASSPLRDNFLGIYDHRCSASKLSDIQRKTLQLIGASRTFGLTQRNLSKEAEKKGNNFHYVVKTLKSQGLIVGKQAIVKINAQAKTKAVSQNKCVINTNSLYLSRYAKKLNMNPYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKFLAVSDIKKDLSYRMQSGHRAWRNVLQRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKAKGTISNYKLGKKGLTTDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVAGKSKQGRVWTSKNFSLYNAALRNCDAPDDHDYCSVWPLIPSEESDSVSPYGFSVNNKLLLEEDCHDEPLVHHLLSSHEACVGISQPVEQDKVAFQKKRHCWPTSISDDRRQKKIIHILKKHSFVLMVELHKCLERVEKGNRKIFDRKTLIRTLNKLQQEGSCKCIKVNVPVVTNYTGSRSIGVILNSSEVMSPELMDQIRNRIRNFDSQSRSGAAAKLKIKQDTAAIHGLRVQRRVKVKKTSISEAIHDNGFIGAKMIRAIGLPNGSNDRVKEGQHYDKNLDQSCLLFSITAAIKKMPLELFLQVVGSAKIHTMITKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIVLSSHLSKLESVDTYWETLKYSYLTAGSAETSAFPGGCVPEVSHPRSWSSVRVMTAEQRLELQQCLMNQSETGKLSYKVCRMIAKELNLSLQQVLCASSRQFHGQASMSGTQNQRKISSRSNSQKRKRSAIEISMKFIKQKAEASGLASQREEITDKISPTSTESGFLEHAKLSRHSSSIHESKSMPIPFRFHENVIKFNEAEITKRGVCKSLAIANALELLKVFFLSSSSGSEVQASLTATFQLYSERKKASEFSKWLTGQQKNTTDSTVYLYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPNSFTPFIKGTSELDDHTHKRKTVELISSKSKKHKPLPKIDSDFCYRREKGFPGIQVALNQERIQTSDLMQVLHHKECLMFTLAREMGSNDIDSQVERNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDILERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYSQCSCLRAPAPEIESTGDTRNIMKEKYAMPFNLQETVKKLGDGHIASVLNVQSKPSSHLHSQSPGDDEGPSTWNWGSGCSHVCKSRIYHPILPWLNSDGSINSTVYEGFSRRIIGYVMQYPGIMEDDVIDRVNVLNPQTCRTLLGKLTIDKHLYVRVFDEPVSTAPTMLQNILRQGHNREPSKCGRRYFANPMSTFML >LPERR06G00670.3 pep chromosome:Lperr_V1.4:6:441423:457668:-1 gene:LPERR06G00670 transcript:LPERR06G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAALEEVCARLSLGLPVTEMWTALSGAFNKVGLTIDMAVKRILFARLIALPVITLVVEGVLVHPARMDVEDAERCGARLLASSPLRDNFLGIYDHRCSASKLSDIQRKTLQLIGASRTFGLTQRNLSKEAEKKGNNFHYVVKTLKSQGLIVGKQAIVKINAQAKTKAVSQNKCVINTNSLYLSRYAKKLNMNPYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKFLAVSDIKKDLSYRMQSGHRAWRNVLQRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKAKGTISNYKLGKKGLTTDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVAGKSKQGRVWTSKNFSLYNAALRNCDAPDDHDYCSVWPLIPSEESDSVSPYGFSVNNKLLLEEDCHDEPLVHHLLSSHEACVGISQPVEQDKVAFQKKRHCWPTSISDDRRQKKIIHILKKHSFVLMVELHKCLERVEKGNRKIFDRKTLIRTLNKLQQEGSCKCIKVNVPVVTNYTGSRSIGVILNSSEVMSPELMDQIRNRIRNFDSQSRSGAAAKLKIKQDTAAIHGLRVQRRVKVKKTSISEAIHDNGFIGAKMIRAIGLPNGSNDRVKEGQHYDKNLDQSCLLFSITAAIKKMPLELFLQVVGSAKIHTMITKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIVLSSHLSKLESVDTYWETLKYSYLTAGSAETSAFPGGCVPEVSHPRSWSSVRVMTAEQRLELQQCLMNQSETGKLSYKVCRMIAKELNLSLQQVLCASSRQFHGQASMSGTQNQRKISSRSNSQKRKRSAIEISMKFIKQKAEASGLASQREEITDKISPTSTESGFLEHAKLSRHSSSIHESKSMPIPFRFHENVIKFNEAEITKRGVCKSLAIANALELLKVFFLSSSSGSEVQASLTATFQLYSERELLISPSLPSEGVGETDEPNSFTPFIKGTSELDDHTHKRKTVELISSKSKKHKPLPKIDSDFCYRREKGFPGIQVALNQERIQTSDLMQVLHHKECLMFTLAREMGSNDIDSQVERSETLTDLNNSRMQYIELVVDILERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYSQCSCLRAPAPEIESTGDTRNIMKEKYAMPFNLQETVKKLGDGHIASVLNVQSKPSSHLHSQSPGDDEGPSTWNWGSGCSHVCKSRIYHPILPWLNSDGSINSTVYEGFSRRIIGYVMQYPGIMEDDVIDRVNVLNPQTCRTLLGKLTIDKHLYVRVFDEPVSTAPTMLQNILRQGHNREPSKCGRRYFANPMSTFML >LPERR06G00680.1 pep chromosome:Lperr_V1.4:6:475114:481092:1 gene:LPERR06G00680 transcript:LPERR06G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGLRHSNSSRLSRMSYSGEDGGGGRAPATGGGDRPMVTFARRTHSGRYVSYSRDDLDSEVSAAGIGNSGDFSPDRQEEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSIFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAINGCDAKVMSDERGDDILPCECDFKICADCFADAVKNGGVCPGCKESYKATELDEVAAAARPSTLSLPPPPGAGGVPAAASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGLGGGDSQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVICELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPDSYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDTVEPVKIPKATWMADGTHWPGTWIQSSAEHARGDHAGIIQVMLKPPSDDPLYGSSGEEGRPLDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKIKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWSSLMIPPIVIMMVNLIAIAVGFSRTVYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >LPERR06G00680.2 pep chromosome:Lperr_V1.4:6:475891:481092:1 gene:LPERR06G00680 transcript:LPERR06G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGLRHSNSSRLSRMSYSGEDGGGGRAPATGGGDRPMVTFARRTHSGRYVSYSRDDLDSEVSAAGIGNSGDFSPDRQEEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSIFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAINGCDAKVMSDERGDDILPCECDFKICADCFADAVKNGGVCPGCKESYKATELDEVAAAARPSTLSLPPPPGAGGVPAAASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGLGGGDSQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVICELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPDSYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDTVEPVKIPKATWMADGTHWPGTWIQSSAEHARGDHAGIIQVMLKPPSDDPLYGSSGEEGRPLDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKIKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWSSLMIPPIVIMMVNLIAIAVGFSRTVYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >LPERR06G00690.1 pep chromosome:Lperr_V1.4:6:482163:483605:-1 gene:LPERR06G00690 transcript:LPERR06G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSAADAETGDELARLVSLAEADLAAGRDRAALKHARRAARLAPDSPLATLLHTVASVLAADQSSPRATLLLPTSTSSPSPLSPSSLRRHYKSLTKSLRSGASSSFSVASAIKDAIQRADDAYAALSAPAPPPTFWTACAGCRLLHEFDRKYVGFRLMCPSCRRTFLAAEVPPPPEDAQPEEPVPPPPAKKKPRTERREMTLAEMQQQLTDNKRELTLAEMQQQLAKKGAIDDSSVVLLDDDDEEEEEVDEEQNIGTDMMDVEDSDFYNFDADRSEKCFKRGQVWALYGDDDGMPRHYALVVDMMAPGSRFRAQIRWLDLQSDGEEGKPCGEFKVGRLVTVHSVNIFSHLVAYERIAREAYRIYPKKGSVWAFHGGKDADSGRPKYEFAVFLSAYSELYGASFGYLEKVDGFRSIFTRRDIGRDAVQTLQKGDMGKLSHQIPARKVPKDEGSPLPPTDCWELDPASLPFELLQVEQRT >LPERR06G00700.1 pep chromosome:Lperr_V1.4:6:484455:493891:-1 gene:LPERR06G00700 transcript:LPERR06G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSAAEAEVEPCCISHAFDRAARRNPSHLAVIHAASSGGGSDIERRFTCADLHAAVSSLSRRIAGELRSSTSRRRDESSPGCSPRVVGVYASPSVEYIASVLAVLRCGEAFLPLDPSWPEERVRWAASASNAALVVSSAGLGAGRVFASSDCSVILMDDDDLWQRNIEDGNGGGIDREELIWPCDCEKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDVLLFKTSVSFVDHLQEFLSAVLTCTTLIIPPNDWRANPASLANLIKEYGISRMTLVPSLMEIILPTLEKNILWGHNPLKMLIFSGEILSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPTILKQEELTSVPIGFPIPNCEVCITTDGKVADEGEMHVAGACLFAGYLEESMISNHTEGNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRISLHEVESTLKEHPDVSTAAVTFQNNGSPNCRAYLVLKNSAASAEDCPPSKKYKSSQVIMPSIRSWLVMKLPPAMIPRFFLPIESLPLTSSGKIDYMKLSSLKCASESCEIETERNTVNPHLQRIKKAFCDGLHVNEVSEEEDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKQSCSLSYSHEPHSNKGLDLSSSIQSSFNLIAESVDDYFPGGKAHINGDGECAHDHIAGNFANEVDGQFDKNVPLSNDIHQTKSLLLDTCSNDRNSVDGSPWILNFCLQKKWSLGRCNRFMHGYEGNLQREDVCSYVPIPYSKRGYLQALWNIPLGSCVDASPLLVSNNGMLNIFIGSHSHSFLCIDACRWSVKLEGRIECSAAITGDFSEVVVGCYKGKIYFLDMLTGKLTWTIQTDGEVKMQPVVDRIRNLIWCGSYDYHLYALNYKDRCCAYKISCGGSIYGSPAIDMTHSMIYVASTSGLVTAISLEVSSFRIIWQYEAGAPIFGSLAIHHQSGNVICCLVNGLVIALNSHGSVVWKATVGGPIFAGACLSSGLPTQVLIPSRDGILYSFDTTSGALLWEYEVGDPITASSFVDEMLTSTSAQSSERFACICTSSGKVHIIRIRADAKQEKVKGSVCNDLVQGFAAIHLPGDIFSSPLMGYQQEEPLPSLAMHAFTRQTTQNWVFFSFALPWMQKCPFIVLLVCTILCGRILAQTFCGMPIRRCNSL >LPERR06G00710.1 pep chromosome:Lperr_V1.4:6:494572:497468:-1 gene:LPERR06G00710 transcript:LPERR06G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVACNLDEAAVGPRSDCQQLLHMSPCHVGPHPSQWRRPWDPHARCGCEEGVGGRRQARAHQASTRSNATQAEMAVARIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKAEGNLPLYGYHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLNIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDIPGSYHTEWFKIARDLKN >LPERR06G00710.2 pep chromosome:Lperr_V1.4:6:494572:497468:-1 gene:LPERR06G00710 transcript:LPERR06G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVACNLDEAAVGPRSDCQQLLHMSPCHVGPHPSQWRRPWDPHARCGCEEGVGGRRQARAHQASTRSNATQAEMAVARIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKAEGNLPLYGYHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDIPGSYHTEWFKIARDLKN >LPERR06G00720.1 pep chromosome:Lperr_V1.4:6:501781:508076:1 gene:LPERR06G00720 transcript:LPERR06G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPIGNGVAAPVVRPVYMPAAHRKQDARRADPPAAVAQVRRSSESVDSVPRNEGFSDDDDDSCSVSQESAQNFHGQRGSRAAAQEGRRAQVVTFGVTEDSRYESKEFDDASEQYVAVTRKEKRGRTCSRCGKRKWESKESCIVCDVRYCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNSEEMSNLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRMVSTNLTFNGKLQPNASNGNTQVYMNGREITNIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESVCILVKGVISFKSFTPLTRFACHLFSLPVPPANCDEPRDSEAPYSARTVPDYLDQKRVQKLLILGSPGAGTSTIFKQAKLLYDTRFNQEELDSFKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSGDESLHHDGNKSNGSNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPTEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSELYTDNHEAHSQTLNKYQLIRVSAKGINDGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSDGNSPLINKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFNDFSPLRTNHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKYIREVLKWEDEKDGGCYYPEESFYSTTELSSSRLIRQE >LPERR06G00730.1 pep chromosome:Lperr_V1.4:6:508552:520959:1 gene:LPERR06G00730 transcript:LPERR06G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGEVFFAGAFFSCYEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGLDNTSKHSRFHGVIANCNSFSFQAGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKAAESSPEEHAALAHREFTVSEMPFKKTCHSSLCEPHVWPGLLDSLLHQIIALLSSFQDLLAFSGTCHSWRAALSPFPSVYTFTFPPLHLKPDVPDACPPSNRFKYNHSSCCKWQLGDPSKRNLSLRCSAPWNTPSRMRYLGCSYGYLIFSYHENCLLVDAYAGTMVKPPKLKSTSNKGIYYGILTAPLNSPNSHILLCSRSSIFDWQVGTNSWSEHRFVGEHILQIVLFKGEMFAMDFHHRLHTMRFAPQLSMQEVGVMWGEEMYVGVHFKPWLVICGDMLLMLDLSTGFHQLYGFPATFQVFRLDFSSETAKWMKMEKLENQSLFVSLDRRSPTFSCMSPEKWGGKSNCIYVAKPSEDSDEPWTAVELGQPVPGTTHVSPYGHGLLQTNGHCSQLENLWVLPSFIYGVDKIPHKICIITQSMKAQWLTVMRIVQAVAPPSPALRNPLPRKDSDTPNGSSR >LPERR06G00730.10 pep chromosome:Lperr_V1.4:6:513710:520959:1 gene:LPERR06G00730 transcript:LPERR06G00730.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVKPENDHVVAEMRSAEDTAERSIGIPLTMLKIKAAESSPEEHAALAHREFTVSEMPFKKTCHSSLCEPHVWPGLLDSLLHQIIALLSSFQDLLAFSGTCHSWRAALSPFPSVYTFTFPPLHLKPDVPDACPPSNRFKYNHSSCCKWQLGDPSKRNLSLRCSAPWNTPSRMRYLGCSYGYLIFSYHENCLLVDAYAGTMVKPPKLKSTSNKGIYYGILTAPLNSPNSHILLCSRSSIFDWQVGTNSWSEHRFVGEHILQIVLFKGEMFAMDFHHRLHTMRFAPQLSMQEVGVMWGEEMYVGVHFKPWLVICGDMLLMLDLSTGFHQLYGFPATFQVFRLDFSSETAKWMKMEKLENQSLFVSLDRRSPTFSCMSPEKWGGKSNCIYVAKPSEDSDEPWTAVELGQPVPGTTHVSPYGHGLLQTNGHCSQLENLWVLPSFIYGVDKIPHKICIITQSMKAQWLTVMRIVQAVAPPSPALRNPLPRKDSDTPNGSSR >LPERR06G00730.2 pep chromosome:Lperr_V1.4:6:508552:521350:1 gene:LPERR06G00730 transcript:LPERR06G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGKFQEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKAAESSPEEHAALAHREFTVSEMPFKKTCHSSLCEPHVWPGLLDSLLHQIIALLSSFQDLLAFSGTCHSWRAALSPFPSVYTFTFPPLHLKPDVPDACPPSNRFKYNHSSCCKWQLGDPSKRNLSLRCSAPWNTPSRMRYLGCSYGYLIFSYHENCLLVDAYAGTMVKPPKLKSTSNKGIYYGILTAPLNSPNSHILLCSRSSIFDWQVGTNSWSEHRFVGEHILQIVLFKGEMFAMDFHHRLHTMRFAPQLSMQEVGVMWGEEMYVGVHFKPWLVICGDMLLMLDLSTGFHQLYGFPATFQVFRLDFSSETAKWMKMEKLENQSLFVSLDRRSPTFSCMSPEKWGGKSNCIYVAKPSEDSDEPWTAVELGQPVPGTTHVSPYGHGLLQTNGHCSQLENLWVLPSFIYGVDKTHYQEKTATPLMDLRDDASNKVTTLKASPSSVQRHGFHQKNPIGKDGNTQQRPNRKTTPTDVDIAGPKARLSPVISHLIMARLLASIATIIQEPSTCRPCASTPPPVTHQAGARHPVHFNSIHAFGLEGERVIEKNGSPLPPSPPT >LPERR06G00730.3 pep chromosome:Lperr_V1.4:6:508552:519541:1 gene:LPERR06G00730 transcript:LPERR06G00730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGEVFFAGAFFSCYEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGLDNTSKHSRFHGVIANCNSFSFQAGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKVGSKLGASEKR >LPERR06G00730.4 pep chromosome:Lperr_V1.4:6:508552:519541:1 gene:LPERR06G00730 transcript:LPERR06G00730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGKFQEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGLDNTSKHSRFHGVIANCNSFSFQAGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKVGSKLGASEKR >LPERR06G00730.5 pep chromosome:Lperr_V1.4:6:508552:519541:1 gene:LPERR06G00730 transcript:LPERR06G00730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGKFQEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGLDNTSKHSRFHGVIANCNSFSFQAGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKVGSKLGASEKR >LPERR06G00730.6 pep chromosome:Lperr_V1.4:6:508552:516127:1 gene:LPERR06G00730 transcript:LPERR06G00730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGEVFFAGAFFSCYEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGLDNTSKHSRFHGVIANCNSFSFQAGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKVGSKLGASEKR >LPERR06G00730.7 pep chromosome:Lperr_V1.4:6:508552:519541:1 gene:LPERR06G00730 transcript:LPERR06G00730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGSTNEAEILYGHLTELGAKPNDSAIATLIVQYGQIQQLEKAQKLFETALASYPGGAIYNAMVDALCKCGKTEEAYHLFLELMEQGHNGDAVTISILVSHLTKHGKFQEAENIIFRCLYDEAKLDTVVYNTFIKSMLESGGKLDKAVEMFTAAQELGLPTDEKIYTNMLSFYGKAGNHHEASLLFSKMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKTNHLPDSHTYIALIRAYTEGKCYSKAEEAIQMMLSSNVTPSCSHFNRLIFAFLKEGQIDEAQRIYNQMKEIGIAADLACCRTMMKMYLEHGYVDDGILFYEMECQLLKPDSYILSAAFHLYEHSGRESEAGDVLDAINMNGASLLRNLKVGSKLGASEKR >LPERR06G00730.8 pep chromosome:Lperr_V1.4:6:508552:516127:1 gene:LPERR06G00730 transcript:LPERR06G00730.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAASTAASITCSSSYEDDDGGSGATPWSLSSGTPRXXXXXAAAGAALPAPPPRRGAAPPPRAPGTTAQTPRWVRRTPDQMSRYVEDDRAGRLHGRLVVAAVRAARATASVPSGGGEGGIMREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGEEARCHT >LPERR06G00730.9 pep chromosome:Lperr_V1.4:6:508921:516127:1 gene:LPERR06G00730 transcript:LPERR06G00730.9 gene_biotype:protein_coding transcript_biotype:protein_coding MREAMASFVAKLTFREMCFVLGELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDVVACGTLLCAYARWGRHKDMLMFYAAVRLRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMMEANVVPNQFTYTVVIGSYAKESQLEEAMDAYGEMKRRKFVPDEATYSLLISLNAKHGKGEQALRLYEEMKVKSIVPSNYTCASLLTLYCKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDRAGLLSDEQTYVAMAQVHMNVQNYDRALQVLNSVRAGNVKPSQFFYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMKLDYLDKARALILKMRKEDLQLDEDLCVTVIEVCCKTGTNENSDRLMEEIQENGITMKNASIVIQNEGSSSVQSPTDSSALSMTLKSLLARPGGLSSVSQLITKFAREGEEARCHT >LPERR06G00740.1 pep chromosome:Lperr_V1.4:6:514771:515958:-1 gene:LPERR06G00740 transcript:LPERR06G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSKISGASGSTPPAMSVIQGWAELPDVLLHSIVALLGSFRDILAFTGTCHPWRVAFSSYPSKSAFRTILAPLLVRPNVRVKAPCLPSSSNVRRKLRSCEVIDLANRNTPLRCQIPQETLQRMLFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFREDCDEFYYCGILIGPITSPNSHLLISTQSSLFDWPVGSGSWSELKLPVNRVDQIVDFNGQLIAVIEYKLYTLELAPNLRLKKIKTIWWDNMRECPYLRPWLVVCDDMLLIVDHYITLSFGAPVNYRPYRLDMSTKPAKWVEVKKLENWALFIGGDVRSPPFSFKNPESWGGRSNCLYYAHYSQPLSLHGLGDDADAVWDPNTDEDLVFKRNWYGQLQALWVYPSMFYSDGDGL >LPERR06G00750.1 pep chromosome:Lperr_V1.4:6:521389:528131:1 gene:LPERR06G00750 transcript:LPERR06G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPESTAGKVLLQRGPLRKPVTHQLLHHLPCVNPMFGQWHLPLLEGCTIFLPILYILSPCHLSTSNQIYLISLSIALTIALHDLSKITSSLRCSAPRHTPNSMRYLGCSYGYLIFSNHENCLLVDVYTGAKVGTNSWSKHPFGGERILQIVLFKGEMFVMDFLDRLHTMRFAPQLSIHEVSVAQQVVMVTGDHHFNPWLVVCDEMLLMVHFPLVSNLTSGTFQVFRLDTSAETTKWTKMEKLENQALFLSLDRRSPTFSRMNPERWGGKSNHIYISGCCYGSWNAIEIGQLVRFNHPPLQFDPDGHMNKLESLWVLPSFVYGVNK >LPERR06G00750.2 pep chromosome:Lperr_V1.4:6:521389:528131:1 gene:LPERR06G00750 transcript:LPERR06G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPESTAGKVLLQRGPLRKPVTHQLLHHLPCVNPMFGQWHLPLLEGCTIFLPILYILSPCHLSTSNQIYLISLSIALTIAVFSTPRHTPNSMRYLGCSYGYLIFSNHENCLLVDVYTGAKVGTNSWSKHPFGGERILQIVLFKGEMFVMDFLDRLHTMRFAPQLSIHEVSVAQQVVMVTGDHHFNPWLVVCDEMLLMVHFPLVSNLTSGTFQVFRLDTSAETTKWTKMEKLENQALFLSLDRRSPTFSRMNPERWGGKSNHIYISGCCYGSWNAIEIGQLVRFNHPPLQFDPDGHMNKLESLWVLPSFVYGVNK >LPERR06G00750.3 pep chromosome:Lperr_V1.4:6:521389:528131:1 gene:LPERR06G00750 transcript:LPERR06G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPESTAGKVLLQRGPLRKPVTHQLLHHLPCVNPMFGQVSWTACFTKSFYCLAHSRTCLLSVAPAALGGLHYLPSHFVYTFTLPPLHLKPDIPNIPLHRPHHRRVFKYDLLSYCKWQLHDLSKITSSLRCSAPRHTPNSMRYLGCSYGYLIFSNHENCLLVDVYTGAKVGTNSWSKHPFGGERILQIVLFKGEMFVMDFLDRLHTMRFAPQLSIHEVSVAQQVVMVTGDHHFNPWLVVCDEMLLMVHFPLVSNLTSGTFQVFRLDTSAETTKWTKMEKLENQALFLSLDRRSPTFSRMNPERWGGKSNHIYISGCCYGSWNAIEIGQLVRFNHPPLQFDPDGHMNKLESLWVLPSFVYGVNK >LPERR06G00760.1 pep chromosome:Lperr_V1.4:6:522196:524256:-1 gene:LPERR06G00760 transcript:LPERR06G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAGEEGEGEVRGDIAGEEDDGVRRARRRSDLHLHKACTFFPSSLSFSRLNAGIGQSTIMQSPSKISDASGSTPPVTYDLPMANSFVAAVHNRPACCSPVSPSPSGDTGGDARRRLYSLPRFLPASPPPEQPAKPVGR >LPERR06G00770.1 pep chromosome:Lperr_V1.4:6:528549:529050:-1 gene:LPERR06G00770 transcript:LPERR06G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEEESNNKMIVVISADGEQFQLQESAASLSRILLHMIEDDCADGHITLPNVAGDVLAKGLLNLAVEKTANLMKGKSPEEIREKFGIVNDFSPEVEEEILRENEWAFD >LPERR06G00780.1 pep chromosome:Lperr_V1.4:6:537430:541100:-1 gene:LPERR06G00780 transcript:LPERR06G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAAAATKEKSAVPKNGNGGNGKNGGGGNNGGGTAAPAAEETTREIQVVREAYRRETAATPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDAKYVVKGATCSIHEMSMYQRLTRHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGSAIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLVSLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCTGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >LPERR06G00790.1 pep chromosome:Lperr_V1.4:6:552518:557360:-1 gene:LPERR06G00790 transcript:LPERR06G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGATSTVGLMAAPTGLVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKEATTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKHGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPLTNPMDNSGYGY >LPERR06G00800.1 pep chromosome:Lperr_V1.4:6:559659:561085:1 gene:LPERR06G00800 transcript:LPERR06G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFSNADALIYVVDSMDRERMGVAKEEFQAIIRDPLMLNSVILLLANKQDLKGAVSPSEVCERLGMYELKNRRWHVQGASAITGDGLRGGLDWLVSTLRDVQTWGTSVRF >LPERR06G00810.1 pep chromosome:Lperr_V1.4:6:565729:567686:1 gene:LPERR06G00810 transcript:LPERR06G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSEAAACESAIMQSTSKSMTYKTLLSMPKPQGWEDLPGDILQSVLTLLSSPCDLLAFTATCPSWRAAFMSVKSTLLTLVRPLAIRSCASSGIPEVWELFDPAKPTICLHRVTPPDFVREMEFECCSYGQAIFSGIHSSSHKSHAIVNVFTGTYVIPPPCPLIEFYSVTFCGLTAPLDCPNSYLLVGGMSSLFAWRIASNNWLEYPYTAGCSYLEQFVSFKGQLYAIDYPRLYTISLEPQLSIEEVEVVWSHQISCHIRYEPLLMACGDMFILLATPIGEVVRLDLSSEPAMWSLVKIEEEELKEWAFFFEDKEIYQPRPPLACKNPQRWGGVGNDEYYASSLAPHQGNSNGLMPSFVLPRIFMP >LPERR06G00820.1 pep chromosome:Lperr_V1.4:6:568773:570206:1 gene:LPERR06G00820 transcript:LPERR06G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPLPPASPAPAPPPPPQQLPPASRIKARIPPSHLSNGVGYKNGAVSVVGGAPHDARGLRALIKSMSARDHGEAAPSLHAHAAKLGLHRRFSVRDALAELYLARRHGDSAAAAARALVGEFPGGRDVVSCTALVTAYARRGHPRNALVDMLAKCGGGGDAARRCFAAIPASRRSVVSWNTIISALSLAGEHGEALAMFREMQSAAAAAAAPAPDDATFVAVLGACANLGALDTGRWIHAYMTRKTGHVADGVVGNAVLDMYAKCGDIGSATQVFDEMSRRDVYTYTSMIMSLAMHGRGEDALSLFATMRRGGVEPNEVTHLAVLAACCHAGLVDEGIRHLAAMDAPPPRVEHYGCVVDMLGRAGRLDEAEELVAAMPMPPDKLIWGSLLAACRAKGDVERAERLMRRRDGEGDADAGEYVLMSNTYASNGRHGKALQVRKEMRRRDIDKVPGCSLIEIDGVVHEFKAIPANSIIP >LPERR06G00830.1 pep chromosome:Lperr_V1.4:6:570627:571350:-1 gene:LPERR06G00830 transcript:LPERR06G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSCCSMAARALSSAARRINGKTGFPLLSSTTRRGSVQIRQNHSSNAQSQPEDDQIQNAVHDQVEAALNRKNVETVIPEEGGGPEDAWVPDQETGVFVPADEAAAVSLSGADKLSNGNGDSSSVLDQAVFVREEEMEDVERPAVDMAGDK >LPERR06G00840.1 pep chromosome:Lperr_V1.4:6:573480:573842:-1 gene:LPERR06G00840 transcript:LPERR06G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIAAGLLGSFAISYVCDHFISEKKIFGGTTPHTVSDKDWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDIES >LPERR06G00850.1 pep chromosome:Lperr_V1.4:6:577002:580475:1 gene:LPERR06G00850 transcript:LPERR06G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEDIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSILIDMMKPDGSSAIPILGGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGVNDLSMWDLMLTVDLLKHNIPDPSLLTSSTTQDKENKNDSQSGTEDYIADLCFVHARLREQDFSSSKLMFLRRVLEKHFNSSSFTIGSSGATPQVSDSTVSSNMKIEDLISNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWEMVKKSPVISEYCKALQDSGFFRK >LPERR06G00850.2 pep chromosome:Lperr_V1.4:6:577674:580475:1 gene:LPERR06G00850 transcript:LPERR06G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEDIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSILIDMMKPDGSSAIPILGGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGVNDLSMWDLMLTVDLLKHNIPDPSLLTSSTTQDKENKNDSQSGTEDYIADLCFVHARLREQDFSSSKLMFLRRVLEKHFNSSSFTIGSSGATPQVSDSTVSSNMKIEDLISNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWEMVKKSPVISEYCKALQDSGFFRK >LPERR06G00860.1 pep chromosome:Lperr_V1.4:6:580931:585972:1 gene:LPERR06G00860 transcript:LPERR06G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDLTHPGLAVVIMGVSGCGKSTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTNGDRIPWLEALRNAIRERLDHGEDVTVSCSALQQKYREILREGDISYRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDDEEGITEVDATVRPDAIVHDTIAQFREQLASTVC >LPERR06G00870.1 pep chromosome:Lperr_V1.4:6:583299:585543:-1 gene:LPERR06G00870 transcript:LPERR06G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKVSDVQTTSPGECIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKTPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >LPERR06G00880.1 pep chromosome:Lperr_V1.4:6:588115:588618:1 gene:LPERR06G00880 transcript:LPERR06G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLVSDLAWAHMVMGWAATAFALFVLATTTDDDVEPGPCAVVGLTALILRAKAAQLLLTAAAEAVAATAARAAAGGGGRFVSGFLALVAHFAGVITAAWLADVVPAVVAGAGGVCARSGYKHVLVAYYVALDIPFIVFFLAGLRVLFVHVCGEASASAFWIENRIN >LPERR06G00890.1 pep chromosome:Lperr_V1.4:6:590351:592629:1 gene:LPERR06G00890 transcript:LPERR06G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHLPAREQQRSNHLLLSRNERVSSPLLPSPPAAAAAADSDPNHAKMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >LPERR06G00900.1 pep chromosome:Lperr_V1.4:6:594132:596087:-1 gene:LPERR06G00900 transcript:LPERR06G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHVSLLLPFLLLTLAAAAVAAASPEEAPEKEAPASAASSSVDASVLLVRHEAQLTRLEELTESLAKSVQALESALARSVEPDPPPAAAAAAAAAGERRAPQGVAVTKRRPYWSERFHFAAAARLGDGAHAAAATALPYEDADGLTKYFAVGDSRGRVFVFTAAGDALLELDAGALSGEPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLVESSPHGDDWLTLTAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDSSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNPVAIQTVKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATSVKDIKSLFAGSSGMMPASPAGKPLIAADREKLVILGLGDGYIAIYRSDFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPDETFNTSVTAPTGSILNHSTSERAFADSSTRAGDRSYVDGTTRASDRGYVDATTRATDRAFAEAARGVDLRGGALRGAPRRYVSPTRYAGGPGLPYRPVSTEPALRATPELKYRGPGMEPPGFPKKRDTMFSSNQTVVDDHVD >LPERR06G00910.1 pep chromosome:Lperr_V1.4:6:606256:607141:1 gene:LPERR06G00910 transcript:LPERR06G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSNTPKESPGCCHCHFAVAGRREDAIAVVSQGIGNAATPRAPESATPPTRSAAPATVVFLDPSPLATTIASSNHVECPKNRREWRGTEGDRRQPLSSTVAIELSVPSPTFSAATAGLRRRLLASSNLLVSSLTPPCGLPMPRPAFSGCYLPMCGPGAHDHRMLATCQSCGDGGARPGAYQRPWHGGLSTSQMTIGPPPR >LPERR06G00920.1 pep chromosome:Lperr_V1.4:6:608006:612171:-1 gene:LPERR06G00920 transcript:LPERR06G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLRLRRSISGASRIVGPDDLPDNFLINDLESDEIRRLVDKIRKAYRKAPFGGGEESLIVGAFSFGLLDPVSNIFVNTLLSGFGNLPPPDAPPPPPADAPPPDVDQVVWRSLLGMVAFLTHLFPYLPDEEAVVYLDAANADPVAAARLIVRHRGMAQFDPCSATAVVAAENALRCAAAAARHPYPDPFMLGWKTLSPSLHRLVFKNPTFNKIMASLLSIRAGAEAVTLVLDHSWRLADYRLPKLPMLKQLRAPVRPAMKRMLLQTIYGFYLEALGRLPTHELQNNYHHSLLMGGYCYGPLDPVSNIIVNTIWYKQKFPLPAQRFALQMISAASLWRIVAQSMYGLISFLCTRYHGLSPDEAMVRLLSAEANLQSVDSTCHFSSSSANTKQAYFAAATAAFHPDPSLHQEFVGSDSMIAKLQTISEILQQDRSVALSSADIVHLSGILSWDISVSNLDKHEEELDPIGYNAISICCGAFWGQHQRVCSKVEAALDEYNKDKVSKYNVHVICGVNELVSGPQFSTDREVRSYNPSSPHKYHHSHVNFLAKCEDSEESVLFFAECGNHNTESWCIPVGPLRPKAEHVRCMYCEREGTRIVHPAVRGFAGRDIEFEKVHYGMKLFDGSELGVYNNNKIIKHKMDDADWVRPVRDDHIYLTADADIPACGNDRPFISFS >LPERR06G00930.1 pep chromosome:Lperr_V1.4:6:614892:616306:-1 gene:LPERR06G00930 transcript:LPERR06G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEFAEKAKTLPDTIANEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEAAASTS >LPERR06G00940.1 pep chromosome:Lperr_V1.4:6:618043:620635:-1 gene:LPERR06G00940 transcript:LPERR06G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAALVGAGAGLAPGLLSPSCYRAASGFILRAGGGDPRRHGLRGFVTALRRGGCRGESTRRRHLHLFQCANEANVATEDEIVNDDIDDEAFSDAEMDEGAEDNGDESSSPEDVASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQHIHVERLNGMIGGSEWEGMSLGQMMMSSFNEGREAPHPPFFHAAQIWNHDFYWQSMQPGGGGMPPERLLKFIKRDFGSYDGMIQQFMDAALTQFGSGWVWLCYKKSKLPHVNSRSPIPSDNFGRLVISKTPNAINPLVWGHSPLLAIDVWEDRRADYVSTFLEKLVSWETVESRLKKAVQRAIERDGYVSTKHIKKQILARA >LPERR06G00950.1 pep chromosome:Lperr_V1.4:6:621310:623216:-1 gene:LPERR06G00950 transcript:LPERR06G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPITRGEKRSVEVVKVTDDMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >LPERR06G00960.1 pep chromosome:Lperr_V1.4:6:625170:628531:1 gene:LPERR06G00960 transcript:LPERR06G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSELFRNTSEEMFLKAMMENSMGVAAPPSMETMGFKNMSQTFREDSEELFNSWLMNAEIPGFSSLHNRPRQPSRLSTEAAVFPNQQHEIAQENFPTDSMIPQNLAVHSEFTNNHNQQQLKNAAEKGMQASDLLLAKTWFHSTQPMTRSRSSELRKRYAAMQSNMPPITIETIETANKLRQDLTNTSTVNSTPMSNTPIQTPAFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSNYANGDNSSGISFGFYDTQHFQQNILGGTDIFSLVTTTQIQDSVMLPKVERPMEPNSGNLVAPANHVWLGAASREPSQSGSSTAIPANSAGFEVCDDLPPMGQAMTVCESTRTNDTNGNGTADCRSKGKEFRERLLKDNLKDERKKGTLSRMGSISSEQADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLIEEIERIVSDSNT >LPERR06G00970.1 pep chromosome:Lperr_V1.4:6:628933:629640:-1 gene:LPERR06G00970 transcript:LPERR06G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFSGEGGERVDPPAPVTDPLMAWAHEAHWSMGGLSSKRLRLQGRIEGSIEKLRRRARRDARKEAKSRAAALAALSDDDSDSDEEEIEAQEQILKRKVVDEPSDSESGEEEEEEKEEEAEEEEEEALATIAAAAKRKRARKLSDEFDRVATLQAATPARISPRRKVAAAPAPARASPKRKAAAAAEPARASPRRKTAAAATPVAGARRISPRMKVVA >LPERR06G00980.1 pep chromosome:Lperr_V1.4:6:630563:632150:-1 gene:LPERR06G00980 transcript:LPERR06G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFGSFLNESASSENFFGHPNVERCPFLRNINGATAFSFSSALPVPARGGKGPIFEDGPGFDSAFKLFHGQDGIVPLSGRSYVSDENNSESIDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSQPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTTFVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVVMPKTAMVFTIAASIVGQTIGSRAERIRLKALAEKSVTDSTTVADIYPNKNGNCSDSEGKAWDPLALKMAGRASGGAAAPTPSMCF >LPERR06G00990.1 pep chromosome:Lperr_V1.4:6:644545:651855:1 gene:LPERR06G00990 transcript:LPERR06G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYSSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSILGTPNHHTWAEGLQLASSIHFQFPQSGSIHLSEVVPSASEDAISLISWLCSWDPQRRPTAVEVLQHSFFQPCFYIPPSLRFRSNGYATTPPSVGAKGAVDQKNARRYSVGPLSNARPAVNYSYLSSNAPARAAGVQRRLELDHQGNMNYYQAPEANHKLTKAEAMNQPWSRPPPAAAAMRSNGNYFTKDQSPCAPDIAEKLSQLTMGSNRVPGLASDKFVDLKARTHGNTMKRPLPPVGARAWHAPTDPFRRSYEMPGDRAFLPSRKLVS >LPERR06G01000.1 pep chromosome:Lperr_V1.4:6:651853:654568:-1 gene:LPERR06G01000 transcript:LPERR06G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAVGMGRGAAAFTAAQWAELEQQALIYKYLMAGVPVPGDLLLPIRPHSAAAATYSFANPAAAPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTSSPAAPQSEPQLSNVTNANHDNADAPIQSLTVGANKTHGLSLGGGVGSSQFHLDASSYGSKYSLGAKTDVGELSFFSGASGNTRGFTIDSPTDSPWHSLPSSVPPYPMSKPRDSGLLSGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGLLGNVKQENQPLRPFFDEWPGRRDSWSEMDDERSNQTSFSTTQLSISIPMPKCD >LPERR06G01010.1 pep chromosome:Lperr_V1.4:6:656673:661309:-1 gene:LPERR06G01010 transcript:LPERR06G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSVSSSGGGGPVIEMASLLRSDRPYAPLSTDDPSAASSRGAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVHELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVDEGYKQLQKAERTQKKGGMVMCRHGGAVTLVFVCAAAKLEGFAWLLRWEPRMKREEDDEFGT >LPERR06G01020.1 pep chromosome:Lperr_V1.4:6:662705:663954:-1 gene:LPERR06G01020 transcript:LPERR06G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLISSPLLPRPPPASASASLRPPPPRAFSLAAAATAAPHPHRDGGGLVFGGFRPPLASSLLLSRHHHQSPHHPVLAASNPTGVSAAAAGGGEDSPPSTASRRFFQKVTSAAAATLVAAIVVALTHSSAMAHGFHPSTTIKAGGGMFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSPVESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEAAVPTPCVALDHGGAGAGPIESGGGGGGGGRKKITFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGIAILISQSLGFSLY >LPERR06G01030.1 pep chromosome:Lperr_V1.4:6:667259:680251:1 gene:LPERR06G01030 transcript:LPERR06G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTSSASRREAARSFELGRAAGGGAEPPRPSAAAMARSGELTAAAEAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLSYYKKQPKDSMVPVKALQVDGNCRVEDRGLKTHHGQMGANDIEDVLIWKKKLELLIDQVYNWKSYSIIDLKMRKSLALLCFAEQLLGMARSCSRSMRAVGVVEASCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGWSGPGIFVMYDIGGAMMMEVMNCGPQPGFVRAFIERLREYFSQTDDIHPVPRIPVMSTMANVSSLKKDKKFQEADFKTKQADMGQIDNKNLDMIDEESEEDDDYQVPDADLEEEPIRSDSDTKFSDPIDLSCFSGIIRQDANEKSRNCWAVPDSKLFKVRSESFPHDKSKVPASKYLMELVAIDWFKDFKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRSLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGPEYIEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPALDNTSSAE >LPERR06G01040.1 pep chromosome:Lperr_V1.4:6:681149:686222:-1 gene:LPERR06G01040 transcript:LPERR06G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRGADDDSINPSHTRIIKREDIMDNSLFDKLKRPEIQRAIDKIRTAYSKVPFALKQPGDFNDGALCVGLLDAVSNIAINALLTAFLTHLFPYLPDDEAVLYIDAANAEAVAAARLVVRRRGMVEFDPCSAAAENALRCAAAAARHPHPHQFLLVWKLMSSRLGDKIAVYKGQEVSNSMIACVNVVADFVTALESSSSSVVASFSLEESWRLAEHRIKKRLPMFISKEVLLPPARPAMKQMLLQTIYGFYLEAMARLPTHELQNNYHRSLLMGGYCYGPLDPVSNIIVNTIWYKQRLFSSPSERFSVQMISDALLWRIAARSMYGLVSFLCTRYHHLSPDQAIQCLLVARANLQLADPNLDTMTNLPKGKMSFGHCVEFCKKKRPFTSAVVAYAAAATAAFHPDTAAQQEFLGSESEMAKLQVALEVLQGSCPTLSSDDIAYLSGIFSRSPSAGQHEEEELVPVSIHHYRIMSRCCSVFWGRHERALIKVRAALDNYNEDKVSKYSLHVICGVNELVSGPQFSKDGTMRSYNLKKRCSSLLNLKKRCSSLLNLKKRCSSLLNDTIAWCIPVGPPRPQAEHARCIYCEREGTRIVHPAVKSFAGRDVEFEKGSVD >LPERR06G01050.1 pep chromosome:Lperr_V1.4:6:688538:691203:1 gene:LPERR06G01050 transcript:LPERR06G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRATTSSFFSSSALLRRGGGSPAVPESLLRPAAAIGFPRGFARRPGGDGYSPMRSGGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQMMIDCYIHTLAQVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >LPERR06G01050.2 pep chromosome:Lperr_V1.4:6:688538:691603:1 gene:LPERR06G01050 transcript:LPERR06G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRATTSSFFSSSALLRRGGGSPAVPESLLRPAAAIGFPRGFARRPGGDGYSPMRSGGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQMMIDCYIHTLAQVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >LPERR06G01060.1 pep chromosome:Lperr_V1.4:6:693852:699409:1 gene:LPERR06G01060 transcript:LPERR06G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTEFYADEGLHIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRLQHKNLVKFIGACLEPAMVVVTELLVGGSLRKYLVGLRPRNLEPCVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKMPFEGMSNLQAAYAAAFKMYI >LPERR06G01060.2 pep chromosome:Lperr_V1.4:6:693852:699409:1 gene:LPERR06G01060 transcript:LPERR06G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKMPFEGMSNLQAAYAAAFKNIRPSADDLPGELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPQASLAPHRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSHCY >LPERR06G01060.3 pep chromosome:Lperr_V1.4:6:695343:698385:1 gene:LPERR06G01060 transcript:LPERR06G01060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTEFYADEGLHIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRLQHKNLVKFIGACLEPAMVVVTELLVGGSLRKYLVGLRPRNLEPCVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKMPFEGMSNLQAAYAAAFKNIRPSADDLPGELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPQASLAPHRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRESNETRWRVEDANMKRTLPPDAQRLRLWPGVESRCP >LPERR06G01060.4 pep chromosome:Lperr_V1.4:6:693852:698662:1 gene:LPERR06G01060 transcript:LPERR06G01060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKMPFEGMSNLQAAYAAAFKNIRPSADDLPGELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPQASLAPHRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSHCY >LPERR06G01060.5 pep chromosome:Lperr_V1.4:6:695343:698385:1 gene:LPERR06G01060 transcript:LPERR06G01060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTEFYADEGLHIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRLQHKNLVKNLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKMPFEGMSNLQAAYAAAFKNIRPSADDLPGELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPQASLAPHRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRESNETRWRVEDANMKRTLPPDAQRLRLWPGVESRCP >LPERR06G01070.1 pep chromosome:Lperr_V1.4:6:700255:700988:-1 gene:LPERR06G01070 transcript:LPERR06G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCDRVIFSTSPHKKRTTARATMAARHTMLACCKLYISESRNAAALRAIDHAGAGAGAVVVNRFTDDAYNRVGYTLVAPLLPSPPSPSPSPSPAPETPLRHAVFNMVKAALDSIDLAAHSGTHPRLGAVDHICFHPLANSSLPHVAHLAAAVAADIGDNLQVPTYLYGAAHGEGRTLASIRRQLGYFKPNFSGDQW >LPERR06G01080.1 pep chromosome:Lperr_V1.4:6:701789:706653:-1 gene:LPERR06G01080 transcript:LPERR06G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGGARLALLLARRRALSSSASSSSSSPFHPSRALHGARWSDAYRAEAHTPGWRSPFSSPISARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDEEKRSLYDQVGPDQYEKASAGGGPGGFEGGFGNPFEDIFGGGSSGGVNDFFKNIFRGREFSGHDAKVELELSFMEAVQGCTKTINFQAAVTCDTCKGAGVPPGTKPETCLACRGSGFIVMQTGPFRMQSTCTQCSGSGRTLKEFCKSCKGMKVVPGTKNIRLDIVPGTDNGDVIKVVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLTGEVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPVNLTPRQRVLIEEFSKEEQADEEKDAKAAGASG >LPERR06G01090.1 pep chromosome:Lperr_V1.4:6:707434:708271:-1 gene:LPERR06G01090 transcript:LPERR06G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGKLTKFPWLGVARYAATAVLAAMVAATAVAAVKMVLRPVELDLSVANGAVSVERPSSPAAAALVKYKVTLRAYNPSGRAVVHVGGDNQVRLLYGATAQTELAAFTLAPFVVPRQESHYVPKSGFLNASALPASLAARLYDGETDQTDQVVVQAVASLWFTVVGARAGGRRGHNFTFHCWPVSISSYYEVSGDDASCSQESVETAVAGLAKDRCIGGPCPEPYSNSGNCSVKSPGHTG >LPERR06G01100.1 pep chromosome:Lperr_V1.4:6:710814:713197:1 gene:LPERR06G01100 transcript:LPERR06G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLPLLLLFVVLYPSIALAEQHTGLDDVNYIVLQTSSWLNSKAVCSRMRSPHPNVTDWAPLSRPYGPCSSSPATPAPSVADMLRSDQLRADYIQGRLSGDDDDDDTPPIRKDSMGTLSLEEHTGNGDNTSSPAAAATGGASGELPGVAQTVVFDTASDVAWLQCSPCPIPACYRQKDVLYDPTKSSASGVFSCNSPTCRQLGPYANGCINNQCQYRVQYRDGTSSSGTYISDLLTLSPTISVQNFKFGCSHAVRGRNNIPKTFYAVRLEAIEVAGQRLAVAPTVFAAGAVLDSRTMFTRLPSAAYAALRQAFRDRMRMYRMVAPKGMLDTCYDTAGVNAFVLPRITLVFDRNAAVEIDPSGILFDGCLAFAAVVNDQIPGVIGNVQLQKIEVLYNIPAGLVGFRHAAC >LPERR06G01110.1 pep chromosome:Lperr_V1.4:6:715666:716376:-1 gene:LPERR06G01110 transcript:LPERR06G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAADGGGAKSRIFRWINAARYVVAMAMTALILVMIAHAIKVVLRERELVVTVADGAVTTIGGATNLTFVLTIRATNLDGRVQVYYTNISVYLACKINTTSKAKYFLAFPIDDIAVSPDTTIVAYKSVPGVNGTGDNMIEPSLQPFFDFFANGRTIHNAVLRLKGTLKTEEYSGHNSTDRTVVYCCARLTVGVGDGDDDDDDDQDSTVKCTKENAVHISNKQPESSILSCELDY >LPERR06G01120.1 pep chromosome:Lperr_V1.4:6:721004:721591:1 gene:LPERR06G01120 transcript:LPERR06G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEEGKSASSSSWLARRHVIVLSALGGIVAATVLVITVSVILRPAHIEFKVESPTWSSNNTGMYLFLTVNASSSSRNGIASVNYRSVFVYLETRSKGTLERFQLTTTALPSDVDDDDVVDLYLDGKAEMSVDAWLLLVGDSKKSTLAGRAITGIGDVTVLVIAQVRFKIGVVKTRRVYDITQRFTGVKFKHIN >LPERR06G01130.1 pep chromosome:Lperr_V1.4:6:726327:734310:1 gene:LPERR06G01130 transcript:LPERR06G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNSSSGAAAHYCRLSGLIGATSINASDNNFGGLSHNFTSYVDTSSEASMVSGSVLMFVLAGFFFNLNLFGGLSNVGAILGPRVRVLFTSSLSLFLPVMSYLFSEAKNKNNGGKTDDLSFMAGVILTWMLLVELIRKKVDEIAMRGYSGTIHRAGRVVWLGSLVFFNIQSSGRRALFGVLWVLCATKLLQRIAFTEVGKRSYSCGRNPRIINSYLSYTTINKKEKMTQDLDGDDAMMKNCEYIVMGEDDLDVEATPDGYDLKNVKKSLITVAKIWQELPSNGGRIFIGRHADDELRRLCLSFALFKLLRRRFEHLPEIKEKTSQCRDIIFKGLHNNRSNNSNSCDIIFKVMSTEINFLTEYYHSVVPVVLASPFFFLANYFLLPIVVSIVCLMTIILCGGGDVRFAFKSITQDNFTISSGVFNTSICLLLTAPKSPSAFFAAINFAVTFLLTVIYIYEELWEFFVFLLSNWFSVSLLCSYVSHRSCSCLVFRAFVRCIVTVRCWMGFHPEHSLKQFSAIDLRWPPLTLSMPTSFISFLVSTKPMPNNVKNSILNSLISHINAPPPPPPATGRRRSVAEVILIWHVATGLFEHIDPSPTPDKSDNFTVATTLSKYCTYLVAFHPELLPDYQEKAENVYEAMKAELKDRIGCHNYYFSRRSDRAHAMKNPLPSHKLKVKQGVVSKGVDLAKSIEQHDTYKDLDERWKLLKEVWTELLIYVAPSNDEERIMAHKSVLCQGSEFITVLWALMTHIGITCPPTESILDHGVDFYTMDICMSVGKSESDSLRREGLKDVPWFVRPKDRWLKPFQGFGRKRMEARNVVTGLGLIRAHPRRCLVGVLAGAIAATAVTIAFAFFLRPAPLVFSVADARSCGAEENRAAFLNLTLVAGNPTGRAAVAYDALDVVLWYGTTDYIQPNTSLDGGVTTATPLLIQPPQNVTAVEVTARTIEDRFVREIVNVTGGEEEERRKAGPFNVLVVAQVRFKVGVMYTRGYKVRVSCRDVYFFVVDDINSRNTDSTIIGCNGKQRTIPMDYFNGSNVHCGGGAGEMGSFIYNLTASYADQRNEVSIVATSLAMLLLAALLLAFDLLAGAATLRPAARLLLSSSLSLFLPVTSYLFSEAKNNLPGAADSNAGELPLRARLILAWMLLVELLRKKAESTVTGGGGGGGPASRAGRVGFLGYLVFFNVHGAGRKAVFGALWVVAAAKLAQRVAIGEFVKRSFAFGKNPQLIAGYMAAAVTTAMPDRRFRRDEEIMKSCNYAVTGEEKLERQPGPNGYLVNAAAGDDDDEVKVSAAVVTVGRIYSLAESDELLTSDPKLKRLCLSYALFKLLRRELDKKSTPLTVTETDDCRHLIFTGLFNDVTAAAAADTIFEVITDELGFVTEYYHSVLPVMLASQFFLLVNYILFPVVVLGLCLMTVILCGNGDVAFIAGSIKRDNYAVSFGLVRMTRCLVSRIFRSPSALFSSIDLSITLLLFLTILYEEAWELVVFLLSDWLTVSMICDYVIKPPCRIRRAGIRGVQWVNRRIRRRNILRVKQFSLLWFLPKVTMRLPTAAVPEEAKRSIIDYLAAFDGGGGGDVLTAGKSAVARMINSSRRRVMTSACESDSVAEVILTWHIATSLLEVRCPSPAARFTAATRLSRYCAYLVAFRREMLPDDVDCAASVYGAVKSELKKEMGIKGYYFWTDATRYEKMMAIVAAGGGGEDDGEAAAEEEEMTVVRKGVRLGKALMEEASDGGGEAAVWKVVGDVWTEIVVYVAAAAAKGGGEQVSARGGELVTLLWALLTHTGIARAAPPRDGRVIA >LPERR06G01140.1 pep chromosome:Lperr_V1.4:6:735763:740571:-1 gene:LPERR06G01140 transcript:LPERR06G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNHELLQSCSKTMMSFVNNITASYADKSNESSIVATSVAMFILAAVFFNLNLFSHVSEVSSVLNPTVRLFLSSALSLFLPVMSYLFSEAKNGPVAGGDKSSCTREDRNLSLLARVILMWMLLVELLRKKVYSSLIAHASSVAWLGNLVFFNLKAAGQKALFGVLWVLCAAKLVQRVAITEIGKRSFAHGKNARLISSYMQTNTNPKNHLHHDGSVMSSSSRLIMERCNYAVMGEENMVVKAGPHGYELDLAGGNGVVTVGKIWQSNEHPRLKRLCLSFALFKLLRRRFERLPPATKQETDECRDVIFEGMCKEAQAAAAAAGDVSPEVALFQVVNDEVNFLTEYYHSVLPVVLASPYFFVVNYLCFPAVVFGLCVMTIVLCGNGSVVYAFKSLSGDNYAVSSGILSLTKCLWKNVIRSPTVFFSIVDVSICYILFIVVIYEEVWELVVFLLSNWFIVSLLCTFSAKPHRRESPTFRGAVRCILWLRRNLTHYPSFITIKQFSVMGMCWLPMRLPTATLTKHAKLAILERFRGQHSGDPPLSNGRAVLTSMAAGGRHRRFSRLAWACESGSVAEVMLTWHIATGFLETKRQHSGWSASRRTALLPDDKEGTDRIYSEMKSQLKAVLGGARGYYLSSEHTRRDTIAAIPAKLLIISPERRHAGMTVLERGAVLGKELVDELAADDGDEEAVWEMLADVWVELLVYVSPSRGEEHAKGHEMALVKGVELVTLLWVLATHTGVTRPDGGGGDTPALKKEKKSGKQRSNYNGSAQVIVAKHCKNKQLRLVNAESKAQPPPNDLLVEQHLLSEAFKKVNNIKTLSDARPERTRFSPEGQRANMTIPPRRKRRPQASIPSAMVEDQARLSPKAL >LPERR06G01150.1 pep chromosome:Lperr_V1.4:6:744484:745158:-1 gene:LPERR06G01150 transcript:LPERR06G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKLQEAAASRRSSWSTKHYILLALAGTLMATIIVIAISAVFSPTEINFSVTNATHAKSSDGGIRVNLTVAAANTGSSSSSWRCAGVEYRSLSVELLYTPKDGVPKSLMADKPLQALSSTTSAPFVQPARNTTTFPVHIFLAADYLRDNFRGDTINSTKTSVQVTASVRFIVVGKAYTRLYDIDVLCDLGFALFHDDQDDDEVSLFGDGSRDCFPVGSDD >LPERR06G01160.1 pep chromosome:Lperr_V1.4:6:746516:747190:1 gene:LPERR06G01160 transcript:LPERR06G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEKEEEEEKFRWLDVVRYAAAAVVAMLAVAVVVGAILVVLRPDALDISVSHGSVLAELLQPNSSSSSVKVMFRFRLFATNPSGRAAISFLNVSIAVSAAGGETIPAIFRISGVDSLPPDKVMDWTSEQTSSTDPAADLGEFFFSQLSDGKNIGRVTLRIHGLLVTAVVGTLNSGGELHRLHTNKAAETTYTCSDVIITVDRSLQYKGDDVSCTRRSSYI >LPERR06G01170.1 pep chromosome:Lperr_V1.4:6:752405:755491:1 gene:LPERR06G01170 transcript:LPERR06G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVVVVELLLLVLCSSTLVAHGGDAETAKYMVIETSSLEPKDSCTGHKIPPSDEPSVNSTWTALHHVAGPCSPVPASNKGNTTTTVASMADALAADERRVAYILKRLSGDTNGQNPMAFSETGSTKSVSDSKYGTQTGTGSTPHMLTTTATTDSAPVGTSAVTQTVIIDSGSDIPWIQCKPCPFPACHPTRDTLFDPSRSTTYAAVPCASAACAQLGPYRRGCSPAPTSSQCQFGIVYGDGSTVTGTYSSDDLTLGPYDVIRGFRFGCAHADRGAAFSYDVAGTLALGGGTQSLVKQTASRYGSVFSYCLPPTPSSLGFLTLGVPPQRSPPAFVATPLFGSVVAPTFYMVRLRGIVVAGTPIAVAPATFAAGSVIDSATIVTRLPPTAYRALRAAFRAGMAAYKPAPPVSVLDTCYDFTGVRTVTLPSIAIVFDGGATVNLDASAILLGSCLAFAPTAGDRMTGFIGNVQQKTLEVVYDIPGKAMRFRSAAC >LPERR06G01180.1 pep chromosome:Lperr_V1.4:6:759936:760571:-1 gene:LPERR06G01180 transcript:LPERR06G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASGWKGGKNNKRYVMAALVGMLVLVAIVSIVSVVLSPAHVFFYITDLSRDARNKNKSAQDEADYDLQVKLVANNTSHHAKVRYQSINVELWLDSNHRYLLYVQDYLLSEQWQPPRNSTEYSATGPSYFYGNSTHDDYGVTYNNRSRYPMLLIETVVQFRYGPSRTRMYTVAVSCPSVTVPWFFGEAEGGGDHLNNTIANYPPVNCTA >LPERR06G01190.1 pep chromosome:Lperr_V1.4:6:762988:763758:1 gene:LPERR06G01190 transcript:LPERR06G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEEGRGKTVPCLVAVRYLVATAVGVIAIAVVLMVIGMVRRPEEIHVVIERGLIAMHHISHSVAYLTGSMSIGNPSGRGVNGVSCKNITVGLVDIASNSPQPMLFQLTPGVAAQRINSINYSFAVIDISTDFQLPKQSWHSVQMYQTLDNRNQINYMEMKENQTSTGTDGFPVLVMVHLFVSSSRAPAWTPHTFYCWPIIIVKVVSGFYLTASVSYIDDAVQNVECLKMANSMQVPSLLNAMAPPPSPAPSPN >LPERR06G01200.1 pep chromosome:Lperr_V1.4:6:780194:786049:1 gene:LPERR06G01200 transcript:LPERR06G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDRDEICFYNLIDLIEQYGYSAVDYLYYKQKDSLVVIEQDPEVMKMLNECESKKMGSLFVTKERLATLVPTKSNKVPSKSKPKKTKGSGGTKKKKALNLMHTEALYANEVEQLNDENQNTSGDGDEVGSTVLLMTSKYPNKANVAYATLLSTDPEVIVGGVKIGSQFYKVRIEHPITKDEPLVRPMSRYNNIGDAHARGVSIAWPVMFTLAEDKADSPLHKDPRIKRLCLSFALHKLLLRRFENFYFTDEEVKSCRVLIFKGLYTKTRSQTETDKLVEVLFQVLNDELHFICEYYHSVLPVVLSSPFFLIANYFLFSILVLPFFVLTLILCNNADLFYAFKSVKIENIIISFSLFDTFDCLLKYITTSASTLLHRGHFHHHASNDPMGSEHDEPTHASVQIVVHARRLPPILPKSYDASIQEYLVNHIDHGAPLSNGWSMLQKKSEYHSLSWVCLSDSITEVMHIWYIATSILEVDFPKKNGMTGTNFQSNDDRIVVTTLSKYCAYLVIFKQELLPDNLDGTTQLVYDAMKEEMKVALKLWHYYFLREMMCTAVDTCVDKVKKIDEK >LPERR06G01200.2 pep chromosome:Lperr_V1.4:6:775023:781000:1 gene:LPERR06G01200 transcript:LPERR06G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDANTFSGLNCTVIIANYVQNLTSSYADKINETSIVTTSSVMFVLAALLFVLNLFSRVSDVSAVLNPSVRLFLSCALSLFLPVMSYLFSEAKNNNNSSDSMPSTSPNNQQPELSLWARTILAWMLLVELLRKKVETILLNNGVQGYSSTIEHASRIAWLGYLVFFNVKSAGQKTIYGFLWTLAATELIQRVAINEVIKRSFAYGRNAERLHSYMAHILKQDDQDAAATGDVLLKQCKYAVNGEDYLEVEATKLEGNQPDTQKIKSAAADDTVITVGQIWTLADEASPDSPIRGDQGLKRLCLSFALHKLLRRRFENFLFTDAEVRSCHAIIFKGLCKDGTDKDAIAVALFKVLHDEVHFVCEYYHSVLPVVVASPLFLVANYLLFPIIVASFFLLTIVLCNNGDMFYAFKSFRNDNLVISTGVFKMVGCLFHYIRESAPALYSMVDLAITMLLVLAFIYEEIWEVIVFILSNWFMVSLIHRYASKPHLSPTLNGRLIKSIMWVRNWMSRPSLQINQLSMLGGFLPFRHPMTVTTKIFVPKEVKRSITDYLVNYMECPSNARRPLGNGWSMLQEKYPESYHSQLSWVCESDSITEVILTWHIATTIVEGMCPKQSRTTMSFQSNRTVATTLSRYCAYLVAVSPQLLPNNLDGTQVVYDTMKRQMKEALGCWLYNIPQEVVGTAAERCLKVIQVAERLLPIGKVEAEMPLVWKGAKAGSILLDKVNAEGEAPVWELLADIWTELIVYIAPSRDEVHIKAHREALAQGGVEFISVLWALCAHTGVTRPLFTRPWAFVPVERRWIFGPSILSVMEQLKDRNM >LPERR06G01210.1 pep chromosome:Lperr_V1.4:6:786077:786346:1 gene:LPERR06G01210 transcript:LPERR06G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMWKGARAGNILLDQAKMDEAAVWKLLADIWTEIIVYVAPSSEEVHVKAHGDALAHGDWEFITVLWALTMHTGVTWPSDKPWAQNLA >LPERR06G01220.1 pep chromosome:Lperr_V1.4:6:787204:787671:1 gene:LPERR06G01220 transcript:LPERR06G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCATKPKTFEGKAPEEEVATTTPIEAPKVAHETTLLPNVVVAASDQEHEKLIVEEAKVDQPHAIIAEEVPTDAIVQKNIEATPELNNKEKEVEKEVEEKIVEEEKPSAPAAEKNVEVNNAKVVEKTIEIKNTEVGKGTTEVKINAEEEKPIQS >LPERR06G01230.1 pep chromosome:Lperr_V1.4:6:794064:797490:1 gene:LPERR06G01230 transcript:LPERR06G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSWSLLSSTVVIWGGVATAGLAGIFLFGGKAHCKFLDTKNHGSSVSVGSVMEKFQNYLSREGERLRKQDRAAMGKN >LPERR06G01240.1 pep chromosome:Lperr_V1.4:6:801466:804082:-1 gene:LPERR06G01240 transcript:LPERR06G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTTFDETDSMEDVRNYSPSNIDEAELVGFDTPKRELLDKMNIDGNDGHCKVLCVVGMGGLGKTTLARKIFESKEDIGKNFPCRAWITVSQSFSKLEMLKDMISQLLGTESLKKCLKELEGKAMRVHDLAAYLRDRLKEENFALPSTNNRGSRVIVTTRVDGVANACTSKPFVYHLKLLEKGPAIDLLLRKIGKNREDMENDDKLKNIATQLVKKCGCLPLAILTIGAMFANKRPSKWEEMCIQLPSELESNPSSETEAIMRVVTLSYSHLPSHLKPCFLYLSIFPEDFEIKRRHLVDRWIAEGQVRARVGMTISDVGESYFDELISRSMIQPSIVNMEGRVKSCRVHDIMRDIIVSISKEENFVYSTGDNVPTIVLEKFRHVSYHGGNCPIVGMDFSHVRSLTVFGEFGQRPMLFGSSICSPQFTMLRALDLENAEFPVTQKDINNIGLLRHLRYLYMNNRRRSYIYTLPRSIGKLQNLQVLDIRRNHISTLPTDISKLLMLRVLHCTNVMVYSYFHPHKPIRNLALMCCMPLIWTPLVRSTERNEFIAELHKAYSSHWSRTTGVRVLEVVDIKLTKTKAIEELGELHRLKKLSVTTKGAQDNKCKILCDAIEKLSSLRSLDFDGTGTGDHGTGTFEWLGPSNFSPSPLLRKLTLIGCIRVLPDCFRDLKHLRKIILGFSQLDDRAIKILGTLPNLVLLALNGDAFAGKKLAFKEREFPNLRELRILGMLQLSGIRFEKNTLPHMEIIEIRYCELKSGIVGIKHLEQLKEITLQCLVAGFNLLEEEVKAHPKEPRLWQLTEEIDIEMGSPVVLTEDEGSEDDRGSNRIGESSQVISSL >LPERR06G01250.1 pep chromosome:Lperr_V1.4:6:804115:809890:-1 gene:LPERR06G01250 transcript:LPERR06G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGSAISKASAAAGEEMSLLMGVQKEIWFMNDELRTMQAFLIAAEAMKKKDLLLKVWAEQVRGLSYDIEDCLDEFMARSACIEANECAPCEPATWQK >LPERR06G01260.1 pep chromosome:Lperr_V1.4:6:810765:814168:1 gene:LPERR06G01260 transcript:LPERR06G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTILIWGGVATAGLAGVILFNAKLLGAVDVEEKFQNYLSREGDSLRQQDRAAMGKN >LPERR06G01270.1 pep chromosome:Lperr_V1.4:6:815914:817345:1 gene:LPERR06G01270 transcript:LPERR06G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRFVKVDSRFFLAEKNASDDGCDGDYHYLDACFLCKRDITFNRHIFMYKGDAAFCSDDCRQDQMDMDSSLAAVARRHRTLQRNKSSSSSAQCAANENGLFAVIPRRPTVADLTASHAAAPAVSS >LPERR06G01280.1 pep chromosome:Lperr_V1.4:6:817889:819487:1 gene:LPERR06G01280 transcript:LPERR06G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRWAWGLPTRAFSTTAKATVPLAHLADLPTSLPSTGYAVTPPVQPWPRRLTARTLARLLLRLPTPHLAVLAFRHALFHAPPPRLPPSLPVFAAILSALRAANLPAFSDRPFLPLLRSLPPLPSLRLFLSLPSFNSRPSVRSFNALLHSLVAARRLRLAAALFRAAPTKLCITPNLVSCNILLKGLVGIGELDAALKVLDEMPGLGVVPDVVTYTTVLTAYCGKGDIEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGKLQDAARIMDEMEADRVQPNEVTYSVVIEACCKEGKSIEARDLMGEMLGAGYVPDTALGAKVVDVLCQDGKAEEAYRLWRWMVKKNVPPDNMVTSTLIYWLCKNGMVGEARKLFDELERGFKPSLLTYNSLIAGLCENEELQEAGRVWDDMVERGYEPNAMTYEALIKGFCKTGKPNEGAAVFQEMVTRGCSPSRLLFQVLVDSLSEPGHEHTVGKILETAALCGGDFMDGDTWDIFVRKVVNTSDTLNKHLDLVFMSSWGAKKCNEGIQ >LPERR06G01290.1 pep chromosome:Lperr_V1.4:6:819951:830578:-1 gene:LPERR06G01290 transcript:LPERR06G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHQQANEQQVREEEKDELLHHGDRITSPLLRPSTSGSGSWPEFGEENSPVEQVALTVPVGDSPETPVVTFRMWVLGIVSCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRVMAAALPERVFFRGRRWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHLVTAVRVFYGKNISFFICLLVVLTTQLGSGLRGLGIGAIGLDWSTVSSYLGSPLASPWFATVNVAVGFFIIMYIITPIAYWFNIYKARNFPIFSDGLFTSTGQNYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFAALAATIVHAFLFHGSEIWLLSKSAFQEKRMDVHTKLMRRYKQVLEGWFICILAANITMTIFACEYYSEDLQLPWWGVLLACTIAFFFTLPIGIIKATTNQTPGLNLITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAALVHIGTSWWLMETIPNICNTELLHSDSPWTCPSDHVFYDASVTWGLISPRRIFGDLGTYSALQWFFLGGAIAPLLVWIAHKAFPGQNWILLIKTPILIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKVRPSTSSSHGGSPELDSPENSPIEQVALTVPIGDDPTTPVLTFRMWVLGIVSCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERVFFSGQSWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQSMKEAGIAWGLQENETIETNKRKKCNQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTQAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANIAITIFACEYYIEQLQLPWWGVLLACALAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMDTIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKSFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVIVEGCPFYT >LPERR06G01300.1 pep chromosome:Lperr_V1.4:6:835667:840290:1 gene:LPERR06G01300 transcript:LPERR06G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGHPDAMRRITVHYVNPPFTGTGEVHADGLDDEVLGYVIGDVLQDQEGLYQSILYTYGNDMRGARNTAQSDGSHYYHGENSSGEATTSRVSEIDEQIEYDLVLARQLQGMENLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEEDATQDDNDDPDNMTYEQRQALVESVGNEDRGLSDLLISYLETWKYKSGFFPRKANHDDCPICLSTFTRRETLITLACKHSYHAGCAARWLKIDRTCPVCKYEVFGPS >LPERR06G01300.2 pep chromosome:Lperr_V1.4:6:835667:839364:1 gene:LPERR06G01300 transcript:LPERR06G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGHPDAMRRITVHYVNPPFTGTGEVHADGLDDEVLGYVIGDVLQDQEGLYQSILYTYGNDMRGARNTAQSDGSHYYHGENSSGEATTSRVSEIDEQIEYDLVLARQLQGMENLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEEDATQDDNDDPDNMTYEQRQALVESVGNEDRGLSDLLISYLETWKYKSGFFPRKANHDDCPICLSTFTRRETLITLACKHSYHAGCAARWLKIDRSNS >LPERR06G01300.3 pep chromosome:Lperr_V1.4:6:831539:836486:1 gene:LPERR06G01300 transcript:LPERR06G01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKKLHARALRRGERRLQPLLLRILAAGDHRYASLLLESYPSSGHFASGGDPFLASALVSFYAKNRLLVDARKVFDEMACRDTAVYNALLSAYAKGGLIDSAEKLFDEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWEWVGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVFVANALLEMYSKCGSIQQAWQVFRGIGRQQDLCSWNSMIMAFAVHGRWREALALFHKLRMAGFKPDGITFVGVILACTHGGLD >LPERR06G01310.1 pep chromosome:Lperr_V1.4:6:841199:845904:-1 gene:LPERR06G01310 transcript:LPERR06G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMNGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAHMQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPILQQSPPQDQMQKRRSSSVTSQPVIEAAGALHAQKKSRIDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGMPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNSSGAVQHTALDSWRCDICNTHGGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAQLLQVAQKYQTVATESGPAGVSQNDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTVPKLPVQNMQESKQLMAAAGLPNDQANLKAMGVKTEMNANDTHSVGSIGNGPQNAAALNNYQNILRSSSANQSLLQQEASSMFKGPTTMHNGIQLEASRSFRVPNQVQLAQFQHPGSFQQPMPQQNSLQGLGVSPQYPHHVIHQLLQEAKNTNNRPLAQQQQHQLQHAPANSGLASGGTAITSSAISMDHMNNNGAVKGVSPMGTPGPGSVINNTANIIPSRSNSFKSVSSNPQVASATAATGIAATPKVEPLHEMEDLDNLITNELVESGLFLGDQAGGGYSWNM >LPERR06G01310.2 pep chromosome:Lperr_V1.4:6:845910:847541:-1 gene:LPERR06G01310 transcript:LPERR06G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIEPW >LPERR06G01310.3 pep chromosome:Lperr_V1.4:6:845910:847541:-1 gene:LPERR06G01310 transcript:LPERR06G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIEPW >LPERR06G01320.1 pep chromosome:Lperr_V1.4:6:850658:853346:-1 gene:LPERR06G01320 transcript:LPERR06G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGRRMIPSAPLLLLVAATLVTAVSGQGRPVTESGAETAPMPSTFTPKDNFLIDCGSTSPVNTTDGKVYKTDAQSNSLLSAKDAIRVATDDKKAAGDDASPVYLTARIFREEAVYNFPLTVPGWHFIRLYLFPLKNADFDLATATFTVSTDSNVLLHSFTAENKPVMKEFLINATENHLVVKFCPLKNSAAFINAIEVVNAPDELITDTAMGIAPIGEMGGMAEKAYEVIYRINVGGKEILPDKDTLGRRWDVDTSYVQSKEAVKDVSVPTGNVKFPDGTSKLVAPAQVYASCAKMADANVGSPMFNMSWKMDVDPSFGYLVRLFFADIVSKSMNDLYFNVFVNGHKAISGLDLSMVTGELSAAYYKDIVVNSSIAIDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGKKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRFFSFAEIQTATKNFEESAIIGVGGFGNVYIGEIDDGVKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDDMPVLTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVADFGLSKDGPGSDQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFTEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADQPADDEHDGAGADAAPVTTNSSSSSSVGGGVSAVPDVSTTAAGEMFAQLADMKGR >LPERR06G01330.1 pep chromosome:Lperr_V1.4:6:855803:856978:-1 gene:LPERR06G01330 transcript:LPERR06G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGSDRRLLIAGYGLPPPPAESLLGRLDQIDLRLRQLEEQRQGTAKGGAGDESSPAAAGKHKHTKSLPTALQNGVQVKGDLMERLNLLESRIRQLSCAPAEDRAWSEPPPLPEPCKEAPPPVCAAAGRWSAVQFLQTASKPNPTKKQNLKEAKCACEKEKRKAERRKAGRRWFTVIGC >LPERR06G01340.1 pep chromosome:Lperr_V1.4:6:863719:866824:1 gene:LPERR06G01340 transcript:LPERR06G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSPKAKKGATAKLGSMKNPPPVVAAAANGVAAAGAKVPSEEVWEVRPGGMLVQKRGAGAGEEDEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLAKASKALAAVTAEVDKLAPKVAAMEASVRKGEKVAENDIVQVTELLMNELLKLDAVVADGEVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKISSKQNPPPVRHQHQQPPARQQQQQAHHHHQNQYQQQQQQQQPAAQTKWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPPANRLDWMLF >LPERR06G01350.1 pep chromosome:Lperr_V1.4:6:872096:875079:1 gene:LPERR06G01350 transcript:LPERR06G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESVRGDLALLILYLNKAEARDKICRAIQYGSKFVSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEAAELQRLSKSMKKLEKELKHQELYKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >LPERR06G01350.2 pep chromosome:Lperr_V1.4:6:871423:875079:1 gene:LPERR06G01350 transcript:LPERR06G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTVKRKRGVESVRGDLALLILYLNKAEARDKICRAIQYGSKFVSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEAAELQRLSKSMKKLEKELKHQELYKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >LPERR06G01350.3 pep chromosome:Lperr_V1.4:6:872111:875079:1 gene:LPERR06G01350 transcript:LPERR06G01350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESVRGDLALLILYLNKAEARDKICRAIQYGSKFVSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEAAELQRLSKSMKKLEKELKHQELYKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >LPERR06G01360.1 pep chromosome:Lperr_V1.4:6:880257:880880:1 gene:LPERR06G01360 transcript:LPERR06G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDEQEISMNGGGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRGACLNFADSARLLRVDPSTLSTPDDIRRAAIELADATAAADASSSSSSAAAAPAMMQYQEEYDVAAAYDYAYYDCFDQPGYYYDGMGGGGGEWQMDGDEDGGAGGYGGGDVTLWNY >LPERR06G01370.1 pep chromosome:Lperr_V1.4:6:883810:890583:-1 gene:LPERR06G01370 transcript:LPERR06G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAANDEGARLDSFLQWLQANGGELRGCTIRRSGREGYGVFSTAAYSGATDEVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLIVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDGKVKGLVGELLNVDESGSSSEVQFEDFLWANSIFWTRALNIPMPRSYVFPESLDEKQTKIGDDCCDPSISVPQITGAGITVNNTSGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSAGHVTGSPSMYLVLGTILATSGTEICINYGNKGNEELLYLYGFVIDNNPDDYLMVHYPAEALRQVQSTDIKMKLLEMQNAELRCLLPRSLLENGFFGSCSGENKENKKNAIPFSSYSWSGQRKVPSYIQKVVFPQEFISTLRTIALQDHELEHAASMLGEIGSNEDRDPSSEELRSAIWEVSGDNGALSLLVDLLRVKMTELEEGTGTEASDSQLLEKFDHSDSEDVTRSDEGNETKSKINIRSCIVYRRGQKQLTKLFLREAEYLLELSSKEET >LPERR06G01380.1 pep chromosome:Lperr_V1.4:6:892215:894500:-1 gene:LPERR06G01380 transcript:LPERR06G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGDGDAKYNSYKAPGLRGAILEAVHVSCLEDRYSLGPQLGWGQFGVIRSCSDMVTGEPLACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEESVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYSEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELITEMLRRDPRQRLTAKQVLEHSWIQEHADQSQDSCGHCHEINLRGEDSSSCSFSTPMASCSRDVSFNTGGPVAYQSMSEEACSPTFACRSSFSAFVAENAPSCALSGFSFGGVCDPSDAVFTSPVTTMPSFSFFCGQESGDPQPSPSGDCELGEKAHSGTVVALVSSSAPRTAEIMRVAVRANPSRAIGINSRRNHTIAAGEREHLDVAVAESVIRWASCTNLSTTHSLQASLVC >LPERR06G01390.1 pep chromosome:Lperr_V1.4:6:900866:904402:1 gene:LPERR06G01390 transcript:LPERR06G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYDAAARQQQQHQLPHSAAAGVPPVPSAPPPGPASHAVAAGQQLKRPRPADFSDHVPGAPEMAGYYSRDDERAGFRAARDNEALNASYERFLRTGQVQSYGAGPGAEPIRPPAGGNAGYPIEDRLDRPMMAGGGGMDGRNIGFGGGMPEPSLPPDASNTLFIEGIPIDCPRREVSHIFRPFVGFREVRLVNKEARHPGGDPILLCFVDFETATQAAIALEALQGYKFDEHDRNSPHLRLQFARFTGPRGNSGPGGGRVRR >LPERR06G01390.2 pep chromosome:Lperr_V1.4:6:900866:904402:1 gene:LPERR06G01390 transcript:LPERR06G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYDAAARQQQQHQLPHSAAAGVPPVPSAPPPGPASHAVAAGQQLKRPRPADFSDHVPGAPEMAGYYSRDDERAGFRAARDNEALNASYERFLRTGQVQSYGAGPGAEPIRPPAGGNAGYPIEDRLDRPMMAGGGGMDGRNIGFGGGMPEPSLPPDASNTLFIEGIPIDCPRREVSRILRSYAFLCQSLSLYLHK >LPERR06G01390.3 pep chromosome:Lperr_V1.4:6:900866:904402:1 gene:LPERR06G01390 transcript:LPERR06G01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYDAAARQQQQHQLPHSAAAGVPPVPSAPPPGPASHAVAAGQQLKRPRPADFSDHVPGAPEMAGYYSRDDERAGFRAARDNEALNASYERFLRTGQVQSYGAGPGAEPIRPPAGGNAGYPIEDRLDRPMMAGGGGMDGRNIGFGGGMPEPSLPPDASNTLFIEGIPIDCPRREVSRILRSYAFLCQSLSLYLHK >LPERR06G01400.1 pep chromosome:Lperr_V1.4:6:907034:911332:1 gene:LPERR06G01400 transcript:LPERR06G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKINNKTLQIKGQNDILDRASSTKADKLHKSLTHFGTPPNSPHYPSMASSQDQDVQEEQGHEDEDEHSTQITSPLLRPSMRGSPESSSSEENSPVEQVALTVPVGDDPSTPVLTFRMWVLGIVSCAVLSFLNTFFWFRKEPLTITAISAQIAVVPLGRLMAATLPERVFFRGRRWEFTLNPGPFNVKEHVLITIFANAGAGNVFAINAITAVRVFYGKHISFFVSLLVVFTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRNQFFLLAFVCSFAYYIFPGYLFQMLTSLSWICWIFPNSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYMYIITPIAYWINLYEAQNFPIISDGLFTVTGHKYNISSIMDSQFHFDMKAYEKNGPLYISTFFAISYGLGFACLTATIVHVLLFHGSEIWQLSKSAFQDKRMDIHTKLMKRYRQVPEWWFICILVASVATTMLTCEYYIEQLQLPWWGVLLACALAIFFTLPVGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPRQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAASVYLGTAWWLMDTVPDICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWSAHKAFPGQKWIQLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIATGISVVGCPVASA >LPERR06G01400.2 pep chromosome:Lperr_V1.4:6:907034:911831:1 gene:LPERR06G01400 transcript:LPERR06G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKINNKTLQIKGQNDILDRASSTKADKLHKSLTHFGTPPNSPHYPSMASSQDQDVQEEQGHEDEDEHSTQITSPLLRPSMRGSPESSSSEENSPVEQVALTVPVGDDPSTPVLTFRMWVLGIVSCAVLSFLNTFFWFRKEPLTITAISAQIAVVPLGRLMAATLPERVFFRGRRWEFTLNPGPFNVKEHVLITIFANAGAGNVFAINAITAVRVFYGKHISFFVSLLVVFTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRNQFFLLAFVCSFAYYIFPGYLFQMLTSLSWICWIFPNSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYMYIITPIAYWINLYEAQNFPIISDGLFTVTGHKYNISSIMDSQFHFDMKAYEKNGPLYISTFFAISYGLGFACLTATIVHVLLFHGSEIWQLSKSAFQDKRMDIHTKLMKRYRQVPEWWFICILVASVATTMLTCEYYIEQLQLPWWGVLLACALAIFFTLPVGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPRQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAASVYLGTAWWLMDTVPDICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWSAHKAFPGQKWIQLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIATGISVVGCPVASA >LPERR06G01410.1 pep chromosome:Lperr_V1.4:6:913900:915738:-1 gene:LPERR06G01410 transcript:LPERR06G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSRHQMSTAQRFDILPCGFSKRGARSDGGAAAAAATAGDARSCSFRTHPAPAVTQAVTWERTRAVKRAHDEDDVEEYGPIVRAKRTRMVGAGDEVWFNQSIAGTIQSTTMMMAAGEGEEASSAAAEEEEEEKVFLVPSAAAFPHSAAAGTSLAAAKKEEYSKSPSNDSSSSSSDGNSSAAMMTIPPARRNGGVAQAVEQEAFELVRALTACAESLAAGNHDAANYYLARLGETASPAGPTPMHRVAAYFTEALAIRVVRTWPHLFDVTPPRHLTDIVADGGEDDATALRILNAITPIPRFIHFTLNERLLRAFDGHDRVHVIDFDIKQGIQWPGFLQSLASRDPPPSHVRITGVGESRQELNETGARLARVAAAVGLAAFEFHAVVDRLEDVRLWMLHVKRGERVAVNAVLAAHRLLRYDTGAALADFLALTRSTGAAILLLGEHEGDDGGRWEARFAAAMRRYAAVFDAVGAAGMEAGSPARVKAEEMFAREIRNAVAFDGADRFERHESFGSWRRRLEDGAGGGGFRNAGIGEREAMQGRMIARMFGGGGHGKYGVETIGDGEGLTLRWLDQPLYTVSAWTPASDDGGGAVSASTTASHSQQS >LPERR06G01420.1 pep chromosome:Lperr_V1.4:6:923492:924555:-1 gene:LPERR06G01420 transcript:LPERR06G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGPAQRLAAAPSNPSSPLSNPSLRLRLPLLAVAVPIRGRRQKDIAHVLEAMHIDAIDRADEVQFELGEQTSLSEAVNIKSRTGPGRDGFVLVNHELLACKFRTKVKLEAAFNRMVDASLRRINEEPGPIEVRTADPQMTANNGPPLAKRGQGPRQCIYANPPFPDEFSFEHNNAHHRVSYKHPYATRELRDEAAARDRRGQRALWEVKLRVLEVRQSILKERKTEMVLRMKEEYDRMMEDEDGGGMRPSGLYRSFAALCAYHPSVIIN >LPERR06G01420.2 pep chromosome:Lperr_V1.4:6:923492:924324:-1 gene:LPERR06G01420 transcript:LPERR06G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQVVSSLQDIAHVLEAMHIDAIDRADEVQFELGEQTSLSEAVNIKSRTGPGRDGFVLVNHELLACKFRTKVKLEAAFNRMVDASLRRINEEPGPIEVRTADPQMTANNGPPLAKRGQGPRQCIYANPPFPDEFSFEHNNAHHRVSYKHPYATRELRDEAAARDRRGQRALWEVKLRVLEVRQSILKERKTEMVLRMKEEYDRMMEDEDGGGMRPSGLYRSFAALCAYHPSVIIN >LPERR06G01430.1 pep chromosome:Lperr_V1.4:6:933689:938141:1 gene:LPERR06G01430 transcript:LPERR06G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPLPAMAAVVALCVASYLLAVWTHPPPPLLPSAASLAAVPCTTRQQSPPPPSSAATATAISNATVLDFSIHHGASDADEASPSPPSRRVVPACGAGESELTPCEGQRAPPRWPASRDAAWYANAPHGELVAEKGVQNWVRKDGDLLRFPGGGTMFPHGAGKYIDDIAAAGGVRLGGGGGGGGGGEVRTALDTGCGVASWGAYLLSRDVLAMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKFNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSTIEAIAKSLCWTKVQQMGDIAVWKKPINHVNCKASRNELGSLGFCNASSQDPDAGWYVNMEECITPLPEVSGPGEVAGGELKRWPERLTSPLPRIAGGSLGSSITVETFNKDSELWRRRVDRYKGVSSRLAEKGRYRNLLDMNAGLGGFAAALIDDPVWVMNVVPTAAIANTLGVIYERGLIGTYQDWCEAMSTYPRSYDLIHAYSLFTMYKDRCEMEDILIEIDRVLRPEGTVIFRDDIDILVKIKNIADGMRWESRIVDHEDGPKQREKILVSVKSYWTA >LPERR06G01440.1 pep chromosome:Lperr_V1.4:6:938703:942670:-1 gene:LPERR06G01440 transcript:LPERR06G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVILGITVAVLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLIIPFAIFYYEGDQDKSVGKRLTSALLWVTVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFTSGQPCISTSPRLCSSYTAPANSQTTWTMRATFPEYVVALATIAGSVLFTIFGGVGIASLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGNKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWAMTVLGYIGKLLFGVIGLIVSIAWVAHIVIYLLINPPLSSFLNEIFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPSGRFQISN >LPERR06G01440.2 pep chromosome:Lperr_V1.4:6:938703:942670:-1 gene:LPERR06G01440 transcript:LPERR06G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVILGITVAVLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLIIPFAIFYYEGDQDKSVGKRLTSALLWVTVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFTSGQPCISTSPRLEATELGKKARELKKAAEALHQEERSGNKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWAMTVLGYIGKLLFGVIGLIVSIAWVAHIVIYLLINPPLSSFLNEIFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPSGRFQISN >LPERR06G01450.1 pep chromosome:Lperr_V1.4:6:944550:952655:-1 gene:LPERR06G01450 transcript:LPERR06G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSRILAASHLLRGSRYEPSPVAAAAAAPVIFRRLHGGPPPPLPVPRPLPASPLLGGFGANCCSRVYGDGSSAPFGRLGSAYPPRHGPSAPRDVRGHAFSTSANALTVGKSSDDKVQKNIPKKDVDDQIADTQILKSLGKYLLLNDSADFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTDANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSKTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGLVSSILAYQFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILNGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLESLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNSSDAIEAPAVSLEVS >LPERR06G01460.1 pep chromosome:Lperr_V1.4:6:954311:961470:1 gene:LPERR06G01460 transcript:LPERR06G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDAEYQRRLDLIHDLRFETATTNIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVNFQVLGDDYSKLAFLCADRSVCLHAKYGSHYKLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINVVSRSTMHGLIACGGEDGAVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDDNQGYFMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTESKLISADKHIVRVWDPNTGNNMTSIEPDSGAINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEENTENIVYEDMRFLTKDEMERYDFSKYIDQGLVRAHMHGFVMKDQLYKKLLATSAVDPENVQEKVKQKKIEEQRKSRITHVVRIPKVNRHIMDNIHREEEEMDADFENGEKSNIKKKRKKLEMNKALLIDPRFKEMFENKDFEIDEQSKEYLALHPQASLKEPHLIEEHFETVSDDEEQQDASASDTSAESDSDNGTNSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIEDRIAALERQRNSKSLGEVKYGPGGSREISFIARGSRRRDEEHDDEEPKDFKRRGVQSLGLKQNKAEYYMFGGSRGRGGGRGRGRGRGRG >LPERR06G01460.2 pep chromosome:Lperr_V1.4:6:954308:960937:1 gene:LPERR06G01460 transcript:LPERR06G01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDAEYQRRLDLIHDLRFETATTNIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVNFQVLGDDYSKLAFLCADRSVCLHAKYGSHYKLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINVVSRSTMHGLIACGGEDGAVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDDNQGYFMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTESKLISADKHIVRVWDPNTGNNMTSIEPDSGAINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEENTENIVYEDMRFLTKDEMERYDFSKYIDQGLVRAHMHGFVMKDQLYKKLLATSAVDPENVQEKVKQKKIEEQRKSRITHVVRIPKVNRHIMDNIHREEEEMDADFENGEKSNIKKKRKKLEMNKALLIDPRFKEMFENKDFEIDEQSKEYLALHPQASLKEPHLIEEHFETVSDDEEQQDASASDTSAESDSDNGTNSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIEDRIAALERQRNSKSLGEVKYGPGGSREISFIARGSRRRDEEHDDEEPKDFKRRAVAEEEEVMGEEAEAGVEAEAGEGAGEGVEAEVGAEAEAEEGAEAGDEHPHRYTAHLLCSQDCANLKF >LPERR06G01460.3 pep chromosome:Lperr_V1.4:6:954308:960939:1 gene:LPERR06G01460 transcript:LPERR06G01460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDAEYQRRLDLIHDLRFETATTNIKVTPDEQYVIASEWEEIWHMIAGLGRFLASLSSQSPAINVVSRSTMHGLIACGGEDGAVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDDNQGYFMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTESKLISADKHIVRVWDPNTGNNMTSIEPDSGAINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEENTENIVYEDMRFLTKDEMERYDFSKYIDQGLVRAHMHGFVMKDQLYKKLLATSAVDPENVQEKVKQKKIEEQRKSRITHVVRIPKVNRHIMDNIHREEEEMDADFENGEKSNIKKKRKKLEMNKALLIDPRFKEMFENKDFEIDEQSKEYLALHPQASLKEPHLIEEHFETVSDDEEQQDASASDTSAESDSDNGTNSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIEDRIAALERQRNSKSLGEVKYGPGGSREISFIARGSRRRDEEHDDEEPKDFKRRAVAEEEEVMGEEAEAGVEAEAGEGAGEGVEAEVGAEAEAEEGAEAGDEHPHRYTAHLLCSQDCANLKF >LPERR06G01470.1 pep chromosome:Lperr_V1.4:6:961879:964294:1 gene:LPERR06G01470 transcript:LPERR06G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALGRRLFSSDAAAGAAADAAAMASASVVRKAQNPLEEFFEVERSTEEDKPPPYYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >LPERR06G01480.1 pep chromosome:Lperr_V1.4:6:968190:975754:1 gene:LPERR06G01480 transcript:LPERR06G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCAGLGERLAAAAKDGDAAEAQRLLAANPGLARCNSFGSLNSPLHIAATKGHHQVAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVWKVDNLSGRTALHMAAAGGHVKCVRLLVADVAVAGGGERDGYVNKAANGGVTALHLAALHGHVDCVHLLIDEHAGLAAQTLPCVTPPMASIGAGSTPLHFAACGGEILVSRGADRTVINCNGWLPVDAARIWGCNWLEHVLSPKSHLLIPKFPPSGYLSQPLPSLINIAREQGLNLSPEISDGVDEGADACAVCLERPCNVAAEGCGHELCLKCALDLCSVIKSYDAAAIAGEIPCPLCRSGIASFRTTAAPAASPGSSLHRRRRNSSGGSEHEASSGGEKSYGSDDSIDSDAAAVVPLYHTPFSPSAILT >LPERR06G01480.2 pep chromosome:Lperr_V1.4:6:968190:975754:1 gene:LPERR06G01480 transcript:LPERR06G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCAGLGERLAAAAKDGDAAEAQRLLAANPGLARCNSFGSLNSPLHIAATKGHHQVAALLLENGADVNARNIYGQVWKVDNLSGRTALHMAAAGGHVKCVRLLVADVAVAGGGERDGYVNKAANGGVTALHLAALHGHVDCVHLLIDEHAGLAAQTLPCVTPPMASIGAGSTPLHFAACGGEILVSRGADRTVINCNGWLPVDAARIWGCNWLEHVLSPKSHLLIPKFPPSGYLSQPLPSLINIAREQGLNLSPEISDGVDEGADACAVCLERPCNVAAEGCGHELCLKCALDLCSVIKSYDAAAIAGEIPCPLCRSGIASFRTTAAPAASPGSSLHRRRRNSSGGSEHEASSGGEKSYGSDDSIDSDAAAVVPLYHTPFSPSAILT >LPERR06G01490.1 pep chromosome:Lperr_V1.4:6:974672:975331:-1 gene:LPERR06G01490 transcript:LPERR06G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTAARLMCKNGGGGGVELEVTVLSAESLRLPPASYYSLIPRRLRPFVTVSSSSATAAVCSTAVAEGGGGEHWWNDTLVVPVGEEFLVGGEVKVAVYSEPACRLVGGATALGWCSILAADVIDGLRPPRALRRLSYSLRDAGAGAGGVVHLAVRLLGEISPPSTPPPPVMSSSPAMSTAAARPGWCRVAMGIPVSGTSAAAAAANGVVVGMPAWR >LPERR06G01500.1 pep chromosome:Lperr_V1.4:6:977580:978725:1 gene:LPERR06G01500 transcript:LPERR06G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLPIPPSLLFAAASLTLAAIAHLLHLPSLLLFSLHTYIHPDSVPSSTPRAVLRPPNSKHSRNGNGAAAAQSPFDDGSNSAQLYRLRLSHSALSTRPQFAGFHLSILIPIALLPPALLIPSSAASPLAPLPAVAFLFVSLLPSPRAGAGAAAQFAGAMGALLVATVMSSSPFAGAVASLAAVPATRFARAFWLGTDQPRSGLAVLASSAPARLVLYLSVIVSSAASIVRFCGLGLLDAPEMEVRVLAVAAGLQILASRAAVQMYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKEYFEGVEELDWLVGWSVAMKEAALLAARWIVAVWSSVTVGTLVFYKRGWLFVL >LPERR06G01510.1 pep chromosome:Lperr_V1.4:6:984176:986903:1 gene:LPERR06G01510 transcript:LPERR06G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLTGTAGPSGFGSRATAEDATAACSDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSVKAAEEARARLLADCPAAGDVIVLPLDLSSLASVRRFAGRFLALGLPLNLLINNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLLEKMAETARLTGVEGRIVNVSSTIHSWFAADGDADAVTYIDGVTRKKIPYDPTRAYALSKLANVLHTRALADRLKEMKANVTANCVHPGIVRTRLIRERDSLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAIAGVSGKYFADCNEASPSRMGSNGDEAAKLWRFSEEIAAEEKNQESIGVSSFRLQVQSSNADRGLAFA >LPERR06G01520.1 pep chromosome:Lperr_V1.4:6:988414:989718:-1 gene:LPERR06G01520 transcript:LPERR06G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDRVDGCKLGDQTTSDVRVCFKRIDEQAVWFCCHSSVLLENSKYFADWLSRNDIGSNSCIEVECAGVDYQHCVKALKLMYLPKESISDSFDSVRSAVGVLRVSSALLKCEFITRSCIEYLEAASWDEKEEEEILQVAQSLGSEETVALLARLQAPSAFAVKNVFISAIRFATSMESPLPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSLVQEGLKKLLSMLKTGLDMLTSEYDQSPEQAEQRVLCSLADIDWMANFLTKIEMMNEFVSGWSEISNYVLSVVEDKKYSSGLWVVKAKLIEVTGKALDAVGYGSVVLPSSSRVHFLQMWLPFMQTTKRLLDEKYKDDTISQMDADLFQNIESAIVSLVLALPSGDQADILAEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >LPERR06G01530.1 pep chromosome:Lperr_V1.4:6:992591:995436:-1 gene:LPERR06G01530 transcript:LPERR06G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDAAADGDGAVAEGSTSAGGGGGGDLAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRGLLGFLRSTAASAAGDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPSNQMDFEEFSGLEKVAELLKDVQIEMWGVPTVAHWTCLCKGKLSHTRTNEKSCASLIWAASRFGSTLDVEQRQMALQIQARRVVESLEPY >LPERR06G01540.1 pep chromosome:Lperr_V1.4:6:998538:1004761:1 gene:LPERR06G01540 transcript:LPERR06G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQIPEWKGYYINYKLMKKKVKQYGQQLQLGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSNALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIVLFLGNVCYAMAYDMKSLTVLIVGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWNFKIYMVTFNQSTLPGWVMAVAWLLYLVWLSISFKEPNRATDVIDTQQNSASVQRADIEQLENGLAQPLLRDSGSKQDEDDDDEEADDSEEGAHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQIALLVGIIFSFKITSTYSVVQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITAAGYLGIGKLLNVTLLPSLVICATSIASTFLTYNSLF >LPERR06G01550.1 pep chromosome:Lperr_V1.4:6:1012130:1015233:1 gene:LPERR06G01550 transcript:LPERR06G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCWFIAAASAGTPSPPPPVLAAAGDGGNKSGTAPTRYNYKAMFVFGDSLADTGNMCANKSSADPILLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSRKKGVDFGRGVSMAIVGATALDFEFLKSIGLGYDLWNNGAINVQFSWFRRLLPSICRSRTPHSCRAYLAKSLFVFGSFGGNDYNAMLLFGFTVDQARNYTPKIVDNIARGVEKVIEMGAMDIVVPGITPLGCFPIYLNMLQTSNKSDYDHYGCLKDLNSLAIHHNSLLRTKLAGIQSRHTSSSPATKVRIMYADYYTNLAEMMRRPSPSPSPGGIAACCGAGGGEYNWEFDARCGMKGATACADPARFVCWDGIHPTEAANRVIAGGWLRGPSCHPPILKH >LPERR06G01560.1 pep chromosome:Lperr_V1.4:6:1017267:1021887:-1 gene:LPERR06G01560 transcript:LPERR06G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDLLLKIQSDVQKCWEDSKVFEAEPGNKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELEDDSSADVADGSQADHAASVAPDKFKSKKSKAASKVGMQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVAPPFPPKLKNMEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTSRAALNLAYQNLSRVPEKPSCLAEISGNDLIGLPLKSPLAFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGGKVQEVKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIRPEQMTDEVWDYVFCGGPVPNSDIPPVLLSKMKQEFEYWYPFEIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRAGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRELLWRFMEVQTRLITPICPHYAEHVWQNILKKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPTSEENKLTVGLVYVNEHYYGWKEQCLKVLQSKFDRQARSFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLKLPFGEISVLEDNLELIKRQVGLEHAEILSASDEAARAKAGMHASMLDKTPPSPGEPVAIFMSKLDFEARR >LPERR06G01570.1 pep chromosome:Lperr_V1.4:6:1022328:1027602:1 gene:LPERR06G01570 transcript:LPERR06G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVGRQCFVLGFRRAAAPSPPLLIRRQRGARMASSSGDAPPLSTTVAVAGDEGIRVVAAPGLTEAEFRSAVESSLFRKWLRNLEEEKGVLTYGRLDLRQILIQGVDMFGKRVGFVKFKADIVDEETNAKVPGIVFARGPAVAVLILLECKGQTYAILTEQVRVPIGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNADTGCKMLPSPGGCDEEIGLFLYRGHANEDTIRSLQGKETGLRDHGELIKLRVVPYSELWRSTADAKALSAIALYEMAKKEGLLPSSLMTSRRSGSSSSSNL >LPERR06G01580.1 pep chromosome:Lperr_V1.4:6:1027968:1029848:1 gene:LPERR06G01580 transcript:LPERR06G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGDSRLPSPPKQRELDALPSKLRRLIAIQEKHKDAGAGAGDSSGKTPGKQGESDAAKNKARVDKKTKKQNLEPTADSKAAEVSGKDVPTADENTSVDGSKRKRKRGKAMDLRFKELDETVSVSKKQKRKKYLDEKKKKRKGDRMETLPEFPGREKVKFGDVIEAPPKLSFPKVKTALEASREMLRKETIEKYRNIKGWTSRPGLQLPTLAEYASL >LPERR06G01590.1 pep chromosome:Lperr_V1.4:6:1030287:1033887:-1 gene:LPERR06G01590 transcript:LPERR06G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLTSLPVSAGVCSASALAIALLAITLYIIGVVASFAVLCIKEFAEIAHDRPPLVGTVLRALKNFDRLFDEHVTYAIMNRTSRLVYPGHCKLMTSEPAVIEHVLKTSFSKYSKGDFITEAMKDLFGDGIFAIDGDMWRHQRKLASYEFSTKVLRDFSSNVFRRNAAKLAEKISCAAANRISINIQDLFMRATMDSIFKVGFGFELNTLSGSDESSIQFSRAFDEANSLVYYRYVDILWKLKRYLNIGSEAKLKRSIQIIDSFVMKLIHQKREQMKNERNNNIKQDILSRFVLASEQDAGTMDDRYLRDIVLNFLIAGKDTTGNTLTWLFYMICKNPTVQDKVTLEIREFVDWSKEDNTIESFIRRLNEGAISKMHYLHATISETLRLYPAVPVDGKIADEDDVLPNGYRVIKGDEINYMIYAMGRMTYLWGEDALEFRPERWIVNGIFQQESPFKFVSFNAGPRICLGKEFAYRQMKIMAAALIHFFRFRLEDESKEAIYKTMFTLHIDNGLHLLAYPREISA >LPERR06G01600.1 pep chromosome:Lperr_V1.4:6:1034958:1038689:-1 gene:LPERR06G01600 transcript:LPERR06G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLTSLAASVGVCLASALAIALLTIILYILGIVVSFAALCIKEFAERAQDRPPLIGTVIRQLKNYDKFFDEHVIYATMYRTSRLVYPGHCEIMTSDPAIVEHVLKNSFSKYSKGDFITTAMKDLFGNGIFATDADMWRHQRKLASYEFSTKVLRDFSSDVFRRNAAKLAERISCAAANRININIQDLFMRATMDSIFKVGFGFELNTLSGSDESSIQFSRAFDEANSLVYYRYVDILWKLKRYLNIGSEAKLKRSIQIIDSFVMKLIHQKRDQMKNEHNNRTKEDILSRFILASEKDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYMLCKNPVVQDKVFLEIEEFVELGKEDNTIESFTTRLNEGAISKMHYLHAAISETLRLYPAVPVDGKMADEDDVLPNGYRVVKGDGINYMIYAMGRMPYLWGEDALEFRPERWLVNGIFQQESPFKFVSFNAGPRVCLGKEFAYRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLHLLAYPREISA >LPERR06G01610.1 pep chromosome:Lperr_V1.4:6:1041243:1044892:-1 gene:LPERR06G01610 transcript:LPERR06G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLSSILPVYKEAIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLVETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAIVGKDKLVVSTSCSLMHTAVDLINETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKWITHSKFCCRISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >LPERR06G01620.1 pep chromosome:Lperr_V1.4:6:1051543:1057745:1 gene:LPERR06G01620 transcript:LPERR06G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRAVADSLSSVLYSVAGKWWGAMDAAAPAPSPSPAAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAVAHYRNALRVMLEAKAARVPDAVSSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGVAAPVPKKAVPSNPVNRNDRAASTSYNKSTSQPSPSFNRGGQASSHQKNNSGGAKPGQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSTRLANENDSSRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLSVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSRWDELEKWNEEFGSS >LPERR06G01630.1 pep chromosome:Lperr_V1.4:6:1067003:1073869:1 gene:LPERR06G01630 transcript:LPERR06G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAPWLWWFAAATVIAVVVEGGGGDGDGKALMGVKAGFGNTANALVDWDGGGDHCAWRGVSCDNASFAVLALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGDIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPIPPTIGDLEHLLDLNLSKNHLGGSVPAEFGNLRSVLVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNTLNLSYNNLSGHVPLAKNFSKFPMESFLGNPLLHVYCQDSSCRHPHGQRVNISRTAIACIILGFIILLCIMLLAIYKTNQPQPIVKGSDKPVQGPPKLVVLQMDMATNTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEARLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPAPAVTTPKTVDYSRLLASTTAADMRLGHDVTDIGDNSCSDDQWFVRFGEVISKHTM >LPERR06G01630.2 pep chromosome:Lperr_V1.4:6:1067003:1074252:1 gene:LPERR06G01630 transcript:LPERR06G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAPWLWWFAAATVIAVVVEGGGGDGDGKALMGVKAGFGNTANALVDWDGGGDHCAWRGVSCDNASFAVLALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGDIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPIPPTIGDLEHLLDLNLSKNHLGGSVPAEFGNLRSVLVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNTLNLSYNNLSGHVPLAKNFSKFPMESFLGNPLLHVYCQDSSCRHPHGQRVNISRTAIACIILGFIILLCIMLLAIYKTNQPQPIVKGSDKPVQGPPKLVVLQMDMATNTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEARLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPAPAVTTPKTVDYSRLLASTTAADMRLGHDVTDIGDNSCSDDQWFVRFGEVISKHTM >LPERR06G01640.1 pep chromosome:Lperr_V1.4:6:1075013:1083080:-1 gene:LPERR06G01640 transcript:LPERR06G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEDMCEVLSATTSTSSCDRDGGGGGFEEMEEGEAGEGMMVMEVRWFEVDLEYEFDAPRWFDLAQEESPVEKAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVNVDVSHSSKSSHECSSGAEHMIHRPHESNEGRTLGYQVPGNQRPGSRTIGKGTVSKGSTLMKPTVSQLARQNRKPEVKKSTESKKSVGMRSDRSTMSSNDGTYQAAKRQRLERGHLNKVVATNQIELIHKNHEKGIMNINSDHATVIPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKKETRLPSMTQAASIRKVVQPSGRNDHQHASVPRCAFGSNVPVCTSNHPRHLDNTCKKPNECRDDLFKFKARPLDKKILASKGDIGVFQSTKKSSTVPKEFKLSTSRKSKQAPLSELFNKLTLTAEARRGLDRQASDLPNYITTKAKLLFSTVGPGLHLSFDKMKRYIILTSKAPTMVHWCLLARLANSGILPSLSMVAAAVQLNIIQYLLAAMAMIHLYSSVRETNHCASRWSGKTGTHWLVPSRISRICKSCVASSAQRLLPT >LPERR06G01640.2 pep chromosome:Lperr_V1.4:6:1074481:1083080:-1 gene:LPERR06G01640 transcript:LPERR06G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEDMCEVLSATTSTSSCDRDGGGGGFEEMEEGEAGEGMMVMEVRWFEVDLEYEFDAPRWFDLAQEESPVEKAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVNVDVSHSSKSSHECSSGAEHMIHRPHESNEGRTLGYQVPGNQRPGSRTIGKGTVSKGSTLMKPTVSQLARQNRKPEVKKSTESKKSVGMRSDRSTMSSNDGTYQAAKRQRLERGHLNKVVATNQIELIHKNHEKGIMNINSDHATVIPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKKETRLPSMTQAASIRKVVQPSGRNDHQHASVPRCAFGSNVPVCTSNHPRHLDNTCKKPNECRDDLFKFKARPLDKKILASKGDIGVFQSTKKSSTVPKEFKLSTSRKSKQAPLSELFNKLTLTAEARRGLDRQASDLPNYITTKGPNKGLN >LPERR06G01650.1 pep chromosome:Lperr_V1.4:6:1091246:1097067:1 gene:LPERR06G01650 transcript:LPERR06G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGDGGGASTGKQQQSKRKKASAAYSSSSSSPSPARPRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRSSPSPSPPSSAAGVGGGGAASPIHRSHSRGLLSPRAALPSLSARGNSVRDDDSLYYAGLRRCADDPYHPTSNSDGVIQLGLADNYLSLDLVGKWMEEHAAAAIAGGDDEEERELSIRGLAAYQPYDGILALKMALAGFMRQVMQESVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGILISNPNNPTGSFVPKQTLHDLLEFATEKNIHLISDEVFAGSTYGSGKFVSVAEVIDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNEGIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKINKERLRNMYHLLVDALNQVGIECFKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNATPGSSCHCIEPGWFRCCFTTLSEQDIPVLVERLRRITDNHKVNSWK >LPERR06G01650.2 pep chromosome:Lperr_V1.4:6:1095936:1096881:1 gene:LPERR06G01650 transcript:LPERR06G01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEVFCDQVPRTAENFMALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGASIWGKKFADEFRESLKHNARGVMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAN >LPERR06G01660.1 pep chromosome:Lperr_V1.4:6:1097646:1099962:-1 gene:LPERR06G01660 transcript:LPERR06G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGYPQGSLNGSKNIHHHDQLSHAQASPLQLADSPYDHAREMHISEAYPISTAPGSVGKAKRPKKNSSQASPLKRPSGVLRKTKKPSSDWKNVGMSDCGDDSANASVMKNDWKDKDLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVIPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >LPERR06G01670.1 pep chromosome:Lperr_V1.4:6:1103638:1104996:-1 gene:LPERR06G01670 transcript:LPERR06G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEVVAAGEAAPVVEEVKEAPVVEEEKKAEEEVVVEKKGEGEGDVKVEEKTKKERKPRVRKPRSAGPHHPPYFEMIKEAIMAQDGNGKTTGTSPYAIAKYMGEQHKEELPANYRKVLAVQLRNFAAKGRLVKYSFYGDQGQLSHAGAKRACRVSLN >LPERR06G01680.1 pep chromosome:Lperr_V1.4:6:1106923:1107420:-1 gene:LPERR06G01680 transcript:LPERR06G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR06G01690.1 pep chromosome:Lperr_V1.4:6:1110406:1116115:1 gene:LPERR06G01690 transcript:LPERR06G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEADNPQRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSVGVYSVAASVRLGNKIISQGRDGSCKCWTIEEAGLSRRPLSTIRTSTYHFCKMSLVKSTCTTCSAQSDLSSATDDVELQSALGPNIMAIAGQESSQVELWDIDNSRKDYAWLSKLSSLVVQVIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAVDGPCNGGISGGADDKIVMFSLDHQKGAFILRKEIKLERPGVSGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWKLYPPKTASGEVGVRTGDEISQ >LPERR06G01690.2 pep chromosome:Lperr_V1.4:6:1110475:1116115:1 gene:LPERR06G01690 transcript:LPERR06G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEADNPQRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGYPAPLPPLDSPLQQRLAADGELRVWDTASHRTVSSVWAHGGSVGVYSVAASVRLGNKIISQGRDGSCKCWTIEEAGLSRRPLSTIRTSTYHFCKMSLVKSTCTTCSAQSDLSSATDDVELQSALGPNIMAIAGQESSQVELWDIDNSRKDYAWLSKLSSLVVQVIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAVDGPCNGGISGGADDKIVMFSLDHQKGAFILRKEIKLERPGVSGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWKLYPPKTASGEVGVRTGDEISQ >LPERR06G01690.3 pep chromosome:Lperr_V1.4:6:1110406:1116115:1 gene:LPERR06G01690 transcript:LPERR06G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEADNPQRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSVGVYSVAASVRLGNKIISQGRDGSCKCWTIEEAGLSRRPLSTIRTSTYHFCKMSLVKSTCTTCSAQSDLSSATDDVELQSALGPNIMAIAGQESSQDYAWLSKLSSLVVQVIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAVDGPCNGGISGGADDKIVMFSLDHQKGAFILRKEIKLERPGVSGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWKLYPPKTASGEVGVRTGDEISQ >LPERR06G01690.4 pep chromosome:Lperr_V1.4:6:1110475:1116115:1 gene:LPERR06G01690 transcript:LPERR06G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEADNPQRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGYPAPLPPLDSPLQQRLAADGELRVWDTASHRTVSSVWAHGGSVGVYSVAASVRLGNKIISQGRDGSCKCWTIEEAGLSRRPLSTIRTSTYHFCKMSLVKSTCTTCSAQSDLSSATDDVELQSALGPNIMAIAGQESSQDYAWLSKLSSLVVQVIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAVDGPCNGGISGGADDKIVMFSLDHQKGAFILRKEIKLERPGVSGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWKLYPPKTASGEVGVRTGDEISQ >LPERR06G01690.5 pep chromosome:Lperr_V1.4:6:1110475:1116115:1 gene:LPERR06G01690 transcript:LPERR06G01690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEADNPQRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGYPAPLPPLDSPLQQRLAADGELRVWDTASHRTVSSVWAHGGSVGVYSVAASVRLGNKIISQGRDGSCKCWTIEEAGLSRRPLSTIRTSTYHFCKMSLVKSTCTTCSAQSDLSSATDDVELQSALGPNIMAIAGQESSQVELWDIDNSRKDYAWLSKLSSLVVQVMKEIKLERPGVSGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWKLYPPKTASGEVGVRTGDEISQ >LPERR06G01700.1 pep chromosome:Lperr_V1.4:6:1132192:1140268:1 gene:LPERR06G01700 transcript:LPERR06G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRASIVERNTQEKKTCIDYVKLRKCYKTVSTKLINASPQNQSASDLSHILGGKESNNPSK >LPERR06G01710.1 pep chromosome:Lperr_V1.4:6:1142645:1144741:-1 gene:LPERR06G01710 transcript:LPERR06G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAASPVAAHAFAACDAARFPAPLLGPTFATAAAAVEDNKPDTWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRAGGGLGLPLPLLVRFPDVLRHRVEALNAAFDYAVRSTGYGGRYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALMARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVSKLKTVGMLDCLQLLHFHIGSQIPTTSLLADGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRRGVAHPIICSESGRALVSHHSVLVFEAFSASSPGHIDPATGYLLDELTDDCHADYRNLMAAAVRGDFDTCGLYADQLKRRCAEQFKEGILGLEHLAAVDSLCEIVARGMGAGEAARTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVEHFIGGRQSLPLHEIPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRATAGPSCADVLRSMQHEPEVMFEVLKQRTDAATAAAIARAFGAMPYLSFDPEAAAMSSDSDEGSAAGVAEEDDDEWEFMRGLTV >LPERR06G01720.1 pep chromosome:Lperr_V1.4:6:1145765:1147390:1 gene:LPERR06G01720 transcript:LPERR06G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSGPSVHDPAAGSVRDRTCGRFWMQFIRGEIIIGCGIRCAAAAGRPICWLSLPCCMRPNATQI >LPERR06G01730.1 pep chromosome:Lperr_V1.4:6:1158635:1161570:1 gene:LPERR06G01730 transcript:LPERR06G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGRDDDAPDLICQIDCVHGMVDALSSVRWKRHQDAVLELSEHGIVVIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSAVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAANTQVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVIFKGEGHGDLEIEFPYYANTELLIAFQCDQEIAYRYKYKFLRATTSNFPSSIMKENRGSKVSIGNGGMLKIQHLVSVARPGMQYFRNVAGGAQQPSRIAYIEFFVKPEEDENNMNDA >LPERR06G01740.1 pep chromosome:Lperr_V1.4:6:1173855:1179034:1 gene:LPERR06G01740 transcript:LPERR06G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGTTCVWQEKAAAAASAAMGAAGAGSGGNWRVAAMAILAAAGGALNCAISFIVFSFLDVLDMVLCVVYKLIDYAVEAEWKPCYCSSAARDGAGGAAVFVAPATAAAAGPKVVRLSPSMEKMQLEDVSDTLYVRPSVMADATKKSGPAAPSLTVSPAIAELIRGKIDRAAPPRRPPPRHAPCWSDCDCKLCHSWSSGAATSRSSHLYVHVQSPTTPSGVETEDVVFIHGFISSSVFWTETIFPVFSTAARGRYRMFAVDLLGFGRSPKPADSLYTMREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVKSLTLLAPPYFPVPKEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRTWDRLFRLFTRNRMRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVSGELGCEVAVFHGRDDELLPVECTLAVGARVPRARVTVYDRKDHITIIVGQEKLFAAELEAIWRRSNTAGADAGGE >LPERR06G01750.1 pep chromosome:Lperr_V1.4:6:1180378:1181403:1 gene:LPERR06G01750 transcript:LPERR06G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVSTAPLSRLLSPPPPPHSHAVILRSQRKPLTVTAALPPAAVDLPPLSLPAXQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATDGVNRVQLDIRDGHSQTVSATLAMLRDSDIPLSGATICDAGCGTGSLTIPLASAGASVLASDISAAMVAEAQRQAESAANSSPNFAMPRFEVRDLESLEGNYDIVVCLDVLIHYPRDEAKKMIKHLASLAEKRVVISFAPKTIYFDFLKRVGELFPGPSKATRAYLHSERDIEDALREAGWRVANRGFISTQFYFAKLFEAVPIAASQ >LPERR06G01760.1 pep chromosome:Lperr_V1.4:6:1182868:1185921:1 gene:LPERR06G01760 transcript:LPERR06G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTARFFLAIHPRRPLAAIPGRRKPDLTAVSARPRRGGGRRNRSWDADGGGSDDEDDRIDASFFGDAPDEDPDPEDEAAASRRAYSPSPAAAAEPAGQQLRGSDVLRAMQRAAAAKEAKKRQKKAALRRRDAGGKRGSELAAAAAAAGEVRPIEIRREWATRIHELELRVQQLVDKLFREFRVLVLPKPESLMLHLMLSVSDMGV >LPERR06G01770.1 pep chromosome:Lperr_V1.4:6:1187596:1188951:1 gene:LPERR06G01770 transcript:LPERR06G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPLAAAALVVLLLLAVPSAAEIRETVIRGDPRSIIPLDEFGFSASGVLELNVSGIAFDPPASSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNSVGIEVKRSSSFSASLRVTEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGLFGVVYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAINLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSDVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >LPERR06G01780.1 pep chromosome:Lperr_V1.4:6:1192132:1193850:-1 gene:LPERR06G01780 transcript:LPERR06G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGQGVAYEIMRANGLTRAWQSAEKGWGFSTCNPIKVSSFIIMAASTRRPAASIAIAAGMLLGIRLQASGSFHQQRPTCRLMGLSNLQLWAQTNSNSQCKCRGWGNRHVWPTSANQGSTIRELDCDSSPKSFMGKMHAILP >LPERR06G01790.1 pep chromosome:Lperr_V1.4:6:1203845:1207691:1 gene:LPERR06G01790 transcript:LPERR06G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSTSASAAINLSSYYCSSSSSSSSPMRGDQTAQQEEGWVICRVFKKKNLVHNSGAGAAAKFAAAAMESSPRSNCSTVTTVNDQVKAAALTTSTMLHSSASDDALDHILNYMGRNCKHEPTNSPAPAIVDHHHQLAGQHAIYGGANKFMKLPPLEHVAAGGGLLAASGDYSGAGDASGIADWDTLDRLAASYELNVDVAKNGGMVVGGGGFFDVIGDGGVAGAGDGDLWSLARSVSTSLHADLTMNNV >LPERR06G01800.1 pep chromosome:Lperr_V1.4:6:1213655:1215612:-1 gene:LPERR06G01800 transcript:LPERR06G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLQLVLAVAFAVAAAVILPNLSATALGVNYGQVADNLPPPQSAALLLRALNATKIKLYDADARVLAAFANSGADFTVGLPDRLVPRLAADPSAAPAWVRSNILPHIPATSITAVTVGNEVLTGGDDVMLRSLLPAMQSLHAALAACNLTSRVSVTTAHSLAVLSSSFPPSSAAFRRDLLPYITPLLAFLTKTNSPFLINAYPYFAYKADPDHVDLNYVLFESNSNSGAGVVDPATGLRYDNMLHAQVDAVRAAICKANYGKSVEIVVSETGWPSRGDGDESGASPENAARYNGNLMRMVAEGKGTPAAPQGEALQVYVFALFNEDLKPGPASERHYGLFKPDGSPAYDVGVKAPAIGGGGKGRGNGTAGGGGLVVAEGPGGADGAGPGEEVAVLGKLVHNRCCSHDVRAALVMTMLQWRFAVEEESE >LPERR06G01810.1 pep chromosome:Lperr_V1.4:6:1218230:1221309:-1 gene:LPERR06G01810 transcript:LPERR06G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTTSQLATTSAGFGIADRSAPSSMLRHGLIKTPTPAGVDGSSSSSSLSVTTASARGGGRRFPSAVVYATGGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTGVVADIKVGDRYERVRFFHLYKRGVDRVFVDHPSFLEKVWGKTGEKIYGPDTGIDYKDNQLRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTAPLPSYLKNNYQSNGIYRNAKVAFCIHNISYQGRFAFDDYPALNLSENFKSSFDFIDGYDTPVEGRKINWMKAGILESDRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITVKYDATTAIEAKALNKEALQAEVGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKLEKLIKSLEEKYPNKVRAVVKFNAPLAHHIMAGADILAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVQKVATTLKRAIKVVGTPAYDEMVRNCMNQDLSWKVPAKNWENVLLGLGVAGSQPGIEGEEIAPLAKENVATP >LPERR06G01820.1 pep chromosome:Lperr_V1.4:6:1223031:1227394:1 gene:LPERR06G01820 transcript:LPERR06G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTTSQLATSCAGFGIADRSAPSSMLRHGLLKHRTPAGVDGSSSSSLSVTTTAGARAAPKQQRSAQRGGGRRFPSAVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHLYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYSDNQLRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLPSYLKNNYHPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFKSSFDFIDGYDTPVEGRKINWMKAGILEADKVLTVSPYYAEELISGVARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITVKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSLEEKYPNKVRAVVKFNAPLAHHIMAGADILAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVQKVATTLKRAIKVVGTPVYNEMVRNCMNQDLSWKGPAKNWENVLLGMGVAGSQPGIEGEEIAPLAKENVAAP >LPERR06G01820.2 pep chromosome:Lperr_V1.4:6:1223756:1227394:1 gene:LPERR06G01820 transcript:LPERR06G01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTTSQLATSCAGFGIADRSAPSSMLRHGLLKHRTPAGVDGSSSSSLSVTTTAGARAAPKQQRSAQRGGGRRFPSAVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHLYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYSDNQLRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLPSYLKNNYHPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFKSSFDFIDGYDTPVEGRKINWMKAGILEADKVLTVSPYYAEELISGVARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITVKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSLEEKYPNKVRAVVKFNAPLAHHIMAGADILAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVQKVATTLKRAIKVVGTPVYNEMVRNCMNQDLSWKGPAKNWENVLLGMGVAGSQPGIEGEEIAPLAKENVAAP >LPERR06G01830.1 pep chromosome:Lperr_V1.4:6:1229367:1229651:1 gene:LPERR06G01830 transcript:LPERR06G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALETFVPPRRQQSAANKAPAPAEDGSARPAAKEEGAAAAAAKGKGGGGGGARFDPAMDGFYCFETVSPH >LPERR06G01840.1 pep chromosome:Lperr_V1.4:6:1231686:1231955:1 gene:LPERR06G01840 transcript:LPERR06G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLTSLVFCEVPGLDAVAFAGKTSLTAAVKISTTASSGGRVDSPAAVEDKKDGGRKGCFSGEAPRRMQAVYEPAFDGLNCFETIVMH >LPERR06G01850.1 pep chromosome:Lperr_V1.4:6:1235425:1235649:1 gene:LPERR06G01850 transcript:LPERR06G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSTPAEIAAAGYTTMFSWRTSAGKQQQKPAEKAQKKEGEKQQEARPRFAPEFDGINCFESIVSF >LPERR06G01860.1 pep chromosome:Lperr_V1.4:6:1236549:1236845:-1 gene:LPERR06G01860 transcript:LPERR06G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADLAAGGYWARLSWRRKADDQAAASRRQQPVEQQQRGEGSSPSPSQSQKREERWQGGEAAAAMMPPRFAPEFDGIDCFETIVMH >LPERR06G01870.1 pep chromosome:Lperr_V1.4:6:1240697:1241719:1 gene:LPERR06G01870 transcript:LPERR06G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRLALFYLLLALAPLAVTAWRPWPPRNASGGELAGIGASKKYEGSSDFVKLQYHMGPVLAADITVHPIWYGKWPVSHKRTIRAFLRSLSAAGVPSPSVSAWWRTVRLYTDQTSSNVSATVRLGEEKSDARMSRGARLSRLDIQSVVRDAVTATTRPLPVDSGGVYLVLTSPEVAVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAARCPEICAYPFAIPSYIVAGGRRPESPPNGDSGIDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGASYNVNGVGGRRFLVQWVWDPVVSYCSGPNALDH >LPERR06G01880.1 pep chromosome:Lperr_V1.4:6:1250136:1266131:1 gene:LPERR06G01880 transcript:LPERR06G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGGEERKRGHYFTPFASTIAITRRTLMPTSNTSNPIDFLLRPATHSRERCFSIKQRRCHAPAGEGARGGRGLWRSGGRRPRLPGSFRSTSQPDQMFVNAAESSSAQAMQEPDVSFSRDLLSDTTSKEPMTAHTSSSPQTVQHDSTPSQCSLWSSPGSSILVRSHPDWYFVLYIRMDRGGYFHIYPDVGGGPFQSLPEVDDAINQHLQRKRIPKMGEELDKLPLVERMIRLAMYWPDGKRKSSKWYYHLNFTAKMKRADGFDCRVDNLFFAEITHMQGEDEWVISCCCVIKPNASGHCYGCRNNGYPGMKHPNNVDAYSGGHMNGCLPFGFHSSVDSDCEDLSLEDEEDMLRRRYKGLDKPGGFKIAYPPFASPILCECC >LPERR06G01880.2 pep chromosome:Lperr_V1.4:6:1250136:1266131:1 gene:LPERR06G01880 transcript:LPERR06G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGGEERKRGHYFTPFASTIAITRRTLMPTSNTSNPIDFLLRPATHSRERCFSIKQRRCHAPAGEGARGGRGLWRSGGRRPRLPGSFRSTSQPDQMFVNAAESSSAQAMQEPDVSFSRDLLSDTTSKEPMTAHTSSSPQTVQHDSTPSQCSLWSSPGSSILVRSHPDWYFVLYIRMDRGGYFHIYPDVGGGPFQSLPEVDDAINQHLQRKRIPKMGEELDKLPLVERMIRLAMYWPDGKRKSSKWYYHLNFTAKMKRADGFDCRVDNLFFAEITHMQGEDEWVISCCCVIKPNASGHCYGCRNNGYPGMKHPNNVDAYSGGHMNGCLPFGFHSSVDSDCEDLSLEDEEDMLRRRYKGLDKPGGFKIAYPPFASPILCECC >LPERR06G01880.3 pep chromosome:Lperr_V1.4:6:1246594:1266131:1 gene:LPERR06G01880 transcript:LPERR06G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRGLVNAAESSSAQVLRETDASFSKGLLSNTSSKEPITSHTSSSPQTVQCKETYTSAQSQCCSWSSPESTILFRRPPEWGEELDKLPLVERMIRLAMYWPDGKRKSSKWYYHLNFTAKMKRADGFDCRVDNLFFAEITHMQGEDEWVISCCCVIKPNASGHCYGCRNNGYPGMKHPNNVDAYSGGHMNGCLPFGFHSSVDSDCEDLSLEDEEDMLRRRYKGLDKPGGFKIAYPPFASPILCECC >LPERR06G01880.4 pep chromosome:Lperr_V1.4:6:1246594:1250550:1 gene:LPERR06G01880 transcript:LPERR06G01880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRGLVNAAESSSAQVLRETDASFSKGLLSNTSSKEPITSHTSSSPQTVQCKETYTSAQSQCCSWSSPESTILFRRPPEWYLKFYIRMDRGGCFHIYPDVGGGPFQSLSEADDAITQHLRGLRVPSMGEELDRLPLMERMIRQTMYWPDGKRKHSKSGGYKKDDHPLIQALVEKYNDDHNLLGDSAYEVKELLQLGTVYEDHRWYYHINFTAKLKGAYKSGCATDNLFFAEISHMQGEQEWVVSCCCIIKSNANGRCNGCINDGRSGLKHPNNTDAYSGGHLDGRLPFGLDGSCSNNDDLDPEAEEAMLRSTFKDIDVPGYLEKLFT >LPERR06G01890.1 pep chromosome:Lperr_V1.4:6:1267239:1270419:-1 gene:LPERR06G01890 transcript:LPERR06G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAASAGAPTPASSTPSERLGFRLSSLAGRGLRSPLLHARLGFRLSSLAGRGLRSPLPPRRGASSTPAASASRRRQQRVRAAAVETLEGQAATGALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVREEDLKQFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFDALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFESDWNAKLAEYEKKYPEDAATLKSIVSGELPAGWVDALPKYTPESPADATRNLSQQCLNALAKVVPGFLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMFRPADGNETAGAYKIAVLNRKRPSVLALSRQKLPQLPGTSIEGVEKGGYIVSDNSTGNKPDLIVMGTGSELEIAAKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPAAVTARVSIEAGSTLGWQKYVGSQGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >LPERR06G01900.1 pep chromosome:Lperr_V1.4:6:1275498:1279211:1 gene:LPERR06G01900 transcript:LPERR06G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMASNAAASLDRATSAAAVATPAFSRQQLRLPARALSRGGVRVRGRRAVVAAAASSVAAPAAKAEEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVTAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVKN >LPERR06G01910.1 pep chromosome:Lperr_V1.4:6:1279855:1281489:-1 gene:LPERR06G01910 transcript:LPERR06G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKMGFEGTQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKEKKLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >LPERR06G01920.1 pep chromosome:Lperr_V1.4:6:1282394:1290121:-1 gene:LPERR06G01920 transcript:LPERR06G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRWVPGSLEELCGALSSRNHPRSRASDPAPPPQCEDHVEGTSEPAPSAQALHEPDVVSSLGELFGATALYGSITSSPSSSPRAAPEDTTGTPSPVHCLSSSSVPVIWVHKTPENQPGVYHIRTDRWGSFHTYPDLGGPFQSLNDAEDAISSHLSKLYPPVKYHELAGESYVDRMIREKLYWPDGSRKKCSKAQAFENVKNRMNQLAKVILDMYNDDHNLSEDLSYELKGVINFEPIVEGHRWFDHLNFTATKGSNGLDSQIDYLFFAEAMSLEGQKDYMATCCCLLSSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYINGIYDTVVSSDSEEDEDEEEQRLRKMYQFDKCNGNLGPSQRHHPTSWTEAPASGEMKKRDSDTIAAAFPLRAALLLFLSSPSPRLSLPMNPSSSSSGRGGASGYHSKSFASPNPRGGGGGGGGRGRGGGGRGGRGGDGGDRVDALGRLLTRVLRHMASELNLEMRTDGYVRVRDLLNLNLQTFARIPLKSHTVDEIREAVRRDSKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSGMKNLDSILQHGLKRMARLHVHFSSGLPTDGGVISGMRQSANIFIYLDVAKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >LPERR06G01920.2 pep chromosome:Lperr_V1.4:6:1282394:1290121:-1 gene:LPERR06G01920 transcript:LPERR06G01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRWVPGSLEELCGALSSRNHPRSRASDPAPPPQCEDHVEGTSEPAPSAQALHEPDVVSSLGELFGATALYGSITSSPSSSPRAAPEDTTGTPSPVHCLSSSSVPVIWVHKTPENQPGVYHIRTDRWGSFHTYPDLGGPFQSLNDAEDAISSHLSKLYPPVKYHELAGESYVDRMIREKLYWPDGSRKKCSKAQAFENVKNRMNQLAKVILDMYNDDHNLSEDLSYELKGVINFEPIVEGHRWFDHLNFTATKGSNGLDSQIDYLFFAEAMSLEGQKDYMATCCCLLSSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYINGIYDTVVSSDSEEDEDEEEQRLRKMYQMHGKSFLVEIISAAAFPLRAALLLFLSSPSPRLSLPMNPSSSSSGRGGASGYHSKSFASPNPRGGGGGGGGRGRGGGGRGGRGGDGGDRVDALGRLLTRVLRHMASELNLEMRTDGYVRVRDLLNLNLQTFARIPLKSHTVDEIREAVRRDSKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSGMKNLDSILQHGLKRMARLHVHFSSGLPTDGGVISGMRQSANIFIYLDVAKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >LPERR06G01920.3 pep chromosome:Lperr_V1.4:6:1282394:1290121:-1 gene:LPERR06G01920 transcript:LPERR06G01920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRWVPGSLEELCGALSSRNHPRSRASDPAPPPQCEDHVEGTSEPAPSAQALHEPDVVSSLGELFGATALYGSITSSPSSSPRAAPEDTTGTPSPVHCLSSSSVPVIWVHKTPENQPGVYHIRTDRWGSFHTYPDLGGPFQSLNDAEDAISSHLSKLYPPVKYHELAGESYVDRMIREKLYWPDGSRKKCSKAQAFENVKNRMNQLAKVILDMYNDDHNLSEDLSYELKGVINFEPIVEGHRWFDHLNFTATKGSNGLDSQIDYLFFAEAMSLEGQKDYMATCCCLLSSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYINGIYDTVVSSDSEEDEDEEEQRLRKMYQFDKCNGNLGPSQRHHPTSWTEAPASGEMKKRDSDTIAAAFPLRAALLLFLSSPSPRLSLPMNPSSSSSGRGGASGYHSKSFASPNPRGGGGGGGGRGRGGGGRGGRGGDGGDRVDALGRLLTRVLRHMASELNLEMRTDGYVRVRDLLNLNLQTFARIPLKSHTVDEIREAVRRDSKQRFSLLEEDGELLIRANQGHTVTHGLKRMARLHVHFSSGLPTDGGVISGMRQSANIFIYLDVAKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >LPERR06G01920.4 pep chromosome:Lperr_V1.4:6:1282394:1290121:-1 gene:LPERR06G01920 transcript:LPERR06G01920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRWVPGSLEELCGALSSRNHPRSRASDPAPPPQCEDHVEGTSEPAPSAQALHEPDVVSSLGELFGATALYGSITSSPSSSPRAAPEDTTGTPSPVHCLSSSSVPVIWVHKTPENQPGVYHIRTDRWGSFHTYPDLGGPFQSLNDAEDAISSHLSKLYPPVKYHELAGESYVDRMIREKLYWPDGSRKKCSKAQAFENVKNRMNQLAKVILDMYNDDHNLSEDLSYELKGVINFEPIVEGHRWFDHLNFTATKGSNGLDSQIDYLFFAEAMSLEGQKDYMATCCCLLSSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYINGIYDTVVSSDSEEDEDEEEQRLRKMYQMHGKSFLVEIISAAAFPLRAALLLFLSSPSPRLSLPMNPSSSSSGRGGASGYHSKSFASPNPRGGGGGGGGRGRGGGGRGGRGGDGGDRVDALGRLLTRVLRHMASELNLEMRTDGYVRVRDLLNLNLQTFARIPLKSHTVDEIREAVRRDSKQRFSLLEEDGELLIRANQGHTVTHGLKRMARLHVHFSSGLPTDGGVISGMRQSANIFIYLDVAKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >LPERR06G01920.5 pep chromosome:Lperr_V1.4:6:1282394:1290121:-1 gene:LPERR06G01920 transcript:LPERR06G01920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRRWVPGSLEELCGALSSRNHPRSRASDPAPPPQCEDHVEGTSEPAPSAQALHEPDVVSSLGELFGATALYGSITSSPSSSPRAAPEDTTGTPSPVHCLSSSSVPVIWVHKTPENQPGVYHIRTDRWGSFHTYPDLGGPFQSLNDAEDAISSHLSKLYPPVKYHELAGESYVDRMIREKLYWPDGSRKKCSKAQAFENVKNRMNQLAKVILDMYNDDHNLSEDLSYELKGVINFEPIVEGHRWFDHLNFTATKGSNGLDSQIDYLFFAEAMSLEGQKDYMATCCCLLSSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYINGIYDTVVSSDSEEDEDEEEQRLRKMYQAVRRDSKQRFSLLEEDGELLIRANQGHTVTHGLKRMARLHVHFSSGLPTDGGVISGMRQSANIFIYLDVAKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >LPERR06G01930.1 pep chromosome:Lperr_V1.4:6:1293069:1296648:1 gene:LPERR06G01930 transcript:LPERR06G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQLTFPNLAVLLLLLIAILAAAAARNEEEAHALMALKESLDPAGRVLGSWARSGEPCGGSFVGVTCDGGGRVTAISLQGRGLSGTIPPAIAGLRRLTGLYLHYNGIKGSIPREIGSLLQLTDLYLDVNHLSGPLPVEIAALVNLQVLQLGYNQLTGSIPPQFGKLNKLAVLALQSNQLTGAIPATLGDLTLLARLDLSFNSLFSSIPSKIAGVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFNLLDLCLSSEDGLKPSKPEPFGPDGTVKTREVPQSANTGNHCEGSGCSKSSMGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDTLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKTLTVLRHENLVSLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKDGSGANVLDWPTRVSIIRGIAKGVEYMHSKKSNKPSVVHQNISAEKILLDHNLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVALQVITGRRAVSQLKVSTAANDLESLIDENLNGIFSRTEAAKLAAVAALCTSESASQRLTMEAVVQQLSS >LPERR06G01940.1 pep chromosome:Lperr_V1.4:6:1300906:1304506:-1 gene:LPERR06G01940 transcript:LPERR06G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRTGSAPQTGERPKGEEDEGEEPGEVLADVDADEVDELLACFKRYRLRSKVEIDNVSKDFLCWQRFGHNVEHTGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPADTIRNSSVPDVSYCLCHAPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLVFVDENGEELEQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLVIKDNGDVRVKAIKPDWWPSEWTQVLEQQSAVA >LPERR06G01950.1 pep chromosome:Lperr_V1.4:6:1305716:1308318:-1 gene:LPERR06G01950 transcript:LPERR06G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRNGRDDFFGGRDPFAGFGGFGRQRSLIPGFFGGGNGRNPIITELDEEEEEDAERRANGQANHAAYVQEPDDEMQGGQIQPRRDFNRVNVGQQQTRTVTYQSSSVTYGGVNGAYYTASTTRRTGSDGITLEESKEADTTMKEATHRVSRGIHDKGHSLTRKLKSDGKVDTTQILHNLHEDELAGFEESWKGNAGHQLPGLNQNAGTSNNNESGNRGTSGQGRHWALPGTNQGRDQRGNGRPKSRVIPIS >LPERR06G01950.2 pep chromosome:Lperr_V1.4:6:1305716:1308318:-1 gene:LPERR06G01950 transcript:LPERR06G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRNGRDDFFGGRDPFAGFGGFGRQRSLIPGFFGGRDPFDDPFFTQPFGGMHGPSLFGPMGGPFGDMRNDGFIQQAPPGGNGRNPIITELDEEEEEDAERRANGQANHAAYVQEPDDEMQGGQIQPRRDFNRVNVGQQQTRTVTYQSSSVTYGGVNGAYYTASTTRRTGSDGITLEESKEADTTMKEATHRVSRGIHDKGHSLTRKLKSDGKVDTTQILHNLHEDELAGFEESWKGNAGHQLPGLNQNAGTSNNNESGNRGTSGQGRHWALPGTNQGRDQRGNGRPKSRVIPIS >LPERR06G01950.3 pep chromosome:Lperr_V1.4:6:1305679:1308318:-1 gene:LPERR06G01950 transcript:LPERR06G01950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRNGRDDFFGGRDPFAGFGGFGRQRSLIPGFFGGRDPFDDPFFTQPFGGMHGPSLFGPMGGPFGDMRNDGFIQQAPPGGNGRNPIITELDEEEEEDAERRANGQANHAAYVQEPDDEMQGGQIQPRRDFNRVNVGQQQTRTVTYQSSSVTYGGVNGAYYTASTTRRTGSDGITLEESKEADTTMKEATHRVSRGIHDKVTVEPVDKAGTGRFLERIKAVIKEGTDDRNHESYQSPEEYLLYIHGSNQ >LPERR06G01960.1 pep chromosome:Lperr_V1.4:6:1309310:1311755:1 gene:LPERR06G01960 transcript:LPERR06G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFFSPNLHSSPLPLLAAVSPGDSHLRILQDSQRHANPDDSLLVLALAGHEEGCRRSDWGCG >LPERR06G01970.1 pep chromosome:Lperr_V1.4:6:1311825:1312102:-1 gene:LPERR06G01970 transcript:LPERR06G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPKERKVLISCPECNLYGEFNPDFGASHSATHKQEAAKELKEAQQKEAARQERKALQAKREGQRAKREQKKQQQQLHAEQAKKAAKKK >LPERR06G01980.1 pep chromosome:Lperr_V1.4:6:1313790:1314905:-1 gene:LPERR06G01980 transcript:LPERR06G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSLPCIVFDYGDTTTAYGITDGEHRPYAADELRNKRSWPTSHGWILCCDPTTLATFLLNPQSTCKIALPPFTQSPPPVNSQCSLSHEPSTAAARLTVVIVEPPESTVIWYCHVDGNEISCSKSQGTAVTDKLASSSTSPRVNGNCTDCPTTEDRLSIDFGAAGSTPAWARHEYNIGGTNVRFFDGTRLFARRFVSDLTSCRGKFYYFHSPTEYGVVDFSSSPVPAFTTVTMKAVDISDKAPPGETTARASCYTIEIDGELYRTYVFYNGFDSNTIVDVGVYRMDFRRRKTIRVRSIGDRAIVAGLSFAGWCPAAASGLRPGSIYWVSPFDNCLHVFEMGAKTKNLGEAYKGVEKPHCNSFWMISVHP >LPERR06G01990.1 pep chromosome:Lperr_V1.4:6:1316757:1324151:1 gene:LPERR06G01990 transcript:LPERR06G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGSDFGAPCDDPKIFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVRIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQEFPCVRYRAPKGSDPLTTAKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKMGSEPEKKEALLEDQDPLWIELRHIHIADASERLYDKMNNFVAKNKAAQLHSRDGGEISTKDLQKIVQALPQYSEQVEKLTLHIEIAGKINKFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLTIYAIVYPEKFEGDKGEKLMQLAKLPRDEMDVINSLRYLIQKRHHGPVPSLSDLMPKRRKMLRGLNDRMARRPGHYHELIEKLSKGTLPLNEYPSMSEPTPAAQGSTQSASATRPAQPPQPMSMRSRRTPTWAKSRNSDDSQSSDSSVVRHGSGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISKLKMLSAGGAKDVSEPTDMNS >LPERR06G02000.1 pep chromosome:Lperr_V1.4:6:1324252:1325643:1 gene:LPERR06G02000 transcript:LPERR06G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALPCLVFDTTLYTVADGVHRPRGDIDELRLLDKPSWPTSHGWVLTYDPTTLATFLWNPKSVAGVAHRNIITLPSFGPTPPPAGSICVLSGKPKGREIHEPWGSQFIWYCHVSGSKSTSMVTETWVRYEYNIRTQRKEGKFGRLSKRREKRSIHSLTSCNGKFYHNITSHSYVMLDFSSPDSQPVFTRVRMEPLSVFPQTFKAGGYVSGFNYEIDINGDLHLVLIFKGEENEVVDVVVYRVLEK >LPERR06G02010.1 pep chromosome:Lperr_V1.4:6:1327033:1330053:-1 gene:LPERR06G02010 transcript:LPERR06G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFFLLCGCVDQASVAVVEKWGRFVRLAEPGLHFFNPFAGECVAGILSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMGDYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRNGMMEASSSNV >LPERR06G02020.1 pep chromosome:Lperr_V1.4:6:1342994:1343747:1 gene:LPERR06G02020 transcript:LPERR06G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRSKHPGPAPSSSLPCLVFDYGADADQRRPTTLYNVADGVHRRCDWATSHGWVLTYDLTTLATFLWNPQPPVAAAAVRRKIILPSFRQTPPPVDSFCAISTKPNDGERIRLFTVVVVEPPDSHVIRYCHVGSSPPSATWVRYEYDIGTEKKIGTDGLPCKKEREKRSIHSFTSCGDDGKFYFFINPHLYGVLEFSPDQQPVVGKLQMRKPIGIFTTAKDFVVASIFSIDINGELHL >LPERR06G02030.1 pep chromosome:Lperr_V1.4:6:1345787:1347081:1 gene:LPERR06G02030 transcript:LPERR06G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAVQAMLSSSTTSHAAAAVVAAGQRRLTRLLLNVTVEQSLWPVHVVLAANSTVADLVRAAVAAYVREGRRPPLPAAAAGDDAAAGFELHFSKYSLESLRPEEKLVDLGSRNFFLCARRTPAALI >LPERR06G02040.1 pep chromosome:Lperr_V1.4:6:1348992:1353738:1 gene:LPERR06G02040 transcript:LPERR06G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHYGSSYWCSKFQTLVRSSGECKASEWHCVPQKQKCDWLVELYFPRFLVKLLNTVAICKLGATASLLNCASVLMGRRICWCAWVVLPCTAGRPRFYVDVVWFDLCLARSFPLNSLPSSCHESELRGANKKDNARCYQPRT >LPERR06G02050.1 pep chromosome:Lperr_V1.4:6:1352913:1357244:-1 gene:LPERR06G02050 transcript:LPERR06G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGSMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAINEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >LPERR06G02060.1 pep chromosome:Lperr_V1.4:6:1358493:1368937:1 gene:LPERR06G02060 transcript:LPERR06G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRSRAALAEIGGPDGASASASSGPVRLCVAPIDPGVGAGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASRDLYRQLLLFGHAAEGPNEALLEGEPQKAFAHSIPLLLEVYEIVNGLVMILGNLLRQLDAVCSVRDKNVRPLNSFKGLDLRTVFGSLGEGLMVFLLVDEIVRHNGNIRSYLSLFSRMLDKVKSEIDVFSMSLEDVDFLDQVVQNLQKLFDIGFFQRLVQEDSPLCSSINLVRSNKKLLDAFYSFFCESSSEIIQRIGESPDKKSTNLLVEIIQLVPVVYIEGGNRIVLSDLIRFHCPSALSLLPTIKEACEASVTMKNNYLARLNEVHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVIADRIHMLVLSMLDLHMLLEYYTLIALIKNLVFAIICLSNTFSETFHICTSFLYHRSIFDSYQLKSVMLIASLKGSTEKGESQVSLPNDCVLEGLIVPIACVKQAKLQNDIAKGSQASKTGFLSSLIRGISYGYEEETTGGGSSKRQLTLSIIMDILHSLGYLDIELVGVRKLISKFRILSNFWTLIDERTNCSFLYWRKEMLATWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQCYEEEIEDALRKEVVAPLCRDIETDLRLHVHSTHLKGTVSVNPTKTGVCNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSSYDSRMYAEMHQLGELKYGVELENFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFVENDLGGQGRKNLRVLCVDHIASSAAMCNLQRISAYLDSIFIFLNRMVVDLHALLQSDIEIDLLRDFKQPENTGVSGAHPATQGDMKFALGKLGLGDDALDLLEQVEAVVTRIGSVLGLMIMLTAGHTRHLNNMSRYVRKPKFDLSYSASCKLVNWGDDIVQIGKILDMGTRNNNLSEDRIQPFSLLATNFSKKLQSNKLQEMKGFFQIVPSVIAHMVDCRLFLKDKLLRRGHEAKRSAHTYDGFLLGVAFVLKVLEQENSFDELNWFSSTKATLEGETKDRDNKKTDRSTSGAAFANLKLWRSNPPVRTQQHKGTIDKGTRYLQEIELIECLFRLARTILR >LPERR06G02070.1 pep chromosome:Lperr_V1.4:6:1369504:1372850:1 gene:LPERR06G02070 transcript:LPERR06G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPAAPANSSCFHPRAVASAPSSLSVGTKVFVGLKSQTKLGSSESSCPNVTAGFYTAVSQRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >LPERR06G02080.1 pep chromosome:Lperr_V1.4:6:1381739:1382719:-1 gene:LPERR06G02080 transcript:LPERR06G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAAAAHGGGHHFAPAMPPFHHHHHAFHGAVAAGHFPPPPPPPPFQQFQEQHLLAAAAGGGMEKHDLVAAAAEESNNTMNSGGSNNGSGGEEAAAPPPPPAMVIRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVASGCDVVDCVATFARRRQVGVCVMSGTGSVTNVAIRHPAAGHGAVINLAGRFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGMVAGPLLAVGPVVIMAASFGNASYERLPLADDDEPAPPPHMAAAAGQSPPQMPLPQPIMAPDQMPHNLMNGIHHLTGGDAYGWNNGGGGGGGRVAPY >LPERR06G02090.1 pep chromosome:Lperr_V1.4:6:1394881:1404340:-1 gene:LPERR06G02090 transcript:LPERR06G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGRHEMDASISGENGHSSSIVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQKKYFDDEIERITAEAQLRVTEAEREYKVALENEKAKCHQEYLDSIKILEEKWKVHQQSPKKQIKETEPTSNGVGEVQNLLQNEKMLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIGVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNESNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKSGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >LPERR06G02100.1 pep chromosome:Lperr_V1.4:6:1405688:1408105:1 gene:LPERR06G02100 transcript:LPERR06G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKGDVGPTDSERVRAPPATRRRKGSGWCWWWKAAAAAAPREEDDGETSMLYLCLFIPEDSEFQSFRLSEDS >LPERR06G02110.1 pep chromosome:Lperr_V1.4:6:1410247:1412739:1 gene:LPERR06G02110 transcript:LPERR06G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPQASSSSPAAAAPPPPPQPAGDPSPEAVPAPEESTDPQTPAAPAQPEAVLTAAQKALRSKPARPPEDADKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPDPTEAFFQRVQNHPAATVPRLPPGIILPPPGPMELVPHEKRRVENKDHPLSQWFSNFSEADELLKLAAARQHVQANIAKLRRQLSMIDGMPQQSKSVSG >LPERR06G02120.1 pep chromosome:Lperr_V1.4:6:1419990:1420331:1 gene:LPERR06G02120 transcript:LPERR06G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKGGAAGQLKQILKRCSSLGRRQQSPEEEEKEIEEETGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFAGAGAGGLLVLPCEEVAFRSLTSSLR >LPERR06G02130.1 pep chromosome:Lperr_V1.4:6:1423128:1428187:-1 gene:LPERR06G02130 transcript:LPERR06G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERKRRQPAADAAPPSVGDDGGREKKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAEKRRLARERGQAAQRAPPEKQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQITPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRIGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKLASKDKKAKASDSNSKPEKQVNCRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKFYYVLLSTNYHQICCHTKVVIIRLLWDYTSLFLPFSPSKNLSLLAPYSERLLSLPAAP >LPERR06G02140.1 pep chromosome:Lperr_V1.4:6:1429275:1435746:1 gene:LPERR06G02140 transcript:LPERR06G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPLMSPPLPRRLAVAPLAPARPPLRLRVMRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDEAMERGSRVLAILDKLKEEREQQEGNEEEEDGEGANLSEEEDGDWDADEPDEEDIIYVK >LPERR06G02140.2 pep chromosome:Lperr_V1.4:6:1429275:1440990:1 gene:LPERR06G02140 transcript:LPERR06G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPLMSPPLPRRLAVAPLAPARPPLRLRVMRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDEAMERGSRVLAILDKLKEEREQQEGNEEEEDGEGANLSEEEDGDWDADEPDEEDIIYVK >LPERR06G02140.3 pep chromosome:Lperr_V1.4:6:1429275:1435746:1 gene:LPERR06G02140 transcript:LPERR06G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPLMSPPLPRRLAVAPLAPARPPLRLRVMRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDEAMERGSRVLAILDKLKEEREQQEGNEEEEDGEGANLSEEEDGDWDADEPDEEDIIYVK >LPERR06G02140.4 pep chromosome:Lperr_V1.4:6:1429275:1435396:1 gene:LPERR06G02140 transcript:LPERR06G02140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPLMSPPLPRRLAVAPLAPARPPLRLRVMRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDEAMERGSRVLAILDKLKEEREQQEGNEEEEDGEGANLSEEEDGDWDADEPDEEDIIYVK >LPERR06G02140.5 pep chromosome:Lperr_V1.4:6:1429275:1435746:1 gene:LPERR06G02140 transcript:LPERR06G02140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPLMSPPLPRRLAVAPLAPARPPLRLRVMRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDEAMERGSRVLAILDKLKEEREQQEGNEEEEDGEGANLSEEEDGDWDADEPDEEDIIYVK >LPERR06G02150.1 pep chromosome:Lperr_V1.4:6:1444303:1449761:1 gene:LPERR06G02150 transcript:LPERR06G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGGGGSAPSVAAAETAAADERAGPGTEVDALRRQVEDLVSKTDQLEKRVNEVVGFYDGKKHGSGGRKGGRKDGSAKGMPDLMRQFGAIVRQITSHEWAEPFLKPVDVVGLELDDYYKIITKPMDFSTIQKKMEGKDETKYNNVREIYSDVRLIFANAMKYNDEQHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEETNGVPKANISPEEAIAKLAKDTDDELTDINKQLEELRQMVIQRCRKMTADEKRKLGAGLCHLTHEDLNKALEMVAQDNPNFKITDEVVDLDMDAQSETTLWRLKFFVREVLERQANVASGRTEENAKRKREICNALARTASKRVKQQPNSEL >LPERR06G02160.1 pep chromosome:Lperr_V1.4:6:1449565:1451604:-1 gene:LPERR06G02160 transcript:LPERR06G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESAAAAVAGGGVVRGIPLLILLLFVAGASGARLPGGGVGKQPRGGGETATAVFALGSFWRSEAAFGCVPGVIRTSVGYAGGSKARPEYRNLGDHAECVKVEYDPQLIHYNKLLDVFWASHDPREVFGQGPDVGNQYRSTIFTNGTIEARLAALSKEKEQAKDRSSVITTLIQPVGAFYPAEPEHQKFELKRKPFLLQLIGNLPEEELLYSTLAAKLNAYAAELCSPKTQHRISSKIDEIAKKGWPILRDI >LPERR06G02170.1 pep chromosome:Lperr_V1.4:6:1451860:1456408:1 gene:LPERR06G02170 transcript:LPERR06G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASASGEGDEAMALVQGYNADELAIAGEFLTTWLPFLSAGLCPSCVASLRTRVSSLLPPQAEESPSPPQPPSTRIDQIEPSGWDSGPAMVHPQHLPFEPSGWDSDPSQPPPAEVKSQQRQQQQQPAEKPRMSWADMAQEDELAAAAAEEDAAAAAAAVDDDEEGSEAGRPGGPQLTREQRELMRFRGVVRKKDYMCYERVNGRLVNILAGLELHTGVFSAAEQKRIVNFVYSLQEEGKRGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIMQTIASDPMPSLFKVMIKRLVRWHVLPSTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSSLKVAGPGEFTGSLEIPLPVGSVLILNGNGADVAKHCVPAVPSKRISITFRKMDPAKRPFNFRDDPELLNITPLETAEQETGRSSDEGKGKQPDIQVRNLSKGSRSRKSKVRSSPGKSGSGGILGDGPPQHAQALVADNSSQQNLRGQPSISSASAERERYSVGRSRESRYQQDTPVTQSRTDGMRERVNWLSQDRRHGNSMNSIGDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVKLDE >LPERR06G02170.2 pep chromosome:Lperr_V1.4:6:1451860:1456408:1 gene:LPERR06G02170 transcript:LPERR06G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASASGEGDEAMALVQGYNADELAIAGEFLTTWLPFLSAGLCPSCVASLRTRVSSLLPPQAEESPSPPQPPSTRIDQIEPSGWDSGPAMVHPQHLPFEPSGWDSDPSQPPPAEVKSQQRQQQQQPAEKPRMSWADMAQEDELAAAAAEEDAAAAAAAVDDDEEGSEAGRPGGPQLTREQRELMRFRGVVRKKDYMCYERVNGRLVNILAGLELHTGVFSAAEQKRIVNFVYSLQEEGKRGELGVSFLSECNILFGSSLKVAGPGEFTGSLEIPLPVGSVLILNGNGADVAKHCVPAVPSKRISITFRKMDPAKRPFNFRDDPELLNITPLETAEQETGRSSDEGKGKQPDIQVRNLSKGSRSRKSKVRSSPGKSGSGGILGDGPPQHAQALVADNSSQQNLRGQPSISSASAERERYSVGRSRESRYQQDTPVTQSRTDGMRERVNWLSQDRRHGNSMNSIGDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVKLDE >LPERR06G02180.1 pep chromosome:Lperr_V1.4:6:1457094:1458062:1 gene:LPERR06G02180 transcript:LPERR06G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPGDLGPVMLSPPPQFLLPTIFQPPPLYGHSYYGDHLMFSPAPETEVRDVWAANLEEETRNIRTMLPHYPIVSIDTEFPGTIHDGATTTPRHLRTPEQNYAVVKQNADELRLLQLGITLSDPAGDRCSVTWQFNFAGFDPRHHPHSAASIAMLTSHGIDFPSLRLHGVDPVDFAAAFRCSGLACRRLTWAAFSGGYDFAYLAKVLTGGKPLPEKLDGFLDLVGEIFGPAVLDVKHLARFCGDGGGIRGGLERVAAALGVRRAAGRAHNAGSDSLLTSDVLHAMVDRFFSNSDVLNHAGAIDGLVQYRDSANYANYCTNM >LPERR06G02190.1 pep chromosome:Lperr_V1.4:6:1460605:1461399:-1 gene:LPERR06G02190 transcript:LPERR06G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVAHSCFRSPSTLSLLAAFMSYHPHSSCPINGNATALQHRLISKACEPLPRRRCLTRGAGGGAIPASSNIGVDSRRWVRPRHEYEFLADDVLRVSGIRVRIGLDVAGGAANFAARMRERGVTVVTTVLDGGGKPMNAFVAARGLFPLQLSPAHRLPFYDGVFDLVHVGNAALDEGGAPSLGNSGSEEALEFFLFDVDRVLRVGGLLWVDSYLCQSEERRRIVVRLIEKFGYKKLKWMVGEKSGTAKTVLYLSALLQKPARG >LPERR06G02200.1 pep chromosome:Lperr_V1.4:6:1463229:1465860:1 gene:LPERR06G02200 transcript:LPERR06G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAMDGIAGRLAGVDGLYYPSTFLLTTPPPTAADRKATLLALLARDAPLFLERYGESLTPSELAAFDPLAADYEVGWHLRRLRSAASPPGASRVRNRRRAYLDRLVREGDYFSEEAMREREPYLHHEYLGRFQDPIGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGVEEAMEEQEEEEEEEESEEEESMEMGEKGREVENSSENPSATEVVESNITRDEGSTAGTSKQTLSAEEMEDQLEQFTSLMQQKFLSGEDSEHMDYSQIDNDEMLDDHWSKEANYDAEEKYFEED >LPERR06G02210.1 pep chromosome:Lperr_V1.4:6:1467274:1469489:1 gene:LPERR06G02210 transcript:LPERR06G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPTAAAGRRLLTMFLAVAVLSVFVFAAAAAAAAEMVEAAVVEDAPSPHILPRPLVIELPTSTSSSLSSPALTSSAEVVDDDVPVEVRCASWRLAGEANNLAPWKSLPPECAAYVRDYLTGVAYRSDLELVAREASAYAHSTAAGDARDAWVFDVDETLLSNLPYYADHGYGLELFDHREFDKWVERGEAPAIPSSLKLYNEVRELGFKTFLLTGRSEGHEGVTVDNLNKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >LPERR06G02220.1 pep chromosome:Lperr_V1.4:6:1470079:1473395:-1 gene:LPERR06G02220 transcript:LPERR06G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVKGEKNMMKTEEDTELLDLTSKLQLREVIILSTVASACIREEHHALLAVKASLSVDSDSLMTLGLPAQSVKHRVASWTGQNCCDWDGVVCSNKTIKHVVKLEIHGFQGSINPALASLSHLEYLYLGGNGYFESIPAFLGSLKNLKHLYMSSAYFLDTYIPPQLGNLSRLTYLHISSDGVYPDASANSLHWLSRLSYLTTLDISGWPLRTSDWLESVSTLPSLRVLKLSNTSLPSIDLNLVPHVNFTYLAEIDLSRNNWSSTFPSWLTKLPTLSIVNLDSCGLYGKIPESLGNLTSLSTLTMFENNLYGEIPGLIRGMCKMKILDLSVNYLSGDIADVGKAMAHCMNQLDFVYLRSNSLTGRLSQWFESFTDVHITTQLSNDSFAAVGIRHSNNSGELSLFRDLDLSYNSLDGILTEEHLANLPMLDYFDLSENPLRITFDMNWVPPFQLHVLGLSSCQLQSQFPHWLLTQTRIVVLDIHDTRCMRTIPNRFWSSFTALKYLDLSKNLFTGQLPTSLVHLRKLHFLALNSNGLEGGIPDMPDSFVVLDLHNNSFSGPLPCKLGGKHYRQEVGNIILSDNRLNGSIPTCFCNMTWLYILDLSNNNLSGHLPDCWNRNSTLRVVDFSNNNLEGEIPSSMGSFSRLRSLHLNNNRLSGMLPSSLQYCKMLILLDVGENNLKGYIPLWIAKSLDSLMILRLRSNQFYGNIPTCLSQLQGLHVLDLGNNKLSGHIPHSLGNFTAMASQNTWQLDPNEFFLLTNYHIYHINLYLMTKGEELTYSTNLYLMKSIDLSDNELTGGVPFEMGVLVELNCFNLSGNNLSGTIPETFGRMLRLESLDLSWNHLSGVIPQTLASLDSLSLLNLSYNNLSRTIPRGSHLQTLGYQDPYIYAGNKYLCTPLATESCSRDNVNPDDLGEGKDGHDIWLYVISGLGFGFGFSAVFWVLVFCKAVGRMYFQYIDLAYERACYRVILLGIKLNAKLVGKK >LPERR06G02230.1 pep chromosome:Lperr_V1.4:6:1475937:1479062:-1 gene:LPERR06G02230 transcript:LPERR06G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALMGSSSAGDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILVAGNPDPMVQ >LPERR06G02240.1 pep chromosome:Lperr_V1.4:6:1480435:1485350:-1 gene:LPERR06G02240 transcript:LPERR06G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAAAGGGSAVARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRTTKRAPIINRGYYARWSVLRKLLRQFLSAGNGSDDHKRKQILSLGAGFDTSYFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSEMREKLGPEASISIDKGEVTSAHYKLFSADIRDIPKLDSVIQMAGMDPSLPTFIIAECVLIYLDPTATNAIVSWVSDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKEKLFLDHGWKRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGLFDDFGFKKE >LPERR06G02250.1 pep chromosome:Lperr_V1.4:6:1490147:1491095:1 gene:LPERR06G02250 transcript:LPERR06G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAHLEQKLALAKRCSREATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGAGRPHPTFFRP >LPERR06G02260.1 pep chromosome:Lperr_V1.4:6:1494657:1495663:1 gene:LPERR06G02260 transcript:LPERR06G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTRASLDQRLALAKRCSREANLAGIKAAGVATIASAFPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFENAPEHLKNTSFQGTGRPHPAFFRP >LPERR06G02260.2 pep chromosome:Lperr_V1.4:6:1494657:1495712:1 gene:LPERR06G02260 transcript:LPERR06G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTRASLDQRLALAKRCSREANLAGIKAAGVATIASAFPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFENAPEHLKNTSFQGTGRPHPAFFRP >LPERR06G02260.3 pep chromosome:Lperr_V1.4:6:1494656:1495710:1 gene:LPERR06G02260 transcript:LPERR06G02260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTRASLDQRLALAKRCSREANLAGIKAAGVATIASAFPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFENAPEHLKNTSFQGTGRPHPAFFRP >LPERR06G02270.1 pep chromosome:Lperr_V1.4:6:1503405:1505015:1 gene:LPERR06G02270 transcript:LPERR06G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSLDLGLSLGFCFNSGGIATPVPAMEQKEVSRAAAAAAAEDDMITCSPGSPVSSGSGKRSSSGDEVDDDGAGAAARKKLRLSKDQAAVLEECFRMHHTLTPKQKLALSNRLGLRPRQVEVWFQNRRARTKLKQTEVDYEHLKRWCEQLAGENRRLEREVAELRALKSSAATTPAAPPLTTLTMCFSCNRVATSSSTAPNVAGVTTSPAAVAMFPGHHPQFLCGFRDGTGAAAAAAVYGGGSSGLAKAVRAAR >LPERR06G02280.1 pep chromosome:Lperr_V1.4:6:1516822:1521381:1 gene:LPERR06G02280 transcript:LPERR06G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSLDLGLSLGLGLASQNSLSSSTTTTSSPAGWAAALNSIVGDVRQSSTAAVSGGEEILYQHQQQARSSTSPDSGSESGNKRERDQLERSGSGVDDDDAAMDAAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRWCDRLADENKRLEKELADLRSLKSAAAASPSPSPAQSAAAAATLTMCPSCRRVATAAAAPNHQQCHPKSNNLSAVAVAVAGGGGGNVLPSHCQFFPNAGAGAAAAQLVTRELF >LPERR06G02290.1 pep chromosome:Lperr_V1.4:6:1519561:1525154:-1 gene:LPERR06G02290 transcript:LPERR06G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGAAGGGEVLEMEAPSVSTVAIAVNGSKTSKHAVKWALDKFVPEGRVLFRILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAHRKVEAEAVLLESDDVATAISEEISKFNVCKLVLGSSSSIFRRKLKGSKTASKICECIPSFCTAYVVSKGKLSSVHSATSDNVDTPDSISSSTVSSPSSRSFSSSAPSEWGDTYGSANMSFNQPSLSFQRDQALANTKKLSNRTASPSGSGRSEISYHDDTVLTSSHSVNSEAQFSSSSSGNSIYKSFHRDHSFDNSDQASVSDIATNLKHSHDQDYLKLEIERLRVKLRHLQKLNELAQSESFGHDQKLNKLGIQSIEDEIKLKEIELTEEKVRRLIRKKEREEQEIARREDQLKNGSAESEGKQSNVNPEGDENKTGERIFGRCFDEYNRYTWEEIQASTSSFSEDLMIGRGSYGTVYKAKFHHTVAAVKILNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDMLQRKNNTAPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNETQELAVLALKCAEMRRRDRPDLSDHILPALERLKDVATKARETTLNGQTAPPSHFICPILQEVMIDPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIMDWRSSKS >LPERR06G02300.1 pep chromosome:Lperr_V1.4:6:1529997:1530200:-1 gene:LPERR06G02300 transcript:LPERR06G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEVGIWLLPFTLMLAPMRRMVRLVQELQRIMLVVACDQSWRRRPPTFGEVWSRLDRLDSATVIA >LPERR06G02310.1 pep chromosome:Lperr_V1.4:6:1532149:1533879:-1 gene:LPERR06G02310 transcript:LPERR06G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVDEHADAGAGKVTAFVVLSCITAAMGGVIFGYDIGIAGGVSSMEPFLKKFFPEVHRRMESGGGVHVSNYCKFDSQLLTAFTSSLYIAGLLTTLAASTRVTARRGRRPSMLLGGAAFLAGAATGGAAVNIHMAILGRVLLGVGLGFANHSVPLYLSEMSPPRRRGAFSNAFQLSVGVGALAANLINYGTDKIAGGWGWRVSLSLAAVPASLLTAGAIFLPETPSFLVQRRDVARRDVEILLKKIRGVDDVNAELDGIVNAGVNGGGGVNGTRGLLFRRKYRPMLVMAVMIPFFQQFTGINAIAFYAPVLLRTIGMGESASLFSSVVTGVVGVVATALSMLAVDRFGRRTLFLAGGAQMLAAQVLIGATMAAKLGNDGGELSKAWAAALIILIGVYVAGFGWSWGPLGWLVPSEIFPMEVRSPAQGVTVATSFVFTVIVAQAFLAMLCRMKAGIFFFFAAWLAAMTAFVYFLLPETKGVPIEEVAEVWREHWFWSRVIGEDDDDDARGGGK >LPERR06G02320.1 pep chromosome:Lperr_V1.4:6:1535059:1537702:-1 gene:LPERR06G02320 transcript:LPERR06G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLRAHAFASNPLRGLSASPSPSAAADALRSLLDAAAASAPDASPPHISRILPFRRGRPLARSHDTSSPPSWRLAWLPPARVPVGVPSDAFVFLGAHAEEDGKQAAAYWAVDVSDRDGGEGAGGDGFVDLRTLMVATDWRDKDAMADLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRESLEEAVRRETWEETGIEVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKNALTFAEYEKAQRTNALKVNQICKGVEKGQSISADLKVESEEPAPMFVPGPYAIAHHLISSWAFEGAPKVPSSFSNL >LPERR06G02330.1 pep chromosome:Lperr_V1.4:6:1539989:1545609:-1 gene:LPERR06G02330 transcript:LPERR06G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALRRRSRAGGRRTPPESRAPSPAIGRAAVARRRLSGRGAARPCSGAQIPIRLNRALRLQTLPNLLQRKPGDWNCKSCQHLNFSRRDFCQRCHTPRQDLQLGDGYVTGGVLTSLDIRPGDWYCTCGYHNFASRASCFKCGAIVKDLPAGQGGAGVTNGDFARTLDSSAVRAGWKAGDWICARPGCNVHNFASRIECYRCDAPREAGNGK >LPERR06G02330.2 pep chromosome:Lperr_V1.4:6:1539991:1540899:-1 gene:LPERR06G02330 transcript:LPERR06G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCQHLNFSRRDFCQRCHTPRQDLQLGDGYVTGGVLTSLDIRPGDWYCTCGYHNFASRASCFKCGAIVKDLPAGQGGAGVTNGDFARTLDSSAVRAGWKAGDWICARPGCNVHNFASRIECYRCDAPREAGNGK >LPERR06G02330.3 pep chromosome:Lperr_V1.4:6:1540922:1545609:-1 gene:LPERR06G02330 transcript:LPERR06G02330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALRRRSRAGGRRTPPESRAPSPAIGRAAVARRRLSGRGAARPCSGAQIPIRLNRALRLQTLPNLLQLLDTS >LPERR06G02340.1 pep chromosome:Lperr_V1.4:6:1547067:1547938:-1 gene:LPERR06G02340 transcript:LPERR06G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNKVTGSSQIEQKFAMAKQSSHEAAIAGLKAAAVTAVCTAIPTFASVRMSKWARANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLQAAQDTSFKG >LPERR06G02350.1 pep chromosome:Lperr_V1.4:6:1552128:1552727:-1 gene:LPERR06G02350 transcript:LPERR06G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRASLDQRLALAKRCSREANLAGIKAAGVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFENAPKHLKNTSFQGTGRPHPAFFRP >LPERR06G02360.1 pep chromosome:Lperr_V1.4:6:1555637:1559064:-1 gene:LPERR06G02360 transcript:LPERR06G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVVMMATVTRASLDQRLALAKRCSREANLAGVKAAAVATITSAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGAGRPHPAFFRP >LPERR06G02360.2 pep chromosome:Lperr_V1.4:6:1559155:1559687:-1 gene:LPERR06G02360 transcript:LPERR06G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAHLEQKLALAKRCSKEATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGAGRPHPAFFRP >LPERR06G02360.3 pep chromosome:Lperr_V1.4:6:1555637:1559687:-1 gene:LPERR06G02360 transcript:LPERR06G02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAHLEQKLALAKRCSKEATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGAGRPHPAFFRP >LPERR06G02370.1 pep chromosome:Lperr_V1.4:6:1565391:1565917:-1 gene:LPERR06G02370 transcript:LPERR06G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRAYLDQRLVLAKRCSKEATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGAGRPHPAFFRP >LPERR06G02380.1 pep chromosome:Lperr_V1.4:6:1575164:1579201:1 gene:LPERR06G02380 transcript:LPERR06G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPAGKKLLGVATSLMLMILQQSSETDGASAGSGSSSLTNTTLPGCPAKCGNVNIPYPFGIKEGCFRMGGGFNVSCKNDEQPYLGSVRVKEFDVVHGEVRILTDLPTNMSVAWKNNTDTDREPWKPRGSLQLDDRHMVSSAKNTFTAIGCSTVAFIYGTNKKDGKAETDQFTSLCGSFCFEEGSIEDGPECSGMGCCQVPISKNLRWFRIGLQDWITTKNVLDFSPRSYAFVVENDFKFNKSYAKGTNFMKELDRGLPVILEWIAGNETCKEDVRKMESYACVAQNSICVNVSKAPGYRCSCSQGFEGNPYLEEGCQDINECDKERFPNYCNGNCTNTIGNYTCICISVIFLIICVSALLIEIQKRKLEKERQRFFDQNGGQILYQKILSEQVDTVTIFTEDQLKKATDNFDRSRELGIGGHGIVYKGILKDNKVVAVKRSKIINVTQDKEFVQEIVMLSQINHRNVVRLLGCCLEVEVPILVYEFISNGTLFHLIHGSSQPVSLNLRLRIAQESAEALAYLHLSTNRPIVHGDVKSLNILLDDNYTAKVTDFGASRWLPKDAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELITRKTAIYHNNGDEQGKSLTLSFLQAMKEKRLESILDANLIGEGTDTLLQDVAELGSMCLSTRGDKRPSMAHVADRLRTMRGVWKELLVSSEHNTAEVFVSSEAHSSSHPSSAMFSTLDMESLEVETLRYSGLNFLQPRREIVCYSCITCSFDDIQAYCPLGRSSARWLPKDAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYNFGVVLLELITGKTAIYHHDGDEQGKSLALSFLQAMKENRLESILDANLIGAGMDTLMLVVVKLGSMCLSASGDERPSMAHVADRL >LPERR06G02390.1 pep chromosome:Lperr_V1.4:6:1579245:1579973:1 gene:LPERR06G02390 transcript:LPERR06G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFSTLDMESLEVETLSYWPLSVSKVQIDSGSQSEAEVSVPGSGSGVGGVPFAPDLPAPMNAQDLGRSSPTSHTRRDRISSAATPLAIPASTTVATPLLPRSRPASRVD >LPERR06G02400.1 pep chromosome:Lperr_V1.4:6:1602300:1605055:1 gene:LPERR06G02400 transcript:LPERR06G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIYLQSSAIDGARAGSGSSSRTNTTLPGCPAKCGNVSIPYPFGIKEGCFRKEGGFNVSCKQQQPYLGSVRVAEFNVVHGEARILTDLPTNTVAWKNNTDFDRKSWIPRAKFMPKSLRLQLGGHSYYMVSSAKNRFTAIGCNTVAFIYGNNQKDGNNAKNLSISLCGAFCFDESHIKDGPECSGMGCCQVPISKDLKDFYIGFENRNATLDLSFSTRSYAFVVEKEYFKFNGSYAKGTNFMEELDHGLPVILEWIAGNETCKEAVREMESHACVAQDSTCVDVPNAPGYKCNCSVGYEGNPYLKEGCQDINECDEKRFRNPCPGKAICKNNIGGYNCICITVIFLIICVSALLIEIQKKKLEKERQRFFDQNGGQILYQKILSEQVDTVTIFTEDQLKKATDNFDRSRELGIGGHGIVYKGILKDNKVVAVKRSKIINVTQDKEFVQEIVMLSQINHRNVVRLLGCCLEVEVPILVYEFISNGTLFHLIHGSSQPVSLNLRLRIAQESAEALTYLHLSTNRPIVHGDVKSLNILLDDNYTAKVTDFGASRWLPKDAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVMLLELITGKTAIYHNDGDEQGKSLTLSFLQAMKEKRLESILDANLIGEGTDTLLQDVAELGSMCLSTRGDERPSMAHVADRLRTMRGVWKELLVSLEHNTAEVFVSSEAHSSSHPSSAMFSTLDMESLEVETLR >LPERR06G02410.1 pep chromosome:Lperr_V1.4:6:1606488:1607921:-1 gene:LPERR06G02410 transcript:LPERR06G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKAKIVAGVVAAAVAVLLIVEITLYLRLRLSRPFFLATAVILSAAVTSASVATLLVLTGGGRSRADRMARRPSMDGDEVGRVEYSYFRKVAGLPRKFTFEALAAATDNFQFTVGRGSSGTVFKGILDDGTSVAVKRIDGGGSGAGDKDFKSEVSAIAGAHHVHLLRLVGFCLSPPRGGPRFLVYEFMENGSLDKWIFAGGDRRRGEGGRCLPWKARCQVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLADFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGVTEMSDVYSFGLVLLEMVGGRRNLMEDGNGVWTYFPKIAGEMAREGRVMDMVDRRLVESGEKMEEAVVRRVVHVALWCAQEKASVRPSMARVVEMLEGKGDDVEPPPPSDMIIVDLLALDPTRGLALPPSSASGRMQAMSYGASNSFTRSYLSGR >LPERR06G02420.1 pep chromosome:Lperr_V1.4:6:1608894:1611067:-1 gene:LPERR06G02420 transcript:LPERR06G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSVLLHHRSALTHRAAPAIAASAVLLRRASPPFFSTLDAAQTRTRVEDVMPIATGHEREEIAAELEGKKRFDMDAPTGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKDKPHECPVCTQYFSLEVIGEGGNPDGHDDDDDHHQH >LPERR06G02430.1 pep chromosome:Lperr_V1.4:6:1613239:1620269:1 gene:LPERR06G02430 transcript:LPERR06G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGTSSSSAAAAAGGRGGGAAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNPHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADVVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSGLGEKKLEDLDIAMHDWSLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIQEVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPGTQEVELSTAPDENGGTHWGQQVFLLTPPLRVNEGDNVKVSFTMVRSKENHRLMDLEFTYELHESSGKQLPAITTKIYLE >LPERR06G02430.2 pep chromosome:Lperr_V1.4:6:1613239:1617017:1 gene:LPERR06G02430 transcript:LPERR06G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGTSSSSAAAAAGGRGGGAAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNPHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADVVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSGLGEKKLEDLDIAMHDWSLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIQEVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPGTQEVELSTAPDENGGTHWGQQVFLLTPPLRVNEGDNVKVSFTMVRSKENHRLMDLEFTYELHESSGKQLPAITTKIYLELKKTM >LPERR06G02440.1 pep chromosome:Lperr_V1.4:6:1617093:1622063:-1 gene:LPERR06G02440 transcript:LPERR06G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTYLHPLPSINLVYEDSTLLRSTSFCVRSCRCLRCSASNLKQPCQTLVFNLPLRRFKRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELVIAIHYVFNAPMDKILWDAGQYTYAHKIITGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQPKMSINAFSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVATLDSTGPVLVHVITENEKDSGGEFNSDINPNEEGPPDSSQEILKFLENGLSRTYSDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAISSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPRGAIVGTGGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >LPERR06G02450.1 pep chromosome:Lperr_V1.4:6:1624308:1624565:1 gene:LPERR06G02450 transcript:LPERR06G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHGWTAEECREFRRAMRDIGIMLSVAAVFTLGSFLIPGLSKQFQIMFWQTAMVAVFSACVIIRRHPIVCQPLIPSRFLHCRN >LPERR06G02460.1 pep chromosome:Lperr_V1.4:6:1625739:1627770:-1 gene:LPERR06G02460 transcript:LPERR06G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYPPPQQDMSYYDHCTKRHEEKGCLYAWNGQHKKIWGYQTGPFGKQRGKMYNAPMAQEMSYYEHVQRRHEEKGCIYACIFTALCCFCCYETCECCLDCLCCCCN >LPERR06G02470.1 pep chromosome:Lperr_V1.4:6:1633108:1638175:1 gene:LPERR06G02470 transcript:LPERR06G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRLLSLVVLNFEQFWTQSRSSVMAGSLAASAFFHGPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPPPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGHSAITEEQGEKLPKPGSTFDGTDTKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAETRQL >LPERR06G02470.2 pep chromosome:Lperr_V1.4:6:1634117:1638548:1 gene:LPERR06G02470 transcript:LPERR06G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYVLLLSLVVLNFEQFWTQSRSSVMAGSLAASAFFHGPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPPPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGHSAITEEQGEKLPKPGSTFDGTDTKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >LPERR06G02470.3 pep chromosome:Lperr_V1.4:6:1633108:1638534:1 gene:LPERR06G02470 transcript:LPERR06G02470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRLLSLVVLNFEQFWTQSRSSVMAGSLAASAFFHGPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPPPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGHSAITEEQGEKLPKPGSTFDGTDTKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >LPERR06G02480.1 pep chromosome:Lperr_V1.4:6:1640579:1642867:1 gene:LPERR06G02480 transcript:LPERR06G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRCAARRAPAAAAALGNACFSSEGGASAAVVSGNNRLMAEHLRAGRLEDAREVFDGMPRRDVVSWNTLMAVHARSGEHRKAVGAFAEMRGNGFRPDHTSFSTVLSACARLEALEMGRCVHGLALKSCSSGNVFVGASLITMYANCGVVGCLEQVLDGVDGPNVALWNALISGLVMNHHVADARGVFDRMPVHNVVSWTAMVKGYLTVHEVSLAFQLFKLMPVKNSVSWCVMIGGFVTHEKFSEALELFNSLVRNGEEVTNFILVKIVNAFAGMKSISGGRCIHGFAVKSGFVYDLVLEASLVLMYCKSLDISEARLEFDKMERIHVGSWNAMLCGYIYSDKIDEARKLFDSMTNRDKISWNSMINGYINDGRIADATELYSKMTEKSLEAATALMSWFIDNGLLDKARDIFYNMPQIDAMSCTTLLFGYVKGGYMDDALDLFHNMHRRTVVTYNVMISGLLHQGKVNEAYKIFNETPTRDSVTWSCLISGLATNGLIHEALQYYKMMLLSNIPPSESIVSSLLGCLSNYSMMVHGQQFHATTIKIGFDLYLLIQNSLISLYCKCGEMITAQSIFDLMSKRDKVTWNTIIHGYALNNLGQNAVEMFENMKKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNVMTCTCGILPNIMHYACMVDLFCRKGMIKEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAMDPSTKMPYLHLISVHGSTNKSRVIDNLRSQIKRTATEKDVGYSWI >LPERR06G02490.1 pep chromosome:Lperr_V1.4:6:1644358:1647377:-1 gene:LPERR06G02490 transcript:LPERR06G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIEVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWGWYEPYITDDEEFSPGSNGKMTTMGVYVRDLILGQYYFDSLLPRVPLLILRQVTSHLEKMKLPTKQSGMTGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPIRKTLPSVRDRERSSDGERPRSSPRRRRSRSREHDHNSQRDRSDRDRGRHKDREHDRHARDDRDRDYRRSSYSSRDVDRQDRERRDRDSDRYGRSSTRRSRSRSRSPSRGRTEGAKHRSSPFGKPPEPSNLAKLKDLYGDATNTKDDTGDDKAHRDSGTEEVIRLGGARWR >LPERR06G02500.1 pep chromosome:Lperr_V1.4:6:1650203:1655250:-1 gene:LPERR06G02500 transcript:LPERR06G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNNKVDSLSYDVEAPPPSATTPSPAVVSAPPTPTPLTPPPPATVTEMHKVSAPEKRSTAKALRQRMAEVFFPDDPLHQFKNQSCARRLVLALQYFFPIFQWGSAYNLRLLRSDLISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREVVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSQMGFIQVMHSVFKHHDEWAWQTIVMGLAFLAILLITRHISGRNPKLFWVSAAAPLTSVIISTIISFVCKARGISIIGNLPKGLNPPSVNMLTFSGSYVGLSIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLITLLFLMPLFHYTPNVILSAIIITAVIGLIDIHGAAKLWKVDKLDFLACMAAFFGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVIKGVIPGTQSYRSMVQYREAMRVPSFIVVGVESAIYFANSMYLVERIMRFLREEEERAVKCNQCPVRCIILDMSAVAAIDTSGLDALAELNRVLDKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKAQP >LPERR06G02510.1 pep chromosome:Lperr_V1.4:6:1672517:1678069:1 gene:LPERR06G02510 transcript:LPERR06G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSSDPKNGRGNSSKRNLPSWMGSKDEEENPGKKKNTTTREKVQKGSDFSKLLDGVVFVLSGFVNPERSTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESDNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKDLVESDEDQKKPHKEHHKQVERSHIKTPLSADKEARHSDAAGKQFSPTKIKQWAMDDLAQTISWLENQEEKPEPNELKAIAAEGVITCLQDAIESLKKGNDVRGVAEQWSFVPHVVNKLAELDGRRKEGSLSKEQLSQIAMKCKKIYQTEFAQMHGNDAKHQSKSPDIEHGGKPRSDDDQYDSDDTIEMTEEEIDLACKQLPGFCKRH >LPERR06G02520.1 pep chromosome:Lperr_V1.4:6:1678914:1681112:-1 gene:LPERR06G02520 transcript:LPERR06G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLHLTILLHLLTVATAVATSTHNATTADEAYWWERGEAARSVNRAAYVSDPVAALNRFNADVLQSTTSRRLLAKYTGPCVATNPIDRCWRCRGDWAGDRKRLASCVRGFGHRTRGGADGRIYVVTDAGDEEMVVPKKGTLRYGVIQDRPLWIVFARDMIIQLRQELIVNHNKTIDGRGAQVHITGAQITIQGVQHVIIHNVHIHHSVHHSGGMIRDSKHHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDVVSGSTAITISNGHFTKHDHVMLFGASNSDPQDKIMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWLMYAIGGNQNPTIISQGNRFIAPNDVNAKEVTKREYAAYDEYKEWVWKSQGDVMMNGAFFNESGGQNERRYDQLDFIPAKHGKYVGQLTQFAGTLNCRVGKPC >LPERR06G02530.1 pep chromosome:Lperr_V1.4:6:1682500:1685398:1 gene:LPERR06G02530 transcript:LPERR06G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSTAAATTLFLVGVALLLVSDDGDVIDCVDMYQQPALKDVPGHKMQTIMKPTRSMKEIIASSGIATRTQGLHQTWRKRGSCPAGTVPIRRPSTNADPAAAERARRAFSSGHSHYSNQSATINDCPGNNITGILEVAAAYGTNGPYLGARAIVELWNLDVHPEELYMHYIMVAYTLDPGYTPGPSVDPPQNLTNQIIVGLVNDGGLNNNCFNLDCGGFHLVNSSHTVGSLWRGGISEPGGDKFGVTFGIHRTKNNCNLQEILGDPTNLVWWVSVMDEEIGYYPESLFRTRFQEVSYVELGGRVVDRRPGGKHTKTPMGSGMLVCGGSHFAGTIIEYQGVGANGEFFLDSVDRTVVTTPFCYNAQPVGFGIDRPGYYVAYGGPGGTSCDTYS >LPERR06G02540.1 pep chromosome:Lperr_V1.4:6:1686638:1696827:1 gene:LPERR06G02540 transcript:LPERR06G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPVARLSGIELSIVGPAQPVQKEAAVRRHPLLLESLSFPPPIEEPRVSAKRKPKEARVCGHRWARLALLAGLVSSAGRLRLSRRSPYVSCGGRPYSLSLGLHNIHGNFNLPNMPGSLAQRNAAMGGLPSSGVQQPGGSISGRFASNNLPVAMSQIPHGHSGVSGRGMNVGGGPAFSSSLNIGGTIQGLSSNLGSGGSRNSVPGMSVSPGLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLGGNVGSGSLNVQGSSRMMNGILQQGSPQMMNMMGSSYPTSGGSLSQNQLQGGNNSLGAMGMLHDDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKDYAMELHHKEQLHDNVPVMQAQQYPISRSVGFNLGSNYPPNRQQQQQGGNSVQNAAPQNIGLRPLNTPSQSSSLGSYDQLIQQYQQPQTQNPFRLQQVSSAAQSYRDQSLKSIQGGQTPHDPYGLMGLLGVIRMNDADLASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYSAEQPPPLQPIHFQKFQTSTLFYIFYSMPRDEAQLCAANELYNRGWFYHKEVRVWLTRIPNVEPMVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPTIPSSQAVR >LPERR06G02550.1 pep chromosome:Lperr_V1.4:6:1713829:1715438:-1 gene:LPERR06G02550 transcript:LPERR06G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTRSMKEMVSSSGIATTRLNEAQQTWQGSCSAGTVPIQRPLTNANTAAADRARRAFSFGHPHFSNTSAIVNDWPGIPGKLEVAAAYGTNGPYLGARAFIELWKQDVHPGELSMQYIMIGYTLDNNYTPRPSADPPKTLTNQIIVGLVNDGGKNNNCFNLDCGAFHLVNSSHNLGGSWTKGNISEYGGDKYGITFSIHRVSLSFV >LPERR06G02560.1 pep chromosome:Lperr_V1.4:6:1722590:1727703:1 gene:LPERR06G02560 transcript:LPERR06G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCFSRYRLKIAGDGGMAAIAPAERKKLRTFMFLAVAIDDRRLASAAAVEKPSPATEAIEEVERNNTTKGYLSEATMPK >LPERR06G02570.1 pep chromosome:Lperr_V1.4:6:1724297:1731810:-1 gene:LPERR06G02570 transcript:LPERR06G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLRLLLLFLVRAFASLPAQAAARGGSSGTGENYGSFLRTLLEDIPRVTDDLTHGYMSNSELEIAVHDFGSRCSNISRIYSIGKSVNGFALLVIEISDKPGQKEAEPAFKFIGNVHGDEPVGREVLVKLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFTLRRRGNANNVDLNRDFPDQFFPINDEINYRQPETRAIMNWIKQEHFTASASLHGGALVANYPWDGSRDQRYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEHNRMSMLNLAASLVKTGVHGKIFAADTGHPIPGSLIIKGIDSEIRASRMYGDYHRILAPGENYEVMASMEGFRTKSTHILLEEEAVSLDFILDPDRANGQVKMSRNDCGCLCDDDKLFHVQGAHLELYLLVLLIVIALIVTVAGEGFSTAAAEASRRSSLHFLFGFT >LPERR06G02580.1 pep chromosome:Lperr_V1.4:6:1732812:1738396:-1 gene:LPERR06G02580 transcript:LPERR06G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRSARRVVRPGAYAFSRAIQQPERLLSSQASPDRGAALGSELGLYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHATSQLPASQQLDAPSYLLNLIDTPGHVDFNYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPTEALLTSAKTGQGLSQVLPAVIERIPPPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGAVHKGDKIAAAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKCTVEALPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPSEYVGQVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYSELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKALSGPLTTK >LPERR06G02590.1 pep chromosome:Lperr_V1.4:6:1749686:1752314:1 gene:LPERR06G02590 transcript:LPERR06G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVVVVTWAPLCFFSILFFYLLATATATATHARNITADDEYWAARSHNLAAYVTDPITTLNKFNAAVLNTTTTSSPPATSSRRSLMMKRKFRGPCTSTNPIDRCWRCRNNWAKHRKRLAKCAMGFGHKTTGGLAGKIYTVTDATDDNLIIPRRGTLRYGVIQERPLWIVFSSSMVINLSKELIVAGDKTIDGRGVQVHITGAQITVQAVSNVIIHNVHVHDSMARSGGIIRDSKRHFGVRGESDGDGITVMDSSNVWIDHVSMWNCADGLIDVIDGSTAVTISNSHFTKHNHVMLFGARDDSPKDKIMQVTLAFNHFGKGLVQRMPRFRYGFFHIVNNDYTHWQMYAIGGNMNPTIISQGNRFRASDDVNLKEVTKREYTDYDEYKEWVWKSQDDLFLNGAFFNQSGGQNERQYDRLDLIQARHGHYAGRMTRFAAFAKIANTFERTSGAITKIMRKIMASSTSSLFSMNNDRDMTLLELLLQTRAFSLTDPVIGAESIITADINLMKTVDVPPAGDVCPVCLDGSGGDAAAPWKETACGHRFHARCVERWAKLKGSCPVCRQEMMSVVDLVERDFRILYGDDEFEILAEVREVLMYRLSPAARG >LPERR06G02600.1 pep chromosome:Lperr_V1.4:6:1756188:1756542:-1 gene:LPERR06G02600 transcript:LPERR06G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAIFLLVAPSQTGYGICCDCGWVQVLGSQIGGSRSIWRERNARIFQHCCRTTENLMADIKEELYMWKSAGMFKYIN >LPERR06G02610.1 pep chromosome:Lperr_V1.4:6:1762672:1763169:1 gene:LPERR06G02610 transcript:LPERR06G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSLFAMDADEDPFSPLEDDDELMNHLRAHNLAEIIQSHDNNLSPPLVGVAIVMKTVDAPGDGSDCPICLDGGGGGGDDSWKETACGHRFHAGCVEKWAAVKGRSSCPMCRQEMMSPAELLERDIRALYCDDELVITVEMRQLFADSLRQLGVRSTAEAEAA >LPERR06G02620.1 pep chromosome:Lperr_V1.4:6:1766317:1768359:1 gene:LPERR06G02620 transcript:LPERR06G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSVRVLNVTHVFPSPSPPHAGAGDDDGDVIKLSFLDTMFVALPPLKRLFFYDAGVDGDGDGHLPPFPAMVNSLRCSLAATLAAFPPLAGKLTHRASHDDVVIDCSAGAVSPGVAFVEAEYDGGGVDDMRRLAGDDEHHTEAFKMLVPEMDVARLPAPLLAVQVTRPVAAAAAAGDGAGIVAVGVSIHHVVGDGQAVWQFMRAWSTASREASPPEAMIPVVFDRKAVVRHPRDEEIAREFVRVFAPALPLVDCSLFPEPDVTRQWRKTYQLRPNQIQSLKQHILHAAKQDKLIEPPTTHVAILSLYWTSLIRAKFMTNNVTDIAGGGDVYFMIPGDLRRRLRPPVAVDGYFGNCVKPCYLSAPIAALCAGDGMAVAAAAMRDAIIDCLDGGDPLAGVERWSEAETRVARERIAQVSSSHRFMAYGTDFGWGPPRRVELVSVYRMDVVALLAAAPAKFAGGGGGVQVSVALDRAHMQAFERHFLRALDTESDSSS >LPERR06G02630.1 pep chromosome:Lperr_V1.4:6:1768442:1769678:1 gene:LPERR06G02630 transcript:LPERR06G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSFENILDHYFEIDLLRHRVNGVFRRSDSAGAAGENRSGGTPTMSPPVNQPAAVAVAEESLQFQLDDDDDGDDPTPPAAAWGLKTVDPPDDGSDCPICLDGGSEKKKTAAEEDVWVETPCPHKFHGRCLETWKKKAKSRTICPMCRRVLITPPATATATAAMTTAAADDVTVPEDATATRTDESFIMK >LPERR06G02640.1 pep chromosome:Lperr_V1.4:6:1772211:1777020:1 gene:LPERR06G02640 transcript:LPERR06G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHDETPSSSSSSRDQGLRTVELPADGSDCPICWEGNGEKTEEWVETPSVSRVLDGGEISGDLPHMPAKLAGDGDEETEDRTVEAPDDGSGSPICLDGGDGIGGEGEKSHKTTTEEETWVETPCEH >LPERR06G02650.1 pep chromosome:Lperr_V1.4:6:1778122:1781018:1 gene:LPERR06G02650 transcript:LPERR06G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSLVRVLAVSHVHPAVAGESPPPPHAVELTFLDSFHVSKAPIQRLFFFDGDDLPPFESIVCSLQSSLAATLAVFFPLAGKLAYLPDSGDVVIDHSPEAVSHGVKFVEAEYDGAADDMRRLAADEEHDAVAFVRLVPELDVSRLPAPLLAVQVTRPRDGGGAVAVGVAIHHGVADGQSVWQFIKAWGHGPCRPRFSSATVRHGEEAEEANLLPQRRRDPISEASNNRGSQSRRLAGDGDNRVSTYIAVTSLAWTSIVRAKSGAAADDDIYFMVSADFRRRLRPPADEGYFGNCIGIAIARSTAGEICQLAGAAAAIRMAIREEVEVEEAVAGVDRWRERRAAISKGRLTEAGSSHRYMAYETDFRWGPPSRVELVTVYGDQLVTLLGVGGGGVQGD >LPERR06G02660.1 pep chromosome:Lperr_V1.4:6:1782030:1784257:-1 gene:LPERR06G02660 transcript:LPERR06G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETYGLVKDELLLHGQGRFYCEATPTTVTTAASGGGGGGKNVKRRRREPSSAMSAATVAGNGKDVAGDRSGGSNAIKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKVMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARTQEEAARAYDIAAIEYRGINAVTNFDLSSYIRWLKPTPHDVTPTSQLLPPPPMAPFAADVYACVRPSPSPPPTTTTTALSLLLRSSVFQELVARQPTAVVDNGDDVAAAADVKEPPLTTTTTMLPESDRFGEVLYGDDEAAAAAAYGCSMYELDDSFALIEDSLWNCFQSD >LPERR06G02670.1 pep chromosome:Lperr_V1.4:6:1786778:1789886:1 gene:LPERR06G02670 transcript:LPERR06G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLVLSLPSPPPPPPPLFLSTPSPSPPPLPHLLTSHMAPHALTLSPALSTRRISSVCPVASQRHSDYFDPRAPPPPPPRDGYGSPAYSGASAQGAQNGRVFSTYSIYKGKAAMSLDPRPPQFVPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRAYTRPQSGPEHEWRR >LPERR06G02680.1 pep chromosome:Lperr_V1.4:6:1796499:1799091:1 gene:LPERR06G02680 transcript:LPERR06G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGLVSADEIGQRCAVLEEEVESGGRRRDDTSILRAAEDTTEKDELFAIHVKHQSLSRQLLKLQHRHRIAIQIRSLKLRVQEVSNRNMRYSFIKYIPSSREADDFSSNMEMTRYQAAHYVDEAELVGFDGPKKEILKMISNSENAEAQAIWIVGAGGLGKTTLAKKVYESSNITSMFPFHVKLKENNLTDHLKEWLRNKRYFLVLDDLWSTKAWDCIKPTLWGNNREGSRLVVTTRNRDVAEGSSNPLIYPLQKLTDEDATKLLLAKTNKSLCHIKKDGMNETSEKILKKCGGILAAKDVKEWEELYVQLPSELENNPSLKAMRQVLALSYNYLPSHLKPCFIYLSIFPEDFEIQRKRLVYRWISEGFIRARDGVSIVDVAIKYFNELINRSLILPSRVSIDGTIKSCRVHDIVRDITISISREEKIVSWIADKETCGVEENIRHVAYYNSNSSEIAMDWNRVRSLTVFGGRPIDLSRLLCSPQLRMLRVMDVQGVRFGMTQREMDHICSVLHLKYMNIRCSRDFPRFNEYSMIYRIPKSIGKLQGLRVLDISNTHITSLPTEICKLQSLCVLRCTRKGSYDIFDRSKPAKCLFALSCAPVTMCLPDSDKRREV >LPERR06G02690.1 pep chromosome:Lperr_V1.4:6:1799370:1801353:1 gene:LPERR06G02690 transcript:LPERR06G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLTTLEWLHSISSPPILKSLYLNGYLKEIDWFRELKHLVKIYLIGSELNEGIIGIKHLPKLKEISLDWHAKVARLGKLQEEMEANPNRPVLQMRRDPTEHDLGDIDIEGSTIPVEAQEPLPMTVGESSQSNQGAGDEQQPNTSAEITPADADPTMSDVQYCKNNTLSELGRFDRMPVDQGQVDTRLSNNGVSTSL >LPERR06G02700.1 pep chromosome:Lperr_V1.4:6:1802784:1815632:1 gene:LPERR06G02700 transcript:LPERR06G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLRRRRRPSPSPSSLYEQVSLLVPPMAERHPLESRGTQGLTDDRGNQIGPYRGEYRIFDLTKTGTKGRREGAEGRNLRRGEGLCCSKQLASLYMEMIKQEKQFVAHEERLKDEVIKDDGQISDGNFFKSLQNISIRKEELCSPSPTERLCMDSSSRQTSINLKAQNKVLGQDDKFASTRAEMGEVREENERLKTLLSRISQDYRSLQMHFHDVLQQEQPKKLPDSPTAIPADIEEPEFVSLRLGTSTSKRKKEDKSTTGEVKGSGCTEDFLKIKGGLSLGLSDCRVGANNSDKMQPDVMTLSPEGSFEDAKDDTIETTEQWPPSKMLKNLRSPGTEVEDDDMAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLLSTSSTSSLAAYHHPHHHYPSSSSSSSVAVSNGRPFFLPPAAASITSTPSYPTITLDLTSPSPATTNAAFSLTGSNRFSSTFTTPHAGGARLYPPTGGFTFSGSGAAANNPWTTPGYLSYGGSSLPAAHPPYKSSPPSSSSSFYQIQQQQKSAAAAAPASAITDTIAKAITADSSFHTALAAAITSYVGTTAATTPATEDNGKVELNLGLGMGHSPPSMTSSAASAVQSSSSRMFLQPSPSLGISGSTASASSTSPVANREQAH >LPERR06G02700.2 pep chromosome:Lperr_V1.4:6:1803335:1815632:1 gene:LPERR06G02700 transcript:LPERR06G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLQLTKRVRMICYFQKVSVVRTNSCFSFLGTFLTSLNEAGFIIFINFYSLTDDRGNQIGPYRGEYRIFDLTKTGTKGRREGAEGRNLRRGEGLCCSKQLASLYMEMIKQEKQFVAHEERLKDEVIKDDGQISDGNFFKSLQNISIRKEELCSPSPTERLCMDSSSRQTSINLKAQNKVLGQDDKFASTRAEMGEVREENERLKTLLSRISQDYRSLQMHFHDVLQQEQPKKLPDSPTAIPADIEEPEFVSLRLGTSTSKRKKEDKSTTGEVKGSGCTEDFLKIKGGLSLGLSDCRVGANNSDKMQPDVMTLSPEGSFEDAKDDTIETTEQWPPSKMLKNLRSPGTEVEDDDMAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLLSTSSTSSLAAYHHPHHHYPSSSSSSSVAVSNGRPFFLPPAAASITSTPSYPTITLDLTSPSPATTNAAFSLTGSNRFSSTFTTPHAGGARLYPPTGGFTFSGSGAAANNPWTTPGYLSYGGSSLPAAHPPYKSSPPSSSSSFYQIQQQQKSAAAAAPASAITDTIAKAITADSSFHTALAAAITSYVGTTAATTPATEDNGKVELNLGLGMGHSPPSMTSSAASAVQSSSSRMFLQPSPSLGISGSTASASSTSPVANREQAH >LPERR06G02700.3 pep chromosome:Lperr_V1.4:6:1802723:1815632:1 gene:LPERR06G02700 transcript:LPERR06G02700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLRRRRRPSPSPSSLYEQNDPGTKGRREGAEGRNLRRGEGLCCSKQLASLYMEMIKQEKQFVAHEERLKDEVIKDDGQISDGNFFKSLQNISIRKEELCSPSPTERLCMDSSSRQTSINLKAQNKVLGQDDKFASTRAEMGEVREENERLKTLLSRISQDYRSLQMHFHDVLQQEQPKKLPDSPTAIPADIEEPEFVSLRLGTSTSKRKKEDKSTTGEVKGSGCTEDFLKIKGGLSLGLSDCRVGANNSDKMQPDVMTLSPEGSFEDAKDDTIETTEQWPPSKMLKNLRSPGTEVEDDDMAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLLSTSSTSSLAAYHHPHHHYPSSSSSSSVAVSNGRPFFLPPAAASITSTPSYPTITLDLTSPSPATTNAAFSLTGSNRFSSTFTTPHAGGARLYPPTGGFTFSGSGAAANNPWTTPGYLSYGGSSLPAAHPPYKSSPPSSSSSFYQIQQQQKSAAAAAPASAITDTIAKAITADSSFHTALAAAITSYVGTTAATTPATEDNGKVELNLGLGMGHSPPSMTSSAASAVQSSSSRMFLQPSPSLGISGSTASASSTSPVANREQAH >LPERR06G02710.1 pep chromosome:Lperr_V1.4:6:1816236:1819483:-1 gene:LPERR06G02710 transcript:LPERR06G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPPPPKLLSPQRACPTPHVRSLPAQPLALSPAAPPRLRLPLHRRAPPPPRAKFGKFEASDSPAEAAEEVESAPVTEQKVEEDDSCLPSDLQGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAIFRQYPGKWKVFYDDPNRPNRYTLARELVSRPDATDIEIIFGGGDEQSEEAPSLMNNVMGVFSSVSRFMRVISK >LPERR06G02720.1 pep chromosome:Lperr_V1.4:6:1819858:1821731:-1 gene:LPERR06G02720 transcript:LPERR06G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSCALSGAAPPHLAVSPSPASSIRFCRGGPRGVKALVSLRAAAVPPAAAAATTSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRANASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESTATACNN >LPERR06G02730.1 pep chromosome:Lperr_V1.4:6:1826675:1827443:-1 gene:LPERR06G02730 transcript:LPERR06G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGGHPPAMTRSDCLESTRTHRRYGWTKWRRRLSRSHDRRRSRRPHPDLRRRDLPDIPSGDILSVAFVATSYCTLLLLFSSLRAYERTPPADAAGRERIRRRVWCLCTALTAMFAWKVAGVMPPAAAAAIWLLAAATSIGGFVVLFHHRP >LPERR06G02740.1 pep chromosome:Lperr_V1.4:6:1832609:1836219:-1 gene:LPERR06G02740 transcript:LPERR06G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGTLTKLGFGALTCNSVLAIYRSRGDPSAVAFVAAAYAAIVLLFYFLRRFELAGRDQDRRRTKVAVWLLTTLLTVLFASRVAPLMPTIVGFGVWFMAAGTTVAGFKRAGQAADRGRIKAVVWVLMTILMAMFAGKVALLMPPLIAVLVWFMSTATFAGGFWAFFLSR >LPERR06G02750.1 pep chromosome:Lperr_V1.4:6:1846589:1846963:1 gene:LPERR06G02750 transcript:LPERR06G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFHLTGLMELVAEAGGNPTMLPVALVTLGVVQSVAALSLILSKGPTAGGILFHLHGNAPGYLYYGILVFGLAEASFGYWVVPRDLDGWRAVAKTVAWVSMLPFILVVALGARISAPEMVIE >LPERR06G02760.1 pep chromosome:Lperr_V1.4:6:1847656:1848009:-1 gene:LPERR06G02760 transcript:LPERR06G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNDRHSFLTKVGFGALTCNSLLAIYRSQGDFSSVAFVVGAYAALLLLFFFLGKFERARPEERGKVKAAVWSLTTLLTAMFTSRVAPLMPPLVAAGVWIMAAATVVGGFWAFFLQP >LPERR06G02770.1 pep chromosome:Lperr_V1.4:6:1856195:1865543:1 gene:LPERR06G02770 transcript:LPERR06G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLTCWRIAIPDRITACSAIWSKKGVLPGQQSAPRRQRPAWWPGRLGTRLHIRPTRQTASSCKEPNEAKRRGGSTLAAEIIQSLEKSIPRPSSGQLSYTTSIFRPRLVYEFFLQKYHIKYLTGCRKGFLDINEKTNFMASLETAR >LPERR06G02770.2 pep chromosome:Lperr_V1.4:6:1856405:1865481:1 gene:LPERR06G02770 transcript:LPERR06G02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHSAMEPRAAAAKTTRRQREVASWGARMLLRRDGNQWRRGRFAGRVLDLAARCSQAQPWKSRSGVVLELGRKGVDPAEWCW >LPERR06G02770.3 pep chromosome:Lperr_V1.4:6:1860394:1865543:1 gene:LPERR06G02770 transcript:LPERR06G02770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLTCWRIAIPDRITACSAIWSKKGVLPGQQSAPRRQRPAWWPGRLGTRLHIRPTRQTASSCKEPNEAKRRGGSTLAAEIIQSLEKSIPRPSSGQLSYTTSIFRPRLVYEFFLQKYHIKYLTGCRKGFLDINEKTNFMASLETAR >LPERR06G02780.1 pep chromosome:Lperr_V1.4:6:1856478:1856729:-1 gene:LPERR06G02780 transcript:LPERR06G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSAFSSSSPPPPLAPSLNALPSSPASSLGCGKRQRKSRARRRDGMAAAAGRSEGRRGRRGVPGGGGEREEGPSGPRRRG >LPERR06G02790.1 pep chromosome:Lperr_V1.4:6:1859501:1859914:-1 gene:LPERR06G02790 transcript:LPERR06G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERRGGWQRPESMGADRWRWRSDTTSSSPPDRLPHLAQHWIDHLSIELSTISPNRGLHHQMMTLSWNTSFSLAESSASPAAGDISRLAARAAVAIAREGEARPCNKSKGKRQEGQCGEARPLVLTTAGALAAAAR >LPERR06G02800.1 pep chromosome:Lperr_V1.4:6:1868438:1868797:-1 gene:LPERR06G02800 transcript:LPERR06G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAIYRSRRDAAALAFVAGSYVALLLLFSSLAAYERAAPGSPARARLKRAVWGLSTAVTAAFAWKVAAFMPPPVAAVVWGLAVATSLGGFVALFVYA >LPERR06G02810.1 pep chromosome:Lperr_V1.4:6:1871157:1872563:1 gene:LPERR06G02810 transcript:LPERR06G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNPDEPPRKGNRQPDHHHPAPLLAVAAVAAVVSPRATLALSGGSMGGCSSSSSYSSSSSSSSSDSSSSGSSWRSSSSSFSSSWPKKEKVEYADLDATHESVGTAATLSPPMVVLSFWEKFWYSVTVVLGFVDVVLGLLFLIRIISVVKLQVALGGVAAAESFQNDLNKIAERAEGSSPRHAESETISSLRRHKDCCIASSLSIDSWNGHFKKISLEERQKFDEETLSN >LPERR06G02820.1 pep chromosome:Lperr_V1.4:6:1878745:1880704:1 gene:LPERR06G02820 transcript:LPERR06G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGAAFSLGRCRVVPPLYVGIVRVKTLSLDGRRRCYRRRLEDPFLLVVFGCVELQKRDMFVDAWRVMFFSFS >LPERR06G02830.1 pep chromosome:Lperr_V1.4:6:1882770:1883111:-1 gene:LPERR06G02830 transcript:LPERR06G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFQLPELMALVTEAGGSPAMLSVALVTVGVSQSVAALSLIVSKAPTAGDTAPGYLYYGILVFGLAEVSFGYWVVPRDLDGWRAVAKTLLWVSILPFILVVALGGFLLLRT >LPERR06G02840.1 pep chromosome:Lperr_V1.4:6:1898135:1898494:1 gene:LPERR06G02840 transcript:LPERR06G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQATTYLGFILLTANSVVAIHRSHGEITKTSFVVTSYLSLVLLFVILRLFEAAPANSPARGRAKAGVWAVTTLLTTVFSLRVSAIMPWPVDAVVWLMAAATVLGGFYGMFLHPVGD >LPERR06G02850.1 pep chromosome:Lperr_V1.4:6:1904542:1904979:-1 gene:LPERR06G02850 transcript:LPERR06G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKADPLLNPPPPVSNRGGDSNGGVRRGVPWASLIGFVGLAFNFALCIYRADGDRGAIAFATFAYLNLLLLFWCIRQFDQAPHGSLARGRIRVAVWILATSLTAIFTWKVAALMPLPVAAVAWLMAAATVIGGFYGFFIHEEK >LPERR06G02860.1 pep chromosome:Lperr_V1.4:6:1906007:1907174:-1 gene:LPERR06G02860 transcript:LPERR06G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGNCRASWLLPQAVLAVAVASAAALWPKPAPPLVVAAAAPYAILVVLFWCVRAFERAAAAGDAAAQGRLRLAVWLLSSALTVLFAGRVVPLVPGAAAVFVWGMSAATCYVRESQSNSYDI >LPERR06G02870.1 pep chromosome:Lperr_V1.4:6:1908795:1911615:-1 gene:LPERR06G02870 transcript:LPERR06G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKLALPSHETTISKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPVEPLDHNQLSVDDLDAIKVIGKGSSGIVQLVQHKWTGQFFAMKVIQLNIQEDIRRQIAEELKISLSSQCQYVVACCQCFYVNGVISIVLEYMDGGSLSDFLKTVKTIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNILINHMGQVKISDFGVSARIAKLATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKKASDRSSAQTLLNHPFLSLYDDLNIDLASYFMTSGSPLATFNTSNHE >LPERR06G02870.2 pep chromosome:Lperr_V1.4:6:1908797:1911615:-1 gene:LPERR06G02870 transcript:LPERR06G02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKLALPSHETTISKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPVEPLDHNQLSVDDLDAIKVIGKGSSGIVQLVQHKWTGQFFAMKVIQLNIQEDIRRQIAEELKISLSSQCQYVVACCQCFYVNGVISIVLEYMDGGSLSDFLKTVKTIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNILINHMGQVKISDFGVSARIAKLATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKKASDRSSAQTLLNHPFLSLYDDLNIDLASYFMTSGSPLATFNTSNHE >LPERR06G02870.3 pep chromosome:Lperr_V1.4:6:1908797:1911615:-1 gene:LPERR06G02870 transcript:LPERR06G02870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKLALPSHETTISKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPVEPLDHNQLSVDDLDAIKVIGKGSSGIVQLVQHKWTGQFFAMKVIQLNIQEDIRRQIAEELKISLSSQCQYVVACCQCFYVNGVISIVLEYMDGGSLSDFLKTVKTIPEPYLAAISVVDHPPPSAPSDQFSEEFCSFVSACIQKKASDRSSAQTLLNHPFLSLYDDLNIDLASYFMTSGSPLATFNTSNHE >LPERR06G02880.1 pep chromosome:Lperr_V1.4:6:1913519:1916863:-1 gene:LPERR06G02880 transcript:LPERR06G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYVPPSSLGRDPQGVSHPALAGLGGLAAGTTGRGPSPLEDPDVVRRSSSLGKTASAPVVEHPKPLLNLDVPREDESNILFVDGLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSGDRAYVLCFVEFSDAKYALTAMEALQEYHFDERKPDSPVLKIKFARFPFRPVAAPHDDSRRPNLH >LPERR06G02890.1 pep chromosome:Lperr_V1.4:6:1915433:1917997:1 gene:LPERR06G02890 transcript:LPERR06G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQENHPVDLQTKQIYAMKLKMKIKALMVSNAALNVIINIRTNKKENKRISVGQLYISDEDDLSKQNPNSVKSHINSSHPLVAPYISHKPVCPTDEERKRLNYPDRINHLTCPKLSLARTIYESKAVIASNPSESGRGLQLPIYPTIRPRHSNKRGRHPEYNSRSFGSPAFLPSSIRFSKNKIKSSVRLVRNFASLRLAVRRTYLPPTTPMLAAGHRATAIRRFPPGCGRHHRPTHPPAPSTTTTTAAASLLRPPHAWTKTTSLPRQPLLAVETDGRSDRGEGNGSVAGIERPPAEVELVRRASAVRRYPPGCGRGSAAAVPSVGVSAGEGGAKPSEEQSGLCNGDEKGSDGDQKVVVDADSNGGMDCGGDSGGGAEEEEEEGGGGRPGLMLPPSLPWAQHGRRSQRRKLP >LPERR06G02900.1 pep chromosome:Lperr_V1.4:6:1922003:1924298:1 gene:LPERR06G02900 transcript:LPERR06G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKYLLLLALFLLLGTYGGEAQPLVPGVMTFGDSTVDVGNNDYLHTIIKANFPPYGRDFKNQVATGRFCNGKLATDITAETLGFESYAPAYLSPEASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLQYFKEYQSKLAAVAGSSQAQSIITGSLYIISAGASDFIQNYYINPFLFKTQTADQFSDRLISIFKNTVTQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCISRLNSDAENFNRKMNYTVDSLSKSYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >LPERR06G02900.2 pep chromosome:Lperr_V1.4:6:1922003:1924920:1 gene:LPERR06G02900 transcript:LPERR06G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKYLLLLALFLLLGTYGGEAQPLVPGVMTFGDSTVDVGNNDYLHTIIKANFPPYGRDFKNQVATGRFCNGKLATDITAETLGFESYAPAYLSPEASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLQYFKEYQSKLAAVAGSSQAQSIITGSLYIISAGASDFIQNYYINPFLFKTQTADQFSDRLISIFKNTVTQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCISRLNSDAENFNRKMNYTVDSLSKSYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >LPERR06G02910.1 pep chromosome:Lperr_V1.4:6:1926186:1926626:1 gene:LPERR06G02910 transcript:LPERR06G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPPPLPWLVLLDGTFLSIPDGEIHHMPMPNDAWCHCSIDNWLFLIKSDGKCSLMNPFSNATLNLPKLATCWFEDWNCEVVGTEPLYYKFVVSSTLDSSPDSLVAVLIMDDDNFSTAILCQPPVATDLSRRMPLHHLTGFAFFD >LPERR06G02920.1 pep chromosome:Lperr_V1.4:6:1927171:1927503:-1 gene:LPERR06G02920 transcript:LPERR06G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPVAAEGGGGYRLRWRRRNGVDCQIWGVGRIGGSLPCRSVARASRAPLMASAVVGGGAGCIASVSPSGGRRDTGRSLFSLLAAGVYWCVGTGIGSDNMEWLPSKTGR >LPERR06G02930.1 pep chromosome:Lperr_V1.4:6:1941839:1957785:1 gene:LPERR06G02930 transcript:LPERR06G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVPPPLPWLTLLDGTFLNISSGVIHRVPMPDDACCHGSLDNWLVLLKSDGGCYLMNPFSKDKLKLPRLATYNGAFPSKPLFYKLVVPSHLDSSPDSLVAVLITNDADFSTIFICQPPVVTDSSKGKKPLKNLTDIAFFNGNLYAIAKSRNLLLLEISGSSGKKPSISAIDSVINSMDHLSDLPQPLLNLLSVRREYLVECSGRLFTVTRYLNLARVGAAGQYYYRTATFEVFEADLSNIPGRWRRVYNLGGQALFVGKHCSKAFPAGEASGAQEDCIYFMTEKSLPKFLADRLGDSDPLRDSGVYNMRNGMVTPLTGTEAALPHHVGQATQSSSWEDLLPELLGLLLKRLPSLADRFVANGDPMLSCSPSRCRLATPMDWTFLSISDDEIHRIPLPDDASCHGSVDNWLFLKDSDVGMMIGEMLTMDCPYPWTDHQIAPSQRIDTDSFRFHDGPFKNCFCDITFCGGKLYALSCGKLYTIEMSEDHKGNPNVPCIECIVKDFPTRLLSQPCPENYVYVQWPYLVESDGRLLNVIRYIGFPSTLRYPSRNPHTLSFEVFEADLGTSSRMWRRMKSLEGQALFVGTHCSKSLHAAECVGAQDDCIYFMCDYSQTTADPLHDAGVYNMRNGTIMLLLQDAAAPRLHRPTTQPSPWADLQTDLLVLLLDRLPSLVDRVRLRAVCRPWRFDCNDKLQTLNPPLPWLSLPNGTFLSILDGEIHRLPLPKNTSCHGSIDSWLFLRDSDGRFSLMNPFSKATLQLPKLANIWHYENRNVCNDRTPLFYKLVVPSPLNLSPDPLLVVLINEGDQEQSTACICNPPIATDSFKFLDRTFQTTNQIFDIAFYDGKFYALHASGKLSILEINEGFGGKPKVSSIEIIVDESAVGSYFHPFPESRLWPYLVESGGRLLQVVRYLRIPIYMPIDDIAENSRTISFEVYEADLSTGSGMWRRVTSFGDQALFVGTHGSKSVPAKEYGGIQEDSIYFMCDYYLPYGADDPLVDSGIYNMKNGMITPLLQGINSQWQPPRGKGPTQSSSWADLQPDLLGLVLRRLPSLADRVRLRAVCRPWRSNAQLQSLPSPLPWLSLLNGTFLSISDGEIHGMALPDDADCYGSIDNWLFLRDDDDGCSLMNPFSKTTLQLPNLASIWHDERENGCNVCTRLFYKFTVPLPLDLSPNSLVAVLINNSFYDRTVCIFHRSTATDSFRSCYHPFDANFYDIAFCGGKLYALRGERLFTLDISQGHKGKPKAPHIECVVEAVPTRSLTKPCPENCICVASTYLVESGGRLLKVVRFVVIAFPPLNDDTFKDSRTFSFEVYEADFSAGSCMWRRVNSLGGRSIFLGRHCSKSLSAAECVKAQDDCIYFMCDSYLRPNADPLCDAGIYNMSNGGDHAIVAGDHSVTPASYRRGASDMWFFPSDAVQFSSWADLRPELLGLILKCLPSLADRVRLSAVCRPWRSHAQLQPLPPPLPWLTLLNGTFLNISDGEIYNMPLPDDASCHGSIDNWLFLKHGNGGFSLMNPFSKATLQLPKLDIIWCHHQWYANSKYPLFYKLAVPFPLDSSPHSLVVALIMNLGSALSLDSVTKSQSFPEEEGYLIMLRYYLVESGGDLLMVTRYIGIVLPLAEPNSFKHSRTLSFEVFEADLTTGSRMWRRAKHSLLAHIAPSLPAAECGQPQEDCIYFMCDYWRPDAGDPLNDSGVYNMRNGMITPFLQDATAPRLHPTGQAHPAWFFPAAVAM >LPERR06G02930.2 pep chromosome:Lperr_V1.4:6:1927970:1943149:1 gene:LPERR06G02930 transcript:LPERR06G02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMISVFLVGCGGKLLIVKRYISSMVPHDKTAGFEVFEADLTNRPGRWRRVNNLGGQALFVGKCCSKAFPAGEAGGAQEDCIYFMCDYPQPKFAADPLRDSGVYNMRNGMITPLLTGTTAAPTHRAGQSRPTWLFPTKMKQKGKPPKSSPSLRGEVPIHDGLLAAGDVSLAGERRETKRAESFTLPLDRSTAAGKSISVDKLIMASTLQSSSWADLQPELLGLVLRRLPSLADRVRLRAVCRPWHSNARMQSLPPPLPWLALLDGTFLSIPNGEIHYMHVPDDACCHGSIDNWLFLMQSDGECSLLNPFSKATLNLPKLATYLHHEQNNAAYRFEPVFYKLVAPSTPDSSPDSLIAALIMDEGNLTTVFICQPPVATDSSRTWEPLEHLADFEPLEHLADFVFLDGKLYAIAMFGDLVILEISGSSGKKANISGINFLINSRDHHRDLPKSLRKDKVYTIREYLVECSGRLLMVRQYIDLMAHATVHNWFGHDKTAGFMVFEADLSSRPGRWRMLSNLGGQALFVGKHCSKAFPAREAGGAQEDCIYFMCDYPQPKFSVDPLLDSGVYNMRNGMITDCSSTSTLCWPEPSDLIMASELQSSSWADLQPELLGLILKRLPSLADRVRLRAICRPWCSNARLQSLPPPLPWLSLIDGTFLSITSGEIHRLPVPDGACCHGSIRNWLFLVQSDGRCLLMNPFSKATLNIPMLAIFWLNKQLNPGFGLEPLCYKLAVTSLLDSSPDCLVAVLITDDGYNSTVFLWQPPVATDPSRSREPLKHISDFTFFDGKLYALSLFGDLVTLEISGILERKPNISSLQYVIDSCDHIDNATVPLPKDIAYVVSEYLVECGGRLLKVKRYKHSKFHWPGQSFFERDKTAGFEVFEADFSSRPGQWRRVNNLGGQALFVGKHCSKAFPAGEAGGAQEDCIYFMRDYSAPKFVEDPLRDSGVYNMRNGMITSLLTGTAALLQPPVGQSRIMASKLQSSSWADLYPELLALVLGRLPSHADRVRLRAAC >LPERR06G02940.1 pep chromosome:Lperr_V1.4:6:1957923:1964491:1 gene:LPERR06G02940 transcript:LPERR06G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAMEAPTERSCGSSWTNLPSELLGLVLSRLPSLTDRVRIRVVCRAWRSAARQELSRLPPPLPWIVLRDGAFVALPEGAVHRMPFPGDVTKLLPAGRDVILTHNDGTLSLMDPFSSPSAVTPLPYLAGVLRRQIELGLDNAALGIRSVSLIDKVVVSEHLTAFLNGILKVIITSGQRRAEASWRPPKFHYVVDIALFQGKLYGLIVTRHPVEELYIIDLSDEQHVVSSECIHNTPRDEDEDEDEDEDEESDDDTSNSEYMIQRYLLASCDRLFMVRQWRINQPPILPTDSGIVQRRTCRFEVFEAVDLNSGHGQWIKVDTLMGHSFFVSKRCSNVLTAGAEGDCIYFIHEGYDIRKPADPFFDSGMYNMRDGMVRLLQQSLLQDMMDHFFRPGFSQLKYELSWIAAMEAPWTNLPSELLGLVLSRLPSFTDRVRLRVVCGAWRSAARQELSRLPPPLPWIVLRDGTFVALPEGAVHRVPFPGDVTKLLPAGRDVILTHNDGTLSLMDPFSSPSAVTPLPYLAAALRREIELGLHTARDMWSVSLIDKVVVSEQFTAFLINSREVIIACGPLRQQRAVAKWRPPKFCYIIDIALFQGKLYALTDGRDYKLPFGLDEQNISDKHRDELHILGVSDEQHHMVTVSNVKCIHSTPRDEDEDDYNAFNRKYVIQRYLVASADRLFMIRRWVNWLPRRPRHMTYVWRTCQFEVFEAVDLNGSHGQWIKVDTLMGHSLFVSKRCSNVHTTGAEEDCIYFIHENYHNRMPVDPFLDSGMYNMRDGMVAPLLSETATAEPLAGHDGPFTRRPLCWLINTSKLDG >LPERR06G02940.2 pep chromosome:Lperr_V1.4:6:1960305:1964491:1 gene:LPERR06G02940 transcript:LPERR06G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMEAPWTNLPSELLGLVLSRLPSFTDRVRLRVVCGAWRSAARQELSRLPPPLPWIVLRDGTFVALPEGAVHRVPFPGDVTKLLPAGRDVILTHNDGTLSLMDPFSSPSAVTPLPYLAAALRREIELGLHTARDMWSVSLIDKVVVSEQFTAFLINSREVIIACGPLRQQRAVAKWRPPKFCYIIDIALFQGKLYALTDGRDYKLPFGLDEQNISDKHRDELHILGVSDEQHHMVTVSNVKCIHSTPRDEDEDDYNAFNRKYVIQRYLVASADRLFMIRRWVNWLPRRPRHMTYVWRTCQFEVFEAVDLNGSHGQWIKVDTLMGHSLFVSKRCSNVHTTGAEEDCIYFIHENYHNRMPVDPFLDSGMYNMRDGMVAPLLSETATAEPLAGHDGPFTRRPLCWLINTSKLDG >LPERR06G02940.3 pep chromosome:Lperr_V1.4:6:1960305:1963105:1 gene:LPERR06G02940 transcript:LPERR06G02940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIVLSIVVYIVYKATDYLFKLAAGADQAAMEAPWTNLPSELLGLVLSRLPSFTDRVRLRVVCGAWRSAARQELSRLPPPLPWIVLRDGTFVALPEGAVHRVPFPGDVTKLLPAGRDVILTHNDGTLSLMDPFSSPSAVTPLPYLAAALRREIELGLHTARDMWSVSLIDKVVVSEQFTAFLINSREVIIACGPLRQQRAVAKWRPPKFCYIIDIALFQGKLYALTDGRDYKLPFGLDEQNISDKHRDELHILGVSDEQHHMVTVSNVKCIHSTPRDEDEDDYNAFNRKYVIQRYLVASADRLFMIRRWVNWLPRRPRHMTYVWRTCQFEVFEAVDLNGSHGQWIKVDTLMGHSLFVSKRCSNVHTTGAEEDCIYFIHENYHNRMPVDPFLDSGMYNMRDGMVAPLLSETATAEPLAGHDGPLFPTWLFPNES >LPERR06G02940.4 pep chromosome:Lperr_V1.4:6:1957923:1959701:1 gene:LPERR06G02940 transcript:LPERR06G02940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAMEAPTERSCGSSWTNLPSELLGLVLSRLPSLTDRVRIRVVCRAWRSAARQELSRLPPPLPWIVLRDGAFVALPEGAVHRMPFPGDVTKLLPAGRDVILTHNDGTLSLMDPFSSPSAVTPLPYLAGVLRRQIELGLDNAALGIRSVSLIDKVVVSEHLTAFLNGILKVIITSGQRRAEASWRPPKFHYVVDIALFQGKLYGLIVTRHPVEELYIIDLSDEQHVVSSECIHNTPRDEDEDEDEDEDEESDDDTSNSEYMIQRYLLASCDRLFMVRQWRINQPPILPTDSGIVQRRTCRFEVFEAVDLNSGHGQWIKVDTLMGHSFFVSKRCSNVLTAGAEGDCIYFIHEGYDIRKPADPFFDSGMYNMRDGMVVPLLPETAAAEPLAGHDGPFFPTWLFPTEI >LPERR06G02950.1 pep chromosome:Lperr_V1.4:6:1974649:1978282:1 gene:LPERR06G02950 transcript:LPERR06G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLVKIGPWGGGGGSAKDIDVPPKKLVGMTIYSSTESVCSLAYSYVGVDGHRHDIGPWGGCRPENPTKIELDSTEYVKEISGTHGELQNVADLVTYLKIVTNICTYECGVPNGTAFSVPLQDGARVVGFFGRFGWLVDAIGIYVHP >LPERR06G02950.2 pep chromosome:Lperr_V1.4:6:1974692:1978282:1 gene:LPERR06G02950 transcript:LPERR06G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLVKIGPWGGGGGSAKDIDVPPKKLVGMTIYSSTESVCSLAYSYVGVDGHRHDIGPWGGCRPENPTKIELDSTEYVKEISGTHGELQNVADLVTYLKIVTNICTYECGVPNGTAFSVPLQDGARVVGFFGRFGWLVDAIGIYVHP >LPERR06G02960.1 pep chromosome:Lperr_V1.4:6:1981416:1990556:1 gene:LPERR06G02960 transcript:LPERR06G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSPKDSPPSITNSEIPQTPNPQFDHSHSPLHLQFQNRSPATEAARSAAGHRRSSPRSGDGHTTGSLQWPLPDLPPELLGLVLHRLPSHADRVRLRSVCRPWRSSSRLVLKLLRPPLPWIVLPDGAVHRLPLPGGDAARRVCAGSDLLLTHNDGMVSLMNPFSSAATTPALDLAAALFGDANSKYLIASRRKRIASLIGKAVVYDHLIAFQINSHKVIVTTGQPHSVAGWRPPVTSFTVDIAVFQGKLYCLTGDTEKRHEELYILSVSGEHPTVSDIKCIHSTPRDEGEVSWFDPHSTEMYVLQRYLVVAGDRLLMVIRWINLPPMFPIDSANVKRTRRFEVFEAVDLSGGCGRWMKVDTLMGHALFVSKSCSKSLNAGAEEDCIYFLHEDTENGMPEDPFLDSGVYNMRDGTITPLLPAIAAARPHAAHGKNMRNWTVAPLLPVTAVAEPPAAHSGPLYYLEFQYRKALLPDDKHQVSAMASSSSWSDLRPELLDSVLHCLHSLADRIRFRAVCRPWRHIALAQPLPPPMPWLALGNGTFLTIPDGEIHHMDVPENSCCHGSCDNWLHVVHDDGVCSLMNPFTKASVQPNPLADAPHKELQSDARSNMAVMPAASINSTPVLLVSALVYGNIQIGQTTFFSFCQPIIENGPLDCLQLETQISHIAFCHGKLYAVSPDFILYELDFTLHSGGVRPSSWKRMTELSEDLNSWPQDLPLSQMDYYCIRRYLVECDGRLLLVRCWMQIDPFPVESIDLLEIACTLWFDIFEVDFNVQPCQWRRLNTLGRRALFIGSLSLLKNVRMPKRTASISCVTMSGQIHLLMRFVIPVFSI >LPERR06G02960.2 pep chromosome:Lperr_V1.4:6:1981416:1990556:1 gene:LPERR06G02960 transcript:LPERR06G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSPKDSPPSITNSEIPQTPNPQFDHSHSPLHLQFQNRSPATEAARSAAGHRRSSPRSGDGHTTGSLQWPLPDLPPELLGLVLHRLPSHADRVRLRSVCRPWRSSSRLVLKLLRPPLPWIVLPDGAVHRLPLPGGDAARRVCAGSDLLLTHNDGMVSLMNPFSSAATTPALDLAAALFGDANSKYLIASRRKRIASLIGKAVVYDHLIAFQINSHKVIVTTGQPHSVAGWRPPVTSFTVDIAVFQGKLYCLTGDTEKRHEELYILSVSGEHPTVSDIKCIHSTPRDEGEVSWFDPHSTEMYVLQRYLVVAGDRLLMVIRWINLPPMFPIDSANVKRTRRFEVFEAVDLSGGCGRWMKVDTLMGHALFVSKSCSKSLNAGAEEDCIYFLHEDTENGMPEDPFLDSGVYNMRDGTITPLLPAIAAARPHAAHGSPCKFKDNYRKALLPDDKHQVSAMASSSSWSDLRPELLDSVLHCLHSLADRIRFRAVCRPWRHIALAQPLPPPMPWLALGNGTFLTIPDGEIHHMDVPENSCCHGSCDNWLHVVHDDGVCSLMNPFTKASVQPNPLADAPHKELQSDARSNMAVMPAASINSTPVLLVSALVYGNIQIGQTTFFSFCQPIIENGPLDCLQLETQISHIAFCHGKLYAVSPDFILYELDFTLHSGGVRPSSWKRMTELSEDLNSWPQDLPLSQMDYYCIRRYLVECDGRLLLVRCWMQIDPFPVESIDLLEIACTLWFDIFEVDFNVQPCQWRRLNTLGRRALFIGSLSLLKNVRMPKRTASISCVTMSGQIHLLMRFVIPVFSI >LPERR06G02960.3 pep chromosome:Lperr_V1.4:6:1981416:1990166:1 gene:LPERR06G02960 transcript:LPERR06G02960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSPKDSPPSITNSEIPQTPNPQFDHSHSPLHLQFQNRSPATEAARSAAGHRRSSPRSGDGHTTGSLQWPLPDLPPELLGLVLHRLPSHADRVRLRSVCRPWRSSSRLVLKLLRPPLPWIVLPDGAVHRLPLPGGDAARRVCAGSDLLLTHNDGMVSLMNPFSSAATTPALDLAAALFGDANSKYLIASRRKRIASLIGKAVVYDHLIAFQINSHKVIVTTGQPHSVAGWRPPVTSFTVDIAVFQGKLYCLTGDTEKRHEELYILSVSGEHPTVSDIKCIHSTPRDEGEVSWFDPHSTEMYVLQRYLVVAGDRLLMVIRWINLPPMFPIDSANVKRTRRFEVFEAVDLSGGCGRWMKVDTLMGHALFVSKSCSKSLNAGAEEDCIYFLHEDTENGMPEDPFLDSGVYNMRDGTITPLLPAIAAARPHAAHGKNMRNWTVAPLLPVTAVAEPPAAHSGPLYYLEFQYRKALLPDDKHQVSAMASSSSWSDLRPELLDSVLHCLHSLADRIRFRAVCRPWRHIALAQPLPPPMPWLALGNGTFLTIPDGEIHHMDVPENSCCHGSCDNWLHVVHDDGVCSLMNPFTKASVQPNPLADAPHKELQSDARSNMAVMPAASINSTPVLLVSALVYGNIQIGQTTFFSFCQPIIENGPLDCLQLETQISHIAFCHGKLYAVSPDFILYELDFTLHSGGVRPSSWKRMTELSEDLNSWPQDLPLSQMDYYCIRRYLVECDGRLLLVRCWMQIDPFPVESIDLLEIACTLWFDIFEVDFNVQPCQWRRLNTLGRRALFIGSLSLLKNVRMPKRTASISCVTMSGQIHLLMRFVIPVFSI >LPERR06G02960.4 pep chromosome:Lperr_V1.4:6:1989703:1990556:1 gene:LPERR06G02960 transcript:LPERR06G02960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCRVMQAVDGAFRGSPLKSTIGLEGAQYWQFKQFAYGPLAMIPPHLHSYKNGGGAVERGVGDDLGKQ >LPERR06G02970.1 pep chromosome:Lperr_V1.4:6:1992488:1994718:-1 gene:LPERR06G02970 transcript:LPERR06G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGPAFGIFTCELAQLAREDALKATKSPGLQASSHQISARKETLGERVQATGGDESARPGGNGGSTSTTLCPPKATASISPAGSIRISRCRLLAASRPSRCHTIPYCGFPSLSRMGKGEDGYMGKSPSQGSLSYTTVAEKDLRNHVARRFLTCMEHCKNNPELDYMDFKADRTNVIPIIQSMPTNIGLDVGLDSPINFAPTPNRVLFLYLGINIVHGWLVDPKREEKAYDVVGAQFVSELVAKLNPDRREPVHAFFACTIKTQLTAHGLTCLRMECRGVLFEQIRDINEYLNYPEAAWKSLEMAGRDAFYVTHNFNPIENQPNYAKAKKWLDEDINNVLKGLGEETKDETNKQSIDDVKNGGNNQETGREKNGSNLGEHPHGCST >LPERR06G02970.2 pep chromosome:Lperr_V1.4:6:1992488:1994718:-1 gene:LPERR06G02970 transcript:LPERR06G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGPAFGIFTCELAQLAREDALKATKSPGLQASSHQISARKETLGERVQATGGDESARPGGNGGSTSTTLCPPKATASISPAGSIRISRCRLLAASRPSRCHTIPYCGFPSLSRMGKGEDGYMVVPFLFQGENRSALALYWDDSCPSDRSDFVSILNYLALTDPIGPANLPFRDYKQSKGKSPSQGSLSYTTVAEKDLRNHVARRFLTCMEHCKNNPELDYMDFKADRTNVIPIIQSMPTNIGLDVGLDSPINFAPTPNRVLFLYLGINIVHGWLVDPKREEKAYDVVGAQFVSELVAKLNPDRREPVHAFFACTIKTQLTAHGLTCLRMECRGVLFEQIRDINEYLNYPEAAWKSLEMAGRDAFYVTHNFNPIENQPNYAKAKKWLDEDINNVLKGLGEETKDETNKQSIDDVKNGGNNQETGREKNGSNLGEHPHGCST >LPERR06G02980.1 pep chromosome:Lperr_V1.4:6:2009323:2010937:1 gene:LPERR06G02980 transcript:LPERR06G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKSAVAGLKAAGSDGCALRVTITDGDSGSGSADPAAAAPLHVLRLRSRPTRRCPARPITFRSRSSLEQYENQAAAVKLPLGVAMEKEKLSFSIPSKARPPRPTSHPAATAVDDDEYFVTEFNPIQTLATGSTPAVIAPLQNSGHFLNHRSRKPSSLPSPEEEAALAVSAAGGPSFVLDTSTAPPSTGSP >LPERR06G02980.2 pep chromosome:Lperr_V1.4:6:2009323:2010937:1 gene:LPERR06G02980 transcript:LPERR06G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKSAVAGLKAAGSDGCALRVTITDGDSGSGSADPAAAAPLHVLRLRSRPTRRCPARPITFRSRSSITSIMMGTKLPLGVAMEKEKLSFSIPSKARPPRPTSHPAATAVDDDEYFVTEFNPIQTLATGSTPAVIAPLQNSGHFLNHRSRKPSSLPSPEEEAALAVSAAGGPSFVLDTSTAPPSTGSP >LPERR06G02990.1 pep chromosome:Lperr_V1.4:6:2032620:2035255:1 gene:LPERR06G02990 transcript:LPERR06G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDYARGSGSDRRAAIRGAKLEAVARCSLSPGRPMLLETVAVGSPVAAGTANAAGIRDSLGV >LPERR06G03000.1 pep chromosome:Lperr_V1.4:6:2041827:2046999:1 gene:LPERR06G03000 transcript:LPERR06G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGALGASSSHPQSMEILLNIHAYPGSSSHRNATTARDSGRNRQTIEVSFYPSTPPLPSILFIGSPDLENPSSAFTVLPRIIRAVEDLILLRVDIDHVSSSSSLSREEDCDYFIYRADTKSPSLKLLPGPYPFCSDDDVGLVRRDSENYTVAALVPSSTPNVYELHRFHSWVGRWSIRRLSVGEPQRGFPIQIPRRSGRLLYHNTSTVIAIGGEYGTMGWVDLWRGILLCDVFVDEPTLRGVPLPLPLELVTCNNGQGVELGCPKSLRGIAVIKTSDGTPCLKLAHLELDTIELPGIIDEETELPSFIMRGWAITTWSNTMMTSSWKDWHRDRRVQSSDITIDNKLNSGLLRSGLLCEQQHSEAKEERALRNVLVSHPTPCCIGTVREDIVYLMARVKFLHPKAWALAVDMTNKKLLAAAEFGTERQTGDPAIYCPTTISKSTNLGAFLGSLILVGGDPGVGKGSLILQLASIVSGTIGAGESSAVVYVSGEESNVLPGTIPPPSTRAISILKLPDLQRVG >LPERR06G03000.2 pep chromosome:Lperr_V1.4:6:2041827:2047089:1 gene:LPERR06G03000 transcript:LPERR06G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGALGASSSHPQSMEILLNIHAYPGSSSHRNATTARDSGRNRQTIEVSFYPSTPPLPSILFIGSPDLENPSSAFTVLPRIIRAVEDLILLRVDIDHVSSSSSLSREEDCDYFIYRADTKSPSLKLLPGPYPFCSDDDVGLVRRDSENYTVAALVPSSTPNVYELHRFHSWVGRWSIRRLSVGEPQRGFPIQIPRRSGRLLYHNTSTVIAIGGEYGTMGWVDLWRGILLCDVFVDEPTLRGVPLPLPLELVTCNNGQGVELGCPKSLRGIAVIKTSDGTPCLKLAHLELDTIELPGIIDEETELPSFIMRGWAITTWSNTMMTSSWKDWHRDRRVQSSDITIDNKLNSGLLRSGLLCEQQHSEAKEERALRNVLVSHPTPCCIGTVREDIVYLMARVKFLHPKAWALAVDMTNKKLLAAAEFGTERQTGDPAIYCPTTISKSTNLGAFLGTNNWSNAQRS >LPERR06G03010.1 pep chromosome:Lperr_V1.4:6:2047522:2051700:-1 gene:LPERR06G03010 transcript:LPERR06G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKKDPELSYLDFNADRTKVIPIIKSMPTNIGLDVGLDSPTNFSLTASRTLFLYLNVNLVHGLLVDPEKEEKVYDAVGAEFVSDLVPKINQCGPMRDFFASTIKTQLTSHGLACLRMELQDGNIGLLYTAGHYRCRGVLFEQLRDINEYLKYPEAAWKSLEMGLGEGIDDKTTKQSIHDVKNSGNNQETGREENGSNLAEPAPSSVAENDDLEVISKDFADFLQNFKIGDGAGNYSEAMVAFMRVLGSPEMHIPYDFIRRHNKSMAIYIQDHHERIHETLRKLVAEYLIAHDLHIARKLDQSDSFEGFILDNKICCGDLTTYVGRKMSSRSLKYILDWFEEGKCWGGDWSASDMEVRNNGEEFVITKPPELDLDKECAYADLKRYIEVVLDRFKTESGHHPLYFEDFIDDVIGIPDPSADPTKWRGFLKLLRNHFALKAPLVRLAFLSNVFRVADSMRVGMAPNAPAFSPFNNRAGLKDWRFNARQQNPLRRVYMYKNFRMDKWENSYWFLLLFTRHSIEHVLNYTKKDDLHQQIRDIAIMDLILARHLGKYIAQFSSSWKHFEASDSPNNGEGSEAGKDAYNTVDPEVLLGERENAWVYAEIGIEHGAPLPSTSHVSVIYCDLSLAAIEEALNRDGVEVQQLDGALCCGGNITVREARNSIQEGNTVSGHKSFSVEGPYGDDYARVLDIVAFTFRG >LPERR06G03010.2 pep chromosome:Lperr_V1.4:6:2047522:2051700:-1 gene:LPERR06G03010 transcript:LPERR06G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKKDPELSYLDFNADRTKVIPIIKSMPTNIGLDVGLDSPTNFSLTASRTLFLYLNVNLVHGLLVDPEKEEKVYDAVGAEFVSDLVPKINQCGPMRDFFASTIKTQLTSHGLACLRMELQDGNIGLLYTAGHYRCRGVLFEQLRDINEYLKYPEAAWKSLEMGLGEGIDDKTTKQSIHDVKNSGNNQETGREENGSNLAEPAPSSVAENDDLEVISKDFADFLQNFKIGDGAGNYSEAMVAFMRVLGSPEMHIPYDFIRRHNKSMAIYIQDHHERIHETLRKLVAEYLIAHDLHIARKLDQSDSFEGFILDNKICCGDLTTYVGRKMSSRSLKYILDWFEEGKCWGGDWSASDMEVRNNGEEFVITKPPELDLDKECAYADLKRYIEVVLDRFKTESGHHPLYFEDFIDDVIGIPDPSADPTKWRGFLKLLRNHFALKAPLVRLAFLSNVFRVADSMRVGMAPNAPAFSPFNNRAGLKDWRFNARQQNPLRRVYMYKNFRMDKWENSYWFLLLFTRHSIEHVLNYTKKDDLHQQIRDIAIMDLILARHLGKYIAQFVRFFVYSCDFPAPGNILRHLKIGIEHGAPLPSTSHVSVIYCDLSLAAIEEALNRDGVEVQQLDGALCCGGNITVREARNSIQEGNTVSGHKSFSVEGPYGDDYARVLDIVAFTFRG >LPERR06G03010.3 pep chromosome:Lperr_V1.4:6:2044219:2047514:-1 gene:LPERR06G03010 transcript:LPERR06G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGARQQPSLPQEPAAFTAPGAIKYMKTIHPQEQPVVEHYKLVGYTINCYDPSTDSLGPPTAIPPPPMMPTTQRRRQATRGVGFDPMTGRIVMREQPPYSPTHANSDEDVSKGRKSVREKETTRWSSVAAVRKPGGKARVTYVCSNCGEGLSQWWGVCRLCEATGALTKYYPGAAGADSPALEGAHHAYLSWIPQKSKPMVPQSLQEVTKGLASIVSGTIGAGESSAVVYVSGEEVNEQRGLNG >LPERR06G03020.1 pep chromosome:Lperr_V1.4:6:2052046:2052584:-1 gene:LPERR06G03020 transcript:LPERR06G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVHPLGLRLSVGPEKPGSKTFTWPIPVSSTPPGLGKYLRQVARKESPGERVTARPVQATRGDESARPGGDGGGSGSATTLPPPTAALPGDCLHLAGSIRISRCCRSSSPCCPCPATAVAVCYITSLGMASCQPP >LPERR06G03030.1 pep chromosome:Lperr_V1.4:6:2057069:2058373:-1 gene:LPERR06G03030 transcript:LPERR06G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDCKVVGGLGLVNNKTRTDTTILCKGHACGPLYDKIKKSAPTKVSAKYHMPDLTKLDLARFSSVYCSVQVTRPGFTSRRQPTTVDRVKLFFVVKGDLGLLISERMETLYSFLNIKILKMIKQRW >LPERR06G03040.1 pep chromosome:Lperr_V1.4:6:2061065:2063237:1 gene:LPERR06G03040 transcript:LPERR06G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLTLLAVSLLILSTAMDSDGQGGAVQAQIVPAVVSFGDSTIDVGNNNYLPGAVFKADYVPYGVNFGKHHKPTGRFSDGKIVTDITAETLGFESYAPPYLSPEATGENLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAALVGRKNASAILSDALYIISTGTGDFLQNYYHNASLSRRYDVDSYCNLLVGIFSGFANVSTYATIPSTKIDICSATPALYRLGARRIGVTSMPPLGCLPASIRLYGKGHNGCVARLNGDAETFNKKLNVTVEALAKKHSDLKIAIFDIYTPLRDLSESPASGGFTEARKTCCKTGTRKTRVYLCNPAMAGLCRNASEFVYFDGVHPSEAANLVIAESTLSAGISLVT >LPERR06G03040.2 pep chromosome:Lperr_V1.4:6:2061065:2063669:1 gene:LPERR06G03040 transcript:LPERR06G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLTLLAVSLLILSTAMDSDGQGGAVQAQIVPAVVSFGDSTIDVGNNNYLPGAVFKADYVPYGVNFGKHHKPTGRFSDGKIVTDITAETLGFESYAPPYLSPEATGENLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAALVGRKNASAILSDALYIISTGTGDFLQNYYHNASLSRRYDVDSYCNLLVGIFSGFANVSTYATIPSTKIDICSATPALYRLGARRIGVTSMPPLGCLPASIRLYGKGHNGCVARLNGDAETFNKKLNVTVEALAKKHSDLKIAIFDIYTPLRDLSESPASGGFTEARKTCCKTGTRKTRVYLCNPAMAGLCRNASEFVYFDGVHPSEAANLVIAESTLSAGISLVT >LPERR06G03050.1 pep chromosome:Lperr_V1.4:6:2065857:2069968:-1 gene:LPERR06G03050 transcript:LPERR06G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLESITRLLAELACRPRPPPGGGRSGDSLAAALNPRGGSGGGASGTRVLDAVDKARVECLVRTTVSALSASVACRVDRSDGVEMLSVGSSVALGDCRELVSSCAGILEKLGDSDGEHSYDLLYAVVKTTLLSPRYQCLFPLPYYREDEDSTCDTGTISSVLTRHPTYQVLPSDYSIPLRGLGAILELHTAVVSSVLDVLFEPMSWGISMELGQKFPFSSDYFPRQHVDLLAILTGPLSCRRFLDLTSYIDSLGTTNHSPWSNLHSQASSGSVKYNSSWSMVVNFPLWFNFAIALLFHREGSGGYLSDALSMEIISESVRDVSLAHRAAFYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKRPRYVHNTSIVNHRRKLRMPMVGDTEKLHLSTKPVSSLIKEFDDRCVKFCSISANSEVQAEKLSDFVPICSDFLHFWIPLGILLASSSSLDDQDCDMLLHYTSTGQVLLSNDTQEKLKDHVSNYQFSASCKGYTERWASAGASLIFGWLDLIVNMSSVIFECEDICDRFVSQLKSKTNPYLLKCVHSLLEVLDEANHRDFLVDLHDRLLNWNQKGQSCDGFEAFEDIILHMNKKFHFST >LPERR06G03060.1 pep chromosome:Lperr_V1.4:6:2072974:2074764:1 gene:LPERR06G03060 transcript:LPERR06G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKDNLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGHTDVLETLSPNVRKRVEYLREIQSAGKPKLPSKTDMKGGADQPAECKQQ >LPERR06G03070.1 pep chromosome:Lperr_V1.4:6:2077761:2080095:1 gene:LPERR06G03070 transcript:LPERR06G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRGIPSLLNSSSHEHIATDITELVGWTPLIELNRIAKKDGANVRILGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLRGYRFIAIMPAGYSLDKQVLLRYLGAEVILTDPALGFQGQVDKVEQLKNDMPNVHVLDQFTNAANPEAHFIWTGPEIWKDTAGKVDIFVAGSGTGGTV >LPERR06G03070.2 pep chromosome:Lperr_V1.4:6:2077761:2079824:1 gene:LPERR06G03070 transcript:LPERR06G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRGIPSLLNSSSHEHIATDITELVGWTPLIELNRIAKKDGANVRILGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLRGYRFIAIMPAGYSLDKQVLLRYLGAEVILTDPALGFQGQVDKVEQLKNDMPNVHVLDQFTNAANPEAHFIWTGPEIWKDTAGKVDIFVAGSGTGGTV >LPERR06G03070.3 pep chromosome:Lperr_V1.4:6:2077761:2079929:1 gene:LPERR06G03070 transcript:LPERR06G03070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRGIPSLLNSSSHEHIATDITELVGWTPLIELNRIAKKDGANVRILGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLRGYRFIAIMPAGYSLDKQVLLRYLGAEVILTDPALGFQGQVDKVEQLKNDMPNVHVLDQFTNAANPEAHFIWTGPEIWKDTAGKVDIFVAGSGTGGTV >LPERR06G03070.4 pep chromosome:Lperr_V1.4:6:2074826:2077257:1 gene:LPERR06G03070 transcript:LPERR06G03070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRAIGAKLAGHEEKVTSALLMGALAVLGYRSSEQQEEIENLEARKASLRADNSAMSSTMWAWHEELFALAAAPSPPISASTLRAFFGEEDPTPPAASKQPGVIVSIAKMDQMDV >LPERR06G03070.5 pep chromosome:Lperr_V1.4:6:2074826:2078164:1 gene:LPERR06G03070 transcript:LPERR06G03070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRAIGAKLAGHEEKVTSALLMGALAVLGYRSSEQQEEIENLEARKASLRADNSAMSSTMWAWHEELFALAAAPSPPISASTLRAFFGEEDPTPPAASKQPGSNGEEESFSIL >LPERR06G03080.1 pep chromosome:Lperr_V1.4:6:2082867:2083049:1 gene:LPERR06G03080 transcript:LPERR06G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLSVAATPREGWQSEEEDAAPATMRGGEGTDARRGHGISARKGGAVAARRGNGAAR >LPERR06G03090.1 pep chromosome:Lperr_V1.4:6:2089403:2092613:1 gene:LPERR06G03090 transcript:LPERR06G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLTLLAVSLLILSPAMDGDGHGSAVQAQIVPAVISFGDSTIDVGNNNYLPGAVFKADYVPYGVNFGKQHKPTGRFSDGKIVTDITAETLGFESYAPPYLSPEATEENLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAALVGRKNASAILSDALYIISTGTGDFLQNYYHNASLSRRYDVDSYCNLLVGIFSGFANVSTYATIPSTKIDICSATPALYRLGARRIGVTSMPPLGCLPASIRLYGKGHNGCVARLNGDAETFNKKLNATVEALAKKHSDLKIAIFDIYTPLRDLSESPGSGGFSEARKTCCKTGTRKTRVYLCNPATAGLCRNASEFVYFDGVHPSEAANLFIAESTLSAGISLVT >LPERR06G03100.1 pep chromosome:Lperr_V1.4:6:2090129:2098708:-1 gene:LPERR06G03100 transcript:LPERR06G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLESITRLLAELACRPRLLPGGGRSGDSLAAPVSSLAAAINPRGSGGGGASGTRVVDAVDRARVECLVRTTVSALSASVLCRVDRSDGVEMLSVGSSVAPGDCRELVSSCAGILEKLGGSDGEHSYDLLYAVVKTTLLSPRYQCLFPLPYYREDEDSTCDMGTISSVLTRHPTNQVLPSDYSIPLRGLGAILELHTAVVSSVLDVLFEPMAWGISMELGQKFPFSSGYFPRQHADLLAILTGPLSCRRFLDLTSYIDSTGTTIHSPWSSDGYLSEALSMEIISESVRDVSLAHRAAFYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKRPGYVHHTSTVNNRRKLQIPTVGDTEKLHMSTKPVSSLIKEFDDRCVKFCSITANSQVQAEKLSDFIPICSNFLYFWILLGILLVSSSFLDDQDCDMLLHYTSTGQVLLSNETQRKVKDHVSNDQFSASCKGYTERWASAGASLIFGWLDLIVNMSAVIFECEDICDRFVSQLKSKTSPYLLKCVHSLLEVLDEANHRDFLVDLHDRLLNWNRKGQSFDGFEALEDIILHMNKKFHFRVPANLKADGLQLDAAEAKLAPMRRFSSVASGLRLTVGLSCVTS >LPERR06G03100.2 pep chromosome:Lperr_V1.4:6:2091676:2098708:-1 gene:LPERR06G03100 transcript:LPERR06G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLESITRLLAELACRPRLLPGGGRSGDSLAAPVSSLAAAINPRGSGGGGASGTRVVDAVDRARVECLVRTTVSALSASVLCRVDRSDGVEMLSVGSSVAPGDCRELVSSCAGILEKLGGSDGEHSYDLLYAVVKTTLLSPRYQCLFPLPYYREDEDSTCDMGTISSVLTRHPTNQVLPSDYSIPLRGLGAILELHTAVVSSVLDVLFEPMAWGISMELGQKFPFSSGYFPRQHADLLAILTGPLSCRRFLDLTSYIDSTGTTIHSPWSSDGYLSEALSMEIISESVRDVSLAHRAAFYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKRPGYVHHTSTVNNRRKLQIPTVGDTEKLHMSTKPVSSLIKEFDDRCVKFCSITANSQVQAEKLSDFIPICSNFLYFWILLGILLVSSSFLDDQDCDMLLHYTSTGQVLLSNETQRKVKDHVSNDQFSASCKGYTERWASAGASLIFGWLDLIVNMSAVIFECEDICDRFVSQLKSKTSPYLLKCVHSLLEVLDEANHRDFLVDLHDRLLNWNRKGQSFDGFEALEDIILHMNKKFHFRVPANLKADGLQHVFLASEKPNQESICIQPVNCHQ >LPERR06G03100.3 pep chromosome:Lperr_V1.4:6:2091581:2098708:-1 gene:LPERR06G03100 transcript:LPERR06G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLESITRLLAELACRPRLLPGGGRSGDSLAAPVSSLAAAINPRGSGGGGASGTRVVDAVDRARVECLVRTTVSALSASVLCRVDRSDGVEMLSVGSSVAPGDCRELVSSCAGILEKLGGSDGEHSYDLLYAVVKTTLLSPRYQCLFPLPYYREDEDSTCDMGTISSVLTRHPTNQVLPSDYSIPLRGLGAILELHTAVVSSVLDVLFEPMAWGISMELGQKFPFSSGYFPRQHADLLAILTGPLSCRRFLDLTSYIDSTGTTIHSPWSSDGYLSEALSMEIISESVRDVSLAHRAAFYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKRPGYVHHTSTVNNRRKLQIPTVGDTEKLHMSTKPVSSLIKEFDDRCVKFCSITANSQVQAEKLSDFIPICSNFLYFWILLGILLVSSSFLDDQDCDMLLHYTSTGQVLLSNETQRKVKDHVSNDQFSASCKGYTERWASAGASLIFGWLDLIVNMSAVIFECEDICDRFVSQLKSKTSPYLLKCVHSLLEVLDEANHRDFLVDLHDRLLNWNRKGQSFDGFEALEDIILHMNKKFHFSDSERSRSGV >LPERR06G03100.4 pep chromosome:Lperr_V1.4:6:2092570:2098708:-1 gene:LPERR06G03100 transcript:LPERR06G03100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLESITRLLAELACRPRLLPGGGRSGDSLAAPVSSLAAAINPRGSGGGGASGTRVVDAVDRARVECLVRTTVSALSASVLCRVDRSDGVEMLSVGSSVAPGDCRELVSSCAGILEKLGGSDGEHSYDLLYAVVKTTLLSPRYQCLFPLPYYREDEDSTCDMGTISSVLTRHPTNQVLPSDYSIPLRGLGAILELHTAVVSSVLDVLFEPMAWGISMELGQKFPFSSGYFPRQHADLLAILTGPLSCRRFLDLTSYIDSTGTTIHSPWSSDGYLSEALSMEIISESVRDVSLAHRAAFYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKRPGYVHHTSTVNNRRKLQIPTVGDTEKLHMSTKPVSSLIKEFDDRCVKFCSITANSQVQAEKLSDFIPICSNFLYFWILLGILLVSSSFLDDQDCDMLLHYTSTGQVLLSNETQRKVKDHVSNDQFSASCKGYTERWASAGASLIFGWLDLIVNMSAVIFECEDICDRFVSQLKSKTSPYLLKCVHSLLEVLDEANHRDFLVDLHDRLLNWNRKGQSFDGFEALEDIILHMNKKFHFRVPANLKADGLQVCCLSLA >LPERR06G03110.1 pep chromosome:Lperr_V1.4:6:2100625:2102514:-1 gene:LPERR06G03110 transcript:LPERR06G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVCYGMGSRYSDPLVHSLRRRLHSIGFELATAAAFGVNNIMEVNPMVALRAALVGGIAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAVSGKEASKENPKTGTK >LPERR06G03120.1 pep chromosome:Lperr_V1.4:6:2104172:2106751:1 gene:LPERR06G03120 transcript:LPERR06G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRAIGAKLAGHEEKVTSALLMGALAVLGYRSSEQQEEIENLEARKASLRADNSAMSSTMWAWHEELFALAAAPSPPISASTLRAFFGEEDPTPPAASKQPGVIVSIAKMIL >LPERR06G03130.1 pep chromosome:Lperr_V1.4:6:2107465:2113585:1 gene:LPERR06G03130 transcript:LPERR06G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGVGRRGLPSLLGSSCSEGGIGQEHIASDVTQLIGWTPLVELKRIANKDGVDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLMLVALSKGYKFIAVMPGQYSLDKQILLRYMGVEVFLTDPTLGFQGLVDKVEQLKKELPNVHILDQISNPANQDAHMRWTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKMQNPSVKIICVEPEESPVISGGEPGKHKIQGIGPGFKPAVLDTSVIDEVVTVNTEEAMVNARRLAMEEGLLMGISSGANLAACLKVASKEENKGKMIVTMFPSGGERYMNSDLFAALIGWTPLVELKRIANKDGVDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLILVALSKGYKFIAVMPGQYSLDKQILLRYMGVEVFLTDPILGFQGLVDKVEQLKKELPYVHVLDQFSNPANQDAHMRWTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKMQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGFKPAVLDTSVIDEVVTVNTEEAMVNARRLAMEEGLLMGISSGANLAACLKVASKEENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTY >LPERR06G03140.1 pep chromosome:Lperr_V1.4:6:2114061:2114888:1 gene:LPERR06G03140 transcript:LPERR06G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPREGGDGETREERASSCYYSSSSEMSDDAAAAPPSTQQMERRSSSAAEAEEEEEGRIPAAVFERDTSESNKEWSMMSTDSVFALQVAPSSDFTGFFLAHPELMDIATPPRASSAAAAVGGGFDADGHARSTQFESIPELGESTMQIQGNYSFAFPTLIEVKRQSTKNTQEEHPMAATIATATATATATATATATATATTAEMVPMPAPAEMSRSKPEEAPVKVAAKGGWLPCFPCC >LPERR06G03150.1 pep chromosome:Lperr_V1.4:6:2115363:2117063:-1 gene:LPERR06G03150 transcript:LPERR06G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPGPSPAASTAAASSPGGAGSNAAAAAAAAAARQAGRPPVKRMDAVKQALLLFVHSKLTMCPDHRFAFASLGETVSLVKKDFSSHAGSAMEAIQSLDASETKFAMADLTQLFKTAYQEGQRAELQSRLLRVVLIYCRSSTKPQHQWPIKPKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKPLAKKTLAGEGGQGEDGMPGSTQ >LPERR06G03160.1 pep chromosome:Lperr_V1.4:6:2119212:2119856:-1 gene:LPERR06G03160 transcript:LPERR06G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPPTPAAGGEHSFGHDAIALSFFVACVAATVVLVSSMCSACGRRSKPPPAAAATDIDGEDEEVEEEEKPVVTLSPELATHGPIAPVVTPLPASASRRRLSMTSMSLGKNLSMKVPDKMRLSRRERRGDKVEPEDTLWKKGIILGEKCRIPGEREGECDAADLADADDLAAGSFRRSSYSRPMSRSGSFAVHHQQQQQHDVITPPAGAASHS >LPERR06G03170.1 pep chromosome:Lperr_V1.4:6:2120428:2123151:-1 gene:LPERR06G03170 transcript:LPERR06G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAVAAVAMAVALAAAVIMLHASAAEAAGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPNKKVPEIEIIEIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEYVYKKKPSADQLVKFLCKDLSEACTVDPPPVPKDRVPGEPFATKPSKDAEMDRLLKSMEGKVLKDKGSQKKDLKQQVVEQIKDTGKKLKGHVNKASKLVKKWWQAKKKPSKSGKTEL >LPERR06G03180.1 pep chromosome:Lperr_V1.4:6:2124789:2126231:1 gene:LPERR06G03180 transcript:LPERR06G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQIHLEIASRELIRASRPPPGFPAILAVSNLDLILGPFPIYLVCVYAPPPPGSGGLAAVESAVRAALPSYLSSFFPFAGRIVRHPVTNIPEVACNNAGAELVVADATGVPLSAVDFHRIDQSIGRMRVPFDDGIPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNSLGEMIRSGSLTREPLLDRSSLLNPRSPPRFSPSLESEFARFTPATMINPLMAAAIQRRLYRIDAADLELLRNEASAAGGGGAGRRATRFVALCAHLWKLLAVAVGESDPNCRMAWIIDGRKLLEPLIAGGAALDRYMGNVVTYTSREANVSELLRAPLHGVAAMAREAIVSAMKRERFQQLVDWMETKKAAAFNDGEKWTEAVNLGLGSPALVISGLLPFPIDGDLGFGKPKLVMPWLRHGRLGSASVTAVPSPAGDGSWFVAGTRLWPRLLEVMETSPDCLLKPATAASLGLAFAGGGGGGHGSRL >LPERR06G03190.1 pep chromosome:Lperr_V1.4:6:2129072:2130514:-1 gene:LPERR06G03190 transcript:LPERR06G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLRRRFEVSVTSRTLIRASDPPPEFPAVLPVSNLDLILGSFNVSLILLYPAAGFPFPAVAAATRAALPSFLSRFFPFAGRVVADAATGIPEIACNNAGAELVLADAGDVALADVDFADADTSFGAIQLPYEQGVALSLQLVRFKCGGFSVSWGTNHLLVDGHGLTSLPNAWAELVRGELSWEPRHERRSLLRPRSPPRYGAALDAEFTRYSPANLVNPLLAAALVRRSYVVSAADLARLRAAASTPSRRATRLEALSAHVWKLLAAATATTTTPDPNCRLAWLVDGRRRLDPTKFNTYLGNVITYASKEAAVETIAASPLADVAAMAGAAIGEVFREERYQELVDWMEIHKTEAYGDGGGGKWTETVGIGTGSPAMVVSAFVAFKVDGDFGFGKPAVVMPWVRPGRLGSAAMTVARSPSEDGSWVVMARLWPRLADVIDADTEAVFKPATAERLGLVRRCKRGGDDVAAVARHASRL >LPERR06G03200.1 pep chromosome:Lperr_V1.4:6:2131964:2137473:-1 gene:LPERR06G03200 transcript:LPERR06G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSRRRRRERESTAAAAALLVAGEMSLSHHLTPGGDPYFAYAPHPHHLDPQRQGVLTLFVAGLPDDVKPREIHNLFSRRPGFDHCLLEYTGRGNQAVAFVSFVTHQAALSAMSALNGTVFDPETGGSLHIELAKSNSRDGVYRVVDKRIKRKEGNADHENAGNDEDEWGEHDNGGNDGDGGSEEPSDTENDDFTEKNELPAEQSSGQPGLKQHKGQSPSDDQPDKSSSDIPPCSTLFLANLGHSCTEEDLKEVLSKQPGFHLLKMRRRGGMPVAFADFMDVESSTAAMDTLQGTVLASSDADDVFLVRKVKDEEELADKMKKF >LPERR06G03200.2 pep chromosome:Lperr_V1.4:6:2131964:2137473:-1 gene:LPERR06G03200 transcript:LPERR06G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSRRRRRERESTAAAAALLVAGEMSLSHHLTPGGDPYFAYAPHPHHLDPQRQGVLTLFVAGLPDDVKPREIHNLFSRRPGFDHCLLEYTGRGNQAVAFVSFVTHQAALSAMSALNGTVFDPETGGSLHIELAKSNSRDGVYRVVDKRIKRKEGNADHENAGNDEDEWGEHDNGGNDGDGGSEEPSDTENDDFTEKNELPAEQSSGQPGLKQHKGQSPSDDQPDKSSSDIPPCSTLFLANLGHSCTEEDLKEVLSKQPGFHLLKMRRRGGMPVAFADFMDVESSTAAMDTLQGTVRKVKDEEELADKMKKF >LPERR06G03200.3 pep chromosome:Lperr_V1.4:6:2131964:2136721:-1 gene:LPERR06G03200 transcript:LPERR06G03200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHLTPGGDPYFAYAPHPHHLDPQRQGVLTLFVAGLPDDVKPREIHNLFSRRPGFDHCLLEYTGRGNQAVAFVSFVTHQAALSAMSALNGTVFDPETGGSLHIELAKSNSRDGVYRVVDKRIKRKEGNADHENAGNDEDEWGEHDNGGNDGDGGSEEPSDTENDDFTEKNELPAEQSSGQPGLKQHKGQSPSDDQPDKSSSDIPPCSTLFLANLGHSCTEEDLKEVLSKQPGFHLLKMRRRGGMPVAFADFMDVESSTAAMDTLQGTVLASSDADDVFLVRKVKDEEELADKMKKF >LPERR06G03200.4 pep chromosome:Lperr_V1.4:6:2131964:2136721:-1 gene:LPERR06G03200 transcript:LPERR06G03200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHLTPGGDPYFAYAPHPHHLDPQRQGVLTLFVAGLPDDVKPREIHNLFSRRPGFDHCLLEYTGRGNQAVAFVSFVTHQAALSAMSALNGTVFDPETGGSLHIELAKSNSRDGVYRVVDKRIKRKEGNADHENAGNDEDEWGEHDNGGNDGDGGSEEPSDTENDDFTEKNELPAEQSSGQPGLKQHKGQSPSDDQPDKSSSDIPPCSTLFLANLGHSCTEEDLKEVLSKQPGFHLLKMRRRGGMPVAFADFMDVESSTAAMDTLQGTVRKVKDEEELADKMKKF >LPERR06G03210.1 pep chromosome:Lperr_V1.4:6:2137503:2140492:-1 gene:LPERR06G03210 transcript:LPERR06G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSTPRPSNSVRHRIRFPVDLPRSLRLSTPVSSSSPAACADLHTPRAAFCFRRILAGRSLSVEVSTHPPCVIMASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIEVLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVLQKDRVALLGR >LPERR06G03210.2 pep chromosome:Lperr_V1.4:6:2137503:2140492:-1 gene:LPERR06G03210 transcript:LPERR06G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSTPRPSNSVRHRIRFPVDLPRSLRLSTPVSSSSPAACADLHTPRAAFCFRRILAGRLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIEVLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVLQKDRVALLGR >LPERR06G03220.1 pep chromosome:Lperr_V1.4:6:2142247:2151800:1 gene:LPERR06G03220 transcript:LPERR06G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRCAAMLPSPSSLRSLLRLSRRLHSPNPRLPTPPHLRLLSSSSSSSSGAGWTSYDPFTDSLGPPTAIPSSASASASAADDDPEAAAAGEDAWGVFDPVTGRIVMREQPPYSPPPEANSDEDASKVRKSVREETARWSSVAAVRKPGGKAGKERVTYVCSNCGEGSSQWWGVCRYCEATGTITKYYPGAAGNGSPASDHAYRSWIPQKSKEMVPQSLQEVTKGVTRSDWRIPLSGTFGMEIARVLGGGIVPGSLILVGGDPGVGKSSLILQLASIVSETTGAGESSAVIYVSGEESIEQIGNRAQRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRSFAGSAGNMTQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGPHRNGEVVGIPRNRADVIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSYKLLKPLNLDLEILPCNNLKEVINTIFRPQG >LPERR06G03230.1 pep chromosome:Lperr_V1.4:6:2154887:2159136:-1 gene:LPERR06G03230 transcript:LPERR06G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSTEVPGSSRKSSAPPEPIAVTGTRVMGDVTARPEVKLVTGDCGYVLEDVPHVSDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKGGYRGFYASNTIDLTPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEETRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSAENGIGVVKLMGRYSGFIAHYATLASRDVDCCLIPESPFFLEGEGGLFKYVEKRLKDNGHMVIVVAEGAGQKLIAETMQTMGKDASGNAMLLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTSGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVDEARMEEERTAKQFDAPPSSPKVEDKVASNGNAVK >LPERR06G03240.1 pep chromosome:Lperr_V1.4:6:2170445:2173421:1 gene:LPERR06G03240 transcript:LPERR06G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAGRRGAAPARARRKAKEAAVGAMARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLSRGIDHLVIPTRDYMFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVRYKNMTPSTAFEHVRSKRARVLLTHSQWKVVQEFSKMNAETELPAVTSHSAAVSPAAAGNVVLVTKADLEGSDVTEANITEHAGLSSHKSTPLKPMTNMLSCLFPSLKVSGDSSLANKVS >LPERR06G03240.2 pep chromosome:Lperr_V1.4:6:2170445:2173421:1 gene:LPERR06G03240 transcript:LPERR06G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAGRRGAAPARARRKAKEAAVGAMARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLVRYKNMTPSTAFEHVRSKRARVLLTHSQWKVVQEFSKMNAETELPAVTSHSAAVSPAAAGNVVLVTKADLEGSDVTEANITEHAGLSSHKSTPLKPMTNMLSCLFPSLKVSGDSSLANKVS >LPERR06G03250.1 pep chromosome:Lperr_V1.4:6:2174197:2178026:1 gene:LPERR06G03250 transcript:LPERR06G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGNNLTAAAIVGHDGSVWAQSTNFPQYKPEEIAAIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGQALILGIYDEPMTPGQCNMIVERLGDYLIEQGL >LPERR06G03260.1 pep chromosome:Lperr_V1.4:6:2178822:2183420:1 gene:LPERR06G03260 transcript:LPERR06G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCTACDEEVHAANKLAGKHQRVPLLSDGVAPSAAAAAASAVPKCDICQEASGYFFCLEDRALLCRDCDVSIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPIADKHVNTTGASVDSPTKHLQRNPTVLLSGESSASLTSQNATNRDHSRQNSVTIARNGAVNWTMGNNTIRSIDPPPKYSTEESPALLLAGHTNTMAAYSNQISKDSDQVYNLPFAGGNGSDSLHDWPVDDFFSNSEFGFAEHGSTKGDNGKQGSAEGSPQCSLAEGLFAEGLLGQVPDNPWTVPENSQNNFTVSAGLKRRRRQF >LPERR06G03270.1 pep chromosome:Lperr_V1.4:6:2184047:2189108:1 gene:LPERR06G03270 transcript:LPERR06G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLAPSLRRTLLTCSSSSVPGRRGVQLSDPGLSPPLRAALPAQWRLCSSAAASPESPPPPPTPPSPPQGAPRTAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDTNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYLCTTAEDLVKEHKQFDAVISLEVIEHVANPLGFCESLSALTVPNGATVISTINRSMRAYATAVVAAEYILNWLPKGTHEWSKLVTPEELVLILERASISVQEMAGFVYNPLRGEWSLSDDLSVNYIAYGIKVETPSVESK >LPERR06G03280.1 pep chromosome:Lperr_V1.4:6:2190305:2196628:1 gene:LPERR06G03280 transcript:LPERR06G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAVSVSVSGSHRSTTSIHHAHLASLLNPSPSSPPPPLPLHRRHLPLSLPAARRLAASFPPLPLLLTLLAALRLLPSSSPPPRRPFDALITSYATTASSRRRRARPNLAAAALAFAASAGYAPSVPAYNAVLLALSDADPSASLASAGRFLSSMLLRDGVAPNVYTYNILVRALCARGRVEEALAVVVGRDMGGGGSSGCAPNAVTYNTLVAAFCRAGEVGEAERVVGLMREGGVRPNLVTFNSMVNGLCKAGRMERARKVFDEMAREGLAPDVVSYNTLLGGYCKAGCLHEALAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLERAVALVAQMRERGLRMNEVTFTALIDGFCKRGFLDDALLAVEEMRRCGIRPSVMLQLGVQPDEFTYTTLIDGHCKEGNIEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRKAEFKSMVGLLKGFCMKGLMNEADKVYQSMLDRNWKLDGLKIIKCWKYQLIHPKGASYINQCRNS >LPERR06G03290.1 pep chromosome:Lperr_V1.4:6:2195198:2195413:-1 gene:LPERR06G03290 transcript:LPERR06G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLKRLLVVMALAVLMFTATAAAHPAAPAKKHGDHTAAHHESKALHKKHGARKHGGHGGHGHNKPHHRM >LPERR06G03300.1 pep chromosome:Lperr_V1.4:6:2198940:2200949:-1 gene:LPERR06G03300 transcript:LPERR06G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLARRLAAFIPLPNPPQKDQLSGVAAAVLDAGVTAVAAHPAVLDAGGRLTRTVGDVFRRLRIDDGLEFVAGSGGTRASAVSDPDARIVTGGFETIGGGGVSGRFARPSQGSMNMSATYDSRTSEVESSVVARGDLWRAEASHSSAAAAASPAMFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLCRGIFQAHGKFPGEKKLSYSFKNRSGGSLTPMVQWPDKSFSLGIVQTLSWKRCGLIICPTFGGSRPGLSMELIHSVNENAGFVCGYSHTASPYAYASISIGRSKLNGSAASSGLVFRVDAPLHNFGRPWFSIQMNSGLEF >LPERR06G03310.1 pep chromosome:Lperr_V1.4:6:2210668:2217729:1 gene:LPERR06G03310 transcript:LPERR06G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLVQELAGVGSPDVPPRYVARGDDEPPVAAGVAPVPVIDLARLRRPPPDGEDEAARLRQAIDSWGLFLVANHGVEASLMDSMMDAARDFFRLPLEEKNKYTNLIDGEHFQFEGYGNDMVRSEEQILDWTDRLYLKVEPHEERNLALWPTNPESFRDNLHEFSLKYRELKDSLLPVMASLLGLDKDYFVNQFGENASTYARFNYYPMCPKPELVNGMKAHSDGTVLSILMVDNNVGGLQVLRDDIWYNVPTQPHTFLINLGDVTEIVSNGIFKSPVHRVVTNAEKERLSVVLFYIIDPEKEIAPAAELIDGKRPALYKKVKFKDYTAAFFETFSRGTRAIDTQQKWQVPALVQELSATGHEPPHRYVQPEQHRPDAMAAAPPASVPVIDLGRLPLPDDGGGSDEVGKLRRALDSWGLFQVCNHGIETSLMDGLMSASKEFFRQPHQVKQEFGNLIDGNQFRVEGYGNDKVRSKDQILDWSDRINLKVEPEDQRNLALWPNHPIFFRDALHEFTMKCRMVNCSVLRAMARILGLDDDEYFIDQFGDIATVHARFNYYPSCPRPDLVMGMKPHSDGTVITVLLVWDGADGLQVLRDGVWYSVPSSPHTLLINVGESMEVMSNGMFRRPVHRVVTNADKERISLAMFYALDPEEIIEPAAGSVDEKRPALYKGMKAEDFLVGLSQHFSLGTRFVDTLKINP >LPERR06G03320.1 pep chromosome:Lperr_V1.4:6:2221286:2227564:1 gene:LPERR06G03320 transcript:LPERR06G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGEAAAADGGGGEVGSPRSGGYFRQRSMYAADPDGVGSTPRKAFDHRGGAGGGGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQSKGVENAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIILVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNAYFDSPWHVMRSCLLMLIGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLATIMVGVSLFNWYKYEKFKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEFQDEDT >LPERR06G03320.2 pep chromosome:Lperr_V1.4:6:2221286:2227564:1 gene:LPERR06G03320 transcript:LPERR06G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGEAAAADGGGGEVGSPRSGGYFRQRSMYAADPDGVGSTPRKAFDHRGGAGGGGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQSKGVENAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIILVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNAYFDSPWHVMRSCLLMLIGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLATIMVGVSLFNWYKYEKFKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEIVMP >LPERR06G03320.3 pep chromosome:Lperr_V1.4:6:2221286:2227392:1 gene:LPERR06G03320 transcript:LPERR06G03320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGEAAAADGGGGEVGSPRSGGYFRQRSMYAADPDGVGSTPRKAFDHRGGAGGGGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQSKGVENAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIILVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNAYFDSPWHVMRSCLLMLIGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLATIMVGVSLFNWYKYEKFKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEIHIFLKSKNQVRFG >LPERR06G03320.4 pep chromosome:Lperr_V1.4:6:2221286:2228669:1 gene:LPERR06G03320 transcript:LPERR06G03320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGEAAAADGGGGEVGSPRSGGYFRQRSMYAADPDGVGSTPRKAFDHRGGAGGGGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQSKGVENAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIILVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNAYFDSPWHVMRSCLLMLIGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLATIMVGVSLFNWYKYEKFKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEIVMP >LPERR06G03330.1 pep chromosome:Lperr_V1.4:6:2226938:2228421:-1 gene:LPERR06G03330 transcript:LPERR06G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQRDLPPASAAGASTADRERLLAEEVFYLHSLWRRGPPSPAPAPAPIRNPIPTRGSGSAATRHTNNRRKRRKLERRAREQEEEEEQKRRQQQQSGMAWPLAPSPSASPTSWHDATASSSQTQQHHHPPRSPGSLAQQSALRAAEEFFSTNHEGSESEGSESEEEDSESEDGYTADEAAAGFFMGLFERDAALRGHYERRWVRGEFACMACVGRKWRKGKTRRYAGCVGLVQHARAATRCGRPRAHRALAAAVCRVLGWDVERLPSVVIDPRGTLGQALAAAAAGGGGAQEEDVDPGNKDGSLDNVVAMKANVNVGTSSSLVNDNNGES >LPERR06G03340.1 pep chromosome:Lperr_V1.4:6:2229666:2232511:-1 gene:LPERR06G03340 transcript:LPERR06G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVSAMENNFAPVHAGVGDDDDLGLFGAGADLPAMELPTCADFDGFQKTNKEMLKHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGSRFSVGSGSLYAYGILDEGYRYVMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPDGWTKLSGDDVGELHYKYYPVQATPVEQEMADAPAA >LPERR06G03350.1 pep chromosome:Lperr_V1.4:6:2233269:2236492:-1 gene:LPERR06G03350 transcript:LPERR06G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSRRVYFGFGGGFASRARMSSPAARVGGGGGVRDPSENPAVGRLRELVKCGEAADGWEKSWESGVTPWDLGKPTPIIEHLVKSGTLPKGRALVPGCGMGYDVVALASPERFVVGLDISSTAMEKAKQWSSSLPNADCFAFLADDIFKWKPSEQFDLIFDYTFFCALDPSLRSAWAETVGDLLKPDGELITLIYLISDQEAGPPFNNAVTDYQKVLEPLGFKAVLMEDNELAIKPRKGQEKLGRWKRFGQQSSL >LPERR06G03350.2 pep chromosome:Lperr_V1.4:6:2233269:2236492:-1 gene:LPERR06G03350 transcript:LPERR06G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSRRVYFGFGGGFASRARMSSPAARVGGGGGVRDPSENPAVGRLRELVKCGEAADGWEKSWESGVTPWDLGKPTPIIEHLVKSGTLPKGRALVPGCGMGYDVVALASPERFVVGLDISSTAMEKAKQISDQEAGPPFNNAVTDYQKVLEPLGFKAVLMEDNELAIKPRKGQEKLGRWKRFGQQSSL >LPERR06G03360.1 pep chromosome:Lperr_V1.4:6:2236966:2241055:1 gene:LPERR06G03360 transcript:LPERR06G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLCMKNCQDLTDASLAAIGRGCGRLAKFAIHGCDLVTSAGIRKLAAALRPTLKEVSVLHCRLLHTAVCLTALSPIRDRIESLEINCIWSTDEQPCSVANGTTECDAEDDELGVYESASKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRAIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCIGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLWEDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIAD >LPERR06G03360.2 pep chromosome:Lperr_V1.4:6:2236966:2239864:1 gene:LPERR06G03360 transcript:LPERR06G03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLCMKNCQDLTDASLAAIGRGCGRLAKFAIHGCDLVTSAGIRKLAAALRPTLKEVSVLHCRLLHTAVCLTALSPIRDRIESLEINCIWSTDEQPCSVANGTTECDAEDDELGVYESASKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRAIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCIGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLWEDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIAD >LPERR06G03360.3 pep chromosome:Lperr_V1.4:6:2236525:2238951:1 gene:LPERR06G03360 transcript:LPERR06G03360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLCMKNCQDLTDASLAAIGRGCGRLAKFAIHGCDLVTSAGIRKLAAALRPTLKEVSVLHCRLLHTAVCLTALSPIRDRIESLEINCIWSTDEQPCSVANGTTECDAEDDELGVYESASKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRAIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCIGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLWEDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIAD >LPERR06G03370.1 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIRKTDGLRKISFLAHSLGGLFARYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLGAISELEPINFITLATPHLGVRGKNQLPFLHGLSILEKLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDHMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03370.2 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIRKTDGLRKISFLAHSLGGLFARYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLEKLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDHMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03370.3 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLGAISELEPINFITLATPHLGVRGKNQLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDHMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03370.4 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIRKTDGLRKISFLAHSLGGLFARYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLGAISELEPINFITLATPHLGVRGKNQLPFLHGLSILEKLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDRILPFQLPHDFLYLICNIYGNQKILISFKPFSIVLDCFLDPMSDMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03370.5 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIRKTDGLRKISFLAHSLGGLFARYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLGAISELEPINFITLATPHLGVRGKNQLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDRILPFQLPHDFLYLICNIYGNQKILISFKPFSIVLDCFLDPMSDMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03370.6 pep chromosome:Lperr_V1.4:6:2254780:2258276:1 gene:LPERR06G03370 transcript:LPERR06G03370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHRRRFRSRLFYNRLAVRDIYLYPKFITRGSRVSYSSMDTSQSKKGPDHLLILVHGIMASSSDWTYGEAVLKRRLGDDFFIYASSSNIYTKTFDGIDVAGRRYAISILYSTETNGAGQSGVCSDITNEGSEKSGCTSGLGAISELEPINFITLATPHLGVRGKNQLPFLHGLSILEKLAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEEKKFISALAAFKNRILYANVSYDRILPFQLPHDFLYLICNIYGNQKILISFKPFSIVLDCFLDPMSDMVGWRTSSIRREEDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQNRPNGKNTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYLAHNNIHVKNEWLHSAGAGVIDHVADSIKQQESRKYFPAKL >LPERR06G03380.1 pep chromosome:Lperr_V1.4:6:2258966:2263751:-1 gene:LPERR06G03380 transcript:LPERR06G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFTEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPEYQ >LPERR06G03380.2 pep chromosome:Lperr_V1.4:6:2258968:2263871:-1 gene:LPERR06G03380 transcript:LPERR06G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAQPPDTEMAEAGGGGQQPAAPSAAAGGGAMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFTEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPEYQ >LPERR06G03390.1 pep chromosome:Lperr_V1.4:6:2271443:2275459:1 gene:LPERR06G03390 transcript:LPERR06G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGGRRSRPAVEERYTRPQGLYPHPDIDLKKLRRLILEAKLAPCFPGSDDPRPDLDECPICFLFYPSLNRSKCCAKGICTECFLQMRTPTSCRPTQCPYCKMVSYAVEYRGVKTKEEKGNEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTNEVTTAQVEHCDTGGASTTGSGSLGKSNLH >LPERR06G03400.1 pep chromosome:Lperr_V1.4:6:2275391:2279631:-1 gene:LPERR06G03400 transcript:LPERR06G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVVLLLLLLLLIHLAAAANAGGGSSGCIGAIFDDTSIAGKEEKLAMEMAIEDFVATASSSSPATARVELCTVSSNGGDPVRAASAALSLINDKGARALVGLHSWQDAAFVAEIGRRAMVPVLSFAAAAPSPATPFLLRVARANHARTMRAVAAVVASWKWRRVAVLYDDDDVIADLADALRAVGSEVERPIAVSSSSGGDAMRRSLADLVAGQCRVFVVHTSAKLAAAVFAEAAEMGMMDAGYVWIVTDAIADAVDSVNGDVISSMQGVIGVRNYNPVDTNSGKTKRLIARFRRRFRSQYPGAGDDDEEKTSGPHYPALLAYDTIVAVASAMEKINATTTTTTPRSPESGETAAAIKIAVSSKGNELMREIKNVNFHGVSGEFKFVKDSEFSPPERFQLINVAAPRYTELGFWSPEHGFCKNAAADIAGGGGCEATMRVLGPVSWPGKPWNVPRGWEPAKGNPFTVAVPEKAVFPDFVRVTRRRDDGGGGDGGEARFEGFSIEVFRAAVEHLPYHLDYKFVSFNVTYDSLMEHDHMKSYDILVGDTSISSGRYKFVEFSQPYTESGLVMVVPYKADLWNRSWIFLRPFSLSMWLVVIAVGLYNGIAIWLMERRYNSEYRNGGIWKHATTVFWLSFTTLLSPGERLRSSLSRVSMVIWLLVVIVLTTNYTASLSSLLTVQRLEEAVTAESLRASGSMVGCTNGSVVGKYLREVLLFPEHRIQRFSSDDDYRRAIVSGEVKAAFLRVSHAKLLLAKYCNELMITGPVYHVAGLGFVFPKGSPLLADISQAILEVFENGTIKRLETAMLSAYNCTAATVDAGDLYRLCPENYWGLFLMTLFASTASLTVYGVFFHHGNACGSGGEGGCYRKHGDGRKDSAMVDPGGGGAGAGHGDEALSSTSGSADHVVDTEIVVISMEMECELSACRHQQK >LPERR06G03410.1 pep chromosome:Lperr_V1.4:6:2283803:2284405:-1 gene:LPERR06G03410 transcript:LPERR06G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAFPASPATARRDGDSGGGGGGVVPLFLATVFLFFVTYQLFGVAAAAFAVVLAAAAAFAACNVRITHARGFPFLRVSLAPASGGGLGRGVGGVAAARGMDAAAIMALPAAFGYKRGGGGGGAHGEAAAEGIGWAQCSICIGIVRVGEEVRRLPSCGHLFHAGCVDEWLRAHATCPLCRASVCAAAEDDDAPPELPV >LPERR06G03420.1 pep chromosome:Lperr_V1.4:6:2288793:2292733:1 gene:LPERR06G03420 transcript:LPERR06G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKRKSKRGKSKHAKVATQIPSVPNSTNRNSNGPSSSDPEDNAALEEWAATRIQNAFRCYKARKALRCLKGVKRLHIIGQTNPVNKQTATTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEMGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKGQAKKESTSTNQSALKLQGSISLSNNINDRKTPKKKSSPSPPDQKKPVAPSPPDQKKAASPSPDQKKPAARVQKAKAAGLPKAKPKDMKGSQEKQQKQLEVPSLSA >LPERR06G03420.2 pep chromosome:Lperr_V1.4:6:2288793:2292906:1 gene:LPERR06G03420 transcript:LPERR06G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKRKSKRGKSKHAKVATQIPSVPNSTNRNSNGPSSSDPEDNAALEEWAATRIQNAFRCYKARKALRCLKGVKRLHIIGQTNPVNKQTATTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEMGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKGQAKKESTSTNQSALKLQGSISLSNNINDRKTPKKKSSPSPPDQKKPVAPSPPDQKKAASPSPDQKKPAARVQKAKAAGLPKAKPKDMKGSQEKQQKQLEVPSLSA >LPERR06G03430.1 pep chromosome:Lperr_V1.4:6:2301458:2304528:1 gene:LPERR06G03430 transcript:LPERR06G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPVLDALHSAIRLCQWNSQYLNPEESPPNESEILNRLPKDKGFSSAHRSHDSPLATSWSLRTPSPSMTSATGHTTSQVGDFGADNQAGISRTLHQISAIHNRKGRIIGLTCRVGRAVPGSANLLQDLVKDGGPYCCSSVHRVWENNRHKRVMIVDTSNEIGGDGNIPRPGIGNARRLQVPNQDMQHKVLIEAVKNHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAKA >LPERR06G03430.2 pep chromosome:Lperr_V1.4:6:2302510:2306372:1 gene:LPERR06G03430 transcript:LPERR06G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLDMLVGGVQSVTLGDEEASQRGVQKTVLERKGPSTFTCAAEIVSKTELRVRDSLEDTVDALLAGKMPHVETRKFDSKGLVQEVYVQKEQLHIGPSGGAAQLDTDSLSNARRTLDSAFNLDSAEGHIGRSTEAEPGLNLYAYGFVGEIMEPMAGHVIPLPANMGNLLPDSRGQEFGDF >LPERR06G03430.3 pep chromosome:Lperr_V1.4:6:2301458:2304567:1 gene:LPERR06G03430 transcript:LPERR06G03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPVLDALHSAIRLCQWNSQYLNPEESPPNESEILNRLPKDKGFSSAHRSHDSPLATSWSLRTPSPSMTSATGHTTSQVGDFGADNQAGISRTLHQISAIHNRKGRIIGLTCRVGRAVPGSANLLQDLVKDGGPYCCSSVHRVWENNRHKRVMIVDTSNEIGGDGNIPRPGIGNARRLQVPNQDMQHKVLIEAVKNHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAKA >LPERR06G03440.1 pep chromosome:Lperr_V1.4:6:2306588:2307150:1 gene:LPERR06G03440 transcript:LPERR06G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHRLSSVEYATALIRMKVLFRQYKYSVVGMQLTYNVNHNKASHPIWIPKMDARFVFQLVMHKLKINHIFSVLLQFFCVSFPSPSAVSGLPRKRFITDNASMISMVVIQEYLLLWEKLCTVKLQPEELNIIILRGDSSGCYSSKSP >LPERR06G03450.1 pep chromosome:Lperr_V1.4:6:2308902:2310600:-1 gene:LPERR06G03450 transcript:LPERR06G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGDKEEVNPAVKDEKEEEEEEEEEEEEEEEEKEEDSVFPNPMPSYCAEEEEWERQIMKQIRFPVTKSSATKIYPRWMGSLNVDGPSQSLDPNLISMDNFMPYLPLTRKKKRKSNKSCRRAIQVIYGMFAFRDMRNSQERSFIFDYPRDRPFTVKPGSDKVQPLIQPPRGIYAVGPVLLVDGYSIYCPSFYEELSRFIWHIDTGHCGAVDQKMAAVPNAVLATLEIEVIHLGGTNYDSLAIVVQFGMIVSQYLVFDGKVSVGMRLQPITVAVNKEGQLTLVLYEYSSSHIGHENCAPDGLVNDYECDGCFYDEDGDDAWNLYGCVTQSERYGRVWDGNLV >LPERR06G03460.1 pep chromosome:Lperr_V1.4:6:2310973:2312465:-1 gene:LPERR06G03460 transcript:LPERR06G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSPKLLVLEIVHLSPPPLEASPMAFPLSGLDTDRNVFDVTFRTVRLFPPHPPSIDPLAVLPRAFSAALGLFLPLAGTLAAVDGDGGRGFVRSGADDDSVPLVLAAFDGMALADVDTDDPCSALLELLAPADDGGSPVLALQATRFACGGVALGMRVAHALCDGAGATKFLSAAARFARGETTPPVAVPPVVWERRERLGPRNPPRMAKPFDRILAAARHGPYSDQTCDEQPQVVRACFHVSDARVETLREKLSGEVGVKLTTFEILAAFIWRARVKAKSTSPDEVVKMVYSMNISKLLSPPLPDGYWGNVCVPVYVTLAGGELITQPLSHTATMIKNRKREIDDEYVRSYIDLHELHRGDAGGGGVTAGRGVSAFTDWRRLGHSEVDFGWGPPDAVLPLSWRLLGSVEPCFFLPYGAGDGRRRRHGFKVFVAVTAEALPRFREEMQEILLQPQCYSSRQKL >LPERR06G03470.1 pep chromosome:Lperr_V1.4:6:2312793:2317352:1 gene:LPERR06G03470 transcript:LPERR06G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRPPLARFPSGDFILSQKSISFDDLCHATSQVGDFGADNRAGISRTLHRISAIRNRKGEIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLDMLVGGVQSVTLGDEEASRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEDTVDALLAGKMPNVETRKFGSKGLVQEVYVQKEQLHIGPSGGAAQLDTDSLSNARRTLDSAFNLDSAEGHIGRSTEAEPGLNLYAYGISESIALQAIKRLELEDIVTLTYNISEADAVIALQSKLKKNTQIQAVVESEDIPVYFTKTNSLVQITRALRALADDHMDELIDFEDKEEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPNIIVSQVDLVENFKLKWEAIGQEPNESLRILPQFVGMEEGSMSVKQEAANELTDSDSSNDMDHKQNGVSRLPFLPE >LPERR06G03480.1 pep chromosome:Lperr_V1.4:6:2318604:2318897:1 gene:LPERR06G03480 transcript:LPERR06G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTLRSSLVCVVVLLLLMSTVNSRGEPDHDDHIQLGITGRRMLVVAGRNTAGEPTAATTTVVIGGMPLSDWPAAAAMPYSESKRSSPGGPDPQHH >LPERR06G03490.1 pep chromosome:Lperr_V1.4:6:2326385:2327381:-1 gene:LPERR06G03490 transcript:LPERR06G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGGWGAELANHYSRSADVVLRGYSGYNTRWAAMVVRRAVVLGAAAAPAPAAVTVCFGANDASLPDRASRHQHVPLHEYKSNLRAICDTLTATWPRVVVILITPPPVHDAARVRYQYGDGCSGLPERTNEAAGEYARACVDVAAERGLRAIDIWSKMQRFPGWESSFLRDGLHLTPCGNRLVFEEVVFALKDASLGLDALPADLPLFCEMDPNNPVKSFDE >LPERR06G03500.1 pep chromosome:Lperr_V1.4:6:2334150:2350286:1 gene:LPERR06G03500 transcript:LPERR06G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVHVALMVIVSSAAMFLAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLPPSKKGGSDFRRGANMAIIGATTMESNFFQSLGIGDKIWNNGPLSTQIQWFQQLLPSVCGSSCKSYLSKSLFVLGEFGGNDYNAQLFGGYSPEQAAGQSGTIVDGIGRGVEQLISLGAMYVVVPGVLPVGCFPIYLTLYGTSNGGDYDQYGCLTRFNNLSVRHNSLLQAKVNSLQSKYPGVRIMYADFYSHVYDMVKSPANYGFSTNLRACCGAGGGKYNYQNGARCGMAGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPISSGRKKKTKRKKKTSCDGELNKIMTVVDFLCAALAAAVVFATLFIRCLCSCDTGGAGAGIAGSRYEAMFVFGDSLSDTGNMCVNKSTASTLLLTFAQPPYGMTYFGHPTCRCSDGRLAVDFLAQDLGLPLLPPSKRAAAGDFRRGANMAIVGATALDFEFLKSIGLGYPIWNNGAMNVQLQWFRDLLPKICTTPQNCRGYLSRSLFVFGSLGGNDYNAMLFFGFTVDQARNYTPKIVDTIATGKLIAMGARDIVVPGLMPMGCFPLYLTMLPSINKSDYDEHGCLKPLNELSIHHNTLLQSRLAGLQAKHRSPAAASSSSSSSPAPATVRIMYADYYTNVAQMLHTPARFGFRKGMTSCCGAGGGEYNYEFEARCGMKGATACRDPASHVCWDGVHMTEAANRIVAGGWLRGPYCHPPILPH >LPERR06G03500.2 pep chromosome:Lperr_V1.4:6:2338503:2350286:1 gene:LPERR06G03500 transcript:LPERR06G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKLFSSSTSNRRASLRRLLSNPAFSSACLLFGLAGFLAAALSFTWAPAAPPRSRCPDSSRPLSVSVAWDRLPGDAAFGTGATDLPASHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLQRLEEATGLAFRFVIGKSNDKSKMAALEREVEEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPNSYLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENLHALCSPDCTESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSEVPESDDR >LPERR06G03500.3 pep chromosome:Lperr_V1.4:6:2340663:2341335:1 gene:LPERR06G03500 transcript:LPERR06G03500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRMSKVMATAKKAAPKLETAFDCPFCNHSGGVECRIDMKHMIAEATCFKCLETYSTVAHALTEPVDVYSDWIDACHLANAANDAGDDNDRRHKTKPMLP >LPERR06G03510.1 pep chromosome:Lperr_V1.4:6:2348117:2350121:-1 gene:LPERR06G03510 transcript:LPERR06G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLGVVLVLVWLGTAAAAQKYNAVFNFGDSITDTGNLCTNGKPSQITFTQPPYGQTYFGSPTCRCSDGRVLVDFLCSKFGLPFLQPSKSTSADFKKGANMAITGATAMDASFFRTLGLSDKIWNNGPISFQIQWFQQITSSVCGQNCKSYLANSLHVFGEFGGNDYNAMLFGGYSADQASTYTPQIVDTISNGVEKLIAMGAVDIVVPGVLPIGCFPIYLTIYGTSSSSDYDSLGCLKKFNDLSTNHNNQLKSKISALQSKYKSARIMYADFYAGVYDMVRNPGNYGFSSVFEACCGSGGGKYNYNNNARCGMSGASACSNPANHLSWDGIHLTEAAYKQLTDGWLNGPYCSPAILHS >LPERR06G03520.1 pep chromosome:Lperr_V1.4:6:2374859:2394482:1 gene:LPERR06G03520 transcript:LPERR06G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGRNDPLVIGRVVGDVLNPFFQTTSLRASYGARSVSNGCELKPSMVTHQPRVDIGGNDMRTFYTLVLVDPDAPSPRQEVMCYESPRPTMGIHRLVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAALYFNCQREAGSGGRRISIDRSSNNLQYCKSATMAGSGSGRDRDPLVVGRVVGDVLDPFVRSTNLRVSYGSRSVSNGCELKPSMVTHQPRVDVMVDPDAPSPSDPNLRELVTDIPGTTGATFGQEVMCYESPRPTMGIHRLVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAALYFNCQREAGSGGRRVYN >LPERR06G03520.2 pep chromosome:Lperr_V1.4:6:2374859:2394482:1 gene:LPERR06G03520 transcript:LPERR06G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGRNDPLVIGRVVGDVLNPFFQTTSLRASYGARSVSNGCELKPSMVTHQPRVDIGGNDMRTFYTLVLVDPDAPSPRQEVMCYESPRPTMGIHRLVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAALYFNCQREAGSGGRRVYN >LPERR06G03530.1 pep chromosome:Lperr_V1.4:6:2397486:2397827:1 gene:LPERR06G03530 transcript:LPERR06G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASHRLRRVFSPAISRTRTLPPPPFLSRFAFFSTPASPDQAAPAPETEKKKGEGTGDEEKGGDDGARKEEEGEEGGGGEYVNKATGEIGGPRGPEPTRYGDWERGGRCSDF >LPERR06G03540.1 pep chromosome:Lperr_V1.4:6:2401445:2402661:1 gene:LPERR06G03540 transcript:LPERR06G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPTRHSTSPAMITVAIFAFFTVLAGLAAAETADLCGLAQTAFSDCTAYVTGDEPALSSLCCRGLGDIRDLTPTISQRRAVCACVLDEMLAAGNGKFDSGRAGGLAAACNVPIGFIPTSAEFNCYGVN >LPERR06G03550.1 pep chromosome:Lperr_V1.4:6:2403677:2411656:1 gene:LPERR06G03550 transcript:LPERR06G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVEVEKATENAGPTYRNVLAKDAGLLQPPPGIDSCWDVFRNSVEKYPDNPMLGCRRIVDGKAGEYAWMTYKEVYDIVMKLAASISKSGINKGECCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKTSELLKTCHATSKYLKTIVSFGGVTNDQKEEAKNHGISIFSWEEFLIMGGGHHFNLPEKKKSDICTIMYTSGTTGDPKGVLISNESILVNIAGVDSVPGSIGEPFHHDDIYMSYLPLAHIFDRIFEEVFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTAKVSSGGLLKKTLFNVAYKMKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGRLRFIVSGGAPLAVAVEEYLRVVTCASVVQGYGLTETGAASFVAIPNDISMAGTVGPPVQHMDVRLESVPEMGYDALSSIPRGEICVKGSVLFSGYFKREDLTQEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVIQEIDSIWVYGNSFESFLVAVINPNQQALEHWAEHNGIAGNFSELCENPRAREHILSELTKIAKEKKLKGFEFIKAIHLDPLPFDMERDLITPTYKKKRPQMLKHYQGTIDALYKTAK >LPERR06G03560.1 pep chromosome:Lperr_V1.4:6:2412521:2417591:1 gene:LPERR06G03560 transcript:LPERR06G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAIGGGGGDIHMLMSILADGEEQARQLGEAAAAATADESSYYRGMARQLQCTFASAMAVARTIDSAAATTTTTGDRSDSPRSADESSARTARDGGVVAAQQERQDMSKRRKGLPRWTEKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCPATKQVQRSDADLAVFDVTYHGAHTCHQKQRHVTTTAAGKNSPPPPPPEADPSAELLVNNFKHGLKVETNNGLAPPPAANFDDQQFCFPSVQPFHDDAGGGGFTSPAFVSPAGSSAAGGSSYFSVDHCYGGEPLGQFVMSRGDSSELHEVVSAATSTEAAAVVDPSAAAVTVATAGGGFVVDYPLSYLQHAGGELIDDPHLPFPPLFGPASMYGQYRDA >LPERR06G03570.1 pep chromosome:Lperr_V1.4:6:2419535:2428852:1 gene:LPERR06G03570 transcript:LPERR06G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRLAAPLLLVSVALLLTVVASDDDDGGGDYAHCEGVVKGWAGSVADEEEDNDGGDTLSLRDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTSRLLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARRIAKVRSSHRINAYDVEDMVMPLHQYIDDPVAHEIIHNGATFQITGLTNNSYFNGAHEVRHCVRKHPDLGRFVLEVAKSRLDRMLYVGLTEDHEESARLFAHMVGAQVLFQSGAANLDIKEDQPIGNDSHSSTLDPEDEETNEHLNSTRGLQNNRALSADTTKDDHPKGNMTVGKLMEAYEGCISKLRKSQSNRRKVSLKMVEEANFSKEARRQVPEAILEQIISLNNLDMELYEHAKKIFTQEHLMLNAQHSMPDTKGWIETVCSSWSCSPWKVTLLGLGVTISIVLIALALTTRRRTFKLKV >LPERR06G03580.1 pep chromosome:Lperr_V1.4:6:2426824:2434684:-1 gene:LPERR06G03580 transcript:LPERR06G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIDQIPFFFAQLRLLRVLDMQGSLCLTNKNLVCICRFFQLKYLSLRNTSVSILPRLIGNLDHLETLDIRETPIKKLPSSAANLTCLKHLFAGYKTQLTRTASVKFLRPASGLEMSHGMVKNMASLHSLVHVEIKEHPSVFQEIGLLQNLRKLGVLFYGVEVYWKPFLELLSNLSGSLRSLSIDIFEAQGNSSCSSLEMLSSLVSPPIFITSFSLTGKLDSLPPWIASLRNVSRLTLRNSQLHADAIQVLGGLQNLLCLKLYHKSYDDDHLVFPQGKFPRVKLLIIDNLMNLEKLHFKEGSVPNLERLTLSFLREPKDGISGLNNFLKLREAEFFGNIISSVVNKVVSCVKEHQNNPRVVGDKWNIVTGVHGEIQYIKDELECMNAFLHNLTISEIHDDQVRIWMKQVREIAYDSEDCIDEFTHNLGESSEMGFFRGIISILRKIACRHRIAVQLQELKARAQDVGERRSRYGVELSNATHQEGRPRLMRHTSLHLDPQLHALFAEEAQLVGIDDPRDELVGWLMEEDPRLRVLAIVGFGGLGKTTLARMVCRSPVVKSADFQCCPLFIVSQIFNIRTLFQHMVRELIQEPHKAMAIAGTGSKYGLISEDYLEGMERWEVTVLTKNLRRYFQDKRFIVILDDIWTVSAWESIKCAIPDNFKGSRIIVTTRNADVANTCCSHPQDRIYNIQRLSDTTSRELFFKKIFGFVDNKSPNDELEEVSNSILKKCGGLPLAIVNIGSLLASKKNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFISQRHGQSMEQLAESYFDEFVTRSMVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNLKYLSLRNTNTPKLPQLLGNLKHLETLDIRATLIKKLPSSAGNLSCLKHLLVGHKVQLTRTASVKYLRPESGLEVTTGVVKNMKALQSLVHIVVKDNSPVLQEIGLLQHLRKLNVLFRGAEQNWKAFLESLSKLPGSLRSLSIHILDEKEHSSSLDNLTFVESPPLFVTSFSLMGKLQFLPPWISSLRNVSRLTLRSTGLHADAIGVLGDLPNLLCLKLYHKSYADDCIIFRRGKFAKLKLLIIDNLERIEKVQFEAGSVSNLERLTLSFLREPKHGISGLENLTKLKEIEFFGDIILSVVTKVASCVKTHPNHPRVIGDKWNIVTEYA >LPERR06G03580.2 pep chromosome:Lperr_V1.4:6:2426824:2434684:-1 gene:LPERR06G03580 transcript:LPERR06G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIDQIPFFFAQLRLLRVLDMQGSLCLTNKNLVCICRFFQLKYLSLRNTSVSILPRLIGNLDHLETLDIRETPIKKLPSSAANLTCLKHLFAGYKTQLTRTASVKFLRPASGLEMSHGMVKNMASLHSLVHVEIKEHPSVFQEIGLLQNLRKLGVLFYGVEVYWKPFLELLSNLSGSLRSLSIDIFEAQGNSSCSSLEMLSSLVSPPIFITSFSLTGKLDSLPPWIASLRNVSRLTLRNSQLHADAIQVLGGLQNLLCLKLYHKSYDDDHLVFPQGKFPRVKLLIIDNLMNLEKLHFKEGSVPNLERLTLSFLREPKDGISGLNNFLKLREAEFFGNIISSVVNKVVSCVKEHQNNPRVVGDKWNIVTVYKGAVRSLLCKLGRLLTEETWLVQGVHGEIQYIKDELECMNAFLHNLTISEIHDDQVRIWMKQVREIAYDSEDCIDEFTHNLGESSEMGFFRGIISILRKIACRHRIAVQLQELKARAQDVGERRSRYGVELSNATHQEGRPRLMRHTSLHLDPQLHALFAEEAQLVGIDDPRDELVGWLMEEDPRLRVLAIVGFGGLGKTTLARMVCRSPVVKSADFQCCPLFIVSQIFNIRTLFQHMVRELIQEPHKAMAIAGTGSKYGLISEDYLEGMERWEVTVLTKNLRRYFQDKRFIVILDDIWTVSAWESIKCAIPDNFKGSRIIVTTRNADVANTCCSHPQDRIYNIQRLSDTTSRELFFKKIFGFVDNKSPNDELEEVSNSILKKCGGLPLAIVNIGSLLASKKNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFISQRHGQSMEQLAESYFDEFVTRSMVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNLKYLSLRNTNTPKLPQLLGNLKHLETLDIRATLIKKLPSSAGNLSCLKHLLVGHKVQLTRTASVKYLRPESGLEVTTGVVKNMKALQSLVHIVVKDNSPVLQEIGLLQHLRKLNVLFRGAEQNWKAFLESLSKLPGSLRSLSIHILDEKEHSSSLDNLTFVESPPLFVTSFSLMGKLQFLPPWISSLRNVSRLTLRSTGLHADAIGVLGDLPNLLCLKLYHKSYADDCIIFRRGKFAKLKLLIIDNLERIEKVQFEAGSVSNLERLTLSFLREPKHGISGLENLTKLKEIEFFGDIILSVVTKVASCVKTHPNHPRVIGDKWNIVTEYA >LPERR06G03580.3 pep chromosome:Lperr_V1.4:6:2426824:2434684:-1 gene:LPERR06G03580 transcript:LPERR06G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIDQIPFFFAQLRLLRVLDMQGSLCLTNKNLVCICRFFQLKYLSLRNTSVSILPRLIGNLDHLETLDIRETPIKKLPSSAANLTCLKHLFAGYKTQLTRTASVKFLRPASGLEMSHGMVKNMASLHSLVHVEIKEHPSVFQEIGLLQNLRKLGVLFYGVEVYWKPFLELLSNLSGSLRSLSIDIFEAQGNSSCSSLEMLSSLVSPPIFITSFSLTGKLDSLPPWIASLRNVSRLTLRNSQLHADAIQVLGGLQNLLCLKLYHKSYDDDHLVFPQGKFPRVKLLIIDNLMNLEKLHFKEGSVPNLERLTLSFLREPKDGISGLNNFLKLREAEFFGNIISSVVNKVVSCVKEHQNNPRVVGDKWNIVTVYKGAVRSLLCKLGRLLTEETWLVQGVHGEIQYIKDELECMNAFLHNLTISEIHDDQVRIWMKQVREIAYDSEDCIDEFTHNLGESSEMGFFRGIISILRKIACRHRIAVQLQELKARAQDVGERRSRYGVELSNATHQEGRPRLMRHTSLHLDPQLHALFAEEAQLVGIDDPRDELVGWLMEEDPRLRVLAIVGFGGLGKTTLARMVCRSPVVKSADFQCCPLFIVSQIFNIRTLFQHMVRELIQEPHKAMAIAGTGSKYGLISEDYLEGMERWEVTVLTKNLRRYFQDKRFIVILDDIWTVSAWESIKCAIPDNFKGSRIIVTTRNADVANTCCSHPQDRIYNIQRLSDTTSRELFFKKIFGFVDNKSPNDELEEVSNSILKKCGGLPLAIVNIGSLLASKKNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFISQRHGQSMEQLAESYFDEFVTRSMVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNVSTLASHDKIRRLSIHSSHRSSQQTSANVSHARSFTMSASVEEVPFFFPQLRLLRVLDLQSCSCLSNETLRCMCRFFQLKYLSLRNTNTPKLPQLLGNLKHLETLDIRATLIKKLPSSAGNLSCLKHLLVGHKVQLTRTASVKYLRPESGLEVTTGVVKNMKALQSLVHIVVKDNSPVLQEIGLLQHLRKLNVLFRGAEQNWKAFLESLSKLPGSLRSLSIHILDEKEHSSSLDNLTFVESPPLFVTSFSLMGKLQFLPPWISSLRNVSRLTLRSTGLHADAIGVLGDLPNLLCLKLYHKSYADDCIIFRRGKFAKLKLLIIDNLERIEKVQFEAGSVSNLERLTLSFLREPKHGISGLENLTKLKEIEFFGDIILSVVTKVASCVKTHPNHPRVIGDKWNIVTEYA >LPERR06G03590.1 pep chromosome:Lperr_V1.4:6:2434783:2446290:-1 gene:LPERR06G03590 transcript:LPERR06G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGWRRSRRPGARGGISGRDEGGEALDGGGRLCGTVRSLLCKLGSLLSQENWFVQGVRGDIQYIKDELESMNAFLRNLAILEDYDNQVRIWMKQVREIAYDAEDCIDDFTHHLGESPGIGFLRQLIYILGKLCCRRRIAMQLQELKARAQEASDRRERYGVMLPKTTLQGAGPRPTKHASRHLDPQLHALFTEEAQLVGLDEPRDELVHWVMEDVPCQRVLAIVGFGGLGKTTLARMVCESPMVKGADFQCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTTDRNLDEMGRWEVAVLAEKLRQYLKDKRYIVIFDDIWTISAWESIKCALPDNNMGSRVILTTRNEDVANTCCSHPQDRIYKMQLLSDTASRELFFKRIFGSADISSNDELEEVSNSILKKCGGLPLAIVSIGSLLASKTNRTKEEWQKICDNLGSELDTNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEIAESYFDEFVARSIVQPVRIDWSGKVRTCRVHDMMLEVIISKSLEDNFASLLCDNGHPLVSHDKIRRLSIHNSHNSLQRTKGSVSHVRSFTMSASIEEVPMFFAQMRLLRVLDLQGSSCVNNNTLNYICKFSQLKYLTLRKTNVCKLPRLIGNLKHLETLDIRATLIRKLPASASNLSCLKHLLVGHKVQLTRTSSVKCFRPHSGLEMATGVVKNMIALQSLAHVVVKEQPSVLREICQLQNLQKLNVLFRGMEENWKAFLESLGKLVGSLRSLSIHILDEKEYSSSLDKLDGIESPPLFIKNFSLKGKLQRLPAWISLLRNVSRITLRDTGPHAEAIGVLGDLPNLLCLKLYRKAYADDCIIFAHGKLILQLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFVREPKDGISGLENLLNLKEIEFFGDIILSMATKVASCMKDHANHPRVIGDNWNIGLMEGAIVSLTEGAVRGLLQKLGGILAQESSPAQRVHSDVQYIKDELESMNAFLRNVSTSEVAGHDDQVRVWMKQVREIAYDAEDCIDVFVHQSHLASDSEKGSIVVAFLRRLARRLLVGERSSVVVQLQQLKARARDAGERRTRYGVSLAQATEALPRRMSGRLDPRLHALFTEEAQLVAIDGPRDELVGWVMEEEPQLRVLAIVGFGGLGKTTLVRMVYGSPRVKGADFQCSPPLVVVSQTFSIRALFQHMLRELIQLPPFTDDDGDRVAADNELLQGMESWETAALASKLREYLQDKRYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVANTCCCRPQDRIYKIKQLSDAASRELFFMRIFGMADGALDDELKQISDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVRDYLGSELETNPTLEGTKQVLTLSYNDLPYHLKACFLYLSMFPENHVIKRGQLIRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCRVHDIMLEVIVSKSLEENFASFFCDNGND >LPERR06G03590.2 pep chromosome:Lperr_V1.4:6:2434783:2444447:-1 gene:LPERR06G03590 transcript:LPERR06G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVTEGTVRSLLCKLGSLLSQENWFVQGVRGDIQYIKDELESMNAFLRNLAILEDYDNQVRIWMKQVREIAYDAEDCIDDFTHHLGESPGIGFLRQLIYILGKLCCRRRIAMQLQELKARAQEASDRRERYGVMLPKTTLQGAGPRPTKHASRHLDPQLHALFTEEAQLVGLDEPRDELVHWVMEDVPCQRVLAIVGFGGLGKTTLARMVCESPMVKGADFQCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTTDRNLDEMGRWEVAVLAEKLRQYLKDKRYIVIFDDIWTISAWESIKCALPDNNMGSRVILTTRNEDVANTCCSHPQDRIYKMQLLSDTASRELFFKRIFGSADISSNDELEEVSNSILKKCGGLPLAIVSIGSLLASKTNRTKEEWQKICDNLGSELDTNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEIAESYFDEFVARSIVQPVRIDWSGKVRTCRVHDMMLEVIISKSLEDNFASLLCDNGHPLVSHDKIRRLSIHNSHNSLQRTKGSVSHVRSFTMSASIEEVPMFFAQMRLLRVLDLQGSSCVNNNTLNYICKFSQLKYLTLRKTNVCKLPRLIGNLKHLETLDIRATLIRKLPASASNLSCLKHLLVGHKVQLTRTSSVKCFRPHSGLEMATGVVKNMIALQSLAHVVVKEQPSVLREICQLQNLQKLNVLFRGMEENWKAFLESLGKLVGSLRSLSIHILDEKEYSSSLDKLDGIESPPLFIKNFSLKGKLQRLPAWISLLRNVSRITLRDTGPHAEAIGVLGDLPNLLCLKLYRKAYADDCIIFAHGKLILQLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFVREPKDGISGLENLLNLKEIEFFGDIILSMATKVASCMKDHANHPRVIGDNWNIGLMEGAIVSLTEGAVRGLLQKLGGILAQESSPAQRVHSDVQYIKDELESMNAFLRNVSTSEVAGHDDQVRVWMKQVREIAYDAEDCIDVFVHQSHLASDSEKGSIVVAFLRRLARRLLVGERSSVVVQLQQLKARARDAGERRTRYGVSLAQATEALPRRMSGRLDPRLHALFTEEAQLVAIDGPRDELVGWVMEEEPQLRVLAIVGFGGLGKTTLVRMVYGSPRVKGADFQCSPPLVVVSQTFSIRALFQHMLRELIQLPPFTDDDGDRVAADNELLQGMESWETAALASKLREYLQDKRYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVANTCCCRPQDRIYKIKQLSDAASRELFFMRIFGMADGALDDELKQISDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVRDYLGSELETNPTLEGTKQVLTLSYNDLPYHLKACFLYLSMFPENHVIKRGQLIRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCRVHDIMLEVIVSKSLEENFASFFCDNGND >LPERR06G03600.1 pep chromosome:Lperr_V1.4:6:2447586:2451566:1 gene:LPERR06G03600 transcript:LPERR06G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSSSSLLLIFLAFLIHTCEGGDHDHAVAAAAAVTANVTSIEAAVRDRAFQLFRRTSEIVNVDVNVSLAAVTAGAIEATATRVRSNALWADGINATAVAVTVPPRVVTAPFARRVAIVFLRFAANASPPLFSPPPGYDLAAPVVAILAYDAASGSNSPVSLRALGAPVRIEFNSSTAPTARCVTFAGGGGEAVATHDVAAGTSRCEVSGTGHYGLAVKKPAPAPVNTPTSTPVREKWWVWKVAVSAGGIAAASFVMISVAGVVRWRRRRRREEMERRAMGGEELGRMAVRGSRMPSAKMVRTRPEVEEESSPPPLPWRKS >LPERR06G03610.1 pep chromosome:Lperr_V1.4:6:2452616:2470984:-1 gene:LPERR06G03610 transcript:LPERR06G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTGSWTMSLCGSPVCSKEEDVVSYIFKEVLDSSTCINHLVVIGIVGLLTVALTLELLFKISKSRASMRQLVAFNSPLQLAAVVFIGCLGLLNLGLGLWMVGNSFNQDASINRPHWWLMILAQGFNLILSSFTFSIRPQFLGATFVRFWSVLLTICSAFICCFSVVYMVGEKEITFKSCLDVLLLPGALILLLYAIRHSHDEEGYEANGSALYKPLNTETDNDTAESESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEEKDMPLLGSTDRAQNQYLMFLEKMNSKKQLQPHAKPSVFWTIVSCHKSGIVISAFFALLKVLTLSSGPLLLKAFINVSVGKGTFKYEGIVLALTMFFCKFCESLSQRQWYFRTRTLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWETHFKKVIEGLREIEYKWLSAFQLRKAYNSFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELSGQCRKKYRAGTDYPIGLNSCSFSWDENPSKRTLRNINLAIKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDEERYKETLVRCSLEKDLAMLPHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIICSAPYQDLLAYCQEFQDLVNAHKDTIGVSDLNNMPLHREKGISTKEADDIHGNRYRESMKPSQADQLIKKEEREIGDTGLKPYMLYLRQNKGFLYASLAVISHIVFICGQISQNSWMAANVQNSSVSTLKLIVVYIAIGFGTMFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIIDLDVPFAFMFSISASLNAYSNLGVLAVVTWQVLFVSVPMIILAIKLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPYFYNFAATEWLIQRLEIMSAAVLSFCAFVMAILPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLANQIISVERVNQYMDIASEAAEIIEENRPVPDWPQVGKVELIDLKIKYRKDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPSAGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRSEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVLEYDRPMKLMETEGSLFRELVKEYWSYASSGNIEVKNMGGRGTDLPVCSTVIGHMGSLIGSWTMNLCGSQICFKNNTVSCALRRILNPSTCINHLTVIVITQLLTVTFALHLLIKISKSRASVRQLVKLNSPLQLAPVVFNGCLGLLNLGLGLWMLGNRINQETNFYASHLWLVILDQGFNLVLTSFSFSIRPRYLGAKFIRFWSVLLSIFAAFICCSSIVYMVGEKIITMKSCLDVLFLPGALLLLLYAIRQSHDELDNEVTGEDLYQPLNTQTNHGTADSERHETPFAKAGFFSVMLFWWLNPLIKMGYKKPLEEKDIPLLGSTDTAQNQYLMFLGKMNNKKQQEPHAKPSVFWTIVSCHKSDIIVSGFFALIKVAVLSLCPLLLKAFINVSLGKGAFKYEGFVLAATLFLCKCTESLAQRQWYFRTRRIGLQVRSFLSAAIYKKQQKLSNSAKLKHSSGEIINYVTVDAYRIGEFPYWFHQTWTTIVQLSIALSIMYSVVGPAMVSSLVVVIMTVLCNAPLSKLQHKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWETHFRKVIEGLREVEYKWLSAFQLRKSYNSVLFWSSPVLVSAAAFLTCYLLNVPLDASSVFTFLSTVRLVQEPIRQIPDVIGVVIQAKVAFTRVAKFLDAPELSGKDRKAFNVGIQYPIEINSCSFSWDENPSKLNLKKINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGSIQICGKIAYVSQNAWIQSGTVQDNILFGSSMDEQRYQEILERCSLVKDLEMLPYGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSAGEIIRSAHYQYLLAYCQEFQDLVNAHRDTIGISDHNNVGHQREKRISTKDTNDIHGNAYRESAKPSPQDQLIKTEEREIGDAGLKPYILYLNQKKGLLYAFLAIGSHLVFLSGQISQNSWLAANVENPSVNTLKLISVYVTIGLCTILFLLTRSLYVVTFGMETSRALFSQLLNSLFRAPMGFFDSTPLGRVLSRVSSDLSIVDIDIPFTFMFSIISSINAYSNLGVLAVITWQVLFITLPMMVLAIRLQRYYLASAKELMRLNGTTKSALANHLGESLSGAITIRAFEEEDRFFAKNMELVDKNAVSYFYNFSATEWLVQRLETMSAAIISFSAFVMVILPPGTFSPGFIGMALSYGLSLNMGFVFSIQSQCDLSNQIICVERVNQYMNIESEAAEVIEDNRPGPDWPQVGRVELRDLRIRYRKDAPLVLHGVNCIFEGGDKIGIVGRTGSGKSTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRLHLGIIPQDPTLFQGTVRYNLDPLGQFSDQHIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGRAVEYDKPTRLMETEGSLFRDLVKEYWSYTSNGNI >LPERR06G03620.1 pep chromosome:Lperr_V1.4:6:2493813:2498308:1 gene:LPERR06G03620 transcript:LPERR06G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACVPLPKKYLEASRKFTKAAKKNPSDPTNFSYRAQCRIERGKFPEALEDAERCIELDPAFVMGYVCKGNALSLLGAYEDAVSTLIDGLKHGPGNPEILDGLKRYSAHLKMAKSNSNDDVRAENLRKHERDIEHLRNELQKSKIEASEERSSQRDYEYVVEQLTLQNDLLDQELQTANQRTGNLERQLEEHNALFQQLQPHFTCPISQDVMDEPVIAADGHTYEAEMIKDWFRRGRTTSPMTNEQLEHRELIPNHALRSAIEKWRQLQNMAP >LPERR06G03630.1 pep chromosome:Lperr_V1.4:6:2499221:2502865:1 gene:LPERR06G03630 transcript:LPERR06G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKQKEAAEKEKKAGDKAYDIEDYEAAAKHYGRGALIDGSDLSFFIKLAKAKFGMKMYKECAFYCDELITKAMEMSGNNKEELIKEAQLWKASALANLATCAKDCEPAITLLEQYCFSKNTNIKLEQTMNIREMFVEQELIDEEAAKPYQDEGRKLFKEGMYEEAEIMFTEATEKNPRDPKNFWGRAQCRFDQEKFSEVVEDADRCIELNPSFGKGYVYKALAQLRMEKYEDALLTIADGLDHDPGNPEIPGVIRGIAANFQIAKSNSDARADNSRKVEQLISQNHLLNKELQSALNLKCQLEEYNARYEELRPYFSCAISQDVMEYPVIAADGHTYEAEMIKEWFKRGNTKSPMTNLPLKHKKLIPNYKLRSAIQKWRQLQNKAPAYFSPLRF >LPERR06G03640.1 pep chromosome:Lperr_V1.4:6:2503385:2503795:-1 gene:LPERR06G03640 transcript:LPERR06G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR06G03650.1 pep chromosome:Lperr_V1.4:6:2504236:2504698:1 gene:LPERR06G03650 transcript:LPERR06G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR06G03660.1 pep chromosome:Lperr_V1.4:6:2507144:2510175:-1 gene:LPERR06G03660 transcript:LPERR06G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYRGRPGSGSYGGGGSSSSSSSLYPRVGQPSHGVANVPQQPLPRVAPYHHQRPASGVAAVQPHVPSSMGIQVVIKPEYWITPPPQLPPHFVEVPRSTFNFDFDYERKILAEAEKENPNWSKFVVESQPPPPQPQRGPKLTTPTASVATPGDPVVDKYIAMGLGREAVSFAVKEFVKSYNALHEMGFTTSNVPELLAIHDNDPDKVIQHLIGGS >LPERR06G03670.1 pep chromosome:Lperr_V1.4:6:2513036:2513914:1 gene:LPERR06G03670 transcript:LPERR06G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAMEDMFQFLMAMSSRFAADDTATAAAVEPRVVRVFCRDHDATDSSCSDEDTPRRDRYRDRLFLREIHVSPPIADMSSSSMANTGRRRVVGGPMRRSRREAAATERKFRGVRKRPWGKYGAEIRDPGRSERVWLGTFDTAEEAARVYDVAALRLRGPSATTNFPVTTPPMTNHPPVRAVTAASDDTSSSDESSSSQLVGSPVSVLRPITAAANDGICGAGDEFYRFAGDDVFCFGEPAPAPLVFDEQYPVMMSSSSTLLDDLGDLPPWADVVDGFFSDVIGEPHPAAL >LPERR06G03680.1 pep chromosome:Lperr_V1.4:6:2514855:2524553:-1 gene:LPERR06G03680 transcript:LPERR06G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGMGIGAASLAPPPPTMAGTPPRVRLGRVRRRCVAELSREGGKPVAPAPLVQQKAVPSFLVPMAPPAPTPSPSSAPAPTPPPLPDSGVGEIEPDLEGIVEDSVDRTVFVASDQDSEIMDVKEQAQAKVTQSIVFVTGEAEPYAKSGGLGDVCGSLPIALAAHGHRVMVVMPRYMNGTLNKNYANAFYTGKHIKIPCFGGEHEVIFFHEYRDNVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDSRSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTVEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYHYSVDDLSGKAKCKAELQKELSLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMREDIQFVMLGSGDPGFEEWMRSTESDYRDKFRGWVGFSVSVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVETFNPFGEKGEQGTGWAFSPLTIEKMLWALKIAVSTYKEHKSSWEGLMKRGMSRDFTWDHAASQYEQIFEWAFMDQPYVINNPPPQTTSNKNERSIEMTPSALVGKPAYGRMRAEHRAELVPKSVLLTNNEGDCDVDRGNSFWVKAALVYEAVTKHHIDDSNKPLARDLLLWIATQCYARIHPDDPILPPKADDEEGSDESKQMIDAIFLVVGFLSRLHKAMNKERASKATAAAARDAVDDTFKARHMQGIVADVIKLENQIPLSHLFDVAAHVERVVRDAPNSPELKAKLAAIATAGVEIDKYELGFDQASFGGVIDEFCWYYSPFFNNHVPVRTKVEQVAGVVAAAGDGDVAVAATATVSKEEGTLLDRLHASVVRMDRAGKGSGGGGGGKTSRIRTARELRRAGVRFAAVEEGRATVVAFVSAGGAASSATLRLPALAHDFKLATVARNLLAREHGEQTKPVTRYFQMMNELVMDAADARVLRRAGAVRAAAAKDEEIFDLIKRIDGLATYPSVFMAMDIEIEKVEEFYVKRMSNFFVRYRPAVIAASSVVAASVVAIVATRKRRG >LPERR06G03690.1 pep chromosome:Lperr_V1.4:6:2526424:2528174:1 gene:LPERR06G03690 transcript:LPERR06G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPDRQHVWLQSSDNEGMYLTAADGGIGVYLQPDRASLHAAWLVHLADSDSGEDGHNLMLHSAANGRYLAATTKGPWRWKNNRPFEPY >LPERR06G03700.1 pep chromosome:Lperr_V1.4:6:2529780:2529983:-1 gene:LPERR06G03700 transcript:LPERR06G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKRLVASMKSVCEMLLEFVGYSPDEILQVVGHLREVASAVVQCSFDQTTRRENTGGATRIGAHR >LPERR06G03710.1 pep chromosome:Lperr_V1.4:6:2530388:2532682:-1 gene:LPERR06G03710 transcript:LPERR06G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAMDLPPKGGFSYDYCRRNAMLADKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESQYKEGLTREEGIKLVADAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYASSKGYSFTKGQTEVLYTKITQLKPKVEVTEGDAMEE >LPERR06G03720.1 pep chromosome:Lperr_V1.4:6:2548448:2548732:-1 gene:LPERR06G03720 transcript:LPERR06G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQIEEVGIKLRMVSDLLTDTEKLVAQNKTYIRVLLQDIADDRCPLTADELDGEIRGLREDREAVIRALQQVEELLGAVQAILVPTHDSASN >LPERR06G03730.1 pep chromosome:Lperr_V1.4:6:2550132:2554878:1 gene:LPERR06G03730 transcript:LPERR06G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKELKSIQQLFKQHRNRPPHPAFEFINPRFAHAGAMLHRAEIRGSDFSVGSKRRFHMHIRCSAKCLYAPNRNRLNEHHLFFHADDDGSGVSLRPHRASLNAAWTVHLIGSGSDPHDDAAGGERLHHLLLHSAAYGRYLAATDTPAASVLGGHHQVAQRDFDRLDDESVLWHASRLGSGDAVLLRHATGRYLHDSDGTTVTIASSDAKKTRWIVETMTIGSRDCMPTFPNPSQAQQELFIRNIRFIRAIPHGVFSDNWNTFQFSGRSVNGLKNELARRAAVDLVMKYSMCIRAGCHGRLTPLVIDLLPRSTDNLDVILLMTEETKSCSQDKEAGKEAAKESLSEHLVTDPQLALSNYQRAQNEVVCRERKNRINRAQYEDKRRNIRWNDLTSEQKKRTVKELKEDSDELSKQENHIREWKEKEADAKETYDRSLLRHQQE >LPERR06G03740.1 pep chromosome:Lperr_V1.4:6:2556453:2560238:1 gene:LPERR06G03740 transcript:LPERR06G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHADPDADGGRRVYLDPRRATPRAAWVVHVLRHFDGAMLMLRSAVNGRYLGATATRWAAGIAARFDGLGGGDRVTLLDLERLPMFTAGWFPVKEPMGSEPGDVALGHGRERYFLRAIKRKGVIRVTVGQNTSPWVVEPIPPRDPIPPNGDFVAIGYFVRTIRCVRIERQNPDCSIPPVAWVCFHFIGRSLFRSEEGCGASAGFRSGLRRLTPLITDLPSNNDTMEIIVVTAGTNVAGELRYPDVDAV >LPERR06G03750.1 pep chromosome:Lperr_V1.4:6:2561946:2570159:1 gene:LPERR06G03750 transcript:LPERR06G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAVAPPRVQALADAGVPLLPPQYVQPPDLRPLPPTDPSSVSVPVLPVVDLSAAGAAGVGDAVARACAEWGAFHVVGHGVRRGLLDAMRGAGRAFFGSPMEEKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRNPNHWPDFVPGYRVILRAYVKGLMECCCIIASILDTVVEYSNSMKDLAQKLLRIISGTLNVPPTYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPPLPDGILVILADQTEIISNGRYKSAVHRAIVNADRARLSVATFYDPPRSQKICTAPQLVCKEYPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >LPERR06G03750.2 pep chromosome:Lperr_V1.4:6:2561946:2564523:1 gene:LPERR06G03750 transcript:LPERR06G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAVAPPRVQALADAGVPLLPPQYVQPPDLRPLPPTDPSSVSVPVLPVVDLSAAGAAGVGDAVARACAEWGAFHVVGHGVRRGLLDAMRGAGRAFFGSPMEEKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRNPNHWPDFVPGYRVILRAYVKGLMECCCIIASILDTVVEYSNSMKDLAQKLLRIISGTLNVPPTYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPPLPDGILVILADQTEIISNGRYKSAVHRAIVNADRARLSVATFYDPPRSQKICTAPQLVCKEYPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >LPERR06G03760.1 pep chromosome:Lperr_V1.4:6:2564429:2580137:-1 gene:LPERR06G03760 transcript:LPERR06G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAGGCSSHVTRCIHLGKNPVDQSSSFSTPNAIKSSVNGWKKQPLGNVGPVSEIGDEVFIDDVNGEVPIDFPKGVYIRNGPNPLNTSQTAADSVFGSTSYMYYEGHGMLHAVYFNKSSGGEWRISYKNKYVDSDTFELERKKNKIAFLPSVDGQPYATLLRFGKPVKDSANTSIFEHAGRAFAVTENHLPYEIDISNLSTLGPYNVNGAWDQPFTSHPKKICGSGELVTMGTSTEKPYFVLGVISSDGERLLHKADLKFEEGKLIHDIGVTTQFIQNDMGGKSHIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDGNEEDKPSQITDNTEASKQVVVRGCRILGSIIPSARHNVEKSKCYARAFLPLDKNSKHCDRSIDGILFSRPYEWRLNLQSGITKEGYLTSEKVAMDFPVINENFVGIKNKYGYAQPKVSQHQENKQLKSVEYHVLQEKNYCSGVQFVAKENGIDEDDGWIITYVHDELTNVSQVYIIDAKRFSEEPVAKITLPQRVPYDLLDRFINSAYKFSEQRYLNEGNFGPVSENGDEVFIDVLSGEIPTNFPEGVYIRNGHGMLHAVYFNKSSLGEWRISYKNKYVDSETFELDSEKNKIGFLPSAKGQPYATLVASVLNMLRFGKPVKDSGNTSIFEHAGRTFVVSENHDLTTLMELGTDLSLVTQRLIQYDMSGKSRIGVMPRFGDAESIIWFDVENHCKAHKQVVVRGCRTLDSVISSSPHDDKSKYYERAFLPPDKNSEGFDPTVDGTLFSRPYEWRVNLKNGTTKEGYLTDEKVAMDFPVINEDFIGVKNKYGYAQVVDSIATSKIEPQENKQFKSVEYHILQEKNFCSGVQFVAKENGIDEDDGWIITYVHDELTNVSQVYIIDAKRFSEEPSDLSNEVGAVVTGDS >LPERR06G03770.1 pep chromosome:Lperr_V1.4:6:2581905:2585816:-1 gene:LPERR06G03770 transcript:LPERR06G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKLEGLLGDLQQFTRPKVELEQYATGPHIASRMLYTAENSFDDITGKVVADFGCGCGTLAVASSLLDAEHVVGIDIDPQSLELAQENAADLELDIDLIQCDIKNLNLRGLLVDTVVMNPPFGTSRKGADMEFISMGLKVASRAVYSLHKTSTREHIKKAALRNYNAISAEVLCELRYNLPKTYKFHKQKEVDIAVDFWRFVPRARDER >LPERR06G03780.1 pep chromosome:Lperr_V1.4:6:2587233:2589618:1 gene:LPERR06G03780 transcript:LPERR06G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFQPHSAGCDDMPFDSYLQQNVHQELHLVDHPFEGVSHGHEYYSPSAGESIHLPFATYYDLGHEYYYPQGGDKEAVIVDRSLPIMHKASPHQPLFTPKSEVSHLIGGSVVGSYKAFEMNARLIRRKRASGKSLKKANVVKGQWTMEEDRKLVKLVEQFGLRKWSHIAQMLPGRVGKQCRERWHNHLRPNIKKDTWSEDEDKVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKASVRLAPPEPTVSSSPAPSTQNVNSDKRPDSNPINQMVTQGMLNMDENNYIQANSCEELLVSSYDDLCLDMCDHLFETKEVTPYQVYNIDDDVDMNYIFNDIDYADKIGHEIDMEMAWEDDVLEDNETGSSTLEIPAGLVHINTVHVKEEMDLVEMVTRTQSCGK >LPERR06G03790.1 pep chromosome:Lperr_V1.4:6:2590186:2595676:-1 gene:LPERR06G03790 transcript:LPERR06G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTTSCMYKTLERYRNCNYNPCEASAALETELSNYQDYLKLKTRVEFLQTTQRNLLGEDLVPLSLNELDQLENQIEKSLMNIRSSKNQQLFDQLFELRRKEQQLQDVNKDLKRKIQESSGENMPHISCQDVGPSGHASEANQEFLHTAICDPSLHIGYRAYLDHLNQ >LPERR06G03800.1 pep chromosome:Lperr_V1.4:6:2602111:2606596:-1 gene:LPERR06G03800 transcript:LPERR06G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAEDEARREKEAGNAAYHKLFLETAIHHYTRGALLDPRDISFLTNRSAAYLLMAKVPNYFSSSSGSGIIHHPLLDLVNWGWIQYRECVRDCDEAVEKGRELRADNKLVAKALARKAAALLKLAARAADYEPAIRALQQSLAEHYCEETLGKLREAEDARKGIEEQERLDQEAADHHRDKGNDFFKQKKYKEAVMHYTEAMKKNPNDPRVYSNRAQCHIYLGALPEGLDDADKCIELDPTFLKGYLRKAKVQFLMRNYEIALATYLEGLKCDPNNLEILDGLRRCAACIKRTNGSDVSTEDLRDVLGDLHLNDDLRNKLQKSMEEAAVFKMEASDERLKRIESERLARTLEDLYLSQIQQRKETDESFSRVQQEFEQLKIQHDEVTLELQKVNEQNENLLGQLSECRDNFEWLLSEHDCLLHERDNAVREVEELRQKRGQMLSVLVTSMHCEFSSSEVESATENFSNSLKIGEGGFGCVYRGILRNMKVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCSDKRHTLTWQVRVRIIAEICSALIFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMEKGDLNAVIDTSVREWPHLHIEQLAYLALRCTELSRRCRPDLSGEIWAIVEAIRDAAILSSPSSSRSAQDQNSTPSYFICPISQDIMDDPHIAADGFTYEADAIRAWLCAGHDTSPMTNLRLEHEELIPNRALRSAIQEWLQQHNMAL >LPERR06G03810.1 pep chromosome:Lperr_V1.4:6:2608852:2614440:1 gene:LPERR06G03810 transcript:LPERR06G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRAMALALASLALAAMWSPPRLAGGHVTATSAAASLGCRSKSKCVSSHSTTTTTAAASGGSKMESTTAADGLRRSFLDVLLSRRRNLQVPLAVENGRPVKDPMYQGTPPTGRSEAMESCPRKEVENFKEKLVEENFYLITEQLGEQGRLPVLLLKLNDTAPKRKPVIVILHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERASSNTTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFLDTRYSVVVPIIGIQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVQKVWDKIAPGLDSQFDAPFSVPMIAPRPMLLLNGAEDPRCPVSGLKEPVSRAAKAYEEVGSADKFKFIAEPGIGHQMTINMVKEASDWFDRFL >LPERR06G03820.1 pep chromosome:Lperr_V1.4:6:2618046:2621301:1 gene:LPERR06G03820 transcript:LPERR06G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPARSPKRANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGKVAAGGGGDRKAVNDKGWPECNVIEEEGPYEDLDGGDSGLSRRCQIILGFLSFVLLFTVFCLIIWGAARPYEPDVVVKSLTMDDFYAGEGTDHSGVPTKMVTLNCSLHISVYNPAQMFGIHVTTGPIRLLYSEISIGVGQVRRYYQPRKSHRTVTAVIHGNKVPLYGAGGGLMLSNNGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >LPERR06G03830.1 pep chromosome:Lperr_V1.4:6:2621557:2626542:1 gene:LPERR06G03830 transcript:LPERR06G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRLLAAGAMLLLFAVAAVAAARLDLDDDGDDSEVLDELLAVDDEEERGELDGAGKAAGGAAAEAVRRAQSMVLVLDNDNARRAVEENAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKRTGAPVIRLQSKDSAEEFLKKEQTFAVGLFKNFEGAEYEEFVKAAMSENEVQFVETNDMNVAKILFPGIASEEQFLGLVKTEPEKFEKFNGAFEEKAILQFVELNKFPLITVFTELNSGKVYGSPIKMQVFTFAEAYDFEDLESMIEEIAREFKTKIMFIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGSKYLMEAEINAKNLQKFCLSLLDGTLPPYFRSEPVPEEKGLIEKVVGRTFDSSVLESPHNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLDHANLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKLNLKDMAKFIKEKLQIPDVKTVAPADNVKDEL >LPERR06G03840.1 pep chromosome:Lperr_V1.4:6:2627058:2635656:1 gene:LPERR06G03840 transcript:LPERR06G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKRLAYAAVIPFLFLALPILPSDSPSSSGGGSGGGGETLDPPSAKYVVRFVEYRLADEHREYLEAGLAGAAEPPPAASWRWVERRNPAAAFPTDFAVLEIRDAHRAAVVDAVRALGRVRDVHADGSYSRGVLSADRPPRRGKLFTAMSFEGEEEGGGDREVGCSGSDSNSSSTAWRRKLLMQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDHRKSILNPAAMKQALVEGASRLSGPNIYEQGAGKIDLWQSYEFLKNYQPRASIFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSDDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLSIYSPAAHGESSPRSSSCVVYLKIKVVPTPIRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVVHKGLGVAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPTGGFLHGFQLQDNSIIAQDNSRATDTQNTQEKSKLSSVLGMMEAGKGRIAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNGIKDPVLFSKSAQLKFPVFGSIHQLSRRPDVNFSTYSTVVGKELICHQDSRFEVWGTKGYGVQPTGTIRKLPEYQKSEASSTPNLTIISSDSKQDEAGLQTNLSTLIASKFDKRMDYFGFLGHEEIDIGMLVASQWMVLCCILAAECNKSADDERKDQQLLDCQLFGSCTESSESGGLNGLGYL >LPERR06G03850.1 pep chromosome:Lperr_V1.4:6:2640139:2644927:-1 gene:LPERR06G03850 transcript:LPERR06G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVIAIRSAFPTTTAAVAAVRPSFLVAAGATSSLRRRRIRSPGWPVTASMSSSSSAAAAAEHKAGAWYAVPDLSLRDHRFAVPLDHSSPSAPTITVFAREVVAAGKEDLPLPYLLFLQGGPGFESPRPTEASGWIKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHEVVKYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLRQFEMWLGFDQNPIYALLHESIYCQGSSSKWSAHNIGSECESLFDPIKAIKDGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLADKEDWPPLYDVSVLNNNKVPVAAAVYYEDMYVNFNIAKETASQISGIRLWITNEYMHSGIRDGGSHVYDHLMGLLNGKKPLF >LPERR06G03860.1 pep chromosome:Lperr_V1.4:6:2645455:2650539:-1 gene:LPERR06G03860 transcript:LPERR06G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLASAATGVMMNSIIAKLTAFLGDEYKHARGDLVFLQSELSAMNAVLRTLADADQLDELSRDWRDRKRDLAYDVEDCIDLSVHRLHGSTGESSLSLLRWPARMAKKTRAFRLVDRARQIQQLKSRVLEVSERHNRYTLPGLVSTNLDASSLATKIDVRLCTLWKETVHLVGIDGPSDDIIRQLMEERDDGQQDSSVDRDVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKDLLLNISTQIGKSTNTSDDVVNLIDNLRVYLKEKRYIVIVDDIWNLESWVLIGQALVKTSPGCVIIATTRVEEVAISSSSSHDKCPPEFAQASEEILKRCDGIPLAIISISSFLADRAEQSLYQWNEVKKIISSPLPGNEHIETMQSVLAFSYYNLPHDIRSCLLYLSAFPEDCEIEHDSLISRWIAEGFINATSGESLYKVGHRYFNVLINRSLIIPWDEHNGEVLTCRVHDVILNFIVSKSAEENFLTLLDPSSLVPLHRSSCKVRRLSLQGSCCQDKFVSSMNSIKPHVRSLSCSVDSTGLHPLSKFKVVRVLDLEGCQSLKNTDLAKIGKLVHLRYLSIRGTKVSVLPANIGLVQHLETLDIRGSQVKYLPKSIVLLRQLVQLFVNRDVKFPAKGVSNMQALEQLKGLSPFDQPVSFFKELGELTKLRVLDVHWTPHPFGDSDEAQAEYERSYQKIFISALCTLGRRNNLLSLHLVTEGAHYFSFDSWFPVLHNLQILCIPCGSPRISTIPGWISLAAKLVQLELPGPPNAWRLDKMTTLESLALPYSHADGSWLTVNNYAFRRLKFALISNVLFMPDSMPNLKNLLIEIDLEEVGENVSVFQHLPSTLCRVHAYIVGYGSLISNLQDKALFVLEKFHLHRNRKYKEMEIV >LPERR06G03870.1 pep chromosome:Lperr_V1.4:6:2657354:2658859:-1 gene:LPERR06G03870 transcript:LPERR06G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCNTMMSTIHLPWKIIKLTPVLLAKDICLVAPLLLFLLMLRKRKFVFESDEEEDVAATGGVESSISAPSPSPPPLKLRTRFKVSSRKPCYDDLIGEPSEASRERLTYNKVEDEAGSKDSTGLTGSQSGNNVQETPAAAAAAAEDTPAHETTKEDAPAKDVDEAKEDAPDHAYPSPPNSLHPNISESSAGTRASTSNVEPATRDIDSTAGIVKIVEGEVVDLEDYEDFEEIPRPLAIGTTNDSEASPLEIKWTNAFNREECIILNSPRELEEREMLAHRLDQASVHMERIYKRSLAKDRALRKLAPVIAETESLRKERDGLLISSRAREIELLAELNKLRDENFELRGSLGTSSTKVSQLQEATRRAEETIKAITKDYTNMENEFEGNLFFFF >LPERR06G03880.1 pep chromosome:Lperr_V1.4:6:2660712:2660960:1 gene:LPERR06G03880 transcript:LPERR06G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERRRAYRIWRWQWIVGQWMWSSPADLVLPPPPLACNLRGRGSPPAAQLLLIRACLDAARPRPAPLLPRPASPPLPLSAS >LPERR06G03890.1 pep chromosome:Lperr_V1.4:6:2662672:2672572:-1 gene:LPERR06G03890 transcript:LPERR06G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALAPKPPCSHQQQQKGTAATTKEQQQQKAVAMNAMRLAAIGERLTAHFRGGATVLAVEDLTHLVYAFARGIDFALSSNDVPHVASEIPSLVKKVYLIGKDQFLQSSIMVLMISCKNACLENWFQSTDRTDILRMASELTGNFCTPFSHSENDNTAIQIISTIMPRYYPHLKFERLVTSLEAKVGYDVLMVDFFIHKSMPREEKINLIVVQKEGLDASSCIASPPHVSFLVNGKGVDKRTNVSMETGPLFPTDITRMLKYGANIIQAIGYFNANYVIAVAFLNKLESFDAPNLNDYAQPAAADPPDSDILEGPSRVSLKCPISFRRIKTPIKGRLCKHYQCFDYNNYMEMNLRKPTWRCPCCNTPSNFTDLRVDQKMAKILQETTEDTIDVLVFADGAWKAVSTHDERSDRHSNDVIHQGGDTMDTDVTPDDVVDLIDEDDGDLLMSSASAPEDVKPLLNCQDLSVADYILDLPMNTVSQAEDLHTGTNNEHGNVASTSGQNSSLPSTGGLGSSSFGTLESILPLNILHPVITDAVSPSLDTSNSTVPRQHVAQGTRSDIVRLQPQIDPLLVRPPIPRNVRRDPVGVQALPAVPSQRQQPNIYNCPPPFPHTSAVTPAYQAHQVTHTDTAITAMNTGVGSLPRAPDAASLLQRQSTQQEMRNTQNYHQGRVIGLTAPNFMSIRSHPRGPGQAIGASAHGASPAQQAPHVDRVLVNNLMNQLGQPAVAQASTAPLVLPTQSGSTSTIGSQIRGQFFPPQRSQAMRTPLPRPTFSQAPSRMQSSFPPTTAPTSSTPPAFPIETSDGLPELPVDESWRPSGRMRGSLTGAAYSVALGRYANNAGQQTGQARPAGPDERR >LPERR06G03900.1 pep chromosome:Lperr_V1.4:6:2677543:2680191:-1 gene:LPERR06G03900 transcript:LPERR06G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALDDLIRRLLDARGGRTARPAQLSDPEIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTECFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEMEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINIV >LPERR06G03910.1 pep chromosome:Lperr_V1.4:6:2682917:2687203:1 gene:LPERR06G03910 transcript:LPERR06G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEHGRHALLLLQQQQRRRREQQQQQEMEEEEEARRQMFGAMVGGGLAAFPSSAQGMVDCGGEGGFCDSEAGGSSEPEQQPAEAAAARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGSNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPSQNQPPSLILPSVPSTAIPEPPFHLESSQSHLRPFQLPGSSEMVFHGEMMPKHHLASHQESLPAKSNHRTRYQKVQDMLSLGRGCLALKSGAKEG >LPERR06G03910.2 pep chromosome:Lperr_V1.4:6:2682917:2687203:1 gene:LPERR06G03910 transcript:LPERR06G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEHGRHALLLLQQQQRRRREQQQQQEMEEEEEARRQMFGAMVGGGLAAFPSSAQGMVDCGGEGGFCDSEAGGSSEPEQQPAEAAAARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGSNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPSQNQPPSLILPSVPSTAIPEPPFHLESSQSHLRPFQLPGSSEMVFHGEMMPKHHLASHQESLPVKNENEMLRTWLLGTEIRCERRMT >LPERR06G03930.1 pep chromosome:Lperr_V1.4:6:2692902:2693144:1 gene:LPERR06G03930 transcript:LPERR06G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLPLLRSSARLARVAVVLVLHTIVPSWSRRAKLPSFRKVSLEPLPFTGVLRLAVHATVFSDDLENQMEKVKALVATL >LPERR06G03940.1 pep chromosome:Lperr_V1.4:6:2700283:2714678:1 gene:LPERR06G03940 transcript:LPERR06G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTLVGPAFVVAVLGLPAKRPSSLKKKKKEEKKRQSGHQMRTAAAGRDTATAPPPRSQGGGADASQIAIVSGGGSSANASRSIRVELDGVGGSNQAAVALMMLERKDSRSIGGRVMASRSAPAA >LPERR06G03940.2 pep chromosome:Lperr_V1.4:6:2697047:2710686:1 gene:LPERR06G03940 transcript:LPERR06G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIRDWCGGWGLASARAAGSDDEGQVAIVLKLCTASTTGRCVGAPYNCSVVINKGQASILSEGCKQVLTVFLFSMGCNTLVGPAFVVAVLGLPAKRPSSLKKKKKEEKKRQSGHQMRTAAAGRDTATAPPPRSQGGGADASQIAIVSGGGSSANASRSIRVELDGVGGSNQAAVALVCTDDAGAEGFEIDRRAGDGVAERTGSLGGGECVRLFACDELVIYFLNYSRQFC >LPERR06G03940.3 pep chromosome:Lperr_V1.4:6:2697047:2710686:1 gene:LPERR06G03940 transcript:LPERR06G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSMGCNTLVGPAFVVAVLGLPAKRPSSLKKKKKEEKKRQSGHQMRTAAAGRDTATAPPPRSQGGGADASQIAIVSGGGSSANASRSIRVELDGVGGSNQAAVALVCTDDAGAEGFEIDRRAGDGVAERTGSLGGGECVRLFACDELVIYFLNYSRQFC >LPERR06G03940.4 pep chromosome:Lperr_V1.4:6:2707104:2710686:1 gene:LPERR06G03940 transcript:LPERR06G03940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTLVGPAFVVAVLGLPAKRPSSLKKKKKEEKKRQSGHQMRTAAAGRDTATAPPPRSQGGGADASQIAIVSGGGSSANASRSIRVELDGVGGSNQAAVALVCTDDAGAEGFEIDRRAGDGVAERTGSLGGGECVRLFACDELVIYFLNYSRQFC >LPERR06G03940.5 pep chromosome:Lperr_V1.4:6:2709962:2710686:1 gene:LPERR06G03940 transcript:LPERR06G03940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTLVGPAFVVAVLGLPAKRPSSLKKKKKEEKKRQSGHQMRTAAAGRDTATAPPPRSQGGGADASQIAIVSGGGSSANASRSIRVELDGVGGSNQAAVALVCTDDAGAEGFEIDRRAGDGVAERTGSLGGGECVRLFACDELVIYFLNYSRQFC >LPERR06G03950.1 pep chromosome:Lperr_V1.4:6:2716365:2716832:1 gene:LPERR06G03950 transcript:LPERR06G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIHIFTTIANFLLMLTIALANESKSFISRSSSISPQDDITTILVSPNGDFSCGFYKVATNAFTFSIWFTRSSEKTVAWTATVKHTVDILKQKLSSEDQSWLLEFVDCRLDGEFNNTQATILLNIAVSCVEEDRRRRPTMSTVAEILLSHVE >LPERR06G03960.1 pep chromosome:Lperr_V1.4:6:2721462:2723144:1 gene:LPERR06G03960 transcript:LPERR06G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPEAAAATATATAEQTNGGAGAGEQKTRHSEVGHKSLLKSDELYQYILETSVYPREHECMKELREVTAKHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIEFREGPALPVLDQLLEEESNHGSFDFVFVDADKDNYLNYHERLMKLVRIGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLEINKALAADDRVEICQLPVGDGITLCRRVK >LPERR06G03960.2 pep chromosome:Lperr_V1.4:6:2721462:2723331:1 gene:LPERR06G03960 transcript:LPERR06G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPEAAAATATATAEQTNGGAGAGEQKTRHSEVGHKSLLKSDELYQYILETSVYPREHECMKELREVTAKHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIEFREGPALPVLDQLLEEESNHGSFDFVFVDADKDNYLNYHERLMKLVRIGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLEINKALAADDRVEICQLPVGDGITLCRRVK >LPERR06G03970.1 pep chromosome:Lperr_V1.4:6:2726981:2729858:-1 gene:LPERR06G03970 transcript:LPERR06G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGIDSPAAPEEEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSVAGRRRMSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVAGCRKLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >LPERR06G03970.2 pep chromosome:Lperr_V1.4:6:2726981:2729661:-1 gene:LPERR06G03970 transcript:LPERR06G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMDWGGGCRAGGVSRRWRRAVERSVAGRRRMSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVAGCRKLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >LPERR06G03990.1 pep chromosome:Lperr_V1.4:6:2736628:2739418:1 gene:LPERR06G03990 transcript:LPERR06G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLPFLHLAANPNPLHHRAHNPHHLLHLHRRFSLRTIHPSRHATAAAAAKDPSLSPPLADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAAAALRDAASLLGRVVSVSAFANRHAFSHVPSWVADERRERRAMDRAERAGTAAAPPVPYSCAVCGRRFPTRPDLARHFRNLHQRERNKKLNRLRSLKGKKRQKFRKRFISGNTKYDDAARELITPKVGYGLASELRRAGVNVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWNRVENGEVDEEMLRSGRHMGFRDEDMGFRDEDEQDDEFVVDWDTSDLDGVVDDIVATRTKLFSATTMSAFADEEIMDDLLGVGINGGDMLWSSDEDEDGLAVQCHSAHATVTFQLISRNKQQYEHACGGKEVEDSKST >LPERR06G03990.2 pep chromosome:Lperr_V1.4:6:2736628:2739750:1 gene:LPERR06G03990 transcript:LPERR06G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLPFLHLAANPNPLHHRAHNPHHLLHLHRRFSLRTIHPSRHATAAAAAKDPSLSPPLADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAAAALRDAASLLGRVVSVSAFANRHAFSHVPSWVADERRERRAMDRAERAGTAAAPPVPYSCAVCGRRFPTRPDLARHFRNLHQRERNKKLNRLRSLKGKKRQKFRKRFISGNTKYDDAARELITPKVGYGLASELRRAGVNVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWNRVENGEVDEEMLRSGRHMGFRDEDMGFRDEDEQDDEFVVDWDTSDLDGVVDDIVATRTKLFSATTMSAFADEEIMDDLLGVGINGGDMLWSSDEDEDGLAVQCHSVNQKTAWH >LPERR06G04000.1 pep chromosome:Lperr_V1.4:6:2743381:2743938:1 gene:LPERR06G04000 transcript:LPERR06G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREATVFYPPPPQPPAQAPAAAAVVAPAVRDSPAGGGGRGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGATALAAAAAGAAGDEHKEGGLTAAAIRKKAAEVGARVDAQHSVGMAAPPPPPPMQRRRTKNPDLNREPTPDTDDEE >LPERR06G04010.1 pep chromosome:Lperr_V1.4:6:2750630:2751119:-1 gene:LPERR06G04010 transcript:LPERR06G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLGLALPSPALVSRELDLLNSVPGSCGKRNFEALFSRGDDHDDDDENGDAEADGEMGNKRRKLVGWPPVKSLHRRRDGDGGGYVKVKMEGVAIGRKLDLSILGSYDELLDTLHRMFPSTNQGSVVVY >LPERR06G04020.1 pep chromosome:Lperr_V1.4:6:2759493:2762647:1 gene:LPERR06G04020 transcript:LPERR06G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKRLKCAKNGTETEFASDVEVLGRVRHKNLLSFRGYCADGPERVLVYDYMANSSLYAHLHGAHSTECLLDWRRRTSIAIGAARALAYLHHHATPQIIHGTIKATNVLLDSNFQAHLGDFGLIRLIPDRLDHDKIISENQRGYLAPEYVMFGKPTVGCDVYSFGIILLELSSGRRPVEKSGSSKMYGVRNWVHPLAKDGRYDEIVDAKLNDKYSESELKRVVLVGLACTLREPEKRPTMLEVASMLKGESKDILSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >LPERR06G04020.2 pep chromosome:Lperr_V1.4:6:2759330:2762647:1 gene:LPERR06G04020 transcript:LPERR06G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKRLKCAKNGTETEFASDVEVLGRVRHKNLLSFRGYCADGPERVLVYDYMANSSLYAHLHGAHSTECLLDWRRRTSIAIGAARALAYLHHHATPQIIHGTIKATNVLLDSNFQAHLGDFGLIRLIPDRLDHDKIISENQRGYLAPEYVMFGKPTVGCDVYSFGIILLELSSGRRPVEKSGSSKMYGVRNWVHPLAKDGRYDEIVDAKLNDKYSESELKRVVLVGLACTLREPEKRPTMLEVASMLKGESKDILSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >LPERR06G04030.1 pep chromosome:Lperr_V1.4:6:2763114:2772888:-1 gene:LPERR06G04030 transcript:LPERR06G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPLPPGTAGPGPGAGAPPPPPPPAAGPPGGGVKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTAEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTRFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHDEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPSNLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVWTEFYAFSGFTLENSSKPRAYIFFCLHQVPDNVPWNYNFMGVKHDPLMKYNMKLGTPRDYYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS >LPERR06G04040.1 pep chromosome:Lperr_V1.4:6:2775080:2775449:1 gene:LPERR06G04040 transcript:LPERR06G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSMKTINQGLGLFCLFVLVCSTIPLQIRGQTTNKIRSNMQMGVNKGISSGDVKVNVCYRVPAGYYCCSKDAKCYGQLEVCLQNCTY >LPERR06G04050.1 pep chromosome:Lperr_V1.4:6:2782173:2784500:1 gene:LPERR06G04050 transcript:LPERR06G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSMKAFNQGLRLFCLLVLVCSAIPLQIRGQTTNKIRSNMLMGVKDGISSGDVKVNVCYQIPVGWYCCSKNATNCYDLLENCTINQGLKLFCLLVLVCSTIPLQTRGQTTNKIISNMHMEVKKGIGFGVVKLNVCYKVPAGYYCCSKDAKCYGTLEVCVQNCISKEKACKELRG >LPERR06G04060.1 pep chromosome:Lperr_V1.4:6:2789906:2800737:1 gene:LPERR06G04060 transcript:LPERR06G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLIHIKCPQLCNLCGNRSHFRVRRGHFLVSPKEVYTPCKKFTLKSLFKNVEIKKRSTVISTTLLELPAVSEVSAARSDPKSPKRPKLILKNGLDRLDQTNPAPRERGAIFAIPTTTTFQIHPPIAAAAAAVPNPRGGEIPRRLALDSVEKIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCTELCKASREALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRVLGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNETNAKALTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVALLKLSSRFPPTSERIKEIVAQNKGHTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVIDEASYLVKRAASTQATISSDKLAAAATSGGSLKLPNGVAKPPPAPLADLLDLSSDDVPATTSTSTTAPNDFLQDLLGIGLTDTSGGAPSSSTDILMDLLSIGSPVQNGPPAVSNFSPPGQAETKLASVTPQVVDLLDGLSSSTPLPDVNTAYPSITAFQSATLKITFDFKKQPGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGLNCYDPFGPKCAVS >LPERR06G04060.2 pep chromosome:Lperr_V1.4:6:2789906:2800818:1 gene:LPERR06G04060 transcript:LPERR06G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLIHIKCPQLCNLCGNRSHFRVRRGHFLVSPKEVYTPCKKFTLKSLFKNVEIKKRSTVISTTLLELPAVSEVSAARSDPKSPKRPKLILKNGLDRLDQTNPAPRERGAIFAIPTTTTFQIHPPIAAAAAAVPNPRGGEIPRRLALDSVEKIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCTELCKASREALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRVLGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNETNAKALTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVALLKLSSRFPPTSERIKEIVAQNKGHTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVIDEASYLVKRAASTQATISSDKLAAAATSGGSLKLPNGVAKPPPAPLADLLDLSSDDVPATTSTSTTAPNDFLQDLLGIGLTDTSGGAPSSSTDILMDLLSIGSPVQNGPPAVSNFSPPGQAETKLASVTPQVVDLLDGLSSSTPLPDVNTAYPSITAFQSATLKITFDFKKQPGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGL >LPERR06G04060.3 pep chromosome:Lperr_V1.4:6:2789906:2800818:1 gene:LPERR06G04060 transcript:LPERR06G04060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLIHIKCPQLCNLCGNRSHFRVRRGHFLVSPKEVYTPCKKFTLKSLFKNVEIKKRSTVISTTLLELPAVSEVSAARSDPKSPKRPKLILKNGLDRLDQTNPAPRERGAIFAIPTTTTFQIHPPIAAAAAAVPNPRGGEIPRRLALDSVEKIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCTELCKASREALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRVLGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNETNAKALTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVALLKLSSRFPPTSERIKEIVAQNKGHTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVIDEASYLVKRAASTQATISSDKLAAAATSGGSLKLPNGVAKPPPAPLADLLDLSSDDVPATTSTSTTAPNDFLQDLLGIGLTDTSGGAPSSSTDILMDLLSIGSPVQNGPPAVSNFSPPGQAETKLASVTPQVVDLLDGLSSSTPLPDVNTAYPSITAFQSATLKITFDFKKQPGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGL >LPERR06G04070.1 pep chromosome:Lperr_V1.4:6:2802095:2803114:-1 gene:LPERR06G04070 transcript:LPERR06G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILIAGGGGGGGARISPAVVFVLVILVVVLFVSGLLHLLVRFLLRRGRARAAAAAAAEEEEERVDESALQRQLQQLFHLHDSGLDQDVIDALPVFVYRELVVGVGGGAGKEPFDCAVCLCEFAGDDRLRLLPTCGHAFHIGCIDTWLLSNSTCPLCRADAAALLLDAAFDDVAAAGGIGGGECDDAVFPVRLGKFKNIPMAAGPVRDGNAGDGAAIVAREEGESSSSSLDGRRCFSMGSYQYVLAEASLQVSLHRRHGDAGAATRMRGLAVAGAGGNTAGGGGEGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPERRSPG >LPERR06G04080.1 pep chromosome:Lperr_V1.4:6:2811357:2814152:1 gene:LPERR06G04080 transcript:LPERR06G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLISYSPSVNPKVENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGAGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLSEPVRVAAQKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKVCAESREHAENVANNGVHSDISVEDLGRHMYSAGCPDPDILIRTSGETRLSNFLLWQTTFSHLQNPDALWPEFSFKHLVWAILQYQRVYPYIEQSRNLAKKKL >LPERR06G04090.1 pep chromosome:Lperr_V1.4:6:2814388:2823468:1 gene:LPERR06G04090 transcript:LPERR06G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPTAAPSSTLLLLLVSLALAAAQLPLRPPAQGDTTNSSDAAALHAVFKRWGMQEDPAPPAYDPCTKRVWHNSSEMEASIDCSCSDPAPCDCCRITHLKVTGYRNITSMPEELFNLTDLVSLDLSNNNLSGRINPNIANLTKLEIWWMFDNNIDGPVPEFIANFSNLKDLRIYGMKLEGPIPSKFTNLTNLTHLMIGDLGGGGNYSVNFTGVWSNLSVLDLRSNNLSGPIEQVFQSKKNLRYLGFTHSFVHSSSMHRNYIGTSIAASGSNLSEDVSLLNCLDMKECNPRDLNNLVPFAVNCGGKEHTSNRLQTVFHDDSTDLRSAGFHVDTSNKWVVSHVGADPFSNSTGIVNTSKIISGTDMPDLYRTARTSTGALWYYVVGLTSGTYTVQLFFAEIVIESDSGRRLFNIEIQGRRIKTDFNIFEEAGGFNKAINITLNEIVTTPVLKIYLYWSGRGTCCIPYNGTYGPLVSAIRVFPSPVQASPAPTPHTSRRNEKRRGVIAGIAALSIAAALISSSVVYLWWKWVSLVKHPKA >LPERR06G04100.1 pep chromosome:Lperr_V1.4:6:2824308:2825060:1 gene:LPERR06G04100 transcript:LPERR06G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALAVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKSGLSQPAPEA >LPERR06G04110.1 pep chromosome:Lperr_V1.4:6:2828442:2831675:1 gene:LPERR06G04110 transcript:LPERR06G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATAAEWEEAERKVLVARKPAFGLPTACPTCLPVFLYLRLCKVPFDVHVDTSFPDADHIPYVEFGDCVAFNNEKGGVIEYLKEEKIVDLNSKHPSVSYSDVLPTKAMVLTWLSDALQYELWVVTDGSIPHDIYFSDLPWPIGKILYWKKMREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFDNSPTDVDALFLGHALFVLNALPDTSVLRSCLQKHDNLVNFTRHLKVQLLESDSSASGLGSSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKTFRRRAKYFLSTQLVAVLLFLSLMGGADSSELDDEDGVDYED >LPERR06G04120.1 pep chromosome:Lperr_V1.4:6:2832033:2832275:1 gene:LPERR06G04120 transcript:LPERR06G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQAGSGGGYYEPPVAKRRRCIGGIIPEEIVEQILLRLPVKSIVRFRSVCKSWQSMIADPRFTRLHLQFHQSTTSMLLR >LPERR06G04130.1 pep chromosome:Lperr_V1.4:6:2832301:2833294:1 gene:LPERR06G04130 transcript:LPERR06G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSFFRYPGHGAVAELAAQTSGPSGVATDWGVPLHCNGLVLVFSSSQIFVSNPATHELVLLPRGTPVGSDVPEHSVGFGADPSTGKVKVVRCFTRFRDATWTIYSIGCEVLSLGDTAWRTVADSPYLLVTSSAPCVNGAIYWIAALPPPIGHGCVDARILRFDLGSEEFADFPCPRHPDGAGRQVFSHVLADDDLQTVELWTADDDTAPEAARWSRHCSVVLLEPARNMIVIPFAVDYQGSIFFNVNFDMNYYFRSSDKCFYMYRGSEWWHYSIQYKESLVSIKAN >LPERR06G04140.1 pep chromosome:Lperr_V1.4:6:2836518:2836832:1 gene:LPERR06G04140 transcript:LPERR06G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEVAKNYEEACRVSDRLDGLMAEMASLKAAVGELEREIMEDDDDLTVTGEQFTDRMLELTRGLAALVGPMIELGPAITRVKLAADLLAATPDPHAPPSPEN >LPERR06G04150.1 pep chromosome:Lperr_V1.4:6:2844911:2849050:1 gene:LPERR06G04150 transcript:LPERR06G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEAKLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEAIIESKRGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIREKFKFKRKNDRGKFVSRSDKHHVIKKGSMIRFSVKRVDAEMNCHITGSLIPPHTGSMLWLSVHDAEYALEINSGKRSRDSNIKTEQHEQDHRTVNDEQSVKSGRQHKSKSRKRSFEER >LPERR06G04160.1 pep chromosome:Lperr_V1.4:6:2846941:2850750:-1 gene:LPERR06G04160 transcript:LPERR06G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLSTDSFERSRVDQAPANVDIELGLQGDMSSSAQPGFEGFFEQVRDVEKLLDTLTKLLKDLQKSNEESKVITNASAMKEIKKRMEKDVNEVTKTARLAKSKLEKLNKDNAANREKTGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYREVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLLIILIVVLSLKPWSKPVDFQCILSIMY >LPERR06G04160.2 pep chromosome:Lperr_V1.4:6:2847820:2850750:-1 gene:LPERR06G04160 transcript:LPERR06G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLSTDSFERSRVDQAPANVDIELGLQGDMSSSAQPGFEGFFEQVRDVEKLLDTLTKLLKDLQKSNEESKVITNASAMKEIKKRMEKDVNEVTKTARLAKSKLEKLNKDNAANREKTGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYREVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLLIILIVVLSLKPWSK >LPERR06G04170.1 pep chromosome:Lperr_V1.4:6:2852197:2856424:-1 gene:LPERR06G04170 transcript:LPERR06G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVSVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAEDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIEAAIKTYHLMSQRWFTHASPTLFNSGTPRPQLSSCFLICMKDDSIEGIYDTLKECAAISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNEEWSLFCPNEAPGLADCWGEEFENLYKKYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGLPFDSPEAQQLNKDIFETIYFHALKASAELAAKEGPYETYEGCPVSKGILQPDMWNVVPSDRWNWSALRGTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTALLKANGENGTKAAEEEDVEAKMAQVVCSLNNREECLACGS >LPERR06G04180.1 pep chromosome:Lperr_V1.4:6:2858040:2858720:-1 gene:LPERR06G04180 transcript:LPERR06G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALTTTIPLLLLVSVAHGWNTDCPPPGSSSGSGSGSHHHGGGKPPKHHHHHKPPSPTRCPSCPPPYTPSPTPPTPRPPPYIPSPPYVPPYIPSPPYVPSPPPYLPPYIPPPTPPSPPPPYVPPYIPPPSPIPPPAKTCPIDALKLNACVDVLGGLIHLVIGKEAKAKCCPLVQGVADLDAALCLCTTIRLRLLNINVYLPVALELLITCGKHPPPGFKCPPLYA >LPERR06G04190.1 pep chromosome:Lperr_V1.4:6:2859702:2863804:-1 gene:LPERR06G04190 transcript:LPERR06G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRAERGCGCWAAVARGLRGACFRPATAAAAADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNAATEKKTPPKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKCRPTMDEVVKHLTPLQDLNDMASASYRPRSSPRAR >LPERR06G04190.2 pep chromosome:Lperr_V1.4:6:2859942:2863804:-1 gene:LPERR06G04190 transcript:LPERR06G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRAERGCGCWAAVARGLRGACFRPATAAAAADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNAATEKKTPPKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKCRPTMDEVVKHLTPLQDLNDMASASYRPRSSPRGELFKRIASVKWP >LPERR06G04200.1 pep chromosome:Lperr_V1.4:6:2871956:2872542:1 gene:LPERR06G04200 transcript:LPERR06G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLGSQEFVKGIAGTYTVDILTNLRIITNVTTYEFGHIEGFSFSLPLESGSGVVGFYGSAGNLVNSLGVYAHI >LPERR06G04210.1 pep chromosome:Lperr_V1.4:6:2873797:2878197:-1 gene:LPERR06G04210 transcript:LPERR06G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAPHAATPPSPLPLISSPSSVLRPIPPSGREPRRRRAPVIGGGGGGGGEAGSTEAGDGLHRPRDTPESEAGHTAGSTGLPRPWPWRATTRSSSTQESEASASLAVTLQYHAKAVALPSPSCPKKCGGVDIVFPFGIGTNCARENFEVDCNKTEDGSSSVAFLGNVPLLNISLQKGQVWVMTYISSMCYNRSSKKVDQNTFTFNSGTAFTFASKENTFTVIGVNTLAYMWGSTYLTGCVSQCFPHNNLTVKAQDGLCTGAGYCQSSITSNMTYYDISFNEAYNTSEFYKNISATNCAEYCGYAVMMEATSFKFRTVYLNTTAFLDENKGRAPVILNWVVGNETCDVAVKKAGSYACRSNNSRCIDSISGPGYLCNCTEGYYGNPYLPDGCHDIDECTVNTPPPCPGYCINTPGNFSCPNEKPPSSSHSVALILAVGSSLGAVVILVITITCTYLIYERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTIFTEAELMEATNKYDEKNILGRGGHGTVYKGMLKNGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNDTLFHFIHVNDGCCNIPLFTRLRIAHESAQALDYLHSWASPPIIHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLNFLCAVKDGRLMDIIDHHINTDENAGLLEEAAELASQCLEMIGNNRPAMRDVAEKLGRLRKVMQHPWAHHDPEEMESLLGEPSVTGLEMVSTGNFSMEGGSAVQGLLGSGR >LPERR06G04210.2 pep chromosome:Lperr_V1.4:6:2873797:2878197:-1 gene:LPERR06G04210 transcript:LPERR06G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAPHAATPPSPLPLISSPSSVLRPIPPSGREPRRRRAPVIGGGGGGGGEAGSTEAGDGLHRPRDTPESEAGHTAGSTGLPRPWPWRATTRSSSTQESEASARNRNATYKTMKFCYNKHSSETEPMLLLLFFSLAVTLQYHAKAVALPSPSCPKKCGGVDIVFPFGIGTNCARENFEVDCNKTEDGSSSVAFLGNVPLLNISLQKGQVWVMTYISSMCYNRSSKKVDQNTFTFNSGTAFTFASKENTFTVIGVNTLAYMWGSTYLTGCVSQCFPHNNLTVKAQDGLCTGAGYCQSSITSNMTYYDISFNEAYNTSEFYKNISATNCAEYCGYAVMMEATSFKFRTVYLNTTAFLDENKGRAPVILNWVVGNETCDVAVKKAGSYACRSNNSRCIDSISGPGYLCNCTEGYYGNPYLPDGCHDIDECTVNTPPPCPGYCINTPGNFSCPNEKPPSSSHSVALILAVGSSLGAVVILVITITCTYLIYERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTIFTEAELMEATNKYDEKNILGRGGHGTVYKGMLKNGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNDTLFHFIHVNDGCCNIPLFTRLRIAHESAQALDYLHSWASPPIIHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLNFLCAVKDGRLMDIIDHHINTDENAGLLEEAAELASQCLEMIGNNRPAMRDVAEKLGRLRKVMQHPWAHHDPEEMESLLGEPSVTGLEMVSTGNFSMEGGSAVQGLLGSGR >LPERR06G04210.3 pep chromosome:Lperr_V1.4:6:2873797:2878197:-1 gene:LPERR06G04210 transcript:LPERR06G04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAPHAATPPSPLPLISSPSSVLRPIPPSGREPRRRRAPVIGGGGGGGGEAGSTEAGDGLHRPRDTPESEAGHTAGSTGLPRPWPWRATTRSSSTQESEASASLAVTLQYHAKAVALPSPSCPKKCGGVDIVFPFGIGTNCARENFEVDCNKTEDGSSSVAFLGNVPLLNISLQKGQVWVMTYISSMCYNRSSKKVDQNTFTFNSGTAFTFASKENTFTVIGVNTLAYMWGSTYLTGCVSQCFPHNNLTVKAQDGLCTGAGYCQSSITSNMTYYDISFNEAYNTSEFYKNISATNCAEYCGYAVMMEATSFKFRTVYLNTTAFLDENKGRAPVILNWVVGNETCDVAVKKAGSYACRSNNSRCIDSISGPGYLCNCTEGYYGNPYLPDGCHGSSLGAVVILVITITCTYLIYERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTIFTEAELMEATNKYDEKNILGRGGHGTVYKGMLKNGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNDTLFHFIHVNDGCCNIPLFTRLRIAHESAQALDYLHSWASPPIIHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLNFLCAVKDGRLMDIIDHHINTDENAGLLEEAAELASQCLEMIGNNRPAMRDVAEKLGRLRKVMQHPWAHHDPEEMESLLGEPSVTGLEMVSTGNFSMEGGSAVQGLLGSGR >LPERR06G04210.4 pep chromosome:Lperr_V1.4:6:2873797:2878197:-1 gene:LPERR06G04210 transcript:LPERR06G04210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAPHAATPPSPLPLISSPSSVLRPIPPSGREPRRRRAPVIGGGGGGGGEAGSTEAGDGLHRPRDTPESEAGHTAGSTGLPRPWPWRATTRSSSTQESEASARNRNATYKTMKFCYNKHSSETEPMLLLLFFSLAVTLQYHAKAVALPSPSCPKKCGGVDIVFPFGIGTNCARENFEVDCNKTEDGSSSVAFLGNVPLLNISLQKGQVWVMTYISSMCYNRSSKKVDQNTFTFNSGTAFTFASKENTFTVIGVNTLAYMWGSTYLTGCVSQCFPHNNLTVKAQDGLCTGAGYCQSSITSNMTYYDISFNEAYNTSEFYKNISATNCAEYCGYAVMMEATSFKFRTVYLNTTAFLDENKGRAPVILNWVVGNETCDVAVKKAGSYACRSNNSRCIDSISGPGYLCNCTEGYYGNPYLPDGCHGSSLGAVVILVITITCTYLIYERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTIFTEAELMEATNKYDEKNILGRGGHGTVYKGMLKNGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNDTLFHFIHVNDGCCNIPLFTRLRIAHESAQALDYLHSWASPPIIHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLNFLCAVKDGRLMDIIDHHINTDENAGLLEEAAELASQCLEMIGNNRPAMRDVAEKLGRLRKVMQHPWAHHDPEEMESLLGEPSVTGLEMVSTGNFSMEGGSAVQGLLGSGR >LPERR06G04220.1 pep chromosome:Lperr_V1.4:6:2880487:2880899:-1 gene:LPERR06G04220 transcript:LPERR06G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR06G04240.1 pep chromosome:Lperr_V1.4:6:2887702:2888680:1 gene:LPERR06G04240 transcript:LPERR06G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLARARVSVLSLVVNHAFESSSSSSSSPAWSSLVLRLVLPLAKALPFQEQDQISRRLFRHLDRNSDPHLEFIRRPRSAEESNALDASQHVDHVVRHYNSSSSNGSSSDNHQLIDVKPLLASGVVYRGDVWFHVNFLARRRKETSTPPRRFFAELRYAGAGDGETPIVESCTLLGEDDGGGGDHCVFCSLRCGDMMIHPAGDSFSCGKKGQEEELALLGIYKTCNFHE >LPERR06G04250.1 pep chromosome:Lperr_V1.4:6:2891423:2894489:-1 gene:LPERR06G04250 transcript:LPERR06G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKAQPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKVGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAARQGHVAHGGMLPRAVISQQQTSDQPQPPGMEGQQQAASGSYYFNIPAPPAADRTLYPSMDPQRMGALVKSQEGDSKPGPQQAGQAQASSSSGQNYPAPQPYYHGQYPPYYPPYGGYMPPPRMPYPQPPQYPPYQPILAPPVQTLASSSQQPATTTHQQSQGPHQQQTTQN >LPERR06G04260.1 pep chromosome:Lperr_V1.4:6:2899782:2903719:-1 gene:LPERR06G04260 transcript:LPERR06G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEAEYGTLMELGGKLCYSHIQAGHIVRLWTASTDDDGSSRWSWHCTVVLSRPAARCVVPFADDSHGSIFFNVDRALICRYDPRSRVVERVVDMKQQMNYYSCSSDNLYSYSYHRSNWIYHTIHIDMKNQPRSGGGVAKRRRCIGGIIPEEIVEQILLRLPVKSIVRFRSVCKSWQSMIADPRLARLHLQFHHSTTSSMLVLPCYNSSNPEMGRMESVSFFRYPGHGAVAKLLAQRSWPSGVTTDWGMPLHCNGLVLVFSSSQIFVSNPATRELVLLPRGTPVDDEDVEHSVGFGADPSTGQAKVVRCFTRYCDWTWTIYSVGCEVLSIGEGGDTAWRTVADSPYLLMTTSAPCVNGAIYWIAVQPPPHTGFCDVSDAAILRFDLRNEEFADFPCPPCLKTIHNDFEPSTIYDGILTELGGKLCFSHALDDNLQRVELWTASSADDGTTPRWSRHCTVVLLEPARNFVIPFAVDSQGSIFFNVDFDVICRYDPERRVVEHVVDMNQQMNYYFRSSDKCFYMYRGSEWRHYSIQYKESLVSIKAN >LPERR06G04270.1 pep chromosome:Lperr_V1.4:6:2912201:2919323:-1 gene:LPERR06G04270 transcript:LPERR06G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGRRRCLQPPLAVLHHHLSASDPDTAHPLPLPHRVLPPLLPFAVPARSFSWYSRSSPSAKASEEEEEVVRTEGEGVYLHEVGSVDHGEVVAGAAGSSADAVGVTAAGGGDGGSVSGFSVGSLIDLLDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAARIGELLPELPPPIPPPLSGRSFRDQFSLYRKKREELGCPSFLWNWAYFSVQFPCFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFPHDFLSWNPAQALSRLYLDILTIPLFLIANVIPQGSLVYWSTNGLVTVAQQLSLRNDAVKKMLGLPDTRAWEKFPQVDHKMKQQWPLDGAHMHTNLTSPDNGTANNIMEGTISESSSPEELLEQALQHLQTGFRDQAIPLIRTAIEKDPNLHVVLIGMGQSLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYCAGLSRMRQGDNKMAIEILQRLPDLKEPEKPINKKCYYQGLVILGSILIKEGRKSEAVKFMQRAVAYDPDCEIYLKECDDTTEDQTKSAEH >LPERR06G04270.2 pep chromosome:Lperr_V1.4:6:2912201:2919323:-1 gene:LPERR06G04270 transcript:LPERR06G04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGRRRCLQPPLAVLHHHLSASDPDTAHPLPLPHRVLPPLLPFAVPARSFSWYSRSSPSAKASEEEEEVVRTEGEGVYLHEVGSVDHGEVVAGAAGSSADAVGVTAAGGGDGGSVSGFSVGSLIDLLDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAARIGELLPELPPPIPPPLSGRSFRDQFSLYRKKREELGCPSFLWNWAYFSVQFPCFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFPHDFLSWNPAQALSRLYLDILTIPLFLIANVIPQGSLVYWSTNGLVTVAQQLSLRNDAVKKMLGLPDTRAWEKFPQVDHKMKQQWPLDGAHMHTNLTSPDNGTANNIMEGTISESSSPEELLEQALQHLQTGFRDQAIPLIRTAIEKDPNLHYVLNMLYQRLKSRTHFSCLHAIVQAFHECGSILIKEGRKSEAVKFMQRAVAYDPDCEIYLKECDDTTEDQTKSAEH >LPERR06G04280.1 pep chromosome:Lperr_V1.4:6:2919485:2923406:1 gene:LPERR06G04280 transcript:LPERR06G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASGGGRNAWAKEMTIRRRIASIFNKTRDHFPSLKDYNDYLEEVEDLTFNLIEGIDVDVIEAKIARYQQENAEQIYLSRAKRAEDLAAALQASRMNPGKAGTDDTAAGSSQGISGGAGVQQGQYAPAAVPGGLAQPRPTGMAPQPIGGSSVPLQGNDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >LPERR06G04290.1 pep chromosome:Lperr_V1.4:6:2922044:2928530:-1 gene:LPERR06G04290 transcript:LPERR06G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPAALALAVSPSRPRPLQVEEAGDTEREAAAGGSSSGGGDGEGAGAEWVACPVCGESIRGTDYSVNTHLDICLTRGTKRKLTQSTLLDFSFSKKATPDPALNNLNNSDKTEYVEPTDGNVSSDRDLFMLRSDMVNSKENASSPGCLHRSPDISETCDTCLPLDTFLPYMENTANNGVVEKCLPHMPPTEATSCTIDAHSVADPSTTVAVDTVIVGRRFHGNIELQESASITLLRDPQNPKDPDAIKAMNVIRCLDTYLETYLKFWPLYWTDILLNGCVVGVPEQQLDHVPIQLTCQKSTDKNETYDNLKHLQFLWENFIGAVGNGNFQRPSNMRYQTNFSLMITEVMANHSHLFTDKEKSFLDSFQLLSDDGQRLFVRIYTRKGPWFQMSSISYREISDLGQAAMELKCYIDMISCMDDLSNYDIKEVIDVLSVPEIKEIIKELQKNNTSCVRRHELLSTLLSVYDSGTCPIRKFTPGTYSTILPKRILKWIGTCIRTSNVADELLWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERNDLLHYEEAIQVAQVMDQSLDNNNMEMVTRCIELSENRLSTAPKEDATLPEPPPSFFSRFSASWVYPKILTLGVSVYERDRRYTDAIRILKRLLSTVSSDRKRGYWTLRLSVDLEHMNQPNESLSIAEAGVIDPWIRAGSKIALQRRVLRLSKPPRRWKVPSYANAVKRHIKEVNIDGRPLNCETGAKNIFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTTPLDLETDDFYRLRKDLVESQLKKIQDGMAEEMLISSWELHQGTSCRGVNWDRNSLADLRAAVVCIGGHRLSPLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFDVEVCKVSPVSKKR >LPERR06G04290.2 pep chromosome:Lperr_V1.4:6:2922044:2928530:-1 gene:LPERR06G04290 transcript:LPERR06G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPAALALAVSPSRPRPLQVEEAGDTEREAAAGGSSSGGGDGEGAGAEWVACPVCGESIRGTDYSVNTHLDICLTRGTKRKLTQSTLLDFSFSKKATPDPALNNLNNSDKTEYVEPTDGNVSSDRDLFMLRSDMVNSKENASSPGCLHRSPDISETCDTCLPLDTFLPYMENTANNGVVEKCLPHMPPTEATSCTIDAHSVADPSTTVAVDTVIVGRRFHGNIELQESASITLLRDPQNPKDPDAIKAMNVIRCLDTYLETYLKFWPLYWTDILLNGCVVGVPEQQLDHVPIQLTCQKSTDKNETYDNLKHLQFLWENFIGAVGNGNFQRPSNMRYQTNFSLMITEVMANHSHLFTDKEKSFLDSFQLLSDDGQRLFVRIYTRKGPWFQMSSISYREISDLGQAAMELKCYIDMISCMDDLSNYDIKEVIDVLSVPEIKEIIKELQKNNTSCVRRHELLSTLLSVYDSGTCTILPKRILKWIGTCIRTSNVADELLWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERNDLLHYEEAIQVAQVMDQSLDNNNMEMVTRCIELSENRLSTAPKEDATLPEPPPSFFSRFSASWVYPKILTLGVSVYERDRRYTDAIRILKRLLSTVSSDRKRGYWTLRLSVDLEHMNQPNESLSIAEAGVIDPWIRAGSKIALQRRVLRLSKPPRRWKVPSYANAVKRHIKEVNIDGRPLNCETGAKNIFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTTPLDLETDDFYRLRKDLVESQLKKIQDGMAEEMLISSWELHQGTSCRGVNWDRNSLADLRAAVVCIGGHRLSPLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFDVEVCKVSPVSKKR >LPERR06G04300.1 pep chromosome:Lperr_V1.4:6:2930548:2935587:1 gene:LPERR06G04300 transcript:LPERR06G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRDGAEAGYMVDEDDEEDGGMSDGEMEVEMGGDSQARDGDRRGDGNGDGDDEYALLCKQVDKSSKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMERFQVLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGHTFATGNQDKTCRVWDIRNLKTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYNAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVRSFGYLDSFM >LPERR06G04310.1 pep chromosome:Lperr_V1.4:6:2935941:2941527:1 gene:LPERR06G04310 transcript:LPERR06G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVASAFPALPSVASTTTASTPRRCHHHDVLLPPPSAAARARGSASSGAGAVERRQRRGEGEEDGEAETEAERRRKEEVNRKIASRKALSIILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHEIFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKTTPGCRPDVQTYSILIKSCLHAYDFERVKNLLEDMVRAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLSENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLIRAYGRAGEVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDMMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDDMSALTLRRTMN >LPERR06G04310.2 pep chromosome:Lperr_V1.4:6:2935941:2941527:1 gene:LPERR06G04310 transcript:LPERR06G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVASAFPALPSVASTTTASTPRRCHHHDVLLPPPSAAARARGSASSGAGAVERRQRRGEGEEDGEAETEAERRRKEEVNRKIASRKALSIILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHEIFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKTTPGCRPDVQTYSILIKSCLHAYDFERVKNLLEDMVRAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLSENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLIRAYGRAGEVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDMMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDDMSALTLRRTMN >LPERR06G04320.1 pep chromosome:Lperr_V1.4:6:2942912:2947213:1 gene:LPERR06G04320 transcript:LPERR06G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASPATKKCVDGGGGREAKVKKQEEEVVVVKKEAVPRKPDPEEDLGIVFSTFDHDGDGFITAVELEESLKRLGIAVVSAAEAAAMVARVDANSDGLIDIHEFRELYDSIPKRRGKNHPNHHHPIPSATGDEEEDEEESEEADLREAFDVFDGNKDGLISAEELGTVLGSLGLRRHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >LPERR06G04330.1 pep chromosome:Lperr_V1.4:6:2957369:2961202:-1 gene:LPERR06G04330 transcript:LPERR06G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVARRLMSSSTMSFLPRSIYTRPSRAFHFPFPSAATSPSPSSCRFLLLRPLHTTRRCHRRSRCCSVRASSSSSSPALRNFSSSSDNLREEMTVTSSVKVAGGELTVNGRTLLTGVPDAVRATSAAARGPVDGVFLGADFSQPESRHVVSLGALRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKSAAAGGEDAYVVFLPLIEGLFRASLQGGGEGGDELQLCVESGDADTRSASFDRAVFVGAAESDPFAAISGAVAAAKSCLRTFRLRSEKNLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRTLAAGGAPPKFVIIDDGWQSVATDHSVSDKEKQQPLLPRLTGIKENSKFQHGDDPAAGIKTVVKTAKKKYGLKYVFVWHAITGYWGGVRPGVAGMEEYESTMKFPNVSPGVVENEPNMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVSLTRQFHQALDASIAKNFPDNGIIACMSHNTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNILHQTGTEALSCGIKGSDVHLISDAATDPEWNGDCAVYRHASGDLVVLPNGVALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGGAVEGLTYHRLDGAKSVTNGSASTLPEHQSLSSQAVGLVCMEVRGCGRFGAYSSVRPRKCMLGSAQVEFTYDTSSGLVILNLETMPKRRVHKIVVEFCSGRLQPSRSGPLEQATIRACHTVQYSNTQIVSFYYALLTWPIS >LPERR06G04340.1 pep chromosome:Lperr_V1.4:6:2963335:2969418:1 gene:LPERR06G04340 transcript:LPERR06G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSVERQVAEIAAEPDRAAAYARLLHLQRACADDPSAAADLAAASPSVLLPLLLRDAGDRDEAVAASALKCIGFTLYHPVLVSTISGQMAQLILDTLIQLIMTTQMKAICNLGVWCTSVQQLEAVIVDDRTTSLLTAIVHAIDNPFCSLSTTFEAAQAVMKLMSQSPERLRELSSIWVPPIYRRLLSADKAERDVAERCLIKVSSVVLPPQSLLSQAIASDLEHSLLSRMLNMIDDPVKKVQAMKSWGWYISLLGLHVMDNRPLLNKILKVPEQLFIDSDTQVQISTMVAWKNLVNAFFPQASETLAPGTKIPPIESRADTNSQLKKIKLIMTPLGRILLRSRNIALSSSCLSTWHHLLYKLGDLINHLPILEAAFGPVLKIIFSIGPDIQNKSLYSFCVNLFHEFISVKVKGMVSHGEYLPIPHNKNLLSQSCIHLKALMDGQRIRWLPWDVTCLDFHLEILGSIVNPEILDNMSLEILASIMDSAIQIFRLLVQGVQVDCKAKCSYENAQICTAKLCKFVKKVFMDLVGKKNINNCSLLLHFGFQFVKVILEELDHCLLASGTYVIGLDIRHIKEMQYADLPPQLSYPRMKSHSYMEMVSPAVYMIALSLSIVAEFTGEISHGDAEELAIIICSSNFQEFFHVAVSFIYKQTMLLTDNRLRLRWLMMWNKIAKRLNEQTMPHLKFICGASSHDVLYQFFCYPLFAFLLPGRKSTRCDAENSFELYLSLTHDLEVEVAIDVYKSICANSNRGPEVDHKFFLQHFCGFVVSIIDENISLFQASLEYCSEKKFKNIAILSALGELVSGLLESCHILNYANEELTETSEESVGCSQPSLLLSCLKLVSRFMGLSTVVFKAHPTSHHQIMSRFFSSLSAFAGHLLLKKNVLLFFEIIGEQLTELLSLSGTLYRAMQQGETIDQIEKLWLKVIMCLKMSKLISDGSFLQKQQVLLQVAINHPHRPISLSITPAWRASRSYTSSLQRSSCSVLKLNELQMEREDITDPCAPQNAIALEEIDILRKFTYPISERTKNDESLKISVGLGRKRLKIMRYSMKPREPGKSAVPPGNFSSKNALSSHYMESKVCRKPEVILEMLKRKR >LPERR06G04350.1 pep chromosome:Lperr_V1.4:6:2970401:2975116:1 gene:LPERR06G04350 transcript:LPERR06G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAPPPSAMAVDDAEDDQLSSMSTDDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >LPERR06G04360.1 pep chromosome:Lperr_V1.4:6:2976641:2978824:1 gene:LPERR06G04360 transcript:LPERR06G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVIQGWTTSEIEEARSLVTSPNNGGSSGYGGDGDRNKKHHVYIMSELQEWFPWKTSNLVLNLYLKLITGTPMVMPSSNKSDADNIIQDDGHVTSLANGNTEMVEEEHTVLNNEGLLFDYPLEEIEMGNQTEQEAEIDVENEVDVQAQEALVIKEKEGCSCKGCGSSGPATGRTSPGTSSPQGRWHNAPTTQKYFLKLTTKGKATPPVPKRRRFRYDWATITGQQQAAAPVVVPTPAHGQWMHHPMFTGGFNNVYDARLCLPPQVPNPIFMPNHFAMPPLMYYHLPGGSRWCLSQCRLERPSTAAARELSLLSCHG >LPERR06G04370.1 pep chromosome:Lperr_V1.4:6:2981355:2983407:1 gene:LPERR06G04370 transcript:LPERR06G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFSGMEWTAVEEGEARSAVSRLNNHVDVVGAGNGNNDTRHDRIVRELEALFPWMTKNQVIDSYVDTVVDMMTVPTLPYNVGVVTHKNSEHMKDNFGMLPREDPMNSVDLSMNNYNSMVFGDASMGDTVEQASPMPMVVNGNNVVNQGSSCQLAAPNIGKSRFWTTDEHKMFLRGVKYYGRGDWKNISKFFVPSKTSVQVSSHAQKFFRRLERIDKKQRYSINDVGLNDAELENPLDNNNYGGWQALAFAGGHLQPTGGYGTVGRAAPPAKSTSSVVAMNNVAQFWAPLLYNSRTQQHFTQMQMLPQQAWNDQQMMGTAPLAPMEGAAAWNAAPIDGGAEWKNQQMMGAAAAPMEGAADNFAPAGGAVDNFVPADGEGYYQQEQGGGYDVPSEPWMMNNNMF >LPERR06G04380.1 pep chromosome:Lperr_V1.4:6:2999413:3001430:1 gene:LPERR06G04380 transcript:LPERR06G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVIQGWTTSEIEEARSLITSPNNGGSSGYGGDGDRNKKHHVYIMSELQKWFPSKTPDQVLNLYLDLIIGTSMVMSSSNKSDADNIIQDAGHVTSLANGNTEMVEEEQTMLNNEGLLFDYPLEEIEMGNQTEQEAEIDVQNEVDVQAREALVIKEKEVEAPKIQTNHWQAASSTRRRVVWTKEEHRLFLQGMREFGRGDWKNISRHFVTTRTPAQCSSHAQKYFLKLATKGKAAPPAPKRHRFRYDWAAITGQQQAAAPAPAPAPAHGQWMHHPMSTGGFNNIFDPRLCLPPQVPNPIFVPNHFAMPPLMYHHLPGGQPVLPFPVPAGAAVHGSGQRAFAPQLPWMNDSNSMN >LPERR06G04390.1 pep chromosome:Lperr_V1.4:6:3008429:3010569:-1 gene:LPERR06G04390 transcript:LPERR06G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFSSMEWTAVEKGKARSVVSRLNNNFDVVSASNSNNDDTRHDRIVRELEALFPWMTKNQVSNSYVDTVVDMMMAPTLPYDIGTVARTNSEPMKVNFGMLSREDPMNSVDLSMNNYNNMVFGDASIGDTVEQASPAPMVVNGSNEVNQGNSYQPAAPNSGKSKFWTHDEHMMFLMGVDVYGRGDWKNISKFFVPSKTPSQVSSHAQKYFRRQKTTDKKQRYSINDVVLKDAKLKNPLDNNNYGSWQALAFAGGHLQPTSGYGTTGCVAPPANSTSSVTAMNNIAQFWAPLLYNSQTQQQFTQMQMQPQQAWNDQQMMGTAALAPMEGAAAWNAAPMEGGAAWNNQQMMGAIAAPMEGAADNFAPAGRAADNFAPVGGSGYYQQEQGTGYDVPAEPWMMNNNMF >LPERR06G04400.1 pep chromosome:Lperr_V1.4:6:3020524:3023097:-1 gene:LPERR06G04400 transcript:LPERR06G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLPIVFRSSIRSCCALLAIAMDPMFTSGMEWTDVDKEEVRSIIARLSNNFNVVGASNGNNNTRHDRIVSELEAWSPWMTRNQIIDLYVDIVVDMSTQAPTQSHDAGSSVHPTFEPIKDNFGMLPGEDAAMNNIDFGMNKNKYYDGSGMVFGDAPIGETVEQAPPMPVVVNSGNEVNWGSGRQRAEPTSGKSKFWTTDEHRMFLRGLQHYGRGDWKNISRFFVPSKTPVQVSSHAQKFFRRLERADTKQRYSINDVGLNDVENPLDNNYSGWQASTFAGGNGTTGCAAPPANTSSVAAMNNDVGRYGIPDPSDNNYGGWQVLAFASGHLNPVSGDGASGHNIASPATSSVGQFWAPLLYNPKKKKQQQQQQFSQMQMPQLQQAWNHQTQQQFTQMQVPRPQDDGCSCNSINGGRRFVDAAPMEGGAMWNDQQMMGAAAAPMEEAFENFAPFGGATDNFASTSGSGYYQQEHAEPWMMNNNMFCKANYLQI >LPERR06G04410.1 pep chromosome:Lperr_V1.4:6:3054232:3055961:-1 gene:LPERR06G04410 transcript:LPERR06G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFSGMEWTTVEMEQARWIITRLGNSLNASTGNSNGDTRHDRIVRELQSRFPSRTKHQVIDLYVDLVVEMAPQPQLVNQGSDHQHAAPNTWTTYEQRLFLRGLRVFGRGDSQNISKYLVTTKTPEQVSNHAREYFRRLENAGVLYDADPHSGSGYRHDSRHSAPATSAITAINNVDSEYCARLLYDPQVVEQFMQLQLQAQKAWDDQQIMMGADAAPMERAADN >LPERR06G04420.1 pep chromosome:Lperr_V1.4:6:3060040:3063483:1 gene:LPERR06G04420 transcript:LPERR06G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLDLAKIMAASGFLLRGAAAPIVSLRGKSGRGGGGGGGVSFSASSSNAVPSSLSDSEKKGPVVMEIPLDMIRRPLMQTRANDPAKVQELMDSIRVIGLQVFLDATVMRLTSA >LPERR06G04430.1 pep chromosome:Lperr_V1.4:6:3063642:3073491:1 gene:LPERR06G04430 transcript:LPERR06G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEEERWKAEAEEEEEMEVVMGVEGAMEEGEIGGGFGKEEEGNGDAGKGEEGGAGAGDRRVWREKATKKQKHHHHHHQPRTPVTAESRGSWRGKGGGAGRGGGGKFHHHRPRNPHQYTLNKFNRPGVYGGAIIICNHMIKREFFHEELFALPSYAASFIKKIRVGMLLFVFVREERKLYGVFEATSDGALDILPDAFTSLRKPRPAQVLFRRVWFCKPLTETEFSSAVDGNCLRPEMTFFGVSYQQVLDLVDLFASKMIRLQSYQKSKSRVIWDYKISLGHLVQEFSPHTHNRTFSSHSSTIFCNNRSPLPRSSFMDTKQNAKHHACKYESPLHSPLKSVIFKEPDVKGESLEPNADFIPLEVDDCKSDSDAALSDILETVSFYSAQEGCISCEDQDLKPFNGKFNGDDGHRSHVLIPGFNSECETSRNSVSSHIVKERQSSLQAKGCKRKAVHEFDEHSSPMRGCTMTKRVSFSFSGEEISVTSEQALNRPSTELDQTRKASIEEEKQDVGCLVQKTQSKGEDVSAKIKLMSLSLPEGLESRVRSYSSNSQSLEIRREQKRSEKPPRKYSSQEKPSSRTHPSPIDNLATALHDQTRDTHPCLHCLVAQSKEGSTYDGAIFLCNRQTRRECFEKKLFGLSAHCASFIHRVKVGATLFLYDVDQHKLHGVFEATSDGSMNIIPDAYVSSGKPYPCQISFKRIWFCKPLMENEYRDAIQKKFMPKSKFTYGLSHQQVVRLLHLFSSRNRLKPRQNQNMQDELTKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTNGEHAATLSHKLADPMPLVHRGLKPEASGVVKSKDSSRFSMHTGANTEIVTVPISQEAMDDRSSDDYIPLPQEENTLESIDDLSDLLQDESYFSGSQDNSDSEDDSTFHQTFTTKDDECCPPAVNSKLRSDTEERTSVFYRLLGKPKTFGPRKKSKAKAFPSMDAVSFGHLPRRKKQWRKQHSKPFPSDRGGMLGTDPVSKLSRGPALDYSFVWDDSRSTNFLGEKPSKDHTSLGPLLCEHGNKLDICTKEHSRCNESKRLFVPEAIRKLIRPCEKQINIPPVFPGVHDGDEVNPKEEVNDTLGSERGKDDQDFGDENENENVEEATRKKRRLEDVSFSQEEYESGAALVPEGAKDMDMLAIYDGNCKDESICLSSRDTCAEMARDYIQTKVVLRDEQKNIQDCCEEVTSLVLENSENMESLPKHSCRDRKICLNVETKSQVASGNLETRSLQDTQDQSAMSCHGVINGDKILLLENFVTMDLLPDHDDDCLTKSTFVGNDRHIASDHLETEMTLQEKQTPSVQNSCEVLHSDNMLIQEMSYDILSKIDADCEKKKRISFDEAYSNVEASSLETHVSLREPCQMASNCREIVNADQVLAPEDSVILVIPSKCDGECDKKSFSLDENGDYVTCNTLSVGKGQHENIQSCGELVTCNTMSTLENPMAIGTVESSHGDNGNNGNSSAACKSLGSDCLEEADQLVTNCSEVSAVVPESSGPLINFSKCYGDSANKNSLLDETSENVSTDHQETSILPQDEHYNSCSGDTSSALEYFGTMGTNTGDGDSEQKNSFDQKDGEVIYSVTGVMLRAEQHNKFQGDPESSFNENSNSTDSFAVCAEDSRSKSGVSADRVVVDLLGINSESRTSFFNGSSIECGENLSGSASSGENAQQKLNKSGVSAEVTRLQHDPGE >LPERR06G04440.1 pep chromosome:Lperr_V1.4:6:3073089:3076356:-1 gene:LPERR06G04440 transcript:LPERR06G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMEYYSNDPNADRINRMRGEISQVRNVMIDNIDKVLERGDRLDLLVDKTANMQGNTIRFKRQARRFRNTVWWRNVKLTIALIFLLTVIIYVVLVFVCHGFTLPTCIRIMLKSGHLCRDT >LPERR06G04440.2 pep chromosome:Lperr_V1.4:6:3073904:3076356:-1 gene:LPERR06G04440 transcript:LPERR06G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMEYYSNDPNADRINRMRGEISQVRNVMIDNIDKVLERGDRLDLLVDKTANMQGNTIRFKRQARRFRNTVWWRNVKLTIALIFLLTVIIYVVLVFVCHGFTLPTCIR >LPERR06G04450.1 pep chromosome:Lperr_V1.4:6:3093899:3094338:1 gene:LPERR06G04450 transcript:LPERR06G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDKLPLSEAERRCDIWQHRHRHLLASGCDRCPTIITPCYVSTKLGLAYGPAWRTAIGGGRSRRLRPLSRPQIRD >LPERR06G04470.1 pep chromosome:Lperr_V1.4:6:3096962:3099829:-1 gene:LPERR06G04470 transcript:LPERR06G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFRGVRDDLTELGRHILDIACFLHPLLAPAHIVDSPPATPTSRHHHHHRRRSPSPRPSTPPSPSILAGILADLAEIGGSFTRRAAPLPDPTSFSAAAAAAAAAEEESPQPASSTASSPPAPAAAAVDGLADDVVGAAQALAARPEAWIDFPVLALDENSVISNIQRDHMEAIEKLVPDLASLRARLCPSYMDDDIFWKIYFTLLEYATVLLISVNHLYLQEDDNVRGSVHQVNEIESDSSPNVCEIESEKSTGYRSPDDRALKKTRSDQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHVVVMDKYMDSLLSDRRSIPYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDS >LPERR06G04480.1 pep chromosome:Lperr_V1.4:6:3101683:3106536:-1 gene:LPERR06G04480 transcript:LPERR06G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLLACQPQGASSYNVIIQHALSMVALESIKIYTAISDGTINLVDKFFEMQRSDAVRALDVYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQQILILLKLLQPPASFLQTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPIEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKAAAPQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDAHRRASQPTSYNPWEVNPGVAGAGAGMMQQPMMHDPFYASNGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAVPPPVSHHLQQQQQQQHLQANPANPFGNPFAGPAAVAHHPYAAAGNGYTGLI >LPERR06G04490.1 pep chromosome:Lperr_V1.4:6:3112313:3116900:1 gene:LPERR06G04490 transcript:LPERR06G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAVAAANPTTPGRLALLRSNPNPSGSFARSLRLRYPRLALSRRMEAAAAIADSHGGGASAVGAETLDAVAAPDFDVQIKEPSVATILTSFENSFDEFGSMSTPLYQTATFKQPSATENGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVMHLVKSGQEIVAGQDIYGGSDRLLSQVAPRHGILVKRIDTTNISEVTSAIGPLTKIVWLESPTNPRQQITDIKKIAEIAHYHGALVLVDNSIMSPVLSCPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPGHPGRALHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDADDLIADLDHALRSGPA >LPERR06G04500.1 pep chromosome:Lperr_V1.4:6:3117192:3119713:1 gene:LPERR06G04500 transcript:LPERR06G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYAMYYKEQEKLSHRY >LPERR06G04510.1 pep chromosome:Lperr_V1.4:6:3122129:3122881:-1 gene:LPERR06G04510 transcript:LPERR06G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALAVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRHALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGSGEVVYQVCSPEAMGKLLAKAGLSQPAPEA >LPERR06G04520.1 pep chromosome:Lperr_V1.4:6:3123632:3126039:-1 gene:LPERR06G04520 transcript:LPERR06G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPGAGAAKHLGWGSNLSRLPQRQNGKPPLPESIFGREMRGQMGKGHGDKVVVEEEFIPCYSYDELGKRLSELRPAPAPAAGKDMKEWFSVEELSQRLKRLREMDKEERGRALQSGMGTGLLRKAIVSLKDQEKTNNLSAAQIMPVLVGFGSREATPAYMLRKPQNELVERYFHPDHMSSAEKMKLELQRVRDEFKMSENDCGSARVQVAQLTTKIKHLSVTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKPPDYKNKSSSSSSKTKAKRKSKRKMKA >LPERR06G04530.1 pep chromosome:Lperr_V1.4:6:3126343:3130927:1 gene:LPERR06G04530 transcript:LPERR06G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRFKAPSSSSSSALNSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLIEKSSGNLPKVLSVEDKLRNLGCVGIGRKIAEAEMDITKAKSEGYLWGNGTAGGGGGGGGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPKGDALKKLEEKGVVIRFIVGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNATYVDDDRLCCGSSRQEKVCSHA >LPERR06G04540.1 pep chromosome:Lperr_V1.4:6:3131484:3132629:-1 gene:LPERR06G04540 transcript:LPERR06G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQAQKVQHLAAGDLAMPPSRYVQSENKRPTAGSMAVTAIIPTIDMNLLTDAAATTAADEEATKLRSALQSWGLFAVTGHGMPESFLDEILSATRNFFHLPPAAKEKYSNVVAGAVAGNGNEKSFQAEGYGIDRIDTDEQILDWCDRLYLQVQPESNRQLKFWPPELHELLEEYTLRSEHVFRRLLAAVARNLGFNSEFFADKIGDNVSTYARFTYYPPCPQPELVYGLKPHTDNSVLTILLLDNRVGGLQILRDGRWLDIPVLTDGELLVIAGDEIEIMSNGVFMAPVHRVVASDRERISVVMFYQPEPEKVLAPSEELVGKERPAMYKRIEAKVFGDGVWDAFAAGERTIDFLKVKVEQQEERGTLDGSYSVGTRY >LPERR06G04550.1 pep chromosome:Lperr_V1.4:6:3137970:3139109:1 gene:LPERR06G04550 transcript:LPERR06G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQTVQDLAAGDLAAPPSRYVLRENKNRLTTPELAAIATIDVSRLTATATAADEEEAAKLRSALQSWGLFAVTGHGMPESFLDEILSATRNFFHLPPATKEKYSNVIAAAAAGGGEIDGETKYQNEGYGIDRIDTDEQILDWCDRLYLQVQPESNRQLEFWPPELRELLEEYTLRSEHVFRRLLAAVARNLGFNSEFFADKVGHNVSTYARFTYYPPCPQPELVYGLKPHTDNSVLTILLLDNRVGGLQILRDGRWLDIPVLTDGELLVIAGDEIEIMSNGVFMAPVHRVVTSERERISVVMFYQPEPEKVLAPSEELVGEDRPAMYKRIEAKEFGDGFWDAFAAGERTIDFLKVKVVEQQQQQQSEVAVSTSA >LPERR06G04560.1 pep chromosome:Lperr_V1.4:6:3139289:3168202:1 gene:LPERR06G04560 transcript:LPERR06G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVELAGMGSKIVPRQYVVQREDHPTVAATASIPIVDLGRLSQLDGNADEVVKLRQGMESWGLFMVTNHGIEDALMDEMMDVSREFFHQPLEEKQKYTNVPFQKKYTNLNDGKHFPLEGYGNDQVISESQILDWSDRLHLKVDPADERNLSIWPKHPESFRDVLDEFIIKCDGVKNSLLFEIAKLLKLEEDYFARQFADRPTTIARFNYYPQCPRPDLVYGIKPHSDATNLTILMIDNDVGGLQVLKDGVWYDVPTIPHTLVINLGDHTEIMSNGIFKSSVHRVMTNSEKERISVAMFYFMNLEKEIEPAAELVDEEHPARYRKVKIMDYFAGLFEHFLLGTRVIDTIQLLPSLSRPDLVFGVRPHSDGALLTILLVDKDVGNLQIQRDGKWYNVPASPHTLLVNLGDTMEIICNGIFRSPVHRVVTNAEKERISLAMFFGVNGEKEIEPAAGLLDENRQARYRNLKTSRSSSQQSSSFIRLTTMAESHQWKIVKIPPTVQELAAGVHEPPSQYIVHEQDRPAVTCSDLPSPIPVIDLSRLSVSDSDDEDNAGELAKLRSALDDWGLFLAVGHGMESGLLGELMKVMRGFFELPLEEKQKYSNLVNGKEFRIEGYGNDMVVDVLREYTVKCREITILVLTKLAKLLGLQDGYFVDMFDENAMTYARFNFYPRCPRPEHVLGLKPHSDASVITIASIDDSISGLQVLRQGIWYDVPIVPNALLINVGDGIEIMSNGFFKSPVHRVVTNAESERVSLAMFYTLDPEKELEPLPELVDEEKRPRQYAKMKTKDYITRLFETFARGTRVIDTQSILSTLCQMATQAQSWMLPTIVQELAASGVQEPPSRYVRRILPTAAAAADLPELIPVIDLSKLSTVDEAAKLQTALQTWGLFTVTGHGIEDSLMDDVMNASREFFHQPLEEKLNCSNMKDGKSFQVEGYGSDQVKIKDQTMDWSDRLNLKLEPENERNFANWPAHPESFRGVLLEYSLRIKIIKNNILRAMARILKLHEDYFLNQFGDKAPITVRINHYVPCPRPNLVLGFKPHSDDGVLATLLVDNDLVALQVLRDGIWYNVPTSPRTILINIGDFMEIMSNGMFKSPVHRVVANGVKERTSLAMFYGLDPEKDIEPASGLLHVNQAARYHKVKTKDYMAGFYEHFARGTRLLRATHLALNCASQIQSPPSIEQTKLNRN >LPERR06G04560.2 pep chromosome:Lperr_V1.4:6:3139289:3164704:1 gene:LPERR06G04560 transcript:LPERR06G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVELAGMGSKIVPRQYVVQREDHPTVAATASIPIVDLGRLSQLDGNADEVVKLRQGMESWGLFMVTNHGIEDALMDEMMDVSREFFHQPLEEKQKYTNVPFQKKYTNLNDGKHFPLEGYGNDQVISESQILDWSDRLHLKVDPADERNLSIWPKHPESFRDVLDEFIIKCDGVKNSLLFEIAKLLKLEEDYFARQFADRPTTIARFNYYPQCPRPDLVYGIKPHSDATNLTILMIDNDVGGLQVLKDGVWYDVPTIPHTLVINLGDHTEIMSNGIFKSSVHRVMTNSEKERISVAMFYFMNLEKEIEPAAELVDEEHPARYRKVKIMDYFAGLFEHFLLGTRVIDTIQLLPSLSRPDLVFGVRPHSDGALLTILLVDKDVGNLQIQRDGKWYNVPASPHTLLVNLGDTMEIICNGIFRSPVHRVVTNAEKERISLAMFFGVNGEKEIEPAAGLLDENRQARYRNLKTSRSSSQQSSSFIRLTTMAESHQWKIVKIPPTVQELAAGVHEPPSQYIVHEQDRPAVTCSDLPSPIPVIDLSRLSVSDSDDEDNAGELAKLRSALDDWGLFLAVGHGMESGLLGELMKVMRGFFELPLEEKQKYSNLVNGKEFRIEGYGNDMVVDVLREYTVKCREITILVLTKLAKLLGLQDGYFVDMFDENAMTYARFNFYPRCPRPEHVLGLKPHSDASVITIASIDDSISGLQVLRQGIWYDVPIVPNALLINVGDGIEIMSNGFFKSPVHRVVTNAESERVSLAMFYTLDPEKELEPLPELVDEEKRPRQYAKMKTKDYITRLFETFARGTRVIDTQSILSTLCQMATQAQSWMLPTIVQELAASGVQEPPSRYVRRILPTAAAAADLPELIPVIDLSKLSTVDEAAKLQTALQTWGLFTVTGHGIEDSLMDDVMNASREFFHQPLEEKLNCSNMKDGKSFQVEGYGSDQVKIKDQTMDWSDRLNLKLEPENERNFANWPAHPESFRGVLLEYSLRIKIIKNNILRAMARILKLHEDYFLNQFGDKAPITVRINHYVPCPRPNLVLGFKPHSDDGVLATLLVDNDLVALQVLRDGIWYNVPTSPRTILINIGDFMEIMSNGMFKSPVHRVVANGVKERTSLAMFYGLDPEKDIEPASGLLHVNQAARYHKVKTKDYMAGFYEHFARGTRVIESTKITI >LPERR06G04560.3 pep chromosome:Lperr_V1.4:6:3168127:3170244:1 gene:LPERR06G04560 transcript:LPERR06G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPNIVQELAAGVQEPPSRYLLRDHDQIAGAEIPEPIPTIDLGRLSGSNGADEATKLRSALQNWGLFLVSNHGVETSLIDAVTEAAREFFRQPVEEKKKHSNLIDGKHFQIEGYGNDPVQTKDQVLDWSDRLHLKVEPESERNLAFWPTHPKSFRNILHEYTLKIRTVKINILLALAKLLELDEDCLINQFSDKALTTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLMDKDVGGLQILRDGTWYSVPTVRDYSLLINFGLTLEIMTNGIFRAPVHRAVTNAEKERISVAMFYAVDAEKVIKPVADVLGLARYRTIKGKDLLVEHYEHFSRGAKVVDSLKI >LPERR06G04560.4 pep chromosome:Lperr_V1.4:6:3164588:3170244:1 gene:LPERR06G04560 transcript:LPERR06G04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLERKINVSCPRYPRNPMADESWRLPNIVQELAAGVQEPPSRYLLRDHDQIAGAEIPEPIPTIDLGRLSGSNGADEATKLRSALQNWGLFLVSNHGVETSLIDAVTEAAREFFRQPVEEKKKHSNLIDGKHFQIEGYGNDPVQTKDQVLDWSDRLHLKVEPESERNLAFWPTHPKSFRNILHEYTLKIRTVKINILLALAKLLELDEDCLINQFSDKALTTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLMDKDVGGLQILRDGTWYSVPTVRDYSLLINFGLTLEIMTNGIFRAPVHRAVTNAEKERISVAMFYAVDAEKVIKPVADVLGLARYRTIKGKDLLVEHYEHFSRGAKVVDSLKI >LPERR06G04570.1 pep chromosome:Lperr_V1.4:6:3151248:3152959:-1 gene:LPERR06G04570 transcript:LPERR06G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIVQELAAASVEEPPSRYRLQEKDHSDGMMVAAEMPEPIPVVDLSQLASADEAAKLREALQNWGFFLATNHGVEVSLMDNVMNLSREFFNQPIEWKQKFSNLIDGKKFQMEGYGTDRVVTKDQILDWSDRLQLRVEPKEEQNLSFWPDHRESFRDVLNEYASRTREIRDNILQAVTKLLELDEDYFFNQVNKAPASARFNYYPPCPRPDLVLGVKPHSDGSLLTILLVDKDIGGLQVQRDGKWYNVPDSPHKLLINIGDTMEILCNGIFRSPVHRVVTNAEKERISLAMFYSVDGEKDLEPAAGLLDENRSARYRKVNIRDFFAGISEQSSRGKRYIDSLKI >LPERR06G04580.1 pep chromosome:Lperr_V1.4:6:3170793:3173005:1 gene:LPERR06G04580 transcript:LPERR06G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPNIVQELAAGVQEPPSRYLLREQDLVGGQLAGAELPEPIPTIDLRRLSGSDCADEAAKLRSALQNWGFFLSWGN >LPERR06G04590.1 pep chromosome:Lperr_V1.4:6:3180238:3185719:1 gene:LPERR06G04590 transcript:LPERR06G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALGTEVFIPIAAVIGIAFAVVQWALVARVKLTPASPASKNGYNDSLIEEEEGLNDHNVVVRCEEIQRAISEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYL >LPERR06G04600.1 pep chromosome:Lperr_V1.4:6:3185994:3188633:-1 gene:LPERR06G04600 transcript:LPERR06G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRRFLGGLWLFLALLRLGCAAPAVAGVDGRRAIAATDEDFVCATVDWWPPEKCDYGTCSWGLASMLNLDLSNKILLNAIRAFSPLKLRLGGSLQDKVVYGTGSGGGPCTPFVKNSSEMFGFTQGCLPMHRWDELNAFFQKSGARIVFGLNALNGRAPLPDGSMGGAWDYTNAASLIRYSASKGYKIHGWELGNELSGTGVGTRVGADQYAADVIALKSIVDNVYQGKPSKPLVLAPGGFFDAGWFTELIVKTRPNLMNVVTHHIYNLGPGVDTHLIEKILNPTYLDGMISTFSNLQGILKTSGTSAVAWVGESGGAYNSGHHLVTDTFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNAATFQPNPDYYSALLWHRLMGTKVLSVTFSGTNMIRAYAHCARNSPGITLLLINLSGNTTNQVSVTSEGVHAKNTVKKHSRKLAGSMREEYHLTPKDGNLQSQVMLLNGMALVTDANGGIPRLEPVKVDAAHPITVAAYSIVFAHIPNFSAPACS >LPERR06G04600.2 pep chromosome:Lperr_V1.4:6:3185994:3188633:-1 gene:LPERR06G04600 transcript:LPERR06G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRRFLGGLWLFLALLRLGCAAPAVAGVDGRRAIAATDEDFVCATLRLGGSLQDKVVYGTGSGGGPCTPFVKNSSEMFGFTQGCLPMHRWDELNAFFQKSGARIVFGLNALNGRAPLPDGSMGGAWDYTNAASLIRYSASKGYKIHGWELGNELSGTGVGTRVGADQYAADVIALKSIVDNVYQGKPSKPLVLAPGGFFDAGWFTELIVKTRPNLMNVVTHHIYNLGPGVDTHLIEKILNPTYLDGMISTFSNLQGILKTSGTSAVAWVGESGGAYNSGHHLVTDTFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNAATFQPNPDYYSALLWHRLMGTKVLSVTFSGTNMIRAYAHCARNSPGITLLLINLSGNTTNQVSVTSEGVHAKNTVKKHSRKLAGSMREEYHLTPKDGNLQSQVMLLNGMALVTDANGGIPRLEPVKVDAAHPITVAAYSIVFAHIPNFSAPACS >LPERR06G04600.3 pep chromosome:Lperr_V1.4:6:3185994:3188633:-1 gene:LPERR06G04600 transcript:LPERR06G04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRRFLGGLWLFLALLRLGCAAPAVAGVDGRRAIAATDEDFVCATVDWWPPEKCDYGTCSWGLASMLNLDLSNKILLNAIRAFSPLKLRLGGSLQDKVVYGTGSGGGPCTPFVKNSSEMFGFTQGCLPMHRWDELNAFFQKSGARIVFGLNALNGRAPLPDGGTNMIRAYAHCARNSPGITLLLINLSGNTTNQVSVTSEGVHAKNTVKKHSRKLAGSMREEYHLTPKDGNLQSQVMLLNGMALVTDANGGIPRLEPVKVDAAHPITVAAYSIVFAHIPNFSAPACS >LPERR06G04610.1 pep chromosome:Lperr_V1.4:6:3197500:3201056:-1 gene:LPERR06G04610 transcript:LPERR06G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAVKAGSRPPWVGLGAAVWVQVAGGSSSTFALYSHALKVALAADQRRLALLAVACDVGENLGLLPGVLCNRLHPALLLLIGAAACVVGYGSTWLAVSGQVPWLPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGSVAGILKGYAGLSAAVYTVIYTGVLHDSAANFLLFLTLGIPVVCLVTMYFVRPCEPSLVENSSEQIHFIFTQLSSVLLGVYLVAATILDHFVTLMDALNYTLLVIMVLVLFVPLMVPLKMTLFPSNRRKDQSNSSDCSSSSAADHEHTESLLPSSSASNLGNIEDDDSTDIDILLAEGEGAIKQKRRPPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVVDTTISLALFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIILYLLFALGHHATLHVCVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDQEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRGSDVDQVSNKNPPGASSAMLNFQQADGNPDQSSPVQVQGWPATLH >LPERR06G04620.1 pep chromosome:Lperr_V1.4:6:3205627:3207405:1 gene:LPERR06G04620 transcript:LPERR06G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADQHPGSRHIGAPTVFDEVRWVVQIRRSLQDDGGEDGDDDDDNGIPVSVFNVPRQLQVHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQKRHRHVKLEHFVEQFARMERRVRAHYHRYLDLSGETLAWMMVVDGAFLLEFLQVFAVVPGDGDGVAGEGKMRRVSSRMAHLVDFAGRKSAHNLILRDMMMLENQIPLFLLRKILEPQCSSPEDAGELLRRMVTGLMKELCPFKTMENFPPTIDVTKHSHLLELLYSLLLPNPAIAADDHDENYDIEEQPVDIAGGDEKTSPSSSSAGCDYVKQLLAAVWGIISNLKSGPMQYISKPISFAVKAPWKMLTVVPGFSAMKHPVESFFSGADATTSSSSLTRDPSSSNHISHPPLIEEIMIPSVTELAGAGVKFVPTAAGDIRSIKFDARTATFHLPQITLDNNTDTILRNLVAYESSAASGPLVLTRYTELMNGIIDADEDVAELRRRGVVMNRMKSDGEAARLWNGMSRSVRLSRVGFMDAAVEEVNRFYDGRWRVKTKRFMRKYVFSSWQLLTFVAAILMLMLTTLQAFCSVYSCSRWFGTIVVTPAAAGGG >LPERR06G04630.1 pep chromosome:Lperr_V1.4:6:3209694:3210075:-1 gene:LPERR06G04630 transcript:LPERR06G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLALVAAVLVLFLMAATVQSIRLDAESHSAFSNQIVNNTSGDKVVEKIDGEASGEMEKTISEEKNRLGHGMPEIHVDYYGPRGHNARHH >LPERR06G04640.1 pep chromosome:Lperr_V1.4:6:3211126:3214527:-1 gene:LPERR06G04640 transcript:LPERR06G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGAERLDFCGGEPAAAVDKNPDELAPLPQMEKICENTTAADFKQNKSGNFILNIRSGDWSDIGGRQYMEDTHVCIADLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRVIVEDADFPLELEKVVKRSFVQTDSQFAETTLSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAVEMSMDHRPCSLSEKLRVESLGGYVDDDDYLNGLLGVTRALGDWHLEGMKEVGSPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNAVDFARRRLQEHNNVKSCCKEIVEEAIKRGATDNLTAVLVSFHLEAPPQIRVSRPGRVARSISAEGLNSLRTLLGNQNQ >LPERR06G04650.1 pep chromosome:Lperr_V1.4:6:3221090:3225247:-1 gene:LPERR06G04650 transcript:LPERR06G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQETTRCLHEDRRHLMDIYNNFHWLDDWHWPQWISNNSDCCQCWGVTCSSRTGRVTGLDLSVPYPWWEYPLPDNGLINCSLFLPFSELQILSLSNAGIRGCMPGAGFEVLSDLPKLQILDLSGNKLNDNIGNISRAICKRSLRELHVNGNLFWGEIPSCIRNLTSLRVLDLSNNLLTARFPTHNFANMTSLVQLSLSHNQLKGILSLNSFSNHLQLKYLGLSSNSDSFQVQTENPATNISGQLHALELSNCNLNGNSGVIPSFLSHQHRLYLIDISNNNLSGYFPTWLLENNIYLMYLNLKQNSFFGPLILPSKLNKNFLWLDASCNMLSKELPVDINITFPYVNQLNLSRNSFQGTLPSAFSYLENLLTLDLSYNNISDISACFSRLVLMSHIVLNDNNFSGEIPTSICSSLDISVVDFSNNKLNGSIPNCLAQNDLLYSLNLRGNHLTGSIPTGLSSLLNLQFLDLSKNHLSGPVPSLPNLTYLHLSENELNGTFPLIGPFNTNLKTMDLRYNKFSGAIPSCIDKTYPELRILLLKGNMFEGMIPNEVCRLKYLRLLDLCNNMLSGLIPSCLSNMGLYGDFYSFEYTMFNVLDNMHYRPVIFKNITQSGFLKFYSTLFELDQEEFTTKGREDNYKGNILSYMSGVDFSSNQLEGPIPESIGSMQWLRALNFSNNSFSGSVPNSISNLSNLESLDLSHNRLNGQLSPQLAELKSLEVFSVAYNNLSGPTLGTKGQFITFGRSSYEGNPYLCGPPLLKSCSATPEPSSLQHEQDEDDDDKVGDLILFGGSALFYVVGFWASLAVLYFKRSWRVSLFLAVDRFSDPLMVRIAILSRRIGGTN >LPERR06G04660.1 pep chromosome:Lperr_V1.4:6:3225476:3227340:-1 gene:LPERR06G04660 transcript:LPERR06G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGHGLDKRAVDVAGRRQPVHADADDPAAAAAAVAAACPVTSGLTVLDRHPSSSPSAPLLQLLTPRHRWSSRAKTSSRVD >LPERR06G04670.1 pep chromosome:Lperr_V1.4:6:3231442:3239966:1 gene:LPERR06G04670 transcript:LPERR06G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSLKEALLISESECQPRSPPHRHHPPSLPTRRPPKTSLSQQLLRLESSSSSSFPAPPPRPSPASNAAAEDAGKPDDEEETDDEGPRVRRRAPLPPAPALDSRGPYEPLLLSPPEERPVVQVPSSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHTEQVGEGRRIGPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVEAQVLEIIITSFDTFRIHDKILCGIPWDLVVVDEAHRLKNEKSKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRMYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQVLINKDLPCSCGSPLTQVECCKRIEPHGIIWSYLHRENPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRNLGVNLVSANHVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEQGKGNIAETTGIREIVDTNKFGTLDQTKSPVTAIDNENENLDHCGIVYVHRNEDVVNTRTKEGSNCDKSVPIHLELQSNNEVVHTVRAKSYSLVQKKKEFNRIASFMGMNDLEFSKWLFDMKYWTATGIESNTGEFNHESY >LPERR06G04670.2 pep chromosome:Lperr_V1.4:6:3231442:3239463:1 gene:LPERR06G04670 transcript:LPERR06G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSLKEALLISESECQPRSPPHRHHPPSLPTRRPPKTSLSQQLLRLESSSSSSFPAPPPRPSPASNAAAEDAGKPDDEEETDDEGPRVRRRAPLPPAPALDSRGPYEPLLLSPPEERPVVQVPSSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHTEQVGEGRRIGPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVEAQVLEIIITSFDTFRIHDKILCGIPWDLVVVDEAHRLKNEKSKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRMYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQVLINKDLPCSCGSPLTQVECCKRIEPHGIIWSYLHRENPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRNLGVNLVSANHVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEQGKGNIAETTGIREIVDTNKFGTLDQTKSPVTAIDNENENLDHCGIVYVHRNEDVVNTRTKEGSNCDKSVPIHLELQSNNEVVHTVRAKSYSLVQKKKEFNRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNRK >LPERR06G04670.3 pep chromosome:Lperr_V1.4:6:3231442:3239488:1 gene:LPERR06G04670 transcript:LPERR06G04670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSLKEALLISESECQPRSPPHRHHPPSLPTRRPPKTSLSQQLLRLESSSSSSFPAPPPRPSPASNAAAEDAGKPDDEEETDDEGPRVRRRAPLPPAPALDSRGPYEPLLLSPPEERPVVQVPSSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHTEQVGEGRRIGPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVEAQVLEIIITSFDTFRIHDKILCGIPWDLVVVDEAHRLKNEKSKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRMYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQVLINKDLPCSCGSPLTQVECCKRIEPHGIIWSYLHRENPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRNLGVNLVSANHVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEQGKGNIAETTGIREIVDTNKFGTLDQTKSPVTAIDNENENLDHCGIVYVHRNEDVVNTRTKEGSNCDKSVPIHLELQSNNEVVHTVRAKSYSLVQKKKEFNRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNRK >LPERR06G04680.1 pep chromosome:Lperr_V1.4:6:3240906:3245539:-1 gene:LPERR06G04680 transcript:LPERR06G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASAASAIPRRSARLAYCALFAASLLLSFLMRQFATPLLKQIPWINTFDYAQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNIVITIYEILSKFGSGLFLLVQLVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGLLFMWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASIISVYCAYLCYTSLSSEPDDYACNGLHTHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGTKNPLLGNDSVEAGKGDGKEIVARPVSYSYTFFHLIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAALYVWTLVAPLLFPDRDFS >LPERR06G04690.1 pep chromosome:Lperr_V1.4:6:3247828:3250001:-1 gene:LPERR06G04690 transcript:LPERR06G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPASHAGLLRSQQYAVLALTFAAYASFHASRKPPSIVKAVLSADWAPFSGPQGPHRLGELDVAFLFAYAAAMFAAGHLADRGDLRRLLAAAMLLSGATSAGIGAAYFLGVHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASRRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLLPAFVIAVLGVVVLVFLVAHPMDAGFEIEAAMEVEMMNEGSGEAEEVELLGEEKKEDEDVLEVEAVVELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDTIGARAVTSALFLFLSIPALIAYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >LPERR06G04700.1 pep chromosome:Lperr_V1.4:6:3252031:3254356:-1 gene:LPERR06G04700 transcript:LPERR06G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPCRCTRGTLPLILISLSAAYLTYTALLSSRSFLLPHPTASFPGSTSSRRLGSSGGGKRKAFHTAVTASDSVYNTWQCRVMYYWFKRAREVSGGGEMGGFTRILHSGKPDAFADEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQKADIQEEYILMAEPDHIIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLAKIAPTWMNISIAMKKDPETDKAFGWVLEMYAYAVASALHGVGNILHKEFMIQPPFDLEVGDAFIIHYTYGCDYDMKGKLTYGKVGEWRFDKRSFDSKPPPRNLPLPPNGVPQSVVWFSSLCRYLNLLFEYLDSFSF >LPERR06G04710.1 pep chromosome:Lperr_V1.4:6:3256901:3257170:-1 gene:LPERR06G04710 transcript:LPERR06G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRAFLPWLLVATLLLCSSTCSSSRAPIGMYHIAAGAVDIVGDYGGGVSGENVVAARRLLRTAPAPPAPLPNKMRASSMPVSPPARIS >LPERR06G04720.1 pep chromosome:Lperr_V1.4:6:3258690:3259090:-1 gene:LPERR06G04720 transcript:LPERR06G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHAVAPFLLIMITLCAVVSVHSSRPIGAAERDGGIIAGAARSLPERSMIVVLPRNGRREPMFRPPSPKANTALTSFMPPCSGAGPGCNITPGGIN >LPERR06G04730.1 pep chromosome:Lperr_V1.4:6:3271066:3271966:-1 gene:LPERR06G04730 transcript:LPERR06G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAAMLPGVECARRRRLRQGGGAEAAEAGGGARRSSFCVHGGAATVGNSKNLMVGLLGNNHQQRSGVMELIHSWSLDSNAREAKERLDQKLRNQREAVIKRHHSTGSMKLNRATASGGGGGDKGSSTAATATAAGVQREVYSRKGVMRRLMRWSRLRWDAAEQAECAVCLEEFAAGDVLAHLPCGHRFHWGCALPWLEAAASRSSCPFCRAAVDTPATPPACSSIGA >LPERR06G04740.1 pep chromosome:Lperr_V1.4:6:3280942:3286369:1 gene:LPERR06G04740 transcript:LPERR06G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRVVMGMGDPSSPSARAAAAVGEEEEVGAAGKVKLLCSFGGRIAPRQGDGALRYVGGQMRLISVPRVASFGELMRKVEAVDGGGGGGGGGGGVLVKYQLPGEDLDSLISVSCAEDYENMLEEYEKLAAAAPDGSAKLRVFLFPASGSDAAAAAAGSGSAGSHLAAAVDESGQRYIDAINCVSGESVAAMRRKESVASAGSSAHNSEASEYGATAEGMSPQAVAPPSLPPEYLYSGGGKYHGGGFPESLGFSAVTASAPAVGIPAQNPVLVRTEALPPQPHQVASYAPSHQLPQVAAYAPHQQPRVASYALQQQQQQPQVAPYIPQMPQPQAYREPQQVQFINAQQLGVHGVPQSVNFVPVQMSQFVPNIPVTNSVSNSAAHVGTLKPASAAAEPALENVPNTRPMQTAGDQNYRVLQPLSQLPPLPPVHLQTSDAQRYGMQTVVTSTMTTPLVTSSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGNPHSANNPDAAPMFYSLHQENVTRQHTPGASTGAPANYIVEPRSELTAGTVQIDPNFAANNHIVQPISFPEAGVVVPNPRVTSRLAFAGHPPQTRAEDPVMYQHQQQISYSMPPSQVPVNGAIGNPQVIDASAFKNSNNQVADPIREYAHDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPSSPHGAIGDGKLEKPHVNIDGGSIYKSQAGGYHLGITNAFSVPAEDNLVRPTEHSPSAFDSQNFHSDISQQLNVLQNVPVSNNLGVPVKPHISNERFLVRPASAGVQVPVEHSSLRPAEMLNHVVSAPPNGVSQFPLQTTAGIDSVETVRDPAYTDSLFSNRDPWNAVGNASVAPPRPNKLTNEHVVSGDPYVEGHGLAINSSNAATLLEEGNLPLIQDHTFKDIYPEPSQINKGFGEESIKRQLQAVAEGVAASVLQSPLPEKPAVFSGDHTDKHGAGTGPKSEDAVNSQSEKTSQGAKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRADFWNEAAKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPEACDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPPTKTQPQK >LPERR06G04750.1 pep chromosome:Lperr_V1.4:6:3287859:3290771:-1 gene:LPERR06G04750 transcript:LPERR06G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSTTQAPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASGCC >LPERR06G04750.2 pep chromosome:Lperr_V1.4:6:3287859:3290771:-1 gene:LPERR06G04750 transcript:LPERR06G04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSTTQAPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASGCC >LPERR06G04760.1 pep chromosome:Lperr_V1.4:6:3292950:3293846:1 gene:LPERR06G04760 transcript:LPERR06G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPKFPLLRLHHSAATTVRFAAAAAAAKSSASSPDPLPPELQLIADVRTPYNHIRVADVSAAAAGFAHPLAGARLLLLDSRGGGDPLTSTYLDVFATIPPLLPQSASSLAVLGFGAGSAARSILHFFPHLPSLHGWEIDPAVISVSRDFFDLAELEEQHADRLFVHVGDAFHAEGVFGGVLVDLFANGSVLPELQEASTWRRIGAMVAAGGRIMVNCGGPCVEAEEEERDGEAVKEATLGALMAAFGCEMVSVMEVDQSWVAMTGPAVSPVMAAAWKGKLPPELRRYVDMWRPCSP >LPERR06G04770.1 pep chromosome:Lperr_V1.4:6:3294891:3296954:1 gene:LPERR06G04770 transcript:LPERR06G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHMIKVMGFQRKIKILAKRTIQESEAVTTVHPVKTKRPRAEEQEEAAAAASTPRAFGVQDSVLHCPPAPKKPRLVMGCSLNGFKVLSVMDLRCFLR >LPERR06G04770.2 pep chromosome:Lperr_V1.4:6:3294346:3296954:1 gene:LPERR06G04770 transcript:LPERR06G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCELKVMGFQRKIKILAKRTIQESEAVTTVHPVKTKRPRAEEQEEAAAAASTPRAFGVQDSVLHCPPAPKKPRLVMGCSLNGFKVLSVMDLRCFLR >LPERR06G04780.1 pep chromosome:Lperr_V1.4:6:3298867:3300055:-1 gene:LPERR06G04780 transcript:LPERR06G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKTQRSEVAASLFALVLALLISNSLAGRDRSFMTDQGSLRQHDEGPETKQNESPRQVYGRMLNVKTNDYGTYDPAPSTDKPHFKLIPN >LPERR06G04790.1 pep chromosome:Lperr_V1.4:6:3304861:3307936:1 gene:LPERR06G04790 transcript:LPERR06G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTAEDASLILDHVVGDPSIPAAAANAFEAAVGNSFSQAVLRGLWGDRAAAEERVREFLAAEWAAIGPSRLEEAAERIVGDGAVETWSAADDVTRAKYCILAGEQRAREIQGKLGGTIPQGKQISTPEVHKVMDALKSSCANLHSVLEDPLPAAKAAADEVLAARMDKAVDLNAGQVSNQAATCSIAGPSAPTNDREAPRKGTSPSLMDWNPTARTYQWEDSTDPEGSGSPIHRPHLPSPRRTTISPLQPADDKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILIHNPDVFIGRKAMDLKDKWRNMMR >LPERR06G04790.2 pep chromosome:Lperr_V1.4:6:3304861:3307936:1 gene:LPERR06G04790 transcript:LPERR06G04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTAEDASLILDHVVGDPSIPAAAANAFEAAVGNSFSQAVLRGLWGDRAAAEERVREFLAAEWAAIGPSRLEEAAERIVGDGAVETWSAADDVTRAKYCILAGEQRAREIQGKLGGTIPQGKQISTPEVHKVMDALKSSCANLHSVLEDPLPAAKAAADEVLAARMDKAVDLNAGQVSNQAATCSIAGPSAPTNDREAPRKGTSPSLMDWNPTARTYQVR >LPERR06G04800.1 pep chromosome:Lperr_V1.4:6:3308396:3311481:1 gene:LPERR06G04800 transcript:LPERR06G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSSLPPRFLPSLVSCSMRATTSASTAAAAAAAAYMTSPAGGGNGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPRVRVDIYDENGIGGGASGVSGGLLHPYSPKVKLLWRGGEFWKESMDLLRSAEQANGAAGSDGTGQEENLIWRRQFLSCLQSCSLQVLDSDEAQCLVPGLRVPLNFAVYMPLALNINPKKYLQDLFFACQNMSGEALLSSSEQKECRLYKEHIADLQQFAGDYDSIIICLGAKASSLPELTNKLPLRNCRGVIAEFQLPSDTVETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSAVSDDEALTTMEELLPKASVVYPGITKWKFVQARAGIRAMPPVTSNGSFPLLGCLDNLIGKRSNCSFWLVGGLGARGLLYHGLAGKLTAKAVISCDESLIPSEFTYWKEP >LPERR06G04810.1 pep chromosome:Lperr_V1.4:6:3311752:3315720:-1 gene:LPERR06G04810 transcript:LPERR06G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISPEDLHNIDLSKAPVAEVFEALKCDKKGLTGAEGENRLRVFGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRAPDWQDFVGIVSLLIINSTISYIEETNAGDAAAALMAGLAPKTKLLRDGRWEEQEASILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESMPVNKHPGQEVFSGSTVKQGEIEAVVIATGIHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIVSIAAGMLVEILVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSKQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIKEVHFLPFNPVDKRTAITYIDGDGDWHRVSKGAPEQIIELCHMAADAEKKIHTLIDSYADRGLRSLGVSYQQVPDKNKDSSGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLAIDELIEKADGFAGVFPEHKYEIVKRLQERNHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVLGTYMALVTVLFFYLAHDTDFFSDVFGVMPIKYNEREMMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQMVATAIAVYAEWDFCRMQGIGWRWAGAVWAFSVVTYVPLDVLKFIIRYSLSGNEKFVGVCLGVHEQEGLRQLRGSARTHGLTAGDLAGSGGGSSKEDHSELAEKAAKRAEVAKYMSRKFFIVFMCIYT >LPERR06G04820.1 pep chromosome:Lperr_V1.4:6:3317821:3318272:-1 gene:LPERR06G04820 transcript:LPERR06G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLRSKGFLAPPPPPRSSTAVAAMPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >LPERR06G04830.1 pep chromosome:Lperr_V1.4:6:3324540:3325151:1 gene:LPERR06G04830 transcript:LPERR06G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPAHDAAAGEASPPHASSSFLSAALVAKLHRYNLASVQAAQRGGGGDDEATSASAAARAVMPPAPPPAAGNARASAAAAEWSGGFLEEQYVDQMIEELLDSNFSMEISC >LPERR06G04840.1 pep chromosome:Lperr_V1.4:6:3331581:3332612:1 gene:LPERR06G04840 transcript:LPERR06G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACFAAGDVSSSSSSPAPATSSSTSVYWTHLGIVTLTWSRGKLGLVLAAELALALAGEGDAVLRFVLRPWLPWRRRGSKRFVVGGHAVAFSWDMSRARLGGGHRPEPMARYSLHVCVDGELVLAAGDLAIRSPSAGFLLTRRENAVSGGEAYATTVAVAGERHEVSIAVDDGAGGGVMWVAIDGEKALQIRRLRWKFRGSERLDLPGGRLRVSWDLHAWLFAGDAAVFVLRFETAGAANSPKIDVSGDDLAAGGGGGGGGGESWCSSDSEMSRWRRGAFRLGSDSSPAVSVASTSAASSSAGSVATVAEWATAEEAALINDGGGFSLVVHLWKKKKTKRRR >LPERR06G04850.1 pep chromosome:Lperr_V1.4:6:3340219:3341059:-1 gene:LPERR06G04850 transcript:LPERR06G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSPSTAAASTPRAPKQHPPRGASASSAAAAGSPVYLNVYDVTPANGYSRWLGLGVYHSGVQGMYAPLLNFKNFHGVEYAYGAHEGAASGIFEVAPRRCPGYAFREAVMVGTTAMTRAEVRALMADLAADFPGDAYNLVSRNCNHFCDAACRRLVAGRARIPRWVNRLAKIGVVFTCVIPGSGNAVRRPAGAGAGGGGIRSRSARQAADAAAAPRAKTFFRSLSVGGGDGGGGKNVAPRLLSTSPSPTMAAPAMTSSAAT >LPERR06G04860.1 pep chromosome:Lperr_V1.4:6:3342706:3360141:-1 gene:LPERR06G04860 transcript:LPERR06G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRGGGGGGGDEPPRSSSGASASASVPNEPLTPTSMLVSGQQAAGLNRRGSRSAAMATFSMEVFDNEVVPPTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRLKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIARLHQDVQEKKDIYAPFNILPLDAASASQSIMQMEEIKAAVTALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRNPSGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVAPIYRVIKKESGHLIVSHWGGQCGTMAGSSSRKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKELLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHRCTFIDAMRNILKMIVSAAWAVILPFFYISTAAKVNLPIKDLDKWFRYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWHIVRLLLWWSQIKPLIKPTKDIMSVRNIRYEWHEFFPNASYNIGAIMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLSKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIAIIIKEIEANIGKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYTEETVYSRSDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVHKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNAALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKAWESWWEEEQEHLQSTGLLGRFWEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTIGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIVIFVPVATLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >LPERR06G04860.2 pep chromosome:Lperr_V1.4:6:3342706:3360141:-1 gene:LPERR06G04860 transcript:LPERR06G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRGGGGGGGDEPPRSSSGASASASVPNEPLTPTSMLVSGQQAAGLNRRGSRSAAMATFSMEVFDNEVVPPTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRLKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIARLHQDVQEKKDIYAPFNILPLDAASASQSIMQMEEIKAAVTALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRNPSGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVAPIYRVIKKESGHLIVSHWGGQCGTMAGSSSRKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKELLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHRCTFIDAMRNILKMIVSAAWAVILPFFYISTAAKVNLPIKDLDKWFRYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWHIVRLLLWWSQIKPLIKPTKDIMSVRNIRYEWHEFFPNASYNIGAIMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLSKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIAIIIKEIEANIGKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQVIFAKPFFAFYNSEGALTSLVQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYTEETVYSRSDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVHKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNAALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKAWESWWEEEQEHLQSTGLLGRFWEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTIGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIVIFVPVATLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >LPERR06G04870.1 pep chromosome:Lperr_V1.4:6:3360188:3360520:-1 gene:LPERR06G04870 transcript:LPERR06G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAIHSSYGSNDCHVSHHPRSGILSPTRTPEPTAYFTTRFPSHLPHLFPPPAGRRDEADAEAEHSSPLALRRKP >LPERR06G04880.1 pep chromosome:Lperr_V1.4:6:3362373:3366429:1 gene:LPERR06G04880 transcript:LPERR06G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHALLRSRSPPSWPAAGSAAPAAAVAARASTSPPPLSPPPRRPGRRSMASAGAGSGGRGRGRVRIAVVGDVHNDWTLDEDSKALHSLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTHQFSEKKVDRVRLQLESLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGNRIFRPKLLSKCYGVNDMAESAKKIYDAAANAPEEHAIILLAHNGPTGLGSRMDDICGRDWVAGGGDHGDPDLEQAISDLQREIGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANQTIYLNGAVVPRVKYAQQSMYSSTVSTSEQTGVVEGLGLMVPTSRAFTIVDLFDGKVEKISEVWVTVGDARTELEDELVLYKQPREHI >LPERR06G04890.1 pep chromosome:Lperr_V1.4:6:3366875:3375082:1 gene:LPERR06G04890 transcript:LPERR06G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYHNSKPAEETVWNGPSNSAAVTAYAKFWPLIFESDDYIHTESFDITGLLDVQSEFDNDDIARAISLSLLEEEQRKAKAIEKDVHLEEDEQLARAIQESLSVESPPRARENGNANGGNIYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMTGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIMAGSSSSGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >LPERR06G04900.1 pep chromosome:Lperr_V1.4:6:3389219:3394502:1 gene:LPERR06G04900 transcript:LPERR06G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSALRMEERKRLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSNRERNNLDFPKECNKLPSVDTEHGPNQPTCGSSDQNGRTSKKRKELHGEEDDEVDDSECQDNDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAALGGRDPSFLHMGAFEGLQSYQTFAPSAVLPSFNPHGLLSRTSGAAFGLQELAPSNTIQTATGNVTISHCLEENQQTNITQGLTVTLGQSQLQQNWIHPENNGLSDVFSGSALTNTLSGTLHRVPSSSLPPQELLECKQTNVSMPPSIRIPSASSGLLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGPIATKLDTTLATSQREIGQQGKFSVNMLVCPSDNLTLAKNAKTGASSSGSTIMLPLDTARHSDYLQFGGASNSVQKIDGQKQDHIQNSNIIWSSMPSSELPTDNQTHITQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRTSEDKLKQKNTYDLGNSKLQGGFNSSSCNFDGLLNSIIKVEKDDLPFLDNELGCDLFPLGACI >LPERR06G04910.1 pep chromosome:Lperr_V1.4:6:3397479:3404341:-1 gene:LPERR06G04910 transcript:LPERR06G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAEEANKWRRRCSYFWILFPLAVLATCMTITIVTFSSTTKYMTEVMGEATKGTMDSALMHLAGNMQPLLEANRSAFTIANTLHLGKMTSISYVGPKLFLAFSIQPLLAQISYIAGDGAAFSYYRAVAGGEVRALFTRWSNHTWYTQAADPLTGRLIGHATPAPPPNVTRVLLAGGASLATGWAHPGIRILFLSAPVDGGAGGVVSAAVAVDDVVLRGAAGLRLLGDLGIYYAVTADVDGGAPAPSYRSLLDGGETEEQEMGLFAKVRCTASGIDKTPKLDVHGSKSDKYRFACNNFDVSGIHLGFRLVLRRSTMMDAFRRGGVTMTVLACAMAVAATAACVLMARALRRAVAREAALDADLVAHKDALRQAERKSMNKSNAFATASHDIRSSLAAVKGLIQMSRPDADGNPGMVENLNQMEVCTNKLFDILNSILDTGKVVSGKMQLEEMEFNMADVLEESVDMANVVGVNKGIEVIWDPCDFSVLKCGNVIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIARGSIGAPSRFAYRSLEFSFFKFCFGAKEDRASQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSLVRLMGGEISIKEKEPGERGTCFGFNVLLTMSGVQSTEDIEEGPSIVRDTNIRASVFREVNCFKGWHCILFVHGDETRRVLQVWMESIGMKVWMVPEVEFISFTLEKVQSSHDDFDADRCFSSKEMVNQVLPTTLRNNNIMARNLGEHHPLGMLFIVDVSKGQFDDIKRQAADFVKMKLHVPCKIVCLTDLKTSSKDFRRLQEMSCDLVLRKPVHGSRLYALLMGLRDAQSSPIQTSSLVGHENFVTWQQNVGGIGMGDSANNVASARVERLDQGLKTEDDKPLGGMHILLVEDTLVLQTIQRKMLNQLGATVELAGDGVKAVDMFKAAIERASVSEEHNVPLPYDVIFMDCQMPQMDGYEATRRIREEESHYGIHTPVVALTAHSMEEDLQKAISVGMDLHMTKPIERKRIVEVVHGLRKDSN >LPERR06G04920.1 pep chromosome:Lperr_V1.4:6:3408823:3411546:1 gene:LPERR06G04920 transcript:LPERR06G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIPLHHPRERPRTANSSAKMARRKLGMLLVRSKGTKVGQTAKKGLAKPDDLSVVPSSPGNGLAPTQRVQTVQMHLDVGATVDRH >LPERR06G04940.1 pep chromosome:Lperr_V1.4:6:3415485:3420906:1 gene:LPERR06G04940 transcript:LPERR06G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGICWIPKGANRNVPLVDEPPTQAEINEAMKTVDDALDCNESDYDDEEDNMDVVDGAQGEEEVDDDIVQAKGVASALPKRTLITRLIISLLASKSLTWKIMTTRMEDDEDDEEEDEEIEDKTVKPTDIMIASIHNKDDYSYLQARNLMAVGTMSPEIEIWDLNVMEEFEPRIILGGKLKNKAGKQKKMGTYRKGSHRDSILGIAWNKEYMYVFILAQVQAVTWSHFSPEVILSGSFDKSVALKDVKNSSTDCIRWSVGSDVESMAWDPHNEHTFVVSLENGMVQAFDKRRASSNQNSSLSMFTLHAHEKAVSTISFGPAAPNLLATASTDKMGAIFSVSFSMDSPFLLAVGGSKGNLKVWNTLTETSVANKFGRH >LPERR06G04950.1 pep chromosome:Lperr_V1.4:6:3421744:3425139:-1 gene:LPERR06G04950 transcript:LPERR06G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVRSKRPPWRRTVAVQVALCVAMYAAFSLGEPRLHRRPRGGGEEAFSLGRGGRGGGVSFLSVAGGARPAADQARLLRQMEGIAKAYKVKFVLDVAELGEDDPLWQNGSLYFQALNIPWYSTTSSHGQIIGNFIKKVKMPYDQNLEIIGMDTGPLKEPIHDGKISASSREQIKWLEQSVASTSTNWKIVVGYDPLVVCTEAHRLESTKFCGPLQRVFAKYAVSAYLSKVGPCGHFHQDSSMLYIGNPSPDVLTSSDGFLLHIINPLEMESLVINLEGKVVERSVVHHHGLEAL >LPERR06G04960.1 pep chromosome:Lperr_V1.4:6:3425270:3444521:-1 gene:LPERR06G04960 transcript:LPERR06G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYTLDESDDDLPPKGGKGRDRHSDGPTERIEREDAKEDACQKCGENDNLVSPLTEMEKILDCEAQTEGAEETSSSESGSKKKPGKRYLIKVSESEYLETAKIYPRLKTRLNNFHRQMDSTDKSDEDYSAIRPEWTTVDRILASRKSSTGEREYYVKWKELNYDECTWENESDISVFQPQIERFNEIQSRRKKSTDKCKGVREQRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKPSPSKEEKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTNHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSITDLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLSRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANPEEALRRLLDSSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDEDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEDDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANYWDNLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQAGVSGRRGPYSKKKQRNVDSLPFMEGEGRSLRVYGFNQIQRTQFLQTLMRYGFQNYDWKEYTPRLKGKSVEEIQRYAELVMTHLIEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLAGGRIWKAEHDLLLLKALIKHGYARWQYISDDRDNGLFEAARQELKLPTASELISAHSNNDANGNVENTQEGQSNPTSMTHYRDTQRKTVEFIRKRFHLLERCLNLEYAVVKTKTPVPDDLAEQDFPGGHRPAIPDLSEALRNLPALEPISAKEVAPDDTSGQLEASHLYNKMCFMLEDSAVPAFNSYIGDKAADTSMANSFHKIVAICEDVDRIMKSCGNGTTPKEEVVVDATSKETTPPQDPGTKQVLTEEGPSSSKETTPPLQDPVTEAVEEEPPAVEAEDKMED >LPERR06G04970.1 pep chromosome:Lperr_V1.4:6:3448289:3449335:-1 gene:LPERR06G04970 transcript:LPERR06G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATTRAVVLRLDDLSLPARRLTVPSHLPVSDLIRELPLPISSSSSSFYLTADGRPLPLTASVATLPPSGSVQLRVRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIQLHPKPDADAAGEEVRFQCPITGLDFNGKYQFLALRKCGHVLSVKALKEVKSCACLVCHKEFDEADKMPLNGTDDEVAALRQRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVAGVGKLENGKKGEAPSAKRFKASDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >LPERR06G04980.1 pep chromosome:Lperr_V1.4:6:3450446:3452714:-1 gene:LPERR06G04980 transcript:LPERR06G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSRDTAGAGAGDGGAQDDFFDQMLSTLPSAWADLGGGGAAGKSPWEIAAAGGEVDPSAAQVFDESALLASRLRQHQIGGGGVGGEKPVMLQLSDLHRQASGGGEEDGGGGAFSPLPLFTDRTNVPPREEIDGGGGGGFKSPNATGGGDHAMFNGFGVQPPFGQGGSMSGQSFGGGGTAASGGTAPVSEEGRRRRGSSGCARGEGKPPTHTASPNTRLLTMTESLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSGNGGGGKASKVNNGGEGGGGGSGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPAIRHTAPPQMLDAAAAVAGCGGPASPAGMSNGNAAAAAGVGDDARHAQDGGAGGGTQ >LPERR06G04990.1 pep chromosome:Lperr_V1.4:6:3457034:3458239:-1 gene:LPERR06G04990 transcript:LPERR06G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTKDTLNNVGWKEVGDAVTTDSNQPVVKKRLPKKIRQVPECYFLPRRSLPSALAFYGAWCAAGVGAGMLLEVWINKKIKGAFYFL >LPERR06G05000.1 pep chromosome:Lperr_V1.4:6:3461227:3462460:-1 gene:LPERR06G05000 transcript:LPERR06G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEALPLPPPPRLAAVPPPSIRAHLARAAAAGGDSCQSSPSPRSLLSRILQRGGSGGGGDGSGGGKFGCRVRLPRRYSSTSSANGDGAAAAAAGEKDSPARMKLVGKPPELPFETPRSSLGTNSDGKKKPEEEIMSLNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRNEKKNSSSVAIAAAAADSSSSRESDGRSTTAVKDPIARPAVSDDSISNCSAVTHRMEAELQAELSRLHCAGEKLPPLPQLKTTTMAKSNVSDSPPRSCVVDDDDVEEGDNGEEDDVEEEYDDEEEGGYGGGERSPPHGGVSARALERRLYEVLQKRQQERIVELEAALDATQRRLHDKEREVVWWRDAAKLVTHRRDDSRRFARS >LPERR06G05010.1 pep chromosome:Lperr_V1.4:6:3469034:3475489:-1 gene:LPERR06G05010 transcript:LPERR06G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSVAEVVAAPDGVLHRRIEFHLARRPHAAVAVGGGGFQMETLNPDAAAVAAQVVGAAARGEGEVRKAEKGEVVGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQIGISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPYISDSKGTDFKYSLYGVLVHAGWNTQSGHYFCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVSNPMPRKDNSIANMPGKKTMPERISGPNGIIQSGVMEAKLNGSSSPYGDKRLHSISNGNLSIMNKTSADNCSKIDGKPEAPTAPVNNGLASTQKAPAPQIDSATLSSQSKQVASSGHREPSLLDQSASLIHKTVTSSMANGDATLSEPDKQISGCQNPNSKLASHDKDTALAAQSFPTKDAIVSNGAVPSSRAAIYNEKESGLQKSIKQDDKTVKELPVNMNNIVSGLKQVNSGKQTNSEDSMKAVAADSCNFIVKRVDLKSKKLVRYPVMNMWLRPRQLLVGSFKSQKKKKHNRTSRRQRVCEDMANVDCSGNNTCEQQPSTSATVSSETLECSPRGQKRAYDSASPKNDDQKQKNKQQVIGAGTGSGDKENTISETITSAKLPMLGPSSSENQKHLRNNADAKLGVAQNFSILTRDLTEVTVPCWDDVAAPSTEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDFDGPNPFQEEANFISQRTMKQRTYQARSWKKHAHARR >LPERR06G05010.2 pep chromosome:Lperr_V1.4:6:3469034:3475489:-1 gene:LPERR06G05010 transcript:LPERR06G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSVAEVVAAPDGVLHRRIEFHLARRPHAAVAVGGGGFQMETLNPDAAAVAAQVVGAAARGEGEVRKAEKGEVVGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQIGISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPYISDSKGTDFKYSLYGVLVHAGWNTQSGHYFCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVSNPMPRKDNSIANMPGKKTMPERISGPNGIIQSGVMEAKLNGSSSPYGDKRLHSISNGNLSIMNKTSADNCSKIDGKPEAPTAPVNNGLASTQKAPAPQIDSATLSSQSKQVASSGHREPSLLDQSASLIHVIGKQAVAVVPSQEFQPKAGSSIDLGQKTVTSSMANGDATLSEPDKQISGCQNPNSKLASHDKDTALAAQSFPTKDAIVSNGAVPSSRAAIYNEKESGLQKSIKQDDKTVKELPVNMNNIVSGLKQVNSGKQTNSEDSMKAVAADSCNFIVKRVDLKSKKLVRYPVMNMWLRPRQLLVGSFKSQKKKKHNRTSRRQRVCEDMANVDCSGNNTCEQQPSTSATVSSETLECSPRGQKRAYDSASPKNDDQKQKNKQQVIGAGTGSGDKENTISETITSAKLPMLGPSSSENQKHLRNNADAKLGVAQNFSILTRDLTEVTVPCWDDVAAPSTEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDFDGPNPFQEEANFISQRTMKQRTYQARSWKKHAHARR >LPERR06G05020.1 pep chromosome:Lperr_V1.4:6:3479386:3483605:1 gene:LPERR06G05020 transcript:LPERR06G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFYRRPPQGLVEISDNIFVFDQCFSTDFFEEDELKPYIGGILKQLLGRYSVDSFMVFNFEGSKKDNQIACIFSDFEMCVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEPGGWPVLAFALAALLVYLRRYNDEGKAMEMVYKQAPPGLVEHFSPLDPAPSQLRYLKYVSRRHISPELCPPADRVMNLNCVIIRGVPNFDGKGGCRPILQIYGPDPFVPNDKSTKVLFSTPKTSDFVQLYTQEDSEIIKFNARCPVQGDVVMECVSLDENFEHEVMVFRVMFNTAFIEDNLLLLDRDHIDILWDTKHRFPIDFRVEVIFSEMDTITSLHTSQLSSEDKESFSRVEDAFSHLDWSTKSNNISTDATEQKGPNNEHDGFDVISLQETESSNATSKHSLPGSRSVQVIQIESEHNHSSVQKFEGVNDAVADAHSLPKPDSLAPKSQEYELFEDSSPRELPKWDATKNNPNSDPPCTNSRDSEAADATVAEWSDTNTDTFLSDTPSSSSPSSPPKFDEDSMDAGIVEIGSQPTEPPRC >LPERR06G05030.1 pep chromosome:Lperr_V1.4:6:3484034:3486211:-1 gene:LPERR06G05030 transcript:LPERR06G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDGVATAAAAAKLGHGQTVCVTSAGVFVGSWIVKLLLERGYSVRGTVRNPDDAKNAHLWELAGAAERLELRKADLLDYGALRAAIAGCHGVFHTASPVTDDPAEMVEPAVRGAVHVIEAAASSSTTVRRVVLTSSIGAVAMDPRRPADAVVDESCWSDLAHCARTGNWYCYGKAAAERAAWAAAAARGLDLAVVAPALAVGPSLGAVSASLAHVLKYVDGSSAATFANAVQAYVHVRDVAAAHVRVFEEPDAAGRYLCADGVVLHREDVVGILRKFFPGYPIPSRCSDEVNPRKQPYKISNRRLRELGVEFTPVEQCLYETVLSFQEKGILPVVVTASQPTPAKL >LPERR06G05040.1 pep chromosome:Lperr_V1.4:6:3486026:3496020:1 gene:LPERR06G05040 transcript:LPERR06G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVRTGVPDGASDRVAPLEEELDDPRPNEHTGAGHAHRLPVPQLRRRRRRRDAIVHAGHGSTLLHELRVRDKTRSGCTDERR >LPERR06G05050.1 pep chromosome:Lperr_V1.4:6:3489239:3492718:-1 gene:LPERR06G05050 transcript:LPERR06G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGDSRYVLSELPSDIVIHVEEARFFLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKTFEICAKFCYGMVVTLNAYNVVAARCAAEYLGMTEDVDKGNLVFKIEVFLNSSIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQLVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVIGEALKAYASRWLPECLDATTIDDDSDAYSMAYKHLLETIVWLLPSDKGSSCCSCRFFLKLLKVTILINAGELLKEELMDRVILQLHKASVSDLLIPARPPAPTTYDIQLVLTLVGRYMRQAGVAEDGIFLNNLDQEMFQTDIDDESLLALSKIVDGYLAEVASDPNLSVSSFVALATSMPDAARASHDGLYTAVDIFLKMHPNMPKAEKRKICGMMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAGGSQPPPPPATSAAMARLVAAEDDDDDGVGGDWKSRALMLPPGQPTPSLLKKQLGSLKLAGEDGGGGGGEDGRRLARVSSVANQSSRLSLSSRSRRMFDRLWTGGGAGKMLLPGGEVVSKSSDTSGSSQSPRSSAKPPESKSSSSSSRNRRYSVS >LPERR06G05060.1 pep chromosome:Lperr_V1.4:6:3510369:3524205:1 gene:LPERR06G05060 transcript:LPERR06G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPRNARRTEVVTLLWLLSFASKAQGYNVRKGFLDKFFVFGVPGFGSCLSFLEIVILIKNKIEGKDIANHELFFRCSQFLSWIVVALVSVYGPWFVVYNPIMCFCWILKILLEIPHLQYKLTVLKAVMYFKEIISFSMAIVFALFVIVSTVVDRPCSKREVNSIEAPLVPDDEKVEPEVTNQENSQSIWELLTFKFVNPMMDIGITRQLDFADLLELPVELRAASCYEKLLFSWTVEHQHHCADSSLLRAMSYAYGWTYVRLGLLKVINDSIGFVSPLLLNKFIKFIQQDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITIILIPGELLAHIRTVKMYSWEKLFTRRLVEKRELEVKYLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATAVISSRRLSKYLSSPERCSAIAASADLHQNTETDVNAMAVILRDVCCSWSSSSIVESSMILRDISLDLQKGLFVAIIGEVGSGKSSLLNSITGEICVTSGSVTSYGSIAYVPWILSGSLRDNILLGKEFDSRRYEEVVRVCTLDVDISAMVGGDMSHIGEKGLNLSGGQRTRLALARALYHDSDVYLFDDILSSVDSQVASYILEKAIMGPQMRRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLESFLATPYSTISKTESSRFISTCSEKEKGVIVAHEYTTNVLIDDNSVVDHDEQRDQNSVEARKEGMVELSVYKKYAAFAGWSITFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAMFGLFNSFFTLGRAFSFAYGGLCAAIQIHTDLLNNLIGAPVQFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPMYSSFTETLDGSSTIRAFRKEGYFLERFIQHVTLYQKTSYCELIAGLWLSLRLQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSECPHSSWPTEGKIEFEHVSLRYKEDLPLALNDVSFVISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDSFDVAKLAVRYLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWETLDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECQGMTVLTIVHRISTVMNMDNILVLDQGKLVEEGNPEVLLNNKFSRFSRFAKASNMSGDVDVLVGVDAAAAAVAVGVDGDDDVDGIEDAGEQPWRRQTPSGGRRMAHRISRQRQQHEHNPID >LPERR06G05060.2 pep chromosome:Lperr_V1.4:6:3510369:3524205:1 gene:LPERR06G05060 transcript:LPERR06G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPRNARRTEVVTLLWLLSFASKAQGYNVRKGFLDKFFVFGVPGFGSCLSFLEIVILIKNKIEGKDIANHELFFRCSQFLSWIVVALVSVYGPWFVVYNPIMCFCWILKILLEIPHLQYKLTVLKAVMYFKEIISFSMAIVFALFVIVSTVVDRPCSKREVNSIEAPLVPDDEKVEPEVTNQENSQSIWELLTFKFVNPMMDIGITRQLDFADLLELPVELRAASCYEKLLFSWTVEHQHHCADSSLLRAMSYAYGWTYVRLGLLKVINDSIGFVSPLLLNKFIKFIQQDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTVNLCNSLHDAWRISCAGELLAHIRTVKMYSWEKLFTRRLVEKRELEVKYLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATAVISSRRLSKYLSSPERCSAIAASADLHQNTETDVNAMAVILRDVCCSWSSSSIVESSMILRDISLDLQKGLFVAIIGEVGSGKSSLLNSITGEICVTSGSVTSYGSIAYVPWILSGSLRDNILLGKEFDSRRYEEVVRVCTLDVDISAMVGGDMSHIGEKGLNLSGGQRTRLALARALYHDSDVYLFDDILSSVDSQVASYILEKAIMGPQMRRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLESFLATPYSTISKTESSRFISTCSEKEKGVIVAHEYTTNVLIDDNSVVDHDEQRDQNSVEARKEGMVELSVYKKYAAFAGWSITFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAMFGLFNSFFTLGRAFSFAYGGLCAAIQIHTDLLNNLIGAPVQFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPMYSSFTETLDGSSTIRAFRKEGYFLERFIQHVTLYQKTSYCELIAGLWLSLRLQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSECPHSSWPTEGKIEFEHVSLRYKEDLPLALNDVSFVISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDSFDVAKLAVRYLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWETLDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECQGMTVLTIVHRISTVMNMDNILVLDQGKLVEEGNPEVLLNNKFSRFSRFAKASNM >LPERR06G05060.3 pep chromosome:Lperr_V1.4:6:3510369:3524205:1 gene:LPERR06G05060 transcript:LPERR06G05060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPRNARRTEVVTLLWLLSFASKAQGYNVRKGFLDKFFVFGVPGFGSCLSFLEIVILIKNKIEGKDIANHELFFRCSQFLSWIVVALVSVYGPWFVVYNPIMCFCWILKILLEIPHLQYKLTVLKAVMYFKEIISFSMAIVFALFVIVSTVVDRPCSKREVNSIEAPLVPDDEKVEPEVTNQENSQSIWELLTFKFVNPMMDIGITRQLDFADLLELPVELRAASCYEKLLFSWTVEHQHHCADSSLLRAMSYAYGWTYVRLGLLKVINDSIGFVSPLLLNKFIKFIQQDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITIILIPGELLAHIRTVKMYSWEKLFTRRLVEKRELEVKYLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATAVISSRRLSKYLSSPERCSAIAASADLHQNTETDVNAMAVILRDVCCSWSSSSIVESSMILRDISLDLQKGLFVAIIGEVGSGKSSLLNSITGEICVTSGSVTSYGSIAYVPWILSGSLRDNILLGKEFDSRRYEEVVRVCTLDVDISAMVGGDMSHIGEKGLNLSGGQRTRLALARALYHDSDVYLFDDILSSVDSQVASYILEKAIMGPQMRRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLESFLATPYSTISKTESSRFISTCSEKEKGVIVAHEYTTNVLIDDNSVVDHDEQRDQNSVEARKEGMVELSVYKKYAAFAGWSITFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAMFGLFNSFFTLGRAFSFAYGGLCAAIQIHTDLLNNLIGAPVQFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPMYSSFTETLDGSSTIRAFRKEGYFLERFIQHVTLYQKTSYCELIAGLWLSLRLQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSECPHSSWPTEGKIEFEHVSLRYKEDLPLALNDVSFVISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDSFDVAKLAVRYLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWETLDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECQGMTVLTIVHRISTVMNMDNILVLDQGKLVEEGNPEVLLNNKFSRFSRFAKASNM >LPERR06G05060.4 pep chromosome:Lperr_V1.4:6:3510369:3524206:1 gene:LPERR06G05060 transcript:LPERR06G05060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPRNARRTEVVTLLWLLSFASKAQGYNVRKGFLDKFFVFGVPGFGSCLSFLEIVILIKNKIEGKDIANHELFFRCSQFLSWIVVALVSVYGPWFVVYNPIMCFCWILKILLEIPHLQYKLTVLKAVMYFKEIISFSMAIVFALFVIVSTVVDRPCSKREVNSIEAPLVPDDEKVEPEVTNQENSQSIWELLTFKFVNPMMDIGITRQLDFADLLELPVELRAASCYEKLLFSWTVEHQHHCADSSLLRAMSYAYGWTYVRLGLLKVINDSIGFVSPLLLNKFIKFIQQDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITIILIPGELLAHIRTVKMYSWEKLFTRRLVEKRELEVKYLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATAVISSRRLSKYLSSPERCSAIAASADLHQNTETDVNAMAVILRDVCCSWSSSSIVESSMILRDISLDLQKGLFVAIIGEVGSGKSSLLNSITGEICVTSGSVTSYGSIAYVPWILSGSLRDNILLGKEFDSRRYEEVVRVCTLDVDISAMVGGDMSHIGEKGLNLSGGQRTRLALARALYHDSDVYLFDDILSSVDSQVASYILEKAIMGPQMRRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLESFLATPYSTISKTESSRFISTCSEKEKGVIVAHEYTTNVLIDDNSVVDHDEQRDQNSVEARKEGMVELSVYKKYAAFAGWSITFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAMFGLFNSFFTLGRAFSFAYGGLCAAIQIHTDLLNNLIGAPVQFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPMYSSFTETLDGSSTIRAFRKEGYFLERFIQHVTLYQKTSYCELIAGLWLSLRLQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSECPHSSWPTEGKIEFEHVSLRYKEDLPLALNDVSFVISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDSFDVAKLAVRYLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWETLDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECQGMTVLTIVHRISTVMNMDNILVLDQGKLVEEGNPEVLLNNKFSRFSRFAKASNM >LPERR06G05070.1 pep chromosome:Lperr_V1.4:6:3523571:3523894:-1 gene:LPERR06G05070 transcript:LPERR06G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLEILCAILLPPLGVCLRHGCCTVRSLPLSLLRRGWILMEFWISVLLTLLGYLPGILYAVYVIVSVDPDRDRRSRGVDPDEYIYVA >LPERR06G05080.1 pep chromosome:Lperr_V1.4:6:3525625:3526803:-1 gene:LPERR06G05080 transcript:LPERR06G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAAAAARRFSRRLRLLSIPHHLSSSSTSGEPESPSDVVEPESPVRAPPDEQFAAWVSRLRPGFTAGDLAEAISSERDPDLALALFRWAALRPGFRHAPASYLAALDAASSGRRPTAAENLVYDVLAGACSPDLRLFNACLRFCCARRRLFPLAFDMFNKMRSLPVSAACRPDVETYTLLLTSVVRRVRRPPASIVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEIDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALALEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRVEEAFKLLEELKERKRGPLDQRMYSELLGGLHWISQPHQDRLPPCDKRSDD >LPERR06G05090.1 pep chromosome:Lperr_V1.4:6:3529099:3529976:1 gene:LPERR06G05090 transcript:LPERR06G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATPPSSEEPFRVYVGYDSREDIAYRVCRRSLLRRSSVPLAVIPIVQQELRSAGLYWRERGATESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVTELVTRYADPRYAVLCVHHDYRPTETTKMDGAVQTAYPRKNWSSMMLLNCGHPKNVAALTPEAVSTRSGAYLHRFMWLDDDEVGEVPFAWNFLVGHNRVDPADPVGTAPRAIHYTSGGPWFEQYRDCEYADLWVQERDAYEAEAAAEGDEEKEAKAVVQTAASAVSVDA >LPERR06G05100.1 pep chromosome:Lperr_V1.4:6:3529198:3536304:-1 gene:LPERR06G05100 transcript:LPERR06G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVPTGSAGSTRLWPTRKFHANGTSPTSSSSSHMKRCSTVPMTRGPLIHGVTVLEQCHISPTPAPAAAQSRSLPLTFFDLVFWDFPPVQRLFFYDNTDIIDVPEFLLRELPLFKDSLAAALHHFYPLAGKLPCRIQECASPEVVYSDGDSVRLTTAVSSDDFQDLADDHPRDTARLRPLLPPLMQEHGDTQAVFAVQVTVFPRAGICVGTTLHHAVADGSSYVHFLKTWTAIHRLGSTTPPPPPLFDRSVVRDGTGLRESFIEDLLEESDDDSNKRRTQDVVLLATFRFTDELLLQLGRHVESETSVSRCSPYALACGAAWAGIVHARGGGGGGDKALFGFVTGCKPRTSPPIPSNYFGNCLGLCFVEAAAEGTRLLTAASASAAIWRVIEGLAEDGRALRDARGWVRGAREYVAARAVTVAGSPKLGVYAAADLGARWGGRPRKVEIVSVERTGALALAESGRGGEGGIEVGVALPRVEMEAFRAFHIDLVRAAPRAHSLGRQRRHVLGVAAVEQHHGRPVLARVGRLHGAVHLGRLRGPIVVVHAQHGVAWVGITGHEFGDVGDEEEVAVDEERPAAVAGEVRGEEAGEGEFGAFRGAALAPVQTRRPELLLDDRDHGERHGGATQQ >LPERR06G05100.2 pep chromosome:Lperr_V1.4:6:3529198:3536506:-1 gene:LPERR06G05100 transcript:LPERR06G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFQAGRRDASRVHRNCRCRCLQHGLGLLQCRSRRLGLISITFLDPKVGVLTIPVLLEPRAPGRVVDGARRRADRIGRVDAVVAHQEVPRERHLAHLVVVQPHEAVQVRAAPRAHSLGRQRRHVLGVAAVEQHHGRPVLARVGRLHGAVHLGRLRGPIVVVHAQHGVAWVGITGHEFGDVGDEEEVAVDEERPAAVAGEVRGEEAGEGEFGAFRGAALAPVQTRRPELLLDDRDHGERHGGATQQ >LPERR06G05110.1 pep chromosome:Lperr_V1.4:6:3535679:3536511:1 gene:LPERR06G05110 transcript:LPERR06G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSEEEPFRVYVGYDSREDIAYRVCRRSLLRRSSVPLAVIPIVQQELRSAGLYWRERGATESTEFSFTRFLSPHLAGYRGWALFVDCDFLFVADVTELVTRYADPRYAVLCVHHDYTPTETTKMDGAVQTAYPRKNWSSMMLLNCGHPKNVAALTPEAVSTRSGAYLHRFMWLDDDEVGEVPFAWNFLVGHNRVDPADPVGTAPRAIHYTSGGPWFEQYRDCEYADLWVQERDAYEAEAAAAALEEAKAVLQTAASAVSVDA >LPERR06G05120.1 pep chromosome:Lperr_V1.4:6:3539216:3542450:1 gene:LPERR06G05120 transcript:LPERR06G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPAPPERMGNSRNSRDQKSYDGQLATTTCTDGECQGRGSDFLTKMNMGMELDPGKGIQAKRKAVLVDLYTVLTFEPPLTRMTWPLIHSAASLQRKPTTGATSIGSPIRPIGHSPATATMRSSDLPA >LPERR06G05130.1 pep chromosome:Lperr_V1.4:6:3542285:3543141:-1 gene:LPERR06G05130 transcript:LPERR06G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMTNTPVPQAAPPFTGMNGCSPTPLGHRPLHRRVAIVTGGSGGIGAAVTAHLVSLGARVVVGYVGDPAPADKIVAELNGSVTAGEQPCAVAVAADVSDDAQVSRLFDAAEAAFGPELHVLVAAAGVQDDAYPRIADTAPEQWDRAFAVNARGTFLCCREAARRLAAVEAMTKVLAKELAGTAITANSVAPGPVATPMFYAGKSEERIVAVAGECPMGRIGEPMDVAPVVGFLCSDAAEWINGQVIRVNGGYI >LPERR06G05140.1 pep chromosome:Lperr_V1.4:6:3546509:3547849:-1 gene:LPERR06G05140 transcript:LPERR06G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKILHSSMVIPGEATPEHAIWLSNFDLLVARSHTPTFYLYRRPTGSSDDAAAFFSPDVLKVALSKALVPFYPLAGRLSQDDTTGRPEISCTGEGVLFVTARSDVTIDDLGDFAPSDDLRQTLVPKADDLIAGILAMFQVTFFRCGGVCLGAGIHHTAADGLAALDFVNTWAAIARDDAAAAAAAKRRPCIDRTLLRARSPTTVRFDHAEYSRRGGSGGSKLPFDTAILPMSKNQINALKGASVGAGGVKLSTFVAVVAHVWRCACKARGLAGTEAMRLYMTADARARVRPALPRGYLGNAIFRASAMSKVDDIVTKPLDAVADMVSGATARLDDEYVRSLVDYLEQQMVANGGAGGAAGMRKGEWVMPGTDLWVISWQGLPLYDADFGWGRPVFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPQSLGKFKEVFYDELSRFQ >LPERR06G05150.1 pep chromosome:Lperr_V1.4:6:3558155:3559453:1 gene:LPERR06G05150 transcript:LPERR06G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVDVLTSELVVPAEETPGGAVWLSNLDLAARRGYTPTVYFYRPNGEPGFFAADAVRDSLARALVSFYPLAGRLGLGDDGRVQIDCTGDGAVLVTARCGAYAIDDLMGEFVPCGEMRDAFVPTAPSPAPNPPCVLLLAQVTYLRCGGVVLGLALHHSVVDARSAAHFVETWASIAAGHDASAQVQPCFEHKLLAARPVPSVMYDHPEYKPDPAPSHAVVAANTYASAIITLTKQQVTALKARCAGASTFRAVVALVWQCACRARALPPEEETRLYSMIDMRPRLTPPLPPGYFGNAVIRTSTVATVGEVVSNPVGYAARRARAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWLGMSLSDADFGWGSPAFMGPALMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLAI >LPERR06G05160.1 pep chromosome:Lperr_V1.4:6:3573206:3575245:1 gene:LPERR06G05160 transcript:LPERR06G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSPPIHAPAAQSVERLARATAPGDASAILLRLLPTCGTLASLRGLHGRLLARGLLRGLRASTKLLSCYAALGDLASARRVLDGTPRLDAYAYRVMLGWLVGAGSHADAVALHRDMRRRCPAPAQADVVLSLALKACVRSGDFAYGRRLHCGVVMAGGADVFVMNSLVDMYAKAGDLENARKVFDRVPERNVVSWTSMLSGSIQNGFAEEGLMLFNEMRQDNVDPNEYTMVSVLAACAMLGGLHQGRWIHGSVIKHGLSTSSFVSASLLDMYAKCEKVEDARRVFDELECVDLVLWTAMIVGYTQNKSPLDALQLFLHKNFANIIPNSVTIATVISASAQLRHLSLGRSIHGIGVKLGTMESDVVRNALIDMYAKCQSLQEANSIFERILITDVVAWNSMMTGYFENGMANESLVLFNRMRVQGLSPDAISVVNALSACVCLADLHIGKCFHTYAIKYAFMSNIYVNTALLNLYNKCADLPSAQRIFNDMTDRNSVTWSAMIGGYGMQGDSAGSIDLFNEMLKDNVHPNEVVFTSILSACSHTGMVNAGKEYFDSMAQHFNITPSMKHYACMVDVLARAGHLEQALELIQNMPVKAEISVWGSFLHGCQLHSRLEFGEEAIKKMVALHPETPDFYVLMSNLYTSYGRWDKSQTIRRWMQERGLVKLPGYSSVGSENG >LPERR06G05170.1 pep chromosome:Lperr_V1.4:6:3576690:3579083:-1 gene:LPERR06G05170 transcript:LPERR06G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLRRRLPPSAAALAADAATLRRAYLRLISLSSTPRHLDQILAVSLASGHYPLDAAPATSLLLRYASLRAAPPRHLLALFRAFPRPDRFLRNALLRSLPSLRPGLLFPCPDSFAFAFSATSLAASCSRSGVAFSSADSAARALHALVVAAGYAADTFVASALAKLYFVVSRGDHARKVFDMVPAPDTVLWNTLLAGISGLEALEGFKRMVSAGSVQPDETTLASVLPSAAEVGDVTMGRCVHAFAEKCGLAEHEHVLTGLISLYAKCGDVESAQRLFGTMAKPDLVAYNALISGYSVNGMVDSSVDLFTELMASGLKPNSSTLVALIPVYSPFGHELLARCLHGFVLKSGFTANSPVSTAITTLYCRLNDMDSARNAFDAMPEKTMEAWNAMISGYAQNGLTEMAVALFEQMLVLNVQPNPITISSTLSACAQLGALSLGKWVHRIITEEDLEPNVYVMTALIDMYAKCGSISEARRIFDSMDNKNVVSWNAMIAGYGLHGQGSEALKLYKDMLDAHLLPTSSTFLSVLYACSHGGLVEEGRKAFRSMIDDYGIVPGVEHCTCMVDLLGRAGQLKEAFGLISEFPKNAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKRQYSEAAVVRQEAKSKKLVKTPGYTLIEIGHKPHVFMAGDRAHPQSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAISFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >LPERR06G05180.1 pep chromosome:Lperr_V1.4:6:3580998:3585592:1 gene:LPERR06G05180 transcript:LPERR06G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTATAAAAAAAGRFPCLAAPATRPASAGRLLPAQQQQHWAGVSVSVPVVAAPRPSQRRWTPGVAYATAATGKSVHDFTVKDIDGKDISLSKFKGRALLIVNVASQCGLTTTNYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVIERYPPTTSPFQIEKDIQKLLAA >LPERR06G05190.1 pep chromosome:Lperr_V1.4:6:3586300:3589527:1 gene:LPERR06G05190 transcript:LPERR06G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLAILTTMAMVCSSVPPAASIVVVSRADDDRVALLSFSSGVHGNLSNWGSSAAMCSWTGIRCDPHSGRVIWLLLSKSNLTGVISPAIGNLSMLERLELNDNLLSGDLPPELSSLSRLKELSLHHNFFNGMIPEMLGLLTSITYLTLDGNNLTGDIPESIFCNCSSLTIIGMSSNSLTGEIPLRPRCRLPELRQLNLFRNRLSGVIPPALSNCTKLQWLLLQNNSLSGELPPEMFSNMPDLVYLYLSHNRFSSNDDNTNLEPFFSSLVNCTGLLEVGVASNGIGGEIPMVIGNLTVNLSMLFLSGNEITGAIPPTIGNLNLTQLCLFDNKLEGPIPPEILQLPWLTLLDLSNNRIVGEIPKSIGESRHLDTINLSQNRLQGTVPESLSNLTQLEQLVLHHNMLSGTIPPGLRCSLKLDLSYNKLTGQIPSEIAVLSSFQMYLNLSNNLLDGPLPLQIGNMEMTKALDLSMNYLSGAIPTSIIGCVTLEYINLSRNALQGSLPTSIGKLPNLHVLDFSFNGLTGILPLSLQASPALRYANFSYNKFSGEVSGKGSFMNFTNESFIGNPGLCGPFAGMPRCNQRRRHGHLGLLYCIIIVVVVAIVVVAIVSALAWTRLKLTTISISPHLSNAATTMDERNSKHTRISYRELINMTDGFSEANLIGKGGCSHVYKGILHDGMVVAVKVLCLQGSGNQNATSCSFERECRVLKSIRHRNIIRVITVCSTPDFKAVVLPFMPNGSLDGLIHPPPGGKPAVEQLLDLELLLSIAGNIADGMAYLHHHAPFKIVHCDLKPSNVLLDDDMTAIVSDFGISQLVVLQDDKDADTIDDDDASASTPQCSSITRLLQGSVGYIAPEYGLGGNPSTQGDVYSFGVLLMEMITRKRPTEVIAEEGHSLHDWVKNGLLLDDVGAIAREKHVVAELLELGVACSQIVPAMRPAMDDVAQEIAYLKDGAWRKCWEGDDDHCSWSKKH >LPERR06G05200.1 pep chromosome:Lperr_V1.4:6:3592153:3595106:-1 gene:LPERR06G05200 transcript:LPERR06G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPISAIFFFIIVFLFFLLDGTIPTLGSDDHSALMSFKSGISNDPNGALTNWGSPNVCNWTGISCDMPGRHVVKLILRNQKLSGEVSPALGNLSHLNILNLSGNLFTGKVPPDLGNLFRLTLLDISSNTFFGRVPIELGNLSSLNSLDLSRNLFTGDVPPELGNLSKLQELSLSNNLLEGTIPVELTRISNLSYLNLGENNLSGHIPPAIFCNLSTLQLIDLSSNSLEGEIPIDCALPNLTFLVLWSNNLIGEIPRSLSNSTKLKWLLLESNYLSGELPADMFSNMRSLELLYLSFNYLNSPENNTNLEPFFASLTNCTELTEIGVAGNDLAGMIPPIVGRLGSGLTQLHLEYNNIFGPIPASLSNLTNLTALNLSHNLINGSIPPAIAGMRRLERLYLSDNMLSGEIPPSIGTIPRLGLIDLSHNELTGDIPAELSNLTQLRWLVLNHNHLAGIIPPSLAQCVNLQNFDLSHNMLRGKIPDDLSELSGLLYLNLSSNLLEGTIPATIGKMVMLQVLNLSSNHLAGDIPAQLGGCVALEYLNLSGNALAGGLPDAIAALPFLQVLDVSYNGLTGALPPSLATATSLRRVNFSYNGFSGEVPGDGAFSGFPSDAFLGDDRLCGVRPGMSRCAGAGGEKPHRVLRDRRVVVPVVITVVVFTLAILAAVACRAAARARRDARTTTVVHCDLKPSNVLLDDDMTAVVADFGIAKLVKNDGDDDVTTNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILEMITGKRPTDVIFHEGLTPHDWVMRHYPHDVAAVVARPCWLTDAVGYDVVAGLIDVGLACTHHSPSARPTMVEVCHEIALIKEDISRHVHGGASTAAVAASVTMTMTATASERSCSTSDSSF >LPERR06G05210.1 pep chromosome:Lperr_V1.4:6:3598592:3601724:-1 gene:LPERR06G05210 transcript:LPERR06G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTIIPIIIILLHVAVVAAVAAPSPVTAAAVDDVDDRSSLLAFLSNVSSDSGGVALPDWGRSPEFCNWTGVICGGERRVTQLVLAGRGLRGVVSPALGRLEFVTVLDLSNNGFSGEIPAELAAMSRLTQLSLTNNLLAGEIPAGIGLLRRLYFLDLSGNRLTGEIPATLFCNCTALQYIDLANNSLAGEIPYSGECRLPNLRYLLLWSNSLSGPIPPALANSFMLEWIDFESNYFSGELPHQVFDRMPRLQYLYLSYNNLSSHGGNTDLDPFFRSLRNCTRLQELELAGNDLGGELPAFIGELSRVFRQIHLEDNAITGSIPPNISGLVNLTYLNLSNNLLNGTIPPEMSRMRRLERLYLSNNLLSGEIPNSIGEMDHLGLVDLSGNLLAGVIPDTFSNLTQLRRLMLHHNHFSGEIPASLGDCINMEILDLSYNGLQGTIPPRVAAMNGLKLYLNLSNNHLEGEIPLELSKMDMVIAIDVSGNALAGGIPAQLGGCVALEYLNLSGNALAGGLPGAIAALPFLQVLDVSRNRLSGALPAASLQASTSLRDANFSFNDFSGAIPLAAGGAGGVLARLSPSAFQGNPKLCLAADCTAATATATRHHRRAVIPAVAGIVAAVCAMLCAVACRRATATARSRQSIRVNDGDDQVVEHEHPRISYRELADATGGFVQSSLIGAGRFGRVYEGTLRCGARVAVKVLDPKAGGEVSRSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMRNGSLDGHLYGGGGDAGEGMDLGRIMGVVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIARLVVSGAVDGDEPAAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMVLEMITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVAHAPWRAAAELSADDDVAPPFVVELIELGLVCTQHSPSLRPNMVDVCHEITLLMDDLKRHAADVAAAAADIVVDVEDDEDDDGRSLSTTKDSLFSN >LPERR06G05220.1 pep chromosome:Lperr_V1.4:6:3607177:3613040:-1 gene:LPERR06G05220 transcript:LPERR06G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTTSAAAVGSLLFFFVALAAVDGEGEEWWRAEQERDRVERVPGQDFDVGFAHYAGYVTVSEERGASLFYWFFEAAEDPASKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGKGVHLNPYSWNQVANILFLDSPVGVGYSYSNISGDILNNGDARTASDSLMFLTKWIERFPQYKGREFYLTGESYAGHYVPQLAQAIKRHNEATGDKTINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRMLNVFCDYESFVHTSSQCDKILDIASTEAGNIDSYSIFTPTCHESFASSRNKVMKRLHSVGKMGERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSNVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSISALKLPTVTPWGAWYDDDGEVGGWTQGYKGLNFVSVRGAGHEVPLHRPKQALILFKSFLAGSSMPSVPDFYTDM >LPERR06G05230.1 pep chromosome:Lperr_V1.4:6:3614823:3628839:1 gene:LPERR06G05230 transcript:LPERR06G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSRKKDNQEAVFGSEETILDIREAKLAYRAEVFDLQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGVIRGEKVLSLLENSRLFPKKCRLLYLSNSRAFNCEIPEPLEIRICSAEISYCLFISHLANQLARQQFLKIACQLERKNIASAYSLLRVIESELQSYLSSVNTRLGHCTSLIQAATEVREQGAIDDRDTFLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFSNPERLKNQVRELTSRVKALQE >LPERR06G05230.2 pep chromosome:Lperr_V1.4:6:3614823:3628839:1 gene:LPERR06G05230 transcript:LPERR06G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSRKKDNQEAVFGSEETILDIREAKLAYRAEVFDLQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGVIRGEKVLSLLENSRLFPKKCRLLYLSNSRAFNCEIPEPLEIRICSAEISYCLFISHLANQLARQQFLKIACQLERKNIASAYSLLRVIESELQSYLSSVNTRLGHCTSLIQAATEVREQGAIDDRDTFLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFSNPERLKNQVRELTSRVKALQE >LPERR06G05230.3 pep chromosome:Lperr_V1.4:6:3614823:3628839:1 gene:LPERR06G05230 transcript:LPERR06G05230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSRKKDNQEAVFGSEETILDIREAKLAYRAEVFDLQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGVIRGEKVLSLLENSRLFPKKCRLLYLSNSRAFNCEIPEPLEIRICSAEISYCLFISHLANQLARQQFLKIACQLERKNIASAYSLLRVIESELQSYLSSVNTRLGHCTSLIQAATEVREQGAIDDRDTFLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFSNPERLKNQVRELTSRVKALQE >LPERR06G05240.1 pep chromosome:Lperr_V1.4:6:3624171:3628675:-1 gene:LPERR06G05240 transcript:LPERR06G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSSGKRPAPEPAMSAGATVKLELEADEMDGGGDSPLSKRARAMAQMVGHPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSSAGQPSVDNCGSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASFNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQSDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSMSPCAGSSMSAKIEVNDSIATQAEFLSQPGNPGPSTVSAQRASRNINGAQELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPSLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDEKSLMARVDSLCCLIQKDPAPVAQPKTEPNGSHSIGGEDSDGSDEEFSSAAPSNQTAEQPPAPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >LPERR06G05250.1 pep chromosome:Lperr_V1.4:6:3631490:3634296:-1 gene:LPERR06G05250 transcript:LPERR06G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPEPPPGDLPDVSEKGNITSQEEEANVLLVVEAKSAARGKSAPLSPVGWFRMLGRELDWSFVAGVVSTYGVSQGLGGGIMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIIHPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGPQINVVFLKGALGMLAIPSALVILAGMMIKDVHMPDFPYEQAHMKFVEASKKMFVTLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTNKSAGLSFSEGFIGFIFAIGSVGSLIGVILYQNVLKNYSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWFPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLTLLFLVPRSDQNSNLLPADLLTEDDCAQSQVDSVELTSLTVDGKSSTCSLHQGCKHQEYVEQDDDEVSLLANRS >LPERR06G05260.1 pep chromosome:Lperr_V1.4:6:3637398:3644674:1 gene:LPERR06G05260 transcript:LPERR06G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAATPYRSKSRHKSSPSKPAAAPAVPQMDLSTPSKPTPRRKPKSAAPAASPVAPMSPATPSTVRRSRRLLETPTKVASETPVKPTPTPKRKRAAAPSPKTPIQSTESKRRRKEPKPKPRKTAYYRKVVYDGGEFAAGDDVYVKRREGAESDAEDPEAEECRVCFRAGGTVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKPIERPRPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDANDGGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPDDEPYNAGNDCVSDTDEDSEYDEEEEPTKCSSARRNQSHELAAAANLRKGRIYGLQKIGIRKIPEHVRCHQKTDLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDNGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQASQPIILLIDELDLLLTRNQSVLYNILDWPTRLNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIAAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVFSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHRLQKLQLNYPSDDITFALKESPELPWLSKYL >LPERR06G05260.2 pep chromosome:Lperr_V1.4:6:3644556:3646076:1 gene:LPERR06G05260 transcript:LPERR06G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRCRCRARPVTFLCHRLLHFLSTRRLRRRRLRLTTTTATGHEAESPAKSAAAEQRDAAGDDAAVAGKYWAQRHSLFALYDRGVQMDAEGWYSATPEAIAAAQAARAPRGSLVLDAFAGVGGNSIQFAARGCRVVAVEIDPRKVELAAHNARIYGVEDRIEFVVGDFFRLAPSLKADSVFLSPPWGGPSYRQAQVYSLDMLKPKDGFKIFQAAQKISPNIIMFLPRNVDISQVEQLSWLSSPPLDFVKVIVNLSICNNNIGIPAVVLQSEESYVEHRLKGITAYFGGMAQEVQKQEQNCF >LPERR06G05270.1 pep chromosome:Lperr_V1.4:6:3646990:3648939:-1 gene:LPERR06G05270 transcript:LPERR06G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVEEEEMYPSTPGKVKVERSSSSSAAAAMWRQLHRCFASTGTMFLWALLLVATTATYLSFRSLAGGGGGGDATTAASRYFPAASWGGLHWERQIRSSASPRRPAGSAEGAGLSVLVTGAAGFVGAHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVAGVRYAMENPSSYIHSNIAGLVTLLEACKDADPQPSIVWASSSSVYGLNDDVPFSESHRTDKPASLYAATKKSGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRNILQGKPITVFRGRDHTDLARDFTYIDDVVRGCLAALDTAGASTGTGGKKRGAAPYRIFNLGNTSPVTVPTLVTILERYLGVKAKKHVVEMPGNGDVMFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTLGFMNHL >LPERR06G05280.1 pep chromosome:Lperr_V1.4:6:3652779:3654113:1 gene:LPERR06G05280 transcript:LPERR06G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSTSAPLPSPPPSPAATRSVLSSIEDKMTPGVLLIIAILAVVFFLIGLLNLLVQNLLRARRRRRRVGDLAAGGVGSPTAFQGQLRQLFHLHDAGVDQAFIDALPVFAYRSIVFGAGPRKDDGDCGGGDGEPFDCAVCLCEFAMDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPICRRCVLLAAAGDGDDSPASSSCPVESDNLTDTLGNGGDSDSPRGGDNKEEEVEEVVEVKLGKLKCIDGGGNGDVAGGDHINFDIDGGRGNLGERRCFSMGSYEYVTDDHAALRVAVRTPKRRQSPASSRPSRRRHALSECGTWEATVTAEANATARLNKDSFSVSKIWMVSTARKEDGRRTTAAESAAAAGVGRRVASIRWPAMADVSKKKSGVDEERTDVEAGGGGGDGDSPLADERPSLARTALQFIVGGAGGRQQGSRDGGHS >LPERR06G05290.1 pep chromosome:Lperr_V1.4:6:3659347:3660924:-1 gene:LPERR06G05290 transcript:LPERR06G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHAAVERVGGNSGAAVAGRDHVVVFPFMAKGHMIPLLHFAAALASRHGSELRVTVVTTPANLAFARRRLPPSVDVSTLPFPPHPEIPPGVESTDALPSESLFPSFLRATASLRDPFAKLMSSLADSSSPSPPLALVSDFFLGFTQRVADGAGVRRVTFHGMSAFSLALCFTLSTSRPHDAAAAGGGEEAFRVPGFPEEVTITANEVPHAVTQGADADDPVTKFLNDEVLGWDYRSWGVLVNSFAALDGDYAGILESLYLPGARAWLVGPLFLAAGDDNSPPVTNQEDDGDDEEGCIRWLDERAPGSVVYVSFGTQARLAATQLDELAHGLVESGHAFLWAVGRAEWSPPVDAGPYGKIVRGWAPQRRVLAHTAVGAFLTHCGWNSVLESLAAGKPMVAWPVMAEQAANAKLVADIVGAGVRVRVDRRTNGGGGGIVGRAQVAEKVRRVMDGGEEGRTMRAKAEEVRRAAIAAVAEGGTSTAALRRLVDELRSSYDDDDVAVAGGDRTTTAVAPANGANGKR >LPERR06G05300.1 pep chromosome:Lperr_V1.4:6:3663294:3668003:1 gene:LPERR06G05300 transcript:LPERR06G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTLRLVLATPPAVLIPSLPNYNHPPLRRRLRLLLLVLLAHRLLLRMRAASCAALLNGHAHVLDPRAVRKLLDEMPRQGSLLAPSRTNQWVPHLPPRQQNRSPKPLEESNCTGFTCLGAKMSGIVNEGYDLDSIYI >LPERR06G05300.2 pep chromosome:Lperr_V1.4:6:3663294:3668003:1 gene:LPERR06G05300 transcript:LPERR06G05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTLRLVLATPPAVLIPSLPNYNHPPLRRRLRLLLLVLLAHRLLLRMRAASCAALLNGHAHVLDPRAVRKLLDEMPRQGSLLAPSRTNQWVPHLPPRQQNRSPKPLEESNCTGFTCLGAKMSGIVNEGYDLDSIYI >LPERR06G05300.3 pep chromosome:Lperr_V1.4:6:3663294:3668542:1 gene:LPERR06G05300 transcript:LPERR06G05300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTLRLVLATPPAVLIPSLPNYNHPPLRRRLRLLLLVLLAHRLLLRMRAASCAALLNGHAHVLDPRAVRKLLDEMPRQGSLLAPSRTNQWVPHLPPRQQNRSPKPLEESNCTGFTCLGAKMSGIVNEGYDLDSIYI >LPERR06G05300.4 pep chromosome:Lperr_V1.4:6:3663294:3669999:1 gene:LPERR06G05300 transcript:LPERR06G05300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTLRLVLATPPAVLIPSLPNYNHPPLRRRLRLLLLVLLAHRLLLRMRAASCAALLNGHAHVLDPRAVRKLLDEMPRQGSLLAPSRTNQWVPHLPPRQQNRSPKPLEESNCTGFTCLGAKMSGIVNEGYDLDSIYI >LPERR06G05300.5 pep chromosome:Lperr_V1.4:6:3663294:3668029:1 gene:LPERR06G05300 transcript:LPERR06G05300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTLRLVLATPPAVLIPSLPNYNHPPLRRRLRLLLLVLLAHRLLLRMRAASCAALLNGHAHVLDPRAVRKLLDEMPRQGSLLAPSRTNQWVPHLPPRQQNRSPKPLEESNCTGFTCLGAKMSGIVNEGYDLDSIYI >LPERR06G05310.1 pep chromosome:Lperr_V1.4:6:3670701:3676574:1 gene:LPERR06G05310 transcript:LPERR06G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRRVKDEMELMKQRTVRFHDERAKPTIPTHQKQAGLAASKLGLGSSEKNKIFVAGNGVFGRGDLVTDPDEIAKHYLRSGFVVDLVASLPLPQIIIWSVIPSIKYSLSEHDDDILLLVALFQYVLRLYLVFSLNSKIVEVNGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSNETNCHNSYLACHIQPDSNWITNTSIFNSCDASNKSISFDFGMFQPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPDKLRERVRRFIHYKWLATRGVDEESILKALPTDLRRDIKRHLCLYLVRRVPFFSQMDNQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRFKRRKLAKDLSMRESFSSRRSFEDDGSPEHSLVLNVARKGAHIIKELPKFRKPSEPDFSAEHDD >LPERR06G05310.2 pep chromosome:Lperr_V1.4:6:3670701:3676574:1 gene:LPERR06G05310 transcript:LPERR06G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRRVKDEMELMKQRTVRFHDERAKPTIPTHQKQAGLAASKLGLGSSEKNKIFVAGNGLWYKKIIDPSSDFILTWNYVLRIACFFALFMDPLYFYVPKIYYRTSNSCIGRDTHLAIIVTVFRSITDLFYVVQIIIKFRTAYINPSSTLGVFGRGDLVTDPDEIAKHYLRSGFVVDLVASLPLPQIIIWSVIPSIKYSLSEHDDDILLLVALFQYVLRLYLVFSLNSKIVEVNGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSNETNCHNSYLACHIQPDSNWITNTSIFNSCDASNKSISFDFGMFQPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPDKLRERVRRFIHYKWLATRGVDEESILKALPTDLRRDIKRHLCLYLVRRVPFFSQMDNQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRFKRRKLAKDLSMRESFSSRRSFEDDGSPEHSLVLNVARKGAHIIKELPKFRKPSEPDFSAEHDD >LPERR06G05320.1 pep chromosome:Lperr_V1.4:6:3677735:3680655:-1 gene:LPERR06G05320 transcript:LPERR06G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKREADGHARSLPHSHSTKPGFRSPHSRVPSTGCSLVLASGDPSVPPVHVAAACRKPPVASARRGYGRTGGEMGTEAGEPSSLLSLSAAFSYGIASMAMVFVNKAILMQYVHSMTLLTLQQIATALIIHFGQVLGVSKRKDFSIATGRKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTATGVLIAALGDFSFDLYGYSMALISVFFQVTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSLILVISLVMGIFLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNIIGLVINTFGGVWYSYAKYMQKRKTPRKIASDVEAHPHK >LPERR06G05330.1 pep chromosome:Lperr_V1.4:6:3683121:3700621:-1 gene:LPERR06G05330 transcript:LPERR06G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADCFVPFFRLLAIAASAAFLSLLLLRNAAASSPAPVRVGVVVDLTSDEGRRSLTGISTAVEEFHRRHPGSAARLELRVRDSRGDAAAEAHAAEDLIKNDQVQAIVTARPQTPAEYHSFARLRHRHHRVPILTFPISGASPPPSRPQIAPPAITGILTAILSTSSATSPPHGVHRDNNIGARPRFDRRIGTVTRRRLARRSSPSSAGEVLRIAVPLKNGFQAFVDVKNRDTKAQNITGYCIDVFNAAMSRVPHRRQYEFHAFDGTYDELKFSAAVGDVTITAEREGLVDFTMPYTPSGVSLLVLQENDSKPIEWIFVKPLTRDLWLVTIGFFFYIGFVVWMIERPRNPEYQGTIDRQLTTATFFAFGTLTFSQGQIIRSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVTSLDQLLLNGDYVGYQNGSFVGSLLKKRGFLPSRLRPYGTPREYADALRNGSMNGGVSAIVDEIPYLTSFLSNPHYQKEFQMVNRFYRTPGFGFWLHVSSDASVKPCKLLCTTCAKEARVHVDDGGSTSSADGESNEVQIVIDKNSTRDQGVQEVGNDRFQGAQLTQESDGDERPHEQNDLHNDPVPEHRVQTGTNTAIGYRISLDSLVSLTCWFVWKERNNRVFNNLHQSAEHIFFSITEEIRVVFSLLIMFAAVAVATNKSVRVGVVLDLTSDVGRKSLASVSMALDDFYAAHDSDDDANANSTARVELIVRDSRGDVVTAADAGYRKICPTDLFSFFFFFELSVIQIWWLGKERSDEAMDLGFIPSRSKFHGHGKHQNQKLVQAIIGPHTSAEAEFIAYLGNHTHTPILSLAETSTPLVPFFLHTAPSDTIQVTPIAATLDVFNWRAAIVLYQNTPYGASILPDLVSATQGYNIRIMDRVALPIGATEDYLNNVLDNLKKMSTKVFIVHMLPDLAAHVLRQANVAGMMSDGYVWIATSSIGSVIDSLSSSMIDNMQGVVTFRPYVRETGHVMKFILRLKGRFWLENPSIYDVHNPSMPAIWAYDTMWALATAVNVVKVSRSTPGTTLLNALLNTTFDGLAGRFRLINGQLELSEYEIVNIIGKSSRTVGFWTPESGLFKNLKTDSEKGLKQIIWPGDLAIAPKGWDLSSNGQLLHIAVPFKHGFPQIVEVSYSPTTNNSVVKGYCIDVFDMLMKNLHYPVSYQYELIGNSFGNYDGILNLVHEKLQKVDAMVGDTTITASRLNKVSFTMPFTEIGLSMVVAVKKETNWSMWIFLRPLSPTLWIASLAFFFFTGFVVWVLEHRINPEFRGTPWQQFGVTFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLVLTSSYTASLTSMLTVQQLQPAATNVQDLLRNGNYVGYQKGSPVVYWLEEMGFKKENLRGYASLEEYDGALQRGSENGGVSTVFDEIPYLKAFLSKYCQGYTMVGPTYKLGGFGFAFPIGSLIVHDLWQAFMLPSVQEEMARIDRKWFGDAQTCEGKSSVTDSSSLGFSNFSGLFLISAITSGLALLIHLAIVGYQEGEKLRAAVDGVARASSRRMHVLFRCLRPEPEVDVLHGGDTVSF >LPERR06G05340.1 pep chromosome:Lperr_V1.4:6:3701684:3703864:-1 gene:LPERR06G05340 transcript:LPERR06G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVCVTGCNAPRFYANRPRSPPHKSHSPAAVLVGIIAAGWGLGSSRCTNPSGRETERINAGVRRTLGGLPEGYQKKLKIAVPLKHDFKAFVNVSEQVNSLFIHQEAMLHSGQNFLA >LPERR06G05350.1 pep chromosome:Lperr_V1.4:6:3703915:3720708:-1 gene:LPERR06G05350 transcript:LPERR06G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNIENKSLARRRAAVAAILLTVWSSAMSGTATITTAHEDETKRINAGVRRNIGGLPRGYEKELKIAVPWKPGFKSFLNVTDRSVSGYCIDVFEAAVKKLPYHLSYKFVIFNGSYDQLVQRVSSANYDAAVGDITITAERTSHADFTMPYTESGVSMLVLTEDDSKSTIQWIFLKPLTVQLWLATVIFFLFTGVVIWMIERPRNLEYQGSRSKQFSTALYFSFSTLTFSHGHIIKSPLSKIVESYTASLSSILTAKKLRPSETDLDQILFDGDSVGYQQGSFVESFLKKRGFSEIRLRSYRNKQEYAEALKKGSKNGGVSAIVDEIPYLTSFLSDPRYEKEFQMLSRIYKTPGFGFVFPPGFPLVHNLSTGILDVTGGDEGSRIEAKWFGMTPLSPSYPKSNTDSAPLTLRSFSGLFIITGCISILMLLIRISKLVLATCDKVTDSDVQSPDVDGGNGAQEESNQAQNVIVNGFVADMPLNEIRIDNFQDIHGMILPADETERMNAGVRKNLGGLPEGYQKKLRIVVPLKHGFKAFVNVSDHGVTGYSVDVFEAAVKKLPHQLIYKFVVFNGSYDQLVQSVSSGINDAAVGDITITADRASHVEFTMPYTESGVAMLVLAEDESESTIKWVFLKPLTKELWIATMIFFLFTAFVIWMIERPGNMEYQGSNTRQFSTALYFSFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASLSSILTVKRLQPSVTDLDQLLFNGHYVGYQEGSFVQSFLTKQGFSERRLRPYINKREYAEALRKGSKNGVSAIVDEIPYLTSFLSDPRYEKEFRMLSRIYKTPGFGFVFPPSFPLVHNLSTAILDVTSGDEGSRIEAKWFGAEAISPSYAIPNTDSAPLTLRSFSGLFIITGCMSALMLMISISKSVLVNYTEIRHSDVQSPYMDGEIGAREESNPTQYVMGEIRTDDSQDIHRSIESAGVEERGPIQNDSTSDCNAATPSPWP >LPERR06G05350.2 pep chromosome:Lperr_V1.4:6:3703915:3720708:-1 gene:LPERR06G05350 transcript:LPERR06G05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNIENKSLARRRAAVAAILLTVWSSAMSGTATITTAHEDETKRINAGVRRNIGGLPRGYEKELKIAVPWKPGFKSFLNVTDRSVSGYCIDVFEAAVKKLPYHLSYKFVIFNGSYDQLVQRVSSANYDAAVGDITITAERTSHADFTMPYTESGVSMLVLTEDDSKSTIQWIFLKPLTVQLWLATVIFFLFTGVVIWMIERPRNLEYQGSRSKQFSTALYFSFSTLTFSHGHIIKSPLSKIVEVIWCFVVLVLVQSYTASLSSILTAKKLRPSETDLDQILFDGDSVGYQQGSFVESFLKKRGFSEIRLRSYRNKQEYAEALKKGSKNGGVSAIVDEIPYLTSFLSDPRYEKEFQMLSRIYKTPGFGFVFPPGFPLVHNLSTGILDVTGGDEGSRIEAKWFGMTPLSPSYPKSNTDSAPLTLRSFSGLFIITGCISILMLLIRISKLVLATCDKVTDSDVQSPDVDGGNGAQEESNQAQNVIVNGFVADMPLNEIRIDNFQDIHGMILPADETERMNAGVRKNLGGLPEGYQKKLRIVVPLKHGFKAFVNVSDHGVTGYSVDVFEAAVKKLPHQLIYKFVVFNGSYDQLVQSVSSGINDAAVGDITITADRASHVEFTMPYTESGVAMLVLAEDESESTIKWVFLKPLTKELWIATMIFFLFTAFVIWMIERPGNMEYQGSNTRQFSTALYFSFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASLSSILTVKRLQPSVTDLDQLLFNGHYVGYQEGSFVQSFLTKQGFSERRLRPYINKREYAEALRKGSKNGVSAIVDEIPYLTSFLSDPRYEKEFRMLSRIYKTPGFGFVFPPSFPLVHNLSTAILDVTSGDEGSRIEAKWFGAEAISPSYAIPNTDSAPLTLRSFSGLFIITGCMSALMLMISISKSVLVNYTEIRHSDVQSPYMDGEIGAREESNPTQYVMGEIRTDDSQDIHRSIESAGVEERGPIQNDSTSDCNAATPSPWP >LPERR06G05360.1 pep chromosome:Lperr_V1.4:6:3721107:3727594:-1 gene:LPERR06G05360 transcript:LPERR06G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGLLGSHRAAASGAATFSTTLNATVLTAIAVVFLITMAEAGPVEVDVGVILDLATALGKKSLLSMEMALEDVYAAHPEFATRVRLRVRDSNCDVVAAASAAIDLIRNENTSVVIGPQSTLQTEFVTYLANKTKVPVITFSATGDTVTQYNVPFFIRACVKDSFQAASIAAFIKAYDWRNVVLIYEDNIYGVGILPSLTVALQEVGVNVINRSAIPASSPNNQIDVELYKLMTMQTRVFIVHMMRARASRFFARAKALGMMTEGYVWIVTDNIGIVLDVLPQDTMESMQGIVGFRPYIGESRRIIDFISRFTALFSIKHHPNSDSRMAKPTIFQYWAYDVAWAVATAIEKVHRTRSLNPNFQTPGNIGKNIIDELPALPAGPELLNSILQGEFDGLAGQFKLIDRHLQVSTYEIVNVIGEKARVTGYWNLDFGLSMSMDTRVIQGEAKFSTSSSNLKTIIWPGDSTTVPKGWEFPVNAKILRIGVPVRHDFKTFVNVETNPNTNRSNVSGYSIDVFEAAIKRLPYALCYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTKYVDFTVPYTESGVSMLVLTTNDGEPTTWIFLRPLTEDLWIATMVFIFLTGLVVWMIERPINEDFQGSKWRQCSATFYFACSTLTFSHGQNFISIQSKIVVVVWCLVVMVLVQSYTASLSSMLTAERLQPLVTDLNQLLLNGDFVGYQNGSFVYSMLKKLQFNERKIRVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFRAQYGKEFQIVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLAEEREGLRIEEKWFTDSSLDSGSQDSGSSRLSFQSFKGLFIINGCVLVAMALINFGKLLYAKCRSEEAVCDDEPQTSQISMVNNPVPADTDTLQIRTKNN >LPERR06G05370.1 pep chromosome:Lperr_V1.4:6:3734968:3738981:1 gene:LPERR06G05370 transcript:LPERR06G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIRALKRKPDEPAARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGAGVEVKLRLRYAGRDYDFIPYEEVLDTMLHELCHIVRGPHDAQFYKLWDELRKECEELVSKGITGPGQGFDGTGRRLGGFTIHPPPPSLRQATLAAARKRARNGSLLPSGPRKLGGNNEIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGSDDSEDVVILEGPPNVPTQLGESTKDGLSSSSAKGSTSSGFTASAQSGSSCRIPTDAGDNSQWECVACTLLNQPLAPICEVCGAAKPKIAKAKYATWSCKFCTLENSTKIDKCSACDQWRYSYGPPIVSHCPSRD >LPERR06G05370.2 pep chromosome:Lperr_V1.4:6:3734968:3737822:1 gene:LPERR06G05370 transcript:LPERR06G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIRALKRKPDEPAARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGAGVEVKLRLRYAGRDYDFIPYEEVLDTMLHELCHIVRGPHDAQFYKLWDELRKECEELVSKGITGPGQGFDGTGRRLGGFTIHPPPPSLRQATLAAARKRARNGSLLPSGPRKLGGNNEIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGSDDSEDVVILEGPPNVPTQLGESTKDGLSSSSAKGSTSSGFTASAQSGSSCRIPTDAGDNSQWECVACTLLNQPLAPICEVCGAAKPKIAKAKYATWSCKFCTLENSTKIDKCSACDQWRYSYGPPIVSHCPSRD >LPERR06G05380.1 pep chromosome:Lperr_V1.4:6:3739945:3740925:1 gene:LPERR06G05380 transcript:LPERR06G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRRRPDLTLPMPQRDAPTSLAVPLPLPPAPATAPASAPAATGASPPPPLSELERIRRVGSGAGGTVWMVRHRGTGREYALKVLYGNHDDAVRRQIAREIAILRTAEHPSVVRCHGMYERGGELQILLEYMDGGSLDGRRISDERRLADAARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPATASPEFRSFVGCCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPS >LPERR06G05390.1 pep chromosome:Lperr_V1.4:6:3743532:3745336:-1 gene:LPERR06G05390 transcript:LPERR06G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDTSTGPDVSTAAVAVAVAAVMVSTLLWVALVQLVWRPYAAARAFGRQGIRGPAYRFLAGNIGEANAMRAAATALDRRSHDIIPRVLPHYHAWMSRYGKVFVSWTGPIPALCVGDYAMAKEILADRTGAYGKPDPGAAILALFGNGLVFLDGDDWARHRRVVHPAFAMDKLKTMTGTMAACAREMIRAWEARAAANNPSGEIEIEVGNQFQELTADVISHTAFGSSYLQGKEVFEAQRELQAIAMAALYSIRIPGIQYIPTKSNVRRRRLEKKVRGTLMAMIHERQAAVAKEGKGYGNDLLGLMLEANVAMCGGGNGARRMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHLDWQDRVREEVLRECGGEALPDGDVLGKLKLVTMVLYETLRLYSPVSMLVRMVTADANLSGIHVPKGTLAMIPVAILHRDVDAWGDDAGEFNPLRFRGGVNKAAAHAGALLAFSLGHRSCIGQDFALLEAKAMLAMILRRFAFEVSPEYVHAPLDFLTMQPQCGLPMVLKLLD >LPERR06G05400.1 pep chromosome:Lperr_V1.4:6:3747312:3751388:1 gene:LPERR06G05400 transcript:LPERR06G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRTMTKTMAECARLVIRTWEARAAANNPSGEIEIEVGHQFHELTADVISHTAFGSSYLQGKEVFVAQRELQAIAMATINSVRFPGRQYIPTKTNVRRWELEKKVRGTLMTMIRERQAAVAKEGNGYGNDLLGLMLEANAAAGDGGGARSMTMDEIIDECKTFFFAGHDTTAHLLTWSIFLLGTNPQWQNRLRDEVLRECCGDSLPDADSLSKLKLMTMVIYETLRLYGPVSQLARTVTADTELAGVRIPKGTMTMIPVAILHRDVEVWGEDAGEFNPLRFRDGVNKAAAHAGALLAFSLGHRSCIGQDFAMLEAKATLAMILRGFAFEVSPEYVHAPLDFLTLQPQCGLPVVLKLLD >LPERR06G05400.2 pep chromosome:Lperr_V1.4:6:3746826:3748579:1 gene:LPERR06G05400 transcript:LPERR06G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSTGPAVPFAVAVAVAAMVVVSTWLWTTMARLVWRPYAVAVAFRKQGITGPAYRFYRRRGERDARGGARCHDIVPRVLPHHRAWMLRHGRVLVSWSGATPALVVGDYAMAKQILADRTGTYGKPDPGAVIVALTGKGLVFVNGDDWSRHRRVVNPAFAMDKLRTMTKTMAECARLVIRTWEARAAANNPSGEIEIEVGHQFHELTADVISHTAFGSSYLQGKEVFVAQRELQAIAMATINSVRFPGRQYIPTKTNVRRWELEKKVRGTLMTMIRERQAAVAKEGNGYGNDLLGLMLEANAAAGDGGGARSMTMDEIIDECKTFFFAGHDTTAHLLTWSIFLLGTNPQWQNRLRDEVLRECCGDSLPDADSLSKLKLMTMVIYETLRLYGPVSQLARTVTADTELAGVRIPKGTMTMIPVAILHRDVEVWGEDAGEFNPLRFRDGVNKAAAHAGALLAFSLGHRSCIGQDFAMLEAKATLAMILRGFAFEVSPEYVHAPLDFLTLQPQCGLPVVLKLLD >LPERR06G05410.1 pep chromosome:Lperr_V1.4:6:3757468:3759096:-1 gene:LPERR06G05410 transcript:LPERR06G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASTGQAFPVAVAVAGVVSTWLWKTMARLVWRPYAVAAAFRKQGITGPAYRLYVGSNGEANAMRAAGRGETLDARCHDIIPRVLPHHRAWMSRHGKVVVSWNGATPVLVVGDYAMAKQILADRTGTYGKIDPGASILALFGKGLVFLDGDDWSRHRRVLHPAFAMDKLKTMTTTMAACARDVIRTWEARAEIEVGHQFHELTADVISHTAFGSSYQQGKEVFVLQRELQAIAMATINSVRFPGSQFIPTKNNVRRWELEKKVRGTLMTMIRERQAAVAKEGKGYGNDLLGLMLEANAAAGDGGGGARSMTIDEIIDECKTFFFAGHDTTTQLLTWSIFLLGTNPQWQDHLRDEVLRECGGDSLPDADSLSKLKLMTMVIYETLRLYGPVSQLVTTVTADTELAGVRIPKGTMTMIPSAILHRDVEVWGEDAGEFNPLRFRDGVNKAAALAGALLAFSLGHRSCIGQDFAMLEVKATLAVILRRFAFEVSPEYVHAPVDYITLQPQCGLPVVLKLLD >LPERR06G05420.1 pep chromosome:Lperr_V1.4:6:3764635:3765926:-1 gene:LPERR06G05420 transcript:LPERR06G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMASASTGQAVPVAVAVAVAAVVVVSTWLWKTVARLVWRPYVVAAAFRKQGITGPAYRLYVGSDGEANAMRAAGRGETLDARCHDIVPRFIPTKTNVRRWELEKKVRGTLMAMIRERQADAKEGGARDLLGLMLEANDGGGATRLTMDEIVDECKTFFFAGHESTAHLLTWSIFLLGTKPQWQDRLRDEVLRECAGDSLPDADSLSKLKLMTMVIYETLRLYGAVSQMARMVTADTELAGVRIPKGTMTLIPVAILHREVEVWGEDAGEFNPLRFRDGVNKAAAHAGALLAFSLGQRSCIGQDFAMLEAKATLAMILRRFTFEVSPEYVHAPLDFITLQPQCGLPVVLKLLN >LPERR06G05430.1 pep chromosome:Lperr_V1.4:6:3769041:3778235:1 gene:LPERR06G05430 transcript:LPERR06G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVPRRGGSDGGLNGVEMHGGFRFTWEKGSIQSSQVKVEDDVDDSGINASVTDVTNAAINPQPALFHATVKEEGQPSSSSSHVRSQFIGMGFSPMLVDRVLKKHGDKDSDTILESLLSQSASKKSDSESSSSLGDLFESDGEENNSRLESRKEVIQDIKVETDSFLEKRSYLMNTMNFSHREVDLAINQLGEEASLEQLVECIVTGQVAGFSGGNENGDASNEGKDESLFGVMDKTLHLLQMGFTEEEVSSVIDNFGPEATVLELADSIFASRIANSIEQKEVKVEPDILGETENSYSAYHPLNSGLRYYDDDHDDIRIKRAKHMFIDDSGGSSSHPGNQPSLNPWLKEYHATTSNGSVKEEFDAMAPGVRENVRGDIANPPYFFYGNVVQVPKATWQRLSGFLYHVEPEFLNSHYFSALSRKEGYIHNLPTEKRRQVVPKSPLTIEDAFPFTRQCWPSWDTRKQIAGVTAEVTGVEELCDRLEKMIRESGGFLSQEKKMHIMHQCKLANLIWVGPDRLRPLEPHQVERLLGYPRNHTNLFGLSPQERIEAMKYSFQIDTLCYPLSVLKDLYPDGLRVLSIYSGIGGAEIALHRLGIPLQCVISVEQSDIKRTILKR >LPERR06G05440.1 pep chromosome:Lperr_V1.4:6:3779105:3782328:-1 gene:LPERR06G05440 transcript:LPERR06G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYHDGKDVDTSSHPLVAVCIDKDKNSQNALKWAIDTLVQKGQIIVLVHVNTKGTSGNCAGGVEDASGFKQPTDPHLRDLFLPFRCFCTRKDIQCKDVLLDDHDVAKSLIEFAANGAIEKLVVGATARGGFRFKADIPTTICKGAPDFCTVYVINKGKVSSVRNSIRQAPRVSPLRSQLQNMAAAAAAPAPAPATTTQQQKWSSSSRGHDHAETPRVDNYIRSPFARGPTGGGATRKSYADLSHLSMPDSADISFVSSGRRSVDHHPAIPARLSAASADSYDHSFEMSRTPSKWGGDSFGGNDRTSFSQSSASSFCSLGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAIELQRWKAEEEQKTQDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNNHRNNGGDIRYRRYSIEEIEHATERFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGAGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLTHHVGRAMERGALGDMLDPAVHDWPVEEAQCLAEMALRCCELRRKDRPDLGTAVLPELNRLRALGEDNMQFCGGAIRGGGGGGIHSSSLFSAASRSQADLMNDSQYPRSVFSSRTSESTMPPRRSNRLTVCARMALLLWLL >LPERR06G05450.1 pep chromosome:Lperr_V1.4:6:3784244:3786555:1 gene:LPERR06G05450 transcript:LPERR06G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSHVAVVVFPFSSHPAVLLSFTRALAAAAPSTTTTFSFLSTAASLAHLRNAAARDDALPSNLRFVEVAAPAAEDAPVPRRMEAFMAAAEAGGIADGLEAARAAAGGAPVTCVVADAFVWMAADAAEKVGARWVPVWTAASCALLAHLRTDALRDDVGGEAASRGDELLTSHPGLESYRIRDLPDGVVSGDFNYVINNLLHRMAQRLRSPRSAAAVALNTFPGLDPPSVTAALAAVLPNCLPLGPYHLLNPDTSTNDGDPNGCLAWLDRHAPRTVAYVALGTVAALSPAELGELAAGLEASGAPFLWSLREESWPLLPPGFLDRTKDSGLVVPWAPQVGVLRHGSVGAFVTHGGWASVMEGLASGVPMACRPFFGDQRMNARSVAHVWGFGAAFDGGAMTRDGVASAVASLVGGEEGRRMRARAQELQAKMVKAFEPDGECRKNFAKFVEIVCAP >LPERR06G05460.1 pep chromosome:Lperr_V1.4:6:3791406:3792974:1 gene:LPERR06G05460 transcript:LPERR06G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHVAVVAFPVASHATVLLSLAHALAAAAPTATVSLLSTHDALVRLRKPSTATFPSNLRFVEIDDGLPPPPSTDGNGETAELPVPRRIELFMAAAENGGLKDGLDLAARDGRKVSCVVGDAFVWMAADVAAAAGVPWVAVWPSSASALLAHLYTDELRDEFRDHPASRGDELLTSYAGLGSYRVKDLPEGVVTGDMEFVVSLLVYRMAQRLRRGHAVAVAVNTFPGLDPPDVIAALAAVIPNSLPIGPFHLLPGVGNDKVNDDDAHGCLAWLDRHAPRTVAYVAFGTVATPPPDELIELALGLESTGAPFLWSLRKESWPHLPPGFLDRTTKGDTGLVVTWAPQVGVLRHEATGAFVTHGGWASAMEAACDGGGVPMACRPFFGDHGMNARRVAHVLGCGTVFEGRMTRGAVADAVVGMLRGEEGRRMRARAAEVKAKMAEALQHGGDGTSSFDELVKIVLAC >LPERR06G05470.1 pep chromosome:Lperr_V1.4:6:3794617:3795152:-1 gene:LPERR06G05470 transcript:LPERR06G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSSGTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >LPERR06G05480.1 pep chromosome:Lperr_V1.4:6:3797489:3799151:-1 gene:LPERR06G05480 transcript:LPERR06G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQKPSYYTISLIAVLLLPFTILCATFLLPFSAFLRNPPPPLVATTIIPSPTDCRHAAADVITPSPPQDQISILVGVHTMAKKHSRRHLIRMAYALQNQTAAARVDVRFVLCARPMPPEHRAFVALEARAHGDVLVIDCDEGADRGKTYDYFASLPAMLGGAGDGRRPYDYVMKVDDDTYLRLDALAETLSGAPREDVYYGAGLPFLDRRSPPFMLGMGYVLSWDLVEWIAGSDMVKAHAIGPEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGVKAEDFLEDTVGVHQLKQDLRWAQTLEHFNVTWLDPSSKTTNFLS >LPERR06G05490.1 pep chromosome:Lperr_V1.4:6:3802762:3809525:1 gene:LPERR06G05490 transcript:LPERR06G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPSSGASPQKQQQNNARRRQLIRQQRKSLPIASVEKRLVEEVRKNDTVIVVGETGSGKTTQLPQFLYDAGFCLDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKRVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQRSRTIYANKNGKILPDIQDQLQYFTPKAYQGIKIDPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIQERTRQFPPQSSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALVVSSEFKCLEEMLIVVSMLSVESIFFAPRDKLEEARAARKNFESSEGDHITLVKVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHARDVHSQIQGHVQQMGLNLSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCTIFNELVRTSQNYVKNLTRIDPLWLAELAPQYYATDD >LPERR06G05500.1 pep chromosome:Lperr_V1.4:6:3812076:3812584:1 gene:LPERR06G05500 transcript:LPERR06G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPKDDIMNEKNPRPLDKDGIALLKTYFLHGGETAWGPGGPRLPRALPITCGCGAERRRGAGRAPPSPPPTSTAGDDERGGANGVVKI >LPERR06G05510.1 pep chromosome:Lperr_V1.4:6:3813075:3817487:1 gene:LPERR06G05510 transcript:LPERR06G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >LPERR06G05520.1 pep chromosome:Lperr_V1.4:6:3840187:3841149:1 gene:LPERR06G05520 transcript:LPERR06G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSPASIAGGGGGGPSPLVVSNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPGSPSSRFLFLDSSPFPRRGLAASALRSLPVTVYSKPDSAAVAGAGAGEAMECAVCLSEVGDGEKVRMLPKCGHGFHLECIDMWFHSHDTCPLCRAPVAGGEGLPRVPREEPTMEFPMFPTNVLFWGTHGDDVTNAGGDRHPFHPPPPPLMAAAAPSTSSSASGRRKESLVIDIPSRSAAAVNSVTSSAASTPLPASRMASAADEMRSPVSARLRSLRRLLSRGKQAVVGTSFSPRGGGDVEQGFAGGEVKTPKTPPAPAK >LPERR06G05530.1 pep chromosome:Lperr_V1.4:6:3845448:3848369:1 gene:LPERR06G05530 transcript:LPERR06G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGAVRSLFNRLRPPPPPPHHRGRRSPVAMAAAAAHRGCFCSFSHSNLNGESGGIQRKGFLDLGMTGRRFALGGALSSKGCLNWQDRGGRSKRVDGEALRIKAQVLAPQRQLLHDSEVLPLEEVTVKSLNGNGACRRGKPLSFPEHAAPTKMVVAVDVDEVLGSFLAALNRFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIEKYYPGLFEQIHFGNHFALQGQSRPKSEICRSFGAQVLIDDNPRYALECAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLHSWVVPEC >LPERR06G05540.1 pep chromosome:Lperr_V1.4:6:3848831:3852243:-1 gene:LPERR06G05540 transcript:LPERR06G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFRKMFPEYVEKYNQHKQMEQTVAEPVYQENPAPAPSPAVQQQAAVAANKPQPVVKAPGEQNQKKRVPFWMMLVMFSVFGAVMALPLMQL >LPERR06G05550.1 pep chromosome:Lperr_V1.4:6:3854465:3857172:1 gene:LPERR06G05550 transcript:LPERR06G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPPVLAVFLAVLLLAAMPTTTQCHRHGSRHHAAAAKARTPAAKVDVDAICRATPHPDSCVASTAAHLDAAASASKLAAASVNLLPPNILSVALASLRGALSAVSSLSPALAAALSPPPPPRSTTSPPPPSPLRRGAAQDCFDLHAATLASLTRSASLLASPVRGGVRAHLAAALANKATCLDGLATASSTPDMDSLLASLDDAYQHVTNSLSLVARRNNNNGFSATVANIIHNHNRRLLEDGDDYNSDDNNGDNTVVITVAKDGTGNYKTVGEAVAAAPNNSEGRTVIRVKSGTYEENVEVPPTKTNIAVVGDGRDSTVITSGRSAADGWTTFRTATFGVSGEGFMARDITFRNTAGAAKGQAVALRVNADRSAFYRCGVEGHQDTLYAHSFRQFYRECAITGTVDLVFGDAAAVLQACRLVAGLPIAGQSNVLTAQARGDPNEDTGFSVHNCTVAASPELLASDISTRTFLGRPWRPYARAVVMDSYLGPLVDRAGWVDWPGAEVGRADTVYFGEYGNDGPGAGMDGRVGWAGFHEMGYDEAAQFSVDSLISGDEWLTATSFPYDDDV >LPERR06G05560.1 pep chromosome:Lperr_V1.4:6:3857670:3858330:-1 gene:LPERR06G05560 transcript:LPERR06G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLHHHHQSSSSMGSSTRFGTRMGALRFRTSAHGCSSSSHSKLSFAGTAKKKVFEDQLRGIVCYRDDKGELVCEGYDEGPRLGMRLPEKACFPWPVGVQFTDFIELATFRVFEDADILQIKNDQGRKI >LPERR06G05570.1 pep chromosome:Lperr_V1.4:6:3862935:3869384:-1 gene:LPERR06G05570 transcript:LPERR06G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRTTPLGWLCISSSQSNASSVLTLGKKAPFFLSSPLLSPHTRLFLFFLLTPLLLFPLRLPRSNLRLLRYRHQPPQDSGAWVNKRASMDYSAGSYVWPHNSGSENYGFGDGSSESYAQEGSLPPSGYFVGSGSDRSLKTTENEKNPAMLANGCLPYNTQAHPLSGQILSKDGLHSNLLDLQQLQNNSNLQGNSFPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNIPSFMEPVNLEAFGFQGSQNTAMLNKTSHPNGNPLLFDNAAMASLHDSKEFINGASISSFGTVLQALGAGGLKAQQQKQNVRDIPLPTFTSGNHLAVTDAQVPPLPSKILPLLHDHKSEYPINRSSDVEPQANSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGLPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAVAAIPPEK >LPERR06G05570.2 pep chromosome:Lperr_V1.4:6:3862935:3867870:-1 gene:LPERR06G05570 transcript:LPERR06G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVGSLANVKVEEERTLRASMDYSAGSYVWPHNSGSENYGFGDGSSESYAQEGSLPPSGYFVGSGSDRSLKTTENEKNPAMLANGCLPYNTQAHPLSAPTLCSAFQNIPSFMEPVNLEAFGFQGSQNTAMLNKTSHPNGNPLLFDNAAMASLHDSKEFINGASISSFGTVLQALGAGGLKAQQQKQNVRDIPLPTFTSGNHLAVTDAQVPPLPSKILPLLHDHKSEYPINRSSDVEPQANSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGLPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAVAAIPPEK >LPERR06G05570.3 pep chromosome:Lperr_V1.4:6:3862935:3867870:-1 gene:LPERR06G05570 transcript:LPERR06G05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVGSLANVKVEEERTLRASMDYSAGSYVWPHNSGSENYGFGDGSSESYAQEGSLPPSGYFVGSGSDRSLKTTENEKNPAMLANGCLPYNTQAHPLSGQILSKDGLHSNLLDLQQLQNNSNLQGNSFPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNIPSFMEPVNLEAFGFQGSQNTAMLNKTSHPNGNPLLFDNAAMASLHDSKEFINGASISSFGTVLQALGAGGLKAQQQKQNVRDIPLPTFTSGNHLAVTDAQVPPLPSKILPLLHDHKSEYPINRSSDVEPQANSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGLPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAVAAIPPEK >LPERR06G05570.4 pep chromosome:Lperr_V1.4:6:3862935:3868186:-1 gene:LPERR06G05570 transcript:LPERR06G05570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRYFRPSPFFVYPSLSRASMDYSAGSYVWPHNSGSENYGFGDGSSESYAQEGSLPPSGYFVGSGSDRSLKTTENEKNPAMLANGCLPYNTQAHPLSGQILSKDGLHSNLLDLQQLQNNSNLQGNSFPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNIPSFMEPVNLEAFGFQGSQNTAMLNKTSHPNGNPLLFDNAAMASLHDSKEFINGASISSFGTVLQALGAGGLKAQQQKQNVRDIPLPTFTSGNHLAVTDAQVPPLPSKILPLLHDHKSEYPINRSSDVEPQANSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGLPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAVAAIPPEK >LPERR06G05570.5 pep chromosome:Lperr_V1.4:6:3862935:3867870:-1 gene:LPERR06G05570 transcript:LPERR06G05570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRASMDYSAGSYVWPHNSGSENYGFGDGSSESYAQEGSLPPSGYFVGSGSDRSLKTTENEKNPAMLANGCLPYNTQAHPLSGQILSKDGLHSNLLDLQQLQNNSNLQGNSFPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNIPSFMEPVNLEAFGFQGSQNTAMLNKTSHPNGNPLLFDNAAMASLHDSKEFINGASISSFGTVLQALGAGGLKAQQQKQNVRDIPLPTFTSGNHLAVTDAQVPPLPSKILPLLHDHKSEYPINRSSDVEPQANSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGLPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAVAAIPPEK >LPERR06G05580.1 pep chromosome:Lperr_V1.4:6:3880624:3883338:1 gene:LPERR06G05580 transcript:LPERR06G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLLPPTATRRVTAGDLWLGKKSKKNKKSSSGGRLRRREEEDFEADFEEFEGESEVEYSDADEAKPFAVLPSSGFTRGGLNTTITGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAQLSSVNMPTFSVEEKPAIMSAGNNNMYNTNAYAYPAVEYTLQEPFMQTENVTFVPAMDAIVDPFVNLSSDQGSNSLGCSDFSQENDTKTPDITSMFAPTLTEVDESAFLQNNSSDAMVPPVMGNASVDLSDLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >LPERR06G05580.2 pep chromosome:Lperr_V1.4:6:3880557:3883338:1 gene:LPERR06G05580 transcript:LPERR06G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLLPPTATRRVTAGDLWLGKKSKKNKKSSSGGRLRRREEEDFEADFEEFEGESEVEYSDADEAKPFAVLPSSGFTRGGLNTTITGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAQLSSVNMPTFSVEEKPAIMSAGNNNMYNTNAYAYPAVEYTLQEPFMQTENVTFVPAMDAIVDPFVNLSSDQGSNSLGCSDFSQENDTKTPDITSMFAPTLTEVDESAFLQNNSSDAMVPPVMGNASVDLSDLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >LPERR06G05590.1 pep chromosome:Lperr_V1.4:6:3915605:3918477:1 gene:LPERR06G05590 transcript:LPERR06G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASYEEQRRRQVEENKRKLDELRLHQLSAAVRESGPRPSPVKPRKRKERAAAGAGEDVPLRRSGRVASLPEKPKYQDEFHDFERKIRRSYGGKRRNLSGRVYATEKEREHAIATAEDLEKELGSDHPIFIKPMLQSHVTGGFWLGLPTQFSRKYLPKRDETICLVDEEDDEFDTLYLAHKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTRFKVYIIRASSLYESDN >LPERR06G05590.2 pep chromosome:Lperr_V1.4:6:3915605:3917227:1 gene:LPERR06G05590 transcript:LPERR06G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASYEEQRRRQVEENKRKLDELRLHQLSAAVRESGPRPSPVKPRKRKERAAAGAGEDVPLRRSGRVASLPEKPKYQDEFHDFERKIRRSYGGKRRNLSGRVYATEKEREHAIATAEDLEKELGSDHPIFIKPMLQSHVTGGFWLGLPTQFSRKYLPKRDETICLVDEEDDEFDTLYLAHKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTRFKVYIIRASSLYESDN >LPERR06G05600.1 pep chromosome:Lperr_V1.4:6:3959790:3963980:-1 gene:LPERR06G05600 transcript:LPERR06G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSDVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLKDLANLVIVCGDHGNQSKDREEQAEFKKMYSLIDEYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCTQDPSYWDKISHGGLQRIYEKPAPSHWLLMERAHPSKPGK >LPERR06G05610.1 pep chromosome:Lperr_V1.4:6:3972283:3982915:-1 gene:LPERR06G05610 transcript:LPERR06G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGAGCLQSFELYEADSKFYILGTNTDKTSWKLLKIDRMEPAELNIDEGSTVYSHSEYLDLLNVLNEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSQSKAKFLNSKDEDRYKKLLQTIDLRKDFFYSHSYNIMRSFQKNYDDPQEGWDLYDTMFVWNEFLTRGIRNVLKSTIWTVALVYGFFKQDKLAISGKNIMLTLVARRSRHYAGTRYLKRGVNDEGRVANDVETEQIVSEDMLGPRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDENYEATRLHFENLRRRYGNPIIILNLIKKRERRPRESILRREFDKAIKIINNDLPGENHLRKSTNALQVLLKVAFEALNLTEFFYCQVPPARRVGSSFSLHAPLKNGFGHHECDDINNDDTTDCIDNIDDMSQEDTCGSSDTSGNGAAEDIAEDNGPMPIKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALDSVESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELESVAGEGVLRENTSKLIKKSKSDGILQKSNTSMSSNGRNGMLKSSFTDSKSELQSPNCSSDAVNEISSVPDNTVSKLRYTPTVPHVKHVSCELDYCNGSGDSNFLDIDWLSCSDNERSTAISTPEMNVPTDNVATGASSRRTEDHATEIQAQGLSEHFVQWIDQGETFWF >LPERR06G05620.1 pep chromosome:Lperr_V1.4:6:3988080:3988262:-1 gene:LPERR06G05620 transcript:LPERR06G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRGHSPTLANKGATTANRLGKGPLYAALGPLFVVSFLVSLSLALLCLLASFPLPVLE >LPERR06G05630.1 pep chromosome:Lperr_V1.4:6:3991393:3992164:-1 gene:LPERR06G05630 transcript:LPERR06G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDGGGGGDKEATTTAPAVNSGGFRKVAGIVQMLHRWRRQSSAARRSSKPSISGETSSNAAAAAAAGDDDDDEKKPLLTPAAAAGKVVVVEERAEEAPVTPMTPEEGQIAAADVPRGCCAVYVGAAAEEGSRRRFVVPTAYLGMPVFRRLLEKAEEEFGFEYSDGALTIPCDTEDFKYILGVMDCHRKGLLDDEGNPKEDAAGEGSSEKRE >LPERR06G05640.1 pep chromosome:Lperr_V1.4:6:3996125:3998862:1 gene:LPERR06G05640 transcript:LPERR06G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAGDRQQQQQDGADADLYAVLGLSRECSDADLKLAYRKLAMIWHPDRRSAAGGGGVEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDGDDDEADLSGMGDFLGEMAQMMSQATPTESFEELQQVFVDMFQDDIDAGFCQSPDSFAFQFQSPPSWPSPSYSPTPATADAQTPAASRKGMNKRCSSPAMGSSFGLGISGFCFEAPWTSPDTSCSGGGKRRKHRSTVSSNV >LPERR06G05650.1 pep chromosome:Lperr_V1.4:6:3999904:4001931:-1 gene:LPERR06G05650 transcript:LPERR06G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRITIVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDGIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIAQGGEPNEEGVLLTMSTLTEEGVIAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLEARANAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKERKFTTDRMGRQLSSMGLDPTAAVDRARSQSRGRKRDRSVSRAVSDGDDMDIDGQQSKKLRLRSTSRSRSKSRPPEEVVPGEGLRDSEQKKKAIQKARTSVKNRNKQARRGEADRVIPTLKPKHLFSGKRSNGKTSRR >LPERR06G05660.1 pep chromosome:Lperr_V1.4:6:4001944:4003367:-1 gene:LPERR06G05660 transcript:LPERR06G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRYKSLGRNPCKLLLPLPRPPPRRGGTPPPPPPGLRGRHLPESKHRLQPPLDLRSYLSS >LPERR06G05670.1 pep chromosome:Lperr_V1.4:6:4003474:4006624:1 gene:LPERR06G05670 transcript:LPERR06G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISQIGARLLRENRAAAAKLPKSTTSYYQGQLSRHLPPMKNILFSTATTSSDHAEGSQDKEKISVTFVNKDGTEQTISVPVGMSILEAAHENDIELEGACEGSLACSTCHVIVMVCSILPNAGFHAVVEVEVPLTSHFNFIQDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLALPAATRNFAVDGFVPKPH >LPERR06G05680.1 pep chromosome:Lperr_V1.4:6:4008435:4009049:-1 gene:LPERR06G05680 transcript:LPERR06G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEHPLEAVAFRLYSIPEAAVPGAAAAWTCLAAVLAAAAAAAAGIWRLRAAAPVAIGDASSSSNELEHAKSSAMESEQPRLSETASSSPALSPKERYTAYFYDSCCVGCCDVEDDDDGREEVIEEEDGGEDELSETTPFEWEVVRSLVPLSPTAAEMGRYRESGMIGGSVVRLWDHAGSGGLTAAAASPRRRGRAGSVVSAF >LPERR06G05690.1 pep chromosome:Lperr_V1.4:6:4010771:4012266:-1 gene:LPERR06G05690 transcript:LPERR06G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSTAYRPSLLLPPRQSPSPSRALLCTPSTAAVSFRGAAINATSLSAAAPRRRAVTIVCGKVSKGSAAPNFTLRDQDGRAVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYENDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILESL >LPERR06G05690.2 pep chromosome:Lperr_V1.4:6:4010771:4012266:-1 gene:LPERR06G05690 transcript:LPERR06G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSTAYRPSLLLPPRQSPSPSRALLCTPSTAAVSFRGAAINATSLSAAAPRRRAVTIVCGKVTPTNITNLCYSRRNMMRASALAALLLSVSSTSPPPARAADESSVAQVSKGSAAPNFTLRDQDGRAVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYENDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILESL >LPERR06G05700.1 pep chromosome:Lperr_V1.4:6:4013586:4016829:-1 gene:LPERR06G05700 transcript:LPERR06G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGDDDDHLWAKAAELERQFQGYKRRIAERKPTMGADRPDGDGGGELGGGDGAEAVVGSRGRKYEAYVRRRDEKLRQGWRARMQRKEAEMMALWARLDDSHRRRTDSDHLLLVTASGHVKQQKPGNLEASKPTSSSTPRSSSATKSTLSRPRTPRSTMPSPSPAAAAASPRLSSSSTLDSRRRSQHRDPSSQIEPPSTPRKENRMPPLITASPAAATPRLRALSRSRSSLKEYSVRDSPRPAPPLTTTRFQSPRPSHDGAAAAADAVARRQVVLAEIKTAAAIRVRRSVNGAAAAAASPSPRPVIAPQVDGRRRPSRDTGKTIDAQMIPSNLDIKSKNFSVSEDDDAAESSVKLDDLMIAGDSDEAMNNSQSQFQPLVAPDSNPEETEAPAPDQSEKETEDLEEEVTAMATSESKEKETPEIDEQQDDSSPESSSDQSFYSNVDSSFSHRSSELAASATCSPLHGSPSSTTEQLLEADAAMLRKKREEEEEDDEVAAAGEIKRLLIPAMISSGGGGGVACPVAGAVQSPMEAVAGLKRFLTFGKKNGGVKAGAGEGAAADDDGVGEEWTGDSGVRQRICYSDAASSDDSDNNYVISAHVRSLQSCVPCSSAKPVLLKELISSVKSPRAHRSFFSFSSFKSRGY >LPERR06G05710.1 pep chromosome:Lperr_V1.4:6:4017635:4021126:-1 gene:LPERR06G05710 transcript:LPERR06G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTATAAAPVAAASSTTRTRTRRHRVSVSVRASTSAAATAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGDSGVGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKPMEKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIRQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVSRIPLDLEDTIQLYIQVSV >LPERR06G05720.1 pep chromosome:Lperr_V1.4:6:4031862:4042405:1 gene:LPERR06G05720 transcript:LPERR06G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPGPAEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYERDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASPTVQSPYLTSNTLAMQDVMGSSNEDPTKRLNAQAQNIVLPNLQVGSKVDHPVMTQHQQQQHQLAQQQQHQLAQQQQQVQPSQQSSVVLQQHQAQLMQQNAIHLQQQQEHLQRQQSQPTQQLKTAASLHSVEQHKLKEQTSGGQVASQAQLLNQIFPPSSSQLQQLGLPKSPTQRQGLTGLPISGSLQQPTLTQTSQAQQAAEYQQALLQSQQHQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDAVGQLKQSPSQQTPLNHIAGSLTPQQLVRSHSALAESGEPSSSTAPSASRISPMNSLGRAHQGSRNFSDMVATPHIDSLLQEIQSKPDNRIKNDIHSKETVAIPNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDSFLIAENVDAMMPDALLSRGMASGKGMCNLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPSQTQACSASDDANAWRVSKAAYLDRSDQVVRPDSTTFQNHLVRPNPVAAAPTGNLSLWNTVPHPNPLTTPRIPNPADSKPEQDKPGGFEINHCSAFAVGFIPLLLLVFLPSSHAKAQPDSSAEPTRFEANREVSQLFSQQLRMSSVQLSGAGVAAVAFTKKGASSFDGLRLAPPSARVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPTTAQSKPQGGEVVAVGEGKTIGDKKVEVSVPIGAEVVYSKYAGTEVEFNDSKHLLLKEDDIIGILESDDAKDMKPLSDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >LPERR06G05720.2 pep chromosome:Lperr_V1.4:6:4031862:4042405:1 gene:LPERR06G05720 transcript:LPERR06G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPGPAEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYERDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASPTVQSPYLTSNTLAMQDVMGSSNEDPTKRLNAQAQNIVLPNLQVGSKVDHPVMTQHQQQQHQLAQQQQHQLAQQQQQVQPSQQSSVVLQQHQAQLMQQNAIHLQQQQEHLQRQQSQPTQQLKTAASLHSVEQHKLKEQTSGGQVASQAQLLNQIFPPSSSQLQQLGLPKSPTQRQGLTGLPISGSLQQPTLTQTSQAQQAAEYQQALLQSQQHQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDAVGQLKQSPSQQTPLNHIAGSLTPQQLVRSHSALAESGEPSSSTAPSASRISPMNSLGRAHQGSRNFSDMVATPHIDSLLQEIQSKPDNRIKNDIHSKETVAIPNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDSFLIAENVDAMMPDALLSRGMASGKGMCNLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPSQTQACSASDDANAWRVSKAAYLDRSDQVVRPDSTTFQNHLVRPNPVAAAPTGNLSLWNTVPHPNPLTTPRIPNPADSKPEQDKPGGFEINQLFSQQLRMSSVQLSGAGVAAVAFTKKGASSFDGLRLAPPSARVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPTTAQSKPQGGEVVAVGEGKTIGDKKVEVSVPIGAEVVYSKYAGTEVEFNDSKHLLLKEDDIIGILESDDAKDMKPLSDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >LPERR06G05720.3 pep chromosome:Lperr_V1.4:6:4031862:4042405:1 gene:LPERR06G05720 transcript:LPERR06G05720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPGPAEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYERDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASPTVQSPYLTSNTLAMQDVMGSSNEDPTKRLNAQAQNIVLPNLQVGSKVDHPVMTQHQQQQHQLAQQQQHQLAQQQQQVQPSQQSSVVLQQHQAQLMQQNAIHLQQQQEHLQRQQSQPTQQLKTAASLHSVEQHKLKEQTSGGQVASQAQLLNQIFPPSSSQLQQLGLPKSPTQRQGLTGLPISGSLQQPTLTQTSQAQQAAEYQQALLQSQQHQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDAVGQLKQSPSQQTPLNHIAGSLTPQQLVRSHSALAESGEPSSSTAPSASRISPMNSLGRAHQGSRNFSDMVATPHIDSLLQEIQSKPDNRIKNDIHSKETVAIPNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDSFLIAENVDAMMPDALLSRGMASGKGMCNLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPSQTQACSASDDANAWRGFIPLLLLVFLPSSHAKAQPDSSAEPTRFEANREVSQLFSQQLRMSSVQLSGAGVAAVAFTKKGASSFDGLRLAPPSARVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPTTAQSKPQGGEVVAVGEGKTIGDKKVEVSVPIGAEVVYSKYAGTEVEFNDSKHLLLKEDDIIGILESDDAKDMKPLSDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >LPERR06G05720.4 pep chromosome:Lperr_V1.4:6:4031862:4042405:1 gene:LPERR06G05720 transcript:LPERR06G05720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPGPAEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYERDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASPTVQSPYLTSNTLAMQDVMGSSNEDPTKRLNAQAQNIVLPNLQVGSKVDHPVMTQHQQQQHQLAQQQQHQLAQQQQQVQPSQQSSVVLQQHQAQLMQQNAIHLQQQQEHLQRQQSQPTQQLKTAASLHSVEQHKLKEQTSGGQVASQAQLLNQIFPPSSSQLQQLGLPKSPTQRQGLTGLPISGSLQQPTLTQTSQAQQAAEYQQALLQSQQHQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDAVGQLKQSPSQQTPLNHIAGSLTPQQLVRSHSALAESGEPSSSTAPSASRISPMNSLGRAHQGSRNFSDMVATPHIDSLLQEIQSKPDNRIKNDIHSKETVAIPNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDSFLIAENVDAMMPDALLSRGMASGKGMCNLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPSQTQACSASDDANAWRGFIPLLLLVFLPSSHAKAQPDSSAEPTRFEANREVSQLFSQQLRMSSVQLSGAGVAAVAFTKKGASSFDGLRLAPPSARVCSSRRPSRSLVVKAATVVTPKIGAEVVYSKYAGTEVEFNDSKHLLLKEDDIIGILESDDAKDMKPLSDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >LPERR06G05730.1 pep chromosome:Lperr_V1.4:6:4044718:4045232:1 gene:LPERR06G05730 transcript:LPERR06G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILDGAGVEGQGADGEEDGAWGVGRRRWRGRSSSTPLQARQQAAGARLSSEIPPDEPQCSVLVHPAAAQRPTTPGCNSVELKLAGLGSGTASATSTRGAKDRPGGEGVAQAGIGWRTGEDGVAGGGVVVVAGWAEEMRLRKGGRELTSHIGSTSVANKCYSESVE >LPERR06G05740.1 pep chromosome:Lperr_V1.4:6:4045588:4046109:1 gene:LPERR06G05740 transcript:LPERR06G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAPAPERNKYRGVRMRKWGNRRRIWLGSYDAPEKAARAFDAAFVCLRGAEAKSGLNFPDSPPVFARTSDPQEVYEAAVSHANFRPPPSTAIAPPVITGGNVAAPPPVQLPAGSGCFDWSQNSNNPLYSPAARYGLPTMWKEDDDDHQGASDSLWSFND >LPERR06G05750.1 pep chromosome:Lperr_V1.4:6:4053027:4053599:1 gene:LPERR06G05750 transcript:LPERR06G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAAEAERRYKGVRLRQWGKWAAEIRLPNSRRRIWLGSYDAPEKAARAFDAAFVCLRGVEAKSGLNFPDSPPDVARTGDLREVYAAAVSHANRPPAAAPVAAEEQHDVVRGNRPPAPLQVEGGSFDWSQFRENPPPIYSPTATAIAGNDAYEQAAMWTTTAARVEESIGDDDDDEGSECQDLWSFDA >LPERR06G05760.1 pep chromosome:Lperr_V1.4:6:4054762:4056806:-1 gene:LPERR06G05760 transcript:LPERR06G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSAESEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRQGQRPQYTVPPNVWFGAFLTLDIESFTEDGSVFVKAPGRDSEMHYSFVGVTCAPAFQFEDNEMATRESMKALAPKAEAFINYLLPS >LPERR06G05770.1 pep chromosome:Lperr_V1.4:6:4056204:4059764:1 gene:LPERR06G05770 transcript:LPERR06G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALKSQTFASSPREAASRFFPSGVSLLPLDPSQPSICHLGGSNQRSRFVRGQEEGNLTRIEPDTRPESNPTTTTTTASRREAASTRLAPAPATMSLAATFVFLLVAALQMLDRVLDLARKRGSITDEQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQEQDIKGKQTLYNQYGRVLLFTKVLIYGLIILWFWSAPVTTVPKHLLQPFGRMFSWRGVDASTGHVVVGILPWLFLTSRVSKLFCQKLVPIFLH >LPERR06G05780.1 pep chromosome:Lperr_V1.4:6:4062822:4063967:-1 gene:LPERR06G05780 transcript:LPERR06G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQITHAMDASTQVNVENVDVVIEPNVVPVQQGWHTDIFDCFEDNCESFCFSSCFPCVSFGFIGEIVDQGSTNWCCLCSIYLLAANFGLWWMYAGWYRGKLRQQYGLPESPLPDCFTHLFCHWMGRTSAGGCTAGGE >LPERR06G05790.1 pep chromosome:Lperr_V1.4:6:4079831:4081957:1 gene:LPERR06G05790 transcript:LPERR06G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSLLLAAMAAVAPATVSAQQNMTDAEALMQLKKSFTNSSSLSSWLITSKDGDKSPCSPGSHEWHGVVCGRGGAVTGLRLNGLHLGGTIDVDALAAFRRLRSVSFSSNNFSGNLPAIDRLTALKSMYLSDNQFTGVLPDEFFGKLNHLKKLWLDGNDLSGEIPASITQATSLLELRLERNAFSGELPPLPPPALKVFDISHNDLDGVVPESFRKFDAGKFSGNRFLCYVPTSNGPCTRVDDAATSSSSKNLATAFAAVFVSAVVITLALRLCCTSKSSRVTDLDSFRHDADERPPVYMLKQASSTTGKRSASWLGRRTGSSLGGGGHRRATSAAKADEINGGGGGDLVIVNNCKGVFGLTDLMKAAAEVIGTGGGLGSAYKAVMSNGVAVVVKRARDMNRGTRETFEAEMKRLGATRHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWSTRLKVAAGVARGTAFLHAAALSSHNVAVPPHGNLKSANILLAPDFEPLLVDFGYSGLVTHDPHSSAHSMFAHRAPECVAGGAHLAGAKADVYCFGVVLLELLTGKFPSQYLHNAKGGTDLVMWATTAIADGYERDLFDPAIMAAWKFALPDMVRLMHVAVDCVEVDVDKRPGMKEAAVRVEEVVAAAMATVREKQQAAGDGGGSRSSHAQYVRDGSMQRITSVGERSSRRGSNDYKYGIS >LPERR06G05800.1 pep chromosome:Lperr_V1.4:6:4083386:4084879:-1 gene:LPERR06G05800 transcript:LPERR06G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRDAVSYNTLIARLCRSAADGARAYSRMLREGVGSGAVRPDGRTLSAILALPGGDAAGCGFVRQVHAHAVRLGLCSCAFVGSALLRAYVRCRDAGAIAGVFEEIAEPDVVCWNVMIDACTQTGSVSHATEALSRMRMAGYCADGFTIASILKVCLREENLGLGMQLHACLWKVGFDSETAACNALITMYLKCRTGVSSAVQVFDEIAEPNIITWTAIIAGLVQNGLAVEAVSFYKEMVRAGEKENGYSFASVLSACCALASLEHGKMVHCRIFKSGFCADTIVGNTLLDMYFKCGSSMDARLVFNTMCAYDVVSWTAMIDGYGRHNDPGRALECFRAMIDGGFKPDSITFLAALSACSQGGLVDEGLKIFQSMVKLYNFKPQREHYACLVDLLGHAGRLNEADMLIRQMGLELDSLAWESLLGACGLHGEVDLGKKSAGKIMELEPQKHGPYVLLSNMYAEQCRWREKEMLRERLDCSNIRKDASWSWFPASETN >LPERR06G05810.1 pep chromosome:Lperr_V1.4:6:4087312:4088118:1 gene:LPERR06G05810 transcript:LPERR06G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFIVLLSIGLSNAARPTSKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQDGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAGGHP >LPERR06G05820.1 pep chromosome:Lperr_V1.4:6:4089180:4096676:-1 gene:LPERR06G05820 transcript:LPERR06G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASQPQPFLSSTSRPIRRATVATATATSSSPDDFDYPLADPSVRWPHLRFPHLPSPRFPSCNPSSPAPVARPPEGEDEAMAAGTSSAVAVVEPLDARAHRGRVKRLSKLALRRARDWRARVAGVADRVVALSPGAAVGDVLDGERLAHDELAFVVRAVGAASWRRALEAFEWVVEGGRAPGPRLVAVVLGVLGRARQDGVAEEVFLRFAREGATVQVFNAMMGVYARSGRFDDVRQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLNEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQDAERMFNELVEKGLQPDAVTYNSLLYAFAKEGNVERVERVCEELVKAGFKKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMNRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRRDDAERTFDRMVESGVKPDRLAYLVMLDIFARSGETTKLMVLYRTMINDGYKPDDGLYQVLLAALTEGNEHNEIEGVIQDMEAVFEMSPLLISSILTKAECISQGASMLKRACLQGYEPDGKSLLSILDAYEKMGKHEEGLSLLECIREHVPSSHSLISECSIMLLCKNQKIVEAVQEYNKIQMLKRGSFGKDSDLYEYLITYLEETELLPEACQMFCDMQFLGIVPSQKIYQSIIYAYCRLGFPETAYQLMDDAVRFDISLNILSCRVAIIEAYGKLKLWQQAENFVKELKQDSGVDRRIWNALIHAYAESGLYEHARAVFDIMIKKGPLPTVESVNGMMRALIVDGRLDEMYVVVQELQDLDIKISRSTVLLMLEAFAKSGDVFEVMKIYNGMKAAGYLPNIYLYRTMISLLCHNKRFRDVELMVEEMEGAGFKPDLPVLNTLLLMYTETGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLNEMSKRSLTPKLESYKILLAASGKAKLWEQADLLFEEMRSKGYRLNRSIYHLMMKIYRNACNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTAGHPDEAEKVLNSLKSSNLEISTLPYSTVFDAYLRNRDYSLGITKLLEMKKDGVEPDHQVWTSFIRAASLCEQTDDAILLLKCLQDCEFDLPIRLLTERTSSLFTEVDNFLEELGALEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRGIYHHNIFRVEEKDWGADLRKLSAGAALVAVTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLMEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEESRDKVITADIQGRKEKLEKMKRQGLSIAKRSKKRHQRGKFIKQKSTEKTATLKEACPCNKKLTQEAYLRKVVTLSQALELCQDFGKQDEKVTQQAGD >LPERR06G05820.2 pep chromosome:Lperr_V1.4:6:4089293:4096676:-1 gene:LPERR06G05820 transcript:LPERR06G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASQPQPFLSSTSRPIRRATVATATATSSSPDDFDYPLADPSVRWPHLRFPHLPSPRFPSCNPSSPAPVARPPEGEDEAMAAGTSSAVAVVEPLDARAHRGRVKRLSKLALRRARDWRARVAGVADRVVALSPGAAVGDVLDGERLAHDELAFVVRAVGAASWRRALEAFEWVVEGGRAPGPRLVAVVLGVLGRARQDGVAEEVFLRFAREGATVQVFNAMMGVYARSGRFDDVRQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLNEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQDAERMFNELVEKGLQPDAVTYNSLLYAFAKEGNVERVERVCEELVKAGFKKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMNRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRRDDAERTFDRMVESGVKPDRLAYLVMLDIFARSGETTKLMVLYRTMINDGYKPDDGLYQVLLAALTEGNEHNEIEGVIQDMEAVFEMSPLLISSILTKAECISQGASMLKRACLQGYEPDGKSLLSILDAYEKMGKHEEGLSLLECIREHVPSSHSLISECSIMLLCKNQKIVEAVQEYNKIQMLKRGSFGKDSDLYEYLITYLEETELLPEACQMFCDMQFLGIVPSQKIYQSIIYAYCRLGFPETAYQLMDDAVRFDISLNILSCRVAIIEAYGKLKLWQQAENFVKELKQDSGVDRRIWNALIHAYAESGLYEHARAVFDIMIKKGPLPTVESVNGMMRALIVDGRLDEMYVVVQELQDLDIKISRSTVLLMLEAFAKSGDVFEVMKIYNGMKAAGYLPNIYLYRTMISLLCHNKRFRDVELMVEEMEGAGFKPDLPVLNTLLLMYTETGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLNEMSKRSLTPKLESYKILLAASGKAKLWEQADLLFEEMRSKGYRLNRSIYHLMMKIYRNACNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTAGHPDEAEKVLNSLKSSNLEISTLPYSTVFDAYLRNRDYSLGITKLLEMKKDGVEPDHQVWTSFIRAASLCEQTDDAILLLKCLQDCEFDLPIRLLTERTSSLFTEVDNFLEELGALEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRGIYHHNIFRVEEKDWGADLRKLSAGAALVAVTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLMEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEESRDKVITADIQGRKEKLEKMKRQGLSIAKRSKKRHQRGKFIKQKSTEKTATLKEACPCNKKLTQEAYLRKVTFTGCHFEPGS >LPERR06G05820.3 pep chromosome:Lperr_V1.4:6:4089652:4096676:-1 gene:LPERR06G05820 transcript:LPERR06G05820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASQPQPFLSSTSRPIRRATVATATATSSSPDDFDYPLADPSVRWPHLRFPHLPSPRFPSCNPSSPAPVARPPEGEDEAMAAGTSSAVAVVEPLDARAHRGRVKRLSKLALRRARDWRARVAGVADRVVALSPGAAVGDVLDGERLAHDELAFVVRAVGAASWRRALEAFEWVVEGGRAPGPRLVAVVLGVLGRARQDGVAEEVFLRFAREGATVQVFNAMMGVYARSGRFDDVRQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLNEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQDAERMFNELVEKGLQPDAVTYNSLLYAFAKEGNVERVERVCEELVKAGFKKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMNRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRRDDAERTFDRMVESGVKPDRLAYLVMLDIFARSGETTKLMVLYRTMINDGYKPDDGLYQVLLAALTEGNEHNEIEGVIQDMEAVFEMSPLLISSILTKAECISQGASMLKRACLQGYEPDGKSLLSILDAYEKMGKHEEGLSLLECIREHVPSSHSLISECSIMLLCKNQKIVEAVQEYNKIQMLKRGSFGKDSDLYEYLITYLEETELLPEACQMFCDMQFLGIVPSQKIYQSIIYAYCRLGFPETAYQLMDDAVRFDISLNILSCRVAIIEAYGKLKLWQQAENFVKELKQDSGVDRRIWNALIHAYAESGLYEHARAVFDIMIKKGPLPTVESVNGMMRALIVDGRLDEMYVVVQELQDLDIKISRSTVLLMLEAFAKSGDVFEVMKIYNGMKAAGYLPNIYLYRTMISLLCHNKRFRDVELMVEEMEGAGFKPDLPVLNTLLLMYTETGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLNEMSKRSLTPKLESYKILLAASGKAKLWEQADLLFEEMRSKGYRLNRSIYHLMMKIYRNACNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTAGHPDEAEKVLNSLKSSNLEISTLPYSTVFDAYLRNRDYSLGITKLLEMKKDGVEPDHQVWTSFIRAASLCEQTDDAILLLKCLQDCEFDLPIRLLTERTSSLFTEVDNFLEELGALEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRGIYHHNIFRVEEKDWGADLRKLSAGAALVAVTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLMEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEESRDKVITADIQGRKEKLEKMKRQGLSIAKRSKKRHQRGKFIKQKSTEKTATLKEACPCNKKLTQEAYLRKVRQNARCFGKLCVD >LPERR06G05830.1 pep chromosome:Lperr_V1.4:6:4097431:4100374:-1 gene:LPERR06G05830 transcript:LPERR06G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNKVVSNGDTRSMLQNKVTTLNPNAAEFVPSCIRPSFESSVVSDVSKADLRGSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGHTSLLYEDSSQASFPSMGSSNWKQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAATDGVLDPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEMQVDVTSSPALTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSSGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSAPKQYSAATKTSGNKFQSVSNARAAPWLETGDAVANMYSESREEARDLARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKAAHEKAREAIYRQRLPNWNPASSHRGSDRLIDLHGLHVNEALHILKVELGALKSNARATGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >LPERR06G05840.1 pep chromosome:Lperr_V1.4:6:4112703:4114980:-1 gene:LPERR06G05840 transcript:LPERR06G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEPVQETLALNFSQPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFTDRKSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTNRHLETINQLAATSHLADAATILFKFKLSWSVYLSKCRNKLTMTWDRQDYKAKMVTTKHEDKTKFR >LPERR06G05840.2 pep chromosome:Lperr_V1.4:6:4113369:4114980:-1 gene:LPERR06G05840 transcript:LPERR06G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEPVQETLALNFSQPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFTDRKSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTNRHLETINQLGISLVPPITKRLACGDYGNGAMAEPSQIDTTVRLACKRQNFNTNSSLVIPVSSNLPSS >LPERR06G05850.1 pep chromosome:Lperr_V1.4:6:4119991:4121783:1 gene:LPERR06G05850 transcript:LPERR06G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRRPRPGPSMVIRCPVCNGGMAHGQAIFTAECSHTFHLRCLPSHSSLCPVCATPWRDAPSPPPPLTAYDDDDDLPLAESPSPSPTLGNGGVMVLNTHCEYPAISRDAARDGFAVLVHARAPSPSPSTSRAPIDLVAVLDVSGSMAGSDKLALVKRATGFVIDSLGAGDRLAVVAFSTDARLVLRLTRMTPDGKVAARRAVDSLSADGLTNIRAALDVAAKVLDGRRLSNPVSSVVLLSDGQDNQTMGGVTKARTYDALVPPSLAAGGGGATPVHAFGFGTDHDAAAMHAMSKMTRGTFSFVENLSVVQDTFARCVGGIMSVAAQAARIGVECVDPGVRVRAVKSGRYESRIDADGRAATVDVGELYAEEDRRFLVFLDVPRAAGDDGATRLIDVRCTYRDTATGQTVEVSCGEAAAVVSRPVDAAGVAASVEARRILDARRASLIASAASGDAMVRALVAEMEELGERVASEREYARYGRACVLSGMSSHAQQRASSVRLTGGNSAFGASAAAFATPAMRRMERMSEMARAPQQQQQQQVASPVTAEKNGGGRKVVVHGWMQNWRRLSLV >LPERR06G05860.1 pep chromosome:Lperr_V1.4:6:4122769:4123161:-1 gene:LPERR06G05860 transcript:LPERR06G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPRRRRRAASSAASAAEREGRRKKVAVVRLGGGGGGGGVGGIGTKRRLFGVLRRLRVRWVAAMYRQALRRLRVCYANAIRDLIDGAALAGAMRAPVGVEFSHAAAFGPAATVGF >LPERR06G05870.1 pep chromosome:Lperr_V1.4:6:4124800:4132784:1 gene:LPERR06G05870 transcript:LPERR06G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAAASQVLREREILDAVSTSAAALSLVGSSFIVLCYLLFRELRKFSFKLVFFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTSIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDNGRPGTWCWIQQGSMAKVLHLVTFYLPLWGAILYNGYTYFQVNHMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRLHDFANPGHRIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDTYLPERFKRSLPTLTRFKSQQENELASLIVDASNT >LPERR06G05880.1 pep chromosome:Lperr_V1.4:6:4133644:4133910:1 gene:LPERR06G05880 transcript:LPERR06G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCALEFFLAASCKTSSSLNPGAQFAPGALLVAILITFQLTCLLLFAYVGAGVGGAVHGGFLAVAMRRLAAVTALLAVAVLHDFSTK >LPERR06G05890.1 pep chromosome:Lperr_V1.4:6:4139751:4146521:-1 gene:LPERR06G05890 transcript:LPERR06G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQEATNYGSVLLWDRYDDSIIGYGKEIKDLEKKKVDGTLPWINPESLYYGMMQRAQTASNYGGAMLWDRGADKAYDNYYGRALKDFGRNKDESSLREACDTGIYNTVIISFLTVFGHGRYWADLSGHPIAGVGADIKHCQHAKNVTVLLSIDGDGDHYSLPTPRSAKDVVDHLWHAYLGGGRHGVFRPFGDAVVDGIDLYINHGGSANYDELATHLGEHGGILLTATVRCMDGQEKSGEAAAATGLVGRIHVRYDSSKRRPFYGAWLGWTVRYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >LPERR06G05900.1 pep chromosome:Lperr_V1.4:6:4146572:4147048:-1 gene:LPERR06G05900 transcript:LPERR06G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIPCFFPGSATGGDGDHCSLPTATSAEDHPYLGGHRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVQRLAGYSGKPVVLTATPRCGYPDANAEAALATGLVRRIHPLFYHDTACTDYIGTAAAGRAASGRRGPHGCRGSRRARCTWGCR >LPERR06G05910.1 pep chromosome:Lperr_V1.4:6:4149208:4156821:1 gene:LPERR06G05910 transcript:LPERR06G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHQNQTPASRNTPIHQYQTPARSSVPAHHHPSAATAIQETSSISHHAVNEILDCNIENLLNQSGDLAPSDMEATDQNAPCEQVPKLGMTFESEEATYNFYNLYARRVGFSIRKCHVKHRAEVHGLP >LPERR06G05910.2 pep chromosome:Lperr_V1.4:6:4150840:4156821:1 gene:LPERR06G05910 transcript:LPERR06G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIPDSPAKLAMFPILIAVTFTQILGILTGRFTPGSAGADHPFLAMAVSLLTFTVPATFYLGVLQLYARITPVAPTLRRLLAVLASALAWTTLLVGLPPLALLLFG >LPERR06G05920.1 pep chromosome:Lperr_V1.4:6:4170150:4170978:1 gene:LPERR06G05920 transcript:LPERR06G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRFMRVAGNAPDPATELFAWATLIVAVAVVFLVAACLIAVPSIPARRRIRLLCLMQGGERGGFGRGCCGCNYDAARAVDLSADATAPMICQFDCVVSPENHDKP >LPERR06G05930.1 pep chromosome:Lperr_V1.4:6:4173175:4173891:1 gene:LPERR06G05930 transcript:LPERR06G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRSIVVVAMGAAALGGPDALRLLLAFAGRSPLVDVLIAVFVIAAVTAPVLGTMLLARFLRKPRSSGARGGGTRSGSPAGAGGGGPTGAAADMAADPFATMTLLVSLAVTFVVSACLLVLPLFQSGDTAPLAFAGAALAVLAAGRSIKGTLLASLAAIGVALVVPCIAVAVLDAPAQRLAVTFNNPLATVAVRVAIAVVVGTTLLALFFHFRKTILEMITPSAVACHLAVPPVAA >LPERR06G05940.1 pep chromosome:Lperr_V1.4:6:4176416:4181377:1 gene:LPERR06G05940 transcript:LPERR06G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGIVRNIIVVAMGAGALGGPDALRLILSNAGRSPLADISIAVFVTAALTAPALGTMLLARFFRKAGGGPGHAMGAAAEVADPFATMTLIVSLAVAFLVSASLILLPVPVFQSGDLAPLAFAVAALAVGARVRGVRLPIACGGAGPALAVPLAVVVHCVAVGMFDATAQRFRDRAVALKNTLATGPFGVPAAIVVTTTLVALFFCKAQHAAASTATARFHKMILVVITTLLVIAFFLKKHSSE >LPERR06G05950.1 pep chromosome:Lperr_V1.4:6:4183739:4184377:1 gene:LPERR06G05950 transcript:LPERR06G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYRPSPPISALSPSGGGAARARASAAAASSGGGVDRAPSSSGGLPRGRGSDVDRRRQQQQRRSLLSTEQQRRRRDGLEVRSGTSTTTSPPSPPARRPSPTLPSPSRSLSVSPCRGNLGRSPRRRIDGEQLDAEEELAVAVFRDRLVGDGWWRSEIDDEAGWSSPRNFAASFSARGEALFRLLLLPLTRPLASNVGPVWWSFCHLLKADP >LPERR06G05960.1 pep chromosome:Lperr_V1.4:6:4189305:4189910:1 gene:LPERR06G05960 transcript:LPERR06G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTVTAFVGMLVTTGAIGFVPYLDQSLVTLSSSARADLPPLDAVIKMVMSVAAIGALNAAIASIYTRIYNGRAAAAAANRRSLGFICFIVCSSIAVLLHLIFFLQPDAIDSVHDLLPLAVAAAAVVRALLPAAAVATFFVSIMLIYVSLGKGGAAAGGVAGEVPITTSVKLLTRMIHAAALVTVVLSLASATVVFYIQ >LPERR06G05970.1 pep chromosome:Lperr_V1.4:6:4197308:4200604:1 gene:LPERR06G05970 transcript:LPERR06G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLMCRRKRLKRNHHEEIFKKTRANVQFEDLPGDLLSTVLSKLPLKDAVRTGVLSSKWKDMWKVCPKLKFDRGIVSGANMFEGQQYTQKFINSVKAVMQQRQSKVVEELQIKFEVDSSLVGHIDSWVDFAVSSRVKNLALDLSPIKFLGQNQYRFPFKLLDGSYISRLQHIQLSYTSFELAPQFSGFPNLRALDLHYICVTRHVLQDMLSNCINLERLSLVRCHLNDELKVARPLSNLLYLCVAHCDITRIELNAIKLRTFIFYGVLHPIDLGHTPELKDTSLQLLCSITFEHAFTALANVLPSVQNLIFRAYVSLKTPLMENLCKFSRLKSLQLWLSIPNYGEDDNIVSLSSILRAAPLTEKLEIHFRTLWAITCWGLGSVKRLPRCPRNYMKNVHITGYMGSVGELELLLHIVENAAALEENEEQLRESVVKLLKGIAGEVYMSRHGWRVGVKRLENGS >LPERR06G05980.1 pep chromosome:Lperr_V1.4:6:4204857:4211360:1 gene:LPERR06G05980 transcript:LPERR06G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSLDFGKVLSEIQESLGKLAGSQVETKTIMSKLDQTVASWKPQMETVVKGLRQDMEGLKKQVLSLEQGRSEVGNSLNTLTASVAKVVENAEKGAPLLPTPPMASTSTSNPAVAPRELAMEVVREASFARGHGGHMPAGGGGPAVTPWECARECREMGFGRGKATVSACGPAVTPPEYAMEGCGEEMFGRGRGINTTTWAGGPAVTPLEYAMEGFREVDMGRGRGFFNSSAQNFGKNPTHPSPTFHQRQGYGRIVIIVRMRDFVY >LPERR06G05990.1 pep chromosome:Lperr_V1.4:6:4212916:4217735:1 gene:LPERR06G05990 transcript:LPERR06G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYGSGGGGGARCGGEVEGAMARSREANRRFRLLQAPPRWRASYGFAAIRVGARRLKQAGAALDSASRRWPRQVIKSGGGGQQGSKAVGSLLSRQQRQAKEAGSNDKEVGNFLVDNVESFRERMQ >LPERR06G05990.2 pep chromosome:Lperr_V1.4:6:4212916:4217735:1 gene:LPERR06G05990 transcript:LPERR06G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYGSGGGGGARCGGEVEGAMARSREANRRFRLLQAPPRWRASYGFAAIRVGARRLKQAGAALDSASRRWPRQVIKSGGGGQQGSKAVGSLLSRQQRQAKEAGSNDKEVIFLSVVDNVESFRERMQ >LPERR06G06000.1 pep chromosome:Lperr_V1.4:6:4221254:4223323:1 gene:LPERR06G06000 transcript:LPERR06G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLVRRAKRLKSYHQETILKKTRSHVQLQDLPGDLLSTILSKLPLKDAVRTGVLSSKWKDAWKVCPKLKFDGGMVCGGQQCTQKFIDIVNAVMKQHQTPINFLGHANQYRFPFELLDDESISRLQHIQLSYTSFELPTQFSGFINLTTLDLHLIRVTKKVLQDMLSNCINLEWLSMVRCHLNDELKVARPLSNLLYLRVAHCKITKIELNAMKLRTFVFRGMLHPIDLGQAPELKDTSLHFFCSATLQHAFTALATLLPSVQNLTFRANVSLEFNTVLAFTHRGLGPVKRLPRCPHSYLKNVHITGYVGSIGEIEPLVYIVENAAALEQLTIKTEDELGDQGKRILSFHIHELETRWLHGLISPNTKLCIV >LPERR06G06010.1 pep chromosome:Lperr_V1.4:6:4232739:4234825:-1 gene:LPERR06G06010 transcript:LPERR06G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLFACFGRGGGEESDQEERRKEKKPALRRRRTVNLRSLSLEDLSRTLERTNLHAFTLDELKTATKNFSTSNFLGEGGFGPVYKGSIDGGLRPGLEAQQVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVNLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTSRSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADKLHRIMDPSLEHQYSARAAHAAAKVAHACLQSVPKSRPCMRDVVDVLEPLLAVDDDTPMGPFVFTVGGEEAAGSSGAGDDEPERRSRRGKRHVTSAVHAESPLRDGRYASRVKRPESPPSVI >LPERR06G06020.1 pep chromosome:Lperr_V1.4:6:4239920:4241777:-1 gene:LPERR06G06020 transcript:LPERR06G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKKRVAIVGAGASGLTACKHALAKGFRPVVFETSDSIGGVWRRTLASTRLQSPAFSYMFSDFPWPPDAAAEVFPRHDQVVDYLAAYACRHGVLDCVRFGCKVLAADYAAGAPDEQVAAWEHWSGNGEAFGDGSGEWLLTVQHRRSEATQIHRFDFLIICTGRFAGVAHIPIFPPNRGPEVFHGKVVHSMDYTNMGHAAAAELIRGKRVAVVGSGKSAFDTVAECAPANGKTAGRHAQYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELMVHKPGQGLALTLLVILLTPLVRATPRWLLWKLAETYYKMQIPMEKHGMMPEESFAESMSSCRIGVLPDKYYDMVNEGSIVIKKTKSFSFCTDGLVLDDTGERVDADVVVLATGFRGDRSLNEMLVSPTFRSMVADTPAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSVRAMEASAAEWHEHVLKVDKPCVGGVSTWYNDELCRDMGYDPRRKKGILAEWLQPYGPADYADIL >LPERR06G06030.1 pep chromosome:Lperr_V1.4:6:4244099:4246679:-1 gene:LPERR06G06030 transcript:LPERR06G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISNKCSVAIVGAGASGLAACKHLLARGFHPVVFESGESTGGVWRRTLATTRLQTPASAYRFSDFPWPAAMAEEEDVFPRHDEVAAYLEAYAARFGVLDCVRFGCRVVAAEYVGVAEEEAAAWVRWSGNGEAFGDGRGEWVLTVQHRDSSEGTQIYKFDFVILCIGRYGAASIPSFPPTRGPEAFHGHLLHSMDYSSMDHADAAELIHGKRVAVVGSGKSAFDIVAQCAEANGSKYPCTMIYRSAQWMVDTGPVWGLNLQNLTTSRLSELMVHKPGEGLFLSVLATMLTPLRWLLWKLMETYYKRHTPMQRHGMVPSYSFSRSALACRLGILPERFYDQVDDGSIVLKRCDPSFSFCANGLVLDGTGDRVDADVVIFATGYQADRQLREIFVSPWFSKIVGETSDATVPLYRRCVHPRIPQMAIIGYTESAANIYPYEMMAKWVAHLLDGAFRLPAILQMERSVAEWACWGRNMRHCSGNYFRKSCVGTVTTWYNDQLCRDMGYGPRKKKGFLAEWLQPYGPTDYADIK >LPERR06G06040.1 pep chromosome:Lperr_V1.4:6:4248883:4258913:1 gene:LPERR06G06040 transcript:LPERR06G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVKDINENMLGGKKVAIVFVLGGPGSGKGTQCSNIVEHFGFTHLSAGDLLRQEIKSGSENGAMIDTVIKEGKIVPSEVTTKLLEEAITKSGNDKFLIDGFPRNEENRTTFESVAKVSPEFVLFFDCSEEEMERRLLSRNQGRSDDNIETIRKRFKVFVESSLPVIEYYESKGMVKKINAAKPISEVFEDVKAIFRPYGPKMKADRLILSNLDHDLGYLHRFPSDFPMSHDLGLSLFTHVGTLVGSSLRQHRQICSSGNLMVQEAFGRLNKFARAFCYWLSRASNPKIFRRLMSLEGPNSRACQSHINQVNSRVQNLAVLQFGCLVREEHAVQQLLANFASRALGRLWNDFQKQHASNVLTLAGAMAIVPPLENISLKMLAESMALGNIKDYVSRPMDQPYLEGKRIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFDLQPNTVSEKLGPKYASVPTIQLKDHPDFYDDLLRENLPMRVRLVVHYNGLSIGAVRDVFESSLGLRLQKMNPNTDYRCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLDAKKDIAKNVAGLMGKS >LPERR06G06040.2 pep chromosome:Lperr_V1.4:6:4248883:4258913:1 gene:LPERR06G06040 transcript:LPERR06G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVKGTQCSNIVEHFGFTHLSAGDLLRQEIKSGSENGAMIDTVIKEGKIVPSEVTTKLLEEAITKSGNDKFLIDGFPRNEENRTTFESVAKVSPEFVLFFDCSEEEMERRLLSRNQGRSDDNIETIRKRFKVFVESSLPVIEYYESKGMVKKINAAKPISEVFEDVKAIFRPYGPKMKADRLILSNLDHDLGYLHRFPSDFPMSHDLGLSLFTHVGTLVGSSLRQHRQICSSGNLMVQEAFGRLNKFARAFCYWLSRASNPKIFRRLMSLEGPNSRACQSHINQVNSRVQNLAVLQFGCLVREEHAVQQLLANFASRALGRLWNDFQKQHASNVLTLAGAMAIVPPLENISLKMLAESMALGNIKDYVSRPMDQPYLEGKRIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFDLQPNTVSEKLGPKYASVPTIQLKDHPDFYDDLLRENLPMRVRLVVHYNGLSIGAVRDVFESSLGLRLQKMNPNTDYRCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLDAKKDIAKNVAGLMGKS >LPERR06G06040.3 pep chromosome:Lperr_V1.4:6:4248883:4258913:1 gene:LPERR06G06040 transcript:LPERR06G06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVKGTQCSNIVEHFGFTHLSAGDLLRQEIKSGSENGAMIDTVIKEGKIVPSEAKVSPEFVLFFDCSEEEMERRLLSRNQGRSDDNIETIRKRFKVFVESSLPVIEYYESKGMVKKINAAKPISEVFEDVKAIFRPYGPKMKADRLILSNLDHDLGYLHRFPSDFPMSHDLGLSLFTHVGTLVGSSLRQHRQICSSGNLMVQEAFGRLNKFARAFCYWLSRASNPKIFRRLMSLEGPNSRACQSHINQVNSRVQNLAVLQFGCLVREEHAVQQLLANFASRALGRLWNDFQKQHASNVLTLAGAMAIVPPLENISLKMLAESMALGNIKDYVSRPMDQPYLEGKRIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFDLQPNTVSEKLGPKYASVPTIQLKDHPDFYDDLLRENLPMRVRLVVHYNGLSIGAVRDVFESSLGLRLQKMNPNTDYRCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLDAKKDIAKNVAGLMGKS >LPERR06G06050.1 pep chromosome:Lperr_V1.4:6:4264322:4267432:1 gene:LPERR06G06050 transcript:LPERR06G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMESGRGHGGGGGGGGGNLFGRRGATLCPAASGGWGGDSREAIVRCEEDAAGEESDGEVQSPYRGNRPLDTMDALQHALPRTRSSSDRRGENKVYNINSSSLVSAENVVVPSQHTKDPVIPEDPSPKKRKAFFPYSFDQDRKELNPVDDALCIVITDIGLANNKGYDEHKCCNNLLNTIDDCFCTYCTST >LPERR06G06060.1 pep chromosome:Lperr_V1.4:6:4271246:4279006:-1 gene:LPERR06G06060 transcript:LPERR06G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAVSRALVALLLIAVAFADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKGIISIDLKSNGLSGQIPDEIGDCSSLRILDLSFNNLDGDIPFSVSKLKHLESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSLSPDMCQLTGLWYLDLSYNKFSGSIPFNIGFLQIATLSLQGNMFTGSIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTLDLSCNMLTGPISSTIGNLEHLLRLNLSKNGLVGFIPAELGNLRSIMEIDVSNNHLGGLIPRELGLLQNLILLKLENNNISGDVSSLMNCFSLNILNVSYNNLAGVVPTDYNFSRFSPDSFLGNPGLCGYWLGSSCRSSGHQQKPPISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGSAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVHPDPPPKSAQQLAMPLQPSVPSYINEYVSLRGTSVLSCADSSCTSDAELFLKFGEGRCNAMHNAILVNPQGTELNPAGAWVCRDQGFKSDGNGDGR >LPERR06G06070.1 pep chromosome:Lperr_V1.4:6:4292005:4298910:-1 gene:LPERR06G06070 transcript:LPERR06G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVETLKRYKYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFMFLVMSAFLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYVIVMILMILFAVIPTIGSNVSNVQKVVDARKGSMVLALAMLLPFIALLAGVAVWSFLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPRGLKTGMCLSLVFLPFAIANALTAKLNAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >LPERR06G06080.1 pep chromosome:Lperr_V1.4:6:4300822:4303737:1 gene:LPERR06G06080 transcript:LPERR06G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARSRGKPSPSQSAMLARLRAASDAAHEIDVSVRHDSHGYLLVNDCDCRHRRHGHGRCLHGVSGVRVAGGLVRLATGVEHVRRALNRYLDAGKGKEGDGEEDDELSVGDKVLIAADVVVGTYDVVVGGIDVVVGICDLTEYARGAVARLSRMYPDVGIPIRFRFRKKKRDCRPKRIRKAGIKEVRFEDLPEDMQNMIFSKLPLKETVRTSVLSSKWRDLWKNCPRLRFDGSTMSGEYMLERLVENVHVVMKQQNGQIIQAFEVKVEFQNRLVDHLNNWVGFAASSWTKNLALDLAPKEFRHRHDRYMFPIELLDGIAASRLQQIQLSFVSLKLPSQFSGFPQLKKLGLHLVQATGKDLQDLLSSCSNLEWLSIVRCNLNGDELKVDCPSYRLLYLHIAQCEISKIEMHASKLKTFVYKGAQLPVDPIRAQELEFADIVFDGHITFQYALTGLPVVLPNVQNLTMRASIPLQVEFPWLLTTPSTFYQLKHLKLLLSHSSGDMDNIVYLASFLKAAPLLEVLEIHFNIYGYADEGTQVLRSLPKCPYKNLKSIYIAGFKGVKGQAEFLVHAVENSPALEVLTIDTATKIGVRSIGSAGGHIARRCLSGIVSPKTKFHILDTSSWEYMD >LPERR06G06090.1 pep chromosome:Lperr_V1.4:6:4306534:4307966:1 gene:LPERR06G06090 transcript:LPERR06G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGGGGGGGGGRWLFTATQWQELEHQALIYKYMVAGAPVPPDLLLHLRHHHRHDDVDTAPSLAFPSHHHHQPSLGWGCYGGAAAAYGRRVEDPEPGRCRRTDGKKWRCSREAHGESKYCEKHMHRGKNRSRKPVETALADVYRPSALSISPPPTDAPLQLHLDSFHATPTTTTASPPPSYHRYAHGTPLFPAAGYGGGGNWSSSSSKEHCLTLGGGAADHLSLDRSADHHQHDAMSAVATERPLRRFFDDWPRNDGGGERRPPWDGTQLSISIPTTTTASRYNNGDHLQTSE >LPERR06G06100.1 pep chromosome:Lperr_V1.4:6:4312966:4315383:1 gene:LPERR06G06100 transcript:LPERR06G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSSPNNPFTRLLNPLEYGPLAHIPIGLILFPAHHNQPSRRSRRLTPSSSSWRFPHLAAFFGRRFHLHLRNPCLRRSWSEGSSSGPSGVGRRNRIRGWAFYPAGFESVAQTRWISSRNPRVLSSYPDSIPHRGENPSKSLPESDGKTRGKQLIADFDFDFSVPPFWRWPPPPLSSRRKSWCTSGRPATRRS >LPERR06G06120.1 pep chromosome:Lperr_V1.4:6:4333640:4336394:1 gene:LPERR06G06120 transcript:LPERR06G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSISPSPLPHPQVNITKYMVALLQLPVSPRKEENIARARDRIDAAAAAGAALVVLPASLINSSSLEIWNCPYSMETLPSYGEDIDGGASPSISMLSEVASRRRITIVGGSIPEISSSGKLFNTCCVIGTDGQIKAKHRKLHLFEIDIPGDITFRESDTFAAGQEPTIVDTDVGLIGIGICHDIRFPELAKLYRLRGAHLICYPSAFNMSTGELLWDLMQKSRAVDNQLFVVTCSPARDPTAKSDYMIWGHSSLIGPFGEVIATAGHEEETVIGEIDLSMIQTIRENLPLEMQRREDLYQLVDVRRKSSGDNA >LPERR06G06130.1 pep chromosome:Lperr_V1.4:6:4336696:4344197:1 gene:LPERR06G06130 transcript:LPERR06G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGRPAEKAAGAGAGRGQTAIQSTIQSIKEVVGGHSDADIYATLRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAVQKTAADASPQVDHSSQWMKPRTQRVENDRRRAYSHGQASGPSREFRVVRDNRHGVVENRPDLGYKGSPNVKVSDRSAPVVQSGRNHPPATTLEGQIAQGARYGYNSDMHQVKRQAQGTAQKYVKPHLKNSQDDQHLPTSDPAHTRANFKAAEGAVGSIRCHGGLASAARQASARSSSHLHVSSGGPHVNNQRGNFVPGGPFGRHFTSKNMQSIHRTALDSVHRGRSFVAPSISKYQQGPASNQKAAQPAKEWKPKPTKKSSSTTTDNSGTYVITPSASNTENSNVLDENALCDKISEAIIHEVEHVIIPEHLRVPEYEQTGLSVQEPAQLVEEDSLHAGHDEVDEQARSSQLNLSTSTAEISLPPSEDSIELNGEEVENDDGLGLVESNTPLGAVDGQNMQCAANLTAFSTYGHEDPNMHPNNEAQLYRLVEPNVHPQVMASHSQGYPTEIPEADNAVQVFRIPESNALSSQIVSSSPVGISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHIYSPVYVPPMAMPNFPTNMPYPSNGNSYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGSYTHPGFPMNPPGVIGGGAVGVDDVNRIKYKDNNSLYASPQVETADIWIQPPREMPTLQCPPYFNLSGQPTSGAFVPNPGNASFNATAQSSHAPFPGLYHPQQPSSIVSPHPMVHQQVPSAIGPSVGVGVATPAPQVGAYQQPQLGHWRPGF >LPERR06G06140.1 pep chromosome:Lperr_V1.4:6:4346152:4350061:1 gene:LPERR06G06140 transcript:LPERR06G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRTGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVAGSGAGAMLSAVHGFNTGIPFVQKHVRGPKWLQLLVGVPPLLLFSGTSALFGAYALPTFAQLTVTSYYATSSASHYAISQITRQIEKSHSSETNGNSR >LPERR06G06150.1 pep chromosome:Lperr_V1.4:6:4358317:4360255:1 gene:LPERR06G06150 transcript:LPERR06G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGMHGGITDSTTADATIRFFGRDVSHDDAAVDDGQQQLPNEEDDTKDRDQETRRKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAGAYLDPARLYGALFAYGAHHAAVSPPPQYPPVSWPSSLYAYGGVAGVADAAGTMWRASPMAMTLGIGGGGRHGESVVTSLPSLPSSMCLSGRLPAPEKIGRTSEMGQEGVLMKTCSHQNAHKRERQYTRRAHLEASLATAAYLDPTRHYGVLFAYGHHAAMSPQPQYPPVSWPSSLYAYGSDTNTTRCFKVFAR >LPERR06G06160.1 pep chromosome:Lperr_V1.4:6:4361088:4372364:1 gene:LPERR06G06160 transcript:LPERR06G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRALPPPPPPPWVGKAALPEADEVEAAKESVTVTVRFRPLSSREVRRAEKIAWFADGETTVRSEHNSNIGYAYDRVFGPTTATCHIYDDVAQYVVSGAMKGINGTIFAYGVTSSGKTHTMHGDQKSPGVIPLAVKDIFNRIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTFVEGIKEEVVLSPVHVLSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGQSNEEEAVTFSQLNLIDLAGSESLKVETTGVRQKEGSYINKSLLTLGTVISKLTDDKTTHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNFEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEICQLKDELAQLRRSIFTGAPLEDTTQKNIIFWKEKLEDGNVKLQYRLEQEEAAKAALLEKIERLTKLILVSTKAGQTTKSSQHSGLRRRHSFGEEELSYLPYEKRDISMDNENNMLFIPIKGVGDTFESSPKEEMKNRKGLLNWLKLLKCDSGSTNLKSSDGENLDFTKSLTALSTPLGIGFLNVPSEQRMPDHMLAENVPANLLCFGHGEFPSASLPAHGIPLVSRKTSDHVDLLREQFNILSGEVALHQNVLKRLSEESRKGAMNEQVEMEMKVVNDEIKLNKQKIASLERRISHSTSNSRGKQDNLELTLPYIQIPEQHNEKAFELEVEIGELKQRVSELTESKTQLDSRNQKLLEENRCVEGLVSATGVELKALSIKVTKLMKQNERLSSELASGRNSTQRRVNHGTRGARRESHIKRYEAANKGDMNARSGREQALEAMLMEKDQRQAELHTKIEESKQKEAFLERELVNMWAVLANLKKPRGTDQEDFDAKYNGSWA >LPERR06G06160.2 pep chromosome:Lperr_V1.4:6:4361088:4372364:1 gene:LPERR06G06160 transcript:LPERR06G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRALPPPPPPPWVGKAALPEADEVEAAKESVTVTVRFRPLSSREVRRAEKIAWFADGETTVRSEHNSNIGYAYDRVFGPTTATCHIYDDVAQYVVSGAMKGINGTIFAYGVTSSGKTHTMHGDQKSPGVIPLAVKDIFNRIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTFVEGIKEEVVLSPVHLEKTIESSPCGQSNEEEAVTFSQLNLIDLAGSESLKVETTGVRQKEGSYINKSLLTLGTVISKLTDDKTTHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNFEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEICQLKDELAQLRRSIFTGAPLEDTTQKNIIFWKEKLEDGNVKLQYRLEQEEAAKAALLEKIERLTKLILVSTKAGQTTKSSQHSGLRRRHSFGEEELSYLPYEKRDISMDNENNMLFIPIKGVGDTFESSPKEEMKNRKGLLNWLKLLKCDSGSTNLKSSDGENLDFTKSLTALSTPLGIGFLNVPSEQRMPDHMLAENVPANLLCFGHGEFPSASLPAHGIPLVSRKTSDHVDLLREQFNILSGEVALHQNVLKRLSEESRKGAMNEQVEMEMKVVNDEIKLNKQKIASLERRISHSTSNSRGKQDNLELTLPYIQIPEQHNEKAFELEVEIGELKQRVSELTESKTQLDSRNQKLLEENRCVEGLVSATGVELKALSIKVTKLMKQNERLSSELASGRNSTQRRVNHGTRGARRESHIKRYEAANKGDMNARSGREQALEAMLMEKDQRQAELHTKIEESKQKEAFLERELVNMWAVLANLKKPRGTDQEDFDAKYNGSWA >LPERR06G06160.3 pep chromosome:Lperr_V1.4:6:4361088:4372364:1 gene:LPERR06G06160 transcript:LPERR06G06160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRALPPPPPPPWVGKAALPEADEVEAAKESVTVTVRFRPLRFVEQRRLRGLRTAKPRCGASTIPILDRVFGPTTATCHIYDDVAQYVVSGAMKGINGTIFAYGVTSSGKTHTMHGDQKSPGVIPLAVKDIFNRIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTFVEGIKEEVVLSPVHVLSLIAAGEESSPCGQSNEEEAVTFSQLNLIDLAGSESLKVETTGVRQKEGSYINKSLLTLGTVISKLTDDKTTHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNFEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEICQLKDELAQLRRSIFTGAPLEDTTQKNIIFWKEKLEDGNVKLQYRLEQEEAAKAALLEKIERLTKLILVSTKAGQTTKSSQHSGLRRRHSFGEEELSYLPYEKRDISMDNENNMLFIPIKGVGDTFESSPKEEMKNRKGLLNWLKLLKCDSGSTNLKSSDGENLDFTKSLTALSTPLGIGFLNVPSEQRMPDHMLAENVPANLLCFGHGEFPSASLPAHGIPLVSRKTSDHVDLLREQFNILSGEVALHQNVLKRLSEESRKGAMNEQVEMEMKVVNDEIKLNKQKIASLERRISHSTSNSRGKQDNLELTLPYIQIPEQHNEKAFELEVEIGELKQRVSELTESKTQLDSRNQKLLEENRCVEGLVSATGVELKALSIKVTKLMKQNERLSSELASGRNSTQRRVNHGTRGARRESHIKRYEAANKGDMNARSGREQALEAMLMEKDQRQAELHTKIEESKQKEAFLERELVNMWAVLANLKKPRGTDQEDFDAKYNGSWA >LPERR06G06170.1 pep chromosome:Lperr_V1.4:6:4373905:4374393:1 gene:LPERR06G06170 transcript:LPERR06G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYSYYSQPTAPYYYGYTQPAARFGGGGSQQRPSAHVFLLLATLVLVATWTLYARCEEAVESLIDQLRVFLILSPLLLIVGVQLWAAASDRGGGGGIMYLLARLMGDGGGDNYYYYGRWHGGGASSPWGVALVLVLVLFLVSYQSSFQNWWFPLLSRR >LPERR06G06180.1 pep chromosome:Lperr_V1.4:6:4379356:4386045:1 gene:LPERR06G06180 transcript:LPERR06G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRDVPDGGAGDDCGSPPVYLPRQPPSPPLLALDIGGTLIKLVYTASCGGGGGDAGGGAELRFAKFERRRLQECFEFIRAQGLVRYNGSTMGSSKENITLKATGGGAYKFTEDFREKLGVFLDKVDEMDSVVSGANFLLQNIPGAAFTHMNGKRNSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKNLTDYRPEDLASTLLSAFTYNIAQISFLVASILGLKRVFFGGSYIRGHKSTMGNISYAIDFWSQSQMQAVFLQHEGYLGALGALMSYGDPGDKNMNVEKIKQEENIHESAAPIDGTAADDHNDGNIFPYLLVNIGSGVIGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTQQQDNGEDTTVDGELVQLRLANAMLKDEVEQLRRENAELKAKLVKSGEPNTI >LPERR06G06190.1 pep chromosome:Lperr_V1.4:6:4389749:4390036:1 gene:LPERR06G06190 transcript:LPERR06G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAAEAAAASLSISPELGAALAKVAVFAIVQALVYLILRNSSGVLSPDRTAARSLSFRPMRSMSVRRFLAALSDVPVGVPEDGGSSAATTIEK >LPERR06G06200.1 pep chromosome:Lperr_V1.4:6:4398082:4402038:1 gene:LPERR06G06200 transcript:LPERR06G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACADVGAAAAGRSRRRARLAVYGFAFAFAAFTAYVAFASSSPAPAGEGASWFGGVYASTAPYRAQVSGFFSSIFPITTNSSTPSPEQQPPRPRGDGGGSSEGAGQVSSHGSNGHSVPVDPAASTKHNPGSGGGAPSSNNAGGESSSPPGNLGTPSATASGSGGGGVPANNSSSGGAAASSTVDRSSPAGDGRGSPSTTSSSAGKSSSAKSGEESVDKSNKKSGSGSESPSNGDGVSDKKSSTSMAKADTKVAAKASSDNSTGTGNSEKGSGSGAASSVSAAVNSTAVKVDTKDAVVATSTDSAGIESDMKANLNNGSDTQSGSGSGDGNHASDATVSLAKGNAKDGGADTNKASGNVASASNQTASPAIVGKKEGESPSQNQAPVASTNSKNQNQTSDGVASGGSGGTTSKQKETTSQVSVGSSKDHPAQAINSKTGNHSEVAVKGNVSSNKQASTKQPDKKVDWIKEMAGCDLFHGNWVRDDSYPLYPEGSCPHIDEPFDCYLNGRKDQAYQKLRWQPSGCSIPRLNPTDMLERLRGKRLVYVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHIYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEEERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >LPERR06G06210.1 pep chromosome:Lperr_V1.4:6:4404960:4407485:1 gene:LPERR06G06210 transcript:LPERR06G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQTFSFQKSSDPSTSTAPQTPQNAAARNREWMQGGKEDDDVGVGRMMRHANVDLGKKSGVARRLALLQQDNGVDSSNSKRPGAEQGAVEDTHRSVPNGHCAVPCTGGEAIKSEPAPVAVDHSAAGDPTVSNGVMVAVKDEKGVGNGGGGKKRRGGGAAVLKEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGGGGASQLGRTEHRAATSKADEPSLGH >LPERR06G06220.1 pep chromosome:Lperr_V1.4:6:4410422:4420311:-1 gene:LPERR06G06220 transcript:LPERR06G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHRMNHTDMWGPHSSAAMGDDNDDDSSLQSRSSHRLVSPPAPAHLFAFFPTARSGAERDENESRSFFFVALPPLSSWVPRPPSRCNGSAAAGLSSSSSSSAISSWRREGSNEERDDVPMLELQRFPTRSVSMCVPVRDDIYEDPIISHSGPIFNPIPIQYTSVAIPSGNSDVFDRPPCPKVKSKPHAVTLEEIGRSNWPYDQHVLKNEHLMMYSEPLGQCDNPDCVDCPRACKNKRHFQRSSAPFDNKFRNILYGYGDRWKKKIGHYFSYIPIMKPDDKTVHRWNQFFVISCLLAIFNDPLFFFLLSVDKHYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDDPKKIAMRYLRGFFVFDLLVVLPLPQVMILLVIPKYVGISSTNYAKNLLRATVLLQYVPRIVRFVPLLGGQSTNGFIFESAWSSFVINLLLFVLTGHVVGSCWYLFGLQRVNQCLRDACVASNISKGKCNGFTDCRINVLERTDWLDNSNLMGCFDTKNGNFSYGIYQQAVLLTTEHGFKRYTYSLFWGFQQISTLAGNLIPSYFPWEVLFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRCAERFSWVATRGVNEEELLSNLPEDIQRDLRRHFFGFLKKVRLFALMDNAIWDAICDKLRQNLYISGNGGKMKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPRVLGTIRYESPYWKSLAANRIQVAWRYRKRRLKRAEMLRPQ >LPERR06G06230.1 pep chromosome:Lperr_V1.4:6:4424037:4424482:1 gene:LPERR06G06230 transcript:LPERR06G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVLQILHVVVLTLRLLDTGRLFRRGLLDTDECNLYDQALEMTDHFLAIVLSRGNHSGLCSPHCSSLFIYHRRGPPSSTGGRWLNASSRRAAVRAWHWSEVFQAIVAKAKDWSSVGRLIML >LPERR06G06240.1 pep chromosome:Lperr_V1.4:6:4443150:4446133:-1 gene:LPERR06G06240 transcript:LPERR06G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPMSVSSLDLSVGGMSGPAGLGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGEHVWAKSPGGGGAGDTREILNIDTYDSIFSKPGGSYRAPNINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVTWVEHMEVEEKSPINPLYRDLVLSGAAFGAHRWLAALQRGCERYASLVALAVPHHIAGVTPEGKRSMMKLSQRMVSSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDGRPGSAAGASTSSAAAGGGGGALAGGAARGGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSGHGHP >LPERR06G06250.1 pep chromosome:Lperr_V1.4:6:4458914:4459635:1 gene:LPERR06G06250 transcript:LPERR06G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGNRKSSHCYGQALVQLWVLADETSTMAVEIARTHHVLISKFKRRWKTVAMWPKVSPGAAAVRIAASRAKQLA >LPERR06G06260.1 pep chromosome:Lperr_V1.4:6:4478351:4480142:1 gene:LPERR06G06260 transcript:LPERR06G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEINYLKLKRTKDKVEAAEVISAAAADEDDGDVGGGGAEERGPWPVEALPEPRDDAGPVAWPMPEFCPLTIDGLVKESFMEAVRKDAAEQAARDAEAVEAARSPDSRPSSSKRQRACTASPPQQPPPSSRSPYRNILQVFQQCKQDVA >LPERR06G06270.1 pep chromosome:Lperr_V1.4:6:4480782:4487303:-1 gene:LPERR06G06270 transcript:LPERR06G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRDEDDDEVEEEEEDEEEAYDLEEEGEDDEDDYEEEARGGGKGSSRSRGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDEEDGGGRPKKKGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREVVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDISSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHMRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRSSAKDLSNNMISAKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRGNGMDTSDPRLGALRSPASILQSPGRLPPRGPHMNFGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPMHPSRTPLHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEALTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPNELEVVRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >LPERR06G06270.2 pep chromosome:Lperr_V1.4:6:4480782:4487303:-1 gene:LPERR06G06270 transcript:LPERR06G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRDEDDDEVEEEEEDEEEAYDLEEEGEDDEDDYEEEARGGGKGSSRSRGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDEEDGGGRPKKKGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREVVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDISSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHMRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRSSAKDLSNNMISAKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRGNGMDTSDPRLGALRSPASILQSPGRLPPRGPHMNFGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGEPRYSLGGETPMHPSRTPLHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEALTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPNELEVVRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >LPERR06G06280.1 pep chromosome:Lperr_V1.4:6:4512784:4518101:1 gene:LPERR06G06280 transcript:LPERR06G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVIPKQRAMEAEQMEEAMEMSGLELWKHEKHALVFLPPPLPVPPMQMPLAAAFDEEATLVPPLNFAMVDDGIFRSGFPAAANFRFLKSLNLRSIVYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >LPERR06G06290.1 pep chromosome:Lperr_V1.4:6:4518470:4524427:1 gene:LPERR06G06290 transcript:LPERR06G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAAAAALATTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRALLAANALDFASPGAANRILPAGLPLRVPTRCACSDGVRKSVAVRYSARPADTLSSVADVVFAGLASADQIRTANGLTAEDPDAPLDAGVKLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQSIAASHATTVTDISNVNAMGSPIVAPGDILAIPLSACASIFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTALCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLTATPIAVNQGSYLAPSPAPGSGEPGGDIPGFPGGSNVSPANGPSGSASRSTSANRPHQIVALILFVALYFQM >LPERR06G06290.2 pep chromosome:Lperr_V1.4:6:4518387:4524427:1 gene:LPERR06G06290 transcript:LPERR06G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAAAAALAVAILAAAAXXXXXXXXXXXXRGAAGKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRALLAANALDFASPGAANRILPAGLPLRVPTRCACSDGVRKSVAVRYSARPADTLSSVADVVFAGLASADQIRTANGLTAEDPDAPLDAGVKLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQSIAASHATTVTDISNVNAMGSPIVAPGDILAIPLSACASIFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTALCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLTATPIAVNQGSYLAPSPAPGSGEPGGDIPGFPGGSNVSPANGPSGSASRSTSANRPHQIVALILFVALYFQM >LPERR06G06300.1 pep chromosome:Lperr_V1.4:6:4524355:4529887:-1 gene:LPERR06G06300 transcript:LPERR06G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGGSRRGRGRGRGTIALAEDDMGCCETSAPSSPSTTSDKEENVEFTSQQSPLPCLGSAAEHSSSTLLNPKINHRSDAIFGDQMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHREYIQEWEARRHRIFRESEQYDPSSYEEYLHWYSGATRRYLVPSISDDVEAGPSLQPDDSVDLQYQAKAPMIRKAVDKLHGMVKKAKIAMTSTSDTTTQALVFEFLHGFQDVLHDLGEIKENGVSANSPHVELAASQDMPLLLLEAEQNIVDADQEAEHQEEEELHMVDDATMTLEPMDEENNGFSNVICPCPSLELEEHCHSATPTIDECNIATSAPDSAIPEQSTDVDQDGHLENPNEMDQIALMVEPICVDNNGSINALSSSPSAQALEESCEVTEAANANVDSASQATGSSTPQQDMDVKLDAEQEKQALAVIEYLITNGTERAVDDIVNNSSQIAMFLNVRKKAEAALAILDDREKLQEVREMAAATRDNTSEAPGSKKGENEDEDIDFNPRGSSTSATARSNHLDLFGPSLIHLVGSTACLHPASVATPNVRTAAVSEVDLFAAATFQSANVPLEAATLSNTQDSIDLFAGRLSSADSFTSETELSVQGSNVTELPQDSSGGLKSSDHGSLE >LPERR06G06300.2 pep chromosome:Lperr_V1.4:6:4524355:4527333:-1 gene:LPERR06G06300 transcript:LPERR06G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRPVHLQRFHPYGAICVTYVALAVIEYLITNGTERAVDDIVNNSSQIAMFLNVRKKAEAALAILDDREKLQEVREMAAATRDNTSEAPGSKKGENEDEDIDFNPRGSSTSATARSNHLDLFGPSLIHLVGSTACLHPASVATPNVRTAAVSEVDLFAAATFQSANVPLEAATLSNTQDSIDLFAGRLSSADSFTSETELSVQEFSVHDAMSKSSQGKSPTAQHSSSNVTELPQDSSGGLKSSDHGSLE >LPERR06G06300.3 pep chromosome:Lperr_V1.4:6:4527339:4529887:-1 gene:LPERR06G06300 transcript:LPERR06G06300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGGSRRGRGRGRGTIALAEDDMGCCETSAPSSPSTTSDKEENVEFTSQQSPLPCLGSAAEHSSSTLLNPKINHRSDAIFGDQMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHREYIQEWEARRHRIFRESEQYDPSSYEEYLHWYSGATRRYLVPSISDDVEAGPSLQPDDSVDLQYQAKAPMIRKAVDKLHGMVKKAKIAMTSTSDTTTQALVFEFLHGFQDVLHDLGEIKENGVSANSPHVELAASQDMPLLLLEAEQNIVDADQEAEHQEEEELHMVDDATMTLEPMDEENNGFSNVICPCPSLELEEHCHSATPTIDECNIATSAPDSAIPEQSTDVDQDGHLENPNEMDQIALMVEPICVDNNGSINALSSSPSAQALEESCEVTEAANANVDSASQATGSSTPQQDMDVKLDAEQEKQVTTDDN >LPERR06G06310.1 pep chromosome:Lperr_V1.4:6:4533493:4534792:1 gene:LPERR06G06310 transcript:LPERR06G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQLVTLLVLIALPALAAGAAAAGVVALLTHADAGRVLAKPELYLLRVSVGTPPRAVALTLDTGSDLVWTQCAPCHDCFDQGVLPVLDPSASNTYAPLPCDAPLCRALPFTSCDAAGGDRSCVYAYHYGDKSLTVGHLADDEFTFAGETRRVTFGCGHFNKGIFQANETGIAGFGRGRWSLPSQLNVTTFSYCFTSMFDSASSVVTLGGGGGELYRDAHAGDVRTTRLIRNPSQPSLYFVSLLAITVGNTRIAVPETRVRRSPAAATAIIDSGASITTLPEEVYEAVKAAFVAQVRLPAGCVDGSALDICFALPAAAFGWRRRAAAAVAVPALTFHLDGGADWELPRENYVFEDHAARVMCVVLDAAAGGEQIAVIGNYQQQNTHVVYDLESDTLSFAPARCDKLAASP >LPERR06G06320.1 pep chromosome:Lperr_V1.4:6:4541382:4550193:-1 gene:LPERR06G06320 transcript:LPERR06G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAAAAAASDRTSRRALTLRSPFDSEEAAGRDALLPARVARWAAVGDVRKKHKKAQPPEAAAAAAAVVAEQPKAGSGLKEFWDLMEPYFREITLDDIEAVMQTPFLGFNGRLDPCFLVPFVGSGKEFGENYDPSCLVLEDESSHLNSNLGKDNDELESNIVHSKQDVNESSDFVGGNMELVINNGTNDEHGEQDMQEVVLQEEQPMEIEQDHGSCDAIALPPDRKESDASLNWLLGSRDRFVLTSDRPNKKRKLLGADAGLERLVKLPSMEGEAVTTCDVCCLGESGTSSNKMLHCSSCEVSVHQKCYGVHVVPDQSWSCTWCRGSRLPRRQTRSDAGRTVLMPCVLCPKEKGALKPVKRESGQIADGGNLKFVHLFCSLWSPEVVVEDLDSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRTCFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETSSIQSDKIFAEGDTSQIELDDASLVTQKKQLRFTRNNKDKFTNSTIASSCSSSLNKQTTELATSPSTARSVESQETQITDMAVDRPIEDRNVVSNSTDVSTALRKLIDQGLVNIGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNSVRVPSVQVKYSEEGSLAPQGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNFGEDDVSGHCFLNQDGSRCYVHPFVEKKLRILWDHNSKQNNRPIYRHVEQSTCAPHGRNVGNSSTELEQLADIAAADQVSKAKSSGILEHSPHDEIEGEILYLQSRLLNDVGDAKQRYEDLLLKIVQGLSDDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPYILPTSQNSSVRKSAECVVQSAKQESVPDVLTAKQENIPKFNSGTSRVSQLISVQQANDSSPNSKISADDNIGIFDLQKFSKKNALPCDICMRCETVLNRIFVCSSCKAAVHLDCYRSVTIPTGPWKCELCQEMPSDAVAGSPSDCNGAKPCLAQCNLCHGTSGAFRKTIKGQWVHAFCAEWMLESMFTRGQYNAVDGMEGLRKDKDTCSICNHNVGACLKCSIVDCQVTFHPACARDAGFYMDTKKVGRMLQHKAYCGKHGIEQRKADLQLHGPEEVKNMKQMRVKLEILRLICERIVKREKLKKDLVVCEHDILASRRNSVASSTRTSYCGSGLGASSESATTSVNNSYSGIMQRSDDVAVDSIISRKPTVRFSLHNSDADRNTTDSSTSSISYKQKLDDRESFADKNLPKKPVTAMPKSEEGEIKPLDKKNQRPPKSIVYTRRSALSKKKQLSLNVEGPGG >LPERR06G06320.2 pep chromosome:Lperr_V1.4:6:4541382:4550193:-1 gene:LPERR06G06320 transcript:LPERR06G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAAAAAASDRTSRRALTLRSPFDSEEAAGRDALLPARVARWAAVGDVRKKHKKAQPPEAAAAAAAVVAEQPKAGSGLKEFWDLMEPYFREITLDDIEAVMQTPFLGFNGRLDPCFLVPFVGSGKEFGENYDPSCLVLEDESSHLNSNLGKDNDELESNIVHSKQDVNESSDFVGGNMELVINNGTNDEHGEQDMQEVVLQEEQPMEIEQDHGSCDAIALPPDRKESDASLNWLLGSRDRFVLTSDRPNKKRKLLGADAGLERLVKLPSMEGEAVTTCDVCCLGESGTSSNKMLHCSSCEVSVHQKCYGVHVVPDQSWSCTWCRGSRLPRRQTRSDAGRTVLMPCVLCPKEKGALKPVKRESGQIADGGNLKFVHLFCSLWSPEVVVEDLDSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRTCFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETSSIQSDKIFAEGDTSQIELDDASLVTQKKQLRFTRNNKDKFTNSTIASSCSSSLNKQTTELATSPSTARSVESQETQITDMAVDRPIEDRNVVSNSTDVSTALRKLIDQGLVNIGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNSVRVPSVQVKYSEEGSLAPQGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNFGEDDVSGHCFLNQDGSRCYVHPFVEKKLRILWDHNSKQNNRPIYRHVEQSTCAPHGRNVGNSSTELEQLADIAAADQVSKAKSSGILEHSPHDEIEGEILYLQSRLLNDVGDAKQRYEDLLLKIVQGLSDDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPYILPTSQNSSVRKSAECVVQSAKQESVPDVLTAKQENIPKFNSGTSRVSQLISVQQANDSSPNSKISADDNIGIFDLQKFSKKNALPCDICMRCETVLNRIFVCSSCKVMCISLPCPMMHFNRQLTVHVYQAAVHLDCYRSVTIPTGPWKCELCQEMPSDAVAGSPSDCNGAKPCLAQCNLCHGTSGAFRKTIKGQWVHAFCAEWMLESMFTRGQYNAVDGMEGLRKDKDTCSICNHNVGACLKCSIVDCQVTFHPACARDAGFYMDTKKVGRMLQHKAYCGKHGIEQRKADLQLHGPEEVKNMKQMRVKLEILRLICERIVKREKLKKDLVVCEHDILASRRNSVASSTRTSYCGSGLGASSESATTSVNNSYSGIMQRSDDVAVDSIISRKPTVRFSLHNSDADRNTTDSSTSSISYKQKLDDRESFADKNLPKKPVTAMPKSEEGEIKPLDKKNQRPPKSIVYTRRSALSKKKQLSLNVEGPGG >LPERR06G06330.1 pep chromosome:Lperr_V1.4:6:4553576:4553905:-1 gene:LPERR06G06330 transcript:LPERR06G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFEQLCELADMALDGRGLDPARLDGVLALFDGEARAALAAADEEHEAVARGTEAAVEAAQGHLNAVMDAAVGKYRGSSGEADALSAATAAMDMAFKATASSVYPSS >LPERR06G06340.1 pep chromosome:Lperr_V1.4:6:4556472:4557299:1 gene:LPERR06G06340 transcript:LPERR06G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADAAVAPLHPSSPRSKKRSSASASASASSSRRRPTTSRRRPTANPSLGVVYASASRHSERKRKPVALAAHGSGSGGAVQKLWTGADEVALLAGAVAFRRKHGYAPRLPDMGALYKSIRRSISSHIDKDKVYYKLKRVKSRFQHATPRPNANPHEIQVRVLCEELWGGELAAPVADREAGEGRDAYVGRDLDFAARLPVVSDVLGEYWRKNGRVLSGLSLKKGLARVGPEEGREVETKWRQQLEAETQIQGQRHELAKEVCAMLMDAVRGLGP >LPERR06G06350.1 pep chromosome:Lperr_V1.4:6:4572045:4575192:1 gene:LPERR06G06350 transcript:LPERR06G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRVMSDAKPYMAMVMLQFGFAGMYIVSVASLKRGMNHFVLVVYRNLVAAVLMAPFALIIERGVRPKMTIRIFLKIMGLAILEPVLDQNMYYMGAKLTSAGFASALVNILPAVTFLLAILLRMERIKIRSLHSQAKIAGTVFTVAGAVLMILYHGPVVQFPWSSSRHQAAAAGDAAAAAASSASSWLNGVVMLIGSCVCWSGFFVLQSNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSAWVIGFDTRLFTVVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVLGSTILKEEITLGSVIGAVIIVVGLYALIWGKGGDHDNNGKHAAATAAEKTLPLTSPPANGDDVSKHVLAGGVLVTDVEMPAVTKGVY >LPERR06G06360.1 pep chromosome:Lperr_V1.4:6:4576699:4584002:1 gene:LPERR06G06360 transcript:LPERR06G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTSLYSRLLQLIPSGCSKHQSSSSHTHLTLTMPLLRRRRLLAAAVVFLLAAVAHQSDAFTELETAQIGRFQDYLRIHTAHPSPDYAGAAAFLLPYAASLGLHTTTLHFTPCKSKPLLLLTWPGTDPSLPSVLLNSHLDSVPAEPEHWLHPPFAAHHDTGGGRIYARGAQDDKCLPIQYLEAIRGLRDAGFAPARTLHISLVPDEEISGADGFEKFARSEEFRELNVGFMLDEGQASVNDEFRVFYGDRLVWRLIVKATGAPGHGSRMFDGAAVENLMDCVETIAGFRDAQFGLVKAGLRGPGEVVSVNPVYMKAGIPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLIHELMIKGPMQDVAGRPIVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDHNEFLEDKVFLRGIKVYEHIIRALSSFKG >LPERR06G06360.2 pep chromosome:Lperr_V1.4:6:4576699:4584002:1 gene:LPERR06G06360 transcript:LPERR06G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTSLYSRLLQLIPSGCSKHQSSSSHTHLTLTMPLLRRRRLLAAAVVFLLAAVAHQSDAFTELETAQIGRFQDYLRIHTAHPSPDYAGAAAFLLPYAASLGLHTTTLHFTPCKSKPLLLLTWPGTDPSLPSVLLNSHLDSVPAEPEHWLHPPFAAHHDTGGGRIYARGAQDDKCLPIQYLEAIRGLRDAGFAPARTLHISLVPDEEISGADGFEKFARSEEFRELNVGFMLDEGQASVNDEFRVFYGDRLVWRLIVKATGAPGHGSRMFDGAAVENLMDCVETIAGFRDAQFGLVKAGLRGPGEVVSVNPVYMKAGIPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLIHELMIKGPMQDVAGRPIVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDHNEFLEDKVFLRGIKVYEHIIRALSSFKG >LPERR06G06360.3 pep chromosome:Lperr_V1.4:6:4579943:4584002:1 gene:LPERR06G06360 transcript:LPERR06G06360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRRRLLAAAVVFLLAAVAHQSDAFTELETAQIGRFQDYLRIHTAHPSPDYAGAAAFLLPYAASLGLHTTTLHFTPCKSKPLLLLTWPGTDPSLPSVLLNSHLDSVPAEPEHWLHPPFAAHHDTGGGRIYARGAQDDKCLPIQYLEAIRGLRDAGFAPARTLHISLVPDEEISGADGFEKFARSEEFRELNVGFMLDEGQASVNDEFRVFYGDRLVWRLIVKATGAPGHGSRMFDGAAVENLMDCVETIAGFRDAQFGLVKAGLRGPGEVVSVNPGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLIHELMIKGPMQDVAGRPIVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDHNEFLEDKVFLRGIKVYEHIIRALSSFKG >LPERR06G06370.1 pep chromosome:Lperr_V1.4:6:4586576:4587268:1 gene:LPERR06G06370 transcript:LPERR06G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTTAAASNGAAAAATRGGGGGGGRGRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAAADLNFPIRLPFDLPASSAMSPKSIQRFAAAAAANATGINGGEVVFSSVDDSVIGSEASTTPASSSSNAASPVSSPETVVSGGDDVDYRLLADIEAFFQSPKCMEYAMMDPCSAFFAPTAPAAMAMAMEEDCCWEEEGDIALWSFSSLD >LPERR06G06380.1 pep chromosome:Lperr_V1.4:6:4589757:4591874:-1 gene:LPERR06G06380 transcript:LPERR06G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYDSVLNFAVLLFTVAVVSSRPSAAAAAADDGGGGGDVDFIYPGFQHAENLTMDGSATVLHGGALQLTNDSNRLVGHAFHRSPVRFLDDGGEAAAVSSFSTAFVLDIVTVGSGGGHGLAFVVAPSVTLPGASPEIYLGVLGPTTNSNASDHVFAVEFDTVMDLEMNDTNGNHVGIDVNSLVSNVSEPAAYYTGDADENTKVPVVLESAQPIQAWIDYDGGSKILNVTVAPVTVTDRPRRPLISTKLDLSPIFKANMYVGFSSATGKLASSHYILAWSFRTNGVAQSIDLRRLPKVPKQSTPPPKLLIIKFAAVACAGTITLIVAAMVAVIWLRRRAALADKLEEWELEHPHRFPYRELYNATKGFKESELLGAGGFGQVYKGVLRRRAGEVVAIKRISNGTNQGMREFVAEIASLGRMRHRNLVELRGWCKHEHDLLLVYEFMPGGSLDARLFGGTTSAAAKSPPKEMLTWAQRYAILRGVARGLLYLHEEWEHVVVHRDVKANNVLLGDGDTAARLGDFGLARLYEHGGAPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPIEPASDDACDVILVRWVRDQAIGDGGDVVRAVDARLEGSFDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEETLLQGGDTPPAAIFSGAVDSYDLFGSGVVSMTSSSAGGTMSVSSLQGGR >LPERR06G06390.1 pep chromosome:Lperr_V1.4:6:4595379:4596371:-1 gene:LPERR06G06390 transcript:LPERR06G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGANGGGGGVLRRRRWNLYWCYVCRRALRVVVPSSTSDVYCPRCFGRFLHEIDLPVPRVRAPPTDQFFQPPFLPYEGPRRWILHAGNDDDVTDGISRRRRFPSPPPAPVTRRWPEGDGDGEIDPPPPPGDYFAGPDLNALIDALTQDDRPGPPPAPDSAIESLPTVRVTAARLSAGDDLDGGSECPVCKEEFEVGEDARELPCKHAYHSDCIVRWLRLHNSCPVCRQEVPPPEDGESPAGGGGDGDGGGGGGETGDEPPRPVMAGWDPIALLAIALLPDLSGWENGHGRSGSEEEDEDDVAGGSVNITAFIHSFFLTAACFLFISLFI >LPERR06G06400.1 pep chromosome:Lperr_V1.4:6:4596700:4599158:1 gene:LPERR06G06400 transcript:LPERR06G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRHRQLRLHPYGTLAHTPLDLVNCNVQGAEYSQKYKTLVYLAGAASTEVNADVALCPFEAVKFRVQAQPEFARGLGDEPPQDD >LPERR06G06410.1 pep chromosome:Lperr_V1.4:6:4601912:4602472:1 gene:LPERR06G06410 transcript:LPERR06G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEFDMATMDTMSQEQLMHIISQLDSALASSPSPSTSPSASPPPAPPVPAQPISLQAPPALHPGGGMVYTTTLRQTAAEEEAAPRPRRRNAARVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAIRYVRFLKGHVQSLERAAAALHMHGARRGARAAGDDDDGVYSSCPPYYA >LPERR06G06420.1 pep chromosome:Lperr_V1.4:6:4609617:4613163:1 gene:LPERR06G06420 transcript:LPERR06G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDRPIDGMDDRRDDDAAVVFDDFMVLQPENGGAGSLVDLLCSCKVAHNKAVCCHRHDMEVEQRWHRWIIFVSLLAQMVMRSSKGLMEKIGRAVEYWMNLVNDNGGGVLKLIRNALHGNVEIPDRNSPKYLSFIGLVDTRTDLDKKIKAGDINYNAALCIMASKMAYEKKLVIKDVVEKQWQMKFLEFFNCWNEFQNGNTTQAYMFADKAEDAELAVVAFRGTQPFDMEQWSTDVDISWYEIPHVGKVHGGFIKALGLQKNAGDGSEKPFFPPEINASVADGETKQFAYYSIRARLREFLAANPRARLVVTGHSLGGALAVLFPIILSLHDEKPILDRLDGIYTYGQPRVGDERLGEWFAAREQRRLINNYFRFVYCNDVVPRVPYDDAAFLFKHFGRCVYFDSLYRARAMAEEPNKNYFSPAFAAGKYANAAWELVRGLGIGYVAGPEFAEGWAMRAARAVGMVIPGLPAHAPQDYVNATRLGAASLRRLLAEYSVNDDHQ >LPERR06G06430.1 pep chromosome:Lperr_V1.4:6:4617593:4619119:1 gene:LPERR06G06430 transcript:LPERR06G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGEASNGRGNSGEIGAGGDSNKAHFVFIPLMFQGHLIPTVDTALMVASHGAVATVVVTPSYAARVRRTVDLATAARTSPSSPVDVRVVDIPLDPAVAGVHDNIDRITPEFGRGYFRALALQREPLERHLRAGAAGGGAPYPTCVVSDACLPWTTELAASLGVPRLCFFSMCAFCVLCQHNVERYNSFDGVATDVSVVVPGLGEDMRIEVTRAETPGFFRNPGWEDYGDAMERALAEADGIVMNTFVEMEPEFVAGYAAARGMKVWTIGPVSLYHQETISLAARGKTTAIDADECLQWLDSKEPNSVVYVSFGTIAHADPKQVVELGLGLEASGHPFIWVVKNVEIYGETISDFLQDLEARIDGRGLIIRGWAPQVMILSHAATGGFVTHCGWNSILEAITAGVPVVTWPHFTDQFLNQKMAVEVLGIGVSVGVNEPVVFRTDNKNIVVSRVVVEKAVRSILDRGEEGEERRRRARVLAEKARAAVQEDGSSRNNMLDLVSSFKGE >LPERR06G06440.1 pep chromosome:Lperr_V1.4:6:4640092:4643809:1 gene:LPERR06G06440 transcript:LPERR06G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPEGSALARQGSIYSLTFDEFQSALGTAGKDFGSMNMDELLRNIWTAEESQAIAPPAAGVAAAVDAQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMAFGADPPEASAAAAVPAAAPAAQAQGQRQPTLGEMTLEEFLVRAGVVREEMGQTIVLPPHAQALFPQSNVAMQLGNGMVVGQGAAAAAAGMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPW >LPERR06G06450.1 pep chromosome:Lperr_V1.4:6:4645011:4647409:1 gene:LPERR06G06450 transcript:LPERR06G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKLKSAQILEQARLHMATDAGKELTKKIGLVYQLNIAPKKIGVDEEIFVIDLKKGEVTKGPYEGKPDATFSFTDSDFLSIVTGKMNPQIAFIRGAIKIKGSINAAQRFTPDIFPKPSKL >LPERR06G06460.1 pep chromosome:Lperr_V1.4:6:4651218:4655763:1 gene:LPERR06G06460 transcript:LPERR06G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSTTAREELPSWLGIEEAAPFVGKKKKKVADVVRRWPSLVNATLVAFIMTMPPLLILLGGGLPGAPAVWIKSTVANLATRSESKKDVLLGGLLLPGFDEKSCASRYQSVYYRKNMTRPPSPHLIRRLRRQEALQRRCGPGTAPYRRASDRLKPGRNGGGGDGFVATVDGCRYLVLISYRGLGNRILAIASAFLYAMLTDRVLLVDPGKTMPDLFCEPFHGTSWLLPGDFPLENFRDLGEDAPESYGNVAVNRSGSVTGLRFVYAHLDHDASPANKLVYCDDHREFLHRMQWVVLRTDSYITSGIFLNPAYKEELDLMFPRKDSVFYLLSRYLFHPTNDVWGMVTRFYDSYLKNADERLGIQIRVFDGDKPFQHILDQILACTSQENLLPGVVNAGDTPPVVTTTKRSKAVLMTGLNSWYHDSIREMYWRSASTNGEVVSVHQPSHEEHQAFFRSIHDMKALAEMYLLSLTDKIVTSGWSTFGYVGSGLGGLTPYITIKPENHTVPNPPCVKAMSMEPCNHGPPYFECTKREINKVLDTGNLVPHVRSCEDIPWGRKLADPIS >LPERR06G06470.1 pep chromosome:Lperr_V1.4:6:4655719:4657989:-1 gene:LPERR06G06470 transcript:LPERR06G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTPSRGKRPGGASVVARVFAVFCIMTVPLLVVLVLGGWASASTVWQNAARLTTVTAGFTNVSNPNYTDDPTGGADELFGGLLAAGGFDGDACHSRRELPRYYKHSPYAPSPYLLQKLRDYEARHRRCSPGTPLYAKSIEQLRSGAAHAAEAMECNYLAWIPFNGLGNRMLSLLASFLYAILTDRVFLVHFYDDFTDLFCEPFPGAGDGETTTTTWALPPDFPIRDLWRFGVHSNESYRNLLNYKKITGDPGKETPLSVPPYVYLHLAHDAKGDDMRFYCNDDQLVLKKVNWLLLQSDLYFVPSLYGIPEFEDELRWMFPEKESVAHLLGRYLLHPSNSVWGMVMRYHHSYLSSAKEMIGVQIRMFSWASVPVDDMYNQIMDCSRQEHILPDTNDDAGSTNATAAGRSTTAILVTSLQADYYERLKTKYYEHAATAASGGGGGEWVGVFQPSHEEQQEMGKRAHNQKALAEIYLLSFSDALLTSGMSTFGYVSSALAGLRPAMLLTAFGHKVPATPCVRAVSMEPCFHEPPPAATCQGNKAVNVSVTRHIRRCEDLARGH >LPERR06G06490.1 pep chromosome:Lperr_V1.4:6:4668944:4677602:-1 gene:LPERR06G06490 transcript:LPERR06G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNCGVVSTVAAHQWPKSGEETAPTKRFARKEWSCGSKVALVTFIMIVPPMVVLYGDRLGAPAVLISSANFIHGDDSMLHWLAAASRDRLLGGLLADGFDEGSCRSRYQSAMYRRNAGKEPSAPLVSKLRRYEDLQRRCGPGTVAYSKSVEYLRSRGKSGGGGIGSPECRYLASISYRGLGNRMLATASAFLYAMLTDRVLLVDPSNEMDELFCEPFPDTTWLLPRTFPLTNYTTFNVDTAESYGNMLKNNTITPDRAGDVPAFAYVHLDHDYTMQDKFFFCDDDQRVLQNIPWLVMRTDSYIVPGLFLLTIFQEELDMLFPDRVTVFHHLGRYLFHPNNQIWGLVTRYYDAYLATTQQRVGIQVRVFGAQPNLPSLLEQVTKCTKNENLLPEVITGGEPVLAPTSRPRIKSIAVLMASLKSWYYEQIKSMYWEHATVTGETVSVHQPSHEEYQRFGSRSHDAKACAEIYLLSLSDALVTSGGSTFGYVAQGLGGVTPWVMYIRANDTAVPNPPCCRDMSMEPCFVKPPAFNDCRGKRTINPGKVVPHVQSCHDVPWGVKLVQRGFPPAVAMKTKALLAGGGKRWRLAIGIILVAVLMIMPPLVVVFSGRIGEQAMWIQAAVAGIREGPDDGMSFVQHPTTSHDKLLGGLLIDGFDQESCLSRYQSAAYRRKAGRQPSPHLISKLRSHEDLQRRCGPGTAAYSAALEQLKSGKSPAAASPDRCSYLVSISYRGLGNRILAAASAFMYAMLTDRVLLIDPGAEMDHLFCEPFSGTTWLLPPGFPLVDYQSFYLHTAERYGKMRENRVLDSGHAPAFAYIHLDYNQTDYDQLFFCDEDQRQLSNIQWLVMRTDSYIVPGLFLVESFQDEIATLFPERDAVFHHIGRYLFHPTNHVWGLVTRYHRGHLAWAHRRVGIQVRVSPWESESPQLLNTITSCTQENGLLPRVLPDTEQQPTTARRSKSSSAVVVTCLKSWYYEKMKGMYWEQGTETGEVVMVDQPSHEENQLYGVRAHDAKAWAEIYLLSLTDALVTTGTSTFGYVAQGLGGLTPWVLPRKEVNGTAPPCRRDMSMEPCFHVAPRYDCKRREFDAGKIVPHVRHCDDMPGGLKLVDRTES >LPERR06G06500.1 pep chromosome:Lperr_V1.4:6:4688339:4694357:-1 gene:LPERR06G06500 transcript:LPERR06G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAAAVPRREKQCSPDDGHWPDVKDGVPAAAKRHTNRTWSCGVNVVLAAFVMVVPPMVILLNMRAGAGASAVWISSVNVFSRGVDGSILHWPATAAHDKLLGGLLADGMDEGSCHSRYQSAMYRRNAGRDPSPHLVSKLRRHEELQRRCGPGTAAYRDAVESLRSGKSGGGDIGSSLECRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLIDPNREMEELFCEPFPDTTWLLPPGFPLTNYTRFNVDTAESYGNMLKNKVIKTDVAGDVPGAQLPAFVFINLDHTSTVEDKLFFCDEDQRVIRNIPWLVMRTDSYIVPGLFLVTGFQNELDLLFPETDTVFHHIGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGAQPESPNLLEQITTCTQKENLLPEVIAAGEPAVAPRHRNFKAVLVTSLKSWYYEKLKSLYWEHATATGEAVSVHQPSHEEYQHFGARSHDGKAWAEIYLLSLTDKLVTSGWSTFGYVAQGLGGLTPWVMHKPENESAVPSPPCRRDVSMEPCFHAPPFYDCRLKRGADTGKMVPHVQHCDDVEWGLKLKKKLITDVVSSSKRWSWAVNSVVIALVMIAPPVVVVVIGGRVAGAPAVWIQTAVDGLRRGSNDASFLLRRASYPDKLLDGLLIDGFDQKSCHSRYQSAMYRRNSGRKPSSHLVSKLRQQETLQRRCGPGTAAYSNAVQQLKSGKSVASPECKYILSISYSGLGNRILAAASAFLYAVLTDRVLLIDPSNDMDGLFCEPFPGTTWLVPPDFQVTGYTNFSIDTDERYGNMVKNNVVGNNDDVSTASRQLPALSYVHLNHDYTNHDKQFFCDEDQRILSNIQWLVMRTDSYIVPGLFLIAGFQDELAALFPEPDAVFHHIGRYLFHPNNLVWGLVTRYYDAYLSTARERVGIQVRVFGGDPNSPDLLEQITTCTQKQGILPEVLAAGSEPMTQQPASRAGSKAVLVTSLKSWYYEKIKSMYWEHATATASSDGGAVVVSVHQPSHEGFQRFGARSHDAKAWAEMYLLSLTDALVTTGASTFGYVAQGLAGVRPWVMRKPWNRTAGDPPCGRDVSMEPCFHAPPNYDCRQKGWADSGEIVPHVRRCGDVSWGLKIVNLNE >LPERR06G06510.1 pep chromosome:Lperr_V1.4:6:4697154:4704502:1 gene:LPERR06G06510 transcript:LPERR06G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMCGAVSTVDAAHQWPKSGEDTAPTKRLARKEWSCGSKVALVAFIMIVPPMVVLHGDRLGAPAVWISSANFIHGNGSMLHWPAAASRDRLLGGLLADGFDEGSCRSRYQSAMYRRNAGKEPSAHLVSKLRRQEDLQRRCGPGTVAYSNAVEYLRSRGKSGGGGIGSPECRYLVSISYRGLGNRMLATASAFLYAMLTDRVLLVDPSNEMDELFCEPFPDATWLLPRTFPLTNYTTFNVNTTESYGNMLKNNTITPDRAGDVPAFAYVHLDHDYTMQDKFFFCNEDQSVLQKIPWLVMRTDCYIVPGIFLLTRFHEELDMLFPDRVTVFHHLARYLFHPNNQIWGLVTRYYDAYLATAQQRVGIQVRVFGAQPNLPSLLEQVTKCTKNENLLPEVITGGDGGELVVAPTSRRRSKSIAVLVASLKSWYYEQIKSMYWEHATATGETPSHEEYQRFGSRSHDAKACAEIYLLSLSDALVTSGGSTFGYVAQGLGGVTPWVMHIRANDTDVPNPPCRRDMSMEPCFLTPPAFNDCRGKRSIDPGNVVPHVQSCHDVPWGVKLQHQHEATIGHNGAAPPWKEQNLAAHKRTKAEEGAPAFPSPMKSLVHGAFNVMLVAFIMIVPPMVVLYSARASSPAVWLSSSFVDQGSSGDGSVLHRTVAAHDKLLGGLLADGFDERSCHSRYQSATYRRNAGREPSPHLVSKLRQHEALQRRCGPGTVAYSNAVESLRSGKSGGGVIGSPECRYLVSMSYRGLGNRMLATASAFLYAMLTDRVLLVDPSNEMDELFCEPFPDTTWVLPPGFPLTNYTDFSVRTAESYGNMLKNNVIKIDAGGVPTSTHQQLPVFAYVHLDHDYTVHDKYFFCDDDQRVLRDIQWLVMRTDCYIVPGLFLLTGFRDELDMLFPERVTVFHHLARYLFHPNNHIWGLITRYYDAYLATARQRVGIQVRVFGSRQELPKVLEQITACTHNENLLPDVITDDGEPFVAQEPHGRIKPKAVLVTSLSSWYYEKLKSMYWEHAASTGETVGVHQPSHEEYQHFGSGSHDAKACAEIYLLSLSDVLVTSGWSTFGYVAQGLAGLTPWVMYKPWNESSPVPDPPCRRDVSMEPCFLSPPYSDCRMKRSAHSGKVVPHVQNCEDVPWGLKLVDRVE >LPERR06G06520.1 pep chromosome:Lperr_V1.4:6:4710851:4715427:1 gene:LPERR06G06520 transcript:LPERR06G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGTPLFFLWLRHCPRPHLPRADGRTARSEIRYRRLPPPRGHLVGATVASHPPLPPSRRIGRRRCPLLGDPPPLSSSSRESPVSVSATGIRFKPCSMKGYKQARYGFNLNKEALEILQAESKSKQVSKIEKDQTVHNSGGQALCQYLNKINPPVVLKA >LPERR06G06530.1 pep chromosome:Lperr_V1.4:6:4716167:4718038:1 gene:LPERR06G06530 transcript:LPERR06G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGVGVGITKRPSQQCTGGEETTAAAHRAAAADDGDDETPCPWIAGKKKKNKITFLAICLIASPILILLLNRRGSPLPPISGWASPTTAHTSQDVLMGGLLVPGFDERKCISRYNSASYRKNTERSPCPHLINRLRAQEALQRRCGPGTEAYRRAADRLMISRRNGTDDNDDSDCKYLVLVPYRGLGNRMLAMASAFLYAILTGRALLVDKGESSLADLFCEPFPETTWLLPPDFPIRNLEALTGEVPESYRKLVQSDRPDTSVSDFPYVFVDLDHACTYHDKLFYCDDDRHFLHRAPWLLMRTDGYFAPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGFITRFYDSYLKDSDERLGIQVRVFDGDTPFKHILDQITACTSQEHLLPEVLPQEPPPSFPSPVAAAAGKRSKAVLMTGLNSWYYENIRSKYWQSATASGEVVSVHQPSHEEHQVSGNTTHDMKALAEMYLLSMADAVVASGWSTFGYVGHGLGGLTPWVMFKPENMTAPDPPCRRAASMEPCLHGPPFYDCRAKRGADTGKLVPHVRHCEDMSWGLKLVHPDPEG >LPERR06G06540.1 pep chromosome:Lperr_V1.4:6:4718505:4720193:-1 gene:LPERR06G06540 transcript:LPERR06G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKMEEAAAAHPPEMSEKRRGLIAGPGAATVLVLVALPLMLLSYLCGNLAADSVVHLRRFKWSSSSTTPESADRLLGGLLSPDFDEATCLSRYEASRRWKQPSPFPVSPYLVERLRRYEANHRRCGPGTAQYQDAVAQHRSGRRHSAAADDRGECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVVLVDETPEMEGLFCEPFPGTSWLLPSDFPYKRGFSAESNESYVNMLKNGVVRHDGDGDAAGELPPYVYFHLEQIHLRLQNHTFCDEDHRVLDRFDWMILRSDSYFAVALFLVPAYRAELDMMFPAKGSVFHLLGRYLFHPGNRVWGIVERFYDGYLAAADERLGIQVRIVPQMAVPFEVMYEQIVRCTREHGLLPRVTNTSEPAGVRPSSSSAKVKAVLVVSLKREYYDKLHGVFYTNATAASGGGGGGGEEVVAVYQPSHDGDQHTEARAHNERALAEIYLLSFCDRVVTTAWSTFGYVAHALAGLRPWMLAPLDWDKMRADVACVRPASVEPCLHSPPPLVCRARQDDLDPVARVPFLRHCEDVPAGLKLFD >LPERR06G06550.1 pep chromosome:Lperr_V1.4:6:4721390:4724852:-1 gene:LPERR06G06550 transcript:LPERR06G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQEDIERELTKASRTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKNLSQHINEVSA >LPERR06G06560.1 pep chromosome:Lperr_V1.4:6:4725860:4728948:-1 gene:LPERR06G06560 transcript:LPERR06G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGRHDRDGSSNAGSGVLTKYFSSNFVFSDPERESLMHMDEALERKLFADDAQAKKEMLEARGKAILSSKIDVSCEHCHEDSDSLTKQRETGSYREKCGKEKKQKRCGGAIGVAAKEEAKPEKNHVWVCLECSRHFCGGADTEPHGHVRAHCYENQHWWAAKYDDPTLMYCFKCREEVSLKIPDFSPLTKKDTDKLQTALASDASVELKETELVDWRSSSDSDWDDEATTTTKPVEGKESINSVPVQSLTLDQMENSTSEESQLNDISMKPRTGAPVKQRTNSVAQRDNAVTQKFGVFLDRFTLPGDERPYYHCELVTMVKRGFGSRELNIHYSHLLGYSKGLAMEVCNTYERLRANLKETARMFVQKLMTTESNLTETARKHMQQLASMESAQSLELKFLEMPKVEKPIRTPLPDKCALVQRLLADMLSKHRSGLSWEGDFTHDDMEVCDDKVVITKIPKSFQIHPQISHEMITEMEKDFDRIAQSVLQKFDCPRSSIVYLKTYYNMLSCMRSHAAWWSNRITTKALRELIEHHPFLKPSMARTNLWSGIFSACISYDRGDETMLFKRILEKNLTTNSWVGEVKKAKNRMLKSVFHCKDQKPGIQKALGPITESTTKTEATIGHSEYLEYYDEASKFLFEYLSDLSQQGSECSFQSRMLTECENGRRKQVMKSLDETEVAGAVLLEEQVMEALTGLLGSSAMTGMLESVWEFYKSSNNSHQA >LPERR06G06570.1 pep chromosome:Lperr_V1.4:6:4730078:4730380:-1 gene:LPERR06G06570 transcript:LPERR06G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRYRALPQGEVTVEEFRAWLGQYDADGDGRISREELQRALRSLNLWFAWWKARAGVRAADANRDGAVHGDDEVARLFAFAQRHLNVKIAELGSSSYY >LPERR06G06580.1 pep chromosome:Lperr_V1.4:6:4734942:4739795:-1 gene:LPERR06G06580 transcript:LPERR06G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWATAPPAEARGVVVLFAWVWSDEAQLRPFVDLYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKIKPLPTVLASFSGGSKGCMYKVIQLLDGNCEGGATMKDYSLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGKSSRPSMLLSWMTKALASGMDTLFPSRVEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLVELGTDVKVIKWNDSPHVGHYMLHEAEYRGAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHKVAANSNESLRRVANSPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQIMFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >LPERR06G06590.1 pep chromosome:Lperr_V1.4:6:4741861:4742629:-1 gene:LPERR06G06590 transcript:LPERR06G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRDGTRKRRGAFARVVVGGVRTRSVTARRRKAVASAAVELSRQPLGNREADQTRTPRAVELYSGEEAMVGTGISSRSASTASWADAGARERTPSPRSSGATGAGEVCGVVCSCTQASDQDSNEDSSVVGDSGFHRERRETTPPGESSDEESSHAAEDKQERRHRGRISTASATAIIDTPARMRSVRIPPAAEIEEFLAAAERAEAQRFAAK >LPERR06G06600.1 pep chromosome:Lperr_V1.4:6:4752311:4752661:1 gene:LPERR06G06600 transcript:LPERR06G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSGGGGGGSARSRRPVGFLKNAAKRKAEFVHLLLISGVLIMSLRTLGQKHRHRGLVYDNADLCREHSDLSLRMRDLQDALHREAEADESGALAAHLRRIFAAHPAASTSTGDK >LPERR06G06610.1 pep chromosome:Lperr_V1.4:6:4754051:4762477:1 gene:LPERR06G06610 transcript:LPERR06G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLAPSAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVLRSLSSAPGYFASASRSVDVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLQKVFDREEKRRKELPLELKQKVSYEILERLRELGENSNTTEQGEALESWRVEKLKDIKSASAQNMSYLGFSSEESRMLKRALEFNWRILTEDIGFWIPVSVWHTEHNDKLDNEPEEEEIIAGPPLPPECNAQLHTDYGGAAVRWGLTHHKESAADCCLACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDAHPSAPVVVPWMSGVITV >LPERR06G06610.2 pep chromosome:Lperr_V1.4:6:4754051:4758836:1 gene:LPERR06G06610 transcript:LPERR06G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLAPSAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVLRSLSSAPGYFASASRSVDVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLQKVFDREEKRRKELPLELKQKVSYEILERLRELGENSNTTEQGEALESWRVEKLKDIKSASAQNMSYLGFSSEESRMLKRALEFNWRILTEDIGFWIPVSVWHTEHNDKLDNEPEEEEIIAGPPLPPECNAQLHTDYGGAAVRWGLTHHKESAADCCLACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDAHPSAPVVVPWMSGVITV >LPERR06G06610.3 pep chromosome:Lperr_V1.4:6:4754051:4758936:1 gene:LPERR06G06610 transcript:LPERR06G06610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLAPSAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVLRSLSSAPGYFASASRSVDVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLQKVFDREEKRRKELPLELKQKVSYEILERLRELGENSNTTEQGEALESWRVEKLKDIKSASAQNMSYLGFSSEESRMLKRALEFNWRILTEDIGFWIPVSVWHTEHNDKLDNEPEEEEIIAGPPLPPECNAQLHTDYGGAAVRWGLTHHKESAADCCLACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDAHPSAPVVVPWMSGVITV >LPERR06G06620.1 pep chromosome:Lperr_V1.4:6:4763819:4764451:1 gene:LPERR06G06620 transcript:LPERR06G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPHPSGSSRSTSSPISGGSTSSPAAEPICGDLRLIPEGYIDKLDGTEELGSLKCLSKVKNIFHVDTSMIKD >LPERR06G06630.1 pep chromosome:Lperr_V1.4:6:4767498:4768478:1 gene:LPERR06G06630 transcript:LPERR06G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAIGADTEVDFEFFPIIRRYKSGRIERFMNIDPLPAGTDPVTGVISKDVVVDPATGLWARLFLPPGAAGKLPVVVYYHGGAYVVGSAADPFTHCYLNGLVAEAGVLAVAIEYRLAPEHPLPAAYEDSWEGLRWVASHANGGGGEPWLVEHGDFSRVFVAGASAGGNIAHYVAARAGGGEGAGLGIGIRGVLIVHPYFSGADDIGEEGAARKAEKAKADEFWRFIYPGSPAGLDDPMSNPFSDAAAGAAARVAAERVLVCVAEKDSLRDRGVWYYEKLRDSGYSGEVELLETMGEGHVFYCIDPRGEKAREMQERILSFLRK >LPERR06G06640.1 pep chromosome:Lperr_V1.4:6:4770640:4776787:-1 gene:LPERR06G06640 transcript:LPERR06G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRAAPWGRPSLPGSRPFPGSARQRGPCGGMNVWRNSILKNLWRHGGTPMKFGLPSRLFRLMASSSSGDGGFSRPTSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPSDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHYRRESGGSSTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLDDALKVESKLKMLERRRFGKSPTAIQVAIVGLGYSGVELAATISERLKNTGSVQAINVQTTICPSAPPGNRDVALKVLESRNIQLILGYFVSSIREDSASEDSSGMVTDAKEVNGDHKKLLLELQPAQRGLQAQVLEADMVLWTVGSTSQIPRLQPPDAPYIIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIDGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNAVANSFPPPDPATNRSPPSAMDPDSEVVFDFQPYLCQYRSGRVFRPGGEPVVPAGTDPVTGVVSKDIHAGDARARVYLPPGAAVSTDKLPVVVYFHGGGFVTGSPARPGTHAYLNDLVAKSGAIGVSVYYRLAPENPLPAAYDDAWAGVRWAATRGDGADPWLLDHADLSRLFLAGCSAGANIAHNMAVRCGATADALPEGVTLRGLVVVHPYFTGKEAVGAETAFGPDVREFFDRTWRFVFPETSGLDDPRVNPFVDDAARAAAAGIPCERVLVCVAEQDVLLKERGLWYYRELKASGYGGEVELYESKGVGHAFHFGMLDSDQAVELQERNVEFIKKCGSPA >LPERR06G06650.1 pep chromosome:Lperr_V1.4:6:4778596:4781733:1 gene:LPERR06G06650 transcript:LPERR06G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVDEDRIKIKFEAQLPATIPFPSFLAASSLPAISTTLKPPRHHELSGNPSQRLRATRQNGSNDAPRLAVADAAARLPIRQPGGEPVRHGAIEPARPPRPRHGGGEPVPDGAAVAGPVRRRRRHAGDAAPHPRQPVRPLPALQQRAGEPHAHFAEANHRDGGGEEDDDDDDDDDEGFHPRASYAANASSVPFDWEERPGTPKAGLAGGGGGAAVWDDTEFEFGTVVDKAAPEERLTTADELFEKGRIRPLKAPLPKTADEVSDKGKIRPLKPPPGLLDGGSVASSPRSPMTRGGGLRSPRRRSRVSSGVDFDPFAAALLEATKAPSPSPLGVGKEANGIEAKKSDDLFSTRPASKSAGWRRWRLSDLLLFRSSSEHGRVSRDPIFKASPAKQPDSPVKKATVIKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGFRGSSWYHGHGMAKLGTKG >LPERR06G06660.1 pep chromosome:Lperr_V1.4:6:4785933:4789635:-1 gene:LPERR06G06660 transcript:LPERR06G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDSVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDISAAPGDGWEFDSTSGYYYEKSTGLYYDSNSGFYYSDGLGKWVAQEEAYEWAKTSQTGAGQSSTSQTKPAAAVAIVPTIKGGKAPGVVVTKPLNPMRTVKGAQSAIAANKRKREDTKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >LPERR06G06670.1 pep chromosome:Lperr_V1.4:6:4790973:4807954:-1 gene:LPERR06G06670 transcript:LPERR06G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSAAEKAAVVAPYGSWDSPISAAAVSSAGKTVEGLAGDGRLLWVETRPEEGGRAVLVKEAAEAGGNAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSPQPLTPDYTGSVVRYADGVFDLHFCRYVTIMEDHRKDSSNPLTTIASVTISGEDVNEPTVLVSGNDFYAFPRIDPIEKRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVQNKICIAGGDLTLVESPTEPKWSSKGELFFITDRHSGFWNIYKWDERSNMIVQMYSLDAEFSKPMWIFGVSSYDFLEKDDTSHKIICCYRNLSLIQAEWKIMCRSAGPCLRVSGDGSFYVEGSSATLPVSIAKVTLDEKRKTATDFSIVWSSSEDVVQYAPYFSLPEFMEFPTVVPGQQAYAYFYAPHNHTFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGRNFRERLLGQWGVVDVNDCCSCATFLIADLASLRAGMHKFEAYYIDNLVGNKQACFERSPINFVEKFACPIILFQGLEDTVVSPDQATTIYKAIKDKGLPVALVKYEGEQHGFRKAENIKFTLEQQMVIRRALPSAPRMSSSSTAASPSPSAAADGGGGEKPVAAPYGSWRSPITADVVSGGDKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEGEKPMDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQTTEPGVPVPLTPDYGGPDVSYADGVFDPHFNRYVTVIEDRRKSSLNPTTTIAAINLSDGDVKEPKVLISGNDFYAFPRIDRNKKRMAWIEWGHPNMPWDKSELWVGYFSENGDLIKRVCVAGGNPMLLESPTEPKWSPKGELFFITDRGNGFWNIYKWVEHTNEVIPVYTLDAEFTKPLWVFGISSYDFLGESNHIIFSYRQHGRSYIGVLDSVIGSVSLLDIPFTDSSNVVTGNDYFYIEGASASVPMSIAKVALNEDRTKAISFSIIWSSSPDAVQYSSLFSTPEFIEFPASSTGQKAYAYFYPPSNPKFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYVDVNYGGSTGFGREYRDRLLGKWGIVDVDDCCSCAKFLVESGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNEKAYYERSPINFVEKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMTRKVDGGEKRQRHHRCGPAHCHPAWASRSPPPALSPACAVLAIAIIVAGSCRARHRGPTSSSVYVRLVAGQCRARCCRDLTRRRPTPCCVTPT >LPERR06G06670.2 pep chromosome:Lperr_V1.4:6:4790973:4807954:-1 gene:LPERR06G06670 transcript:LPERR06G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSAAEKAAVVAPYGSWDSPISAAAVSSAGKTVEGLAGDGRLLWVETRPEEGGRAVLVKEAAEAGGNAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSPQPLTPDYTGSVVRYADGVFDLHFCRYVTIMEDHRKDSSNPLTTIASVTISGEDVNEPTVLVSGNDFYAFPRIDPIEKRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVQNKICIAGGDLTLVESPTEPKWSSKGELFFITDRHSGFWNIYKWDERSNMIVQMYSLDAEFSKPMWIFGVSSYDFLEKDDTSHKIICCYRQNGRSCAGVLDHVSGSFSELDIPFSSITNIVSGDGSFYVEGSSATLPVSIAKVTLDEKRKTATDFSIVWSSSEDVVQYAPYFSLPEFMEFPTVVPGQQAYAYFYAPHNHTFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGRNFRERLLGQWGVVDVNDCCSCATFLIADLASLRAGMHKFEAYYIDNLVGNKQACFERSPINFVEKFACPIILFQGLEDTVVSPDQATTIYKAIKDKGLPVALVKYEGEQHGFRKAENIKFTLEQQMVIRRALPSAPRMSSSSTAASPSPSAAADGGGGEKPVAAPYGSWRSPITADVVSGGDKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEGEKPMDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQTTEPGVPVPLTPDYGGPDVSYADGVFDPHFNRYVTVIEDRRKSSLNPTTTIAAINLSDGDVKEPKVLISGNDFYAFPRIDRNKKRMAWIEWGHPNMPWDKSELWVGYFSENGDLIKRVCVAGGNPMLLESPTEPKWSPKGAMVEHTNEVIPVYTLDAEFTKPLWVFGISSYDFLGESNHIIFSYRQHGRSYIGVLDSVIGSVSLLDIPFTDSSNVVTGNDYFYIEGASASVPMSIAKVALNEDRTKAISFSIIWSSSPDAVQYSSLFSTPEFIEFPASSTGQKAYAYFYPPSNPKFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYVDVNYGGSTGFGREYRDRLLGKWGIVDVDDCCSCAKFLVESGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNEKAYYERSPINFVEKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMTRKVDGGEKRQRHHRCGPAHCHPAWASRSPPPALSPACAVLAIAIIVAGSCRARHRGPTSSSVYVRLVAGQCRARCCRDLTRRRPTPCCVTPT >LPERR06G06670.3 pep chromosome:Lperr_V1.4:6:4790973:4807954:-1 gene:LPERR06G06670 transcript:LPERR06G06670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSAAEKAAVVAPYGSWDSPISAAAVSSAGKTVEGLAGDGRLLWVETRPEEGGRAVLVKEAAEAGGNAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSPQPLTPDYTGSVVRYADGVFDLHFCRYVTIMEDHRKDSSNPLTTIASVTISGEDVNEPTVLVSGNDFYAFPRIDPIEKRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVQNKICIAGGDLTLVESPTEPKWSSKGELFFITDRHSGFWNIYKWDERSNMIVQMYSLDAEFSKPMWIFGVSSYDFLEKDDTSHKIICCYRNLSLIQAEWKIMCRSAGPCLRVSGDGSFYVEGSSATLPVSIAKVTLDEKRKTATDFSIVWSSSEDVVQYAPYFSLPEFMEFPTVVPGQQAYAYFYAPHNHTFQGSSDEKPPLLVRTHGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGRNFRERLLGQWGVVDVNDCCSCATFLIADLASLRAGMHKFEAYYIDNLVGNKQACFERSPINFVEKFACPIILFQGLEDTVVSPDQATTIYKAIKDKGLPVALVKYEGEQHGFRKAENIKFTLEQQMVIRRALPSAPRMSSSSTAASPSPSAAADGGGGEKPVAAPYGSWRSPITADVVSGGDKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEGEKPMDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQTTEPGVPVPLTPDYGGPDVSYADGVFDPHFNRYVTVIEDRRKSSLNPTTTIAAINLSDGDVKEPKVLISGNDFYAFPRIDRNKKRMAWIEWGHPNMPWDKSELWVGYFSENGDLIKRVCVAGGNPMLLESPTEPKWSPKGAMVEHTNEVIPVYTLDAEFTKPLWVFGISSYDFLGESNHIIFSYRQHGRSYIGVLDSVIGSVSLLDIPFTDSSNVVTGNDYFYIEGASASVPMSIAKVALNEDRTKAISFSIIWSSSPDAVQYSSLFSTPEFIEFPASSTGQKAYAYFYPPSNPKFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYVDVNYGGSTGFGREYRDRLLGKWGIVDVDDCCSCAKFLVESGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNEKAYYERSPINFVEKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMTRKVDGGEKRQRHHRCGPAHCHPAWASRSPPPALSPACAVLAIAIIVAGSCRARHRGPTSSSVYVRLVAGQCRARCCRDLTRRRPTPCCVTPT >LPERR06G06680.1 pep chromosome:Lperr_V1.4:6:4809946:4811193:-1 gene:LPERR06G06680 transcript:LPERR06G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQAAIPLLTPYKQADGGKLDLSHRMVMAPMTRCRSYGNVPQPKHAALYYSQRATDGGLLITEATGVSDTAQGYPETPGVWTQEHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISCSDIQITPDGSGIVYSKPRRLRVDEIPKIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVREIGAHRVGIRLSPFLDFMDCVDSNPEALGSYMVEQLNKHEGFMYCHMIEPRMSIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPVIGYTDYPFLEENQKKAVVDA >LPERR06G06690.1 pep chromosome:Lperr_V1.4:6:4814995:4816204:-1 gene:LPERR06G06690 transcript:LPERR06G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQTEKDATAAIPLMTPYKMGQFELSHRVVLAPLTRNRSYGNVPQPHAVVYYTQRATRGGLLVTEATGVSATAQGYPDTPGIWTQEQVEAWKPIVDAVHRKGAIFFCQIWHVGRVSTNDYQPDGQAPISSTDKQITPDDSGVVYSKPRRLRTDEIRQIVDDFRRTARNAIEAGFDGVEIHGAHGYLLEQFMKDGANDRTDQYGGSLENRCRFVVEVIDAIVAEIGAHRVGIRLSPFLDFMDCVDSDPVALGSYMVQQLNKHPKFLYCHMVEPRMAVVEGRRKINHGLLPFRKQFNGTFIAAGGYDREEGNKVVADDYADLVAYGRLFLANPDLPKRFALNASLNKYNRSTFYIKDPVVGYTDYPFLDENDKDSATYA >LPERR06G06700.1 pep chromosome:Lperr_V1.4:6:4819454:4821277:-1 gene:LPERR06G06700 transcript:LPERR06G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAEKNAASAAIPLLTPYKMGQFDLSHRVVLAPLTRCRSYGHVPQPQHAAVYYSQRATRGGLLITEATGVSATGEGFPDTPGIWTQQQVEAWKPIVDAVHRKGAIFFCQIWAGCPTMYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDYMDCVDSDPVALGSYMVQQLNKHSGFLYCHIVEPRMAMVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVIADGYADLVAYGRLFLANPDLPRRFKLNAPLNKYDRSTFYIQDPVVGYTDYPFLDGNVED >LPERR06G06710.1 pep chromosome:Lperr_V1.4:6:4827051:4828305:-1 gene:LPERR06G06710 transcript:LPERR06G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVQATAKEAPAPAIPVLSPYKMGPFELSHRVVLAPLTRNRSYGHVPQPHAAVYYTQRATRGGLLITEGTGVSATGEGYPEIPGIWTQQQVEAWKPIVDAVHRKGALFFCQIAHVGRASNNEYQPNGQAPISSTDKQVTPDDSGIAYSKPRRLRTDEIPHIVDDFRHAARNAIEAGFDGVEIHAANGFMIDQFLKDSANDRTDQYGGSLENRCRFPLEVIDAVVAEVGAHRVGVRLSPYMDFMDCFDSDPEALGSYMVQQLNKRVGLLYGHMVEPRMTIVEGRRKIVHGLLHFRKQFNGTFIVAGGYDQEEGNKVVADGYADLIAYGRLFLANPDLPRRFKLNAPLNKYDRSTFYTQDPVVGYTDYPFLEEKEDSTTTDA >LPERR06G06720.1 pep chromosome:Lperr_V1.4:6:4833246:4834504:-1 gene:LPERR06G06720 transcript:LPERR06G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQAAAKAAIPLLTPYKMGQFDLSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIDAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPKIVDDFRQAARNAIKAGFDGVEIHGAHGYLLEQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPYVDYMDCVDSNPEALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKISHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELNAQLNNYDRSTFYTQDPVVGYTDYPFLEEEDKDSNA >LPERR06G06730.1 pep chromosome:Lperr_V1.4:6:4836387:4837159:-1 gene:LPERR06G06730 transcript:LPERR06G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGEDAIGVFNDMVEGSRNHLAIRPDEVTFVALLSACRHSGMVADGWRFFAEMASLHGVMPGEEHYGCMVDLLCRAGLLGEAQN >LPERR06G06740.1 pep chromosome:Lperr_V1.4:6:4837182:4837487:-1 gene:LPERR06G06740 transcript:LPERR06G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDARRMFDRMTDRTTASWNTMVACCCKAGDIVSAREVFDASLQATASNVVSWNTMIDGYCKACRMDAARNLFDRMGLPDIVTWNTMIAGHVVMMPTTVTM >LPERR06G06750.1 pep chromosome:Lperr_V1.4:6:4837520:4837726:1 gene:LPERR06G06750 transcript:LPERR06G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNATTSDIPLRHFVEQPMPVIQEAALGVAGEQSGGQHHVGGEAHLAGEAVDGLLLAAAGDIRCRLG >LPERR06G06760.1 pep chromosome:Lperr_V1.4:6:4838700:4839229:-1 gene:LPERR06G06760 transcript:LPERR06G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSCAADDFCHAVVPCDSVTCPEYCQKRGYHYPYTTYCTPGQYYPNCCCRQLSTNGDVCRLLLSN >LPERR06G06770.1 pep chromosome:Lperr_V1.4:6:4841189:4841985:-1 gene:LPERR06G06770 transcript:LPERR06G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPSCAEEDRCEPTVPCDNITCPELCQKLGINNAHAYCKPGDVPSCCCRNQQSNDRNGDVRRLLLSK >LPERR06G06780.1 pep chromosome:Lperr_V1.4:6:4849741:4850628:-1 gene:LPERR06G06780 transcript:LPERR06G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSTTLVATAIALVMLVLMPEASHAERFVVGDAARWTWGYNYTDWVIKKGPFFQNDSLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVANVMQGAGSGYEFVLKKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >LPERR06G06790.1 pep chromosome:Lperr_V1.4:6:4852710:4859202:-1 gene:LPERR06G06790 transcript:LPERR06G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGAEPTVAAVAAAAEVKNPRCFMDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGPVTGKPLHYKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRSVEHAPVGEADRPVSDVEIVDCGELPEGADDGVVNFFKDGDTYPDWPNDLAEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDVCWEKEDIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKVADRKDQERKAFARMFQPSGKSDKNSQQVIPSFLSPGRTFASLIWDTYWNREKGYNKGVCAANTKNADSLSRYKDKIVGERIAFVFLCITTKVTGRLNLRH >LPERR06G06800.1 pep chromosome:Lperr_V1.4:6:4866025:4874407:-1 gene:LPERR06G06800 transcript:LPERR06G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHAPSFQLEHSKCSSLNEQLAEASLQLRQMRGEELEGLSVEELQQMEKNLEAGLQRVLCTKDRQFMQEISELQQKGIQLAEENMRLRNQMPQVPSAGMLAVADTENVLTEEGQSSESVMTALNSGSSQDNDDSSDNISLKLG >LPERR06G06810.1 pep chromosome:Lperr_V1.4:6:4893589:4896801:-1 gene:LPERR06G06810 transcript:LPERR06G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKANAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFNTACSKLEKIGHVEAEPDTTASESKTQKEAIDLKELKRVDHILICNLLLPLHLFQRAMFRQNKRKHQMIFCPRRHCLPRLTLSLIKALQKDLDSNERYCTAKLNLTNTTITSVLSVISRVLSIHSSDVVASPVMAILKEGT >LPERR06G06810.2 pep chromosome:Lperr_V1.4:6:4893589:4896642:-1 gene:LPERR06G06810 transcript:LPERR06G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPLPPPAQPPPGAGGPEGAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNESLRSRQIHPLDMSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKANAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFNTACSKLEKIGHVEAEPDTTASESKTQKEAIDLKELKRVDHILICNLLLPLHLFQRAMFRQNKRKHQMIFCPRRHCLPRLTLSLIKALQKDLDSNERYCTAKLNLTNTTITSVLSVISRVLSIHSSDVVASPVMAILKEGT >LPERR06G06820.1 pep chromosome:Lperr_V1.4:6:4899185:4914716:-1 gene:LPERR06G06820 transcript:LPERR06G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPPQPAAAGMPLAPPTAMPRAPPTAMVLRSSDGPPRAGAAGAAVPVAERLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARISPLIHEKKKLFNDLLTLKGNVKVFCRSRPLFEDEGSSMVEFPDDYTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVRPLVQSALDGYNVAIFAYGQSHSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYVTACELYNDQVRDLLSESRSPVPKVRMGVQASFVELVQEKVENPLEFSGSLKTALENRSVNSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPDPSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFCARAKNAELSLGNRDTIKKWRDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHKIEKEQNNQLRDQISHLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKPTNAQGRDIGRSDSTKSQSSGVFPLPVSQDKAGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSNTSRSRSSSRGSSPGRSPVYHHDHGSRSSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKGLAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGATGQLELLSTAIMDGWMAGLGTAQPPSTDALGQLLSEYTKRVYTTQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVIRQSSTRPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVEEDSDDPATDSNSNTLGESEVSQWNVLQFNTGASAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAVSLLALARTADGTRARYSRLYRTLASKVPALKDIVAEMEKGGVFKDVRS >LPERR06G06830.1 pep chromosome:Lperr_V1.4:6:4918064:4918957:-1 gene:LPERR06G06830 transcript:LPERR06G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVLDEMREFKCADVGPSLVTYSTLIGGLCRGGKMKEAFDLFEEMIDKDRIVPDQLTYNLLIGGFCRLGQVEKAHTIFGFMRKNECEPNAFNYATLINGHCKKGEVEAAKGVFQEMISSGVEPDAVSYTALIGCLCRHGNLDEGINLVQEMSEKGCKADVVTYNLVLEGLCKDGRTVEAMDLLERLPSEGVQLNVASYRIVLNCLCSCGDMDKAVGLLGMMLQRGFLPHYAASNNLLIGLCDVGRVADATVALYGLVDTGFMPEASCWARLIESVFRERKLRRSIELLDELIAEG >LPERR06G06840.1 pep chromosome:Lperr_V1.4:6:4921584:4923567:-1 gene:LPERR06G06840 transcript:LPERR06G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVCTNAAAALPLRRGRSPPYAASTLTAAAPILRSRRGLPTRGLCLRCAGVDWTGPSFVAIADKPDAAAEARKAFASTGGGGGGEEEEDGSFVAINGAEGNSVDESVVLPPFEQSLVAVDSVVDDAISQVLGSKLDFKETFTYVMYGSGAFIAGWILSAVVSAIDTIPLFPKILQIVGLGYTIWFSTRYLLFKENRDEFFVKIDDLKRRIIGYGDD >LPERR06G06850.1 pep chromosome:Lperr_V1.4:6:4931934:4934248:1 gene:LPERR06G06850 transcript:LPERR06G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDNAAILDLGDESEEISWVVGVDASSGALSVDFPLQSDDCIEALLGREEQQQLTMEGYIQRLLQQPDGLDLVAMRSDAIDWIWKVHEHYKFGPLTAVLSVNYLDRFLSVYDLPQGKAWMTQLLATACLSLAAKMEETVVPHPLDLQVGDAKYVFETRTIQRMELLVLNALKWRMHSVTACSFIDYYLHKFNDGDLPSIPALLRSVDLIFSTCKVAEFLVFRPSEIAASVALVALEKQETSMFERVANCSKNLKKERVLRCYETIQDKIIMRSIIHQSVDSVFSMPQSPMDVLDSAACISQRSEDTIVGSPATNYESSVSSKRRRICR >LPERR06G06860.1 pep chromosome:Lperr_V1.4:6:4938560:4939996:1 gene:LPERR06G06860 transcript:LPERR06G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPPPYGRRSALAPRRKEDFGDGGAFPEIHLAQYPLDMGRRGDGTSSNNGVLSLTVVGNGRVAFDAVVRQGEKTVYSSPDDVLPKINAADDDEDEEDVEATTARTSAALRAIVEKRLSAAQPSSTNTAKFVKYTPARQSSSSAFNSGSAERIIMMAETATDPLEPPKFKHKRVPRPAGSPPVPVLRSPPRPLSQKDQGDWKIVPSVSNWKNNHGYTIPLDKREAASAAGLSSQEVQISDGFAGLAESLYVAERKASEAIETRNKVHREMEMRKKAETEQKLVQMAKKALEEKVGAPAAAGEVAERDPIREARRRERRMEKTASKKGNGDEHRNVSERIALGMASTTGGAVAGGEVGYDQRLFNQEKGMDSGFAAADQYNVYSGRLFAAQAPAMSMLYRPSKHGDGDIYGDDADGCLEKIAKTRRFVPDKAFSGAPASVAGKRERPVEFDEPGQEEEKDPFHLDQFLAQIKKGKQH >LPERR06G06870.1 pep chromosome:Lperr_V1.4:6:4956007:4958444:-1 gene:LPERR06G06870 transcript:LPERR06G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAEEADSPPSLMSEDDAGSGGSSGERWTADLRGAGNGGGARPAAPPDQVLENVLESVLEFLTAARDRNAASLVRRSWYRAEARTRRELFVGNCYAVSPRRAAERFGGGGGGGSVRSVVLKGKPRFADFSLVPYGWGAHVSPWVAAMGPAYPRLERIFLKRMTVSDDDLGLIAQSFPQLKELSMVCCDGFSTLGLAVIAERCRHLRVLDLIEDYIEDEEDELVDWISKFPQSNTSLESLVFDCVGVPFNFEALEALVARSPSLRRLCVNHHVSVEQLRHLMARAPQLTHLGTGAFRSEAAPGDGGMSMSELSASFMASRSITSLSGFREVNPEYLPAIYPVCANLTSLNFSFANVTAEELLPVIRQCHKLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPLDATEDSEGSVSDIGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFEYIGKYGKLIKTLSLAFSGNSDMSLQYLFEGCTRLNKLEVRDSPFSDKGLLSGLDYFYNMRFLWMNSCKLTMSGCREVAQRMPNLVVEVMGDQSDDGEETEIIDKLYLYRSLAGPRSDAPSFVKIL >LPERR06G06880.1 pep chromosome:Lperr_V1.4:6:4959620:4961849:1 gene:LPERR06G06880 transcript:LPERR06G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLSRWGKSVGETTKLVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEVLPEEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKARIIHIPSSIYVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVSSLQEALNSAREMQP >LPERR06G06890.1 pep chromosome:Lperr_V1.4:6:4963006:4972505:-1 gene:LPERR06G06890 transcript:LPERR06G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGWIRLASRSLALGYKEAVAQRSKWIWQSTTCSTSGIYIGQHSSPEKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYEARSEANSTQGGMEFDGAYQDPFSGFHKQGHNPFAEFYRPNNGPFSNKFYKIFSEVFEHDIDVHANDIEVEVAISFREAVKGCMKQVSFSAKNLCNSCDGRGYLANAKTYVCPSCKGAGRVTMYPFTSICTSCRGFGKVIKDYCLTCKGLGVVDGMKYINESILAIQFTYWRLDIVVDVERNLEVASDPLFVRDGADIHVEKRISFTQAMLGGKVEVPSLDGMAEVKIPKGVQPGQVIVLRGKGLPDQAGYLGDQYVRFRIHFPKVVNERQRALLEEFAVEEATKEQNSFAAGNWWELVGNMKGQTFLLGLGFLVLIHLVLTKAVS >LPERR06G06890.2 pep chromosome:Lperr_V1.4:6:4963006:4972505:-1 gene:LPERR06G06890 transcript:LPERR06G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGWIRLASRSLALGYKEAVAQRSKWIWQSTTCSTSGIYIGQHSSPEKDYYKILGVPKDASQDDIKKAFHSLFYRGSEANSTQGGMEFDGAYQDPFSGFHKQGHNPFAEFYRPNNGPFSNKFYKIFSEVFEHDIDVHANDIEVEVAISFREAVKGCMKQVSFSAKNLCNSCDGRGYLANAKTYVCPSCKGAGRVTMYPFTSICTSCRGFGKVIKDYCLTCKGLGVVDGMKYINESILAIQFTYWRLDIVVDVERNLEVASDPLFVRDGADIHVEKRISFTQAMLGGKVEVPSLDGMAEVKIPKGVQPGQVIVLRGKGLPDQAGYLGDQYVRFRIHFPKVVNERQRALLEEFAVEEATKEQNSFAAGNWWELVGNMKGQTFLLGLGFLVLIHLVLTKAVS >LPERR06G06900.1 pep chromosome:Lperr_V1.4:6:4979455:4980738:-1 gene:LPERR06G06900 transcript:LPERR06G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPRHHALPLPLAAMLLLAAAADAQPNDTRDNRNGGFMNPPPAPSFSAPMVVLLVALIAAFFFIGFFSIYIRRCGGGSSAGPTIPAAALAALSRQEQRNNRQQRGLDPSVVESFPTMKYSEARELRLRDAGNGKDAVLECAVCLSEFDDEDELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLSPDDPAAAAVAEAEENVVAGVEVAIDVNGDGEEERRREEEAMELERIGSQRRAVRSRSGRPLPLPLPRSHSTGHSLAARLDGDLERFTLRLPEHVRREIVAAAGEESLRRTAVREGRVGGGARSARIGRSDRWPSFIARTFSSRVPFWSSASRRAPLDVAEGAADASAAAAATRPTTPTARTKREKTAAAGDGSVGSNKGSVRFDCLGGGGGGSSTRVVAFANDEADDDDDEKPIARQV >LPERR06G06910.1 pep chromosome:Lperr_V1.4:6:4989834:4999912:-1 gene:LPERR06G06910 transcript:LPERR06G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEEFAVDDLDDFESRLHDFLERFHSEDLRRILLPDPDGRLHFPLVIDFAELLEFDPEVAHLLYAYPKDVLELFDAAAQSALDKFDAAARRDKRKAGDESMETKFVHVRVNISGSPLDCPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYECKKCKCRFTIHPELEAGNRITLPASCKSKSSKGCGGANFQLIQDSITCHDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLLANFVRRSNELKSDLDIPVEVINKFEEFWEASITTPLKGRNSILKGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRREPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDKKDEAWDKIVSSHILAENAEEKKGKTGAPEVMWTLPMLRRYIHYVKKQFKPVLTKEAESVISRYYQRQRQSGARNAAHARLMFRNEVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECGVISPHRPAGRVADGVLGRHRRGGVTHEEQSYQERIESKGPYIQGTDEPEQLARILPRDLMRGCNGF >LPERR06G06910.2 pep chromosome:Lperr_V1.4:6:4989834:4999912:-1 gene:LPERR06G06910 transcript:LPERR06G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEEFAVDDLDDFESRLHDFLERFHSEDLRRILLPDPDGRLHFPLVIDFAELLEFDPEVAHLLYAYPKDVLELFDAAAQSALDKFDAAARRDKRKAGDESMETKFVHVRVNISGSPLDCPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYECKKCKCRFTIHPELEAGNRITLPASCKSKSSKGCGGANFQLIQDSITCHDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLLANFVRRSNELKSDLDIPVEVINKFEEFWEASITTPLKGRNSILKGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRREPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDKKDEAWDKIVSSHILAENAEEKKGKTGAPEVMWTLPMLRRYIHYVKKQFKPVLTKEAESVISRYYQRQRQSGARNAAHARLMFRNEVTKLDAIAAILCIESSMTTSAIPEQLARILPRDLMRGCNGF >LPERR06G06910.3 pep chromosome:Lperr_V1.4:6:4992201:4999912:-1 gene:LPERR06G06910 transcript:LPERR06G06910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEEFAVDDLDDFESRLHDFLERFHSEDLRRILLPDPDGRLHFPLVIDFAELLEFDPEVAHLLYAYPKDVLELFDAAAQSALDKFDAAARRDKRKAGDESMETKFVHVRVNISGSPLDCPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYECKKCKCRFTIHPELEAGNRITLPASCKSKSSKGCGGANFQLIQDSITCHDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLLANFVRRSNELKSDLDIPVEVINKFEEFWEASITTPLKGRNSILKGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRREPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDKKDEAWDKIVSSHILAENAEEKKGKTGAPEVMWTLPMLRRYIHYVKKQFKPVLTKEAESVISRYYQRQRQSGARNAAHARLMFRNEVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQEWNM >LPERR06G06920.1 pep chromosome:Lperr_V1.4:6:4990271:4993002:1 gene:LPERR06G06920 transcript:LPERR06G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTLVALLLVSYAAAAVATKYTVGDTSGWAMGADYTTWASDKKIQVGDSLVFNYAGGAHSVDEVSAADYASCSASNALASDSSGTTTVTLKTAGKHYFICGVSGHCSNGMKFVVDVAAATPAPSTTPTTPTTPTTPSTTPASPGSSSGVTPQTPATVLAPPVKQSAGAAGLRAGGWAILGLAGLAVMQLGLF >LPERR06G06930.1 pep chromosome:Lperr_V1.4:6:5003947:5004438:1 gene:LPERR06G06930 transcript:LPERR06G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKPKEADAARCRKHPKHRHSAGVCPFCLRDRLSRLSAEAASSPSPPSSSSSASSSPCSSSTTTGEGRAQAQPPPCRRARLGTLMQQEQRETTTTTIALAAGRDKEDEKKTKATATVTRRNGFWARLQQQLQHGCSLAHSKAVGEKTAAAAPAKRPPALV >LPERR06G06940.1 pep chromosome:Lperr_V1.4:6:5005334:5011589:-1 gene:LPERR06G06940 transcript:LPERR06G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLVSLPLTVGMVVATLRYFAGPAVPLHVLGIVGYAWVCSLSFIILVPADISTTITGNQEGDVGFFWSWTYWSTFFLSWSIVPTLQCYEDAGDFTVKERLKTSIHRNLIYYKIMGSIGLIGIILVIIMHHDWVGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWKTADWTRRQKFLSNRIANMASKLDNACQEYCHAITVVQATSKQMSKRDQLRTFMDIIDNMLSQMLRDDPLFKPSIGKLGEDDMDYDTDENTMATLRRKLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANGWKYVSGLRENRSCTLGSFLDFIEFVWRCILRKQLLRVLAVILGCISATILLAEATLLPSDVDLSLFSVLTNVVGKQEVLIQVVAFIPLMYMCICTYYSLLRIGMMVVYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIHLGGNSKTTFEKRMGDIDHVVPFFGRSFNRIYPLIMVVYTVLVAGNFFGYVLDFFVSLKRFKFWTEQKEDTDGFDPSGVIILQKERSWIAQGHKVGEQVASLARNFSGLSQDIESGNVQKDEETPVMKAAIHTSKTEGLLQSKYTSNAAHKYSSIREENSSHQAVKQVQKESQSTTVLSGTGNSENSETPSSVSNEPDSQAGTTSTWTSMKTGFQNFRANMGSKKIFPLSLSHTQSSSSKSLDEIFQGLKRHSSNASVDYLDDDDNVQ >LPERR06G06950.1 pep chromosome:Lperr_V1.4:6:5020862:5024968:1 gene:LPERR06G06950 transcript:LPERR06G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRKVRGAAGRRAALRWWLLSLAAAGATVTAAASLLAVALHVSSSASSRASSPGVPYRLSKPREAGELRWEQEFAPPQLASPQSRKLDGAADDAAEKRWWLPAPSRRFTPCVAPSPEYKSIPMNTPSDCLVSVCLNSVLHSDFDLTGAGPGLSRGYLLVHTNGGLNQMRAGISDMVAVARMLNATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLANDVKVEKKLPKELVKSPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRVAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESDELAVIRENTSYWKVKDIDPLEQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLLSRFPIIMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLKEGERLSQRILDIHHKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASLVSI >LPERR06G06960.1 pep chromosome:Lperr_V1.4:6:5026724:5030634:-1 gene:LPERR06G06960 transcript:LPERR06G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESAGSGGKQAPAELLVLPFPGDGKGTRRRMLRRGTHNPIVVSPTMFQGYIEYNCSGLARSQTMPHRPNHTHATHRAATEPAAAGDRVTVPEVYARRLTAAHASSPLTLSAILDSSYCSRLRPSRLFSQYNTTSRRLVHERSEVNEVKLYGMVQIN >LPERR06G06960.2 pep chromosome:Lperr_V1.4:6:5026724:5030634:-1 gene:LPERR06G06960 transcript:LPERR06G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESAGSGGKQAPAELLVLPFPGDGKGTRRRMLRAATEPAAAGDRVTVPEVYARRLTAAHASSPLTLSAILDSSYCSRLRPSRLFSQYNTTSRRLVHERSEVNEVKLYGMVQIN >LPERR06G06970.1 pep chromosome:Lperr_V1.4:6:5034476:5043038:1 gene:LPERR06G06970 transcript:LPERR06G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMSAAGDEAAKVPEASNALLALSPGPLGRNPSFGPDLGFPAAQMQELDEMKRRLKEMEEEANALRDMQAKVAKEMQGLDPNATSSESKEEMDARSVYVGNLKCLGGAKED >LPERR06G06980.1 pep chromosome:Lperr_V1.4:6:5040536:5042548:-1 gene:LPERR06G06980 transcript:LPERR06G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLCLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLIARSGGSFHRISVSGLPGDSLFTFIGDQAKINILIRLLGESPGLKQGSDYRKYCICFARARSLKTLELPRSEINDSLVENVAPRLSNVTFLDISSCTKIGPRALEAFGRNCKSLVGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKYLQERYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDDQGLEGLEVRFYGGGFNESHAGFDWPPSP >LPERR06G06990.1 pep chromosome:Lperr_V1.4:6:5047215:5051089:-1 gene:LPERR06G06990 transcript:LPERR06G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPESNPPPTTTSCPPPGSAAHAGHAPLCRSCGAPTTTPAPPPWSSSSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPCDFQVPVKRIASPDDLARFHASLHGRHFLGFVAALSASVHGRKLSDPLPSPPSPAVSALLDLISSLSAFVASTPPFPHNSRYGNPAFRLWHEKLSDSANQLIAPITATAGSPDLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFASYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >LPERR06G07000.1 pep chromosome:Lperr_V1.4:6:5055609:5056729:1 gene:LPERR06G07000 transcript:LPERR06G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHERGAVGRVGIEERGEQVLPTVRAVRVVAGAGRLIHEQVPGVARVRALAVHPRARSRGLQRCAALSLVEPVAERVGHRAGHHAGHVDHHPVHPHVAARRHKRRRLRPVRRLVERPRALPRALRRRVPHPRGGGEGEEEVGDDRFLRRREPAVPEDRHGDVAAQHGAIVVSQLVRRGLVQRHELPVRH >LPERR06G07010.1 pep chromosome:Lperr_V1.4:6:5066263:5070985:1 gene:LPERR06G07010 transcript:LPERR06G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYSNNVAAQLVAINRAFFAVANFERSYVQQNAKMASCIFAGQKSTLLWPVVVLLILQSMAANALSDRRQLQLMEDDPASDVLSYHGGAVLAGEIPVSIVWYGKFKPSQKDIVVDFVQSLTPTTTSTSTPSAAQWWRTLATSYLSNATTVGNGSGAKAVATRVVPSNQVSDEAYSLGKTLTLAQISQLAAGASPKRGAVVLVLTDADVVVEGFCSVRCGVHGSDAGAGYAYAWAGNAERQCPGQCARPFARPAYGPQDPPLGAPNGEVGVDGMVVTLASMVAGAVTNPFGDAYYQGDKDAALEACTACAGVYGSGSYPGYAGKVLVDKANGGSYNAVGGSGKRFLLPAIYIPATASCFTTVVAMVALVVLSLAGASMAARRQLVLLKSHVSDELSYHGGAVLHGDIPVTIVWYGRFKPAQKAIVVDFLLSLTPTSPAPTNAMPPSAAQWWSTIAKGYLSSNATAAATRVVLANQTTDEEYSLGKSLTLVEVFQLAAGIVPDRGDLVVVLTDADVVVEGFCSARCGVHGSDARAGYAYAWAGDAERQCPGQCAWPFATPAYGPKDPALVPPNGDVGMDGMVATLAGVLAGAVTNPFGDGYYLGDRDAALEACSACAGAYGSGSYPGYAGKVLVDETTGGSYNAVGANGRKYLLPAVYDPVTSRCTTLV >LPERR06G07030.1 pep chromosome:Lperr_V1.4:6:5073702:5078497:1 gene:LPERR06G07030 transcript:LPERR06G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESQDSASIAAILAIRKEIVYYLRSNNHLNTGAGQSKGATRISLRGICSLRNPSIRQVKLKVTMKIQPKRKLIRTGIRLQRQKDREEMVTQAVRKMQMEENSNNVQGEGTPPSNESLLPSTTHKVDVRTQARQWEERATSTQGNIQRETPENPFSSGQKGGDNGKASKEKVLRSQQNRVGEHTREDQAPKESQNTGSKLPRQEKLGTGTREKVKMGQKEPSILGKRPAVASSPQPKKLSSRRACKVFD >LPERR06G07030.2 pep chromosome:Lperr_V1.4:6:5078500:5078752:1 gene:LPERR06G07030 transcript:LPERR06G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRMPVILESDCHTIIDRLKSCREDRSRWAFLVQDIKSAIALLQERLRNGLSTARFGALMLRPVFSDF >LPERR06G07040.1 pep chromosome:Lperr_V1.4:6:5080075:5081532:1 gene:LPERR06G07040 transcript:LPERR06G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTARRPHALLIPYPCSGHINPTLHFARLLHAAGFVVTFVNTEHSHALMLARGHGHGGDGFRYEAIPDGLSPSERGAQDYGFGLLRAHCPGHLRELIARINSGRGADDSPPPVTCVVASELMSFALDVAKELGVAAYMLWGTSACGLACGLAVRELRRRGYVPLKGVTSSPWRMDY >LPERR06G07050.1 pep chromosome:Lperr_V1.4:6:5086852:5088832:1 gene:LPERR06G07050 transcript:LPERR06G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRRPHAMMFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHERLLRRRGGGGALRGRREGFRFESVPDGLRDDERHAPDSTVRLYLSLRRTCAAPLAELARRASPPVTCVVLSGLVSFALDVADELAVPSFVLWGTSACGFACTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGVPTVRLGDISSFVRTLDPTSFALRVEEDEANSCARADGLILNTFDSLESDVLDALRAEFPRVYTIGPLAAAAAAATHIDRAPTTNGLSLWEEDAACVAWLDAHPAGSVLYVSFGSLTVMSPDALAELAWGLADSRRPFLWVIRPGLVAGAGDNHHALPEKFIEDTKDRCFIAEWCAQEQVLRHGAVGGFLTHSGWNSTAESIWAGVAMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVTAHVEELMGGGQRGEEMRRSAARWKAAAEAATAKGGSSYGSLDKLVEQLRRLE >LPERR06G07060.1 pep chromosome:Lperr_V1.4:6:5089770:5093756:-1 gene:LPERR06G07060 transcript:LPERR06G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPSSTSGTSPGAATAAAAGARPTTTTTTPYRSRFGDTTLTKVFVGGLAWETPSEGLRRHFEQYGEILEAVVIADRVTGRSKGYGFVTFREPEAARRAVQEPNPMIAGRRANCNIASLGPPRPAQPPRGRASPGPGALFQAPPPALQGPPYIARGTPPPAQMMPQHGPAIYHPSQSWYWYPPDYQYQQALMNPQVLQNYYAAMQAQAQAQAAQLYGLASPTAQSPYHQYVGYMPAQAPTPSAVLPPAQQVTGPPFIQQPAQHIAGSPFVQHPSPAAAQFQGSFLPMPSLPQNFRLQLPPNAMSILSPTATALQPADLQAASSSTGATNSNNTPGA >LPERR06G07070.1 pep chromosome:Lperr_V1.4:6:5098910:5100723:1 gene:LPERR06G07070 transcript:LPERR06G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRYHGPRTVKSVAAFYSDVSGIDPSMDSTIEEKQCSDKNELKMDSEQENCPFWLARTPQNILKQDTYLALAASFVILRPVYPSKQGNLHDGPRNATAWASKSLASVSIGEPSTS >LPERR06G07080.1 pep chromosome:Lperr_V1.4:6:5104600:5104908:-1 gene:LPERR06G07080 transcript:LPERR06G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSEFREKPLIPLYGGGVSRVRVLRTEGGLCTRGYILGVKADFRGRWLCGLCSEAVRDEAAKLGRKRGSHVILRQCCKCRKNPAFRVADGMRQMLLRRRS >LPERR06G07090.1 pep chromosome:Lperr_V1.4:6:5110805:5111065:1 gene:LPERR06G07090 transcript:LPERR06G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGMETVARPIPAGGEDGDSAAAVATVFLLGTEEDRRPVVDPMIWGDERRMKRELMVWAKTVASMAAANAGSSPQTTPPMSPSMR >LPERR06G07100.1 pep chromosome:Lperr_V1.4:6:5125053:5125316:1 gene:LPERR06G07100 transcript:LPERR06G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQETAARPFPAGGEDGDSEAAVATVFALGTDEDRRRPVVDPMIWGDERRMKRELMVWAKAVASMAAANAGSSPPTTPPMSPSMR >LPERR06G07110.1 pep chromosome:Lperr_V1.4:6:5131639:5131908:-1 gene:LPERR06G07110 transcript:LPERR06G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREDCTRGYIVGVKADFGGLCSEVVRDEAAKLGRKRGGRVILLEAMRDHMSFCGKCRKNPAFRVADGMRQMLLRRRS >LPERR06G07120.1 pep chromosome:Lperr_V1.4:6:5135169:5135432:1 gene:LPERR06G07120 transcript:LPERR06G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAETAARPFPAGGEDGDSAAAVATVFVLGTDEDRRRPLVDPMIWGDERRMKRELMVWAKAVASMAAANAGSSPLTTPPMSPSMR >LPERR06G07130.1 pep chromosome:Lperr_V1.4:6:5139618:5139863:1 gene:LPERR06G07130 transcript:LPERR06G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVETAARPFLAGGEDAATTAFVLGTEEDRRPVVDPMIWGDERRMKRELMAWAKTVASMAAANADSWPPTTPPMSPSMR >LPERR06G07140.1 pep chromosome:Lperr_V1.4:6:5145997:5148483:-1 gene:LPERR06G07140 transcript:LPERR06G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEINTKLKKTVMPAWFHSQSKSPKIFADVCYRSNANHVTVRDVQGSRGGWLLSNDQQQVRNPAREVLAALIPSWASI >LPERR06G07150.1 pep chromosome:Lperr_V1.4:6:5148570:5149652:1 gene:LPERR06G07150 transcript:LPERR06G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHGSWRVLPELAGLNRCGKSCRLRWTNYLKPDIKRGNFSREEEQTILHLHSILGNKWSTIAKHLPGRTDNEIKNFWNSHLRKRLIKLGIDPMTHRPRTDFFQSLPQLIALANLRHIIEQRPWDGHIEGLQTVAVQAAKLKYMKSLLHSAVSTEASPTNSSSNLNTITTELEQKKLLSPPIPQVPSSSVPESAGKVSHNQMPSTLPDQQIGRTNLFSNNIMNGDEWCSTEAENGSQKSMLVPENLLPPLTDMPVPNFCNTISIPNCDSSNSIPPLPS >LPERR06G07160.1 pep chromosome:Lperr_V1.4:6:5148864:5151606:-1 gene:LPERR06G07160 transcript:LPERR06G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVGREQEMEWTRAQGETGKSGARRNRRCLDPNAESQAQAPALDSIQAPKCLNCHSLQALNVAIPRSLLDDMSEVEDGLLFLA >LPERR06G07170.1 pep chromosome:Lperr_V1.4:6:5153205:5156807:-1 gene:LPERR06G07170 transcript:LPERR06G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERETQRHPSHLPEATEVQENIFDDDDGDSVENSEITNGPDTSSFRAFLMSFMSASNSSNDSMEIIPEQNMNVEYPTLTPVGKGSNGRKGLLSRGKHSIGKIINKAGRIGGFRQKPSYSIDDETVQAESGTPGLELKGSKESSSHDRLPAMSEPSMLLSETMRTALYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRNGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNLTGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSQEFEVKYVELWGFVNASKYDEMLTICRTEKQGIWNL >LPERR06G07170.2 pep chromosome:Lperr_V1.4:6:5153205:5156807:-1 gene:LPERR06G07170 transcript:LPERR06G07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERETQRHPSHLPEATEVQENIFDDDDGDSVENSEITNGPDTSSFRAFLMSFMSASNSSNDSMEIIPEQNMNVEYPTLTPVGKGSNGRKGLLSRGKHSIGKIINKAGRIGGFRQKPSYSIDDETVQAESGTPGLELKGSKESSSHDRLPAMSEPSMLLSETMRTALYTSLPVLVQGRNWMLYLFSQPCSTWRHGISLSTLYRRSMLCAGYSLLIVGDRNGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNLTGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSQEFEVKYVELWGFVNASKYDEMLTICRTEKQGIWNL >LPERR06G07180.1 pep chromosome:Lperr_V1.4:6:5158500:5161772:1 gene:LPERR06G07180 transcript:LPERR06G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPSAVPSVADDCEQLRKAFQGWGTNEELIISILAHRDAAQRRAIRRAYAETYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGNRVLVEIACARGSAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLVSAYRYEGPEVNTRLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLLATFNRYNDEYGHPINKDLKADPKDEFLATLRAVIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQE >LPERR06G07190.1 pep chromosome:Lperr_V1.4:6:5161789:5162352:-1 gene:LPERR06G07190 transcript:LPERR06G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPNPKIIFLLLTLAVGVHGRMSTETTGDINNAGAGGSNVDYNCVYTVFVRTGTAWKGGTDSAISVEFAGADGRGVRIADLERWGGLMGAGHDYYERGNLDVFSGLGPCLSGGAPCWMNLTSGGAGAHHGWYCNYVEVTATGPHRGCAQRRFDVEQWLATDASPYQLTAVRDQCRGAVGARAAV >LPERR06G07200.1 pep chromosome:Lperr_V1.4:6:5173757:5175984:-1 gene:LPERR06G07200 transcript:LPERR06G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVMPDIIATPAAMPGRAPPFACRGAAAAAVSVSSMRVVVSAAATAAAHVPTIRPCASWVVEAMRASSPTRTPAAATADGKKKQQHPSALGGFEQIAAAASGKHVVVFLDYDGTLSPIVSNPDMAFMSDEMRAAVRGVAEHFPAAIVTGRRVDKVQSFVGISELYYAGSHGMDIKGPSCSNEEEDAKILLQPAREFLPVINEAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWIPLAEQVKAVLRDYPQLKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLRKRGQGIGIIVSKYPKETDASYSLQDTAEVMEFLVRLVQWKRRR >LPERR06G07200.2 pep chromosome:Lperr_V1.4:6:5173757:5175984:-1 gene:LPERR06G07200 transcript:LPERR06G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVMPDIIATPAAMPGRAPPFACRGAAAAAVSVSSMRVVVSAAATAAAHVPTIRPCASWVVEAMRASSPTRTPAAATADGKKKQQHPSALGGFEQIAAAASGKHVVVFLDYDGTLSPIVSNPDMAFMSDEMRAAVRGVAEHFPAAIVTGRRVDKVQSFVGISELYYAGSHGMDIKGPSCSNAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWIPLAEQVKAVLRDYPQLKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLRKRGQGIGIIVSKYPKETDASYSLQDTAEVMEFLVRLVQWKRRR >LPERR06G07210.1 pep chromosome:Lperr_V1.4:6:5196194:5197234:-1 gene:LPERR06G07210 transcript:LPERR06G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDLYNYQLSSSSSSSSSDQELMKALEPFIRSASPTSTPTFTPFSTSTSTPFSYSSPLPNLPQDSYYFPSLPLPPPPPPTTTSFSELPPLPPTSSSFISPSPAAAGLTHLAPDQIEQIQAQFFMQQQQQRAMASSSVASYLAPRAQPMKASAAAAGSSAGGGGKMYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDAAEEAALAYDKAAFRLRGDAAHLNFPSLRRGGAHLAGPLHASIDAKLTAICHSLATAGAAPPSKKAAAAAAAAHPDSPKGSASTTTTTSECDESAISAAFSPPPPPAPPLPEMANLDFTEAPWDESDVFNLYKCPSREIDIDWDSILS >LPERR06G07220.1 pep chromosome:Lperr_V1.4:6:5212996:5214797:-1 gene:LPERR06G07220 transcript:LPERR06G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATYMALAVLLHLATALFLAAVRLFGQQRRKAGSPSPPAALPLIGHFHLLKKPYHVTLASLGASAPLVTLRLGARRTLLVSTHAAAEECFTAHDVALAGRPQLLAGKHIGYEHTTLVWLHYGDRWRDLRRVLVVELFSASRLAALAAGRRAEAVSLVTNVLRDATDTNTNTITFRSRFFEMVFNVLMRSLTPHRRHGGAGDDVARFEHIVEETFEVSGTLGLSDFFPGLWWVDRLRGVEAKTAKLAAAHDAFITDIINGHKRMRDAGVGDGAGKRSIIDVLLRLQESDPKYYTDTVIKGIVLIILAAGTDTTTVTTEWVMAAMLKYPEVFRKVRAELDAVVGTGRLVDESDITNLPYLQCVVKETLRLYPPAPIVPAHEAMEDCTIGGVRVERGTMIVPNLYAIHRDANVWDAPEEFRPERFLGKAVMPVLPFGIGRRRCPGEAMATRIVFMAAAALVQCFDWDAGEIDMVGGDGLTVPMATPLAAACRPREFVHGVLSAASINEME >LPERR06G07230.1 pep chromosome:Lperr_V1.4:6:5222670:5225024:-1 gene:LPERR06G07230 transcript:LPERR06G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPSSWLLLLLVAALLAFEDWLATPTCSGGSTVPASGDLRVMMVSDLMLLGSDASYADRFFRNHFMSKLFAKSIQTLKPDIIVVLGDISAEGFKLTESKWIDVLDQFEGILGQYSVLPLHVSLGDKDVGGCASLDNKFVHRVTKHLPELDSGGCSAFEISNVSFVSLNAVALLCGNNPLRFSVEKVIERENHHFQQKMVNEDGHFSLGSVQREGFNWRQNNMESGSGPVVLLHFPLYKSHEPDKFDGEDIGVPNFSEGTASESPVYSSLRERGANSYDQLHAVPANSTQYILQALKPRIVFSAHDGTFSDYTHDDGTREVAVPAMTQKITGVPGFVIATFGSKGLVTVRHCLIVPEWYG >LPERR06G07240.1 pep chromosome:Lperr_V1.4:6:5232221:5234898:-1 gene:LPERR06G07240 transcript:LPERR06G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPISMIILAVEHQITGDCTHKKQWACTMSSNMFSKKGRESNGMDISGGVCRSSSDQNHSKPRVRLKSASLNCVDSPPRQKNNACQYRMFVGTWNVGGKTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPQQPTDGDGGDPSPPPPPPSSSSSTPSESSSSSSNSGARPRRRRGDRDASAASSRSSSSGNLLFHTPSLKVLSNSYRVDSALVKTCNCSSSSSSPRRRAADARDSVYRSNAATDTPPIDDDDAASGGGGGKGMSYCLIASKQMVGLFMSVWVRKEVVEHVGHLRVDCVGRGIMGWLGNKGCIAMSMTLRRTSLCFVCSHLASGEKEGDELRRNADVAEIVKSAHFPRSCRPAASAGRRRVPERILDHDRMIWLGDLNYRVALSYEETRTLLEDNDWDALLEKDQLMIEREAGRVFRGWKEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGMFAVEVDADDGDGGGGGKIRTGYYSLNARIGGDKPALPQCHQGDES >LPERR06G07250.1 pep chromosome:Lperr_V1.4:6:5237050:5237913:1 gene:LPERR06G07250 transcript:LPERR06G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLRDMEAGVLRPAAPPSQEVAQGVFQLNTKVAALRYMADALSTPRDNPSLRGRLRGTRASITRLARNTSQALRQAATAAADDADESSASTFSKLTMDFESAMNEYHKVEKCIAAVERKETAARRTPKSSSSSSSHRSNNGDNNNQTCSDSDQKQMQMVMIPPTQQEIMRLENEIEYNEAIIAEREQGILEVQKEIADIHEIFKDLAVLVHDQGECIEIVTSNIEKTAAATSQAEVQLSKAAGIREEEKEKLLNGAEEKSSNNCLMLAVLGLLLFIVGLVFIS >LPERR06G07260.1 pep chromosome:Lperr_V1.4:6:5240079:5242035:-1 gene:LPERR06G07260 transcript:LPERR06G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSHRAIVYTQSHCWSNNNSKVDKRTDNVNMVSVGDNYASRRKFGLICASRLEQSSAMAPVQLPSNHNNGHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIFTSSLIRAQMTAMLAMMQHRRKKVVPIIVHNESEQAHMWSQMYSEETKNQSIPVITAWQLNERMYGELQGLNKQETADRFGNEQVHEWRRSYDIPPPNGESLEMCAERAVSYFKDQVVPQLTAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTKKLAQYRQKLDSMVL >LPERR06G07270.1 pep chromosome:Lperr_V1.4:6:5242989:5250128:1 gene:LPERR06G07270 transcript:LPERR06G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENSTNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVITRYINLPESDRGGIIHNREYLVKMLTQLKCESDATEELTNTSSKAPVNSNIEELQQEIRTYQQQVQLAEEQLRMFEPDSASLASMENVEGCEKFISDMLRRVEERKRYLLCSHMGSFDVTASTSAMQHLYMPPQQQHGDIAGAVFGSDEVASWVSDGMAPGTSSVASMFAGPSDSIMPFRDQAMYDSMHREADVEAVGPGVQMCHVDQQGQGDDWNQYTPAELFSAFIPSTPFPLDEDVISIAPVLTSPPPMMTTIHDLPPWVRQRRRAARKHRRSTTTVACAHLTISCSGRDIDSTGPDVTAADDDHHPPSAAVGETAAAGCSQAPPVDDDGGLCAPDDLLLR >LPERR06G07270.2 pep chromosome:Lperr_V1.4:6:5242989:5250192:1 gene:LPERR06G07270 transcript:LPERR06G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENSTNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVITRYINLPESDRGGIIHNREYLVKMLTQLKCESDATEELTNTSSKAPVNSNIEELQQEIRTYQQQVQLAEEQLRMFEPDSASLASMENVEGCEKFISDMLRRVEERKRYLLCSHMGSFDVTASTSAMQHLYMPPQQQHGDIAGAVFGSDEVASWVSDGMAPGTSSVASMFAGPSDSIMPFRDQAMYDSMHREADVEAVGPGVQMCHVDQQGQGDDWNQYTPAELFSAFIPSTPFPLDEDVISIAPVLTSPPPMMTTIHHRRRWVRQRRRAARKHRRSTTTIMVCIT >LPERR06G07280.1 pep chromosome:Lperr_V1.4:6:5260938:5262162:-1 gene:LPERR06G07280 transcript:LPERR06G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRTNSSLRRTTSMTGFAASEEEQQQGRQPPARSARGGGGGISAAPSPGWGSMQRRHSGDFSVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELKEKKCFQQENGNGGSDNSGNSGAVAAA >LPERR06G07290.1 pep chromosome:Lperr_V1.4:6:5265719:5276875:-1 gene:LPERR06G07290 transcript:LPERR06G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLERHTDKVQESEPPTPVSVMRMIKNRANVEDEETLSSVAQCIEQLRQNSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLKSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQGLQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDSQSDKILMTASSCLAAIFLSIKQNKDVSAIGRDALAPLVSLANSAVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITNVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSETPGCILSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNINETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRVGATSRKCIPILVDLLKPIPERPGAPFLTLGLLTQLAVDCPTNMLLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDILCRILSSDSSAELKGDAAELCCVLFANTRIRSTAAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVGLLFGKNFTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVRPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYSLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLILEEHLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAADVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWATVISGALITGVMCMKNRSNLNHGHGDKIEVNICVDMGCDPAALSTVQMDMGQNRKRGRLIWIQPQKTLKKAYSTVKMASGLTPQFGGSHV >LPERR06G07290.2 pep chromosome:Lperr_V1.4:6:5265997:5276875:-1 gene:LPERR06G07290 transcript:LPERR06G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLERHTDKVQESEPPTPVSVMRMIKNRANVEDEETLSSVAQCIEQLRQNSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLKSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQGLQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDSQSDKILMTASSCLAAIFLSIKQNKDVSAIGRDALAPLVSLANSAVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITNVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSETPGCILSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNINETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRVGATSRKCIPILVDLLKPIPERPGAPFLTLGLLTQLAVDCPTNMLLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDILCRILSSDSSAELKGDAAELCCVLFANTRIRSTAAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVGLLFGKNFTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVRPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYSLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLILEEHLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAADVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWATVISGALITGVMCMKNRSNLNHGHGDKIEVNICVDMGCDPAEHR >LPERR06G07290.3 pep chromosome:Lperr_V1.4:6:5266115:5276875:-1 gene:LPERR06G07290 transcript:LPERR06G07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLERHTDKVQESEPPTPVSVMRMIKNRANVEDEETLSSVAQCIEQLRQNSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLKSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQGLQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDSQSDKILMTASSCLAAIFLSIKQNKDVSAIGRDALAPLVSLANSAVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITNVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSETPGCILSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNINETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRVGATSRKCIPILVDLLKPIPERPGAPFLTLGLLTQLAVDCPTNMLLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDILCRILSSDSSAELKGDAAELCCVLFANTRIRSTAAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVGLLFGKNFTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVRPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYSLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLILEEHLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAADVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWATVISGALITGVMCMKNRSNLNHGHGDKIEVNICVDMGCDPAGCASGIKQ >LPERR06G07290.4 pep chromosome:Lperr_V1.4:6:5265997:5276875:-1 gene:LPERR06G07290 transcript:LPERR06G07290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLERHTDKVQESEPPTPVSVMRMIKNRANVEDEETLSSVAQCIEQLRQNSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLKSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQGLQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDSQSDKILMTASSCLAAIFLSIKQNKDVSAIGRDALAPLVSLANSAVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITNVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSETPGCILSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNINETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRVGATSRKCIPILVDLLKPIPERPGAPFLTLGLLTQLAVDCPTNMLLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDILCRILSSDSSAELKGDAAELCCVLFANTRIRSTAAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVGLLFGKNFTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVRPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYSLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLILEEHLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAADVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPEKHR >LPERR06G07290.5 pep chromosome:Lperr_V1.4:6:5266115:5276875:-1 gene:LPERR06G07290 transcript:LPERR06G07290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLERHTDKVQESEPPTPVSVMRMIKNRANVEDEETLSSVAQCIEQLRQNSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLKSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQGLQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDSQSDKILMTASSCLAAIFLSIKQNKDVSAIGRDALAPLVSLANSAVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITNVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSETPGCILSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNINETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRVGATSRKCIPILVDLLKPIPERPGAPFLTLGLLTQLAVDCPTNMLLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDILCRILSSDSSAELKGDAAELCCVLFANTRIRSTAAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVGLLFGKNFTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVRPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYSLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLILEEHLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAADVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQCNLNHGHGDKIEVNICVDMGCDPAGCASGIKQ >LPERR06G07300.1 pep chromosome:Lperr_V1.4:6:5286153:5286938:-1 gene:LPERR06G07300 transcript:LPERR06G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAAPLSDSRRLVGLQTLIAPNAVLARTGDGDRRYVATRSLKASPRAVGFPRGGADGDGEEDQMEESEGGCWVMYGWRRRPRRLPPMIPSLRRHGSAPWALARARTSDGRVVVSTEPAPPRERVVATKAGGRLVLDLVERHANSPPPPPPPPRRLRSRLSISPQAAVSPASAAATGDGVIDAEEEAGAATAAAEGATGFLVFPAAMLSAAGSGSGSPPLHPALALPPPPLVCSEGYYDDVIRASSSLPKMPLILPRMVH >LPERR06G07310.1 pep chromosome:Lperr_V1.4:6:5294654:5297387:1 gene:LPERR06G07310 transcript:LPERR06G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFCTHTRHGYSCHRLPSRTPSNINTQFAEGESAKTPTNPTLPCPSLPRALAAFFAWPPQLRILERKNQSNPHPVLAPPPRGFLAAFLAQFLLFLLQLVGILVEKEEEQRVGGVCVGGCAGEMAAGGTEAIEVRFRLPVGTDIEPSRHDPSTTVAALKEFVLARYPQDKGIVPRTINDVTLINAGRVLENNKTLAESRVPVGEVPGGLITMHVVVRSHQADKNGIIFSHLIVKVIFQIRVLIPGCLK >LPERR06G07320.1 pep chromosome:Lperr_V1.4:6:5298622:5304115:-1 gene:LPERR06G07320 transcript:LPERR06G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDKDEKNVAVTDECTNPEEKDQDEDLPGRTEMLNVKEAINSSSENSEAHSHLKDGSEKEFNEKMNTEGSSDSMEPTDSSQIEEEILAEDKSEEPIFDGTEVPEMEDLRRSSNQSVDLDSEAHGSVINERATAIKNFVKEKGATVSTFIRRLSGKKDENDVSVEDDKNEGSESINSGNTGSDAEPKSKEGQHKSEERATWNPLNLIKIGRDFDPFMTGLSGHENVPDLIEQPTGKGRIVLYTKLGCEDCKMVRLFMRQKMLKYVEINIDIFPSRKIELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELAAIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKHFFHHVLGENVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIIEVASRLRKLSHAMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVEIHELSREEKLAFFINIYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLAKPFGLKDQRSKVALAYAEPLVHFALVCGTKSGPALKCYSPGNIDKELVEAARGFLRNGGILVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >LPERR06G07320.2 pep chromosome:Lperr_V1.4:6:5298622:5304115:-1 gene:LPERR06G07320 transcript:LPERR06G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDKDEKNVAVTDECTNPEEKDQDEDLPGRTEMLNVKEAINSSSENSGNDSEAHCYLKDDSEAHSHLKDGSEKEFNEKMNTEGSSDSMEPTDSSQIEEEILAEDKSEEPIFDGTEVPEMEDLRRSSNQSVDLDSEAHGSVINERATAIKNFVKEKGATVSTFIRRLSGKKDENDVSVEDDKNEGSESINSGNTGSDAEPKSKEGQHKSEERATWNPLNLIKIGRDFDPFMTGLSGHENVPDLIEQPTGKGRIVLYTKLGCEDCKMVRLFMRQKMLKYVEINIDIFPSRKIELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELAAIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKHFFHHVLGENVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIIEVASRLRKLSHAMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVEIHELSREEKLAFFINIYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLAKPFGLKDQRSKVALAYAEPLVHFALVCGTKSGPALKCYSPGNIDKELVEAARGFLRNGGILVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >LPERR06G07320.3 pep chromosome:Lperr_V1.4:6:5298620:5304115:-1 gene:LPERR06G07320 transcript:LPERR06G07320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDKDEKNVAVTDECTNPEEKDQDEDLPGRTEMLNVKEAINSSSENSGNDSEAHCYLKDDSEAHSHLKDGSEKEFNEKMNTEGSSDSMEPTDSSQIEEEILAEDKSEEPIFDGTEVPEMEDLRRSSNQSVDLDSEAHGSVINERATAIKNFVKEKGATVSTFIRRLSGKKDENDVSVEDDKNEGSESINSGNTGSDAEPKSKEGQHKSEERATWNPLNLIKIGRDFDPFMTGLSGHENVPDLIEQPTGKGRIVLYTKLGCEDCKMVRLFMRQKMLKYVEINIDIFPSRKIELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELAAIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKHFFHHVLGENVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIIEVASRLRKLSHAMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVEIHELSREEKLAFFINIYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLAKPFGLKDQRSKVALAYAEPLVHFALVCGTKSGPALKCYSPGNIDKELVEAARGFLRNGGILVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >LPERR06G07330.1 pep chromosome:Lperr_V1.4:6:5304140:5305795:-1 gene:LPERR06G07330 transcript:LPERR06G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRSNPTPLPGRLAHHQIALQHPQTHVSIEAGGPHFSPLSHPLASFSSISLARCSRFLHKNHPTHSTLRRRLRSSPQSNRNQNQNQNPHPLLLEAERKHCVVDSSSPLALAAASSLPPGCELKVVFVE >LPERR06G07340.1 pep chromosome:Lperr_V1.4:6:5308827:5309966:-1 gene:LPERR06G07340 transcript:LPERR06G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQKHLLSLLRSGGCASPLPSPHRLLYSTASTSTSTAFSVDDYLVTTCGLTRAQAPRASRLLFRLKSPSNPDAVLAFFSGLGLSRSDIAGIIAADPLLLCSKVDGTLAPRVAALRGIGLSDSDVVRFLLVAASMLRCCDVAVSLKFWISLFRSFDELLPTISKCNGILRTNLDSVLKPNIAYLEQCGLDATDVAKLHFNGYWILSSNPEKLKELVLRADKLGVPRHSGQFKYALATVTSVSQEKIDLRLETLQRALGCTEEQLRVAVVKHPTLLKASADRLRAAAEFLTTEVGLEAEYIVHRPALLCYSLNGRLTPRYLVMKALREKGIQVDYYSIVGMTEKQFRSTYIDRYKENFPALADVYAAACSGEMPSHLQP >LPERR06G07350.1 pep chromosome:Lperr_V1.4:6:5312975:5314162:-1 gene:LPERR06G07350 transcript:LPERR06G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRSVLSRLLHPTCPTPGPHANPLLSLHRLLSSAAAAPVSPKPFAVEDYLVESCGLTRARAAKISGDLSHLKSPSNPDAVLAFLSGLGLSGADIAAVVVNDPRFICARVDKTLDARVAELSSIGMSRSQIARLIPVVRSHFRCKSLGSKLAFFLTVFGSFDRCLEVFRLNYGIVSSNLEKVIKPNLVFLQKCGIALTDLPSYAFMSRVICRPTKRLEEALVRANEFGVRQGTRMFVHAVLTFAILSEEKLAKKLGLFKKLGWSQEDLTVAVRSMPPILTIDEDRLKKNMKFLTKDVGLEIPYIARRPTLIMYSVERRLLPRHCLMNILKRNGLLRADYDFFSIAVFSNKKFLDKFVHRYEESIHGLGDAYISSCSGCGVQQLKLLSKDKMTH >LPERR06G07360.1 pep chromosome:Lperr_V1.4:6:5334557:5341115:-1 gene:LPERR06G07360 transcript:LPERR06G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKLPNSFHRMFTGRRIWATGYRNGGKESVVATRHQANLRRHGGDDMIHLRRCVLSHLLHPHCPAPANPLLSLHRLLSSAAFPISPKSFVVEDYLVESCGLTRAQAAKVSGNVSHLKSPSKPDAVLAFLSGLGLSRSDIALAVASDPRLLCAKVDKTLDTRVSELSELGMSYSQIARLIPLARSNFRYKSLGPKMAFLLTVFGSFDSCLEVLKMNSSVLSINIEQHLEQAVVLANKFGLKQGTRMFSMAVVIFAILSQEKLTKRLQLFKKLGWSQDDLSLAVKNMPNILGMKEETLCRNMKFLMKDVGLEIPYIARRPALLLYSFERRLLPRHCLINVLKEHGLVKTSYDYFNIAMISNDKFMDKFVHPYVESVPGLGDAYASGCAGYGVHQLVLLSKDKRMS >LPERR06G07360.2 pep chromosome:Lperr_V1.4:6:5334559:5341115:-1 gene:LPERR06G07360 transcript:LPERR06G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKLPNSFHRMPIRRTTLHMKTSIGRMSVPLRSTLPLPVPVRPRQWHSSSSGAADRMSILFPMTRNGTPARASSDRRPSSSFLDSANRYLSAASTINGGKESVVATRHQANLRRHGGDDMIHLRRCVLSHLLHPHCPAPANPLLSLHRLLSSAAFPISPKSFVVEDYLVESCGLTRAQAAKVSGNVSHLKSPSKPDAVLAFLSGLGLSRSDIALAVASDPRLLCAKVDKTLDTRVSELSELGMSYSQIARLIPLARSNFRYKSLGPKMAFLLTVFGSFDSCLEVLKMNSSVLSINIEQVIKPNLVVLQQCEITITNLPFYAFMSRVMCRPTKHLEQAVVLANKFGLKQGTRMFSMAVVIFAILSQEKLTKRLQLFKKLGWSQDDLSLAVKNMPNILGMKEETLCRNMKFLMKDVGLEIPYIARRPALLLYSFERRLLPRHCLINVLKEHGLVKTSYDYFNIAMISNDKFMDKFVHPYVESVPGLGDAYASGCAGYGVHQLVLLSKDKRMS >LPERR06G07360.3 pep chromosome:Lperr_V1.4:6:5326759:5341115:-1 gene:LPERR06G07360 transcript:LPERR06G07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKLPNSFHRMFTGRRIWATGYRNGGKESVVATRHQANLRRHGGDDMIHLRRCVLSHLLHPHCPAPANPLLSLHRLLSSAAFPISPKSFVVEDYLVESCGLTRAQAAKVSGNVSHLKSPSKPDAVLAFLSGLGLSRSDIALAVASDPRLLCAKVDKTLGANVADLSELGMSRSQVARLIPLARCYFRYKSIGPKMAFLLTVFGSFDSCLEVLKMNTRVLSYNIEQVIKPNLVVLQQCEITITNLPYYAFMSRVIGRPTKHLEQAVVLANKFELKQGTSMFSMAVVTFAIISQEKLAKTLQLLKKLGWSQDDLSLAVKYKPNILAMKEETLCRNMKFLTKDVGLEIPYIARRPALLFYSFECRLLPRHCLINVLKEHGLVKTSYDYFRIAMISNDKFMDKFVHPYVESVPGLGDAYASSCAGCGVHQLVLLSKDNRMS >LPERR06G07360.4 pep chromosome:Lperr_V1.4:6:5334559:5341115:-1 gene:LPERR06G07360 transcript:LPERR06G07360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKLPNSFHRMFTGRRIWATGYRNGGKESVVATRHQANLRRHGGDDMIHLRRCVLSHLLHPHCPAPANPLLSLHRLLSSAAFPISPKSFVVEDYLVESCGLTRAQAAKVSGNVSHLKSPSKPDAVLAFLSGLGLSRSDIALAVASDPRLLCAKVDKTLDTRVSELSELGMSYSQIARLIPLARSNFRYKSLGPKMAFLLTVFGSFDSCLEVLKMNSSVLSINIEQVIKPNLVVLQQCEITITNLPFYAFMSRVMCRPTKHLEQAVVLANKFGLKQGTRMFSMAVVIFAILSQEKLTKRLQLFKKLGWSQDDLSLAVKNMPNILGMKEETLCRNMKFLMKDVGLEIPYIARRPALLLYSFERRLLPRHCLINVLKEHGLVKTSYDYFNIAMISNDKFMDKFVHPYVESVPGLGDAYASGCAGYGVHQLVLLSKDKRMS >LPERR06G07360.5 pep chromosome:Lperr_V1.4:6:5334559:5342296:-1 gene:LPERR06G07360 transcript:LPERR06G07360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLQKHLLLLSIPPRAATSTFLSITRFSAAATATAAAGKPGARFAVEDYLVASCHLSPDQATKASKAISHLKSPSRPDAVVAFLAGLGLTDADIAAAVAYDPRLLCTEVDRTLAPRLAELTGLGLSPSQIARLVLLDPTRFRRPTVISKLQYYVPLFGSFDALLKTAKRNTYLLSSDLDKVVKPNVEILRECGLGACDIAKLCVPLPRLLTTSPERVRDMVALAEYIGVCRGSRMFRHAIFAVAFISKEKIDAKMRFLKKTFGWSDAEVRIAVSKLPIVLRNSEDRLRRASEFLISEVGLDPSYIAYRPAMLTYSLERRLKPRHSVVKYLKENGLLESDRSFYSTVQFTGRRIWATGYRNGGKESVVATRHQANLRRHGGDDMIHLRRCVLSHLLHPHCPAPANPLLSLHRLLSSAAFPISPKSFVVEDYLVESCGLTRAQAAKVSGNVSHLKSPSKPDAVLAFLSGLGLSRSDIALAVASDPRLLCAKVDKTLDTRVSELSELGMSYSQIARLIPLARSNFRYKSLGPKMAFLLTVFGSFDSCLEVLKMNSSVLSINIEQVIKPNLVVLQQCEITITNLPFYAFMSRVMCRPTKHLEQAVVLANKFGLKQGTRMFSMAVVIFAILSQEKLTKRLQLFKKLGWSQDDLSLAVKNMPNILGMKEETLCRNMKFLMKDVGLEIPYIARRPALLLYSFERRLLPRHCLINVLKEHGLVKTSYDYFNIAMISNDKFMDKFVHPYVESVPGLGDAYASGCAGYGVHQLVLLSKDKRMS >LPERR06G07360.6 pep chromosome:Lperr_V1.4:6:5326759:5334559:-1 gene:LPERR06G07360 transcript:LPERR06G07360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIPASWDNFKCPAPANPLLSLHRLLSSAAAAPVSPKPFAVEDYLVESCGLTRARAAKISGDLAHLKSPSKPNAVLAFLSGLGLSRSDIAVAVVSDPRLLCAKVDKTLGANVADLSELGMSRSQMNTRVLSYNIEQVIKPNLVVLQQCEITITNLPYYAFMSRVIGRPTKHLEQAVVLANKFELKQGTSMFSMAVVTFAIISQEKLAKTLQLLKKLGWSQDDLSLAVKYKPNILAMKEETLCRNMKFLTKDVGLEIPYIARRPALLFYSFECRLLPRHCLINVLKEHGLVKTSYDYFRIAMISNDKFMDKFVHPYVESVPGLGDAYASSCAGCGVHQLVLLSKDNRMS >LPERR06G07360.7 pep chromosome:Lperr_V1.4:6:5326759:5334559:-1 gene:LPERR06G07360 transcript:LPERR06G07360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIPASWDNFKCPAPANPLLSLHRLLSSAAAAPVSPKPFAVEDYLVESCGLTRARAAKISGDLAHLKSPSKPNAVLAFLSGLGLSRSDIAVAVVSDPRLLCAKVDKTLGANVADLSELGMSRSQVARLIPLARCYFRYKSIGPKMAFLLTVFGSFDSCLEVLKMNTRVLSYNIEQVIKPNLVVLQQCEITITNLPYYAFMSRVIGRPTKHLEQAVVLANKFELKQGTSMFSMAVVTFAIISQEKLAKTLQLLKKLGWSQDDLSLAVKYKPNILAMKEETLCRNMKFLTKDVGLEIPYIARRPALLFYSFECRLLPRHCLINVLKEHGLVKTSYDYFRIAMISNDKFMDKFVHPYVESVPGLGDAYASSCAGCGVHQLVLLSKDNRMS >LPERR06G07370.1 pep chromosome:Lperr_V1.4:6:5339297:5342329:1 gene:LPERR06G07370 transcript:LPERR06G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYDALALIGLWQKEAKILFLGLDNAGKTTLLHMLTQDVSANPADRKIACLHVMAPQPTQHPTSEELRIGRIKFKVFDLGGHQIARRVWRDYYAKVDAVVYMVDAADRYRFAESRKELDGLLSDDALAGVPFLVIGNKIDILSAAPEEELCHCLGLTGTGKGNVDLRGTDMRPIEVFMCSVVRRMGYGDGFRWMSQYIK >LPERR06G07380.1 pep chromosome:Lperr_V1.4:6:5343405:5351534:1 gene:LPERR06G07380 transcript:LPERR06G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRCLLRRQWVMLKFVLGNAVRLLHKNARYYSLLNKGVEKVAKQNLTFLKQCGINACGIARGSTMYSSRLLTMKPMYLREVVARVEEFGLDRSSRMFHHGLIAVGLISKETAARKIQLLEELGFSRDDLLVIIRKGPNVMALSEEKIRRTVEFLKTDVGLEERYIAQRPALLLYSFERRLLPRHYLIKVIRTKGLLNCEFDYYSLASMSEKDFLQRFVDPYKGRIPGLADAYASSCSGEAANGVASLLGL >LPERR06G07390.1 pep chromosome:Lperr_V1.4:6:5358712:5365007:1 gene:LPERR06G07390 transcript:LPERR06G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYSRKDIISMDTSPYNMEFLCRNCGLCGVSSSPSLRAMDGFLIQCVGSECEKSYATRLFTLYPEYLRDAAVRAEELGLDPNSRMFRRGLAVVASIKKETVASKIRLLEELGFSQDDTLSIIRKSPNLLALTEGKIRQAMELFKREIGLEERYIAQRPVLFLYGLERRLLPRYCLLNVLRAKGLMVKKSDYYNTASMAERKFVQRFVDPYKDLIPGLADAYASSCSGKAKNGFASLLAV >LPERR06G07400.1 pep chromosome:Lperr_V1.4:6:5365825:5370042:-1 gene:LPERR06G07400 transcript:LPERR06G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRRRRRILLLLLVILLCRVASVLPNSEVEALQAFMGGFEAGNPAFQSWDQSMLNPCTWFHVTCGQGNLVIRLDLGNQSLSGVLKPDIWKLQALQSLELYGNNITGKIPTELGRLANLQTLDLYLNKFTGGIPYELGNLSMLSNLDLSHNNLSGIIPTNGSFSRFTPISFTDNPNTFKNSSESNNSATTTSSGGRSSASSIATIAGGAAAGAAMLFAAPIVVFVWWWRRKRRDQFFDLLDEETPEVHLGQLRRFTLRELQVATDNFSPSNLLGRGGFGKVYKGRLLDGSLIAIKKLNEDRNGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVCPYMENKSLETRLRECSDSQPSLDWPTRRKIALGSARGLSYLHEGCNPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGQRGFDLVGLANEENARVHDWVKKLFEEDRLQTLIDPSLQEIYEDREQGVREEVRLLVQIALLCTQESAPNRPRMSTVVTMLEGDGIAEHWDSWQRKTMVQASLKVGQHDSEAQNDSVTNLPPDIMSGPR >LPERR06G07410.1 pep chromosome:Lperr_V1.4:6:5371344:5373651:-1 gene:LPERR06G07410 transcript:LPERR06G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALPRHAEAPAPPPATASLLLRRRGRLRVSLGYCSASATQPLAAASPSALALRSSVAATSSPRHAGPGRAPDGGLLAFTFAASAVAISACLVFVSAIRSMLESKRAVESLEKSLDSAREKLPETMASVRLVGREICDLTVDLSNLSQELKKGVQSSMSVVHTADAQLRQLTSASQGNRRAGSNRKRAAGEPLLASTVRELRELIAELQSGFGVAVSITGLLWWASNFASKHPRNRS >LPERR06G07420.1 pep chromosome:Lperr_V1.4:6:5374715:5377646:-1 gene:LPERR06G07420 transcript:LPERR06G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLAMQSRVAIGVGTGPSPRRGGGGVICVGKQAGAYAAVSVRARRAKPVAPLCCSKPSSRGQGSLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEEAVGMPSVAQIFKVHSEAFFRDNESSVLRELSSMRRLVVATGGGAVIRPANWKHMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIAAKQGHDDVSKLTPTDIAIEALHKIEDFVTSSRPVGDLIVDSQNRRTKAM >LPERR06G07430.1 pep chromosome:Lperr_V1.4:6:5383402:5394077:-1 gene:LPERR06G07430 transcript:LPERR06G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCFLLRPPLWGTTTPPPTGGTLVGGGPGGRALARRPPMSRFYCSKGGVGSAEAAAVGSGVGGGSGRSSEQEHARLGERDQQEWLSGERFVTGSKRRESPFLTKRERFRNEFMRRVVPPEKASLSWHNFPYYVDENARQLLSECVASHLRHKDVTLEYGSRLQSSGGRILLQSLPGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDVSEVEDEGDDDEEKSGESDDEDGAIKSVEDHKKLVPCTLEEFAKRVAGAKESSSTSESSGTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGANAYTSITCRTLSNGQRGEVYEISGDKAAVIFDPPEDKLSDDKKDEGKEQLAKPAVYWIDTQDIEHDHDTQAEDWHIAMEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEMFDQLTGPLVLICGQNIIEAAPKEKEPKTLVFHNLARLSPLTASLKRLVGGLKGQKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNSQIEEDKKIIISRHNLVEMHKVLEEHELSCDDLLHVKSEGIILTKQRAEKVLGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKEQEGSSRKTSENMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPNSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEENKGGVSETKTSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSISPFGFGS >LPERR06G07430.2 pep chromosome:Lperr_V1.4:6:5383400:5394077:-1 gene:LPERR06G07430 transcript:LPERR06G07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCFLLRPPLWGTTTPPPTGGTLVGGGPGGRALARRPPMSRFYCSKGGVGSAEAAAVGSGVGGGSGRSSEQEHARLGERDQQEWLSGERFVTGSKRRESPFLTKRERFRNEFMRRVVPPEKASLSWHNFPYYVDENARQLLSECVASHLRHKDVTLEYGSRLQSSGGRILLQSLPGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDVSEVEDEGDDDEEKSGESDDEDGAIKSVEDHKKLVPCTLEEFAKRVAGAKESSSTSESSGTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGANAYTSITCRTLSNGQRGEVYEISGDKAAVIFDPPEDKLSDDKKDEGKEQLAKPAVYWIDTQDIEHDHDTQAEDWHIAMEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEMFDQLTGPLVLICGQNIIEAAPKEKEPKTLVFHNLARLSPLTASLKRLVGGLKGQKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNSQIEEDKKIIISRHNLVEMHKVLEEHELSCDDLLHVKSEGIILTKQRAEKVLGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKEQEGSSRKTSENMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPNSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEENKGGVSETKTSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSISPFGFGS >LPERR06G07440.1 pep chromosome:Lperr_V1.4:6:5395805:5398262:-1 gene:LPERR06G07440 transcript:LPERR06G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSTAAAAAHHLESLLPRLFSLNHYKQFHARLLTSGHLGSHPGGGVLRVRFLDRLALSPHPDALPHALLLLRSLPVPDTNDLNAALRGLAASPHPARSLLLLAGRLLPPPSPPLPRLDALSLSFALKASARCGDAPTTVQIHALVVRLGVAADVRLLTTLVDSYAKCGDLVSARKVFDEMTVRDVATWNALLAGLAQGTEPSLALALFHRLADSFRELPSREEPNEVTIVAGLSACAQLGSLQDGLYVHDFAKKCGLDCNVRVCNSLIDMYSKCGSLARALDVFYSIKQEDRTLVSYNSAIQALSMHGHGGDALRLFDEMPASIDPDGVTYLAVLCGCNHAGLVDDGLRVFNSMRVPPNMKHYGTIVDLLGRASRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAASKLAELGSNVDGDYVLLSNVYASKARWMDVDRIRDAMRSNDVQLFHSINNQ >LPERR06G07450.1 pep chromosome:Lperr_V1.4:6:5406986:5408089:1 gene:LPERR06G07450 transcript:LPERR06G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHAWHDGVGVGVPQPEKMRCSSGMVVARRGSVAVRSSSSSSLGTLSLDRGSFAGVAAEGIVMVKGGGGCYNDGKRVAAPVAGQEKRNRRVPPRTPTKTPLRAPEEINVWELMAGLDDDYDEEEEEEEEMDCHGGEREVKSAPGSPAFDPKAVDELPPEPHAKKGTEIQMFPGVVRARIILFQKEIDAKLAKKAPPPPESARRVVVYLTSLRGIRQTYEDCCATSAILRGYGVRVDERDLSLHAGYKDELRAALGHGRPLPQVFVDGRHLGGADDVRRMHESGELTGALLDACDTAAPVVGKGSSEPCCCGGCGGVRFVPCDVCSGSCKVFVADDEDGGAGGGAFRRCPECNENGLVRCPVC >LPERR06G07460.1 pep chromosome:Lperr_V1.4:6:5422198:5423802:1 gene:LPERR06G07460 transcript:LPERR06G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSSVSEEEINELISKLQSLLPSSRRRGSNQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMAGMDHNSPGAEIIRSLLR >LPERR06G07470.1 pep chromosome:Lperr_V1.4:6:5425168:5434153:-1 gene:LPERR06G07470 transcript:LPERR06G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKLLANNFDVLAGYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKEKLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRASNSTRASNSKRSTKRSILEEVESEHISRAERESWDVYIVLLARQDRLLI >LPERR06G07470.2 pep chromosome:Lperr_V1.4:6:5425653:5434153:-1 gene:LPERR06G07470 transcript:LPERR06G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKLLANNFDVLAGYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKEKLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRASNSTRASNSKRSTKRSILEEVESEHISRAERESWGENPFYDKNYRY >LPERR06G07480.1 pep chromosome:Lperr_V1.4:6:5429411:5429674:1 gene:LPERR06G07480 transcript:LPERR06G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARLSTIPQLLQNLENTKLELEQMSGVLGEPLFINFVGPAVSIQDHIFLVQNEICRLENRKGALLEEQQSLTVMATHHSDRRRN >LPERR06G07490.1 pep chromosome:Lperr_V1.4:6:5441389:5442618:1 gene:LPERR06G07490 transcript:LPERR06G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDAGGGRRPNFPLQLLGKKEEQPCSTSQLSAGGANGSAAPGELQQARATTKAAAPAPAKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSFPSHLRLAGLAGGGHRFGVGGGGARSAADAWDRVVGLGFGGGEQAPSSATSSSSSPLLLSFHSGSVGLDVSPSPSPAASTSAAADLSRKRRWEHQEMQQQQQYQQQMAGYTQSQIPAGTVWMVPSSQAGGGAPIPGGGAGAGESVWTFPQSGSGGGGGGATAVYRGVPSGLHFMNFPAAPMALLPGGHQLGLGGGGGDGQSGNILAALNAYRAQAAQADGAGAPGQNNGAQGSNRHHQHQQHGGGGAGDGDDRRHESMNASDS >LPERR06G07500.1 pep chromosome:Lperr_V1.4:6:5454196:5455571:-1 gene:LPERR06G07500 transcript:LPERR06G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTVIGDESGTVRKQPPALVIALQFIVAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIADMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDVHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPVKLNLAEESKTD >LPERR06G07510.1 pep chromosome:Lperr_V1.4:6:5461990:5466595:-1 gene:LPERR06G07510 transcript:LPERR06G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVAAAKAKAAAGGGGGGGDEAAGSPSKGSPSTPASLKASRLNKGKAKAKAKVGLQKAAAAAVAKEAVSPAAAAATAAGGGGNAEASPAAPALRLADAAGPSKGSPSTPASLKTSRLNKGKMKVKAKAGQEKAAAAAADAASVGAAGGHAEASPAALAPGAATAADGSAARLLSTQEAATAGASAPKRKLKLKPKLVKVNANDAVATKNVVVADNNGGDATKKRKGETVGERSMDVREKGKEEGRKKEEGFDGKGGGLIFMCNKQTKPECFQSRLFGYPKGKMGTVEKIRPGTKLFLYDFDLKLLYGVYKAVSKGGLDLVRNAFGGKFPAQVKFKIDRDCLPLLESRFKDAIKENYSSKGKFNPELNSRQVHRLIALFESVSVPQSAQKKPLEERRHYEGKTQPRQYEERRSLPVVQVPPPEDLHWATRFNPHPVDYRTGHTLSNAHHESLFQFQEKLAFSESRHIPLSTGPHLVPHAQEARHGPSIPEIQHAPRAYYQHLVPSSDVPYRSQADLLPDRVAARTVADPLLSRDYTAVPAARSDYADRMEDLRRTGQIASHSAHFEELYRPGEVAARGSRVEELYHTVQTAPIARVDELYRPGEVALRGSRVEELYRPRERALHSAHVEDLYRSGKNTLRGARVEDMYRPGEISAHAVRIDDPYRSDQLITRAVDLPLHTPYPTAHYEVPNPAYSDISQRYASTRLNAPGASVSSLYSFSGAPAYR >LPERR06G07520.1 pep chromosome:Lperr_V1.4:6:5470674:5474273:-1 gene:LPERR06G07520 transcript:LPERR06G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLATLSFLLSSAPPASSSSRSRSSSSSRPSSRLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSTMSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEQLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDSLRFYLPDMFPALQRVVLLEDDVVVQRDLAGLWHIDLDGKVNGAVEMCFGGFRRYSKYLNFTQDIVQERFNPDECAWAYGVNVYDLDAWRRDGCTELFHQYMEMNEDGALWDPTTVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKSLWTKYVDSEMEFLTLCNFGL >LPERR06G07530.1 pep chromosome:Lperr_V1.4:6:5477137:5477882:1 gene:LPERR06G07530 transcript:LPERR06G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPPVPSPQPKEVKLYGAWGSAHAAMVRNALELKGIPFEYIEEDLERKSDTLLRLNPVHGGKVPVLVVDNGRRPPLAESLVILEYIDEAWPHLAPRLLPSHPRARAAARFWAMYFHGEVSPLSRRAVLAERQEERAAAAREMKAKMAVIERDFGGGGPFVHGRMPGLIDVILGSCATGTRAISAMAGEDVVDPHAMPRVHRMAVFHELVAGFGTSVPHELLLEWLLAREERRKLARRVSASV >LPERR06G07540.1 pep chromosome:Lperr_V1.4:6:5503326:5507339:-1 gene:LPERR06G07540 transcript:LPERR06G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPSRTNSRVNYANNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPHLLKKVISEVVATFLLVFVTCGAASIYTEDMKRVSQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLRVVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEESPLAGKDGSTQKLSSFKLRRLQSQSIAADEFDNV >LPERR06G07550.1 pep chromosome:Lperr_V1.4:6:5530064:5532659:1 gene:LPERR06G07550 transcript:LPERR06G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSIPYALSQGGWLSLIIFITIAVICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGKIIVAMFMYLELYLVAIDFLILEGDNLEKLFPNVNFHVAGLRIGGKQGFVLIFSLLVLPTTWFRSLNLLAYVSLGGVMASVILIASVFWVGAVDGVGFHEKGVIVNWAGIPTAMSLYAFCFSGHAVFPMIYTGMRDRRMFPTVLLICFIICTLAYGLMGIIGYLMYGGSLRSQVTLNLPARSLSSSVAIYTTLINPFTKYALLVTPIAEAIEGVLGLGAAAGIGIAGGGKPAASYREAAVSVSVRTALVFSTTVVALAVPFFAYVVALTGSFLSATATMLLPCACYLRIRSRVSGKVGVNAEVVTCVGIIVLGLGMIVIGTCSSLKQIVQSF >LPERR06G07560.1 pep chromosome:Lperr_V1.4:6:5536123:5539004:-1 gene:LPERR06G07560 transcript:LPERR06G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDLELGRPLSAAYNPPVRRSNDVDVDDDGKQKRTGTTWTAAAHIITAVVGSGVLSLAWSTAQLGWVAGPVTLVVFAVITYYTSVILAECYRAGGDQVAGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTEIGYTITASISAAAVYKSNCFHKNGHSADCSVYTTMYMVVFGVVQIFFSQLQSLHEVAWLSVLAAIMSFSYSAIAVGLSLAQTISGPTGKTTISGTVIGVDVDLSHKIWQALQALGNIAFAYSYSLVLIEIQDTVKSPPAESKTMRKANMLAVPATTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANACIVVHLVGAYQVYAQPVYAAVESWAASRWPGSGFVTRERPLAVGRLRLRVNAFRLAWRTAFVVACTAVAAVMPFFNDVLGLLGAVGFWPLTVYFPVEMYIRQRKMERFSVKWVALQSLSAVCFVVTLASAVASVEGIAESLTHYVPFMSKL >LPERR06G07570.1 pep chromosome:Lperr_V1.4:6:5541914:5546273:1 gene:LPERR06G07570 transcript:LPERR06G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPPPVPAAARRRPTSAAVAPAADDLLLWHNRSLAALLRAGRYAAARRLFDALPARSVVTWNSFLAGLARRRDARAAREFFDAMPVRDAVSWNTLLAAYSWSAHPDHLMAARRLFDEMPQRNVVTWNTLLGAYARRGLMNEAQGLFDEMPQRSTASWNTMVTGFFAAGQVKKALEVFDAMPVKDSASLSTMVSGFTKNGLLNAAEELLTKRLRATDMDKAVDAYNTLIAAYGQAGRVSDARRLFDMIPKGQYQRKMLKRKVFERNVVSWNSMMICYIKAGDVRSARALFDEMQDKDLVSWNTMISGYTQVSDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAERARKFFNRMPERGTISWNTMISGYEKNGDYISSVKLFLKMLEVGEIPDRHTFSSVLASCASLPMLHLGAQIHQLVEKSFVPDIAISNALITMYSRCGALTDAEAVFEQIGRKKDLVSWNALIGGYEHHGRATKALRLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLEDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSRINPDSSAPYVLIHNLHAHEGRWGNAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPLAQEIFSVLESFDRLAMAPQPSELKDEPANSQEALFSSLSFPSFHPQPVCSSIMQGNVLQDEFNVIFEDDVLRYWDEMEQSEIKGEESEKALPLLCYGDQKGAASKIIRGDDVRTEEKALTFELVSQYFYMPITQAARELNVGHTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEELSMLVEMLQEERKLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALETGEP >LPERR06G07580.1 pep chromosome:Lperr_V1.4:6:5546356:5548748:-1 gene:LPERR06G07580 transcript:LPERR06G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTASRLPICKAQSGGVAKEKRTTPPPAKITPPSSPSDHAAGLSRRRLLQSAGLGLSTARNPARAETRSPALAPEEVTSNRMSYSRFLEYLNAGAVKKVDFFENGTVAVAEVDDQASFSRIHRVRVQLPGVLPGELVRKLRDKGVDFAAHPVEPNVGLMLLDFLINFGFPLLFIASLIWRSVTMNNPGAGEGPNLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVHFLKFPEKFTAIGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRAGRFDRQVSVTLPDIRGREEILRVHAANKRLDENVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKTKTLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGDDPSLTSRRQIFARIVGALGGRAAEEVVFGEPEVTTGAAGDLQQVTQVARQMVTAFGMSRIGPWALTEPAAQSGDVVMRMLARNSMSESLAADIDAAVKGIVDEAYEVAKAHVRRNRAAIDQLVDVLMEKETLGGDEFRAILSESVS >LPERR06G07590.1 pep chromosome:Lperr_V1.4:6:5555814:5561889:1 gene:LPERR06G07590 transcript:LPERR06G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDKKQAWDTKLNSNVSSIQSAWKKNAEPRKYFPFREGYLAIAILRVGAQGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAVVRFFVGLHKNEAVNEELWNEVRTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVDSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMLCLWDKFLKTKRGRCCKEPSGGMRRPGEEAAAHRANHEREALHHVELATELPTDSIKQYVF >LPERR06G07590.2 pep chromosome:Lperr_V1.4:6:5556079:5561887:1 gene:LPERR06G07590 transcript:LPERR06G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHRAFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNLSDIELQSLHSWNHLKNLVSHAHILPDGVEAIKEAGVAWRKLNAALEYEQSVVSVNGSTQQKSKEKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITIIGTPGGLMGNFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGPEYRCPSPDSDVKDTAKVDDLEKCGSMVGNDKKQAWDTKLNSNVSSIQSAWKKNAEPRKYFPFREGYLAIAILRVGAQGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAVVRFFVGLHKNEAVNEELWNEVRTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVDSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMLCLWDKFLKTKRGRCCKEPSGGMRRPGEEAAAHRANHEREALHHVELATELPTDSIKQYVF >LPERR06G07590.3 pep chromosome:Lperr_V1.4:6:5556079:5561887:1 gene:LPERR06G07590 transcript:LPERR06G07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHRAFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNLSDIELQSLHSWNHLKNLVSHAHILPDGVEAIKEAGVAWRKLNAALEYEQSVVSVNGSTQQKSKEKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITIIGTPGGLMGNFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGPEYRCPSPDSDVKDTAKVDDLEKCGSMVGNDKKQAWDTKLNSNVSSIQSAWKKNAEPRKYFPFREGYLAIAILRVGAQGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAVVRFFVGLHKNEAVNEELWNEVRTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVDSDSQPHRDPYSKWYITPEMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMLCLWDKFLKTKRGRCCKEPSGGMRRPGEEAAAHRANHEREALHHVELATELPTDSIKQYVF >LPERR06G07590.4 pep chromosome:Lperr_V1.4:6:5556079:5561887:1 gene:LPERR06G07590 transcript:LPERR06G07590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHRAFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNLSDIELQSLHSWNHLKNLVSHAHILPDGVEAIKEAGVAWRKLNAALEYEQSVVSVNGSTQQKSKEKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITIIGTPGGLMGNFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGPEYRCPSPDSDVKDTAKVDDLEKCGSMVGNDKKQAWDTKLNSNVSSIQSAWKKNAEPRKYFPFREGYLAIAILRVGAQGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAVVRFFVGLTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVDSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMLCLWDKFLKTKRGRCCKEPSGGMRRPGEEAAAHRANHEREALHHVELATELPTDSIKQYVF >LPERR06G07590.5 pep chromosome:Lperr_V1.4:6:5557509:5561870:1 gene:LPERR06G07590 transcript:LPERR06G07590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHRAFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNLSDIELQSLHSWNHLKNLVSHAHILPDGVEAIKEAGVAWRKLNAALEYEQSVVSVNGSTQQKSKEKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITIIGTPGGLMGNFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGPEYRCPSPDSDVKDTAKVDDLEKCGSMVGNDKKQAWDTKLNSNVSSIQSAWKKNAEPRKYFPFREGYLAIAILRVGAQGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAVVRFFVGLHKNEAVNEELWNEVRTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVDSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKVACGGRGKRQLHTAPTMRGKLSITWSSPRSFLLTV >LPERR06G07600.1 pep chromosome:Lperr_V1.4:6:5563083:5564704:1 gene:LPERR06G07600 transcript:LPERR06G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKQRSNLAIALAAAAVLLGLAGRRCHGDVVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDFSSGMPNGRFCNGRTVADIVGDKMGLPRPVAFLDPSLDEDVIFKSGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRSKIGKAAADKLFREAYYVVAMGANDFINNYLLPVYSDSWTYNGDTFVRYMVNTLEAQLKLLHSLGARRLTFFGLGPMGCIPLQRILSSSGGCQESTNALAKSFNEQAGAVVARLSSSLPNATFRFGEAYDYFQDLINRPYMYGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVVANSTST >LPERR06G07610.1 pep chromosome:Lperr_V1.4:6:5565368:5569799:1 gene:LPERR06G07610 transcript:LPERR06G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVASSTTFLVALASVPRRERVPTPLALRGGAVGKLALRWAPRRRRDAAVARADGGENEEVERGDEEEEEEIAAGGAWQPPRSRRSGGIGKVAKRRGSVPPVGRYGSGGDGTRGRAVAAPAPTQDAVSFSQNGSLVSGDGKPVSPISQNGSLVGGDNKPASTAAAAAPSVTMRKISDVFLPSVDETPEIAVGDTTASVPRPDLADNPELRTDKNAKFVESAPKPEAPRSSLIPAVQEEAWDFNKFIDFDEWIAQQDRDDSWVDVDAADSSENHQDVDSGPLAGENVMNVIVVAAECGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDIGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGSRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDHGTMQYTRSVLVIHNIAHQGRGPVDEFPYMDLPEHYLEHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRQNDWKMNGIVNGIDYREWNPEVDVHLQSDGYTNYNLDSLDTGKRQCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWITGQDVQLVMLGSGRRDLEVMLQRLEAQHKGKVRGWVGFSVRMAHRITAGADVLAMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFAGSGLGWTFDRAESHKLIEALGHCLDTYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >LPERR06G07620.1 pep chromosome:Lperr_V1.4:6:5576982:5600865:1 gene:LPERR06G07620 transcript:LPERR06G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRPPIAAAEWLGGDSSQLLLLLRWPSAAEMQSAWGAVRAGAVAPALAAASAACLALSAMLLADALFMAAASFARRRPERRYRATPLGVGDAGDDDDDEEAGGRGVAYPMVLVQIPMYNEREVYKLSIGAACRLSWPSDRLIVQVLDDSTDPTVKGLVELECKCWGNKGKNVKYEVRNNRKGYKAGALKEGMLRDYVQQCDYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFVQHRHSQHTHTHPYKGTYANPTSMSISEDWAGKFLRLRKSPRAPRCRRELADRHFRDDFAVNTNECLMTRIQKMTLHYHFKVEQEGGSSTFAFFGFNGTAGVWRISALEEAGSWKDRTTVEDMDLAVRAGLKGWKFVYVADVKVKSELPSNLKTYRYQQHRWTCGAANLFRKVGAEILLTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPVSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHYIPFWILFENVMSFHRTKALFIGLLELGSVNEWVVTEKLGNASNTKTVPQILERPPCRFWDRWTMSEILFSIFLFFCATYNLVLHHLVAGGGALPHRALRDFSRRHGLLMFLRLGELSYLHSVIKETLRLHPPAPLFLPRECREHCQVLGYDVPKPKETMVLVNAWAICTDPQYWGEDAEMFRPERFECDTRDFRGKVALGCCLVSSVELALANLLFYFDWSLPATSGDLDVSETMGMTVRRKLGLWLVAELRAGEDGNERASEGNGGRIPWRPAQIEGRASHGRSTYSRTLSTLHRLLHIPSSLSTPPLLHPPPHRRRRRPATETTSDERARGTKVNIIVGSHVWAEDSEIAWVDGEVVKIKGEEAEIQATNGKKIIANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGRSNSILVSGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSREVEKYKLGNPKIFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDANSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFISLRKASVCVQAIWRGRLACKLYDQMRREAAAIKVQKNQRRHQARRSYKLLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKCAAIVAQCRWRGKTARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDDTSAMLVKEREVARAIEEAPPVVQQTEVLVQDTEKVDSLTAEVEDLKTSLQSEKQRADDLERKRSEEEQANEEKQKKLEETEVKMRQFQEYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSILQRNTESSHVSSGGDSKAAPESNNVSSPKKEFDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNMLKLNNVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >LPERR06G07630.1 pep chromosome:Lperr_V1.4:6:5609963:5611039:-1 gene:LPERR06G07630 transcript:LPERR06G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEPIKEPEIRSQKESNCWQIASQFALAFPLCAKYTIAAAADAPAVTRASIAFTRITGNECGLGRLMLEQVAVQDVCWVCGLFTPVDYWISTVMGLAYVMAMRLIMQEPSTER >LPERR06G07640.1 pep chromosome:Lperr_V1.4:6:5610298:5613082:1 gene:LPERR06G07640 transcript:LPERR06G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHETTSFSGARQNSSLAFSISPRFAYAPNITFQHTMSLWRHSSNIFRAASMSPLAACPAMIADHATTSPSPASSRRTPAALKSPHLESMLMSAFLTYTSFRNPSFTTAPWTWHPTAQEPRKPTHARSR >LPERR06G07650.1 pep chromosome:Lperr_V1.4:6:5611838:5613247:-1 gene:LPERR06G07650 transcript:LPERR06G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARKLFDGISHPDLFMHNAMMRGYAHTGAPDAAFTVYRRMKESARLQPDGFTFCYLLRACVGLRGSCAVGCQVHGAVVKLGFLKDVYVRNALINMLSKCGDLRAAGVLLDEAGEGDVVAWSAIIAGHAARGDIDAARKMFDECLHKDIVCWNVMLGAYAKRGEMEKARELFCRAPEKDVVSWNTIITGYTTKGMLEHAFEVFDEMRTAGWMPDEATIVSLLSCCANTGSLDAGRMIHQLHLEWRPWISIVVGNALVSMYAKCGDLRTAIEIFNTMKETDVWTWNSVIGGLAFHGQAEQSLQFFKKMLERRIHPNEISFLCVLGACNHAGLVEDGQKIFYLMKDRYGIEPNARHYSCIVDTLGRAGLLDEAFVIVSNMRCELSVVVWRTLLGACRTHGNMNLGKLVREKLLNMNEDASGDYVLLSGIYASSSEWLGMETERRSMDRRGIRKVAGYAQIDRKPAGLSAP >LPERR06G07660.1 pep chromosome:Lperr_V1.4:6:5614638:5620090:1 gene:LPERR06G07660 transcript:LPERR06G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESVPVPNHDLSLEHARHKFGRLVAIPTHSQVLGDSQRVSGPRAQQPESYRQFLAVVQQRRLLVFLGDVVQRLTRVRHVPLGPDPPHHLHGHGVDLFLLWMAQAPAPEHAGLAVDLDHRSPGLLAHVPLVHQPPDLGHVIPPAGSGEQVGEEHVTATVVGVEVYDAPPDRLAEVDVAVNLARSEERAEDGEVWLHWHFVDHLLGFVQLPCSAEQVDHTAVVLHLGLDSVGFHDVVEVSAALGDLAGVGARREHVQKRDIVRRHANRRLHPFEQLERVIAPPVHGEPADHAVPRREPLRWQRAEHTPRLVDGPTFAVHVDHRRRQLGVHLDPALLHPPVHLPAACQRARPSARHQRGRRDEPIPLHSQRPHFVEHLKRIQKPPGLDVPGDHGVPRDQIPLRHSIKHLPRIIHKAEPTQPTHHRRPRHDIKQRTTARASAE >LPERR06G07670.1 pep chromosome:Lperr_V1.4:6:5618727:5620550:-1 gene:LPERR06G07670 transcript:LPERR06G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAPTPIPIPITTISELRQHHSQLVRLGLASHPSHARRLLAFLARDPAHLPYAARLLAHHPDPRPALLNPLLASLPPRAAASLLAVMLSLPGAAMAPDHFTFPRILPAAPLPLAAQLHALLLKLGFRSHTQSLNALLAAYLAGGRADLAFLLFGTSSCGGALLDVVSWTTMVGGLCRLGLVDDAREVFDGMPERNLVSWNSMISGYVKAGRFLDALEVFDEMRALGVEGNGFVATTALVACAGAGALARGREVHRWVEQSGIKMDAKLATAVVDMYCKCGSINKAWRVFRSLPAKGLTTWNCMIGGLAVHGRCDDALELFERMETTVGVAPDDVTLLNVLTACAHAGEVTQGRRYFDHIVKTHRIEPKMEHYGCMVDLFGRAGQLDEAKKVIDEMPMEPDLTVLGALLGACKIHGDVDLGEAIGWRVIDLDPYNSGRYVLLANLFAGAGRWDDVAKVRRLMDERNVSKEAGRSVIEVDGEACVFRCGSLCHPQEEEIYAMAVEMVRRIRAEGYVPDTSETLHDVAEEDKETPLLYHSEKLAIAFGLLRARPGDTLRITKNLRVCRDCHEATKFVSRVFEREIVVRDRNRFHHFKDGMCSCKDYW >LPERR06G07680.1 pep chromosome:Lperr_V1.4:6:5622942:5624776:-1 gene:LPERR06G07680 transcript:LPERR06G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAPAPAEERSAARRLPRLLAGVVSGVLTGIVAIAGGLTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEALEASRAYWCQDRSNSGTLSPGGTLSMGDFVKQLLRARFVQEQHMESGHISYRWQVGIADVVNDAVHEVLGDVPSGGLSKYSLMKLPYHVVTDHNHGSNGEDMSCSICLQDVVAGETVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQDV >LPERR06G07690.1 pep chromosome:Lperr_V1.4:6:5628421:5631725:-1 gene:LPERR06G07690 transcript:LPERR06G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYYRGGGRDHADEPDDFDEYDPTPYGGGYDLFVTFGRPLPPSDETCYPCSAPSTSYDAPHYAADEPSPYAAGRHHAKPQPAYGFRPQHEQQQQPSYGSHQEDQPYGSSGYGSKPQPAYGFRPQAEEGTYGSGYGRKPQAEESYSSGYGSGYSAGGREQQEEGSYGSGYGSGYGTRPQQEESYGSGNGRKPQQEESYGSGYGRKPQSESYGSGYGSRPQGGEEYGSGGGYGRKPQEESYGSGGYGYGRKTEEETYGGSGYGYGKKAQEYSEGAYGSVGYPKPKPYGQEETQGSYGYGGGYGEKPAYESGGYNRPSYGGGDEYQGGYGRKKHDDDSDDEKKQRYQKQHHHRRQEYDD >LPERR06G07700.1 pep chromosome:Lperr_V1.4:6:5634299:5643185:-1 gene:LPERR06G07700 transcript:LPERR06G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPVPPPPSSKKGGADGGGGGVARHEHKHASGITSWLSSVTGRPQTQPSPSPSPAPPFPTAEATVEAEAAALASSVEVRRLEVEEEEEKARRESRVEVVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLKSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLATIPSLTELQQQPFSHASKTETLLVNKAQDTKLVQLEQKAFIMAVEFRSKTPEFVDHILVQRLATLVSDYMGGPVVDPESILSQYQNVISSLRVSIRSAVLPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSVFAESHHVNKDDCQLGSSFSEVSSSVYGSFENESLEKGSTPSNFGPCDPYGITTSQTGSQGSALSGSFGEVSIGTCAGESLPVIHESRNTDHTISTKSKEKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCQGPSMQHHPGHELQTKVVPYRIPLDLKPVQGLGVYHPSDSRNNAGNSHMYEPSAPPQEGPLQLIKQMPVAAAAVATAAGRHDFWDKGLIEIDHGQNIAPEQEKDLVEVPQEAERVSDKSIGTESTRSDIALDGVAEFEIQWEELTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEIQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRIRMALDVARGMNYLHNSSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKTRPSFSEIMYTLKPLLKNTPANQTQRQRGQQA >LPERR06G07700.2 pep chromosome:Lperr_V1.4:6:5634496:5643185:-1 gene:LPERR06G07700 transcript:LPERR06G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPVPPPPSSKKGGADGGGGGVARHEHKHASGITSWLSSVTGRPQTQPSPSPSPAPPFPTAEATVEAEAAALASSVEVRRLEVEEEEEKARRESRVEVVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLKSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLATIPSLTELQQQPFSHASKTETLLVNKAQDTKLVQLEQKAFIMAVEFRSKTPEFVDHILVQRLATLVSDYMGGPVVDPESILSQYQNVISSLRVSIRSAVLPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSVFAESHHVNKDDCQLGSSFSEVSSSVYGSFENESLEKGSTPSNFGPCDPYGITTSQTGSQGSALSGSFGEVSIGTCAGESLPVIHESRNTDHTISTKSKEKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCQGPSMQHHPGHELQTKVVPYRIPLDLKPVQGLGVYHPSDSRNNAGNSHMYEPSAPPQEGPLQLIKQMPVAAAAVATAAGRHDFWDKGLIEIDHGQNIAPEQEKDLVEVPQEAERVSDKSIGTESTRSDIALDGVAEFEIQWEELTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEIQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRIRMALDVARGMNYLHNSSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKTRPSFSEIMYTLKPLLKNTPANQTQRQRGQQVDG >LPERR06G07700.3 pep chromosome:Lperr_V1.4:6:5634496:5643185:-1 gene:LPERR06G07700 transcript:LPERR06G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPVPPPPSSKKGGADGGGGGVARHEHKHASGITSWLSSVTGRPQTQPSPSPSPAPPFPTAEATVEAEAAALASSVEVRRLEVEEEEEKARRESRVEVVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLKSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLATIPSLTELQQQPFSHASKTETLLVNKAQDTKLVQLEQKAFIMAVEFRSKTPEFVDHILVQRLATLVSDYMGGPVVDPESILSQYQNVISSLRVSIRSAVLPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREFEDSVFAESHHVNKDDCQLGSSFSEVSSSVYGSFENESLEKGSTPSNFGPCDPYGITTSQTGSQGSALSGSFGEVSIGTCAGESLPVIHESRNTDHTISTKSKEKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCQGPSMQHHPGHELQTKVVPYRIPLDLKPVQGLGVYHPSDSRNNAGNSHMYEPSAPPQEGPLQLIKQMPVAAAAVATAAGRHDFWDKGLIEIDHGQNIAPEQEKDLVEVPQEAERVSDKSIGTESTRSDIALDGVAEFEIQWEELTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEIQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRIRMALDVARGMNYLHNSSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKTRPSFSEIMYTLKPLLKNTPANQTQRQRGQQVDG >LPERR06G07710.1 pep chromosome:Lperr_V1.4:6:5652008:5657578:1 gene:LPERR06G07710 transcript:LPERR06G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAPPPCAAGRRLPPTARPRVIGSNRTSSLPAAALALPRNAAFLAPPLRTSAAVCAKAVSNGDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIKKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADNARDGILSIWDTADVIKISEEEVSFLTNGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFNGRVGGLKVNAVDTTGAGDAFVAGILSQLAVDFSLLQNEGRLREALKFANFCGALTVIERGAIPALPTRQQVVDALTKVVA >LPERR06G07720.1 pep chromosome:Lperr_V1.4:6:5668088:5671139:1 gene:LPERR06G07720 transcript:LPERR06G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTDFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSSRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDSDVVSLDGRRDLIETEAEVKEDGKIHVTVRRSNASRSDVYSRLSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAAAPNKAVSKYGAGAGGGGQYPAPNPAMAAAGIAPPKAKKAAAAANGAKGEDGKDLHMFVWSSSASPVSDVFGNGNAGEYNGDAAVKEVRMAVASPRKADGVERDDFSFGNRGGVGERDAEAGDEKSVAAAMGEHGKPGLTPAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >LPERR06G07730.1 pep chromosome:Lperr_V1.4:6:5695345:5699401:-1 gene:LPERR06G07730 transcript:LPERR06G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIIKLEEQWVPDSDVITQTIEEKYPEPSLATPPEKASIGSKIFSTFIGFLKSKDPGDGTEQTLLSELTSFNSYLKDNGPFINGATISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKNYMKTIFSMDSFVKTRALKEDVIAGWRPKVMDFWPANLDSRCISLGKANATAVMVTHLTTINMSNPKQFFIL >LPERR06G07730.2 pep chromosome:Lperr_V1.4:6:5697426:5699401:-1 gene:LPERR06G07730 transcript:LPERR06G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIIKLEEQWVPDSDVITQTIEEKYPEPSLATPPEKASIGSKIFSTFIGFLKSKDPGDGTEQTLLSELTSFNSYLKDNGPFINGATISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKNYMKTIFSMDSFVKTRALKEDVIAGWRPKVMG >LPERR06G07740.1 pep chromosome:Lperr_V1.4:6:5700747:5701100:1 gene:LPERR06G07740 transcript:LPERR06G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFLLHTDYLDARDWQYGMQDEGYSREVVSKSFVDSLPKSVTTQRGEGMNCPPQMDPSSDWCSEGECGCGTIKESEHNNSCRSGPR >LPERR06G07750.1 pep chromosome:Lperr_V1.4:6:5701114:5701530:1 gene:LPERR06G07750 transcript:LPERR06G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVLMIEGLTDLEQVEAIACREGMTLAVRSTQGAGKGLYGHIVQEIQTRKSDFISDNFVHEPHSSNLDAHMIARSLVYEPIGRHVWYSSPPEGAFVMPYRLDNKECGSLKKKKIKHETV >LPERR06G07760.1 pep chromosome:Lperr_V1.4:6:5709452:5713560:1 gene:LPERR06G07760 transcript:LPERR06G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHHTTTTTNHPHFIESPMDADAGGGGPHGGAVAVTTVGMDDADAAFFSRRGNRCCCFWGPWASSSPSYSRPGPTGGGAGADEEWWHRVGERGAERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRGPRHHHGGGGGGGGRKLNYDPLSYALNFDEGHGGPCSPDGGDYAGGYRDFSTRFVAPPANSAKSSMDLGGGGGGSRDAPALFHHPPPQQPSPSSAAAVVRG >LPERR06G07770.1 pep chromosome:Lperr_V1.4:6:5714575:5718534:-1 gene:LPERR06G07770 transcript:LPERR06G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGVVVVSRSERVGGGGGGMVEVGVAFASPGSSASAAAAARRLGGAFVVRDALPYAGMAAAAAPPPPALPQVQTTAASGGGSGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKQQHRRGGGGPSAAGGVARGSADGGDDDGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTAENNPMFDFDEGLEEGRLSEDCDNGFGLPGQKATEGMQTPGTEKRVFPVRLGKFKNFGTRGAVEGGIGDANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRSGGGGMTRARPAGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGNEIPTSSKSLIYTCTSWLPYIVKYRRLHFITQNKTSTKWLVLINSWWPGGYGDQAEWILRVVTISISRLRTNPEIFFISSSVQVSLWTHELQFLPVKIRRRKLVHNTVLYVKNVGHYLQDVRTSTCFSHMQQFMC >LPERR06G07770.2 pep chromosome:Lperr_V1.4:6:5714577:5718534:-1 gene:LPERR06G07770 transcript:LPERR06G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGVVVVSRSERVGGGGGGMVEVGVAFASPGSSASAAAAARRLGGAFVVRDALPYAGMAAAAAPPPPALPQVQTTAASGGGSGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKQQHRRGGGGPSAAGGVARGSADGGDDDGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTAENNPMFDFDEGLEEGRLSEDCDNGFGLPGQKATEGMQTPGTEKRVFPVRLGKFKNFGTRGAVEGGIGDANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRSGGGGMTRARPAGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGNEIPTSSKSLIYTCTSWLPYIVKYRRLHFITQNKTSTKWLVLINSWWPGGYGDQAEWILRVVTISISRLRTNPEIFFISSSVQVSLWTHELQFLPVKIRRRKLVHNTVLYVKNVGHYLQDVRTSTCFSHMQQFMC >LPERR06G07780.1 pep chromosome:Lperr_V1.4:6:5725261:5725866:-1 gene:LPERR06G07780 transcript:LPERR06G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFFAQESLLSNGQNGSSALVHLHRLLPQAPLVRPPQLSRVASSIRDKSYKARVSQFRGAA >LPERR06G07790.1 pep chromosome:Lperr_V1.4:6:5726478:5729792:-1 gene:LPERR06G07790 transcript:LPERR06G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKARHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISVTNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTSQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWRLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >LPERR06G07790.2 pep chromosome:Lperr_V1.4:6:5726478:5729792:-1 gene:LPERR06G07790 transcript:LPERR06G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKARHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISVTNSRHLEDLYNRYKEPDVDMIMVEGVDPQDIVMLVISWHMKAATMCEFTSQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWRLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >LPERR06G07800.1 pep chromosome:Lperr_V1.4:6:5740048:5742204:-1 gene:LPERR06G07800 transcript:LPERR06G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSSDDSQWVYAVFWRILPRNYPPPKWDLPAGAYDRTRGNRRNWILAWEDGFCNFAATSAACSGGQDGAAAAYECEVAAQEAKQGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEVNLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAGAAAFPGGVVGPPDAVAAAWPGMMPTMPPELYNVDPYSAAAAAAGTPASMQIMPSMSSLEALLSKLPSVVPAAPSPPTATTAGAAAASSAPVAAKEEADEDDYVQCHGMDMATNGGGESTSAGAGGATALPSSYFVNVGVKPSEGF >LPERR06G07810.1 pep chromosome:Lperr_V1.4:6:5759297:5761314:-1 gene:LPERR06G07810 transcript:LPERR06G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGLHSSLGRADPRVTVPSSSIFLIVLIARSHGILRYFFRRRSATTNHTNCRYSGTLPRRCGVEYNSFVPSRSRAILVTSYH >LPERR06G07810.2 pep chromosome:Lperr_V1.4:6:5759297:5761109:-1 gene:LPERR06G07810 transcript:LPERR06G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRIGFKGSDSQSANPILFVIADGILRYFFRRRSATTNHTNCRYSGTLPRRCGVEYNSFVPSRSRAILVTSYH >LPERR06G07820.1 pep chromosome:Lperr_V1.4:6:5761561:5768468:1 gene:LPERR06G07820 transcript:LPERR06G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHEHASASSPERKRGRPGRKPKPPAAAVADGHHPSSPLAAAVSVSVSDLPDPASSPAPRGRARKSRRGRPEPPTDADAAPYAPPSPPRRGAPKGAANGKAEVPVVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSALEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKIENPDLRKAMGMKSDQKGVRIRRVEPTAPESGCLQPSDIVLSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKAHVKILRNSKVLEFDIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEDIVNFQVLAFNGKPVQNLKHLATMVEECDEEFLKFDMDYDQLVVLETKTAKAATQDILTTHCIPSAMSEDLKT >LPERR06G07830.1 pep chromosome:Lperr_V1.4:6:5770830:5774688:1 gene:LPERR06G07830 transcript:LPERR06G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPVVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKARRGGCSVFWKGVLSRRRLVCFK >LPERR06G07830.2 pep chromosome:Lperr_V1.4:6:5770830:5774688:1 gene:LPERR06G07830 transcript:LPERR06G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLWLPELQHYAPGVPVVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKARRGGCSVFWKGVLSRRRLVCFK >LPERR06G07840.1 pep chromosome:Lperr_V1.4:6:5781520:5794814:-1 gene:LPERR06G07840 transcript:LPERR06G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEIPTREYSDVHDKFQTWRFPEHDFTLMVMWTEFFVHAEQVVGADGKPTSSFNLHLDRLNANWTRRLPGLDFAVISGGNWFNRPNYLWEGGRRFGCAKCHGEANLTDLGVPYAVRRVVRAAVEGIATCAGCKPGLVAFVRSYSPDHFEDGSWFNGGHCNRTRPLDESEVNPDAAAWELRRVQREEVRRVRETTANARFGLLDVTPAMMLRADGHPGEHYDPSWKRNADTRDCLHWCMPGPVDMWNEVLLQRLVEISPPAAARAGLPDVAAKQTAATVQNKDLTAACAGHGTSKLTLRQRSEAVEEVKQPWAAASKNAALFAFFVLVLPALMILVGVSHTPAAATKFSWTMLGSLTAVQAGRRRRWRLPVGARPCAFAATALGADPRRIYDGRRQIPSQPSPPPTSTGGALSLRLPPLDPATAGNGGALPQRRSPLDPHHTPPTTFINLDVFDRYVRDLAGRRCPDAAPRVPMYGFDDQARRMTATTPWSSSNIFIYDIEANSIRILSNQGMIYVKFLLKMTNNDRMAMLDYVDDMDHMHTGYADKEIEATDSGVAQKRVEDNYQCDTSTGRWVREPSGPVYTNLTCPTLPDSKNCQKYGKDPDHLYWRWQPDGCDLPRSSPERFLSTVRGKRLAFLGDSLARNQMESLLCLLSQAETPTKAFTDDADEDGVQEWRFPAHGFTLMAITTRFLARADTVLGADGNPTASFDIHLDAPDPVWASRLPELDYAVFSTGNWFFRTNYFSEGGRRVGCSGCNDADLDDIGVAHAVRRVVRAALEATARCRDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEESEAPSWDQSVGWELRRAQIEEVTTARKTTTTARTRFEVLDVTKAMMLRADGHPGWHYDKRSSGNANDCLHWCLPGPVDLWNDVLLYKIAHISPPAPIQSSPASRQLPPAAADETARRANVFEAIPLVARKNALALIVTCVLALPALAILVLGAVPSAVPSMLGWRTTASTMAVEEECDVSRGRWVRDPRGPIYTNVTCPTVADYVNCQKFGKDPGYLYWRWQPDGCDLPRFSPAKFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMQGAGAYAAGFWRWHFPEHEFTLMAVWTEFFVHAVTVAGGNRTGPFDIHLDRLDPDGGGGWTRRLPELDYAVISGGNWFFRSNYLWERGRRFGCVGCVDPGIAHFPISYAVGRVAHAALDAIAACRGCKRGLVTFLRTYTPDHFENGSWFSGGYCNRTRPLEAAEVRSDSVAWELRAAQIEEVRRVREKTAASGGGRGRFGLLDVTPAMMTRADGHPGEHHHRWKGKNANDCLHLCLPGPIDTWSEMLLRRIVEFSPPSAPPQ >LPERR06G07840.2 pep chromosome:Lperr_V1.4:6:5781520:5789698:-1 gene:LPERR06G07840 transcript:LPERR06G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIYVKFLLKMTNNDRMAMLDYVDDMDHMHTGYADKEIEATDSGVAQKRVEDNYQCDTSTGRWVREPSGPVYTNLTCPTLPDSKNCQKYGKDPDHLYWRWQPDGCDLPRSSPERFLSTVRGKRLAFLGDSLARNQMESLLCLLSQAETPTKAFTDDADEDGVQEWRFPAHGFTLMAITTRFLARADTVLGADGNPTASFDIHLDAPDPVWASRLPELDYAVFSTGNWFFRTNYFSEGGRRVGCSGCNDADLDDIGVAHAVRRVVRAALEATARCRDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEESEAPSWDQSVGWELRRAQIEEVTTARKTTTTARTRFEVLDVTKAMMLRADGHPGWHYDKRSSGNANDCLHWCLPGPVDLWNDVLLYKIAHISPPAPIQSSPASRQLPPAAADETARRANVFEAIPLVARKNALALIVTCVLALPALAILVLGAVPSAVPSMLGWRTTASTMAVEEECDVSRGRWVRDPRGPIYTNVTCPTVADYVNCQKFGKDPGYLYWRWQPDGCDLPRFSPAKFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMQGAGAYAAGFWRWHFPEHEFTLMAVWTEFFVHAVTVAGGNRTGPFDIHLDRLDPDGGGGWTRRLPELDYAVISGGNWFFRSNYLWERGRRFGCVGCVDPGIAHFPISYAVGRVAHAALDAIAACRGCKRGLVTFLRTYTPDHFENGSWFSGGYCNRTRPLEAAEVRSDSVAWELRAAQIEEVRRVREKTAASGGGRGRFGLLDVTPAMMTRADGHPGEHHHRWKGKNANDCLHLCLPGPIDTWSEMLLRRIVEFSPPSAPPQ >LPERR06G07840.3 pep chromosome:Lperr_V1.4:6:5789824:5794814:-1 gene:LPERR06G07840 transcript:LPERR06G07840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEIPTREYSDVHDKFQTWRFPEHDFTLMVMWTEFFVHAEQVVGADGKPTSSFNLHLDRLNANWTRRLPGLDFAVISGGNWFNRPNYLWEGGRRFGCAKCHGEANLTDLGVPYAVRRVVRAAVEGIATCAGCKPGLVAFVRSYSPDHFEDGSWFNGGHCNRTRPLDESEVNPDAAAWELRRVQREEVRRVRETTANARFGLLDVTPAMMLRADGHPGEHYDPSWKRNADTRDCLHWCMPGPVDMWNEVLLQRLVEISPPAAARAGLPDVAAKQTAATVQNKDLTAACAGHGTSKLTLRQRSEAVEEVKQPWAAASKNAALFAFFVLVLPALMILVGVSHTPAAATKFSWTMLGSLTAVQAGRRRRWRLPVGARPCAFAATALGADPRRIYDGRRQIPSQPSPPPTSTGGALSLRLPPLDPATAGNGGALPQRRSPLDPHHTPPTTFVAESWTNK >LPERR06G07850.1 pep chromosome:Lperr_V1.4:6:5794842:5796057:-1 gene:LPERR06G07850 transcript:LPERR06G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAGGGMASPPPSPPSCKAGSGSPRLLHSCSGGSEWSVVIRRNVKSSLLLLLVLSTFFVFSSLHSSRSFEPEPAAAGGEALAPSQSTVPGDDDRGNEEEIAVAEKSALADISLPSANSSAAAVPTPNEAEQTGGAGANMDGKCDVSMGKWVRDPTGPVYTYLTCPTLSDYKNCQKYGKDDSHLYWRWQPDGCDLPRFSPEKFLATVRGKSLAFIGDSLARNQMDSLLCLLSQARSTLRNIYCANLPWLQ >LPERR06G07860.1 pep chromosome:Lperr_V1.4:6:5796193:5809176:-1 gene:LPERR06G07860 transcript:LPERR06G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVPIVSCAPAVSPAVCGRRDHAYREGNQVADTLCHEAYKTPLTARLRTAGSAMVPFPVWEKLEDDRCGVVHQRLWPQQHHHGQKSSTSNPAAAGYFLPRTVLTWLAAACLSLALLHLLCCSPRGAYQAAVFSPLLQYFNGTYSSYTSSGNREAGGEVAAGGVENSSCDYSQGKWVWAPGHARRYNGTACNVKPEQDCLRNGRPETGYLDWRWQPASCSLPPFDAAAFLDAARGKHVAFVGDSMARNQAESLLCLLGASFPYDLVDHDAEGHYKRQFTRWAFPSHGVTLSTYWAPYLVRARGKPYNYSEPHNLVYLDELGDRWDADAGGMDVVVLTAGHWFWNPAVYHRNNEVVGVHATPELNKTEIGFTSPYREAFRRALERLASDGRRRTVVLGTFAPPHFEGKPIFDPTACTKTEPYKEGEKELGSIEKEMRTILFEEAAAAAERDVAGGGVMRLEVQDVTRLASMRPDGHPGPYMHRDPFAHGVPERMQVDCLHSCLPGPVDTFNEILLQILSRQRIFHFGYSNKNKSPSLHHTAMAAEAEAEAAEQSRSFFPNKLVTVSCALSALFTLSLIYFYSPPLIISSANLLTKFQPRGRTTNPPTRPVQAVWKQCDYSDGKWVWDDANGGDSGPRYDSENCDMKMTYKCVINGKPDGGYLHWRWKPSGCNLPALDPSAFLRLVRGKRLAFVGDSTARNQAEALVCHLATAARPVTVVRDEERLGRKFWRWSFPAPHDVNISTYWSPFLVRSEGHSEDYGMEHEVVILDAFTEPWTADLDAMDVMVISAGHWFPHKAIYYENGEIVGVHNHPELNRTEMSGVSVYRKVMQRTLEHVNAAVSNTDKDKLVVVETIAPAHFDSKYSWNHRDACSRPKPFGDGETEIASTEAELRKIVVEEVAVAEARRRRRGLRFEVLDVTRLAAMRPDAHPGVYIFKHAYAGGPVPETAPNDCLHWCAPGPVDTTEPIQ >LPERR06G07870.1 pep chromosome:Lperr_V1.4:6:5809413:5812535:-1 gene:LPERR06G07870 transcript:LPERR06G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPPRQHGATRLSCPTPATNTGGSSNFCSFLNRVVSTWLLCAMLSLVLFNLLWFYPIGASLNAASTLLQYFSLTSNQGATCDYSQGRWVPAPGRARLYNGTECNIKESERCTANGRPDTGYLDWRWQPTSASCELPPFDAAAFIAAARGKHVAFVGDSMARNQGESLVCLLSSAFRSEIVYRNPNPRDRRFWRWAFPSHNVTLSVYWAPFLAKSGGKTDNYREPHNLVYLDELAERWAADVDTMDVVVLTQGHWFWMPIVYHGAAGEIIGMHNVTGLNATVTDLGLFSPYRRTLRLALDRLVGSASANRTRTVVVATFSPSHFEKAWDDPTTCARTRPYEEGEKEVGEIEGQLGTIVKEEVASAAAAARSRGGESRVEVLDVTKLATMRPDGHPGVYMNPDPFKGGAPKKKLQIDCLHFCLPGPVDTFNEILQQLLISKRRGRTVGGRGSCDYSQGRWVAVGGTRGRYNGMECDVKGSENCVHNGRPDTGYQDWRWQPASASCRLPAFDAAAFLAAALGRHVTFVGDSMARNQAESLVCLLSSAFPYRLVYRDPEPGTRKFWRCAFPDPQRDGAPLLAVASGRSENFSVPYNVVHLDTLAERWSADAGTMDVAVISTGHWFWNPTVYYRNGGEVVTEIGFFSPYRDAIRMLIDRLLISGGRRGLAAMALSTGAGARTPAASATIAS >LPERR06G07880.1 pep chromosome:Lperr_V1.4:6:5814897:5818895:-1 gene:LPERR06G07880 transcript:LPERR06G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSANFALKLTGWTSTSPPLATHARPARAPTKLVRSGRLGSPARALDLATTRWGAPSPLRRGRRARRQGGHRRRGPATGEVEAPEPATGEDPAVDGSMPSTGARRSRGKRTEEEAAPLPSWRWHSAAVVGVRADLEDTLVIVGAGGGGVN >LPERR06G07890.1 pep chromosome:Lperr_V1.4:6:5818907:5820647:-1 gene:LPERR06G07890 transcript:LPERR06G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFLQNHQVQNNQFLLPKRHFVIYALYALIPLALLHYLLFNPVATAKKSVVVSQVREEAAVAISSHHEHVKVNDKHRHVSPPDDGDEVLKKDAKAGETPPPPPCDYSDGEWVPNARPPLYNGTSCATIKDGQNCMAHGRPDTGYLHWRWRPRRCDLPAFSPDAFLGWLRDKHLAFVGDSLARNQAESLMCLLASRSPPELVYRDGEENKFRRWAFREHNATVSIFWSPFLVKVAEKAEHAGVRHNNVFLDAFDERWLSQLAALDAVVLSIGHWFLIPGIYHDAGGEVVGCHDCAEFNHTEIPFFGVFKQAVHRTLAEINRRHVLAGGGDKVVAFTTFSPAHFEGDWDKAGACNKTRPYKNAEKEAGYTEKEMRKTVVEEVAAASAAAAGTGLRFAALDVTTLADLRPDGHPGPYMRNDPFAGGAGPRVQNDCVHWCMPGAIDTFNEILLQTITS >LPERR06G07900.1 pep chromosome:Lperr_V1.4:6:5829009:5831384:-1 gene:LPERR06G07900 transcript:LPERR06G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMISPEDVAYEEDVLREPFRLRCWTRYLSAIRAAPLAKRSVIYERALRALPGSYKLWHAYLTELADAARALPVTDRAHAALNSAFERALAAGMSRMPRIWQMYASALLDQRLLTRARRTLDRALRSLPVTQHHRMWPLLLRLASIPGCPAPTATRILRRHLQFDPAHAEDFVNFLVSAGRWRESADHLAAAVNDEYFVSVKGKTKRQLLLDMCDLLAKHPEEVAGMPVDAILRSSIRRFPDEAGALWACLAGQYARVGLDGKARDVFEEGIADATTVKDFRLVFESYVHFEHALVDQELGEHYTVEDKNRPGHGCWLAGRDDGDMALARLERFLERRPEMLNRVQLRQNPHDVQAWHARAKLFDEDPAKQAATYVEAIKTVDPVKVANGKPPPHTLWLAFAKMYEERGRLDSAREVLQRATQARFKAVDHLAAVWCEWAEMELRQHNTDKAIELIRQATRVATAASGEQAAQTKLHRSLKLWCFYADLMETHGWPPESACAVYDRMHELGLITPLLVLRHASLLDEHKRFEDAFRVYERGVSAFKHPHAEPIWSAYLTKLVERHGASRPERVRDVFDDAVRQAPAEKKKDVYMRYAKFEEEFGLGTRVLKVYEEAAAAVPCRDKLAVYEAYIARATALFGVVAAREIYNKAIVVHGGILPDADARMLCLRFADLEVGLGEVDRARALYVYSSGFTDSAAHPDFWKRWNDFEVLHGDECTFREMLRVKRTVAAANAGASAVARLAEQMDGAAEPALKRTLFACATGQIDRAEQCKRRRLV >LPERR06G07910.1 pep chromosome:Lperr_V1.4:6:5831789:5837883:1 gene:LPERR06G07910 transcript:LPERR06G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRSNPGHYVAQVTLRVAEEKPAAPAPAPAPARGTVRVTRVKLLKPKDTLQLGQAYRLITVDEVTKALQAKKEEKSRRAAQQQQQHLMESKAGAGAGGRINSGGDDHTQIDENHDQHDRDSQRRSSATHSRHRQWRPSLHSIAEVSS >LPERR06G07910.2 pep chromosome:Lperr_V1.4:6:5834057:5837883:1 gene:LPERR06G07910 transcript:LPERR06G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRSNPGHYVAQVTLRVAEEKPAAPAPAPAPARGTVRVTRVKLLKPKDTLQLGQAYRLITVDEVTKALQAKKEEKSRRAAQQQQQHLMESKAGAGAGGRINSGGDDHTQIDENHDQHDRDSQRRSSATHSRHRQWRPSLHSIAEVSS >LPERR06G07920.1 pep chromosome:Lperr_V1.4:6:5843424:5847792:-1 gene:LPERR06G07920 transcript:LPERR06G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRCVDDDDDALLCGEDACELGRDGEPAGSSSSCATADDDGGGRGEVVLVTSPARECFYYDDVDVPVAEFVPGSPHAGAERRLRTATHRPGWSESVSWILKVRSFHGFQPATAYLAVSYMDRFLSSRSLPDHGWASQLLCVACLSLAAKMEETTTTPLLDLQIGGTRFIFEPRTIQRMELLVLVELDWRLRSVTPFPFVDFFARKACSTGRISRILALRACEIILNTIHEIDFLNHSASSMAAAAVLLAVNESPATSCRSVSPESAASWCIGLTEEGISNCYQLLQQLVLNTAEKRKQHPMILAPQLRPMNCSST >LPERR06G07920.2 pep chromosome:Lperr_V1.4:6:5844171:5847792:-1 gene:LPERR06G07920 transcript:LPERR06G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRCVDDDDDALLCGEDACELGRDGEPAGSSSSCATADDDGGGRGEVVLVTSPARECFYYDDVDVPVAEFVPGSPHAGAERRLRTATHRPGWSESVSWILKVRSFHGFQPATAYLAVSYMDRFLSSRSLPDHGWASQLLCVACLSLAAKMEETTTTPLLDLQIGGTRFIFEPRTIQRMELLVLVELDWRLRSVTPFPFVDFFARKACSTGRISRILALRACEIILNTIHEIDFLNHSASSMAAAAVLLAVNESPATSCRSVSPESAASWCIGLTEEGISNCYQLLQQLVLNTAEKRKQHPMILAPQLRPMNCSSVSSSSRSKRHKLDGHLGED >LPERR06G07930.1 pep chromosome:Lperr_V1.4:6:5859515:5861382:1 gene:LPERR06G07930 transcript:LPERR06G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTGLPSRVAAQPSSLASSPKRAARLARAARAAFRPRHRRRLVSSSGTRAAAASRSRETPPLSRLAEACLSPSLSPDEAVLIAGGDGPAMTRGGDGANGGGQKQGAGKLPRKRFYRARAHSNPLSDSHFPIPISPDEVDLSQHYPRYFPAGEGDAAAPRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEEIKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQEE >LPERR06G07940.1 pep chromosome:Lperr_V1.4:6:5862249:5864093:-1 gene:LPERR06G07940 transcript:LPERR06G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWAPAPTSSPTPSAPLLRATQRALSPRLPPPPHHPPPSPPLLLSICPRLALRALPSSQGDVFWEEPDDGSGSDDEDDEAEQKEESGRRGFSSFPSPSLFSGLDAARRQEQREEQELRGEIEFLLTPEEMAILDQNETADITKISSPKWHPVHSYALALQIPLMDRLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGAKPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHIAIQSRNRDIAKILLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLVPADRKM >LPERR06G07950.1 pep chromosome:Lperr_V1.4:6:5865841:5868225:1 gene:LPERR06G07950 transcript:LPERR06G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLAARVRPLLAPPLAAAGLRGYAARAPSPRPSSSSSAAAAAAATLLTILQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALSSLLIIPTPLRDAIYDYIAKNRYDWFGKDDECIVIKDKELLERFIDREEMLGGGPSNSF >LPERR06G07960.1 pep chromosome:Lperr_V1.4:6:5869227:5874529:-1 gene:LPERR06G07960 transcript:LPERR06G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPARAAAKSDPDGMFRGVSAFVVPHAVQSRRLEVWKQRLAQMGGRVQEKIAKGVSVTHVLAADAKALLRELDAAWLHRFRGSVVSFDWLEECLKSGERLPEHKFAINYEEEFKPKKEGGTANSDVSQPAKRSKISSDGAKNHMETAGGNQESQDASVSANEDSVVVKGPSTYASSQSASGDSKDTIGSHNAFNSEETSSGESTNYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIQSADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENNEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQRDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKILQEVGTDILPGVIIVCGGSYRRGKASCGDMDIIITHPDGKSHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKVYPRNRYAFGLLAWTGNDVLNRRLRLLADSKGYILDDTGLYLATQGSGGKRGGRSEAIVNCDTERDVFETLGFPWFEPHERNL >LPERR06G07970.1 pep chromosome:Lperr_V1.4:6:5875485:5881410:-1 gene:LPERR06G07970 transcript:LPERR06G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCTHCKSTLQFSNYCSIEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGHKGQQNRFSSMFVGTQDKCIVCNKTVYPLEKVDLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSKFKDNNANVKVDVEKQPEHEDATLNPGDPGQGDGLTEKPIESEVTPEKPSQDDIVAEKQSESSVDVSNQSESTAVQRSEGEKVTESESKSHVVSKKPSESSVEKPTQSSDVNVQPSGSSAAIRKPWQRNVPTDKPLLSSTATDKPSPSSAAIEEPLPSNDVDAKHPESSIASTVVKKPWQRKIATGNPPQSISPSDKPSSTSEDDVKPSENSKLIRKPWQRLVAAETQIQNSGPTDKSSPTNDTKPLESTASIKRPWERRVINEKPLQNNTDMEKPPQSNADTEKSLQNNTDSEKPSSSVVNVKLAETSTAPTVPQENSGTMEKSSQTNADDAKQSESTAAVIRKQWQRNIGFQKQPQSSATDAKKPESSGMGKRLWQRNVPTDKQSQSGASVVTPSQVSVAVKKPWQRSISREKQSEKDISGNKSLQSNVLAEEAKQINVTADNKSDIILDKKNNGATAENPLAINETAGKLPLTANILQADISTEKSSESDMFAVASSQSTEPSNKPSESTVENEKPSQNDIATEKLPQTQSSMDKKVLRSVEAMSEPSPSDAVEQKILEREILTEKLSDSTIADEKLSQTATLTEKPSQEDAAEKRPQINEPSEQPLESEEIVEKPLHNEANAETATKGGDLPQSDLSLENPSEDDANVGNPTEPESDVKLSKAPLEHEGAATGVNSPDSQISPTAEQFVEPQGIISAEKTSDQILEANTDSATNQSSESQDVAPAEVATERPLENQEDAASEQLLEPPHKADEENPEENNSDATAKESSEPESGVAAEMPSEPERDTASDQLAEQPSESQTAGEKATLHESDALTEDQAETQIDAASDRSTEQLSESQIEVETPLLHQSDASNEVPLEPQIAAASDKSSEQPLESENDASRDEKTPKTESDAVHDKSSENSPATETLPESTPKSSLVSSSEAAEGNISEEAPLQDGAPTETPAQSAIAVEESKHDDEASVKQLEESSALEEPSQEDDTSTKPSENTVTVEKASEEDQGSVKPSEDNAALEKTLEEDEASAKLLEDSVALEKPSEEDDASTKPSEDTVTLHKESEEDMGSVEPTQDNASLEKPLEENEVSAKPSVSVALDKPSVEDDDSAKPSEDSVDLEKPDAQKPSEEEEDSLAGNKSSPEDAVIEAPLQDGTDKPSLAADTAETA >LPERR06G07980.1 pep chromosome:Lperr_V1.4:6:5883595:5887603:-1 gene:LPERR06G07980 transcript:LPERR06G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVQPPWLLLRFIAILLASTVATVFAAAAPPSPATVPFDDNYVALYGADGYHLVNQGTQISITMDKSSGAAFYSKLAYGSGFFRMRVKVPAGYTAGVVTAYFLGSEPMGNVRDEVDFEFLGDKDDKPITLQTNIFVNGIGGREERFHLWFVYPTKPMLARGCVWDGSDWATDFGKTKVNWTRGPFTGEFQGFAIGDECASAAGEDTAAKCGSPDLWWNGDGYRSLTAAQKAAYEGVRRNLTYNYCDHKSKRKPECRITECSGHISIYVGTIKTTPPWLLVHFIAICMLLPRQASVFVVAPPPATVFDDNYMAAYGGDGYHLVNQGTQISITMDRNSGAAFNSKLTYGSGFFHMRIKVPDGYTAGVVTAYYLASEPARDVQDEVDFEFLGDKDGKPITLQTNVFVNGDGDREQRLRLWFDPAADFHDYSILWNPFLLVMFVDETPVRVLRNLTSLGPEFAFPTKPMKARGSVWDGSDWATDGGRSKVDWGRGPFTAGFQGFAVDACDAGDAAAKCGSPDLWWNGDGYRRLTAAQQAAYEGVKRNMTYDYCTDKSRKKPVPAECSVTEAV >LPERR06G07990.1 pep chromosome:Lperr_V1.4:6:5890652:5896688:-1 gene:LPERR06G07990 transcript:LPERR06G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLISSSRPLKPFLPHSPRRHVSLRSRPPPPLRRLPFSSSSSSSRTAPSLPSSKFVVTRAAARELFDGGSHSRDRPPGVGRGGARRRDEYRVEQGEPAGPPPPVVAAVRGVAPYVVPAAAVFALSFVLWRVVQNFLPGKTKDPSSGESTSSGIMWSFAAGSNLSTSKSFNAEKESRRNLNKFYKELRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLYLNGNYGGPLGASSLAKGVLGNKTLRELHLHGNGFGNEGVRALMSALCAHKGKITVLDIGNNNITSEGSLHVAEFIKMTRSLLWLSLYMNDISDEGAEKVADALKENKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPDGVKALCDVLKFNGKVRTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >LPERR06G07990.2 pep chromosome:Lperr_V1.4:6:5890652:5896688:-1 gene:LPERR06G07990 transcript:LPERR06G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLISSSRPLKPFLPHSPRRHVSLRSRPPPPLRRLPFSSSSSSSRTAPSLPSSKFVVTRAAARELFDGGSHSRDRPPGVGRGGARRRDEYRVEQGEPAGPPPPVVAAVRGVAPYVVPAAARTVLWRVVQNFLPGKTKDPSSGESTSSGIMWSFAAGSNLSTSKSFNAEKESRRNLNKFYKELRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLYLNGNYGGPLGASSLAKGVLGNKTLRELHLHGNGFGNEGVRALMSALCAHKGKITVLDIGNNNITSEGSLHVAEFIKMTRSLLWLSLYMNDISDEGAEKVADALKENKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPDGVKALCDVLKFNGKVRTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >LPERR06G07990.3 pep chromosome:Lperr_V1.4:6:5890652:5896688:-1 gene:LPERR06G07990 transcript:LPERR06G07990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLISSSRPLKPFLPHSPRRHVSLRSRPPPPLRRLPFSSSSSSSRTAPSLPSSKFVVTRAAARELFDGGSHSRDRPPGVGRGGARRRDEYRVEQGEPAGPPPPVVAAVRGVAPYVVPAAARTVLWRVVQNFLPGKTKDPSSGESTSSGIMWSFAAGSNLSTSKSFNAEKESRRNLNKFYKELRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNELHLHGNGFGNEGVRALMSALCAHKGKITVLDIGNNNITSEGSLHVAEFIKMTRSLLWLSLYMNDISDEGAEKVADALKENKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPDGVKALCDVLKFNGKVRTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >LPERR06G08000.1 pep chromosome:Lperr_V1.4:6:5899348:5903739:-1 gene:LPERR06G08000 transcript:LPERR06G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKAEKGDPAATAAQRRDPYDVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDLFQEVTFSYNILSDPDKRRQYDTSGFEAIEGDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKTGLVCRVKSTDKSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSASYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTDMTSRYTQEMQAIDQLLKERNEIHASYTINSPLKRSSSRGKAKSPSKWSKSEDDKNQRKEKKVKGQPTGECRADEDSTEKKTKERFPRKKWQSKDLNVQFKYRALQP >LPERR06G08000.2 pep chromosome:Lperr_V1.4:6:5899488:5903739:-1 gene:LPERR06G08000 transcript:LPERR06G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKAEKGDPAATAAQRRDPYDVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDLFQEVTFSYNILSDPDKRRQYDTSGFEAIEGDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKTGLVCRVKSTDKSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSASYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTDMTSRYTQEMQAIDQLLKERNEIHASYTINSPLKRSSSRGKAKSPSKWSKSEDDKNQRKEKKVKGQPTGECRADEDSTEKKTKERFPRKKWLNIPFKVDRRKPC >LPERR06G08010.1 pep chromosome:Lperr_V1.4:6:5906177:5908869:-1 gene:LPERR06G08010 transcript:LPERR06G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPKRKPPLPPTPSPEPYPDHPFPSPAQCLAVRDALLAFHGFPDEFAPFRRLRLGLGGSPEDADDPPLPPPTVLDGLVTTLLSQNTTEVISRRAFDSLKAAFPTWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKTELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKSIGWVPATASREKAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCAKKRPENHGLEFCVPPSKLWLHRRKDETIAKRVDWTASRLSCMVSG >LPERR06G08020.1 pep chromosome:Lperr_V1.4:6:5913468:5915036:1 gene:LPERR06G08020 transcript:LPERR06G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWPRWRPSSSTSPPVSSTSSPSSSFTADPPAEFLCPISGTLMADPVIVPPGQTFERACIQACAALAFSPPAVAADLSSLPSASSPLVLIPNVALRTAILNWCDRLSLPYPTPLSPDTAHDIVRRLMPSPPPPQNEQRPQPVSSVRTRSHYSDGSAQQETRQSGDFNSMEEKIMGMLGAEDEQEAAMALLRKATRENKEVRRQLCTPRLLAALRPMLLSSDAGVQVNAAAALVNLSLEPENKVRIVRSGAVSPLVEVLKGGHPEARDHAAGAVYSLAVEDENRAAIGVLGAIPPLLDLFACAGAEHLARREAGMALYHVSISGMNRSKIARTPGVVRTLLAVAVAVAESRDRDHHRGSDADAAAALQRIAVMILANLAGCPDGRTALMDGGAVAAVVRLMSSGAAAAGSAEEEYCISALYGMSRGSLRFRGLARAAGVEAVLTPVAEAAGGGVGRDMARRTLRAMRGEDDEAAVTATGLLGRQWDDGSVVSEGLVSIRGPPPHHRSNYAGGTSGSNTTQF >LPERR06G08030.1 pep chromosome:Lperr_V1.4:6:5917068:5918477:1 gene:LPERR06G08030 transcript:LPERR06G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPPEFVCPISGELMGDPVIVSTGETFERACVEACIALAFTPPALSPCIDLAASPPALIPNANLKKAISSYCDRSGRPRPLAVAPEEARGIVRRLMATTTRVNGERFDSREFAALGLTQEEVVLVRLLDDEPSRQEAALEALKQTLRGAGAGGENGQRRALCTPRLLDGLRRLMDGSGHEGVRVSAAACVVNLSLDPSNRVQIVRAELVPVLVKLLGAGDASSPELRDHAAGALYSLAIEERNRIPIGVLGAVPPLLRLLAGSSGGVDGDRARRDAGMALYYLSLDEMNRSRVARSPGAVAVLVGAAGDAAVRRPALMVMANLAGCGEGREALIDGGAVAAVTGLMRRGAVARGSTEEEYCLSALHGMSRGNVRFGALARAEDVLRRVAEGTGGGVRRDMAWRTLRAVSGNAAGEDDVSPWLDDVSVMSEAMAMPHFPRRLVEHAHGPPPRSNTTALDRLRQAPDG >LPERR06G08040.1 pep chromosome:Lperr_V1.4:6:5919074:5921873:-1 gene:LPERR06G08040 transcript:LPERR06G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRQLTKKGIFESSSFHQAAEQTNQNQAMLSPAYLAVFAATLLLLRAPLPALAGRPIVADGKPAPSEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGEPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKAALFTKHPEMKGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYGKSQALLKSS >LPERR06G08050.1 pep chromosome:Lperr_V1.4:6:5923626:5924903:-1 gene:LPERR06G08050 transcript:LPERR06G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSPSMSLNPEEGIISQTIPFSSHPSLPSLYHSYNSSTSSCHHQCIATLRSGNSSYISGLAVVDGDSLYVASSDGQIRLWPLHEKSQSEVVSTSSSTVAVTNSSVKCLLSTGDNGLVSSHQDGTIRVWRRHAAGDHHLAMRAVLPTAADRLRAFLLPASHVDAPRRHGRRASWVRHVDAVTALAVSPDGAVMYSVSWDRSLKAWRLPGLRCAESVAEAHDDAVNAVVAAPDWRHVYTASADATVKAWRWRPEEGRLSLVSVMARHGAAVNALAIGGGGDGGWVLYSGGCDRSVVVWESEASGDGGGADDVRVMVAGVTLRGHKKAVLCLAAAGDVVCSGSADRTVRVWRREAAPAEGYSCLAVLDGHGGAVKSLAVVRRGEGCDPCCSCDVEESSCCGCAALVCSGSLDCDVKLWRVAVSCL >LPERR06G08060.1 pep chromosome:Lperr_V1.4:6:5928826:5929597:-1 gene:LPERR06G08060 transcript:LPERR06G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLVYSAGGLGFLALAALESLPLRLPSTPLPRRLSTRLHLRHLLAGVISLLCLVSAVVSAHHLSLPTLAASALFLLYSLAPFAPLPSPIPLPLLDLVLAAAFAEELLLFARGGVGAAGDVDGADGGCSLHAQSRVDYTIKCRTHEDYHRARSAATLQFNGHLALLVLAGAAAYAATVSKGNQVPSGYRMLSKEVQMEGMPLHSQFTLDSDEEKEDEGRIADSAMPVVNGSEPHHEIAVQAPDLK >LPERR06G08070.1 pep chromosome:Lperr_V1.4:6:5931140:5933471:1 gene:LPERR06G08070 transcript:LPERR06G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCPAAARRFLLTPLFFGRRRHDQVRYSTLHPSPLATARAASPVVASSSFSPSQQRQVSLYVDALLDWNQRMNLTAVTDEDEVMTRHVADSLAVLPSIERAYRAHSVSSSGSGDMEGMRLIDVGSGAGLPGLILAVARPGWKFTLLESMRKRCTFLEHAVEVMGLSNVDILCDRAENVGQSPDFRESFDVAVARAVAELKVLEIKPSILLIAEYCLPLVRVDGLFIAAKGHDPHEEIKSAESAVHKLGASMLEICDVESMGPHGQRTAVVYLKERITPKKYPRHPGTPSKMPL >LPERR06G08070.2 pep chromosome:Lperr_V1.4:6:5931140:5933471:1 gene:LPERR06G08070 transcript:LPERR06G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCPAAARRFLLTPLFFGRRRHDQVRYSTLHPSPLATARAASPVVASSSFSPSQQRQVSLYVDALLDWNQRMNLTAVTDEDEVMTRHVADSLAVLPSIERAYRAHSVSSSGSGDMEGMRLIDVGSGAGLPGLILAVARPGWKFTLLESMRKRCTFLEHAVEVMGLSNVDILCDRAENVGQSPDFRESFDVAVARAVAELKVLAEYCLPLVRVDGLFIAAKGHDPHEEIKSAESAVHKLGASMLEICDVESMGPHGQRTAVVYLKERITPKKYPRHPGTPSKMPL >LPERR06G08080.1 pep chromosome:Lperr_V1.4:6:5934053:5935162:1 gene:LPERR06G08080 transcript:LPERR06G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSPLVVLLAVAAVVSTVSPASALPAGLPDIKSLPNPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSSPSSPSFNDMFDADMELAIKTYQRNFGLNVTGDLDAATVSQMMAPRCGVADVVNGTSTMGADGAVHGRGLFSYFPGSPRWPRSKKTLRYAITATSATSIDRATLSRVFASAFAQWSAATTLNFTETASASDADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTNGRLHLDASEAWVAGGDVTKASSSFAVDLESVAVHEIGHILGLGHSSVEDSIMFPTLTSRTKKVNLGTDDVAGIQGLYGNNPNFKGVAPPATTTSSREMDTAGAGALSRTWRGLVDGAAAVAIGFGLALL >LPERR06G08090.1 pep chromosome:Lperr_V1.4:6:5941004:5941291:1 gene:LPERR06G08090 transcript:LPERR06G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGTSWADQWDYGSDPSPAPSSSGKRSGGGSGGKKSGGGVEKTKAAAASGLRKVKEGTASGFQWIKDKYQQKKSGSGGKKHGEQRGSEISGY >LPERR06G08100.1 pep chromosome:Lperr_V1.4:6:5943668:5946670:-1 gene:LPERR06G08100 transcript:LPERR06G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKPPATTASSTARLSRPCVLIIVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSTWSGVTSMLPLLSAVLADSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDEDDDGSTTEEHRSKVKSLFFQWWYFGICSGSLLGNTTMSYVQDTVGWGLGFAIPSAVMAFSVAAFFCCTPLYKQKQVRVVHKPCRDSILKALRSLIANVTARKIVLPSRDDDDSDIVCELELQEKPLKLADQKHEEAMSEATPSVAKIILRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRIGGATAAFVIPPAMLQSSITVSIILLMPLYDRMVVPITSLVTGHDKGITVLQRIGVGMVLSIVAMAIAAVVEARRRVGGGARMSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMATAGGGGGHGWFSDDPREAHLDRYYWFLALLSCVSFVVFTHLCKYY >LPERR06G08110.1 pep chromosome:Lperr_V1.4:6:5951075:5956607:1 gene:LPERR06G08110 transcript:LPERR06G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAALPLSQVSSSLAAGSPATHALRSRRRRRGWRRPRGLLVWGALVAFFFVMNWWMFSRLQDPAVRPHFRLRRHRHQPVANTSLSTREEVSSAGKGNRPHQVMLTRLLALAAHALAEAETRPEPKDLWKEPINATMWQPCSNNRTWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSYLKPDIRIVKELPVDLQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVLHFVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPYPSPLEDNLLSHFAKTSVLKGNNNAASKYLAVHLRFEIDMVSYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNVYIAGAEIYGGRHRMAAISRLYPALVSKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRVKKLIQQTKQVHERPVARSIFRHPRCLDCMCRTEN >LPERR06G08110.2 pep chromosome:Lperr_V1.4:6:5951075:5956607:1 gene:LPERR06G08110 transcript:LPERR06G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAALPLSQVSSSLAAGSPATHALRSRRRRRGWRRPRGLLVWGALVAFFFVMNWWMFSRLQDPAVRPHFRLRRHRHQPVANTSLSTREEVSSAGKGNRPHQVMLTRLLALAAHALAEAETRPEPKDLWKEPINATMWQPCSNNRTWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNELPVDLQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVLHFVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPYPSPLEDNLLSHFAKTSVLKGNNNAASKYLAVHLRFEIDMVSYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNVYIAGAEIYGGRHRMAAISRLYPALVSKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRVKKLIQQTKQVHERPVARSIFRHPRCLDCMCRTEN >LPERR06G08120.1 pep chromosome:Lperr_V1.4:6:5957444:5961700:1 gene:LPERR06G08120 transcript:LPERR06G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGSYFLQESKHAAGRLAEKLPSPAPGAATAAASQPSPDVLPEILRHSVPIKATPPPGEPSLSTASRWSLPPGGAEPAGLSPDALNPLRSYISLPQATFGPKRWELPNEQPIYSASTANERRRDRHPPPMDPEKLKAAVAGHSQIGKAFVAATVLVFGGATAGILYIADKLQLHSVDDVRAKGKDAVQPRADMIKEQIAPLRIWAEEMSQKWHFEVDKDAEKSIIRELSRSLGSRAPPK >LPERR06G08130.1 pep chromosome:Lperr_V1.4:6:5974154:5975809:1 gene:LPERR06G08130 transcript:LPERR06G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKIQIQIVVVAGGDDRAGLWLDGAIVNPAEVKAYWEATLPNTPMPQAILDILEQLQGEEQKHIYSHEHGRVHFPKGARDLFFFENNITPGSVLITRILSTRSSPVFLHRDNTKHIQFSTKNFTDIINLFEPASLTMVDDIKSTLQLCEHPQMVHGEKPGCATSIESFLELVVSSLGTNDVRALSPRIPMEGVPSIRYIVASAMSVTNS >LPERR06G08140.1 pep chromosome:Lperr_V1.4:6:5981778:5983761:-1 gene:LPERR06G08140 transcript:LPERR06G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKFRTLQDELNSQDMVDGHVLVLNHFMSSLKSQAIRCACGLGIPAVLHRLGGSATVANLLDETGLHPSKLPYLRRLMRALTVSGIFAATRGNNITETVYSLTPASRLLVGDTTSSSMSVLQSQRPEKGFAMFFRMEEWFRDDGGAGTLFKMEHGVTSPWELAKENSDYNTGLNKSCVIDTSIVMDVLLRGPSADVFRGVTSLVDVGGGYGAASLAIVGAFPGIKCTVMDLQQVIGEAPDDGVVQFVAGDMFEFVPPAQAAFFKNVLDCWSDDDCVKILRQCKKAIAKRDAGGKVIIINVVVGHGPDNVAAKETQVFYDMYMMSIDGIEREEHEWRDIFIEAGFSDYKITPILGYQSIIEVFP >LPERR06G08150.1 pep chromosome:Lperr_V1.4:6:5988949:5990081:-1 gene:LPERR06G08150 transcript:LPERR06G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIQLERRFAPWTSSPVRTIMYGSHLRMSSTCTRLTPSTSLLTVWVIMEMQRAIKNKNNQIAFMDPRQISTLMVQAQAHNVEDSILHFVSQHHFRKWIFLPYNHSFHWVLIAFNMSHSTLVVFDSMDKEADFFTEINAIIDRVWDRFRKLICGTFKEKHERIYNLKVDKQKMGTNLCAYFYMDLVGKKPHDDMIKAIQEQLIGFINERILDPAGEFYMND >LPERR06G08160.1 pep chromosome:Lperr_V1.4:6:5990113:5990611:-1 gene:LPERR06G08160 transcript:LPERR06G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEELYEVVKGEEQEHFKSKEKNPFDIPTDKGKLNYFTKMSKGTKNIIAIGPPPKPASGYNRTLKKARQKTAHTGKRSASARRTNAKGARPVSISGGWQGRAAVIHGGRRADKGQNYGRGMIPVAVAEPKPTYVPGEPFLSADKLKTIGTQMH >LPERR06G08170.1 pep chromosome:Lperr_V1.4:6:6012625:6014881:1 gene:LPERR06G08170 transcript:LPERR06G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTHANGEDNKRMEKILWWYNKGHAKDGDDKKMEKFLWGYNRGQTNDEDDKMMNKFLWAYNKGHSNDGDDKKMEKFLLAYNKGQTNERDDKEMKKFLSGYNKGQTNDGDDKTMENFLWGYNKGQTNDGEDRNLEKFLWAYNKGQTHNGDDKKMEKFLWAYNKGQTNGGDDKRMEKFLWGYNKDQTNDEDDKKMEKFLWAYNKGQTNHGDDRKMDKFLWAYNKDQVKNEDDKKMEKFLWGYNKGQSNDEDDRKVEKFLWEYNKGHSSDGDDKKMEKFLWGYNKGQAKDGDDKKMEKFLWAYNKGQSNDKDDKRMEKILWGYNKGQGNDGDDRMMRKFLRSYNKDQGNEKKMEKFLWAYNKGQAKDGDDKNMVKFLWGYNKGQTNDVDDKNMEKFLWGYTKGQTSDENDKRMENFLWGYNKDQSKDEDDKSMEKFLWGYNKGRANNEDDRMIEEEHKHTQSHAHMGHVHFPKGARDIFFFEDNLAIGSVLTTRILSTRSSSIFLRQDNSKHIPFTMKKFTNIVNIFAPVSLDMADDIATTLQHCEHPQMVHGEKDGCVTSIESLLDLVVSSLGTNDVRALSPNAPNEGIPSVNYKVASSTLVSNSRAVLVCHDMPYPYKVFFCHTSTPTRAYKVSLVSNESGRPSIDALAVCHLNTSHWAPDHPFFQLMDVKPGETAACHFLDRGAIIWVPAMEEATQ >LPERR06G08180.1 pep chromosome:Lperr_V1.4:6:6051084:6052727:-1 gene:LPERR06G08180 transcript:LPERR06G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERDLHMAIGEAEVNYANNSRLQRKALLKTKPVLDRVVRQVCTALLHPTMVVADLGCSVGANTLIFVSEVISTVADAQRRNELGCNPIELQFFLNDLPGNDFNQVFQSLQQFTKSVAAGRPNGVALPPFYISGLPGSYYTRLFPCKSVHLFHSSYCLHWQSQLIKDMDESMAGLNGGNIYITTSTPPSVVKLYQDQFQKDMPLFLKLRHQELVPGGKMLLTFLGRKKENVLDGNLSHIYALLAEALQSLVTKGLVEKGKLESFNLPLYGPSIDEVKAVVARNGLFDIDHLELFESNWDPYDDLDHVGKCRSPRRGMNIAKCIRAVFEPLLASHFGEDILDELFQRCAKIMESHHAEENMNYAVIVLSLSRRG >LPERR06G08190.1 pep chromosome:Lperr_V1.4:6:6061259:6069419:1 gene:LPERR06G08190 transcript:LPERR06G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPVTPSPSPLLPVKRDPDGDIAAGSPTPAYTPAPPSRKRRRLRGHIPATPTQPLLTPQTIPSTSSRRESFAGDGSGLPTPGSVPSSFVKSEPDADSNTDTDDGSEVQDARRKAADGVQENFHPARPAPAERPTLWVNRRRLGRLLHELVRAHRWREAAGVFSALVTGIRYPDTYEEVRSLFAVGMEIQRRLDEDSGIQQGTRSRYYLRTQKLYDVWMRKLIWLPASAKKYLVKLELALFYLSQGSIDNAYNTTRALITKDTLRAEPTLNLIHGLISYDKWYSGLPKDMQLKEFDVYAESCTVSMATHRCDENGQQDSSDDNCSIDADSSFLGCSSQSSINNGNIDKGRKIPRKPSFVRSAQENDSMGSQVDVKNVDTDFQSVFFNTSNTPTCGLEKSLFPLRLKHSDGASNACFDSYWKYKSTPNAFYGDAEKCLRVALYSTPPTMAALLPLIQILLLGDKLKVALAELEKTCHSSTTALPFRLRGRLLEYFDQNQVSTISSCYAEALRRDPTCSYSMERLIRLHRKGYYDTIQLLEAIALHLDSVNGKASIWEELISCFLRLFSDWSSDYRDCMSCNVQGDLTFTASSKFSCVFFEQHTRETWKVRCRWWMNHHFSQNICTQETLTGDCKLLVSKAACACHLFGPEFGYVKAVESYLSGQKAADEIAFLSRTMQNSIQ >LPERR06G08190.2 pep chromosome:Lperr_V1.4:6:6061259:6069419:1 gene:LPERR06G08190 transcript:LPERR06G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPVTPSPSPLLPVKRDPDGDIAAGSPTPAYTPAPPSRKRRRLRGHIPATPTQPLLTPQTIPSTSSRRESFAGDGSGLPTPGSVPSSFVKSEPDADSNTDTDDGSEVQDARRKAADGVQENFHPARPAPAERPTLWVNRRRLGRLLHELVRAHRWREAAGVFSALVTGIRYPDTYEEVRSLFAVGMEIQRRLDEDSGIQQGTRSRYYLRTQKLYDVWMRKLIWLPASAKKYLVKLELALFYLSQGSIDNAYNTTRALITKDTLRAEPTLNLIHGLISYDKWYSGLPKDMQLKEFDVYAESCTVSMATHRCDENGQQDSSDDNCSIDADSSFLGCSSQSSINNGNIDKGRKIPRKPSFVRSAQENDSMGSQVDVKNVDTDFQSVFFNTSNTPTCGLEKSLFPLRLKHSDGASNACFDSYWKYKSTPNAFYGDAEKCLRVALYSTPPTMAALLPLIQILLLGDKLKVALAELEKTCHSSTTALPFRLRGRLLEYFDQNQVSTISSCYAEALRRDPTCSYSMERLIRLHRKGYYDTIQLLEAIALHLDSVNGKASIWEELISCFLRLFSDWSSDYRDCMSCNVQGDLTFTASSKFSCVFFEQHTRETWKVRCRWWMNHHFSQNICTQETLTDTVVALKVKMLRG >LPERR06G08200.1 pep chromosome:Lperr_V1.4:6:6069130:6071288:-1 gene:LPERR06G08200 transcript:LPERR06G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGGDPQKQLLSIIRDFATEKSHGERRVSDLKRRLDDVRAASDAAAGELESAKRAREDAEQELRGSQVQVAIAAASIQALEATISHLQEEISKAGSDLDELKRRVHQPDGSVKHEYKFQQTVSVELKGEKYSELPSGEGQLVRDRSETMESEGILEDLIDKVNSADAELHVLEEEYKKDMLDHNKVLQELADTQAKRVLMEARTAEMQKVHASLAEELHRRYVCSGCGVNNMVGFDEAAS >LPERR06G08200.2 pep chromosome:Lperr_V1.4:6:6069360:6071288:-1 gene:LPERR06G08200 transcript:LPERR06G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGGDPQKQLLSIIRDFATEKSHGERRVSDLKRRLDDVRAASDAAAGELESAKRAREDAEQELRGSQVQVAIAAASIQALEATISHLQEEISKAGSDLDELKRRVHQPDGSVKHEYKFQQTVSVELKGEKYSELPSGEGQLVRDRSETMESEGILEDLIDKVNSADAELHVLEEEYKKDMLDHNKVLQELADTQAKRVLMEAVLKEMRQLKELGEYPFLGSFSSS >LPERR06G08210.1 pep chromosome:Lperr_V1.4:6:6102347:6106625:1 gene:LPERR06G08210 transcript:LPERR06G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPLKQRVNRCLLRLSDRDTEAMAAAELDAIARGLEADELPSFLAAVSDARPTDRTPLRRHSLRLLALLAAAHPRDAASAPSADLAAYLQGLLPRLLKLLRSSAFKAKPALISLIGAASAASGGGSAATAVPSLRDALAGEDWAARKAAAEALALLALEHGDNLVDQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDAPSPQSQTRSSSTDYASDGRYPADSLGSNSVQSLSRRNSYPTNKSPPREALHSVSNRRASNSIVNKKNSPPSRQNSFQAKNYECKVNVIDAPDATPIKTMTEEKLLKDGNVRARLEARRVLFQKNGEERHNKVPGLKSGSRIVPYNGDDDLEETADTEDGHDEFQSGHKDEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLTASSGRMPNSEPDMNCCILSPKFWRRHDGGRYSSKYSISDTANYSEESRTSYKWERQKFGVQGVVTNPLADPNASFAGNAVVAQEARRQNSAQYRSRMG >LPERR06G08220.1 pep chromosome:Lperr_V1.4:6:6108082:6112788:-1 gene:LPERR06G08220 transcript:LPERR06G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNETIGVVPKNSWSIDPFGYSATMAYVLRRMGFHNMLIQRTHYELKKELAMNRNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRYDPVETNPNNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVDTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQRLQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLSSDGSCLKSQISPEWQYVSDEKVSTGQHRLYWRASVPALGLETYYVATGYDDCEKATPAVVKAFTTAGQFPCPEPYDCSKLEGKSVEMKNSYQSLSVDVRHGLLQTATHNKDGKQTDIGEEIGMYRSHGSGAYLFKPIGEAQPIVEEGGYFILSEGPLVQEAHSLPKTEWRKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVRFKTDIDNQGVFYSDLNGFQMSRRQMYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFMSKKPQEKSFKLPQQTFAPLAAPLPCDVHVVNLKVPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQEE >LPERR06G08230.1 pep chromosome:Lperr_V1.4:6:6114939:6121455:1 gene:LPERR06G08230 transcript:LPERR06G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALLSPAASRPLPLLSTNAPACRLHSLLPPLPVSGRRLRPSARPRGLGCARDGRGSARKSGVLEVGTASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFEDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGTSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAIVSYTTANDAMKTDLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGEGHGNSEGAFLPSLAEVAISLSSEIDPDAESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGASVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNPVNVVSEGSDVEILLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVVEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALRNVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELIKIESLVNQWVGSSTHLETKVMGLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVDTILEELRTTRNEVSALRSKIAVLKATSLASKATNFDNVSVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGSIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSR >LPERR06G08230.2 pep chromosome:Lperr_V1.4:6:6114939:6121455:1 gene:LPERR06G08230 transcript:LPERR06G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALLSPAASRPLPLLSTNAPACRLHSLLPPLPVSGRRLRPSARPRAPVESASQEVGTASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFEDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGTSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAIVSYTTANDAMKTDLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGEGHGNSEGAFLPSLAEVAISLSSEIDPDAESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGASVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNPVNVVSEGSDVEILLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVVEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALRNVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELIKIESLVNQWVGSSTHLETKVMGLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVDTILEELRTTRNEVSALRSKIAVLKATSLASKATNFDNVSVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGSIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSR >LPERR06G08240.1 pep chromosome:Lperr_V1.4:6:6126771:6129908:1 gene:LPERR06G08240 transcript:LPERR06G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIPSSSAAPAADAPVHAEVAQLPAPPPRPAPYQPPRLAVDGAGGGAVAVVGGGKPCRHHAYSRKQKSLGLLCTNFVALYDRGDVEAIGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYTWIGFGGVPAALRELKERALREVPVVGSPSLDETSAANVSDDEDDDKFDDADGDAESEKLSLSQSIDNSSDKPDAAPCKLRSDHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAENNMRTKVRRLYDIANVLSSLKLIEKTQQADSRKPAFRWLGQPKKNEGDSVTVAFAPTKMTLPSKRAFGTDLTNFDNKRGKLDSTMENRGNLTLGNRSDFVYGPFHPAGTRARKEHDNRKILEKDRKSIQDWENLASSFRPQYQNPALNDLFGHYVEAWSSWYSDLKRDAAS >LPERR06G08240.2 pep chromosome:Lperr_V1.4:6:6126771:6129908:1 gene:LPERR06G08240 transcript:LPERR06G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIPSSSAAPAADAPVHAEVAQLPAPPPRPAPYQPPRLAVDGAGGGAVAVVGGGKPCRHHAYSRKQKSLGLLCTNFVALYDRGDVEAIGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYTWIGFGGVPAALRELKERALREVPVVGSPSLDETSAANVSDDEDDDKFDDADGDAESEKLSLSQSIDNSSDKPDAAPCKLRSDHRKEKSLGLLTQNFVKLFLTMETQQADSRKPAFRWLGQPKKNEGDSVTVAFAPTKMTLPSKRAFGTDLTNFDNKRGKLDSTMENRGNLTLGNRSDFVYGPFHPAGTRARKEHDNRKILEKDRKSIQDWENLASSFRPQYQNPALNDLFGHYVEAWSSWYSDLKRDAAS >LPERR06G08250.1 pep chromosome:Lperr_V1.4:6:6132266:6133519:-1 gene:LPERR06G08250 transcript:LPERR06G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKRWVRPEVYPLFAAMGVAVAICGMQLYRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNFVRDKTPEIMPNINRFFTEPK >LPERR06G08260.1 pep chromosome:Lperr_V1.4:6:6138497:6141686:1 gene:LPERR06G08260 transcript:LPERR06G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVLRRLRPHRLVAPALTTTTTRGVSDSTDPLTIETSIPYKSHIVDPPSREVTTTPHELLTFFRDMSVMRRAEIAADSLYKSKLIRGFCHLYDGQEAVAVGMEAAITRSDAIITAYRDHCTYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLVTHDLATMQELKDMEKEIRKQVDTAIAKAKESPMPDPSELFTNVYANDCGLESFGVDRKVVRTVLP >LPERR06G08270.1 pep chromosome:Lperr_V1.4:6:6150505:6151617:1 gene:LPERR06G08270 transcript:LPERR06G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVAAVAAAMLVLVCARAAPLPRFAEAPEYRNGDGCPPPAMKAAAGMGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAAAGGGEEEGELRRAVAATFPSLRFEIYVFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFTRYFTPAFWSDPEIGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRQRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYISGEDGAAASPASRPAALSSTWPASVFSW >LPERR06G08280.1 pep chromosome:Lperr_V1.4:6:6157115:6157564:-1 gene:LPERR06G08280 transcript:LPERR06G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTNLDNNIMTMDYGELPEVDRLAFEAYAEDLRRKMLSCYRRTRQGVIKQEEFKLPVVNKSMVMTDSSKAPLNASEIVHMVDDAVVASLMNWFTSLSDTLDARMNDLENRLSSRLLGNAFTSYNSGHIYNVSSLIILHQLMYNTVGR >LPERR06G08290.1 pep chromosome:Lperr_V1.4:6:6163214:6168620:-1 gene:LPERR06G08290 transcript:LPERR06G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAANGGGAQASNAPAPGRLASVYSEVQTSRLKNALPLPSVLRSSFVLADGPASSAAGNPDEIAKLFPNLFGQPSVSLVPSAEPASTKPLKVGVVLSGGQAPGGHNVICGIFDFLQERAKGSIMYGFKGGPAGVMKGKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVTKLDLDGLVVIGGDDSNTNACLLAEYFRGKNLKTRVIGCPKTIDGDLKCKEVPISFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEV >LPERR06G08300.1 pep chromosome:Lperr_V1.4:6:6180911:6190454:1 gene:LPERR06G08300 transcript:LPERR06G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSQLSESMRQAASLLADDDPSDDSTPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSGRGRVEGINLKLRTSTAPPLKLIDLPGIDQRAVDDSVINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWHAEAETLKSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLNGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELRNRSSKKPQEADQSMGKRASSPQTGAEQAGGSSKPTKDKSSQQDKDTKEGGSTVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESVSDKVEWVNKIRGVIQSKGGSFKGPSTDGGSTRQSNSDGSSLDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRAASYTNDSSEAESPRTPTRPGEDWRSAFDSAANGPSSGSESRSRSADSRRGRYENGDVGSGANSGSRRTPNRMPPAPPKY >LPERR06G08300.2 pep chromosome:Lperr_V1.4:6:6180911:6190454:1 gene:LPERR06G08300 transcript:LPERR06G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSQLSESMRQAASLLADDDPSDDSTPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSGRGRVEGINLKLRTSTGCRILTINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWHAEAETLKSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLNGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELRNRSSKKPQEADQSMGKRASSPQTGAEQAGGSSKPTKDKSSQQDKDTKEGGSTVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESVSDKVEWVNKIRGVIQSKGGSFKGPSTDGGSTRQSNSDGSSLDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRAASYTNDSSEAESPRTPTRPGEDWRSAFDSAANGPSSGSESRSRSADSRRGRYENGDVGSGANSGSRRTPNRMPPAPPKY >LPERR06G08310.1 pep chromosome:Lperr_V1.4:6:6190891:6193918:-1 gene:LPERR06G08310 transcript:LPERR06G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEERKRHDYEEALRKSLLYFEAQRSGRLPHGQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGADVADSGELAHALEAIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASIVFREANPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLQRATGHAAYLDYVVDNADEFGGTGWAITEFSWDVKGDHEEKHRETLEKYREKAEHYVCACMGRNTAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSATLSAAGESAASCGADPAEVFAEARAQVDYVLGTNPIGMSYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRREANPNVVVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRNEATVGRSSPEMGISVNR >LPERR06G08320.1 pep chromosome:Lperr_V1.4:6:6213771:6214341:1 gene:LPERR06G08320 transcript:LPERR06G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTDVNCCCSRFVFVGNIAFHASEDELRAACELIGPLRSLRVATDPATNKRKGHAFVETKVAFYRSYLPQN >LPERR06G08330.1 pep chromosome:Lperr_V1.4:6:6215317:6215574:1 gene:LPERR06G08330 transcript:LPERR06G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTDVNCRCSRFVFVGNIPFHASEDELRAACELIGPLRSLRLAADPVTNKRKGHAFVEYADDETARSXRRGASQEEDEGGRS >LPERR06G08340.1 pep chromosome:Lperr_V1.4:6:6221377:6226666:1 gene:LPERR06G08340 transcript:LPERR06G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARPITPALPNLLPHRRLCSSTSTSTAAAASTPTAASLLADILSSPTPSASALTHLREKPTLAASLYSHLAAPSHAITRASLSLLLSLPARHRLPPPTAPLLSSVLSRLLARFPSSPEPAARFLAASLAAGGPAPDVLAFNSVLAALARARDVPGMAKVYASMQGGAVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSGIRPDVVMLNTVVDGLCKSGRVEEAVAFVEQRMKGVRGCPPNTVTYNCLIDALFRAGDVGMAYKLVGKMESEGVAQNVVTMNTIVGGLCRVGRNGAALEFFREKRNVWPEARGNAVTYSTLIGAFLHSNNIGMAMELFHEMTNEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKKAGLKMDAKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFTAVDELLGKMIDDGCQPSVVTFGTLVHGYCKAGEIDEALKIFRSMGESGILPNNVIYNTLIDFCCKSGDTDLAIKLFDEMKEKHVPANVTTFNALLKGLRDKNMPEKAFELMDQMKEEKCSPDYVTMDVLMEWLPVIGETDRLKSFMQEREHNAYKRIDSDLTEGEAVIFQQERLAVGGVNLAMERVHAGNEAGMRRSTGNNDRVSSRIFFPVLSSLIATDYCLLQYFSCEENAPGW >LPERR06G08350.1 pep chromosome:Lperr_V1.4:6:6227722:6227973:1 gene:LPERR06G08350 transcript:LPERR06G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRQLAWPVMVVAVALLMLSVLGTTVAAARPLDGEVDGWVAGAGGGVGASIVETLRRLYLQQLGGPGPSCGTNSPNNGCPP >LPERR06G08360.1 pep chromosome:Lperr_V1.4:6:6233022:6234836:1 gene:LPERR06G08360 transcript:LPERR06G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRMRLAIVVAMLLIGVVFLAVSGEAARPLMNEPSVFTGGDGAGVVQMLLRQMYLQRLGAGPSCGTNSSNGGCPHRPLN >LPERR06G08370.1 pep chromosome:Lperr_V1.4:6:6239650:6239853:1 gene:LPERR06G08370 transcript:LPERR06G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVASSSARPLSGGDGWAGDSGGVSGESFLQLLRRVYLQQLGSGPSCQTNSSNGGCPPPSPSGN >LPERR06G08380.1 pep chromosome:Lperr_V1.4:6:6244987:6245268:1 gene:LPERR06G08380 transcript:LPERR06G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVRSKLVVPMLMLSVILLGSSWPVAAARPLQKSDGGDVVVPTAAGVGNILVLPSSLWRLRHWLPVLEMKQHASCSTWDPNNVNCPPKPGN >LPERR06G08390.1 pep chromosome:Lperr_V1.4:6:6249679:6251049:-1 gene:LPERR06G08390 transcript:LPERR06G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSRATRVAGRLMPEIPLLRRDRKEAATRGGDDNDNGSEEVSVPAHFRCPISLELMRDPVTAPTGITYDRESLEGWLARGHATCPVTGRPVRLADIVPNHATRRMIQDWCVANRARGVERVPTPRVPVGDDDAAEALAAVSAAARRGDVIACGAAASRARAIGKESERNRRCLAAAGAARTLSSAFRQLAGEHVDSSAAVSGALGDILAALVVFFPLDEESRRCIASPPSLKSLTSLLLSSQTATAAAIVLREIASSGNRDALDAIARARGMCDALVGLVTRPVSSQATKAALVAAYYLVSSSDVAAARFADLGVVRVVVELLVDADKGTSEKALAVLDAALCAGGAAVDSALAHALTVPVLVKKMFRVSDMATDFAVSALWRLCRGDGAGAASCRGEALRVGAFQKLLLLLQVGCAGLTKERASELLKMLNGSRGSVECIETVDFKGLKRPF >LPERR06G08400.1 pep chromosome:Lperr_V1.4:6:6255288:6256492:-1 gene:LPERR06G08400 transcript:LPERR06G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAHYSSASTMVPVNCKLSMACTAPSIVLQGPDCLANSADQQQNAPSLINGTLERETQHPRPNQGVDSSSSITQAADILVALSAHGKKDRSSLLQLSLSNRKINLSRTGRIRFDSMPTPLKCKDQNGVERFVFHPCVITATQFSVPTWGVFLKRIASPDGTTLITVLQMRLSIHWRPQA >LPERR06G08410.1 pep chromosome:Lperr_V1.4:6:6256522:6271650:-1 gene:LPERR06G08410 transcript:LPERR06G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFRFVFVGNIAFHASEDELRAACELIGPLRSLRLAADPATNKRKGHAFVEYADDETARSACRNLHGHFLRGRELRVALADRRRGVRRVGDHEPVGMEDAVHAASLVVNGRPLESVTRYLASRSRRDLRKMVSETTEVMKQRVPGLDTVMEQAQHLLDMFAANEEEQARKKMKRANDKEQHAKLRKVVGVDGGIVKAAPRIGELRAACELIGPVRSLRLAADPATNKRRGHAFVEYADDETARSACRNLHGHFLRGRELLVALADRRRGARRVGDHEPVGLEDAVHAASLVVTGRPLESVTRYLASRSRLQLRKMVSETTEVMKQRVPGLDTVMEQAQHLLDMFAADEEEQARKKMKRASDEEHHAKRSKVVGVDGGVVKAPLRILKPQNLGLTRWALLARAAHESPNSIPLPSPSSSFASTSGPARKGPDCPCRGRRGERRRRRLEGGSYPMDSLQNSYGSCLKHKLVDDCLSKDFKYRRVEEDNVSPNLESIPLSSSHTQSCCIQPNLAKDCVNYLKSGVPTQVVFYRQGAWCDFPQKIMESLVDGFKTDKSSAVVVMDGQPLLVDFLSMTMVNLKTRKQQSVSWLDGTGKWFFPSTFFDKQVDQPVKLNMGTEGSGPDITEGMVPKAPNERFQTSPSVLQNSCITDILRKKIVPVERGSEIFQFVQNLFLSGMGSFAAPKNILHIHQYFPEDAVEQCRLEAFERQVLLTRKKIGDANVRYGWLGSRKQDIVGVLINGFVSTRSTRHNSDMCTGVYLSPKNRAFTTVGLCDVDEKGVQYMFLCRAILGNMGTIEPVSQEEFLSIYDSGVDNSLDPNYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGFWLCPTPKEVDLSTLQPVVCESDNGPTSPWISFRVLFETLPYCVSSLARELLFHHYQEMKDNKITREEMPSEWYKSHGELACYPSSIKLDNISNSTTNMNSASTRASYDSQAPSVQPQKYEPVDSTDGDLTVVSVALKGQHFSISSVCYESSSSHCTRSQDSAARMTPDDTLVRRALISESVNCCDSVGPSLESHGHTPLAQSFDSEGHVSLVSRSTFGNSAASLCSSSPSMTTEAQVSVAQSRVYENSSLDAKSIVAPTSSVAPQVHAPSMVPQNCARMSAIPHRCLPRSMAPTSVF >LPERR06G08420.1 pep chromosome:Lperr_V1.4:6:6262592:6265000:1 gene:LPERR06G08420 transcript:LPERR06G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSCTLAPSLPSSRNFPSPSPSKPSFSCADLTMVCGIHTTTPSLRCPTDDTRTLSISTDASYLQQQQQQQQQQQIRTVADFAIGFVVHNDGMTAAIAIVPYTVSPQSIPKYYFHRPTKCRAVAGKFAHANASLEEECRGCYSSDGPQPGDST >LPERR06G08430.1 pep chromosome:Lperr_V1.4:6:6271794:6272922:-1 gene:LPERR06G08430 transcript:LPERR06G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLIDQVARATTNCATRYHASEDELRSACELIGPVRSLRLAVDSATNKRKGHAFVEYADDETARSACRNLHGHFLRGRELRVALADRRRGVRRVGDHEPVGMEDAVHVASLVVNGRPLESVTRYLASRSRLQLRKMVSETTEVMKQRVPGMETVMEQAQHLLDMFAADEEEQARKKMKRASDEEQHAKLRKVVGVDGGVVKAAPRIVPCF >LPERR06G08440.1 pep chromosome:Lperr_V1.4:6:6273746:6280728:-1 gene:LPERR06G08440 transcript:LPERR06G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGQHGSKRRVVDDEEEEGREMAAGRRRKEEDEDEEEVEYEVDVLRDRIESSRGSRLALFGSDLRLGRFRPRRRRHRHRHGFASAVDGDDGFFQDLVIDPDNQWYRLWTKFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVSEFFKSMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNIREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESSEYGGRIKQLESDITFHIGKQEAELTLRVNNAAFYGDLHQLKSLIRAGADPKNTDYDGRSPLAVKQGHERVATLLFSKGAKLSLNNAGGELCAAVARGDTDFVRRALAYGADPDSHDYDHRAPLHVAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRKCGSKTMMQLLDAAKADELSKFPDRGEEVRDKMHPRRCSVFPYHPWDSGEKRREGVVVWIPHTIEGLIRSAQEKLGLDGDGEGKLRLLGEDGARVQDVDMVHDGQKLYLVGGVEQSE >LPERR06G08450.1 pep chromosome:Lperr_V1.4:6:6300563:6304845:1 gene:LPERR06G08450 transcript:LPERR06G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAKEWLLFAGAGAAVGALSTAAIMGVLSRSKRREGYVRSLLESNSNGVVASGGAGSSGCPRVVGSSDLLDDEVVSEQLTRNIQFFGMESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEEEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEEAPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLDFQTEPIVNLDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYVVKELWRGRSARDQNMKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHETTTLDQIKEEEPEFYTMVSHVLKRAEAEFGF >LPERR06G08460.1 pep chromosome:Lperr_V1.4:6:6307221:6313299:1 gene:LPERR06G08460 transcript:LPERR06G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREEMAAVVAGAGGVAAAVERAVRCLGRGVDMAGDLKLKHCKDEGGCLVARSGEKAAAAVAVPGVGVVADLPADVKCGKGDRIRFKSDVLEFNKMSELFNYRNSLPGKIPSGLFNSCFDLDSDSWAIDAGNTKCLAFDGYFISLLDLRLDCQPLALAGHVITDVPAVWDPSSIASFIEKYGTHIIVGLSMGGQDVVYVKQDNSSPLSPSVIKEHLDMLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMKRQKIEGMAAPVSCKEGVTVIYTKRGGDTTASNHSEWLLTVPAMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVSELRYFLDFQHHRLWAPVLSDLPLGLCSNKRGANPALHFSLVGSKLHVNSSQVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGRWSSDRPPAWRGSETIAAADDRYYEPVQRRMFAHVCTAPVKYDPRWVSHNARNAGSPPAAYVVSGAQLHVKSHDSTSILHLRLQFTELPGHSVVQSRWAHAGGGSVGGGKGSSSFLSMSFASAAAEKEQQQAAAARFNIDSGVFAGGPPVPVGTQRLLKFVETAQVTMGPQDTPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >LPERR06G08470.1 pep chromosome:Lperr_V1.4:6:6322303:6327389:-1 gene:LPERR06G08470 transcript:LPERR06G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEEGNAVAHRGPARRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSQTVKELEEQVLQGGEAANAVRDYRRQVQEVNEQKKTLECELARTKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVVERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKVFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFNSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRGKIRVNGTHLLNRSTDAVRDCETNDSWKGNADEGPNENTNGNTDESNKETANNKSAEMVSGFLYDMLQKEVISLRKACYEKDHSLKDKDEAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEIAAMRVDKEQEIKARRLGNSKGTGSSQGLSGRSVSRSGLTRNYQ >LPERR06G08480.1 pep chromosome:Lperr_V1.4:6:6349233:6349766:1 gene:LPERR06G08480 transcript:LPERR06G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPKKVARARETLKTLLDAYASMEEEEEMAKKRPPTSEKQRVPSRPSSVSAAAAARRKPTTLLDAYEVDSIRRELERLILKQNAAARKLETPADSDAAANAKSDRHRHRHHHHRHRSGAQAPPTPAKKAVAASGGGVRMLGRHAVAVCGVSVPVPVSSAASSRRRRGGHREVEKV >LPERR06G08490.1 pep chromosome:Lperr_V1.4:6:6351597:6354899:-1 gene:LPERR06G08490 transcript:LPERR06G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSDRRRGGGGNGGGGGPVAVPSSRTVWRPPATAPILPFPNPASEDRPPQRRPRHRNRGNSGGQRRAPPPQERPSPAPPPPRQQQERPSAAAPSPAPTRSARGRVAAAVAGAGMSDGSVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASAAEASDPDSSWRCPGCQSVHAVPARELSYTCFCGRRREPPNDLFLTPHSCGEPCSKPLERADTATKGEDAGATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTVIGCSLAGGTVVRSEACGHLLSCGNHACQDICHPGPCGECELMPGKVTTCHCGKTGLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGRKKNCGRHRCSECCCPLSKPLAQLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCTHQCMVPQPCGHPASHQCHFGDCPPCVVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGIHACTRTCHPSPCDPPTANGDASSGTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDSRCEFPMTITCSCGRITATVPCGAGGTSSGDNMFEVSIIQKLPMPLQPVESDGRRVPLGQRKLCCDEDCAKLERKKALAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGSLKVHVFCYMMKDKRDAIRLIADRWKLAVQGIGWDPKRFITIHVTPKSKPPARILGSKPGISVTANHHPFFDPMVDMDPRLVVAMMDLPRDADVSALVLRFGGECELVWLNDKNAVAVFHDPARAATALRRLDYGSAYQGAAVFLPSSSAPPGNVWVAGQKDGVAATKNSANSWKKAAACELEPSSGNWTGVVGQAPPSVWRRGGDTVAQVMGTTNRWNALESDTTTSSRPVEESKPAPRTHAGSSSSAGPPPVSKMQPEVEVDDWEEACE >LPERR06G08500.1 pep chromosome:Lperr_V1.4:6:6356274:6357654:-1 gene:LPERR06G08500 transcript:LPERR06G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLATLMLATTGHPVFDLSVPTFEWKTGVIVIVFYCFLKQLAEFGDTDQQTIRDQDARNNETEPIMPRKRVAFSYGATEEQPESSMCSSEDMCSEKVCKICYDAPRSCFFIPCGHGFTCFTCAKRIAEDKTQACPICRRLVHRVRKLVESA >LPERR06G08510.1 pep chromosome:Lperr_V1.4:6:6366333:6367373:1 gene:LPERR06G08510 transcript:LPERR06G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPSSAPFGPVPFEDVVVIDHNELATDQRPTTEAADLATMVSSLPTKIELNLPIRLSLYHGFWLAEHHVPAAIALQRRFVPRPDDVIVASLPKCGTTWLIALAFAVMSRRAHPPDADADGHPLRRLNPHQCVPFLEGLFARGQEAKLDALPSPRLINTHMPLAMLPTTTTTRGGGCKIVYVCREPKDMVVSMWHYTKRLMPTVSFAETFESYCDGGKIYGPFWDHIIGYWRSDSVLFLRYEELLRDPAENVRKLARFIGMPFSMAEDQAGVVDAIVELCSLDKMRGFEGNRTGYVDLQGRIPRETLFRKGVVGDWVNHMTPEMVRRMDDIVADKFRGTGLAFK >LPERR06G08520.1 pep chromosome:Lperr_V1.4:6:6371033:6371473:-1 gene:LPERR06G08520 transcript:LPERR06G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFFGGPFRRLLYARPAFSPDWASSATAAMDWVETPTSHVIRINVPGLGKDDVKVQVEDGNVLSVRGVPPPSPEKEKEREGKEAVWHVAERGRPEFAREVALPADVRVDQIRASVENGVLTVVVPKEPAPARPRPRPIAVSSKL >LPERR06G08530.1 pep chromosome:Lperr_V1.4:6:6377228:6377958:1 gene:LPERR06G08530 transcript:LPERR06G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCRKLQMILQEDKDVHTMKTRQGGVPNHYMDLRFWISLGFAHAKDYHKEPTDDELFMYASSWPDCVYDFSRCNSILIPVYWLGGYWLVILDKEGKKLYILDPRPVNEKYKSYPVGPYTKKNVCISENLMRAMGRCGWAKDIALWEPVFPNIPHEHE >LPERR06G08540.1 pep chromosome:Lperr_V1.4:6:6379302:6383144:1 gene:LPERR06G08540 transcript:LPERR06G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVITVVSASTSPSLYSFRRAPSPPPHCRRTQRRSKPRCCRARRRLLPPLPRIPPKRPRYRCIPSRRLQIADARSPVLDGSKIRRVSSTTTRVAADPPRTTPHPHWSTHVLSSRFLRSYVFKIQQEDHLIFGYPVWKY >LPERR06G08550.1 pep chromosome:Lperr_V1.4:6:6383595:6384564:1 gene:LPERR06G08550 transcript:LPERR06G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVGLDATPSTLTIGGVTQENTKDTPFGNHGVTDTGYLKNDSGLFEPVVAGDNTEVQSEVAQICDDIYVDDEEADQIQLQGNMCIQILYFNLLYVIFIVNNFFCARRWVRVISRGCQRD >LPERR06G08560.1 pep chromosome:Lperr_V1.4:6:6391748:6393247:1 gene:LPERR06G08560 transcript:LPERR06G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDITGSKIEAIAWNPNIERFDVLTQGHSYTIHRLEWLSTVKYLNILGKSTIESSHSWTLGNVESSDNSSFYFNPIHPQTLSLRNLRDCVVKGAVDLTFVRRYIENRYAYLATVL >LPERR06G08570.1 pep chromosome:Lperr_V1.4:6:6395650:6398329:1 gene:LPERR06G08570 transcript:LPERR06G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPSAPVGPIPFKDMYSELDTAIPEQLPERLSHLADMLSSLPSKTEVNLGLTIYHYQGFWLHDNWVLSAVALQRSFVPRPDDVIVASLPKCGTTWLLSLAFATMARRAYPPAAADHPLRRISPHQCVPFLDVLGAIPSPRLMNTHMPLTMLPNTYKVVYVCREPKDMVVSFWHFFQQTVPGVTFAETFEAFCDGANISGPFWDHNLGYWRASIARPNSVLFLRYEWLLGVNLGQRRIGIKLRESWDLAPSTVMRSNNEELLRDPAKNVRELARFVGLPFSEPPRPRRRLAFLSNLRSLEVNRTGYVDSRLNLRRDTLFRKGVTGDWVNYMTPEMACRLDDIVEEKLGAAGFSFKFLSEIDLEPGV >LPERR06G08580.1 pep chromosome:Lperr_V1.4:6:6401575:6404407:-1 gene:LPERR06G08580 transcript:LPERR06G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSASSLRVLLPLLALCACATPRARGGDDYTAFVYAGCSQARYDAGTQYAADVDTALSALTNSAGYTPYANYTSPSAASANLVGLYQCRSDLPAAVCGGCVKSAVTKLASLCNSAAGAAVQLRACFVRYGNDSFLGRQDTTVLFKKCGGEGGGDTGVVAMRDAALGALVAASAATAGDEGSYRAGAAGYVQAMSQCVGDLGAKACTDCVTAASSQLKAGCGYASAGEVYLGKCYARFWSNAAAGDGNGGGGGNIGGGGNGGISGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFVRRVGGVGGGKNFVQNR >LPERR06G08590.1 pep chromosome:Lperr_V1.4:6:6406162:6411552:-1 gene:LPERR06G08590 transcript:LPERR06G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQQSRWVAAAMRVTALLFLWVFSWGHVMAEIDSANMTALQKHVSFFDRNMDGIITPSETIEGVIAIGCDVAFAKDFAAKVHAGLGPKTSPVDVPLPHLSIYIENIYRGMHGSDTGALDAKGRFVPSKFEEIFSKHAKTRLDALTFFEVKEMILANRDLDDPQSWDAPINEWGLIYYLASDKNGYLSKDSVRGIVGVPKKDICIWVNTDGVVVKFRCMVGPTRI >LPERR06G08600.1 pep chromosome:Lperr_V1.4:6:6413118:6413817:-1 gene:LPERR06G08600 transcript:LPERR06G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLPRARDIAAEPSLPHLPPDVDSRIASRPRLHTDDRATFKPTVIKKENLEADFKEVSSLDLAGAPLPSLNLSGAPRPSPEHRHQWPPKRRSSSSLTVPILAATVVVAIEAGVVLQW >LPERR06G08610.1 pep chromosome:Lperr_V1.4:6:6415724:6420548:-1 gene:LPERR06G08610 transcript:LPERR06G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQQSRWAAAAMPVTALLFLWVFSWGHVMAEIDSANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDIAFARDFAARVHAILGPKTSPVDVPLPHLSIYNEYIYKGMHGSDTGALDANGRFVPSKFKEIFSKHAKTKPYALTHLEVKELILANRDPNDPQSWVAPIKEWGLIYDLAHDKNGYLSKDSVRGIYDGNVFVKLEEERKSTQCVTWQNLTLI >LPERR06G08620.1 pep chromosome:Lperr_V1.4:6:6428518:6430746:-1 gene:LPERR06G08620 transcript:LPERR06G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTEVTGLYRAMASPLVPLLAAVTALVCALLLGGVVVHDGSKGSGEAEVYSSEMTPLQKHVAFFDRNKDGIIYPSETYEGFRAIGAGVLLSAVSAVFINGGLGPKTVPENTKTGMKLPIYVKNIHKGKHGSDSGVYDENGRFVPEKFEEIFKKHAHTRPDALTGKELKELLQANREPKDFKGWLGGFTEWKVLYHLCKGKDGFLHKDTVRAVYDGSLFVKMEQERQSAKKK >LPERR06G08630.1 pep chromosome:Lperr_V1.4:6:6440137:6446905:-1 gene:LPERR06G08630 transcript:LPERR06G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDDMDFNPLLREGSPSETSSSLTSEAECEEASFNDQRSSEVPHDNFVDEHTGDCAHPQSGLPSEGTCNENNNPESTSSQVPFETGDGCLNGLEEEALPSEAARSPSLKDSHNLLVEGSEEDAICRRTRAKYSLENHSLEELETFLQESDDDGDLQNVDEQEEYRKFLAAVLSGVDDGTQACQGDENQDEDENDADFELEIEEALESDGDENVENYEDTNIMKDKDGRRRQTRKNRPSTELSGPIHEHYGSTKSSLRPILPYISPELLASGQPYGWQYPPQSAFIPAFVNGFTDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQQATDVKKMIVELVGCRDQALASRSTIHRQFCFEPQHLCSSFSFASSETLQYQWMPLIKSPVMSILDVSPLHLAPGYLNDVADAVVKYRRSHVDGTADKNRLKKEPLFPTTVFNTCKDANKVSQGRSNNVSVASPDSSGKSQQKKSLAATLFENTKKESVALVPFDIARLAQRFKPLFNFSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLNAFKNDWASVWRFVVPHRDPLLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQVVHGQEADNNGSEGAENDDDDGDDSYVNEAFLADTENRTINLMPYQLSLPRNAGNGTTMQSGSSLYEESGVAGDSAEQQKGNSTNFDVTASYLPFRSCTSDVLSSKRKVQGGSKDQQQASQFAQEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNVAKDLFPVPPPNFTESIYRQLNLFPDHSSSDRLCQNGISNENTTEDGAEQDFQMHPLLFQYPREALSSYNHPVQNLINHSRNLFPFEKVQTEKINNQTTDCIETRTPVNDNTIDFHPLLQRTEVDMHGEVQDDCSRPYNQSECNMTEAPTDDQSTARQKSSSPCEKENNIDLDIHLCSSRDFMNGNDTTGASSKFNDRAEVTRKDKASISELEDGNARSHHVIEEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSDEDQVQGVDSLLAQNKEVSTSVGCGEYQGSNIHSQNQQTVQAGKQGAATQKLQKLSNTRPAREKLKGDSAKRTGYRASQRSSTAPTSEPSQTKTKRPKTQQVQIGAERKSNDSRRSRKKSAPS >LPERR06G08640.1 pep chromosome:Lperr_V1.4:6:6446944:6447641:-1 gene:LPERR06G08640 transcript:LPERR06G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSFKAALQEPRLCSPVEPLSHSTTERALGSPRRVPESSARTHKSSSWPRLSASRRRARHPRQSAHRTLWGEVASFRRRRPATHALVPQQKRRRKRFPHPLARVSLLARRLRRSLSRDDRAGAPSSCCFRRSLVLDF >LPERR06G08650.1 pep chromosome:Lperr_V1.4:6:6449094:6460806:-1 gene:LPERR06G08650 transcript:LPERR06G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATDRAALVKEFDETHAGVRGLVESGVSAVPEIFRHPDPYASIPFAPHGVSIPGKSMICHYYPVCPEPERTMGIVPHTDPGVLTVLAQDAVGGLQVKHTAEDGGSYWVDAKPVPGALLINVGDLLQIMSNDRYKSVEHRVIMNSHEEARVSGVVFFTPGKRGALDFYGPLPGLISSENPPNKTLRAKLSLSTSKYKVIVDEKPQMEMLRSATAK >LPERR06G08650.2 pep chromosome:Lperr_V1.4:6:6449094:6456785:-1 gene:LPERR06G08650 transcript:LPERR06G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPILIITVLHYPIMASSAAAADRAALVKAFDESRTGVRGLVESGVTTVPEIFRHPDPYASLPLAPPGVSIPVVDLSLPSPAADAARDWGFFYLVNHHAMLPADFPGRLLAAVRAFNELPAPERAAHYGRAVVGGGGVSYSSNVDLYRSGAASWRDTVQIMFGPSRPDEGRIPACCRAEVLEWDARAAAVARAVMALLCEGLGLGAAALEEASCLEGKMMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTTEDGESYWVDAKPLPGALLINVGDLLQIMSNDKYKSVEHRVVMNSHEDARVSSAIFFNPGKRGDSPQMEMLRSATAK >LPERR06G08650.3 pep chromosome:Lperr_V1.4:6:6449638:6456785:-1 gene:LPERR06G08650 transcript:LPERR06G08650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPILIITVLHYPIMASSAAAADRAALVKAFDESRTGVRGLVESGVTTVPEIFRHPDPYASLPLAPPGVSIPVVDLSLPSPAADAARDWGFFYLVNHHAMLPADFPGRLLAAVRAFNELPAPERAAHYGRAVVGGGGVSYSSNVDLYRSGAASWRDTVQIMFGPSRPDEGRIPACCRAEVLEWDARAAAVARAVMALLCEGLGLGAAALEEASCLEGKMMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTTEDGESYWVDAKPLPGALLINVGDLLQIMSNDKYKSVEHRVVMNSHEDARVSSAIFFNPGKRGDSVFYGPLPELVSSDNPPKYRNFTMSEFFGAFFKRDLASKALIEHFKI >LPERR06G08650.4 pep chromosome:Lperr_V1.4:6:6456830:6460806:-1 gene:LPERR06G08650 transcript:LPERR06G08650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATDRAALVKEFDETHAGVRGLVESGVSAVPEIFRHPDPYASIPFAPHGVSIPGKSMICHYYPVCPEPERTMGIVPHTDPGVLTVLAQDAVGGLQVKHTAEDGGSYWVDAKPVPGALLINVGDLLQIMSNDRYKSVEHRVIMNSHEEARVSGVVFFTPGKRGALDFYGPLPGLISSENPPKYRSFTMSEFLGAFFKQDLASKAIIEHFKI >LPERR06G08660.1 pep chromosome:Lperr_V1.4:6:6464542:6470221:1 gene:LPERR06G08660 transcript:LPERR06G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAHLENQNLQDLETSKEIVLQRLRHPPRSGINGVTGNAAVNAGLRVFNVVWSWGKALVWAQPRTQPRRNDSSPVYRERSRLPRVRHLSPVRQDTKEQVPNKDDPMLHELALLDAPVVQLQVPEPEQDQQELQNKPVAFLDQVFDPVPPPILPTPARRPARGRASRKSPVRLLDGGRKSERLAKKTAARLKPSKPKERAQEVLIKKAGFSPEKAPEDAKSKYIKLFKQPLTPQMIEAFSALVVGTDIGGKKTNVKLRSSKGAYLLCCVGGVPVLPFSLSVDVPRNVVGDASLCIS >LPERR06G08660.2 pep chromosome:Lperr_V1.4:6:6464542:6470221:1 gene:LPERR06G08660 transcript:LPERR06G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAHLENQNLQDLEVFNVVWSWGKALVWAQPRTQPRRNDSSPVYRERSRLPRVRHLSPVRQDTKEQVPNKDDPMLHELALLDAPVVQLQVPEPEQDQQELQNKPVAFLDQVFDPVPPPILPTPARRPARGRASRKSPVRLLDGGRKSERLAKKTAARLKPSKPKERAQEVLIKKAGFSPEKAPEDAKSKYIKLFKQPLTPQMIEAFSALVVGTDIGGKKTNVKLRSSKGAYLLCCVGGVPVLPFSLSVDVPRNVVGDASLCIS >LPERR06G08660.3 pep chromosome:Lperr_V1.4:6:6464542:6470221:1 gene:LPERR06G08660 transcript:LPERR06G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAHLENQNLQDLEVFNVVWSWGKALVWAQPRTQPRRNDSSPVYRERSRLPRVRHLSPVRQDTKVPEPEQDQQELQNKPVAFLDQVFDPVPPPILPTPARRPARGRASRKSPVRLLDGGRKSERLAKKTAARLKPSKPKERAQEVLIKKAGFSPEKAPEDAKSKYIKLFKQPLTPQMIEAFSALVVGTDIGGKKTNVKLRSSKGAYLLCCVGGVPVLPFSLSVDVPRNVVGDASLCIS >LPERR06G08670.1 pep chromosome:Lperr_V1.4:6:6474412:6477237:-1 gene:LPERR06G08670 transcript:LPERR06G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAATDRAALVKAFDESRAGVRGLVESGVSAVPEIFRHPDPYASIPLAPPGVSIPVVDLSLPSPLAAADAARDWGFFYVVNYHDHFDCDDDYPGRLLAAARAFNELPAHERAAHYGRTTSTDGMFRWRETIDVAFWPSSSPSPPEDAKLTIPACCRAEVLEWDALATAVARAVMALLCEGLGIGAGALEEASCLEGRVMVCQYYPVCPEPERTMGIVPHTDPGVLTVLAQDGIGGLQVKHTTVDGESYWVDAKPVPGALLINVGDLLQMMSNDKYKSVEHRVVMNSHEEARVSSGVFFNPGKRGVSDFYGPLPELISSENPPKYRSFAMSEFLGTFFKRDLASKALIENFKI >LPERR06G08680.1 pep chromosome:Lperr_V1.4:6:6477768:6478181:-1 gene:LPERR06G08680 transcript:LPERR06G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALWTIRNKRRHREAPWPVQKAVEWAKNVAFDLWQLAHPLKEKVPTPAQFWARPPQDWCKCNTDGAFFPDSKSGATCCYLGPSRNFYGCKIKVGTDALMMKAMACREGHLLALQLGVSNVCLETDCQELIVL >LPERR06G08690.1 pep chromosome:Lperr_V1.4:6:6481254:6482345:-1 gene:LPERR06G08690 transcript:LPERR06G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVLQALALAKAFLLAWLLQFSFFLASAVEGGGAICAAAAVDVDDRERQQQPDCREAAIGAGDHKHETIGTSSTTHTQVERRYGQIWRRRGGSASPAPSPTCFGQRSGPSTAPAPAIAGPLPAPPPLTDALQ >LPERR06G08700.1 pep chromosome:Lperr_V1.4:6:6488710:6513789:1 gene:LPERR06G08700 transcript:LPERR06G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKISGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSSAMPKDKEIIGNQSPMVGTDASQSSAHAGLWNFPSGSADMIRHSASVSGRVPAGSNRSDVAGADVHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAISTGQNIRKGKQPGKAGAQGQPSRTVEHDQPHTLQVGSAQVPPLPSGAPFFRAHQEGPSASSGRTIDKTKPSNPFMAQISNFAEGLASGNIPAELQKSILGGANLLNTSFGWNQNPQGPVTKNSQGPVPNLMRPGVNVEGKVNTGSHGTFNSMSASQMDFPTVPPYVSSSFGSGSQYLDKGKDLTSGNTGTELNSSKAGSQLGIMHGNPMQERHGIVRAPQRAGSSQMSQTSPSMPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGICPPAEGGIQRGNDSRTGDSSGKENGISQENPAIFGRHSDISRIQSTSTGSLADVDSASKDPEIVKKKIKIAEHEKSLEAENIQHTAPVQGSDSEMQSQETISPMPSGQVQYFQGDTRKITPEIYKGDAENLNRNLSWGGNRHPSLEAGLLAKDELSKESLAASRSHHMPVDGSNRNLSGRDQIPERAVNEVENGSHVGEMIFDRSADADEDLSEQDDLPSSPPKYTMTDKWMLDHQKRRYEENKRKALDMQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLLDFFKPNTADLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDGFKAKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGAKSTDARMFVSDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPGINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENQLPGKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKLEGYLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDALRFTSSPVFRSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWRMVVQDSSTSGPDLSVMPSRLVTDDDLKSFCHAMKIYETSNVKSVKVVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPGSPQPGGISRDVDIPKVVKVEVPAESSKEPVQAKIEPASAVEDSPPAKRRRGRPRRSDASLSPVTAPPTGKQDSGTTIDGSSSVPVTTIHSIAPDITINSTALSATDNSEVGTEIRGTSNIATTPDGAIIPVICTDNKGTTSIAVSEGSNAKEVGMPAQSVPELVSGSAPHPPTPVTSRGRKTQSGETPRRRGRKPKSTAGDVIISPVVAVASGEAYASSVVSSYPQGSMSSSHANAMAGLQKDTIIAKSTALLPEGFKGTPTPSIGDKDEMVKTPLAEDIYARTVSSSGNASSKLPEIVHNENAGLVQGSTDQNLSASTPAIPVVSEGLLKVSEPLVADRPTEKQGAPRRRKKKTSGSVDTGVSTRQRAASKRAAHAGTDMTAGEKIGTVKEVDGSSLQDTSKGLPNIISTSYEKSGYDSQPSTPIAIPINETTLPSGFSEAQATHSEIHPASVSTDFVGHDKLVGAHLETHPSVSFQAQVQHETGKDYVGAHFEDMAIHPETITTPSSVNPVVGNEPANVQFESQTPLHTSGRDITTVPSEVDTAAPNKTSGRRRKGLAREPRTRSNSATAASERRARLAESKQTDDTKKVEMPANPSTTVCVSSTHQEDGNTSKAAEATASVCEQSNPENHVSEMPAGISDAERPEHTASQANAACTDVEGTAVDTLIPALDDEKSGERELPEGLHVHNSEQEAAMASTSKSASGTDEEQKVHEVHETIAEHTALQFGAQGATQDKIDSTADVEVAPCTNIAGLEAEGDDSTAVIASDDQTPCNASDKDAPASTEDDGKGVQSECVPVGSNGAKQNDTKVEDMQIDDISVGSSHLPSVLQSVESNQPAEQGECLEMTGSKFALETTLEKTEETVDKNVGDNLPHVEKNDDSHIGMSSPSEDKNENSATQVADVGEVGTETTLVGISSAMSSDGLQDASNALPTHDLSMDDTTVNCEEHTDPESHLSGEVSMSGGSSQLQSESLNQSESACQSDSEEHNMHEVCGNVDASISPSSGEQEKLQVHFDINTGIDMPSSERNADFGGEKDHYTDIILTGYQTPCDSSDKDNLAPCDASDKGDHNCLQSDDTTIRLVGATDETMQVKAIHNDDVSKGSSHGSPALVQSADTNRLAEEGESLEITGSIACAVEQEKMEEPLDKSVTDNQTCSQINDDLNNMDLQNVDSSFQAADGGDHLASKGTSIETTVINADVSDEGINVPSTQSDKEANTVEIAASTNEIVPGCKLSKDFDSDVSGDVSKPVGLSEIMLEQLDQSNPVSHSVAVNAEEANTRLDVGTPALDESESKSPESGLHGGDCEKDLPADINLTGSQAPCIAPDKAIPAPAEDHNGQESEDTVIGADQGVEAMQIDTIPTNSSNVSATAASGCCARLAESKQTDDIKMVEMSVNPSTTVCVSSTQQEDVSTSKASQATASVCEQNNPENHVCEMPAGISDAEPPEQTASQANAACTHVEGTAASTLIPALDDEKSGELPEGLQVHNSEQEAGMVLAATTASANDEEHKVHEVHQTIADHNVLQFSAQGAPQDKIDSTADVDLAPCTNIAGLEAERDNSTSVIAADDEAPCNASDKDAPASTEDDGNGLHGAMQDNTEVEGMQIDDVPLGSSHLPSILHSVESNQPAEQGECLEMTGSKFALETTLEKTEETVDKNVGDNLPHVEKNDDSHIGMSSPSEDKNENSATQVADVGEVGTETTSVGISSAMSSDDLQDASNALFTHELSMDDTTVNGEEHKDPESHLSGEVSMSGGSSQFQSESLNQSESACQSGEVTVEDTNASLDIPIPPSAELEEKKSPGGDVHGTEVCVSDQMNVVADAEPASIEDDHGMHAVGNEIALCTVSLNTEDQDNLQDKVDSNTDVGLLACQTHSDSVSGNDHSTEADLAGNQTLCDNASDKNAAAADLIGTKQATAEVEAMQVDRIPEGPPITEDQDNLQDKIDGNTDVGVLACQTHSDSVSGNDHSTETDLAGSQTPCDDTSDKKDAASDLIGAKQATIEVEAMQVDCISEGPPPMEDQDDLEDKVDGNTDVGLLACQTRSDSASGNDHSTETDLAGSQTPCDDASDKKDASADLIGAKQVTVEVEAIQVDRISEGPSPTKDQDNVQEKVDGNKDVGQRASQTNSDSVSGNDCSTETDLAGSQTPCDALNKNDGAADLIGAKQAIIVIEAMQVDHISEGPSELPAVSQLTDSNQTAEQERLEMASTAEQGSRDETSDMSGGDNAKCSLTNDDSQTINLVGYSPSEDSNDDDSVQLADGGGVLGNKGTDDVLSAACPSDVSMLKSKSMDVRGSDEVNHDCPDSAIQLPAPAAASKGSGAEVERATSVTFPESCISKEIGAPSECGDDQVATEAPHPTMPLSDATDISADAEVPAGISEAKLEQPNQMTSQSGAATEETNSMVSTRAPTLAEPEEKSTAGSDMQGTDVDSAEQETRVESAAEPASIGDGEHKELHTTADDSVLPSSGEHDSLDDKIDSSADDSEK >LPERR06G08700.2 pep chromosome:Lperr_V1.4:6:6490126:6513789:1 gene:LPERR06G08700 transcript:LPERR06G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKISGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSSAMPKDKEIIGNQSPMVGTDASQSSAHAGLWNFPSGSADMIRHSASVSGRVPAGSNRSDVAGADVHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAISTGQNIRKGKQPGKAGAQGQPSRTVEHDQPHTLQVGSAQVPPLPSGAPFFRAHQEGPSASSGRTIDKTKPSNPFMAQISNFAEGLASGNIPAELQKSILGGANLLNTSFGWNQNPQGPVTKNSQGPVPNLMRPGVNVEGKVNTGSHGTFNSMSASQMDFPTVPPYVSSSFGSGSQYLDKGKDLTSGNTGTELNSSKAGSQLGIMHGNPMQERHGIVRAPQRAGSSQMSQTSPSMPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGICPPAEGGIQRGNDSRTGDSSGKENGISQENPAIFGRHSDISRIQSTSTGSLADVDSASKDPEIVKKKIKIAEHEKSLEAENIQHTAPVQGSDSEMQSQETISPMPSGQVQYFQGDTRKITPEIYKGDAENLNRNLSWGGNRHPSLEAGLLAKDELSKESLAASRSHHMPVDGSNRNLSGRDQIPERAVNEVENGSHVGEMIFDRSADADEDLSEQDDLPSSPPKYTMTDKWMLDHQKRRYEENKRKALDMQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLLDFFKPNTADLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDGFKAKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGAKSTDARMFVSDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPGINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENQLPGKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKLEGYLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDALRFTSSPVFRSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWRMVVQDSSTSGPDLSVMPSRLVTDDDLKSFCHAMKIYETSNVKSVKVVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPGSPQPGGISRDVDIPKVVKVEVPAESSKEPVQAKIEPASAVEDSPPAKRRRGRPRRSDASLSPVTAPPTGKQDSGTTIDGSSSVPVTTIHSIAPDITINSTALSATDNSEVGTEIRGTSNIATTPDGAIIPVICTDNKGTTSIAVSEGSNAKEVGMPAQSVPELVSGSAPHPPTPVTSRGRKTQSGETPRRRGRKPKSTAGDVIISPVVAVASGEAYASSVVSSYPQGSMSSSHANAMAGLQKDTIIAKSTALLPEGFKGTPTPSIGDKDEMVKTPLAEDIYARTVSSSGNASSKLPEIVHNENAGLVQGSTDQNLSASTPAIPVVSEGLLKVSEPLVADRPTEKQGAPRRRKKKTSGSVDTGVSTRQRAASKRAAHAGTDMTAGEKIGTVKEVDGSSLQDTSKGLPNIISTSYEKSGYDSQPSTPIAIPINETTLPSGFSEAQATHSEIHPASVSTDFVGHDKLVGAHLETHPSVSFQAQVQHETGKDYVGAHFEDMAIHPETITTPSSVNPVVGNEPANVQFESQTPLHTSGRDITTVPSEVDTAAPNKTSGRRRKGLAREPRTRSNSATAASERRARLAESKQTDDTKKVEMPANPSTTVCVSSTHQEDGNTSKAAEATASVCEQSNPENHVSEMPAGISDAERPEHTASQANAACTDVEGTAVDTLIPALDDEKSGERELPEGLHVHNSEQEAAMASTSKSASGTDEEQKVHEVHETIAEHTALQFGAQGATQDKIDSTADVEVAPCTNIAGLEAEGDDSTAVIASDDQTPCNASDKDAPASTEDDGKGVQSECVPVGSNGAKQNDTKVEDMQIDDISVGSSHLPSVLQSVESNQPAEQGECLEMTGSKFALETTLEKTEETVDKNVGDNLPHVEKNDDSHIGMSSPSEDKNENSATQVADVGEVGTETTLVGISSAMSSDGLQDASNALPTHDLSMDDTTVNCEEHTDPESHLSGEVSMSGGSSQLQSESLNQSESACQSDSEEHNMHEVCGNVDASISPSSGEQEKLQVHFDINTGIDMPSSERNADFGGEKDHYTDIILTGYQTPCDSSDKDNLAPCDASDKGDHNCLQSDDTTIRLVGATDETMQVKAIHNDDVSKGSSHGSPALVQSADTNRLAEEGESLEITGSIACAVEQEKMEEPLDKSVTDNQTCSQINDDLNNMDLQNVDSSFQAADGGDHLASKGTSIETTVINADVSDEGINVPSTQSDKEANTVEIAASTNEIVPGCKLSKDFDSDVSGDVSKPVGLSEIMLEQLDQSNPVSHSVAVNAEEANTRLDVGTPALDESESKSPESGLHGGDCEKDLPADINLTGSQAPCIAPDKAIPAPAEDHNGQESEDTVIGADQGVEAMQIDTIPTNSSNVSATAASGCCARLAESKQTDDIKMVEMSVNPSTTVCVSSTQQEDVSTSKASQATASVCEQNNPENHVCEMPAGISDAEPPEQTASQANAACTHVEGTAASTLIPALDDEKSGELPEGLQVHNSEQEAGMVLAATTASANDEEHKVHEVHQTIADHNVLQFSAQGAPQDKIDSTADVDLAPCTNIAGLEAERDNSTSVIAADDEAPCNASDKDAPASTEDDGNGLHGAMQDNTEVEGMQIDDVPLGSSHLPSILHSVESNQPAEQGECLEMTGSKFALETTLEKTEETVDKNVGDNLPHVEKNDDSHIGMSSPSEDKNENSATQVADVGEVGTETTSVGISSAMSSDDLQDASNALFTHELSMDDTTVNGEEHKDPESHLSGEVSMSGGSSQFQSESLNQSESACQSGEVTVEDTNASLDIPIPPSAELEEKKSPGGDVHGTEVCVSDQMNVVADAEPASIEDDHGMHAVGNEIALCTVSLNTEDQDNLQDKVDSNTDVGLLACQTHSDSVSGNDHSTEADLAGNQTLCDNASDKNAAAADLIGTKQATAEVEAMQVDRIPEGPPITEDQDNLQDKIDGNTDVGVLACQTHSDSVSGNDHSTETDLAGSQTPCDDTSDKKDAASDLIGAKQATIEVEAMQVDCISEGPPPMEDQDDLEDKVDGNTDVGLLACQTRSDSASGNDHSTETDLAGSQTPCDDASDKKDASADLIGAKQVTVEVEAIQVDRISEGPSPTKDQDNVQEKVDGNKDVGQRASQTNSDSVSGNDCSTETDLAGSQTPCDALNKNDGAADLIGAKQAIIVIEAMQVDHISEGPSELPAVSQLTDSNQTAEQERLEMASTAEQGSRDETSDMSGGDNAKCSLTNDDSQTINLVGYSPSEDSNDDDSVQLADGGGVLGNKGTDDVLSAACPSDVSMLKSKSMDVRGSDEVNHDCPDSAIQLPAPAAASKGSGAEVERATSVTFPESCISKEIGAPSECGDDQVATEAPHPTMPLSDATDISADAEVPAGISEAKLEQPNQMTSQSGAATEETNSMVSTRAPTLAEPEEKSTAGSDMQGTDVDSAEQETRVESAAEPASIGDGEHKELHTTADDSVLPSSGEHDSLDDKIDSSADDSEK >LPERR06G08710.1 pep chromosome:Lperr_V1.4:6:6519354:6519866:-1 gene:LPERR06G08710 transcript:LPERR06G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRDDGEVEVLVISSQNPKKGDAVMFPKGGWELDESMDEAARREALEEAGVLGEIAAGAAPLGRWCYRSGRYDATYEGFVFPLRVTDELERWPEMAARRRSWVSTKLAMERCPHWWMREALQTFADRFALAAATSPSKL >LPERR06G08720.1 pep chromosome:Lperr_V1.4:6:6520850:6521089:-1 gene:LPERR06G08720 transcript:LPERR06G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGGREAAAKGEMLSPTRFVLCLSYLHPATVRPVHGGPVDDIFEMIRLDIAEMIADAHVALVLAIDCSITKLVSFIL >LPERR06G08730.1 pep chromosome:Lperr_V1.4:6:6537879:6541517:1 gene:LPERR06G08730 transcript:LPERR06G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQSPGIGNPGSFESADELGRQTLEAAEEAVNRFISREAAVDGDCGVSDFAGLAAVVKDLILLCSNGDDYGQRARFSLESAMGHLEDQFRQVLISGTYFHPPDNLQASLYDSIALPARSFSFSSITNLEAARLSSFTESSSDGSPSYCTEHSRDSLSLEKVHMYLIDPEASVLLKEIAELMMLAGHASRFSHVYGQTRNSTLMQCLCRFGIQIELKSYNPTAASSEIGCNTLVYLDGQKVEMWIQALRVTVGTILPGERQACTQIFGSSSKVEEDCFARATAPFIQQLIAFGNLIANAKEKQYEKVPLLLQMHEEFAKLKPSIEALWSGDAKDMISKEASMLLDKLSEEALRLLFKLSGEQINHDESYERIVLNGSVLSFPQYTMGIIKLLAGYSDTLNLILPVEVDSDSTVTTSPWKSYLLTLLARLQLNIEEKSEFYKDERLRNIFLMNNAMYVLEKARSPDLKILLGDNWIAEQLLQVEQHATAYLRASWAGALFHLREIVPRDRKTLSVHVVIERLKNFSSIFSETSMLQTAWKVPNPQLRQHLRIVILQQVILAYRTFLKRYGLLKIPAKSIKYSPDDIENHVLDLFEG >LPERR06G08740.1 pep chromosome:Lperr_V1.4:6:6543752:6545397:1 gene:LPERR06G08740 transcript:LPERR06G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQIHGAEEHSSALKFLVDVHLHGLVSGENFPRLRSTFFFTELLGASYCPLHELFLIYCVKDCLRTINMRVERRESYVDSVCMVCLQNRCSYLVTFFAVILNCNFELHS >LPERR06G08750.1 pep chromosome:Lperr_V1.4:6:6556645:6556998:1 gene:LPERR06G08750 transcript:LPERR06G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTAVAIIAVYVAATAPIATAIIRGRHPIKDINDPHTQELGQWAVSETNKVKPSSPLTFSKVTSGKEHYAFSTMEYILHIDASRNDVIHSYTAVVIEEAAKLRKLFSFRMNHS >LPERR06G08760.1 pep chromosome:Lperr_V1.4:6:6559204:6564897:1 gene:LPERR06G08760 transcript:LPERR06G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAGAGEKRRLEIEVAHVLNDCRASLAVHPRKLRELAALRSNPSAGGRFLPAFCTALTPLFDLAKRCPAADRVARFVAAFASASASASAAGDGGGDGFLEGFLRFLLAASAAAHRPARLRSCQIIAEIIIRLPDDAEVSDEIWDEVIDGMKTRAQDKIPGIRVYAVRALSRFASDGEDSDIVDLFLETLKNEQNIEVRKSIILSLPPSNATLETVIESTLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNGECLKMLKDEWLTKYCSGDVITLLRFLDVETYEAVGETVMGVLIKDGSVRVKDGQTIRQYITANTKDEAEKVSNIQLMDAEVALYWKIMCRHLQAEAQFKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTITDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRRVASSFLHELLTRPLEHEVDEDGNKLAIGDGVSLGGDREWAKAVAELAKRVHASAGLLLENASSLRSLQGKAIEPLELLQSLLLPAAKQNHVDVQRVALRCLCIFGLLERRPNAELVRQLRLSFINGPDFVSAMAGKALIDLVTWHGPHEIDQSIGIESSDVTIEKSQFTTVDISNMNDDDLNIGVLDILFSGFLKDDWEFTLEGDNHDNVTTILGEGFAKFLLLSENYAKLSADLHPVILARLVNLYFMEETKELERCVSSAFVPVMRAMWPGLYGNVGGSAHAVSKRRKYAVQAARFMVQMVQTPLFSTGTTEQASTSPESQSTKPDLSNDFDISEEGLAIRIAVEVANCPDKKTAAGKAYCLALCKIVVLLRFRQSEQKAMKCMRGLVNALAASAASDKDLLKELTQMASRLRSLDEHPEEELQQDEADGIFKKLGLDGGFRLETNSAVPPTPAPRSVRPAPSRRARRAPSSSDDSDIDGQEENLHATSVSRVAATPMMTGARSQRASKAAAMSKMSAKPTVASSDDESDDQSGVTVDEDPSDEESS >LPERR06G08770.1 pep chromosome:Lperr_V1.4:6:6565410:6568400:-1 gene:LPERR06G08770 transcript:LPERR06G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMINKNRSSRGRGRGRSQGGGRGRGDGQREDLTGFSEITLRTSTSPLSISWQNYVGLSFNKTKDIVWRQDLFEDSMVAAGLSGIESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVRLDGKAMKIEVIGADLGLAAPSAPRISVVPGSRGRGQREVEMPGGSGFGRGAAGSSNFIPGWKRNNFSQRGGQVRGRGRGRNSFGRGRGRGHGYGRNGPAEKSAEQLDKELDNYHSGAMNVD >LPERR06G08780.1 pep chromosome:Lperr_V1.4:6:6574167:6586923:1 gene:LPERR06G08780 transcript:LPERR06G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKLYRGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKSLTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVDKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSPFEKNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHGEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVQGFNSSTASLLTRYLAVEPSTPYSTTTLPKV >LPERR06G08780.2 pep chromosome:Lperr_V1.4:6:6574167:6586923:1 gene:LPERR06G08780 transcript:LPERR06G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHYDFVFCRGEQAHVGAIQKTHLRDLMDDAERCKAMTACLLVDSEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKLYRGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKSLTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLESNVSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSPFEKNLPAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVQLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHGEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVQGFNSSTASLLTRYLAVEPSTPYSTTTLPKV >LPERR06G08790.1 pep chromosome:Lperr_V1.4:6:6599624:6600499:1 gene:LPERR06G08790 transcript:LPERR06G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGQRRAAARSTPAGAEMERTPLAAQPPEEGSHAQRRRRRRRRGRSLGGSWWRLCRDDARRLAGADFLCDGGGGVSERDAAATTALFEDGRVRPPQPQPPAAAEERGRWRLQRTAAADSSSSSASSSLARLPVLLTGICSGGAG >LPERR06G08800.1 pep chromosome:Lperr_V1.4:6:6602180:6606310:-1 gene:LPERR06G08800 transcript:LPERR06G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMTNVLLLVFTLAAAATTVSGQHDYADALHKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVRTNSYKSMRCNAMHDGVTDLRFLGMVYAQVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHGAEARKAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPEHPGSDVAAETAAALAAGSIVFREADPAYSQRLLDRAIEVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEFFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVACGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARYPLRIHHRGSSLPSVSAHPARIGCKAGASYYATTAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLAHD >LPERR06G08810.1 pep chromosome:Lperr_V1.4:6:6612040:6615978:-1 gene:LPERR06G08810 transcript:LPERR06G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDSWDEFVGKSVELFRKNPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQQKKGRGRRHAAISWEHAQLRFL >LPERR06G08820.1 pep chromosome:Lperr_V1.4:6:6617697:6618717:-1 gene:LPERR06G08820 transcript:LPERR06G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDLEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSGDARHWDTTLSPNERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLQGKLDEARVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASANHVFSIDEDF >LPERR06G08830.1 pep chromosome:Lperr_V1.4:6:6624156:6626267:1 gene:LPERR06G08830 transcript:LPERR06G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAIAVAVLAVAMVTGSSGVAAVVTANKPVIYIFGDSMSDVGNNNYLLLSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMASKFGVPPPPPFMSLYMTDDEVLGGVNFASGGAGLLNETGIYFVSHGDMFLVFAAEDELNLAVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYHLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVEFNAAAKNLLERLNAKLPGASMSLADCYSIVMELIEHPQKYGFETSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGKGNATAAAAAPAATPRVVVGGGAAPSMNATSPPKP >LPERR06G08830.2 pep chromosome:Lperr_V1.4:6:6624156:6626267:1 gene:LPERR06G08830 transcript:LPERR06G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAIAVAVLAVAMVTGSSGVAAVVTANKPVIYIFGDSMSDVGNNNYLLLSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMASKFGVPPPPPFMSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYHLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVEFNAAAKNLLERLNAKLPGASMSLADCYSIVMELIEHPQKYGFETSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGKGNATAAAAAPAATPRVVVGGGAAPSMNATSPPKP >LPERR06G08830.3 pep chromosome:Lperr_V1.4:6:6624157:6626267:1 gene:LPERR06G08830 transcript:LPERR06G08830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAIAVAVLAVAMVTGSSGVAAVVTANKPVIYIFGDSMSDVGNNNYLLLSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMASKFGVPPPPPFMSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYHLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVEFNAAAKNLLERLNAKLPGASMSLADCYSIVMELIEHPQKYGFETSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGKGNATAAAAAPAATPRVVVGGGAAPSMNATSPPKP >LPERR06G08840.1 pep chromosome:Lperr_V1.4:6:6636554:6639976:-1 gene:LPERR06G08840 transcript:LPERR06G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFDHPNVERCPFLKNINGATSLSFSSALPVAARGGKGPIFEDGPGFDSAFKLFHGRDGIVPLSGRSYVPDKNNRESIDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPNNLNQNSMQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAVRAALARTALVKSLRPQPLPSKMIAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVAMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKNDDSTTVADMYPNKSGNCSDTEGKAWDPLAMKMAGRAAPTPSMSF >LPERR06G08860.1 pep chromosome:Lperr_V1.4:6:6666429:6670692:1 gene:LPERR06G08860 transcript:LPERR06G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPSAAAVQVQAASTAAVASSPSAPPRVRCVARQRLPPAWTPEEDAVLERLATENGSRHWRRVASRRRCHAACRDRWRDHLARDVFHRPFTPADDDELARLYLRLDGGRWKDISRAVHGRSTRAVRCRWREIRSSDEFLKKLWSPFSSMLSPAEKDVARMEMELSSAAAPASDQPESTTVVSSSSSAACVRCVTRLRLPPAWTPVEDAVLEHLVTENGSPPLASRRCRDRWRDHLARDVFHRPFTAADDDELARLYLSVDGARWKDISKAVHGRSTRAVRRRWREICSSDELLRKLWNPLSTMMSPAGQDTVMDAGVH >LPERR06G08870.1 pep chromosome:Lperr_V1.4:6:6681009:6688592:-1 gene:LPERR06G08870 transcript:LPERR06G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRRRTRRPRWRSAKSGRTPWKSNFYGIVTHDMSFSNIKTMLELMIKKLVSSMAISSLSNISVMVEAITKDVFYLVNPKMLQEQEPYDLYGEVEDLDT >LPERR06G08880.1 pep chromosome:Lperr_V1.4:6:6689837:6706928:1 gene:LPERR06G08880 transcript:LPERR06G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKAVSFVGADKIGVSLAASFLRSGATVRYFVGPEEDGSGTAFAELGGGVVRCASPGETARDAELVIVLSDMDGVDELFFGPEGILKGLCSGAVVLIRSTLLPSHLEKLRQKLTDEKHTLLDGYIFSGLSDELKQKIVVVASGRHDVTERTEQFFSGLDTAVYFGEGEFGSSSKIRLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEVVPKLLREDPFLIDSLKSFKTNAGYVMDMAKAVTFPLPLLAVAYQELIHGCSSVIGDASVSPLKVWEQSFGVNIIDAASQEIYDASKLADQLVMASKTVKTIGFIGLGAMGFGMASHLLKSGFSIIAYDVYKPALARFTDLGGLAKDSPEEVSKDVEILVIMVANEVQAESVLYGNVGAVSVMAAGTSIILSSTVSPGFVIKLKERLEGECRGIKLVDAPVSGGVKRAADGTLTIIASGTDEALHCTGSVLSGYSYAIVSSSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHAKGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSRESSNSRIPLHVSSIAHQLFLSGSASGWGRYDDAAVVKVYETLTGVKVEGRPPMLKKDDVLSSLPAEWPEDPMDDLVSYASHNSKKVLVVLDDDPTGTQTVHDIEVLTEWPVEALAEQFQKLPACFFILTNSRSMTADKATLLVKDICGNLEVAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRKWVEEKTKERISESQVSTISINLLRKKGPIAVCQRLCSLEKGSVCIVNAASERDMAVFAAGMIQACYVALTTFERNAFVHSIKFLSSNAELKGKRFLCRTAASFVSARIGIKPKPPMFPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEKSLRIIEVSVEMVSMKSAEDIDREISRVVELGNAYIQSRKDTLIVTSRQLITGKTPEESLEINCKVSSALVEIVRGINSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWLLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWDCPSRSSAKQLLLNAENGGYAIGAFNVYNLEGIDAVISAAEDEQSPAILQGCGYPQDVTTSLLWKCPYVPFLMLIMVPITVHYDHGTSKSDLLQALEMGFDSVMVDGSHLPLGKNILYTKSISSLAHSKGMLVEAELGRLSGTEDGLTIEEYEARFTDVAQAKEFIDETGIDSLAVCIGNVHGKYPPSGPNLKFDLLEDLRALTMKKGVSLVLHGASGLPHDLVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >LPERR06G08890.1 pep chromosome:Lperr_V1.4:6:6705371:6713012:-1 gene:LPERR06G08890 transcript:LPERR06G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCGGGERCDLGFRPINGVRGGAMEHRGDGADGPSVSPPERIPTPTSSRYAPWRRLSSPGPLRCSTRRLSYEDGDDPERYFSPQSEFSQDTSDTDSVSTSISRKYAFRMGSPSPGDSPVKRLGLGDTSPPPRRRRHSSYYSPIHPLNSAHASDDVDYSTFLDSPVRNDKRQNHSSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDEDEDGNDAGDRSAFIHVNHDHDGEDDMLGMKGKNNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDIVSLLAWQAATYVRPDTSKGGSMDPTDYVKVKCVASGNPNESTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASIYRILEQEKEYLKNAIAKIEAQRPHVLLVEKSVPLYAQQLLSKDISLVLNVKRSLLERISRCTGAQIASSIEDVTSASLGQCQTFWIERVSESSPKNAKKKSAKTLMFFDGCPRRLGCTILLRGTSYEELRKVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDLSVLPPDNHLDGGNCSSSYCVQDFNDFQIVGERASENGCNMSANCLNDSVKALSMDESFLGPNLNQDDYICGSNGIYPRSPRSSLDNGCIPPPDTAQTSKSSPTQVRKRTTMGPCFHRVESDLDNGWHNISDEEHTGLAIRDQNENQIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFCEDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATRRVVMSDAARGLSFGKFLELSFSNHATANRIACCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFAYPTAQEWIIKDAADVANRKEYLYNEIFDKLDSIENIVSAQNMSMKTGLHNHVVDLKDLIKVEWKKYDVLSRFARLENQHTLEPAIDILELNRLRKELVIDAHIWDRRLYMMHSLTKENCHNVPTHMQCLDKVPENPVEKSKVEIPSHTQENVDHSLEHTQPSSLTVATNSVKPLLRGEQIDTTVAHIGLKTDIIDEAPLQSTEGYSSSVVPGPSEGPDDGILINELEKIFEEAQSSPSNLSDTIDLAWTGSNQLDPSKCSMEPLPVVPAALIVNPSYQKVMAPARINSFDSAVNLKNRLSPVDGSDGTIRRAHSQKPPKALERTGWGLSPTFKHELSVPDIMHGEGRLLLSQNTADVVVPIYDDEPSSMIAHAMTVPDYHKFMSPLLDQHNDLCRFSTENSLDQDSSSKSSLDTPRWSYGSDPPRTGNNDSKDIHFTVSFEDGDSFSVDKAKYSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYATEYFKYLTESSGSPTCLAKILGLYQIVARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGNRDVLPTIISPDQYKKRFRKAMSRIELPGEG >LPERR06G08900.1 pep chromosome:Lperr_V1.4:6:6748215:6751392:-1 gene:LPERR06G08900 transcript:LPERR06G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPANVAGVVLRDHPGFQLLESEEVKLLGVEKLMGESRDSAEAAAKIMQLCAAGGNNGGASGAVTPERGILRTPERFPRFIPTPDWGGAGGCLTQTTPEMSPRFAATPEWGTGFMMPTPERGSGLLRTPEYSSRDVKASRKEKRTRFVAMPDEIIA >LPERR06G08910.1 pep chromosome:Lperr_V1.4:6:6771845:6773317:-1 gene:LPERR06G08910 transcript:LPERR06G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAQLKRLKPLYQQVVNNFLVVVAAPLAVAALVNAARIGPDELVARERALRPVHVFLAAFVPAAAATLYLMLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEGEVVIFNAIDDLLAKTGISPSAIDILIVNCSLFAPIPSFTDMIINKYKMRSDVRNVHLSGMGCSAGLISVGLARNFLQVAPRGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARNADGPWAESICRYPVDIPEVLKH >LPERR06G08920.1 pep chromosome:Lperr_V1.4:6:6793706:6795214:1 gene:LPERR06G08920 transcript:LPERR06G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLLDRIKIAYQYHYHRAVGNVHTVSVGLLAAAALVAVTLLAPEEMVIIGRLRPEEMVIIGRLRELRPMYLFLALFLPVAVITVYLMMQPRAVYLVDYACYRMGPNGRVPFATVLEYAKQVPEINESSISFVTRLLERSGLGEETCLPLANQYIPPYKYCTLEASRDELELVVFSAIDELLAKTGISPDAIDILVANCSLFCPTPSFVDMIVNKYKLRTDIRSVHLSGMGCSAGLISVGLARNLLQVAPQGANALVVSTETITPNYYIGNERAMLLPNCLFRMGGAAALLSTSSAKARFRLKHVVRTLTGAHDSSYNCIFQKEDSQGCVGVNLSKDLTTTAGKTLKANMAAVGPLVLPASEQLKFTLYFIARKALGVRVKPYIPDFRKAFEHFCIHAGGRAVIDELQRGLSLSNEQVEASRMTLHRFGNTSSSSVWYELAYVEAKGRMHHGDRVWMIGFGSGFKCNSVVWECIEPARDADGPWATSIHRYPVPIPDVVKH >LPERR06G08930.1 pep chromosome:Lperr_V1.4:6:6807370:6807726:1 gene:LPERR06G08930 transcript:LPERR06G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPSLARPTRPSCGDKVSPQEKQSLDLSSPEGQEHSPYSAAAVSASDRDHVTTSPWIPGRIAVALPFSAPPRRPIRMDLRWSLCPCCNTLAVVVDITMPTNIGEADPKAITSNPP >LPERR06G08940.1 pep chromosome:Lperr_V1.4:6:6819707:6821173:-1 gene:LPERR06G08940 transcript:LPERR06G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLQRLKAAYHYHRAMGNVRAAVVALLAVAALVSVTLLDPEEMVTGQLGELRFVHLFLAVFLPAAAATVYVMLRPRPVYLVEYACFRTMPNCRVPFATFLEYAKQVPVLTERSVRFMTRLLERSGLGEETCLPPANHYIPSYKYCTLEAAREEVELVVFSAIDELFAKSGISPDAIDILVVNCSLFCPTPSFVDMIVNKYRLRSDIRSVHLSGMGCSAGIISVGLARNLLQVAPHGAHALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRALTAAQDSAYRCVFQEEDEFGNVGINLSKELMIIAGNSLKENMTAVGPLMLPALEQLKFAFSFIGRKVFSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLNLSDKQVEASRMALHRFGNTSSSSLWYELAYIEAKGYMRRGDRVWMIGFGSGFKCNSAVWECIVPARDADGPWATSIHRYPVDIPDVLKH >LPERR06G08950.1 pep chromosome:Lperr_V1.4:6:6843656:6845095:-1 gene:LPERR06G08950 transcript:LPERR06G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSRIKAAYHHHRAVPAAAAALVAAALLAPEKMAIGRIQEMRPVHLFLAVFLPAAAATVYLMMRPRAVYLVDYACFRTAPNCRVPFATFLEHARQLPVLTDRSVRFMTRLLERSGLGEETCLPPSQHYIPTYEYCTLDAARAEVELVVFSAIDELFAKTGVSPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSGIRSMHLSGMGCSASIVSVGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAQDSAYRCVFQEEDEHGNVGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALSFIARKVLSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMALHRFGNTSSSSVWYELAYIEAKRRMRRGDRVWMIGFGSGFKCNSAAWECVEPARDADGPWATSIHRYPVDIPDVLKH >LPERR06G08960.1 pep chromosome:Lperr_V1.4:6:6849439:6849804:1 gene:LPERR06G08960 transcript:LPERR06G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTLTAAVEGAGGNGGGSGAAGQRRWRRAAMTTTMATNSVGANPPTRSSRGVDPPVTSTGNLDLPSASLGDPDPSAAISRDLEELGGGGRRGARQTRLEPAAPSVMERICVVDLHQFDV >LPERR06G08970.1 pep chromosome:Lperr_V1.4:6:6873406:6890044:-1 gene:LPERR06G08970 transcript:LPERR06G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPCNAPLDDTMVMTSPPPTSRVHHLKTAYRLVMNNFLAVVATPFAAVVLLREATQLGAVRHVHLFLATFVPSAALALRLLRRPRAVYLVDYACFRPNPSYRFSHANFLEHARLTPYIDDSSFRFLTRMISRSGLGDRTYAPTCTHYLPPRTGLNEAREEAEEVVFACVADLLARTRVRPEEIDIVVTNCSAFNPSPSLADTVVNRFGLRVDVRAVHVSGMGCSAGVIAVDVARGLLQSAPPGARALVVSTETTSSFHYVGTSRAMLLPSVLFRMGGGAALLSTSRSSSVSARFRLSHLVRTITAAEDKAYRCAVHEEDEEGNIGVNLSKDLVAVAGNTLKENIATIGARVLPASEKLIFALSFVARKVAGGEKVKLYVPDFHTVFQHFCIHAGGRAVIDAVQSSLGLSDVDVEPSRMTLHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSVVWEYIGSCESDASTGPWADCIHQRHRGWGGHAARGGGGRTRRERWRRACRPAAQIWEADAWTVGGDGADAPRVGEAAPRVEEGVALVGRGDGVPAGRRRRLAEAAALIASERSGGWGIGDPSLMVTGTITVALRGITSVVQQLVLEKLSLMAWADALPQFYFILLVTLLVIVIYFMNRSRSVYLVDYACFRPGSNHRTSVASFIENMYISQSCDDGYLQFHTRIAERSGLGDETYHPTSFQYIPPYISLGEARAEAELVIFTAIDDLLAKTLVSTSDIAILIVNCSIFNPTPSLADMVMRRYKLRSDIHCVQLSGMGCSAGLIGVGLARSLLQAAVPGACALVVSTETLTGDYYSGRKREMQLSNLLFRMGGAAVLLSTSRHKARFLLMDVVRKSTAANDDAYRCVFQEEDCEGNRGVSLSKNLVSIAGEALKVNLTEVGSLVLPVSEQLSFFAYIVARKVFNKKAIRQYVPNFREAFEHFCIHAGGRAVIDAVQRGLSLSDDHTEPSRMTLHRFGNTSSSSLWYELAYIEAKGRMHKGDRVWMIGFGSGYKCNSAMWVCIKRAHIADQAWADCIDFYPVDVPNEKHYTIKMGPSSLHHLKRVKTTTIILGLIITTTLAILVIKLTMPQPILPLLLGWVLSCAAAAALYVVLRRPRRPVYLVEYACFRPSSTCRISKASFLELARLAPWLDDSTVNFVERVLDLPALGDETYVPPPLMYLSPNPAGLDDARAEIELIVFWAIDDLVAKARIARLDTVVGALVVNCSAFSPMPAIADMVVNRYRLRGDIRVFNLSGMGCSAGLISVGLASNLLQVMPLGANVLVVSTEAIGPNIYRGNKRSMQLTNVLFRMGGVAALLSTSRANARFRLEHLVRTTTADDDRAYRCVFMEEDDKGNLGGALSKDLMDVAAIALRANIATVAPLVLPISEQLKFVLTSIVNKAALRVFGRWPAYVPNFCTAFEHFCIHPGGPAVISSVQRGLNLTERHAEASHMSFHRFGNQSSSSLWYVLAYVEAKGRMRECDRTWMIGFGAGYKCISAVWVCIQPPRRCTDGPWASCIHRYPVHVTGRS >LPERR06G08980.1 pep chromosome:Lperr_V1.4:6:6885222:6885425:1 gene:LPERR06G08980 transcript:LPERR06G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRSRGGRRHGEQRGAAAVVGSGERRGGGGMAGSMDAAADPDGRRRRGGAARRERIRLLLTAARF >LPERR06G08990.1 pep chromosome:Lperr_V1.4:6:6909912:6911237:1 gene:LPERR06G08990 transcript:LPERR06G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAKSAAGAVGAKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPLIPSSAAAEATGGRRDEVVPAAWFKRKARTPRGGAKGVVGQMLSRRLVVPETMEERRVDEGEVVEEQQQLLYRVPIFDPALAPEFCSPPPPLEDASAAATATAESCCNEVVDGAVENPTKNPPPMQFFPDGNANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVELDDGGGRVKMEDDGDAAAGMPLTMAWCHDFDPTDTSSGEMLDIDFDCDDDSPHATTPDDSEKVGSSLALSLNYESIIESWGSSPWTDGGERPHVKLNDSWPHDYTPLQGVWMGGVFGHGGDQEQAVVTAARLAAGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRATSAAATVATACVA >LPERR06G09000.1 pep chromosome:Lperr_V1.4:6:6914911:6920571:1 gene:LPERR06G09000 transcript:LPERR06G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTSFEIEASPEASVTEVKRIIESTQGDVYPADQQMLIYQGKILKDDTTLEGNKVAENSFLVIMLSKASSSGASTASKAPVSQAPPATPVAPVASVAQTPPQAPVVSPEPAPPSVQPPIASTTPAAAVTASNDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPPVARAPGAGQQGNPQVPSQAQAAPPPPGVPSAGSNPGAAAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPDSGTGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKNEELAANYLLDHGHEFEDQQ >LPERR06G09010.1 pep chromosome:Lperr_V1.4:6:6938502:6944067:1 gene:LPERR06G09010 transcript:LPERR06G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEAAAMEDGTKKSKKGGFRTMPFIFANEVAEKLAVLGFTTNMLMYLTKQLHMPLTKAATTLTNFGGVSAMSPLIGAFLADSFLGRFWTIAAASLVYQLGMTLLTVSAALPVFRPPPCSSTATSSCSEAAPWQLAVLYTALLLNAIGAGGYRPCIVAFGADQFDESQAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPMYRRLEPAGSPFTRLVQVVAAAVRKRGVPRDVVDAGMLYENDEIDKPISMYGKLVHTEQLSFFDRAAIVTDGDLTTTSAAADNNKPPPPPVPNPWRLSTIHRVEELKSVLRMGPIWAAGILAITASSQQHTFSLQQASTMDRRLAPPSSFQIPAGSMTVFTMLALLATLLAYDRALVPLARRATGLPRGISYLTRIGVGLALSASATLVAGFVERRRRNCAAAVGATDAGMSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTALIDAVHRWSAGDDGSNWLPDNINHGKLDYFYWIVTLLQVVNLVYYVVCARCYTFKPVQMHDQVEEDDNGGKNQVEMQEKASPLGH >LPERR06G09010.2 pep chromosome:Lperr_V1.4:6:6938502:6944067:1 gene:LPERR06G09010 transcript:LPERR06G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVSAALPVFRPPPCSSTATSSCSEAAPWQLAVLYTALLLNAIGAGGYRPCIVAFGADQFDESQAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPMYRRLEPAGSPFTRLVQVVAAAVRKRGVPRDVVDAGMLYENDEIDKPISMYGKLVHTEQLSFFDRAAIVTDGDLTTTSAAADNNKPPPPPVPNPWRLSTIHRVEELKSVLRMGPIWAAGILAITASSQQHTFSLQQASTMDRRLAPPSSFQIPAGSMTVFTMLALLATLLAYDRALVPLARRATGLPRGISYLTRIGVGLALSASATLVAGFVERRRRNCAAAVGATDAGMSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTALIDAVHRWSAGDDGSNWLPDNINHGKLDYFYWIVTLLQVVNLVYYVVCARCYTFKPVQMHDQVEEDDNGGKNQVEMQEKASPLGH >LPERR06G09010.3 pep chromosome:Lperr_V1.4:6:6938502:6944069:1 gene:LPERR06G09010 transcript:LPERR06G09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVSAALPVFRPPPCSSTATSSCSEAAPWQLAVLYTALLLNAIGAGGYRPCIVAFGADQFDESQAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPMYRRLEPAGSPFTRLVQVVAAAVRKRGVPRDVVDAGMLYENDEIDKPISMYGKLVHTEQLSFFDRAAIVTDGDLTTTSAAADNNKPPPPPVPNPWRLSTIHRVEELKSVLRMGPIWAAGILAITASSQQHTFSLQQASTMDRRLAPPSSFQIPAGSMTVFTMLALLATLLAYDRALVPLARRATGLPRGISYLTRIGVGLALSASATLVAGFVERRRRNCAAAVGATDAGMSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTALIDAVHRWSAGDDGSNWLPDNINHGKLDYFYWIVTLLQVVNLVYYVVCARCYTFKPVQMHDQVEEDDNGGKNQVEMQEKASPLGH >LPERR06G09020.1 pep chromosome:Lperr_V1.4:6:6944546:6944893:-1 gene:LPERR06G09020 transcript:LPERR06G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPADATTSPAPPPQGEAAARKAVRVVVKGRVQGVDFRGWTAETAESLGLAGWVRNRRDGTVEVLLSGDPAMVDEMVSRRLPVGSPASAVTAVVASPADPVHPSLGFEIKFTV >LPERR06G09030.1 pep chromosome:Lperr_V1.4:6:6945816:6946217:-1 gene:LPERR06G09030 transcript:LPERR06G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSAAAPRLALTPRSVAAARRAMASGTTTPPPPSPPNPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGEPSKVDEMVSRRLPVGPPAAAVTAVLPSPADPVDPAEGFSRKPTA >LPERR06G09040.1 pep chromosome:Lperr_V1.4:6:6948317:6949193:-1 gene:LPERR06G09040 transcript:LPERR06G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYTVALLGAAGARVPAAAVPRSAALLPRRGGVLRLQDAAPPRLSLLRVRAASDDTSTSASGDEIVADLKAKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >LPERR06G09050.1 pep chromosome:Lperr_V1.4:6:6949779:6953941:-1 gene:LPERR06G09050 transcript:LPERR06G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRTRLSPPTPAIQPHRKRARSPPASGPVVWRSSSSGNGAPRDHRRRWQSSAGAPGRVYERHRPQQYGVPSRRWVFAEEASTSDGDACTIMSYNILADTNARYHTDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMKNRGLCLLEEDSIDFSEYNLRNNVAQIFVFELNNTQKFVVGNIHVLFNPKRGDVKLGQIRMLLENANAIAEKWGGIPIVLAGDFNTTPDLDISLHDRRQLSGLDGSEYGLYDLCSLLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRDHQGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDEEDESDEDKESEQEATRAQHIYFSSDSDISDEPTHGLLDGANPLNITLV >LPERR06G09060.1 pep chromosome:Lperr_V1.4:6:6958716:6963871:-1 gene:LPERR06G09060 transcript:LPERR06G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEEKSVVVTVNGEIYNHEDLKAKLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTEAKVAKQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKNFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHNAARLTVPGGPSIACSTAKAIEWDAAWSKNLDPSGRAALGVHDAAYEDTVEKSPASANPVADNGFSPALGESIIKTVASATAV >LPERR06G09060.2 pep chromosome:Lperr_V1.4:6:6958716:6960659:-1 gene:LPERR06G09060 transcript:LPERR06G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAKQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKNFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHNAARLTVPGGPSIACSTAKAIEWDAAWSKNLDPSGRAALGVHDAAYEDTVEKSPASANPVADNGFSPALGESIIKTVASATAV >LPERR06G09060.3 pep chromosome:Lperr_V1.4:6:6959046:6960659:-1 gene:LPERR06G09060 transcript:LPERR06G09060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAKQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKNFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPTTKEAYYYRTIFEKFFPKA >LPERR06G09060.4 pep chromosome:Lperr_V1.4:6:6961045:6963871:-1 gene:LPERR06G09060 transcript:LPERR06G09060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEEKSVVVTVNGEIYNHEDLKAKLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGSLRRWYNPPWFSESIPSTPYNPLLLRQTFEKARPIFIPYEAQNLF >LPERR06G09070.1 pep chromosome:Lperr_V1.4:6:6968772:6971173:-1 gene:LPERR06G09070 transcript:LPERR06G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHSSHVFRAEEQSGGGTAGYNHIGDGAIFLPPLLHPDLPLLRTSPNPISKSSSSLTAGNNFPPPLAGGNQHGVVVGMAAAPGMATATATDRFCLPRMAAAAAAASQLENWGDSGVVVSSTFTDDTSTDVDDTADKHHHHALMGGGGGGCRGELRGAIFSAVSKEKTGDQKTQRRLEQNREAARKSRMRKKAYIQQLESSRSKLANLEQELQRARQQGIFITTGGSGDHGQSIGGNGTLAFDMEYARWLDDHQRHINDLRVAMNAPIGDDDLRVLVDGVMAHYDHVFRLKGLATKIDVFHVLSGMWLSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLADTLASAAAAAGGVDNVTNYMGHMAVAMAKLTTLENFLRQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >LPERR06G09080.1 pep chromosome:Lperr_V1.4:6:6980687:6984346:1 gene:LPERR06G09080 transcript:LPERR06G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRASNWLRKYPSCFDLFHGPAASAGGEEEEWWFGFTKRMGALVDAEEAAVAASEPAMADRLARVLMLARGRRIQVSKLAALRGPLGFPDDYLLRLLPGRAHLFRLANPYPHRRNAAELELLRWVPSLAVSSVKAAAVATDSAPRFACSLPPSWINSHAKMEEFNSTPYISPYSENWGVIGSDGDAAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDIARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELKEKDPVVAAKDRLGELMQEGLHEYNQRRREANLEKRRRIGEIEIKQEQKEDDEEVARLDSAEKREERRKFYKNLENIGT >LPERR06G09090.1 pep chromosome:Lperr_V1.4:6:6983221:6983539:-1 gene:LPERR06G09090 transcript:LPERR06G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQYNLQQSPCLDCCVHFCCESCALCQEYKELEKRGFNMTKGWEGSNKVVGCVQGMKPPGKQNMCF >LPERR06G09100.1 pep chromosome:Lperr_V1.4:6:6985105:6985925:-1 gene:LPERR06G09100 transcript:LPERR06G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGSASGAMVVILCLAALLMEAVPAATAGKTYYVGDAAGWGRNLDWWLAGKTFYAGDVLVFKYDKEKHDVTVVGGKGYRRCKVPKNKNTVVMHSGYDQVTLRRGNNYFICGMPGHCDNGMKLAVKAL >LPERR06G09110.1 pep chromosome:Lperr_V1.4:6:6997400:6998008:1 gene:LPERR06G09110 transcript:LPERR06G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASLSSLPIDLVAEIALRSDPIALLRCAAACKHLRRLIAGGAGLRRGELRLRNATNSFVPVLLCGFFYQPRPRSPLHPRPQLRFVTNAHDNAVDAAAGKLLSTVSHDGDGRRRFDPVAARGGFIVHRTDDSSGEVCNPMTGYVSRSINLPRKNNGTCYLLLTADDSVAVDEPFRLLAVRLYDTSAVYEGRIRLKLQELSL >LPERR06G09120.1 pep chromosome:Lperr_V1.4:6:6998137:6998674:1 gene:LPERR06G09120 transcript:LPERR06G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFHTRDPHPQSYYLLLRIDVSTTTTTATIVRGPSQLRPPFCPNCCTGDAAAAAVVMPEQMLLGGDLYTPVDEMPNSVWECVARVDYTSGVPCDVSRLEDVEMVWSGEKSGCVVLRLGGVLCLMDRRSMVISVLGEELFTEFRFGSDPVLLPYEIGVSSWVPSISE >LPERR06G09130.1 pep chromosome:Lperr_V1.4:6:7006223:7011016:1 gene:LPERR06G09130 transcript:LPERR06G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLALAESRSRVNSGSKKKKSDQPLLHFHPLQVGAAALVAIAVAGTLVGRELSVRRRGRGDAAMTGTSWIVDSQRIASKIKNASGLVDASKHNWVSNPTKACPRCNHVIDNSDVVHQWPGLPRGVKFDPTDPELLWHLLAKHGKVNAKPHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGIRKRRKINTSDLADVRWHKTGKTKPVIVDGKHLGCKKIMVLYMSPVKGGKPEKTNWVMHQYHLGTGEDEIEGQYVVSKLFFQQQFKPGEKNAQELTSDVSESIVAEDRLDFPPLPLEEHVCTNQEVLEKSEPSSDQGKETSEINNKDTVVEDDVHMSTEKPEDGDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGEDEPDKIKPRLAVYAQLPVEDLKKDLEECQKLDPSDSANLELENTCEFRLSQIEFSQDSFTTGWAGGKAID >LPERR06G09140.1 pep chromosome:Lperr_V1.4:6:7013740:7025084:1 gene:LPERR06G09140 transcript:LPERR06G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKALSIGTLMKRLCKSVLKKRLGDLILGDIDLDQFDLQLTRGELHLSDIALNADFINGKLSGSGLMMKEGSIKSLLVRLPLHYNFVKRVEIVVEDLEIVLAASVSSEVPSVDTECPVSSSNIDSHESVQTKRNESDGNQCSTSASRDVDEGVKRIANAVKWFLTSFNIKLKNAYVVFDPQNILGDKVSEFNRLLVFRIKETEFGTNLTTDGLLKLNNFVTFQEATIEFLKMDDVEAHTLLQDDLDRGPAGISSGHSTTTVLTGPIGGFSGKLNLSIPWSNGRLNFKKLDADISVNSLELRLQASSIQWLMDVWDSLLVHELTYAPNAVNISRSASCSYVSSVIKPGPGSDFVVSSGEHLTEDTFTQVTQDKTQESSLTMPYVIMDWIPEFAIHEDQGDPDSDCDESIDQFFECFEELRNSQTNLGNSGLWDWTCSVFNAITFASTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSNEMDAANTIAPIRLVDDMRNSEMFSSCLSSAHFEQSMVSSAPASSFNMHHVEAKCQNIDLYLETYAEKLSLKASITAIKLDEYYSTENNDSDHPHLGAAFLNNNFCREVQAALPQPAFPSQDYYEETSGRRANSTNDLTKVELLKTFGECKFHYDVSSTGQDGNSVSSTSLSVCLAPFIFWVHFHTVHKLLNFVSKIESGVLHRENKIHRHGDGKSGNFATKKNDSLGESKKVQIALSPARIIFCFPSESWDLSCPSMLDKFLVIDHTSSLKSGEDSPSHRDEMPNGVHPSTPATFLHLATGNFDIYLVRPVSDALDARACSLSRKIFSSLKIFSVTGSNPRESGLSMIWKKYPLKDPEMVNKTWGLPNKHEEKITQSKSGKWIGVSSSTTSQDLEESSSKIRNELLQSTEFLLHIQFSCVSVHLSKKDCGLLNHLLKNILDGLSDGVNGSFENGRDNDTQIHDVTSQTSVIFECNILDICTELDETVEVGPLLQSELEGSWNRLKLSISNFSLFSFSNVGGVNDTSFLWVNHGEGELWGSITGTDDKKYEESKDVLLVVCKDLASRRGDGEGSNILSFGTAGCSVTHIRNPKLQKNYTSVNVRSATAVAPGGRMDWISAICLLFSSASDGTEQPGSTMDNSQAGETFSSLFFLELVDVALSYEPHFRSSALSTEAPDCKNFSCLLAASSFKLHSKSASDSVATDFDIELRDLGVLICESSGLKNVTCGYGADYLRQMGYAKIVQNTFIEAALRIDSSFWKLELSDSQFDIATCHDTTHALIRLCSQLQQLYAPDMRDALVHLQSRWNNVQQANKQNMSTDVSEKSESSLDNLTDSDECKSDGLLDDIIENAFYIDQECTSKAIPEELLLFTPETSGEWYNNKPLNIVENHVSKRNDPQGEQTFQREAKPAICSLNSDESCNLKGKVLIHDIDVKWRMYDGNDWKLPHKDTTSWPSSNGRDKRSSLEFIMSGFNIQFDMYPDGDIYVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLRLEILPLQLHLDQGQLNFLISFFQNDSCNNPYLPCENENIDAKSTTYQSDTIADEALLPFFQKFDVKPLVVHINYIPRHFDPVALSKGNYAELLNILPWKGIDLQLKQVSAMGVYGFNNICELIAAEWLEDISNNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSFSIEAVGLGVHLAAGAHDMLSKTESALTTIPPPLASREAKRTKDNIRANQPESAQEGIQKAYESLTDGFGRTASALIGNPMKAYNRGAGTGSVLATAICGAPVAAVAPISASLRALHCTLLGIRNRCIVFICHVNAL >LPERR06G09140.2 pep chromosome:Lperr_V1.4:6:7013740:7025084:1 gene:LPERR06G09140 transcript:LPERR06G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKALSIGTLMKRLCKSVLKKRLGDLILGDIDLDQFDLQLTRGELHLSDIALNADFINGKLSGSGLMMKEGSIKSLLVRLPLHYNFVKRVEIVVEDLEIVLAASVSSEVPSVDTECPVSSSNIDSHESVQTKRNESDGNQCSTSASRDVDEGVKRIANAVKWFLTSFNIKLKNAYVVFDPQNILGDKVSEFNRLLVFRIKETEFGTNLTTDGLLKLNNFVTFQEATIEFLKMDDVEAHTLLQDDLDRGPAGISSGHSTTTVLTGPIGGFSGKLNLSIPWSNGRLNFKKLDADISVNSLELRLQASSIQWLMDVWDSLLVHELTYAPNAVNISRSASCSYVSSVIKPGPGSDFVVSSGEHLTEDTFTQVTQDKTQESSLTMPYVIMDWIPEFAIHEDQGDPDSDCDESIDQFFECFEELRNSQTNLGNSGLWDWTCSVFNAITFASTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSNEMDAANTIAPIRLVDDMRNSEMFSSCLSSAHFEQSMVSSAPASSFNMHHVEAKCQNIDLYLETYAEKLSLKASITAIKLDEYYSTENNDSDHPHLGAAFLNNNFCREVQAALPQPAFPSQDYYEETSGRRANSTNDLTKVELLKTFGECKFHYDVSSTGQDGNSVSSTSLSVCLAPFIFWVHFHTVHKLLNFVSKIESGVLHRENKIHRHGDGKSGNFATKKNDSLGESKKVQIALSPARIIFCFPSESWDLSCPSMLDKFLVIDHTSSLKSGEDSPSHRDEMPNGVHPSTPATFLHLATGNFDIYLVRPVSDALDARACSLSRKIFSSLKIFSVTGSNPRESGLSMIWKKYPLKDPEMVNKTWGLPNKHEEKITQSKSGKWIGVSSSTTSQDLEESSSKIRNELLQSTEFLLHIQFSCVSVHLSKKDCGLLNHLLKNILDGLSDGVNGSFENGRDNDTQIHDVTSQTSVIFECNILDICTELDETVEVGPLLQSELEGSWNRLKLSISNFSLFSFSNVGGVNDTSFLWVNHGEGELWGSITGTDDKKYEESKDVLLVVCKDLASRRGDGEGSNILSFGTAGCSVTHIRNPKLQKNYTSVNVRSATAVAPGGRMDWISAICLLFSSASDGTEQPGSTMDNSQAGETFSSLFFLELVDVALSYEPHFRSSALSTEAPDCKNFSCLLAASSFKLHSKSASDSVATDFDIELRDLGVLICESSGLKNVTCGYGADYLRQMGYAKIVQNTFIEAALRIDSSFWKLELSDSQFDIATCHDTTHALIRLCSQLQQLYAPDMRDALVHLQSRWNNVQQANKQNMSTDVSEKSESSLDNLTDSDECKSDGLLDDIIENAFYIDQECTRYDFSDRDCHSSSSGSEMDEEFELSKAIPEELLLFTPETSGEWYNNKPLNIVENHVSKRNDPQGEQTFQREAKPAICSLNSDESCNLKGKVLIHDIDVKWRMYDGNDWKLPHKDTTSWPSSNGRDKRSSLEFIMSGFNIQFDMYPDGDIYVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLRLEILPLQLHLDQGQLNFLISFFQNDSCNNPYLPCENENIDAKSTTYQSDTIADEALLPFFQKFDVKPLVVHINYIPRHFDPVALSKGNYAELLNILPWKGIDLQLKQVSAMGVYGFNNICELIAAEWLEDISNNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSFSIEAVGLGVHLAAGAHDMLSKTESALTTIPPPLASREAKRTKDNIRANQPESAQEGIQKAYESLTDGFGRTASALIGNPMKAYNRGAGTGSVLATAICGAPVAAVAPISASLRALHCTLLGIRNRCIVFICHVNAL >LPERR06G09150.1 pep chromosome:Lperr_V1.4:6:7025895:7031583:1 gene:LPERR06G09150 transcript:LPERR06G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPLLDPSPAPRPARSTSSRALGGACAAEAEWCKIVRQDWLARPEGQMMWTSLATSNDNLSILSTKVVA >LPERR06G09160.1 pep chromosome:Lperr_V1.4:6:7040210:7046547:1 gene:LPERR06G09160 transcript:LPERR06G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLPPRESVKPKEEEEMEKPRPVHGVVVIAVPSEDGGESPWLGKERRIGEEEEERWRERRAVSMWRVAEALMLLALLAVAGHYCLYYHAAAAEGEGEEGRSSFLLPLYPKSRGGAAAGESATAGVRENSSSAVLPIRGNVFPDGQYYTSMYIGNPPKPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKQNVIPPRDSYCQELQGNQNYGDNSKQCDYDITYADQSSSMGVLARDSMQLITADGEKENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYIPRWGMTWVPIRNGPENLYSTEVQKVNYGDQQLSGRGKAGKLTQVIFDSGRSYTYLPHEDYTNLIASLKALSPSLLQDESDRTLPFCMKPNFPVRSVDDVKQLFKPLSLVFRKIWFILPRTFIIPPEDYLIISDKNNICLGVLDGTEIGHDSEIVIGDVSLRGKLVVYNNDEKHIGWVQSDCTKPQKQSEFPFLFKRVLQNQLL >LPERR06G09160.2 pep chromosome:Lperr_V1.4:6:7040210:7046851:1 gene:LPERR06G09160 transcript:LPERR06G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLPPRESVKPKEEEEMEKPRPVHGVVVIAVPSEDGGESPWLGKERRIGEEEEERWRERRAVSMWRVAEALMLLALLAVAGHYCLYYHAAAAEGEGEEGRSSFLLPLYPKSRGGAAAGESATAGVRENSSSAVLPIRGNVFPDGQYYTSMYIGNPPKPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKQNVIPPRDSYCQELQGNQNYGDNSKQCDYDITYADQSSSMGVLARDSMQLITADGEKENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYIPRWGMTWVPIRNGPENLYSTEVQKVNYGDQQLSGRGKAGKLTQVIFDSGRSYTYLPHEDYTNLIASLKALSPSLLQDESDRTLPFCMKPNFPVRSVDDVKQLFKPLSLVFRKIWFILPRTFIIPPEDYLIISDKNNICLGVLDGTEIGHDSEIVIGDVSLRGKLVVYNNDEKHIGWVQSDCTKPQKQSEFPFLFKRVLQNQLL >LPERR06G09170.1 pep chromosome:Lperr_V1.4:6:7044947:7048598:-1 gene:LPERR06G09170 transcript:LPERR06G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGSAAGASQAQLGSLWSTLEDQRGGGAGREEVPLLSSAWGLPGTHGEEGEDRKEGILRRAGAAVGRGWGAVRGAVVELWAFARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIMSTFIVAFCTTLSKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFVLIAIGAAVSLGINIGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYRTNPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHKVGIEGAKVLRELGDKVKTMTKLSSLDILAEVHLAAEKLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGIAGKENKNEVKEPTIVEQTFAHHSKSFAVNSFLSRHDSSSTVDSLKLLSWPARRSFHPNVPLEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSEKADFKEPVQEPLAVSTSDSGFLNKICKFVGIKS >LPERR06G09180.1 pep chromosome:Lperr_V1.4:6:7050038:7054680:1 gene:LPERR06G09180 transcript:LPERR06G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKLPSPPHRRPWRPSLLESPPLRSLPRLILPAASPFATTRRRHVAPLASSPSSSGSPSKDSSSPIPPAKRPSSSSEEKKRKKKAPDECGRWKSVPPGMRESAVPDADEPPAPWTARRRVRVAWRKVASWVPRKARSLVLLNLVTVVFASNISVVKEAETILDPDLFNVLRFTISAIPFVPLLLKALSDVQAFIKGVELGIWVAIGYLAQAIGLVTADAGRAAFISSLTVIIVPFLDGILGAEIPAYTWIGAFLSLIGIGILELSGSSPCVGDLLILLSAFSFAIHMLRTEHISRNMKKEKFPALVGCQNVNHWTLKLQSPMKLFSMAIQFPWMAILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGITGFVGAIFIIAGSFMVQILGSFADVSRGEVTI >LPERR06G09190.1 pep chromosome:Lperr_V1.4:6:7055337:7059083:-1 gene:LPERR06G09190 transcript:LPERR06G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAARRRRSGGGGAAATAVLVAALLLTSSSTASAASSYPAKVVTGLLSSTASAVVKQLWSLKSKSRTGSSSWSSAAAGRSVVKYEGGYAVETVFDGSKLGIEPYDVEVTPAGELLVLDSMNSNVYRVQLPLSRCYDDVMVLCYLVTVTPNSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPQTPPRPSVASIPPYQKPLKPSFRPPLIPTEDQAGKHEAEEGFFTSVSKLIGGAKSSVVEIFSRKKRPAHQYHHHLQQQRTNPWPVQESYAIPHDETPPPLDMRAPTPRKNYAFMTKEPEKVHHVRHGRPYFNGWDVQHAPQQQPEQQMYHQQHLQQHRQYSEGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSSNGNNNYY >LPERR06G09190.2 pep chromosome:Lperr_V1.4:6:7055337:7059083:-1 gene:LPERR06G09190 transcript:LPERR06G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAARRRRSGGGGAAATAVLVAALLLTSSSTASAASSYPASGDRVAVEHGVGGGEAALVAQIEIQDGSVVKYEGGYAVETVFDGSKLGIEPYDVEVTPAGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPQTPPRPSVASIPPYQKPLKPSFRPPLIPTEDQAGKHEAEEGFFTSVSKLIGGAKSSVVEIFSRKKRPAHQYHHHLQQQRTNPWPVQESYAIPHDETPPPLDMRAPTPRKNYAFMTKEPEKVHHVRHGRPYFNGWDVQHAPQQQPEQQMYHQQHLQQHRQYSEGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSSNGNNNYY >LPERR06G09190.3 pep chromosome:Lperr_V1.4:6:7055337:7059083:-1 gene:LPERR06G09190 transcript:LPERR06G09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAARRRRSGGGGAAATAVLVAALLLTSSSTASAASSYPAKVVTGLLSSTASAVVKQLWSLKSKSRTGSSSWSSAAAGRSVVKYEGGYAVETVFDGSKLGIEPYDVEVTPAGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPQTPPRPSVASIPPYQKPLKPSFRPPLIPTEDQAGKHEAEEGFFTSVSKLIGGAKSSVVEIFSRKKRPAHQYHHHLQQQRTNPWPVQESYAIPHDETPPPLDMRAPTPRKNYAFMTKEPEKVHHVRHGRPYFNGWDVQHAPQQQPEQQMYHQQHLQQHRQYSEGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSSNGNNNYY >LPERR06G09200.1 pep chromosome:Lperr_V1.4:6:7070336:7074136:-1 gene:LPERR06G09200 transcript:LPERR06G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESGRGAATARRKPWREEALLAYQSLGVVYGEVAAAPLYVFRSAFAGGDIGHSPGNEEIYGALSLVFWTLTLLPLAKYAFFVLRADDGGEGGTFALYSLICRRGVRAGLLLPSSGAAAGDDVTAAAPVSAVRAALERHRVLQRLLLLLALLGTCMVIGDGVLTPAVSVFSAVSGLELSMAREQHQYILLPITCVILVCLFALQHYGTHRVGFLFAPIVCLWLLCISIMGVYNIVHWNPHVYQALSPYYMYKFLQKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQYSIKMAFTLLVYPALVLAYMGQAAYISRHHNFENGSHIGFYVSVPEKIRWPVLGIAILAAVVGSQAIITGTFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLAVTIGFRDTKHLTNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLFFFGAIETIYFTASLVKFHEGAWVPVTLSFIFMIVMCVWHYGTKKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVQPQERFLVGRIGPKKYRLYRVVVQYGYRDVQKDDIEFEKDLVGSIAEFIRCADSNEKGFLDGAGQSHEGLSSISKGLPLEEDGEFNGSDSPGSSTHKETSLNTITPKPKRVRFALPKDAKIDREVREELQELMEAREAGMSFIMGRSHMRAKSGSGLIKQIVINFGYEFLRRNSRGPPFTANLPHVSTVEVGMICQV >LPERR06G09210.1 pep chromosome:Lperr_V1.4:6:7088011:7092986:1 gene:LPERR06G09210 transcript:LPERR06G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAGSSLLSRCLLSSRPAAAASPAVSSALRRADGTRGLLPSILQRFSTAAAVEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVSAARKAFDEGPWPKMTAYVMLIEIRNLLLQERSRILLRFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFTSKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKSVTLELGGKSPFIIMDDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALRRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVESGANLVTGGDRLGDKGYYIQPTIFSDVQDGMKIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGSVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >LPERR06G09210.2 pep chromosome:Lperr_V1.4:6:7088011:7093659:1 gene:LPERR06G09210 transcript:LPERR06G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAGSSLLSRCLLSSRPAAAASPAVSSALRRADGTRGLLPSILQRFSTAAAVEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVSAARKAFDEGPWPKMTAYVMLIEIRNLLLQERSRILLRFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFTSKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKSVTLELGGKSPFIIMDDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALRRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVESGANLVTGGDRLGDKGYYIQPTIFSDVQDGMKIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGSVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >LPERR06G09230.1 pep chromosome:Lperr_V1.4:6:7104699:7105154:-1 gene:LPERR06G09230 transcript:LPERR06G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTRMPVFILLLAVGSLAIVVHGGRTHGMEAVNSLREEKASADSVQLDFDPITICSPSNFCIPQPWSECYRCIVKPYDNPPFQKIDDCKRNCPVPPTTVSPAHA >LPERR06G09240.1 pep chromosome:Lperr_V1.4:6:7109021:7112093:-1 gene:LPERR06G09240 transcript:LPERR06G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQENSPASRSCSSSTSWSSSGPCAAAGGRVARNGSGKGLSADELAALPCHEHEDAAVKGGGGGGDCAVCLEALEAGDRCRRLPRCEHSFHAPCVDSWLRKSRWCPVCRADVVVEVGRPPEKAAAASRTAAGSPAALEIVVAES >LPERR06G09250.1 pep chromosome:Lperr_V1.4:6:7113620:7120482:-1 gene:LPERR06G09250 transcript:LPERR06G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRFPDYRFLLINTMVLLINTLSNHVKKQYCSIRCLIPTISLFLLVAVLSASNTYIPFSITKPHTSYSPFSDDGKACDIFRGEWVPDPDAPFYTNDTCSVIHEHYDCMKYGKPDLGFVQWRWRPDRCDLPRLNPARFLSGMRGKTMAFIGDSLARNHMQSLICLLTQVAQPTISWPSGEHTVYHYGGEHNFTVVSFWAPFLVRHKLVDPDGPAHTGLWNLYLDEPDPVWAPHVAGLDYAVVSASSWFYRPSMLYESGRLVGCHHCLLPNVTDLTLRYALRMATRAALHAVITGGGEDVTTVLRTVSPSQYEGGEWNENGDCVRTRPYRRNENRLQTIELDFHTLQVEEFESASGGRGARMMLMDTTEAMVMRADAHPSSYRGWTRPKGWMKEYFTVSHDCVHWCLPGALHELKLPSLAHYSLRYVFPAAVIAACVLVLAGVNLPGLPLFPQSPAPETTTTTDGRAGGRRNDCDIFKGEWVPEPDDTPPPYTSESCPATIHGHYDCARYGRPDGGFLRWRWRPDGGCELRRLDAARFLAAMRGRSVAFVGDSLARNQMHSLVCLLSVAEPPSPSPSPATANASHVYRFDRHGVTVAAFWSPFLVRAEFDGGGIWALHLDEADARWAAAAAEFDVVVVSGGSWFYRPSVFYDRAGRLVGCNGCDSPNVTDLTLRFSLRAAFRTALRAAASGAAADAERTVVVRTISPSHYENGTWDGDGDCVRTRPVRRGEWGLSPTEKEMHRIQVEEFAAAAERGEEESAARLMLMDATEAMAQRPDAHPSKYRMWQPDNFNVSRDCVHWCLPGAMDACNDMLFHMLVE >LPERR06G09260.1 pep chromosome:Lperr_V1.4:6:7120903:7122372:-1 gene:LPERR06G09260 transcript:LPERR06G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQVVVSPTAVSLPALAVAAVVLLLLLTSRRLPFLPMYDPTIASSLPTVAAAAVRRVPSDCDIFRGDWVLDDGGAPYYTNATCAVIQEHQNCMKYGRPDLGFLRWRWRPDGCELPRFDAAAFLDLVAGKSLAFVGDSLARNHMQSLMCLLSKVEYPRDVSTTTNPEFRTMRYESHDFTVASFWSPFLVTANQSWTLTDPVNGHGEMMWHLYLDEPDAAWASTVSNFDYVIVSAANWFTRPSVFHVGDGGHVIGCHYCQITGVTDLTLRYSLRAAFRTALRALASAVGSRSDSGAVIVRTLSPTSHFEGGEWDKGGDCRRTRPRAANEAPMGGLDLDFHTAQVEEFMAAKAAASGGEGAARMMLMDTTAAMLMRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHRSGEVDV >LPERR06G09270.1 pep chromosome:Lperr_V1.4:6:7128524:7135926:1 gene:LPERR06G09270 transcript:LPERR06G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLQFVSPTAASLTALAVVVLLATTRPSFPFLRRYEPTIASLPLPSASSSSSSSKTAAAGAAAAVRRVPRECDIFRGEWVPAVAGEDDGAAPYYTNATCSEIQEHQNCIKYGRPDLGFLRWRWRPDGCDLPRFDAPAFLDLVAGKSLAFVGDSLARNHMQSLLCLLSQVERPREASPTADPEFRRVRYESHNFTIAMFRSPYLVTANQSNPVNGMWDVYLDEPDAAWASTVSGFDYVIVSTATWFNRRTMSYSGGRLVGCRDCHVTGVPDLPLHVSLRAALRTALRALTSAVGSRSGGGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPRAASEAPMGGLDLDFHTVQVEEYTRAKEEARGGGEVRMMLMDTTAAMLMRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHRAGELDMKNLLSIRGLLAVARRHRHSATAKPTSVQALLVLLLLFAAATFSVFSLVPLRSPASSAACDAALARGEWVRDAAAAAAPYYTNATCSFIHDYQNCLKHGRPSTEFLRWRWRPAAVCGGAVAAGELRFDAARFLRLMRGKSMLFVGDSLASSHVTSLMCLLSQAESPSRSPRDADGFERWRFAAHGFEVSYFWTPFQVTWRLTRGPPEAVGPDRQGEVFAGPTDVHLDSPDVRWTSAASRHDVVVMAASHWFSRPAVYYRGGRAIGCHDCGADKVNVTVTVTVTPEEAQRAAFRTALRALVEGFNGTAILRTVAPTHYENGGWFDGGECTATRPAAGDGGGEVELAAREAEFYRAQVEEFAAAAARRGGARLRLMDVTRMMLLRPDGHPDRYGHGAGGEHDGFEIDCLHWCLPGAIDVWNELLLRMLQH >LPERR06G09280.1 pep chromosome:Lperr_V1.4:6:7140091:7143219:1 gene:LPERR06G09280 transcript:LPERR06G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGRKVAGKGGDMSVHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKEEDNIGDCERLYKAFLQEINTFELPLLKSEAVVDANLREKDSFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISMQPPRSETEKLIADLEKEIANLEADNTACIRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAATEEQKMSIEDGSGGASDAMAVD >LPERR06G09280.2 pep chromosome:Lperr_V1.4:6:7141633:7143219:1 gene:LPERR06G09280 transcript:LPERR06G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGRKVAGKGGDMSVHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKEEDNIGDCERLYKAFLQEINTFELPLLKSEAVVDANLREKDSFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISMQPPRSETEKLIADLEKEIANLEADNTACIRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAATEEQKMSIEDGSGGASDAMAVD >LPERR06G09290.1 pep chromosome:Lperr_V1.4:6:7144192:7146032:-1 gene:LPERR06G09290 transcript:LPERR06G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTIESIRSMQVRQVLAQIISLGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKAITILEMIDFCMHMGSFGFSNITLWDEL >LPERR06G09300.1 pep chromosome:Lperr_V1.4:6:7146511:7148389:1 gene:LPERR06G09300 transcript:LPERR06G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSGSGGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMYSLDEMGARIDELEQSINDLKIEMGTEGITPTKPKDEEPKAADSSAA >LPERR06G09310.1 pep chromosome:Lperr_V1.4:6:7149304:7160675:-1 gene:LPERR06G09310 transcript:LPERR06G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDQRWLVECLTATLDTARDIRDFAEESLRQASLLPGYGAALTKVTTNKEIPFGLHEENFVPPVVSASEKVVIRQLLLISLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLIGDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHMYENSLRAKALAIVHSCISMLGSMSGVYKRETVSLMSSMLDPLMEQFSLILNSPVQSQNPDDWSMQMEVLKCLLQLVQNFPAVLAPLWHTFVSSFKVYESSMIQASEDVDSVGYDSDGNERSLESFGIQLFELWTSIVGNSRLAKVIVGNIKELTYYTIAYQQITEEQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIDSVLEASKMHFYESRELKKAGSADWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTMGTELHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLSVSNITGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTIIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILGKSKIQQNGLVAGSLDLLTMILKNAPIAVVKAVFDTCFTSIIQIVLESDDHGEMQNATECLAVFISGGRQELLLWGGGQGHTLKMLLDAASRLLDPGLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKCSLVVIIARLVHLSAPNVDQFINLLLAIPAQGYNNSFAYIMSEWSQLQGEIQGAYQIKVTTTALALLISTRHPELSNIEVQGHIIKTSAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDDCEEDSDWEEIQNGDTSIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDDDESYDDDLAKTDTLNEVCLRCKVHLNKDNTVNQANVKPLDFLTNTFVKLWESDRPLFEYLCQDLTDSQRNAVEKVLRK >LPERR06G09310.2 pep chromosome:Lperr_V1.4:6:7149304:7160675:-1 gene:LPERR06G09310 transcript:LPERR06G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDQRWLVECLTATLDTARDIRDFAEESLRQASLLPGYGAALTKVTTNKEIPFGLHEENFVPPVVSASEKVVIRQLLLISLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLIGDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHMYENSLRAKALAIVHSCISMLGSMSGVYKRETVSLMSSMLDPLMEQFSLILNSPVQSQNPDDWSMQMEVLKCLLQLVQNFPAVLAPLWHTFVSSFKVYESSMIQASEDVDSVGYDSDGNERSLESFGIQLFELWTSIVGNSRLAKVIVGNIKELTYYTIAYQQITEEQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIDSVLEASKMHFYESRELKKAGSADWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTMGTELHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLSVSNITGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTIIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILGKSKIQQNGLVAGSLDLLTMILKNAPIAVVKAVFDTCFTSIIQIVLESDDHGEMQNATECLAVFISGGRQELLLWGGGQGHTLKMLLDAASRLLDPGLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKCSLVVIIARLVHLSAPNVDQFINLLLAIPAQGYNNSFAYIMSEWSQLQGEIQGAYQIKVTTTALALLISTRHPELSNIEVQGHIIKTSAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDDCEEDSDWEEIQNGDTSIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDDDESYDDDLAKTDTLNEVKPLDFLTNTFVKLWESDRPLFEYLCQDLTDSQRNAVEKVLRK >LPERR06G09320.1 pep chromosome:Lperr_V1.4:6:7161176:7163476:1 gene:LPERR06G09320 transcript:LPERR06G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKKKTPVALAPIAKPLAGKKLCKRTLKLVRKASEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEDVKEKLKTDYDQVLSEVAEVTSSMF >LPERR06G09330.1 pep chromosome:Lperr_V1.4:6:7167707:7171859:1 gene:LPERR06G09330 transcript:LPERR06G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFLLLLMLVSSPSVALLSANGVNTEGWSLPSSILQALIDIKNLLKDPNGVLKSWDVDSVDPCSWAIITCSPENLVITLEAQSQHLSSQLAPSIGDLTNLETLLTGPIPAEIGKLANLKILDLSNNNFYGEIPNSVGHLKSLRHLDLSYNNLSGLIPRSLAGRYNVVGNPLICNAKREQDCYRTTPIPMIN >LPERR06G09330.2 pep chromosome:Lperr_V1.4:6:7167545:7171861:1 gene:LPERR06G09330 transcript:LPERR06G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIDPICFSSLTIAPCLSTYCTGTGRARGSDISSTRHCSPTHFDSLSPGTCVAMEAPFFLLLLMLVSSPSVALLSANGVNTEVQALIDIKNLLKDPNGVLKSWDVDSVDPCSWAIITCSPENLVITLEAQSQHLSSQLAPSIGDLTNLETLLTGPIPAEIGKLANLKILDLSNNNFYGEIPNSVGHLKSLRHLDLSYNNLSGLIPRSLAGRYNVVGNPLICNAKREQDCYRTTPIPMIN >LPERR06G09340.1 pep chromosome:Lperr_V1.4:6:7179166:7185592:1 gene:LPERR06G09340 transcript:LPERR06G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFFLLLLLFLLVSSSPSAALLSAKGVNAEVQALIVIKNLLKDPHGVLKSWDQNSVDPCSWAMITCSPESFVTGLEAPSQNLSGLLAPTIGNLTNLETVLLQNNYITGPIPAEIGKLASLKTLDLSSNSFYGEIPNSVGHLDSLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPMTYNLNSSQGGALPPAARSKGHKFAAAFGSTAGFMALLLLAASFLFWWRHRRNRQILFDVDDQHIENVNLGNVKRFHFRELQAATDNFSGKNILGKGGFGNVYRGQLGDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYAYMSNGSVASRLKAARGLVYLHEQCDPKIIHRDVKAANVLLDDACEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKASNQKGAMLDWVKKMHQEKKLEVLVDKALQGSYDRVELEEMVQVALLCTQYLPSHRPRMSDVVRMLQAGDGLADRWESAAVSSDHAADSSQKYSSSSSFKHPPDFSSSAAAADFGRCFSDLTDDSSLLVQAVELSGPR >LPERR06G09360.1 pep chromosome:Lperr_V1.4:6:7191562:7193240:1 gene:LPERR06G09360 transcript:LPERR06G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSFSGNVFDQEVAGGEGEGSSSCPWARPCDGCRAAPSVVYCRADAAYLCASCDARVHAANCVASRHERVRVCXRAPAALACRADAAALCVACDVQVHSANPLARRHQRVPVVPLPAAAIPAASVLAEAVANTTVLSDKDEEVDSWLNCSVNNNDNNNNNNNSNNNNNNNGMYFGEVDEYFDLVGYNSYYDNRIDNNQEQYGMQEQHEQQQQQEMQKEFVEKEGSECVVPSQVTMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSISFSSMEVGIVPDSTVIDMPNSSILTPAGAINLFSGPSLRMPLRFNSMDRVARVLRYKEKKKARKFEKTIRYATRKVYAEARPRVKGRFAKRSDVEIEVDLMFSTAALSDGSYGTVPWF >LPERR06G09370.1 pep chromosome:Lperr_V1.4:6:7197892:7207865:1 gene:LPERR06G09370 transcript:LPERR06G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSRNEAMVLCEEGNNESSYVVCVIESLKKKITSDRFGYIKKRIEENSIKLSPITQQSYNLSKNRQTTSTSSSTDLVSNLLTKRRDDVLCAVDSREASPDDDESDNSQDEGSSTVIVGGNLSSKNSDGVTNFLPCMWSDGMTGLFGLYALRIFNISNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDRIIRMTIQECGMSDAVLDTLARDIERAPADIKARYEILQGEKPEGSSKKVPELTVKMEDMYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPALSSSDDGTPCGIHCYKLASKPDAIMAIDSHLPVDVEEPTHSLDNARNQIGSNKKKLGSSGQKTKSQQSESSSTARASSESSESEVQLISNKSPQHSPGLSKHKIGTKGGIKKSTNRRIAERILMSVKKGQREMASSDSNSIVNGCLWPRDMKLRSDTRNVIKDSVASSQYNTQSTRSSRKKDVLQMENNSSFADAQSDSMEDTNNEHSATDGCDSLRKEECVDENICKQDPHSRSWKVIEQGLLLKGLEIFGRNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYIKVSQNVQESLPRLPLRKESVSQPCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEADRAPAWARKPEGSGAKDDAQPSTGRAKKLAH >LPERR06G09370.2 pep chromosome:Lperr_V1.4:6:7198321:7207865:1 gene:LPERR06G09370 transcript:LPERR06G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCEEGNNESSYVVCVIESLKKKITSDRFGYIKKRIEENSIKLSPITQQSYNLSKNRQTTSTSSSTDLVSNLLTKRRDDVLCAVDSREASPDDDESDNSQDEGSSTVIVGGNLSSKNSDGVTNFLPCMWSDGMTGLFGLYALRIFNISNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDRIIRMTIQECGMSDAVLDTLARDIERAPADIKARYEILQGEKPEGSSKKVPELTVKMEDMYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPALSSSDDGTPCGIHCYKLASKPDAIMAIDSHLPVDVEEPTHSLDNARNQIGSNKKKLGSSGQKTKSQQSESSSTARASSESSESEVQLISNKSPQHSPGLSKHKIGTKGGIKKSTNRRIAERILMSVKKGQREMASSDSNSIVNGCLWPRDMKLRSDTRNVIKDSVASSQYNTQSTRSSRKKDVLQMENNSSFADAQSDSMEDTNNEHSATDGCDSLRKEECVDENICKQDPHSRSWKVIEQGLLLKGLEIFGRNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYIKVSQNVQESLPRLPLRKESVSQPCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEADRAPAWARKPEGSGAKDDAQPSTGRAKKLAH >LPERR06G09380.1 pep chromosome:Lperr_V1.4:6:7208580:7209701:1 gene:LPERR06G09380 transcript:LPERR06G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLISAVASDLVSRFISSLAQNYRNDTSKEDDRRRLERILLRMHSVIEESEGRHIKNQGMLLQLKLLTEGFYLGYYMLDKIKLQASEKEGIEDERFRFIDAIRKHTPLAFGRRSTATSLRGVVEGLESKIEDMREFVMLLGSYPFLTRQPYSTYLYIEKCMFSRRIEKEQVINFLLSIDHHDPYVSILPIIGPQRIGKKTLVQHACQDERVCNFFSHIFFFKEDDLKIAELSLNSEASSGKYLFVIEFIWDVDEAAWTKFQLYLQNMAGTGIKVVVIGASEDIAKFGTTEPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLAAEMNGSFLGATLVAELLRSNPSTQF >LPERR06G09390.1 pep chromosome:Lperr_V1.4:6:7216142:7220410:1 gene:LPERR06G09390 transcript:LPERR06G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDNTDLEKSEASEHSQTQTLSFHGGAMFLPEAQIASSANALTSMANPFPIPPGLWNPPAQSINLGETSFSSLLGMLSAGAPPFAATSGFIDSGTGFPCYNGGNLGAMINHSFPSTQPLGDFHNGVEPCREIEAIASEGCKDVSLTGEKQQEDSVMRHAVDSSGKELSKPERNDEGPRVSSSKKRKRSGQDGGVKHVEGGEQSATVGSVQKNENEKSETKRSSVASGKSSGKETKDNAGSPKEDYIHVRARRGQATNSHSLAERVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPTLDFNIERIVSKDIFQSQSTTTSSTFGFLPDTVHSRLHPPKYTQVGMPSILNSIDAFGRVTHTQVGTNCAFKEPKHQMSNNLSGEFQDVIEMPFTHGYHRSDDQS >LPERR06G09400.1 pep chromosome:Lperr_V1.4:6:7221042:7225411:-1 gene:LPERR06G09400 transcript:LPERR06G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFALEMRSGAQARKASLVESIQAAISELMPPEAGADAEQEKFMDVGSHLYHAPLVTMEQQRAQNSTINVMHAMEYLAANVDLAKDLVMRCSAIAQELKNDDLLSMTEDLDSVIRNIGHELGRIPASTYGSTRFPDGREDANLQVAGHRPRYCDQNSSDGYPEADVSIIAANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRRAIEEHFEKFTDGSKPVICPVTKMSMQSKTLRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMVLEAIQELKLLATLRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLVEDETGKEIIANTRAITRTIKLLSCSSPDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTISIAGSASEILIKMVHSGNTMIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTESAAVLANIVESGIDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDISLTVIEFMGSTTEALAISATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVSATLLARLPYQNITLNLALLEQGAMPTLLAKIEEMQRGEMRASRHAKQYMEGLVGALVRMTTTLYDPDVLLAAMDHNFTAVLADLLVRSAGTDEVQRLAAVGLENLSHQSVNLSQPPSEERRPKKKNILRRLRDAHAGRVHDNNRKHQPPTATLAAQGRLCPVHRGVCSPATTFCLIESGAVEGLLGVLESNENGRVIDAALGALCTLMDDAVDVERGVAVLAEHDAARHVLRALRQHRDAGHAATTDDAGGAVSRRCFWAVERFLAHGGERCVRDVTADRALPNALVSAFQKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >LPERR06G09410.1 pep chromosome:Lperr_V1.4:6:7236327:7239947:1 gene:LPERR06G09410 transcript:LPERR06G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVSEPIPEDSEPLLPTKHDSREDGGASYAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRVVAAPSYGAVMGDAFGWWGRRLLQVCVVINNIGVMIVYMIIIGDVLSGTTSGGEHHYGVLEGWFGTHWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAVSVALAVVFVIITAGIAIIKLIRGQIPMPKLFPDVPDLASIWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLILCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSRSLSSDNRRFAVMTTVLLLVIFLCANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYKMFHKKSSPSIG >LPERR06G09410.2 pep chromosome:Lperr_V1.4:6:7236636:7239947:1 gene:LPERR06G09410 transcript:LPERR06G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVSEPIPEDSEPLLPTKHDSREDGGASYAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRVVAAPSYGAVMGDAFGWWGRRLLQVCVVINNIGVMIVYMIIIGDVLSGTTSGGEHHYGVLEGWFGTHWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAVSVALAVVFVIITAGIAIIKLIRGQIPMPKLFPDVPDLASIWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLILCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSRSLSSDNRRFAVMTTVLLLVIFLCANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYKMFHKKSSPSIG >LPERR06G09420.1 pep chromosome:Lperr_V1.4:6:7243357:7256649:1 gene:LPERR06G09420 transcript:LPERR06G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSMPPPPPRNPNPSPSSMPPPPKPSPPMPPPPASKPESTNPTSPPQPEAAAASMPPPPPRQPEAEGADAAADGSASGSDSSAAAAEDEAGNSGRDSGDVEMADAAPPAQRQPRPRAPYAIPEWSAVPGHPFFLEVLKDGTIVDKLDVSSKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQIKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARIQQDMLDREASLLRAKNQAALSEGISWGMSEDAVEDSTEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLLEELENLEETLNDSIRESLGARTGNTNRGSHKANLEEEDDALSDDDEFYDRTKKKSSSNKSSEQQSVETADSLLEKKDSIMSDIESKQKLVEEEKNKLAKGDNTDVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELDRVVYLLKIADPMGEAARKRDLKPRETKAPASNDNVRPESKKQSKVAQNKISTEKLKESCAENTQVDKPVEEEKGISANEGNGTKPAFSVPKPQWLGDKRTIEPEENCIKEVNANEEETDNFVDYKDRKTVLLGSASGKDLEEAAPGLILRKRKSSGQSAASEVESSSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKRKNKKSKQKRVLGPARPDFLDAGPEGESWVPPEGQTGDGRTSLNDRLGY >LPERR06G09430.1 pep chromosome:Lperr_V1.4:6:7260268:7265427:1 gene:LPERR06G09430 transcript:LPERR06G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSVHSQNCYTTPSRAPEGHTQLGTWRLLIGALLFALRKPMWGKRGPAHLLFTLERCHPVANGRCNNRGGAPNEIYGAAVFDQHKR >LPERR06G09440.1 pep chromosome:Lperr_V1.4:6:7269671:7271136:1 gene:LPERR06G09440 transcript:LPERR06G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYFTISPAQYVLCQSEIKMKLYLHQIVQGNPNQNQVLMVPSPHPAQFGFIAVNDWGIIVAPDPNANKLLGMQRAFMSRRTKTILVDRRFRHRVLLHRRVNGPLLEAQGNLRWHVVPSGSGYSDDYECNGLEEIIESCNRTNGEH >LPERR06G09440.2 pep chromosome:Lperr_V1.4:6:7269671:7271136:1 gene:LPERR06G09440 transcript:LPERR06G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYFTISPAQYVLCQSEIKMKLYLHQIVQGNPNQNQVLMVPSPHPAQFGFIAVNDWGIIVAPDPNANKLLGMQRAFMSRRTKTILVVQGTVTITNAMG >LPERR06G09450.1 pep chromosome:Lperr_V1.4:6:7307111:7307518:-1 gene:LPERR06G09450 transcript:LPERR06G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNKGRDSKVDVYSFGVVLWQLITCLLPSHGLNPVQVAYAVSNENARPPLSPLCSPAINTLIERCWSVKPATRPEFSSIVSELANYDRCLWVGLPVVPLPMSPLASLLGAFKIRSCKSTTLSNIPDRRSHAYNV >LPERR06G09460.1 pep chromosome:Lperr_V1.4:6:7313553:7314701:1 gene:LPERR06G09460 transcript:LPERR06G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLRAVSGFFARKNPSRLQKPIFAIGGRAARKIPDFSRPCQLGDLLPRLPISFLPTCNKDIWPSALLLELLYTIELLNIVNWVGGFFARKNPSCLKNQFLRSGAGPPEKIMNFSRPCQSGDSLPACKYRFYLPTIRIFGLVHYC >LPERR06G09470.1 pep chromosome:Lperr_V1.4:6:7315778:7316890:-1 gene:LPERR06G09470 transcript:LPERR06G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSGKKSSSRPKLLLLDTSTRSSGTKHAAADHQQLAPVDLSNLLVGRKIASGAHSSVYHGKYRDQPVAVKILRAKTSDDDDDESDAILRGEVESQFNAEVSLLSRLSHPNVVRLVGTCHKPPVYWVVTELMPGGTLGSYLRRQRESGSLPPENVVRLALDVARGMEYLHSRGVVHRDLKPDNLLLDGEGSAKVADLGTSCLESTCRGRRGRDKSCSNSNMGTYRWMAPEMFRDKGCDRKVDVYSFGVVLWQLTTCHLPFQGMNPVQVAYAVSNENARPPLSPSCAPAINALIERCWSVKPATRPEFSRIVSELENYDRCLRGGLPLVPLAVPLSKSPLASLLGAFKIRSCKSTMLSNVSDRRIHAYIQ >LPERR06G09480.1 pep chromosome:Lperr_V1.4:6:7320217:7320844:1 gene:LPERR06G09480 transcript:LPERR06G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVIGRFTTNYALSMDKRTLWNLIADIVLFIHRNVCNSLGEFFDPTTTYDREEQYRSLHEWEKPRPRTE >LPERR06G09490.1 pep chromosome:Lperr_V1.4:6:7327250:7329988:-1 gene:LPERR06G09490 transcript:LPERR06G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVQHEKMNTDDMLQGHLQLYHHLLSYIKSMALKCATELGIPAALHRRGGAATLADIATEISLHQAKLPHLRRLMRVLTVSGIFAIAQEHPSSSSTGNGEIVYTLTAASRLLAAGEHDMSPMLRFLVHPTALTPFFSLHAWFRAHDDDDAGNSGGGAAAARSLFEMAHGFPRWEMTGRDAAYGAVLSDAMAADSRFVMEVVMREAGGDVFGGIGSLVDVGGGHGAAAAAVAKAFPHVKCSVLDLPHVVSQAPAAGDRGNVEFMAGDMFEFVPPADAVLLKYVLHCFGDDDCIKILRRCMEAIPTRDAGGKVKIVNMVVGSGSQENIFKETQALFDLYMTYIDGVEREEKEWEKIFFKAGFSAYKIMPILGFLSVIEVYP >LPERR06G09500.1 pep chromosome:Lperr_V1.4:6:7338630:7354942:-1 gene:LPERR06G09500 transcript:LPERR06G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPPRYASTRAAALAPSLLPPPGVAPAMLQFGVSQRYCYKFVPYSHFRTCEMCGVSLSNSSSRQLSSISSCLPLQLKSGAFFTTGLMGNHNFVSPRAAYNRGISLRANSIRNSRPFSTVCNKKLSFITRNKSSFGNPNMRREDGSLFHRSDRNKSTLAACSTITDEASTSASNNSKSSTGTKKGTTRRKSSGSRKKEVGEDMKEDKVATEKKSKSAKTNTSAAKGRKTGVKQEENKSDISKSKNSADSSKEKKASNRSKKSSKAKESAAVNATDKAKICRMTSVREQKPLVPLYPPTVKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDGFSMVWEVPAAAWTHLKSIRMALKGAKNLILASDPDREGEAIAWHIKEMLEQQDALGCNVTVARVVFHEITEDAIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFNPQEYWTVDTEFKTQHSGLNLQSRIKHLNSKKLDQLSIRSQKEAHNIEKRINSSQFEVIGTKRSKLNKNPPMPYITSSLQQDAANRLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFNISDGAAEDILSLVKQRYGEEYASEGIRKYSKKVKNAQEAHEAIRPTSIRRLPSSLIGALDDDSLKLYSLIWKRTMACQMEASRTEMIQVDIGNSEGDMVFHSSASRLDFKGYQAVYYDTEASPSSYNSEGDAVHQDNFEALSNLEIKDLVSPVNVHLAQHFTKPPPRYSESALIKKLEELGIGRPSTYASIMKVLQDRNYVTIKSRVLHPEFRGRMVSAFLTHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWDRFNKYCGDASRLDVRKAVIDIQNANEDDENEATDETQRTFTPRLLGVLPDSDHKVFLKQGPYGYYVQVGEDRKGVSPKRAPLSEVKDIDSITLEDAIDLLQYPKLLGKHPDDELPVLITHSKAGFNIRHRRFLAPVPKSTNPKEITLERALKLLTGKNVKKFGRPKGKTKKEAEPLEWH >LPERR06G09500.2 pep chromosome:Lperr_V1.4:6:7338630:7354942:-1 gene:LPERR06G09500 transcript:LPERR06G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPPRYASTRAAALAPSLLPPPGVAPAMLQFGVSQRYCYKFVPYSHFRTCEMCGVSLSNSSSRQLSSISSCLPLQLKSGAFFTTGLMGNHNFVSPRAAYNRGISLRANSIRNSRPFSTVCNKKLSFITRNKSSFGNPNMRREDGSLFHRSDRNKSTLAACSTITDEASTSASNNSKSSTGTKKGTTRRKSSGSRKKEVGEDMKEDKVATEKKSKSAKTNTSAAKGRKTGVKQEENKSDISKSKNSADSSKEKKASNRSKKSSKAKESAAVNATDKAKICRMTSVREQKPLVPLYPPTVKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDGFSMVWEVAQKLYEGINLSSEEATGLITYIRTDGFNISDGAAEDILSLVKQRYGEEYASEGIRKYSKKVKNAQEAHEAIRPTSIRRLPSSLIGALDDDSLKLYSLIWKRTMACQMEASRTEMIQVDIGNSEGDMVFHSSASRLDFKGYQAVYYDTEASPSSYNSEGDAVHQDNFEALSNLEIKDLVSPVNVHLAQHFTKPPPRYSESALIKKLEELGIGRPSTYASIMKVLQDRNYVTIKSRVLHPEFRGRMVSAFLTHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWDRFNKYCGDASRLDVRKAVIDIQNANEDDENEATDETQRTFTPRLLGVLPDSDHKVFLKQGPYGYYVQVGEDRKGVSPKRAPLSEVKDIDSITLEDAIDLLQYPKLLGKHPDDELPVLITHSKAGFNIRHRRFLAPVPKSTNPKEITLERALKLLTGKNVKKFGRPKGKTKKEAEPLEWH >LPERR06G09510.1 pep chromosome:Lperr_V1.4:6:7357576:7360364:-1 gene:LPERR06G09510 transcript:LPERR06G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQVVTEARDLLGVQRVELPNSASMVTKYWREMLPHSPMPSAILELLNPPSDVNQGVQGNGYDSGYINGDIHPNGNGYSNDVNKGVYGNGYTQAYDKGYDRGYINGNIHPNDVNKGVYGNGYTQAYGNGYSHSYINGDIHSDSVGYSNSYDRHPNLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSIPMSTKNFVKILSMFSPTSVAMANGIQSALETCEHHRPIEGEKRACATSIESVVEFAMSVLGTRDLRAFSPDVPAKGAMAGRRYKVAAVRTVAGSKGDTVACHTMRFPYAVFYCHAINPTRVYAVVLESEEGGTLEKMEALVVCHLDTSKFDPKNRLFIEHNLKPGDVSVCHFVSRDSVVWTPVGAVLTPGDEQASTIAE >LPERR06G09520.1 pep chromosome:Lperr_V1.4:6:7379281:7380723:-1 gene:LPERR06G09520 transcript:LPERR06G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTSGAAAAPHVLVVPYPAQGHMIPLLDLAGLLASRGLRLTVVCTPATAPLLAPLLAGAGAVSALTLPFPPHPAFPPGVETVMGCGPALFATLIAAFAGLRRPLASWARERARTPDRVVAVLSDFFCGWTQPLAADDLGVPRFVFSSSAVYGTAVLHSLFRLMPTRDDDNDDEWPVGFPDIPGEPVYPWRQLTLLYRSYKGVGDEVSEAVRKNFLLNMESAVFVSNTFRHLETRYLERPLADLGFLRVRAIGPLAPEPEGASNRGGETAVAASELRAWLDRFPDGSVVYVSFGSMAVLQPPHAAALAAALERTRTAFVWAAAAATAAALPDGFEERVAAASGRGRVIRGWAPQVVALRHRAVGRFVTHCGWNSVLEAVAAGVVMLTWPMTADQFVNARLLVDEHRAAVPVSWGGFAAPPTAEEVARVLEATVGGAGEVAARVEEMAEAAAAARREGGSSWRELDELASELRGLGSED >LPERR06G09530.1 pep chromosome:Lperr_V1.4:6:7381901:7382118:1 gene:LPERR06G09530 transcript:LPERR06G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAPLERTSTMRRVICGWALPVETLRHRTVGRFVMRCGWKLRTGGRGRWGGDTDVANGGR >LPERR06G09540.1 pep chromosome:Lperr_V1.4:6:7383705:7385114:-1 gene:LPERR06G09540 transcript:LPERR06G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATAAETTNPAAAPAPHVLVVPYPARGHMQPLLHLASRLAAAGLRLTVVATAPTLHLLTPLLADHPSSVTPLSFPSIDHDTSGPASVGADIHALAAALRAPLAEWLRGRQVVAVLSDFFCGWTQPLAAEAGVPRLVFAPSGVLATAATHSLFRRMPPPPPPDAAGEIEFPDLPGAPAFPWRHLSRMYRSYVEGHGGEHGEVIKDNFLWNLESAAFVCNTSRALEGTYLDVHPPLEDLAGKRVYAVGPVDQLTGDETSSGSSGGDVTSWLDAFPDATVAYVSFGTMMALPPPHAASLAAALETSGTPFVWSASTATLPDGFEERVAAGTTKGIVIRGWAPQTAVLRHRAVGCFVTHCGWNSVMEAAAAGVPMLAWPMAADQFFNARLVVDEARVGVVVSFGGFGHVPEADELAGVLREIVGEKGGELRVRAKEVAARMAEAVGEDGSSRRDLNDLVSELRNLSRQS >LPERR06G09550.1 pep chromosome:Lperr_V1.4:6:7387465:7387659:1 gene:LPERR06G09550 transcript:LPERR06G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLIMAANYLDSKGLLDLTCQSLADLIKDKTPEEVRKMFSIKNDFSPEEEEIRRENAWAFDN >LPERR06G09560.1 pep chromosome:Lperr_V1.4:6:7389902:7394116:1 gene:LPERR06G09560 transcript:LPERR06G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSAGHGGSFGGGGGEGGDAMAAAAREEAEGVRCGICLTDARRAVRGELDCCGHHFCFVCIMAWARVESRCPFCKARFRTIRRPPVPGRFPSERLVAVAERNQACNPSGSTAGIDTNVTTSCSACNLSNDDDLLLLCELCDSAVHTYCAGLGTDIPEGDWFCMDCTTAKEEHSRCEIDDDNRSDHGEFKITIEVPIADPVAAPSGLDIVDEDYPPTLVQRTSVQSNRPSISDPVPSIYDIVDDDYTTVPIGRVNGRSTMLDTRAEHLPPLSISVGSQCRESPQEHENSQVSSHACSRLKPEKARTLPNSRNLSSRIRELRENWAALRAGSIGFATTHIHNNSTRGRCTGSISITEHQRYATDTTNSSQNGAGTCHQSSATFTEVAASSSGHANKISPKDRRDVRKAWKMLEIAQLSDGKKKTNKASTVSCSVPFLVGNSSTSYSPIDAILGQRNTKLYDGIAQKNNTDLNRSMENKPPTMNFVERHKLPEKFHASAHGRVPSTIMKQESLNGKVASSSSSEDADQIFETSYDISRSQKSKTVISCPLTFGSLSGQSLVTSSLQLRSEPRSQFTEMVSPQEPSAIATSIDVGTAGANIEVKSSVPYHHERKRKLGFETHDDHGSKRSNRSSTRDPGYKKRKSDISYLAIRELKLLNIDKTYGSDSFKEVARAATHTVLASCGLDHSPSVALALPRPVCEHICGIEPLQSPALMNFCRKCLGNFVKEVISSLLSARKMDQTAS >LPERR06G09570.1 pep chromosome:Lperr_V1.4:6:7396506:7404575:1 gene:LPERR06G09570 transcript:LPERR06G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTTAVVIGVTAGVAATAVAAACVLLAICLCRRRASASVAAPMRSPPESTTATLRANGVGSFDSSVSISVASEWGDPPPPAKRAAAFWAWRGGVGSGGGASPPPVSVSGIPKYHYKDLQKATNNFTAILGQGSFGPVYKAIMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEYMSNGNLASLLYDDNKRSLSWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRMLADIAYRCINKNPRKRPWISEVTQTISRIRQLQLMKFDTLNLPRSETRTVLRRIEHQHVELSDLTSMKELTPITV >LPERR06G09580.1 pep chromosome:Lperr_V1.4:6:7400748:7403426:-1 gene:LPERR06G09580 transcript:LPERR06G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSMNPNDEAEKKCICVQRTDNGFSAHLKDTTGSGNPPNLSLLGFIAAPLCRGASVQDVHFRVMIAAVTSLSHPSTTSSAEFDGRRSEERLKKGLIVAAASGALTSIPLSLLTMSRNLESPVQTQMAVSALNRALSSDYPSKTRSEGRAGGWKRVFVQTDSGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDVQQQKDRSGPIELLVCPSRCSRTKQLVKDVARAIRNDVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANKITSQDYTGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEDELDYIAKLDPMRDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTRMEDQPSELEVVCMEARRLAMEREECSTEIDSGDEDVTQFELDCEDDHEIPKAHPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNEEKLGYPKAVNKWLPNISKLSTSLNGVCLSDKIQRQLPAAPKIVDPVKTSEGNNNRSGSQHGNWRSANEQLPTSASFVKLADMGTETWVLFLEKFQELLPEAFRSHKCGATGQRARLRLGTSCQF >LPERR06G09590.1 pep chromosome:Lperr_V1.4:6:7423123:7423635:-1 gene:LPERR06G09590 transcript:LPERR06G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRKEPNMASESDVPTQPTEKKKKKILSNRRKYAIPCEASEVLKKKEAMAAFPLWSPMDDEPDDIDNWIEEQTKKAAAGTGKKKKVVKCRLPNGLVKQMIRQPFRTIEVMSEEELATCSESYRQVYTLRKFIDDKWFDDEQTLIDPYNKQGYAEDESEITDDEEEEN >LPERR06G09600.1 pep chromosome:Lperr_V1.4:6:7434038:7435788:-1 gene:LPERR06G09600 transcript:LPERR06G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCPYRNSGALSEEELANRSETFRQFYTIKKFIGDKMNEYERTLIDQYIKKGYAENEVEVTHDEEEEKYMRFKKTVLPDQKQVLEKKYC >LPERR06G09610.1 pep chromosome:Lperr_V1.4:6:7435852:7436133:-1 gene:LPERR06G09610 transcript:LPERR06G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGEEAGVGNKKRKEPNAESESDVSTQPGERKKTILPTWRKYAVPCEGSEVLKKKKVAFAVKRLRTMMDVLGAVDFWLERQAEAKAKEEA >LPERR06G09620.1 pep chromosome:Lperr_V1.4:6:7444346:7447768:-1 gene:LPERR06G09620 transcript:LPERR06G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKVAGGDKLRCGAPPSSSAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLRGWPSCITAPEEAAAVVATRPEPVVEKLPALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNFASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRAMDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNGSLRWDEFSLMLKAAHANRMGSASKRTLIPDRPKEEDYFYANPQECLQDSNLLHTS >LPERR06G09630.1 pep chromosome:Lperr_V1.4:6:7460947:7461729:1 gene:LPERR06G09630 transcript:LPERR06G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHSVPAGLAGRLFGGGVTAKDAAAQAEEVRCPRCESANTKFCYYNNYNLSQPRHFCKSCRRYWTKGGLLRNVPVGGGCRKPKRPAPSSSAADADAHRDAKNARSSPAAAAPASNASSAGAATGQPSGGVAFAVGDGDALSLPPPPAPMFADQAATFASLFAPPPQPRMFPAFASFSAPTKSEEEDIVAAAPVTPRVNTDISPPFAAARSPAEAAEWAAPPPPTVVLDAGMFDLAGGDASYWNTASWTDPDGTIYQLP >LPERR06G09640.1 pep chromosome:Lperr_V1.4:6:7472614:7476541:1 gene:LPERR06G09640 transcript:LPERR06G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSIRPPQQPAPEAGPGGEGGGGGGNVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASIMYTPAPAKKATDLKSAKSPQSVSAKKRRDPGETGKKNTTDSNGVNGAVEPVTFLGGENKPKDKDEIVLLREQIEELQKMLLEKEEALKSAESSVSEMNTLYSTVDELRRQVAEKEAMIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGDLQDGSLPSSFELEALQSVSEIDKIEVEKIEEERVTYAEALAAARENPNEEQLSLAAEARLRLQVLVL >LPERR06G09650.1 pep chromosome:Lperr_V1.4:6:7476942:7477310:1 gene:LPERR06G09650 transcript:LPERR06G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRAGVAILFYILAVATISAAAAQAPAESPKASKAKAPAVAPAPRKAGQAPAPTKESSEEASPPVAGDEVSSPPAPFATVESPIADGPAEAADADHSGGVALRSGAAMAGVVAAVATIIFH >LPERR06G09660.1 pep chromosome:Lperr_V1.4:6:7483344:7484246:1 gene:LPERR06G09660 transcript:LPERR06G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGILNSSTVFFHLLLLCASPLCLAASAKHNITAILAGNPELSEFSAALNSYNLTAEIDNRATITVLAVDNAVMAQIPSLNLPADAVVHALEMHVLLDYFDAAKLHGLAAGSALAASLFQASGQAKESAGIVNISGRTSGRVAFTPYGTPESSPAVYYQKSIQESPYDIAVLQVSGVIPIPTVEAQPPAPVTSPASAPVTEPSASPAPTPTPVISPAPAPATTPSASPTPTPAPVTSPAPSPATEPSTFPAPVSSPAPAPDTEDNQPPADNGVSGGMASWGVLSAAAAVQAVALVLWC >LPERR06G09670.1 pep chromosome:Lperr_V1.4:6:7485729:7486164:1 gene:LPERR06G09670 transcript:LPERR06G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELFEEDIILVMNETNRIRDEAVQLLQEEWMDANEELMRNSIMFGVIGDKRSKDSEEFSVSIMEITSNTEAAPFSPLLAVPSPSPTKCSTQHYTSDVKLKPFIDMPTSAITMEPMAGKDNYHIPYVDATNHP >LPERR06G09680.1 pep chromosome:Lperr_V1.4:6:7486276:7491211:1 gene:LPERR06G09680 transcript:LPERR06G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGTDFAPYSIVDITPDTLMPTECLIKCPGHVSKVLMAAFFLGINPWRPPFRTDCKHNREEQQLEPWPPPHGNGFMHFFPDENPYAKMVETNLVAAKKTIYHVTAGMANSLS >LPERR06G09690.1 pep chromosome:Lperr_V1.4:6:7491528:7491838:1 gene:LPERR06G09690 transcript:LPERR06G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHLQPPDHCDRFVDLGQAYCILPGRQCDGSVHPDVQEIRNLTAYAQTELMFYHIVAAHYSPKALKTINGELNMLATYGGKILNLSVKDDGVTV >LPERR06G09700.1 pep chromosome:Lperr_V1.4:6:7495551:7496339:1 gene:LPERR06G09700 transcript:LPERR06G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFPNGVAAAPPPVAMAAPAVAAEQPATVTVREQDRLMPIANVVRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVTPLAVYLRRMRESEGGGSDVRGGSGDRVAVSPPPPPQLQDDVFFRYVQAPPQPVYAPQPVYAPPPPADQLVPGYPAAVPVLQAGGGAPHVHVGVGGGGQHEVFGGLAAPTGMYYGGGGAPFGEGSSHGGCAAEGSSSTNASPAAVGPTYQ >LPERR06G09710.1 pep chromosome:Lperr_V1.4:6:7501226:7503280:1 gene:LPERR06G09710 transcript:LPERR06G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVILLAAVLLPLLLTPAESARGSSNNVSFDSAALSFSDLTLLGDSFLRNGSVGLTRDTGVPSSSAGSVLCSRAVAFGNGSSFAARFSFVIAEQNAGATGGDGIAFFISPDRATLGATGGYLGLFNSSVKSNSSAAAAIVAVEFDTMLNEEFGDQSDNHVGVDLGSPASVSAVDLAAFGVVLNSGNLTTAWIDYHGREHRLQVSLGYSAAKPAKPVLSVAVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSTTNSSTTSNASPQAAVLGDTASGAAASRKKRFGLAVTILGPVALAVSFVFFAWVSIKKLIEITSRKDTGFLPDLVKGPRKFSHKELSAATRGFHSSRVIGKGAFGTVYKAVMPSTATAYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGEPCTLSWAERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDASLRPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGGAGKNVNLVDWVWRLHGEDRLIDAADPRLAGSFDRDEMLRLLLVGLGCANPNCDERPAMRRVVQILNREAEPVAVPRKKPLLVFSSSASMKLQEIAFSCGDEVRGGLPAAVATSPKSEGGDIER >LPERR06G09720.1 pep chromosome:Lperr_V1.4:6:7504787:7505421:-1 gene:LPERR06G09720 transcript:LPERR06G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYSAADEEAMKDESDAVLADSAHLCARLIETTNTDKLRRVLADVWINLILYISPADNATAHVQRLATGGDRAHYTSLGATDACGDLGPAAGAPRGMAHACW >LPERR06G09730.1 pep chromosome:Lperr_V1.4:6:7507302:7508270:-1 gene:LPERR06G09730 transcript:LPERR06G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAHGGSSSADHEREHNKGILEKMHKCLLLLAILAATVTYNAGLSPPGGVWADDTDGHVAGDPILQAHYPVRYNVFFFCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLLGLLGAFAAGGCRSVRTSAFVLSLVAVIVAYLVAHLLLHFCIRSSRCPSDRREVMDLLNLHRLCRCCCATAVKNDATATAEVGSEVQVAKLASSSV >LPERR06G09740.1 pep chromosome:Lperr_V1.4:6:7512309:7513250:-1 gene:LPERR06G09740 transcript:LPERR06G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGRAVPVSDVPPGELSAPAAVDLIPTGKRGMPVMIMPLPHGHTGSSSSAPFQGFIILTEENEDPVALRNKWFREMRGWLMVVATVAASVSYQAGLNPPGGWNDDGNPVLRLTFPVRYKTFYYFNATTFVTSLVITVLLMSKRFYRSETKVVALMIATFLDLASLIGAYVAGSTRFMSSCVYVIVITGFAFACVIAMGEVLEKSCEFILRMSPCMLNLAKRNWCPVPRDVVDKATRQAQGELERVSQRNIVSAAPSGSRKQRRPCCLCNCGEPRADDV >LPERR06G09750.1 pep chromosome:Lperr_V1.4:6:7515995:7516762:-1 gene:LPERR06G09750 transcript:LPERR06G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNITGNLGEMSIADFVRGSSFLSSIYHPDDFRVIDVFGHNWIHTINIPSFFKLGLINFHHVCFSIILRRSRWMLIRSSAHKHRSSRSRLPGRLSHLRLLLMHNITGNLGDLSIADCVRGSSFLSSIYHPDDFHVIDIFGHNWINTINNPSFFKLGLINFHHVCLCVCLCLCLCLCVCERESKIFVCVTKSKISVCVCV >LPERR06G09760.1 pep chromosome:Lperr_V1.4:6:7517782:7520707:-1 gene:LPERR06G09760 transcript:LPERR06G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNARGLDAGAVHLPALWRLCLEHAAFSSTSFQNLMDGCQSSLELLHLIHCVVVDREDDAGSINIRGEALRRVVFDGSAGYGLVPFEVSAPNVDEFVFSGRNMVIVENGGLRRLVARKVSLLMDDQVRLYRMFAPLYFLSVGTNMARIISGFHGLLELAISVPGRLSRLRFFLMRNFTGDLNELSIVNFVRGSSYLSSIDHPDDFRVIDVFGHNLINAIKNLQLL >LPERR06G09770.1 pep chromosome:Lperr_V1.4:6:7526910:7533574:-1 gene:LPERR06G09770 transcript:LPERR06G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEFSYPSSHDVVKVNKTGYDACLPANANASFSDGSTTVKLDAPGKHYFICSIPSDCAAGMKLETMGFEPKTFLSPSNNLTIGL >LPERR06G09780.1 pep chromosome:Lperr_V1.4:6:7544464:7545614:-1 gene:LPERR06G09780 transcript:LPERR06G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAVLAADGADVVPRDCDGDRCAFVREAFALHNVCHQGIFCLLCSSCVLLYHPSAFCSGCLLLLPNPESPVAAPPVVAPPGPVCACSICGLFVAHHTCVPDPVSYVCPTCAAAAHGVIFSYTGGRSAPIDARVARVFLVASRLSHESIGRAAAASREKAERLFVEAAVARKEAEKSLEYACQLAAAEEEENEAKKEHEPEPPAGAAAATVAAPATKTKTKTKKKKKSPKSGDATRADRDKLLKLKALQQPAVLLATAVTAAASKMPAAPMENNKPAVSEDMQDSGDGSLSYEDGPVLPWKNPSALAK >LPERR06G09790.1 pep chromosome:Lperr_V1.4:6:7556787:7557453:-1 gene:LPERR06G09790 transcript:LPERR06G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIFRHGDEATDHPALSRDEFRDARSKIVEMLSDATDDGEEEEAEELRATLDEMMFLSLLTLEVVPLPPAKTLAGTDLARDVVGLTKHESGRVRGLAACVVRRWRASRPTSPRPRQRWRSYLRLWNMTSHHHPERSTGGCQGPKNDLPAAEKRPARASATPFRADDERALNAAKRRLREGYEEAEEAKRRCTVKVIEAPAMARQRQRKMHPILR >LPERR06G09800.1 pep chromosome:Lperr_V1.4:6:7558169:7558764:-1 gene:LPERR06G09800 transcript:LPERR06G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYSPILNIRKSLSCPSMQFYSTSDRSRISRRATVDPDADERNKWFREMRGWLMVVATVAASVTYQAGLNPPGGFWQDDRENDHRAGNPVLRDRINARYQAFYYFNSTAFVTSLVIMVPFLQQDVALHRRLLPSIPPGDTA >LPERR06G09810.2 pep chromosome:Lperr_V1.4:6:7559553:7561255:1 gene:LPERR06G09810 transcript:LPERR06G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVALLLSRASVVAAAAAVVLLVFAVEASAASVASPSPPRLFVVGGEQRGWSEPKPTDETYNHWAARNRFHIGDFLDFKYAKNDSVLLVSRKKYKICSGEGAEQRFDTGGNARFRLSRNGDFYFISDAPGHCDAGQRMTVRVVTEQHAGGDAPAVAPSPDGGDVSFSPPRSRSGGGGSSSSSSGSPPPATSPGGKTSAAAAGVTPSGAGGHGVAAVAAVAGAVLLVLA >LPERR06G09820.1 pep chromosome:Lperr_V1.4:6:7563138:7575342:-1 gene:LPERR06G09820 transcript:LPERR06G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEQQLKELGEKLEAAPPDPADDLAKLLDQAAECLRKVEQSPDSSVMESIQPCLKAVARDEFLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVGSFRGLNDVNSQSFDKRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISENHETKIVSSMQSIMALIIDESEDIEESLLHVLLSALGRKKTGVSMPARKLARHVIEHSAGKLEPYIRKFLTSSLEGDGTSANDNIDHYEVIFDMYQCAPKVLKVVVPYITGELLADQVEIRSKAVEILGELFSLPGIPVLESFKSLFDEFLKRLTDRAAEIRVSVIEHLKKCLMSNLSRPESPEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYNFYCLRAASDSSVNSDNFEWIPGKILRCLYDKDFRPESIESILCDSLFPPEFPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLHQEMLKYMSLRQTSQEDNPDMKKKLLGSFRSMSRLFSDPTKSEEYLNMLHQIKDANVWSTFTSLLDCSTTFNKASSLRVDLLKELGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASEQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEKDIIGLLKEDNDLLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDTVDVSAHKSEWSDCTQSCLLKIYGIKTLVKSCLPSKDAQEGIEKLMGILKNILTPIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKMFLSKVHQYIKERALDAKYACAFLIGLDDYHAPQYEEFKHNLIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHVLSHDPSCPNIEEYEDVQAFGPVYWPLHLLLSTLLGEEGLQHSVRGMKKESFTTIISIFRSIKCSQDSVDVNKTKTLHAICDLGILIVKKLCQEQINISETQTVPLPAQLYAPVQKGQNENSEETDEQVWPVCENVLAHFEALMTAKSAEAGSPKDKMLIDQTDEFGNEVPLGKIVKILKSQGAKKAGRKQKTTSGSVNMGKDDDVLGLVREINLDNEGNLGESEKSKPKKRRMDVKESNEKHVDFSTPKRKRSVSKSRPHSAKGNKDTDELLVQSVNPDETANSFENKLEGGKSIDDSVDTELVTSPTSIKTSVSKGKKGAKKSHAEVLSSSPKKSNNGGSSKRTVELSNLNGSLKKQKPKLVSGLAKVLYDDGDVEVLNLAKEKWEIISIDDPHVKSRKKDNSARNQGRTLEKSITSSKQAPDNAKSKKRPSPPKRKGEPKGQQKNKRRRIGGKSSVDAADGANIDSGSSSLAHSDSDEDKKSDDRIEKEVAASSAKKRKSDKVSGKGDELKEEQKPDDHNLNSKEESDNETLVSKLPVL >LPERR06G09820.2 pep chromosome:Lperr_V1.4:6:7563138:7575342:-1 gene:LPERR06G09820 transcript:LPERR06G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEQQLKELGEKLEAAPPDPADDLAKLLDQAAECLRKVEQSPDSSVMESIQPCLKAVARDEFLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVGSFRGLNDVNSQSFDKRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISENHETKIVSSMQSIMALIIDESEDIEESLLHVLLSALGRKKTGVSMPARKLARHVIEHSAGKLEPYIRKFLTSSLEGDGTSANDNIDHYEVIFDMYQCAPKVLKVVVPYITGELLADQVEIRSKAVEILGELFSLPGIPVLESFKSLFDEFLKRLTDRAAEIRVSVIEHLKKCLMSNLSRPESPEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYNFYCLRAASDSSVNSDNFEWIPGKILRCLYDKDFRPESIESILCDSLFPPEFPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLHQEMLKYMSLRQTSQEDNPDMKKKLLGSFRSMSRLFSDPTKSEEYLNMLHQIKDANVWSTFTSLLDCSTTFNKASSLRVDLLKELGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASEQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEKDIIGLLKEDNDLLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDIYGIKTLVKSCLPSKDAQEGIEKLMGILKNILTPIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKMFLSKVHQYIKERALDAKYACAFLIGLDDYHAPQYEEFKHNLIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHVLSHDPSCPNIEEYEDVQAFGPVYWPLHLLLSTLLGEEGLQHSVRGMKKESFTTIISIFRSIKCSQDSVDVNKTKTLHAICDLGILIVKKLCQEQINISETQTVPLPAQLYAPVQKGQNENSEETDEQVWPVCENVLAHFEALMTAKSAEAGSPKDKMLIDQTDEFGNEVPLGKIVKILKSQGAKKAGRKQKTTSGSVNMGKDDDVLGLVREINLDNEGNLGESEKSKPKKRRMDVKESNEKHVDFSTPKRKRSVSKSRPHSAKGNKDTDELLVQSVNPDETANSFENKLEGGKSIDDSVDTELVTSPTSIKTSVSKGKKGAKKSHAEVLSSSPKKSNNGGSSKRTVELSNLNGSLKKQKPKLVSGLAKVLYDDGDVEVLNLAKEKWEIISIDDPHVKSRKKDNSARNQGRTLEKSITSSKQAPDNAKSKKRPSPPKRKGEPKGQQKNKRRRIGGKSSVDAADGANIDSGSSSLAHSDSDEDKKSDDRIEKEVAASSAKKRKSDKVSGKGDELKEEQKPDDHNLNSKEESDNETLVSKLPVL >LPERR06G09820.3 pep chromosome:Lperr_V1.4:6:7564715:7575342:-1 gene:LPERR06G09820 transcript:LPERR06G09820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEQQLKELGEKLEAAPPDPADDLAKLLDQAAECLRKVEQSPDSSVMESIQPCLKAVARDEFLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVGSFRGLNDVNSQSFDKRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISENHETKIVSSMQSIMALIIDESEDIEESLLHVLLSALGRKKTGVSMPARKLARHVIEHSAGKLEPYIRKFLTSSLEGDGTSANDNIDHYEVIFDMYQCAPKVLKVVVPYITGELLADQVEIRSKAVEILGELFSLPGIPVLESFKSLFDEFLKRLTDRAAEIRVSVIEHLKKCLMSNLSRPESPEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYNFYCLRAASDSSVNSDNFEWIPGKILRCLYDKDFRPESIESILCDSLFPPEFPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLHQEMLKYMSLRQTSQEDNPDMKKKLLGSFRSMSRLFSDPTKSEEYLNMLHQIKDANVWSTFTSLLDCSTTFNKASSLRVDLLKELGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASEQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEKDIIGLLKEDNDLLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDTVDVSAHKSEWSDCTQSCLLKIYGIKTLVKSCLPSKDAQEGIEKLMGILKNILTPIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKMFLSKVHQYIKERALDAKYACAFLIGLDDYHAPQYEEFKHNLIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHVLSHDPSCPNIEEYEDVQAFGPVYWPLHLLLSTLLGEEGLQHSVRGMKKESFTTIISIFRSIKCSQDSVDVNKTKTLHAICDLGILIVKKLCQEQINISETQTVPLPAQLYAPVQKGQNENSEETDEQVWPVCENVLAHFEALMTAKSAEAGSPKDKMLIDQTDEFGNEVPLGKIVKILKSQGAKKAGRKQKTTSGSVNMGKDDDVLGLVREINLDNEGNLGESEKSKPKKRRMDVKESNEKHVDFSTPKRKRSVSKSRPHSAKGNKDTDELLVQSVNPDETANSFENKLEGGKSIDDSVDTELVTSPTSIKTSVSKGKKGAKKSHAEVLSSSPKKSNNGGSSKRTVELSNLNGSLKKQKPKLVSGLAKVLWNLMIRQREGTRYISQTVH >LPERR06G09820.4 pep chromosome:Lperr_V1.4:6:7564715:7575342:-1 gene:LPERR06G09820 transcript:LPERR06G09820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEQQLKELGEKLEAAPPDPADDLAKLLDQAAECLRKVEQSPDSSVMESIQPCLKAVARDEFLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVGSFRGLNDVNSQSFDKRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISENHETKIVSSMQSIMALIIDESEDIEESLLHVLLSALGRKKTGVSMPARKLARHVIEHSAGKLEPYIRKFLTSSLEGDGTSANDNIDHYEVIFDMYQCAPKVLKVVVPYITGELLADQVEIRSKAVEILGELFSLPGIPVLESFKSLFDEFLKRLTDRAAEIRVSVIEHLKKCLMSNLSRPESPEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYNFYCLRAASDSSVNSDNFEWIPGKILRCLYDKDFRPESIESILCDSLFPPEFPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLHQEMLKYMSLRQTSQEDNPDMKKKLLGSFRSMSRLFSDPTKSEEYLNMLHQIKDANVWSTFTSLLDCSTTFNKASSLRVDLLKELGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASEQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEKDIIGLLKEDNDLLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDIYGIKTLVKSCLPSKDAQEGIEKLMGILKNILTPIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKMFLSKVHQYIKERALDAKYACAFLIGLDDYHAPQYEEFKHNLIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHVLSHDPSCPNIEEYEDVQAFGPVYWPLHLLLSTLLGEEGLQHSVRGMKKESFTTIISIFRSIKCSQDSVDVNKTKTLHAICDLGILIVKKLCQEQINISETQTVPLPAQLYAPVQKGQNENSEETDEQVWPVCENVLAHFEALMTAKSAEAGSPKDKMLIDQTDEFGNEVPLGKIVKILKSQGAKKAGRKQKTTSGSVNMGKDDDVLGLVREINLDNEGNLGESEKSKPKKRRMDVKESNEKHVDFSTPKRKRSVSKSRPHSAKGNKDTDELLVQSVNPDETANSFENKLEGGKSIDDSVDTELVTSPTSIKTSVSKGKKGAKKSHAEVLSSSPKKSNNGGSSKRTVELSNLNGSLKKQKPKLVSGLAKVLWNLMIRQREGTRYISQTVH >LPERR06G09820.5 pep chromosome:Lperr_V1.4:6:7563138:7564578:-1 gene:LPERR06G09820 transcript:LPERR06G09820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHNPWSDQRCMAKEVLYDDGDVEVLNLAKEKWEIISIDDPHVKSRKKDNSARNQGRTLEKSITSSKQAPDNAKSKKRPSPPKRKGEPKGQQKNKRRRIGGKSSVDAADGANIDSGSSSLAHSDSDEDKKSDDRIEKEVAASSAKKRKSDKVSGKGDELKEEQKPDDHNLNSKEESDNETLVSKLPVL >LPERR06G09830.1 pep chromosome:Lperr_V1.4:6:7588970:7589935:1 gene:LPERR06G09830 transcript:LPERR06G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHHGEQLTGIEACQTRPKEEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQETDSPCKDTRTERVESYAPKTNSKKWFCCVTSSPTQS >LPERR06G09840.1 pep chromosome:Lperr_V1.4:6:7594128:7595729:1 gene:LPERR06G09840 transcript:LPERR06G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSITRSLLGSAISKAASAAAEEMSLLMNVFLNAAEQMKKNDELLKVWAGQVRDLAYDIEDCLDEFRVHLERQSLLCQLKKLKHRHRIAIMIRNLKSRAEHVSNRNRRYKLIDIMPSNSTDKMDSYLSVNNIDEASLLGLDGPQNEVLQLLDIHNNSGRAKVICVVGMGGLGKTTLAKKVYESNAILDKFSRYAWITVSQSFDMKELLIGMITKLMDHDSLDKRSKDLQRKQSSKEF >LPERR06G09850.1 pep chromosome:Lperr_V1.4:6:7595790:7605091:1 gene:LPERR06G09850 transcript:LPERR06G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVELQRKQVEELGSELTQGLKEIRYFIVLDDLWKIHDWNWIKSLAFPEKNNQGSRIIVTTRDAGLAKIMCTSLQVVYHLEPLKYNNAKQLLLRKTNKTQEDLEKGNLDEIFNKILRKCGGLPLAIVTIGGVLATKHVEEWRKFYEQLPSELERNPNLGAIKNIVSLSYTHLPSHLKPCLLYLSIFPEDFEIHKSRLVNRWIAEGFIVARAGMTIEEVGNSYFIELINQSMIQPSKQKYDGTITSCQVHDIIHDIIVSISRDEAFTFFTMENDTSTPPENVRHLALQELRIICHWIGAMSVAPIPQFTLCQDPLEIYKYIKDELKTIQSFLRAAEVTKKKDELLMIRNLKSRVEELSNRNTHYNLINPISSSATDDEEFLDRRYFETQRRRLVDRWIAEGFVRARDGMNIEDLGNRHFDELINRSNQTPNLRSLYRTSGYGYFNIMDNPKECVMIIVRLPMIFSASLNFGDRLKLISEIIMAWHALPVGLIQRVPQIRSTQYSAQLLRSSLLTSLQSLCVDAEGFSDTGTLEWVNSIVCPPFSKRLKLNGSLVDTPNWFGNLNQLVKMYLSRCGLKEGKTMEILGALPNLTILRLYHNAYADEKIAFRRGTFPNLSYLDIYLLKQLREIRFDMGTSPKMESIEIYGCKLASGIISVKRLPRLKIISLEYDAEVANFDMFQEEWIHTPIILNCKWQSTEAIMT >LPERR06G09860.1 pep chromosome:Lperr_V1.4:6:7606126:7606320:1 gene:LPERR06G09860 transcript:LPERR06G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAPLRWTTLVDPAPLSWIWGVWLYAMVGGCRAEAILTWAATGLTDLENIEDRVREPERTW >LPERR06G09870.1 pep chromosome:Lperr_V1.4:6:7607404:7628482:1 gene:LPERR06G09870 transcript:LPERR06G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIATLSYNYLPSQLKPCFLYLSIFPEDIDIKRKRIVHRWIAEGFIKGKDAVKIVDVAERYFSELINRSMIQPSRFNIDGTIKSCRVHDIMRDIMISISIEENFVHLIKDNGTCVVEGNIRHVVYHKSKCRKTGLDWSHVRSFTLFGDERPKELSPSLFSPRLKMVRVLDLQDVKFGISQKDMDKIGLLRHLRYLNIRYSEGYSSIYALPRSIGKLHDLHTLDLSNTYISTLPTEISGLESLCILRFRGQGSYGVFDPDNRKLCLAALTCMPAIMASANSVDRSKIIAELHMGCSSYWSTSSSIEGVRVPRGIKNLKKLEVLQTVGINQTSSKSIEEMGELTQLKKLHVVTKGSNEKKCKVFCAIIQKLTSLKSVHVDAQALSDIGSLEWLDSISSPPPLRSLRLIGYIETPSWFRELRQLVKMQLLNCQLKEDKIFDILGELPNLMQLFIGWHAYVGEKMVFKDGAFQNLRVLLIVSQEHLKEVRFEEGTSPLMERINISECILTSGIAGIKHLPKLNEISLGHRVKVKRLGQLEGELGAHLNRPTLRLLYEERSRYDLQDTQGSPPEVAVATEPLPHNAVGENSQSNQPDDERQACNRGQND >LPERR06G09870.2 pep chromosome:Lperr_V1.4:6:7607376:7619306:1 gene:LPERR06G09870 transcript:LPERR06G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRLTLGLRLTISFKVQDTVAGEEMADTVVSMANLLVGSAINMATSAASQEISMLFGVQNEIWYTKDELKTMQAFLRAAEVRKERDELVKVWAEQVRDLSYDIEDCLQEFLVHIGRHTLSKNLMKLHHRHRIAVQIRSLKLRVEEVSNRNMRYNLITHVPYSNASDSNSNMELIRYEAAHYVDEADLVGFAGPKNEILELLSRSGFEAQTIWIVGDGGIGKTTLAKKVYESSYICKKFPCRAWITVSSSLLDIKEIFKQIIKQLLGEESLNKLFKKYDRVMVQEHDLTDHLKEGLRERRHAWDCIKPTFWGNNMEGSRVVVTTRNKNLVGGSPTTLVYLLKPLKKNDATELLLKKIRRSLRDIEKDGRKETFENILKKCGGLPLAIITIGAVLAAKDIKEWEILYAQLP >LPERR06G09880.1 pep chromosome:Lperr_V1.4:6:7623590:7624405:-1 gene:LPERR06G09880 transcript:LPERR06G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTPARTIEHVTYILIRLCFVHESRNVCMGKLMEAFELAGYRDDETLFDAPYDFRQAPAVPGQPCRAFSRFRRRLRALIEHTGRTSGDRPVVLVSHSQGGYFALEFLTRSLMVWRQRHVKHFVMASTGAGGFVILMQVLASGVSDESPLGRARRSVPSKFTLLSSPKVFDRDTPLVITQGKNYTAHDMPEFMMAVRLPVFEFGVPVAPTTCINGVGVPTMEKLVYWDSNFSQAPDVVYGDGDGLVNLVSILAPFSV >LPERR06G09890.1 pep chromosome:Lperr_V1.4:6:7628489:7630379:1 gene:LPERR06G09890 transcript:LPERR06G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVLSMARPLVGSAINKAVEAAAAEMSLLMGLMKLKDRHRIAIQIRNLKSRVEEVSNRNTRYSLISPNPDENATIDNIRNFSTKNIDEAELVVSQSFDRKELLGALSKQLLGDGSKSKHLRDHQEITRACKGTRKWKTSWMT >LPERR06G09900.1 pep chromosome:Lperr_V1.4:6:7630804:7634523:1 gene:LPERR06G09900 transcript:LPERR06G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVTLSYTHLPSHLKPCFLYLSIFSEDFPMKRRCIVNRWIAEGFVDAKFEMAMEDVGNSYFDELINRSMIQPCRFYSHGIVQSRVLHDIMRDIAISISIEDDFVFMTKGFVSGIPPTNMCHLSIDGRQETYLNIDLSRRATSLTMFALAKDALSVGSRDDIRNIGAFRHLRYLSVNKGSYIYNIPRSIGNLQGLQTLNLKRSLITKVQHPCHFEFTTHNPKRSTLLLPLLLPRLISGHKSPESFVKGLSNCWTHSNGVSVPKGIGGLKELQILELVDIAISNKKAVHELGELTQLKELGVAGVTERNINYFCEALEKLFSLCSLRVEAKPFQGLDVLEKLSSPPPFLHTLKLKGSLDGIPSWVGKLEKSVKVQLVFTKLKGTESIKVLGELPSLRCLRLILNAYIWTRASSLPWELTTLRLDSLKELRKVKFEESTSPKLAKITIQDCSLEVCGTTRLQSLEKIVYYANGKLVKEDMYGGRPVPRVGLSQSCHNLEDVKVSEIIEQPQASSPKPGESSKSRTELDVLRTPLISATTKLKRTREFGISFGGKKSSAGHESLR >LPERR06G09910.1 pep chromosome:Lperr_V1.4:6:7635144:7654548:1 gene:LPERR06G09910 transcript:LPERR06G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSVVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMHAFLRAAEVMKKKDDLLKVWAERIRDLSYDIEDSLDEFKVHIESQTLFRQLLKLRERHWIAIRIHNLKSRVEEVSSRNTRYNLVKHISSIIEDDTEPCAEDIRNQLVHNVDETELVGFSDSKERLLDMIDANANDGPTKGLQGKVVVQVHHLSEFLVKELKDKRYFVVLDDLWSLDAWNWINGIAFPKNNMKGSRIIITTRNVGLADTIASRVYHLELLQMNDAITLLLRKTNKKHEDMESNKNMQKIVERIVNKCGRLPLAILTIGAVLATKHVSDWEKFYEQLPSELENNPSLDALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIQRNRLVNRWIAEGFVRAKVGMATKDVGEMHGNTRHIAFHGTKSCKIGFDWSIIRSLAIFGDGPKNLAHTVCLDQLRMLRVLDLENVVFLITQKDFNNIVLLRHLKYLSIGRSSCIYSLPRSIGKLQGLQTLNMPSTYMATLPTEISELQCLHTLRCIREYVYDSFNLNQPLKCLTNAICMPKIFTPLVNRDDRAKQIAELHMATKSCWSESSGVKVPKGIGKLGDLQILEHVDIRRTSSTAINELGQLSKLRKLSVTTARCTKEKYKIFYAAIQKLFSLQSLCVDAVENSDSGTLECLDSVSSPLLLRTIGLYGYLEELPEWIEQLTHLVKLYLLNNKLKESKTMMILGTLPNLTLLYLYVHAYTGVKLVFETGAFPNLRTLFIQRQDQLRELRFEDDSSPRLEKLEISRCRLESGIIGIIHLPRLKEISLDYGTKVARLGQLEGEVAAHPNRPVLRMGDDRSEHDLGNEAEGSAIEVEATEPLPEQEGESSQVISLTTNDRRTEIKFIALLRGTRDHESDA >LPERR06G09920.1 pep chromosome:Lperr_V1.4:6:7655068:7665779:1 gene:LPERR06G09920 transcript:LPERR06G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGMAMTLVGGALSVASSAAKEEVGLLIGVQDDIWFINDELEMMQAFLKAVDGASENTGVLKAYLKQIRDMAYDIEDYLEDFMIFIRNRSLLKQLLSLGTRHRITIQIRTIKQRVQEVSQRNLRYNLIKLTPSVLDDVTRNLSALYVEESQLVGLDEPMKKLMEMINRNTEDIEANKSGPRVISVIGMGGLGKTTLANNVYNSKDLGDQFDSRAWITVSQSFDPKELIKEMINQMFGVETLKTILGQHEGIVLKLEHLTRRLQERLQEKRYFVVLDDVWTIEAWDYIKLSFPNNSKDGSCVVVTTRNQALAKICSPPSLIHQVEILEEKDAENLFLRKTNKTLLELEKDTHLNKTVEKILKKCGGLPLAILTVGGLLANKDTKEWEDLYNQLPSELESNPSLEALRKVVTLSYNHLPSHLKACFLHLSIFPEDFKIKRKHLVNRWIAEGFIITGINTRRTLEEIAESYFYELISRSMIQPSQLDICGNVKTCKLHDIVRDIAMSISIQENHVLLLEEHANINIATKESIRHVSCFAHKKLKSGLDLTRVRSFTLFKEPLDPIASLCSSKFKMLRVLDLSNAGYEVEQQDIDGILLLSHLKYVHFPKGSNIYAIPRSIENIQGLLTLDIMGSMITSLPSEIVKLQSLRSLRCTKGPIYNLDISEPWTCCCEAIGLPLILILLRSRAIPDLHRAFCSCWSESSGVGVPKGLGRLKELQTLEAVDIKLTSRKAIKDLRELTQLRKLVVTGEGASKKKCTTFCTALKKLTSLSSLCVTADQNRGSESLDLLVCVSSPFPCLERLQLAGYLQKIPSWVGSSVQLVKIKLEYCRLKEVDVLAQLPNLLRLSLIYYAYDADKLVFHTQGFPKLRILQLEHLEALREVTFEENTLLQMENITIYGCKLTSGIHGIKHLLNLRAIKLFDCPVENIGMLRQEVHAHPNHPVLSDYHDQVNIEEIDVEVEATESLSELGESSQS >LPERR06G09930.1 pep chromosome:Lperr_V1.4:6:7655617:7657143:-1 gene:LPERR06G09930 transcript:LPERR06G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATKLPLPLILLVSGVALLLLASSVECYGGGGREHDVTRSAVPRRSGVGSRQQLSRHRRTTKAAAAVPHRYVLSEKDGTNSTTRANNTSPAASNSTPPETTPPPPPEGNHHRRSHKHRVRNWIIGFVVGSLAGVISGLAMSVLFRMALNCIRGRYRNKSDTVIFIPKLIKNKDHLSFLEKEDGLASFAVIGRGGCGEVYKAQLPPESDGEPPRFIAIKKINKGQNNNNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLIYEFMKNGSLHNALKSTATDTSSTDENRNNHDPSSMTTTVLTWPARLRVAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHVTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDPFFAQPQVDDVGIVKWLRRVMQEGDTAAQARLIDDAIAGQGYDEQILLVLRIAVFCTADDPMERPTAKDVRCMLSQIKN >LPERR06G09940.1 pep chromosome:Lperr_V1.4:6:7666677:7668095:1 gene:LPERR06G09940 transcript:LPERR06G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGDVASGGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSAAESNHLDALLGAFPAVRRLELELAPFDASEFPRGADPFFLRFEAMRRSAPLLVPLLAGAAASALVTDITLSSVVIPVADELRLPCHILFTASAAMLSLCAYFPTYLDANAAAGVHGVGDVDIPGVYRIPKSSIPQALHDPNHLFTRQFVANGRSLSRAAGILINTFDALEPDAVAALWQGSVASPFPPVFAVGPLLPANIPPPASNQSKSPANYIDWLDAQPARSVVYVSFGSRKAVSGEQLKQLAAGLESSNHRFLWVVKSTVVDRDDAGEITSLLGEEFLDRVQNRSLITKAWVEQEEVLNHKSVGLFISHCGWNSVTEAAASGVPVLALPRFGDQRVNAGVVARAGLGVWVERWSWEGEEGVVSGEEISEKVKAVMGDEVVRRKAASLGEAAAAAVDDGGSSYRCLAEFVRL >LPERR06G09950.1 pep chromosome:Lperr_V1.4:6:7669163:7676847:1 gene:LPERR06G09950 transcript:LPERR06G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVPFTRLAVALCSGHHGASCEVSLVTATPTVSSAESRHLAALFAAFPALRRLDLRLAPLDHDAPDLAGADPFYLRYESMRRSAASLMAPLLAGASVSALVADIALASVVIPVTRELRLPCYVFFTASATMLTFLAYLPTYLDAAAADAGGVCRVPRSSVPQALHDADDIFTLQFIANGRSLVGADGLIVNAFDAFEPEAIAALQQGTVVAGLPPVFAVGPLTPARFPGQDSGDYLTWLDAQPARSVVYVSFGSRKALPKSQLTSLAAGLELSGHRFLWVVKSTVVDRDDAGEIASLLGEEFLDRVHGGRRGHVTTAWVRQELVLNHPAVGMFVSHCGWNSVTEAAAAGVPVLAWPRFADQRVNAAVVARGGIGSWAEERWSWEGEEGVVSAEEIAGMVKSVMAYEAMREKAARVRVAAAKAVADATSLTSTGEANSDGTRPHVVFVPTAGMGHFHPFFRFIAALSTHDAVDISIVTVLPTVSAAEADHLAALFADFPAVRRIDLHLLPIDAAEFPAGADTFLLRWEALRRSAHLLGPLLAAATPRATAVVTDIVLASHVIPIARELRVQCHVLFISCATMLSLCAYFPVYLENFSGDVGDVDIPGVGRVARSSLPQPLLDPEHLFTRQFVANGGEICKADGVVLNTFDALEPDTLAALRNGTLIPNFPPVFAVGPFKSLTTTTTGEKKSPSPPLAWLDEQSPRSVVYVAFGNRTAVTPDQLSEIAAGLESSGCNFLWVLKTTVVDRDDDADPNIVLGEDFLSRVRGRGHVTKGWVEQEEILSHPAVALFISHCGWNSVVEAAERGVPVLAWPRAGDQRLDAMVVERSGLGVWMEKWSWNGEEGLVSGEEIGRKVREVMDDSVVRATAAKVGEEAAKAVAVGGSSYRSMQEFLVKLKAA >LPERR06G09960.1 pep chromosome:Lperr_V1.4:6:7680262:7681704:-1 gene:LPERR06G09960 transcript:LPERR06G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVPSSGEPDGARGRTRPHVVFVPSAGMGHLLQFIRFITTLSSHDVDISVVTVVPTVSAAEADHLAALFADFHAIRRVDFHLPPSDAAAEFPGADPFLLRWEEIRRSMHLIGTAIAGVTPRATAIVTDVTLVSHVNPIAKNLGLQCHVLFVSSATMMSLLSYFPIYLDEKKNPQAGVGDVDIPGVRCLKKSWLPQPLLNLNRLFTKQFIENGREIVKTNGVLINTFDALEPVALTALRSGKVVAGFPPVFTVGPHNTQATATTKEDDEVSPPMAWLRQQPARSVVYVAFGSRCAVSHDQLREIAAGLEASGCRFLWIVKTTVVDRDDDAGVRDVLGDGFMERVEGRGFVTKEWVDQEAVLRHPAVGMFLSHSGWNSVVEAATAGLPLLAWPRGGDHRVAATVVASGGVGVWMEGWSWDGEDWLVSGKEIGDKVKEMMADAAVRERAAKVGEEVAKAVAVGGTSHTSILEFVAKLKAT >LPERR06G09970.1 pep chromosome:Lperr_V1.4:6:7693150:7693515:1 gene:LPERR06G09970 transcript:LPERR06G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGAGGGGGSGSAGSRRPLGFLKNAAKRKVEFFQLLLMTGVLMTSIRCLGQKNRHRELVYVNADLRREHNDLSLRMRDLQDSLHREAEADESGALAAHLRRIFAAHPAPSTSTGDK >LPERR06G09980.1 pep chromosome:Lperr_V1.4:6:7694436:7696732:-1 gene:LPERR06G09980 transcript:LPERR06G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVPSSGQPDDAQGRARPHVVFVPSAGMGHLLPFIRFITALSSHDAVDISVVTVLPTVSAAEADHLAALFNKFPGIRRVDFQLLPFDAAAEFPGADPFILRWEALRRSMHLIGTAIAGLTPRATAVVSDVTLVSHVNPIAKDLGIQCHVLFVSSATMMSLLSYFPIYLDEKKNPHAGVGDVDVPGVCLMKRSWLPQPLLDLEKLFTKQFIENGREIVKTDGVLINTFDALEPVALGALRDGKVVAGFPTVFAVGPYSSLAATASETVATTAEADDDGSPPMAWLRQQPARSVVYVAFGNRSAVSHEQLREIAAGLETSGCRFLWILKTTVVDRDDHAGVRDVLGEGFMERVEGRGFVTKDWVDQEAVLRHPAVGMFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVAATVVASGGVGVWMEGWSWDGEDWLVSGEEIGEKVKEMMADAAVRERAAKVGEEAAKAVAIGGTSQTNMLEFVAKLKATAAKIGKEAANVVAVGGMSHMSILEFVAKLNAI >LPERR06G09990.1 pep chromosome:Lperr_V1.4:6:7716433:7719231:1 gene:LPERR06G09990 transcript:LPERR06G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESREGGGGSGVVDGDNNGGSGWEIEEENEIVEDKQQPAGPPPPAVTAGDVYVAVGKGGSSMEALSWALRRLASPGSFVYLVHVFPVVISIPTGLGMMPKSQASPEQVETYMNQERSKRRVMMQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFNFKRGSTIAGQVQKNAPLYCEVKIVCDGKEVTTVATADPTPPLSPSPVNNKSNSISPKPLSPATNHNNDAATGDKKETNPTERNKIAKYLKYT >LPERR06G10000.1 pep chromosome:Lperr_V1.4:6:7718936:7722536:-1 gene:LPERR06G10000 transcript:LPERR06G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTMPQAPPAVPNIQSLKLNDSSLETIVPSKQNASVPGKLLGSTESSKENSEEVPKEQQSTQHQNESVDLTTSKFTAEVTEGNLAPERLAGEQKGFVENGIMNGSSKPSALLGKDCGLASSSGSARLVGRSETGERGFSSSRCRPSTSSDVSDESSCSSISSITKPHKANDSRWESIQMIRTRDGILGLNHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKATLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLIRSSNPDAEALRKNNQAYCAQPACVEPSCMIQPSCATPTTCFGPRFFKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQSLRFPEYPVVSFSARDLIRGLLVKDPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKRPVSTSESAAATADAAQKSREGESGGVGSAVATVVTSLPSQTILTSQYRGAFF >LPERR06G10010.1 pep chromosome:Lperr_V1.4:6:7747578:7751333:-1 gene:LPERR06G10010 transcript:LPERR06G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRSQHEHAIALTNTTTTQRLDNNEIEGNISHAEGNGLVDIITGSGQDSMDESSRKESVDRSKNEFKLLWGLRKYLVLLASLAVSVTYNAGLTPPGGFWTLNTDGHHAGDPVLRANFYLRHEVFFYFNATALAASLVLLVLLLSKRVTKHELWLRSMQFTMILDLFSLMGAYAAGSCRALKTSIYVLILVIAVFVYVGIHILVSTRVIPESLKEKSRTKLIQILPKWCTHDGRRTSHQEKEVEEARKFILMLVTFVATVTYQAGLTPPGGFWSDNDNGPPPYNNRPATSVLRSNYLGRYNIFVAFNSTSFVASLVTVILLLSQDLSKHGIRSKAVVICLVVDLLCLVGAYAAGCCRDVATSIYVTFIIIIVLIFFALLAMICTYKSVADQLEKIKSGCRQGMAAVSRALSWNYGSNTEQENSASRHQGSVHETVLPAEDNACEAEHQSDGSQQNRARNGEHGNFSSHHQGSVHETVSPGSETEHQSDGNLKISDTIKMEPPEEHPSAGKQKIVNNKSVFNSPCISNTKEGEFPEEQLPSDKYHMVNTKETVSNSQHPPGNNQQSANIEDIVCNLENRSTVSLVTNVKESMSSTEHLSAINCQETTNTNNAISAEDQHEASRKDQSSSDDIKTTLLPRVECSEQNMSVSHCNVATDSTTVSEVPSVPVRACDHVDGARQLPPVRDDNHNNDISGMIRDSELAENGHVCSNRGAPGQNVDDNLTEKHLNKTRTYLLLLSILAVSLTYQSGLNPPGGFWTRSENNHLAGDRILEDTYHPRFIAFFYLNAIAFLASIVMVILLLNKMMSAKVTKRRALPIAMIVSLLSLTGAFVLGSSREAKKSISSSVLVCLVLAYVAIHVLLAICVIPKKWRIWDHESGSSAGLVLPHSSPNRTEDDVKEFGRRRNLLLILAILDATITYQAGMNPPGGVWSDDKGVNGKPGNPILQDTHWKRYDVFYYSNAISFVSSVVITILLVNKESCQHGIKSYALRVCLVAGLLGLLIAYTAGCSRNRKQSIYLIVIAVAVLVSLVIQVLLSSMHNTLGRPLSQFMGCLQSYLFSTKEVEQENTPLSPDASGHVGKEVKILKKRHKYLMLLAILAASITYQAGLNPPGGFWSDSAHHVAGNPILHDINHRRYDIFFCCNSFSFMASIVVIMLLLINSVRKKDVPLDILHLIMILDLLAIMTAFAVGSCRKFLTSIYVYVLVIVVVICILLLIVVSSGVAKILLPRRLSNHSDHNPAARTNTLVPEQ >LPERR06G10020.1 pep chromosome:Lperr_V1.4:6:7759198:7765897:-1 gene:LPERR06G10020 transcript:LPERR06G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTATTMTSGAGPRAARSGPPSPDLATPAAATATTMTVTAMTLMAMAETTTASGAASTVAARSRRCGPGDGQIWAAVAGSGHPGGGDGDDNDGDGDDTDGDGGDDDGERRGFDGGSQIPTVWPRGRPDLGRRRWIWPSSSLLKSLPRRRATSGNSVAVASDGDGSLDDSQRVALHFLPGNLLTFLRQDKPMSSSIQMANGMGQDELALAPTKNTTAPRTSNHVSGYSNCHGDKGNNGMVACNSRQDSVDNSSSRISEEDNIEDNFKLLWRLRKYLILLGTLAVSVTYNAGLTPPGGFWTLTKDNHEAGNPILPVGFSERYEVFFYCNATAFAASLVLIILLLSKSVTRHKWWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYVWILVFAVFTYVGIHVLVFIRVIPGYLKEMVQKMLIQIPSKWCGHDSPQMGRHQDKDVEDARKFILILVTFAATVTYQAGLSPPGGFWAENEYDPDRKLPPTFPPYKHRPATSVLRSNYLHRYKIFVSCNSTSFVASLVTVMLLLSPELSKHGIRSKAVILCVVADLLCLIGAYAAGCCRDVATSFYVMFITIIVLFFFVLLVGIFLYKPVAVWLDKFKSGSIQCMGNLGRMLSFSFRSNRLSNAEQENSVADHHISVTVTGSPSEDNASETEHHPADNQGIYNTNEGLLHEEHLSRDNKQTADTEETKSGTQHPSGNSKQSANTMVAVSNRKYQSTDCQMISSTEEVVPNIEHSSAVSYQQTANTKNDMSAENQQVASRKEQTSTDDFKMPVEDLSEKNMLVRHCNEDSDETTVSEIHSIPVEASGHVECAEQHVSVQDQNHNIEILGINNGSEPIGNGHIHNKQEASIQNANSNQIEEHMNKTRTNLLLLAILAVSLTYQSGLNPPGGFWSTNEYSHADGDHIQEYHHSPGDRILEDIYHSRFIAFFYLNAVAFVASVVMIILLLNKMMTMKVTKRRALQIVMIVNLLSLTGAFVMGSCREANKSICISVLVFLVLAYVLVHVLIAIHVIPQDWRRYIPEKLKNFLCRPVLDSSSELLQNWTEDIKELGRRRNLLLTLSILAATVTYQAGMNPPGGVWSDDKDVSGLPGNPILQDTHPKRYGVFYYSNSLSFVSSVVTTILLVNKESCDHGIKSYALRVCLVVGLVALLIAYAAGSCRKAMQSIYLIIIAVAVFISIAIQVLLLSSTHNTLQGPLGQSLQRSLRFLLNENSSGPQETTDPEEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWSDDSGHKAGDPILHDVDHIRRRYKTFFCFNAFSFMSSIVVIMLLLSKSVREKDLPLDVLYLIMLLDLLSLMTAFAAGSCRKFRTSVYVYGLVIGVVIYLLLVTVLSSGIAKYLRSRRRSVISSQDHPGHVSRENTPSVGHHI >LPERR06G10030.1 pep chromosome:Lperr_V1.4:6:7771461:7774462:1 gene:LPERR06G10030 transcript:LPERR06G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYTFLWKWRKYLLLLATLVASVTYDAGLNPPGGVWPDDDPYENHNTGDPILQVTYYPRYTTFFYCNATAFVASLVIIMMLLDRRVSGNRVGVTVLRSAMLLDLLALIGAYAAGATRDVLAVAYVSALFATVFAYVALHIAVATFALPPVEWLRASVKRLAGKIEEILKKGDDSQIEASRGVEEDRQERRKFLLLLATFATPLTYAAGLQPPGGFWDKTVGDHTAGEPVLRDGASWRRYRAFFYCNATSFVASLAIVMVLMSRTLSDRVVRSYALQVCVLVMLFGLMGAYAAGSCRKPETTVYVVSLAGAVLVYISLQVVVGMFAMGAIKKWVVGLCRILQCRRNMERDQHLHAQTSVSGDRRVDYLEMKPCPATPNTICEVRAPDDDDDVTGDDEDGDDGDDTVEESRSLLLLLATLAATVTYDAGLNPPGGFWPDGDNAGDPVLLDKHRRRYKAFFHCNTMAFVASLVVIVIVQSKQLSSGAVVKRRALQAAMTLDLLGLMGAYAAGSCRDASTTIYVSALAVAVFVYSLGNVVAFTTMGQRRETRLMRWVDGIVQKILEKLHLWDEHSSQEDDDLERKRKFLLQLAILAATVTYKTGLTPPGGFWPEGDGAGDPVLLDHYRSRYLVFFYCNATGFMASVAVILMLVNRRLYKQGIRCNALHACVVVGLLGLMLAYAAGSCRRLRTSVYVIALVAAVVGFLLLQILIFLLARHVILPPWLTTMLEPLSPPPRKPATDTGRQHTEQYMKRKYLMLLGILAASVTYQAGLSPPGGTWGGDGGGVAYEAGSPILHDTNLRRYHAFFHCNATSFVASVVVIVLLLRRTVRWHSAPLWAMQTAVVLVLLGLLGAYAAGSCREWETSVYVVALVVAVVVYITLHVLLSFDAVAAKARRLKVWKYLGGGSAAGNDAAAAV >LPERR06G10040.1 pep chromosome:Lperr_V1.4:6:7781271:7784106:-1 gene:LPERR06G10040 transcript:LPERR06G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTKIDAHNKNESSKENTSNQPSSSEYQLKNYLLLLATLVATVTYGAGLNLPGGFWQETHEGHFAGDPILPGNHYQQYIMFYYCNATAFAASLVICLLLLVLDEKSTHCAAALRIVMVFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIGPSFFTYAMSKLQKDKNPGKKNKDPSELKDTEKQKEDPGEHKREELHEVLMLLATFAITITYVAGLNPPGGFWGSTKDGHRVSNPILQNINSRRYKAFFLCNTTAFVASLLIIMLLLDKRVNKVQMSLQFGELYGSIVVALFGLIGAYAAGSCREADDTIYVICLIVAILAYIFLQVAITKVIDKRPKNVPKTGPKRSISSAIDSSRNTNHNVAMEKARSLVMLLATLAASITYQAGLEPPGGLWQTTVMGIRSVTQYSSQHTQHAFVASLVSIIMVQSSYVLKQHTLEAAMILDLFALICAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNQHDDPIEEDNKREVLLLLAILVATLTYQAGLTPPAGFWPEDDNLEHHQAGIKCYALYVCMVAGMFSLMGAYAAGSSRHLRTSIYVLILVGAVFALVVIAWNKRYSKTVVINIVKESSGQVDGNTDNEASEPAPIIEGKGSSSQAIEIIDLTSTQPGGSHKGIESSGKLCAIADAALRDNDKRECSSLQEGSRINSLPAGKGTHDSENGSSLQAANSKEDKSGSTDKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSKEHFAGSSILHDINKRRYYAFFYSNSTSFMASIVVIALLLPRMLNNLEISVWPMYMAILLDMLGLLGAYAAGSTMEWETSRNIIALVVPVLLYIAAYAAISFFRKKD >LPERR06G10040.2 pep chromosome:Lperr_V1.4:6:7784110:7804623:-1 gene:LPERR06G10040 transcript:LPERR06G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSIFVFVLVIIFVVLLLVIFVVRQNHGNNPTEGSSRGNRNQRADDDGGSARHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDIARHAAGNPVLRDNRKPRYRTFFYSNSISFVASVVVILLLLPESTLLLEVWLLRTMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAIVVLGYFAIHAVLSTFGQGQGHKTSSSLQHPQQIAPVLDAESQETGNGRRGTHGSSYIMTPPAGEIDQQPPPWEYTLRKYLLLLASLVATVAYGAGLSPPGGVWDDNAGDHTTGDPIMRDHHLGRYLVFFYCNATAFASSLLVIVLILLFAVLREKRRDMRVTVVMPLRVVMVLDLLSLMGAYAAGTCRDRATTVYTAVLSSAVFLYYVVTQRVLARDSEHDGMKERPRKVILLLATFATSLTYVAGLSTMPGGFWSKDGGSHRAGEAVLAERHPARLTAFLLCNTTAFVASLLIIALLLAGELRDGTTRSWELYGCIVVSMAGLVGAYAAGSTRVEHTTVNVVALVAAVLAYIAFQAIVVPYAVDALRGIAAWRKLARIYSSLSKRRCGLKQHGKEQDQASIEREAELNRAMEKTRSLVLLLATLAATVTFQATLDPPGGYWQANKDGLYKAGDPILLTTKPRRYKAFFYCNSTAFVASLLAIILVQSRSLLKRHALEAAMILDLFGLMSAYATGSCRDVSTSIYVMAIAGAVLVYVVIHVVFFTLDHKGHDEDELLEKRRKRLLLFAILSATITYQAGLTPQSGFWQADDNDGHRAGELVLFSNNPYRYKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHLSQSIFVFVLVIIFVVLLLVVFMVRQNYGNPIRRHGSSSSNQNQRADDDGGSTRHTKRKYLMLLGILAASVTYQAGLHPPGGVWQGDDDIAGHAAGNPVLRDNRKPRYRTFYYSNSTSFMASVVVILLLLPESTSSLQVLLLRAMDTTILLDMIGLLVAYGAGSSRQWETSGYVIAMAIVVLGYFAIHAMLSTFGQGHKTSANSNNHQITPELDTESQEPGNGRRSAQGSYISANTDYHLLRLPVAPTVPLP >LPERR06G10040.3 pep chromosome:Lperr_V1.4:6:7784222:7804623:-1 gene:LPERR06G10040 transcript:LPERR06G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSIFVFVLVIIFVVLLLVIFVVRQNHGNNPTEGSSRGNRNQRADDDGGSARHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDIARHAAGNPVLRDNRKPRYRTFFYSNSISFVASVVVILLLLPESTLLLEVWLLRTMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAIVVLGYFAIHAVLSTFGQGQGHKTSSSLQHPQQIAPVLDAESQETGNGRRGTHGSSYIMTPPAGEIDQQPPPWEYTLRKYLLLLASLVATVAYGAGLSPPGGVWDDNAGDHTTGDPIMRDHHLGRYLVFFYCNATAFASSLLVIVLILLFAVLREKRRDMRVTVVMPLRVVMVLDLLSLMGAYAAGTCRDRATTVYTAVLSSAVFLYYVVTQRVLARDSEHDGMKERPRKVILLLATFATSLTYVAGLSTMPGGFWSKDGGSHRAGEAVLAERHPARLTAFLLCNTTAFVASLLIIALLLAGELRDGTTRSWELYGCIVVSMAGLVGAYAAGSTRVEHTTVNVVALVAAVLAYIAFQAIVVPYAVDALRGIAAWRKLARIYSSLSKRRCGLKQHGKEQDQASIEREAELNRAMEKTRSLVLLLATLAATVTFQATLDPPGGYWQANKDGLYKAGDPILLTTKPRRYKAFFYCNSTAFVASLLAIILVQSRSLLKRHALEAAMILDLFGLMSAYATGSCRDVSTSIYVMAIAGAVLVYVVIHVVFFTLDHKGHDEDELLEKRRKRLLLFAILSATITYQAGLTPQSGFWQADDNDGHRAGELVLFSNNPYRYKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHLSQSIFVFVLVIIFVVLLLVVFMVRQNYGNPIRRHGSSSSNQNQRADDDGGSTRHTKRKYLMLLGILAASVTYQAGLHPPGGVWQGDDDIAGHAAGNPVLRDNRKPRYRTFYYSNSTSFMASVVVILLLLPESTSSLQVLLLRAMDTTILLDMIGLLVAYGAGSSRQWETSGYVIAMAIVVLGYFAIHAMLSTFGQGHKTSANSNNHQITPELDTESQEPGNGRRSAQGSYMQKYMLHTHLDKGDLMDSCKYAMIPREEWV >LPERR06G10040.4 pep chromosome:Lperr_V1.4:6:7804627:7807243:-1 gene:LPERR06G10040 transcript:LPERR06G10040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGEINQQQPPQPQTTWEYTLRKYLLLLASLVATVAYAAGFSPPGGVWQDTVAGDHTAGDPIIRDLNRVRYLVFFYCNATAFASSLLVIVLILLFAVIHEKRNAWVTVMPLRVVMVLDLLSLMGAYAAGTCRDRATTAYTAVLVSAVFLYVFAQMVLASLRPAGEHDVTNERARKVILLLATFATSLTYAAGLSTPGGFWSDTIAGQHRAGEAVMAERHPARLTAFLLCNTTAFVASLLTVARLLDSNHLRDGTAWSWEPYGCIVVAMAGLVGAYAAGSTRVEHTTVNVVALVGAVLAYIAFQVIVVPRAVNALRSTTDGSWGKLARIYSSLSKRRFGRKQLEQEHDDQDTINREAELNRAMEKARSLVLLLATLAATITFQAALDPPGGYWQDDNGGHQAGDPILLTTNPRRYKAFFYCNSTAFVASLLAIILVQNKSLLKRHALEAAMILDLFGLMGAYAAGSCRDVSTSIYVMAIAGAVLVYVVIHVVFFTLDHKGHGEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQEDDDYGHRAGEPVLFSNYPHRYKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYSAGSS >LPERR06G10040.5 pep chromosome:Lperr_V1.4:6:7782740:7784106:-1 gene:LPERR06G10040 transcript:LPERR06G10040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTKIDAHNKNESSKENTSNQPSSSEYQLKNYLLLLATLVATVTYGAGLNLPGGFWQETHEGHFAGDPILPGNHYQQYIMFYYCNATAFAASLVICLLLLVLDEKSTHCAAALRIVMVFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIGPSFFTYAMSKLQKDKNPGKKNKDPSELKDTEKQKEDPGEHKREELHEVLMLLATFAITITYVAGLNPPGGFWGSTKDGHRVSNPILQNINSRRYKAFFLCNTTAFVASLLIIMLLLDKRVNKVQMSLQFGELYGSIVVALFGLIGAYAAGSCREADDTIYVICLIVAILAYIFLQVAITKVIDKRPKNVPKTGPKRSISSAIDSSRNTNHNVAMEKARSLVMLLATLAASITYQAGLEPPGGLWQTTVMGIRSVTQYSSQHTQHGTRCSSIAIR >LPERR06G10040.6 pep chromosome:Lperr_V1.4:6:7780115:7782710:-1 gene:LPERR06G10040 transcript:LPERR06G10040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSYVLKQHTLEAAMILDLFALICAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNQHDDPIEEDNKREVLLLLAILVATLTYQAGLTPPAGFWPEDDNLEHHQAGFPVLLENYPLRYEAFFYCNATSFMASIALIILLVNPNLYRPGIKCYALYVCMVAGMFSLMGAYAAGSSRHLRTSIYVLILVGAVFALVALQVVIAWNKRYSKTVVINIVKESSGQVDGNTDNEASEPAPIIEGKGSSSQAIEIIDLTSTQPGGSHKGIESSGKLCAIADAALRDNDKRECSSLQEGSRINSLPAGKGTHDSENGSSLQAANSKEDKSGSTDKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSKEHFAGSSILHDINKRRQDPSIASSSQPTIGIK >LPERR06G10050.1 pep chromosome:Lperr_V1.4:6:7808162:7808742:-1 gene:LPERR06G10050 transcript:LPERR06G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHL >LPERR06G10060.1 pep chromosome:Lperr_V1.4:6:7813859:7817441:1 gene:LPERR06G10060 transcript:LPERR06G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGDETDEQQPWEYTLRKYLLLLASLVATVAYAAGFSPPGGVWQDTVAGNHTAGDPIIRDLHRARYLVFFYCNATAFASSLLVIVLILLFAVIHEKRDTWVTVMPLRVVMVLDLISLMGAYAAGTCRDAVTVRFTSVLVSAVFLYVVVQMVLSSLPSSDENDGDGEHAVTKERPRKVILLLATFATSLTYAAGLSTPGGFWSDTSAGHRAGEAVMATRHSARLTAFLLCNTTAFVASLLIIVLLLDRNLRDGTGRSWELYGCIVVSMAGLVGAYAAGSSRVEHTTVNVVALVGAVLAYIAFQATILPCVARALGRTGSWGKLARFYSSLSKRRRGLKQEDQELAQAAIDRETELTRAMEKARSLVLLLATLAATITFQAALDPPGGYWQDDNGGHKAGDPILLTTNPRRYKAFFYCNSTAFVASLLAIILVQNKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSVNVMAIAGAVLVYVVIHVVFFTLDQRLGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQVDDDDGHHAGDPVLFSNNPHRYRAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHLRTSIFVFVLVIIFVVMLLVVFVVRQNHGNTTSRQNSRGSQNQGDEGRALHTKRKYLMLLGILAASVTYQAGLHPPGGVWQGDDIVGHVAGNPVLRDNRKPRYRAFFYSNSTSFMASVVAILLLLPEPESTSLLVNEWLLRAMNTTIVLDMVGLLVAYGAGSSREWETSGYVIAMAIVVVGYFAIHGVLSTFGQGQKTSSSQHQQQIAPVLDAESQEPGNGRRGAHGSIYM >LPERR06G10070.1 pep chromosome:Lperr_V1.4:6:7825188:7826815:-1 gene:LPERR06G10070 transcript:LPERR06G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIVESLSWLIIVVISLYVFQLLRDARRRLPPGPWPPKPIVGDLLDLGEDGQQHRVFLRLAGRYGGLMCLRFGMVPHVIVSTPDALRAVFFAAGGGDGTKKVDAIAGLPSLDVLAAKGHREHTIFALPSQHGKWRALRKFAAAEMLAPRRLVSSGAGELLQAKIVEALRRDVSGHASRGEAVVFRHAVLDAILSLLLGVLYSTDLEPRERAVFRDIIEEIVGMLGTANVSDVFPPVAALDLQALRRRMTGLLTIMYRHFDDQVALRLRGREAGEARKNDVLDTVLDKEEKEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMSKAKEELASVLGDKPTMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEADIEVNGYRIPKGTNVIVNAWAINRNADAWSEPEKFIPERFIGGETRHYLGQDFDMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPAEVKECGIDMTEKCGVVLSLVNPLKAIPKEI >LPERR06G10080.1 pep chromosome:Lperr_V1.4:6:7835741:7840213:1 gene:LPERR06G10080 transcript:LPERR06G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVVLPNTTRGDQSCLVCDCCYKSGKQYNKRLSLLQKICLAWIWLCFTIACVRLCTTIVCVRMCSTIACACLCTTIVCVQMCSTIACVQPRSTIACACLCTTIACAYLCTTIACACLFTSIACVRLTNTIACIRLCTTIARVWLFSTSNNVRPNDS >LPERR06G10080.2 pep chromosome:Lperr_V1.4:6:7835672:7840974:1 gene:LPERR06G10080 transcript:LPERR06G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVVLPNTTRGDQSCLVCDCCYKSGKQYNKRLSLLQKICLAWIWLCFTIACVRLCTTIVCVRMCSTIACACLCTTIVCVQMCSTIACVQPRSTIACACLCTTIACAYLCTTIACACLFTSIACVRLTNTIACIRLCTTIARVWLFSTSNNVRPNDS >LPERR06G10080.3 pep chromosome:Lperr_V1.4:6:7837160:7840213:1 gene:LPERR06G10080 transcript:LPERR06G10080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVVLPNTTRGDQSCLVCDCCYKSGKQYNKRLSLLQKICLAWIWLCFTIACVRLCTTIVCVRMCSTIACACLCTTIVCVQMCSTIACVQPRSTIACACLCTTIACAYLCTTIACACLFTSIACVRLTNTIACIRLCTTIARVWLFSTSNNVRPNDS >LPERR06G10090.1 pep chromosome:Lperr_V1.4:6:7837322:7840519:-1 gene:LPERR06G10090 transcript:LPERR06G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHPSEESKDEARPLEYCLRKYLLLLAIMVATVTYAAGFNPPGGVWQDTEAGHLAGDSILRETYYPRYLIFFYCNAAAFAMSIVVIILIFILAIVHEKKKLWVPMLPLRVAMVLDLLGLVGAYAAGTSRNVLKAGNASVLVATLAYMVVQVVLTSFPGILEKFRCTGKGKKEEAKEQLHPADGNETNNQQSQPGDEKEEEKERRRKLMLLLATFVMSITYLAGLSAPGGYWDNRNDGHNAGDPVMLEHHEIRLKAFFAFNAIAFVMSLLIIMLLLDKQLVIPLLHGNDQRTKTPVRTFVLKAYIFIALVGLAGAYVTGSSRESDTTIYVCSLVLVVLACIIVLRKIISCQSDSNARSNIVGGGEQPHTSNCGAETNASNGVGQTNTSNGGKETSTSNGGAEISTSNGGAETSTSNGGARLNTSNGGAHLDTNNGGAETSTSNGGAHPNTNNGGAETNTSNGEAQPNPSKTNFLEQAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNWNGHEAGKPILLSMKPERYKVFFYCNSIAFAASLVIIILVQYKPFLRRHLLQFAMIMDLFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVVIHVVFATLEDEKDLNPEDKKLVDKRRKRLLLFAVLCVTLTYQAGLTPPGGFRLKDDEFGHHAGDPVLFYNYPRRYKAFFYCNSVSFMSSIALIILLVNPNMYRPAIRSYALSVCTGVGMFALMCAYAAGSTQHLKTSIYIFGLVALVLFIMILVLIYFYCREKGILSCKKGDVENGNSPAKQDVTKQDAKTLKPTETKSDVQMEEDGITVKSTDLNEDASTAMSFKVGETGESNQNTSKKSKQEGTVTDSGQTEDKSKKKHATRKYLMLLGVLAASVTYQAGLNPPGGVWQGDSNGHAAGDPVMHDNQRHRYLIFFYSNSTSFMASIVVIILLLPEKLLKDKWPLNVMNIMIVLDLLGLLLAYMAGSSMRLECSGYFIVFVIVALGIAAIHKTWLLREKCKKNEGKMVSID >LPERR06G10100.1 pep chromosome:Lperr_V1.4:6:7856795:7861277:1 gene:LPERR06G10100 transcript:LPERR06G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQYFDMFFNVSSVTHVRSEADGNTPCGHPCLPCRFLAITNGACPHYKVLTIGFRAYQLI >LPERR06G10100.2 pep chromosome:Lperr_V1.4:6:7856795:7860133:1 gene:LPERR06G10100 transcript:LPERR06G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQYFDMFFNVSSVTHVRSEADGNTPCGHPCLPCRFLAITNGACPHYKVLPLEQ >LPERR06G10110.1 pep chromosome:Lperr_V1.4:6:7867639:7872380:1 gene:LPERR06G10110 transcript:LPERR06G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGFSGVELVAVNEPADCPKNIRYRGYWLSRMIESPARCPASVSCQTPPGGLNPAVYVTVATRVANNRRYFLKSYSNVRLKLGMVQFKMAIR >LPERR06G10110.2 pep chromosome:Lperr_V1.4:6:7867639:7870532:1 gene:LPERR06G10110 transcript:LPERR06G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHVDQNSACQGNDVNGCGYVPAASSSICTHEAKQIQYHGYLQYAALEQRLIPDQNDDDQGRHKGCRIAVEEHLVTFGLCG >LPERR06G10120.1 pep chromosome:Lperr_V1.4:6:7868493:7871141:-1 gene:LPERR06G10120 transcript:LPERR06G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRPQPLVTKTNSPLEYDLRKYLLLLATLVATVTYTAGFNPPGGVWQDTEAGHLAGDSIIRDSQYPRYLMFFYCNATAFTLSIVVIILIFILAILHEKNVLKTPILPLRAAMVLDLLALMGAYAAGTSRGILTAGNASALVVVFIYMMVQMVVTSWPDKNPNQAISTAFTKTNQTGDEEKKKRHRKVLMLLATFVASITYMAGLSAPGGYWDNNQEGHHLGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQRIFLPMDRIKTIHVRNYVLYIYIIIALFGLVGAYVAGSCRHSDTTIYVLSLVGAVVLCICALQVVLHFLPQLSNISCLPLIERIPCLPRGQSASSSSGKDTKVDIVEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPVLLSTQAKRYKVFFYCNSTAFVASLVVIILVRYKPLLKRRILEITMILDLFGLMGAYAAGSCRDITTSIYIIALAGAVLVYVVIHVVFVTLEDRAVQNNSAVQDPVQRGQILPAQNNAAVEDSCIDKRRKRLLLLAVLGATLTYQSGLTPPGGFRLQDDGFGHHAGDPVLLYNFPRRYKAFFYCNSVSFMTSMALIILLVNPNLYKPAIQSYALSVCTVTGLFAILGAYAAGSTQHLKTSIYVFVLVAVVLSIMVILLLVYFYWSKDRRIQDETATEPGANDEEESKQHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQSNSEGHGVGHSVMHDNRRSRYLTFFYSNSTSFVASIVVIILLLRTELLKKNRWLRVMNITIILDLLGLLLAYVAGSSMRLEPSAYVIVFVIIALSCAAIHKFLSFVKSQL >LPERR06G10130.1 pep chromosome:Lperr_V1.4:6:7878807:7881620:-1 gene:LPERR06G10130 transcript:LPERR06G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESQLDGQVSSTAAPPPPVKEDDSLEWQLRKYLLLLAILVATVTYIAGLDPPGGVWMETKDGHLTGNPILPDTRHLRYMLFYYFNATAFAASLVLIIILLSFRVEGPQVKAVRWVMVVDLLCLMVAYVTGSCRGRLTTIFSSLLSAAVFAYVVIHTLVAPAPKSTTSKKEEDAVPVTPPEWDGLLNLKERRKVLMLLAIFVVTITYTAGLSPPGGTWEHAEEGSHQRAGDPVLLEGQHHRRFIAFFIFNTLAFVASLAVIMLLLSSRLASNAKRLSALFVGIALALLGLMGAYASGSCRETDTTVYVLCLTGAVASVLIYICCLAVIKARNEQLKLLREAHSQRSQKGEDNFNQEKNGEEGEAPPQQSQKGEEHFRQEKNGEEEEEHQETNGEDDTIKKALSLILLLATLTATVTYQAGMDPPGGVWRDNDNGHNGGDLILPATHPMRHKVFFYCNSVAFVASIVVVIMVQSSSLINRHALEAAVILDLFGLMGAYAAGSCRDVHTSIYIFVLAAAIFVLVVAIYAMIHKFWNPNRRKNLANQQKDQSKLEEGNNIPNLHEDESKLEEGKIKLDKQKRKLEKKRKLLLLLAILADTNTYQAGLTPPGGFWIEHADEGHHYGDSILADNYPRRYKAFFYCNATSFMASVVAIVLLVSRKLSDIAIGYYRALYVCMAVGLVGLMGAYAAGTTRRLRTSIYVIALVGGVLIFAALHIHFVHVQLHYVHVQLQTWFPKLFGSPRSSDEGSSSSSKTGSESTQKEATDEKRVYTEKYKMRKYLMLLGILAASVTYQAGLAPPGSVWPTDDGEGHMAGDPILKDIDVRRYHVFFYSNSTSFVASLIVIVLLLQGIGTLPKIYSNPLKTMHAVIVLDLLGLLVAYAAGSSREWGTSGYVVAMAVMALSYVVIYVFLSLRDKGGSKKKALGELDLSSSVADNT >LPERR06G10140.1 pep chromosome:Lperr_V1.4:6:7886026:7887596:-1 gene:LPERR06G10140 transcript:LPERR06G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYIAGSCRDRVTAVSASVISEQGVAAARRVRRDGDVHGGDETAGWDLGGGGSHTNSNSDLPGRPRPAWRSLATQRGWTQWWRLDTPCYSRKPLQGVLLLQLGCLRGIRGCGDHAEKQRSGQPKCAPGAVILELLGLMGAYIAGSCRDMGASISIFVLAAAIFVLLVVICILKWKPPTPQNGQYQLQEEEQKKKMELQERQKLLLNLAVLAITITITITYQAGLTPPGGFWIKRADEEHHSGDPVLADNYHRWYMAYFICNAVSFMASVISIVFLLSLNLSEIAWGYRRALYLCVSVVVLGLMGAYASGTSRRMQESMYVVGLSSLGIVFAIPYIHYCYSTPTDDTRNSDGTPNPSDDDTETEHANQYISRHKMCKYLMLIAILAASITYQAGSSSQTTALMCCTAFFGMQK >LPERR06G10150.1 pep chromosome:Lperr_V1.4:6:7898101:7901028:1 gene:LPERR06G10150 transcript:LPERR06G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQQPSNGHAPVSGNAAADEAQLPPPPPAGQSEQNSCAAEVELLWKLRKYLVLLAILAAAITFQAGLAPPGGFWQKSLYGYRAGDVVLRYSYPRRYLIFFYCNTTAFGASLIVLILLLVKELSRNAIWLRSLQLAMVLGLLGLMGAYAVGSCREVRTSVYIWALMVGIFAYITLHVIFFRHFAPPWLCKIFSDIRDYWKKFLGNIFKKGTHGGTDEPETPGQVGEAADFDEMKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDDKPRHLAGDPVLRDHYPRRFKAFLICNATAFAGSLVIIIMLLSDTAVDHVVKSNALRICVLVSLCGLMGAYAAGSCREVHTSIYVFALVGAVFLFLCIRWIGHIVPKPKRVKRSIEWMETKKAQLLKKLSSFIMRGTDETPRAHNPVGNQMPNASSTVKDDLQKLRTYLLLLGILAATVTYQAGLNPPGGFWQDTKDEHIAGDPILEAINPKRYKAFFYCNATAFVASLVIITLLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSGRKLSTSMYVIILALLVFTYFVIHVLLSVALKTQRTEGGDDDGQSTEEKDLEKRRKFLMMLAVLAASITYQSGITPPGGFWTDNDGHQAGNPVLHDEFPRRYRIFFYSNATAFMASLAVIMLLVSKRLCHKGLKSYALRACVLVDLISLMVAFAAGSCRRVSTSIYVILVVAAVFAYVMIQCLVLGFAQEKLSYILKKLRSGFGSQEISVVPTRSINRKRTAHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDLDNNYAGDPILKVTHPIRYKVFFYCNATAFMASTLMVTLLLNNTISQYKRSLLAMKTAMVLDLLSLLGAFAAGSCRKFKTSAYIVALVIAVFIYIVIHVLLSFDKVALLVKEKGKQWMPCCLKMWDLIDIEAQDQPSATQSRDPSNTMQGIHPLETNRVHVPNGHGHPPEQHDKQVQEITR >LPERR06G10160.1 pep chromosome:Lperr_V1.4:6:7901045:7903871:1 gene:LPERR06G10160 transcript:LPERR06G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDWLSLLGAYASGSCRKFKTSAYIFALAIAMIRGARRQVLQGNIAEIPHALNAAVPMLRI >LPERR06G10170.1 pep chromosome:Lperr_V1.4:6:7904720:7908218:-1 gene:LPERR06G10170 transcript:LPERR06G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGVGGRRFIKRKDSDAGETGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYIAAWVLMAVLKALYLLPIAPPSKSTPFSLLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAIVSFGVAVATVTDLEFNLFGACVALAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNFKNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLIFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTPRQNSHLLKSKIIVDGEKPETRPIDSV >LPERR06G10180.1 pep chromosome:Lperr_V1.4:6:7913835:7917274:1 gene:LPERR06G10180 transcript:LPERR06G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVPKGGGNSPAVNPPWSEMFRSASLRRPKQEEPPPSKKKPPVNSAAAAEGEEIGGLSLEPDARLALYIAMAHAGLAMALLVLYGLYRLLADFLRPLQWALLCSIPLRETQRALVAFWDPPLRGGLSAAVLALPLAAVRSCGATLADARAALLRRPLPPSPSFPRLLRWLASSFLFLLLIDRLGTAPALLLLALSLAFFAASPKPSSFLSRAASYRRAPSSRGLLLTGGILRHLKTLVAVGLMMGMIVGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKFLDDNDIPGLVDQYSAKLYDTVWDQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAVHVKNREWMEIYKELDSFFRELLITREDLVVKAKGLALQGTEIAKSLLSSGTSVLGGSANLMLSIALHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKFFKVHFVYTSTVLAIISALLPILPPWLSSIFAAGQLLMEGKYVLAIVITVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSSKHEMEGNLS >LPERR06G10190.1 pep chromosome:Lperr_V1.4:6:7919506:7926965:1 gene:LPERR06G10190 transcript:LPERR06G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHVIEARNLPAIDGNGLSDPYAKLQLGRQRAKTKVTKKTLSPTWDEEFAFRVVDLEDELVVVVVDEDRYFSDDFLGQVKVPLSAVLDAENRSLGTQWYQLLPKSKKSKIKEYGEIRLTISLSQNYPEETTTIAHCVSDDLTSHSDKSTELQKGSSLPNIPIDVPISVSGGDEIEITKEDRSNGGPSFVNRLYQIFSAKPKDAEASAPLLSKNDGNLDILEETPSTSSEQPDNQDDETGVTMSFDEQLKSFGSCHERNEMPVNLSGGVLIDQVYAVAPSDLNALLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTKLVKAVKATEDLSYLKADGDMYAVLADVSTPDVPFGNSFRVEVLTCIMPGPELSDDEKSSRLVISWRINFIQSTMMKSMIENGAKQGLKDNYNQFSELLARNFRPVDSENATATDKVLSSVQPEQESDCKLAFRMFANFTVVSSMIAFIYVFWHIILSSPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIGRFIQAKKQRGSDHGVKAQGDGWLLTVALIDGTNLAAMKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVASLGHAEVNFLKSNLSEHADIWVPLKGKLAQACQSKLHLRILLNNSRGTEVMKDYLDKVEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVIPATLSSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSYNVAHKTIMVLWKARSLTPEQKIQLVEEESEMKDLQNDESDSFLGIEDAKMSEVFSSTKLFDVSTLMDIFEGGSLEHQVMEKIGCTDYSVTSWEPVRADAYQRQVHYKFDKRLSRHEGEVMSTQQKSPLPDRNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQVASKPKTCNIQVSIGMAWLKSCKNKRKIAQDVSSNASSRLKKIFNLLEKECSPVNHAWYTTGTVTWVDPFA >LPERR06G10190.2 pep chromosome:Lperr_V1.4:6:7919506:7926985:1 gene:LPERR06G10190 transcript:LPERR06G10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHVIEARNLPAIDGNGLSDPYAKLQLGRQRAKTKVTKKTLSPTWDEEFAFRVVDLEDELVVVVVDEDRYFSDDFLGQVKVPLSAVLDAENRSLGTQWYQLLPKSKKSKIKEYGEIRLTISLSQNYPEETTTIAHCVSDDLTSHSDKSTELQKGSSLPNIPIDVPISVSGGDEIEITKEDRSNGGPSFVNRLYQIFSAKPKDAEASAPLLSKNDGNLDILEETPSTSSEQPDNQDDETGVTMSFDEQLKSFGSCHERNEMPVNLSGGVLIDQVYAVAPSDLNALLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTKLVKAVKATEDLSYLKADGDMYAVLADVSTPDVPFGNSFRVEVLTCIMPGPELSDDEKSSRLVISWRINFIQSTMMKSMIENGAKQGLKDNYNQFSELLARNFRPVDSENATATDKVLSSVQPEQESDCKLAFRMFANFTVVSSMIAFIYVFWHIILSSPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIGRFIQAKKQRGSDHGVKAQGDGWLLTVALIDGTNLAAMKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVASLGHAEVNFLKSNLSEHADIWVPLKGKLAQACQSKLHLRILLNNSRGTEVMKDYLDKVEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVIPATLSSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSYNVAHKTIMVLWKARSLTPEQKIQLVEEESEMKDLQNDESDSFLGIEDAKMSEVFSSTKLFDVSTLMDIFEGGSLEHQVMEKIGCTDYSVTSWEPVRADAYQRQVHYKFDKRLSRHEGEVMSTQQKSPLPDRNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQVASKPKTCNIQVSIGMAWLKSCKNKRKIAQDVSSNASSRLKKIFNLLEKECSPVK >LPERR06G10200.1 pep chromosome:Lperr_V1.4:6:7928136:7929282:-1 gene:LPERR06G10200 transcript:LPERR06G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKSCMSALTDDLVVNILSRLPTKTFCRMKCVCKSWAALSSDNYFCSKLPRTPAGLLYQHDDNSLIQIARIPSGSSGIDTSLGFMPHHQNLKLVDCSNGLILLTHGSKSDSPDAFHFIVCNPATREWIALPDTRPMLDGSDCVSMLAFNPSPFPRFFVFNFQKRNSPDYGGFVITEVEIFSSENFKWIIDGEFETEIMMIPTPHVLLRGNLYLRTVEHTVFAIEAPDMHTPWIQRWTFDLPGNSNPMNNYIWGCLSESSGMLQYAQPDFDGCWLQIWRLDIPSQQWNRTHSLSMIDAFGRDVFVHGYVFGDDWSEDYSMMSFALERDIVFLCDLVSSKVLSYSIRTGKLSEIGDIPVKALYYVPYWHKFPPLEEEQTWW >LPERR06G10210.1 pep chromosome:Lperr_V1.4:6:7934967:7940538:-1 gene:LPERR06G10210 transcript:LPERR06G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCNFCGEQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDKCVSQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWSFVSDIPSVAPEPNCEQGISMMSICDSGVSNQDNAAGDSSLLDIASATLMSDLDTAGKLKSLIGSSSEVGVNLLPLATDQMVGSVDSTTAKVPYTADEDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEMPAGNSDEPKLMQPLTSNAVSADSGMSIPGDKVLLMGEPPWHPPGSEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >LPERR06G10210.2 pep chromosome:Lperr_V1.4:6:7934967:7940538:-1 gene:LPERR06G10210 transcript:LPERR06G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCNFCGEQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDKCVSQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWSFVSDIPSVAPEPNCEQGISMMSICDSGVSNQDNAAGDSSLLDIASATLMSDLDTAGKLKSLIGSSSEVGVNLLPLATDQMVGSVDSTTAKVPYTADEDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEMPAGNSDEPKLMQPLTSNAVSADSGMSIPGDKGDSSLCIPVRQARSSISLSFSGLTGESSAGDFQDCGVSTSVLLMGEPPWHPPGSEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >LPERR06G10210.3 pep chromosome:Lperr_V1.4:6:7934967:7940538:-1 gene:LPERR06G10210 transcript:LPERR06G10210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCNFCGEQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDKCVSQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWSFVSDIPSVAPEPNCEQGISMMSICDSGVSNQDNAAGDSSLLDIASATLMSDLDTAGKLKSLIGSSSEVGVNLLPLATDQMVGSVDSTTAKVPYTADEDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEMPAGNSDEPKLMQPLTSNAVSADSGMSIPGDKGDSSLCIPVRQARSSISLSFSGLTGESSAGDFQDCGVSTSVLLMGEPPWHPPGSEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >LPERR06G10220.1 pep chromosome:Lperr_V1.4:6:7943498:7951062:1 gene:LPERR06G10220 transcript:LPERR06G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGNIVEENAVALEPTLEVGTAAATEAIPDSSGMQIVGEIGGNWKTVMHGESNQCYYWNTVTGETSWEIPNGLTSEMATDSVPSSATHVDYSVEAQAQAHTLTHNVVEAYPSDMSALNGSVAYSTFGMEQATHDAYAYAGAVTSHESMDIDPLQLARYGEDLLERLKLLERSHGSIYNVELIKREIEIRISDCNALSSYGASLLPLWLHAEVHLKQLEYSVSKMEASYSITEPRHPETADTEHKTPNEAEVMPPSSCGDLKCEVNTDTTMDGNDKTEEPLSTSVVKSEENDAAAAPSKVELDSDEDMDVEMEVDDENVEEHYHSSSMPPSKEHSPSEQVQSPTSLSLGDSAAPPPPPPEDSDIPPPPPEDEWIPPPPPENEPEPPPLPEEPAISYVSTETIPQSYVGQANLGYTVPGMEYYAAAGTDASYYMQTSESHVVQAQQNGYYAPVSASGISIPGDAASVAPVPVSYYTYPSVTMTVNGEAAEPSGYYTASVPATSSSVLDNRTSSSNLAPPTSSLHPRESDNIISKEAKMASLSQSVGATSASGTASMQGSSTQASTSTTNQSKVVRGKKRAVAVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEAKSEAIPTPAYLDESTKQVYYGNSLTSETTWDRPTK >LPERR06G10230.1 pep chromosome:Lperr_V1.4:6:7952928:7954937:-1 gene:LPERR06G10230 transcript:LPERR06G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLHLLTATRGISSTPHLASLGWIDKIKSTFTGKKPDEAAADGNFTLIQFADTMEKARKLGTFKNFVMGRCKEATVVNAFEKHSAVLRYLGSIDPTGEKLKSSDKISATKHCNCTIADVEHILAKYTWAKEAQKKIEKLKEEGKPLPKSFNEVQNLMGSTPLEVGRENLAKSGQISRNALCPCAVALLEVTG >LPERR06G10240.1 pep chromosome:Lperr_V1.4:6:7955562:7956062:-1 gene:LPERR06G10240 transcript:LPERR06G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASVVAPRVSPSWFTTGGVEESEMLLPLLLLITPEDDDVDDQALRERVEEIEHLLADVRGTLSSLDTKGGRIQGQIAAASRGRRHRTAPAPAVAVPGGGRSEEAAAAAYTREGAGAVRKRLKVAAGDVKKARERLEAVVGELEAAVVDGNERLALRQMLATAAQ >LPERR06G10250.1 pep chromosome:Lperr_V1.4:6:7960203:7961470:-1 gene:LPERR06G10250 transcript:LPERR06G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAFLFRQDLAAPGQWWFLSLSYLGAAYVTAITLRLLAYLSLSLRRPKDLRRCYGAWAVVTGPTSGIGRSIALELARRGIHLILVDLDAANLREISNTIQSRHGVQTKTVVFDLSLVSTAQGDEPLRRLREAVEGVDVGVVVNNAGVGKPGALYLHEVDVEAWVRMMRVNLWAVTEVTAAVVPGMVERGRGAVVNIGSASSEAIPSFPLCTMYAATKRYVAQFSRGLHVEYASKGIHVQCQAPFFVATRLVEHFAVARRLSPFTVTPDDYARAAVAWIGHGGALCTPSVWHQLLWCVLAAVPDSLIDSFLLRNHLMQRTLLQRIRASRAAPSSKKPVSLAP >LPERR06G10260.1 pep chromosome:Lperr_V1.4:6:7972662:7974101:1 gene:LPERR06G10260 transcript:LPERR06G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVPSSGEPNNARDRTRPHVIFVPSAGMGHLLQFIRFITALSSHDVDISVVIVVPTVSAAEADHLAALFADFPAIRRVDFHLPPFDTAAEFPGADPFFLRWEEIRRSVHLIGMAIAGVTPRATAVVTDVTLVSHVNPIAKELGLQCHVLFVSSATMMSLLSYFPIYLDKKNPQSSVSDVDVPGVRLLKRSWLPQPLLDLNKLFTKQFIENGREIVKTDGVLINTFDALEPEALAALRDGKVFAGFPPVFAVGPHNSQAAATSEADDDVSPPMAWLRQQPARSVVYVAFGSRCAVSHDQLREIAAGLEASGCRFLWIVKTTVVDRDDHAGVRDVLGDGFMERVEGRGFVTKDWVDQEAVLRHPAVGLFLSHSGWNSVVEAATAGVPLLAWPRLGDHRVAATVVASGGVGVWMEGWSWDGEDWLVSGEEIGKKVKEMMADGAVRERAAKVGEKVAKAVAVGGTSHMSMREFVAKLKAT >LPERR06G10280.1 pep chromosome:Lperr_V1.4:6:7987411:7988560:-1 gene:LPERR06G10280 transcript:LPERR06G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVNLWAVTEVMAAVLPGMVERGRGAVVNIGSASSEAIPSFPFYTMYAATKRYVAQFSRSLHVEYASKGIHVQYQAPFFVSTRMVAKFTEAGWLSPFAVSADDYACAAVGWIGHGGALCVPNLSHQLTWCVAAVVPNSALDWLLLRTNAWSRGLCLSKSERRRLSGTTLGLVAHGLNLNLVGRDPNNLAEISDMIRSRHRAVQIKTVVFDLYLVLTPHGEEPLRD >LPERR06G10280.2 pep chromosome:Lperr_V1.4:6:7982740:7987411:-1 gene:LPERR06G10280 transcript:LPERR06G10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVDGVDIGVAMNNAGLGQPGSVFLHEADLEAWVRMITGEPAGWCWGYMMERGRGAVVNVGSAASEAIPSLPLYSVYVATKRYVHTNTKHTHACDRKIDQFISSRNVGQFFWGLHVEYASKGIDVHCLVVAPMAWWFRSLAFLGAACVASVTLRLLAYLALSLRRPNDMRLSYGAWAVVTGPTSGIGRSIALELARRGMNLVLVGRDPANLREISDTIRSRHGVQTKTVVFDLSLVSTAHGEEPLRRLREAVEGLDVGVLVNNAGVAQPYAMRLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSEATPSFPFYTVYAATKRYVAQFSRSLHVEYASKRIHVQCQAPLFVATRMVAKFMEASWLSPFVVSADDYACAAVGWIGHGGALCGRTYRTSSRGALPPSCRTLPSTGSSYGRTPGAEDSV >LPERR06G10290.1 pep chromosome:Lperr_V1.4:6:7990411:7997886:1 gene:LPERR06G10290 transcript:LPERR06G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKRIFCKFYKNGTCLKGDHGRFSHDWNDYEKKVCTFYQKGACSYGSHCRYDHVKVSRNPTVPPPPSLSTTTSALPLGMGRPCHLGYQAVSSNPRQQITHRVGYQEDLSSPREQISMDVSAHSGSRPPMGTEVKKNFCLKEKIYSCLLKHQRDGLHWLWNVHCSGRGGIVADDMGVGKTRQVSSFLNGLVFSGLIKRILIVTPPILINQWTQEMKFIGLIEQTSLFKDPRSRHGILENVKKELYVLLNFCCPNTFGTLEEFERNYLTLIVIGSYKESTSKELVDSSISSKKLRDFLKPYMLRRMKDDLSLPEKAELTVWLELSPYQKALYQSFLRNYRSNILETGQILVATMLLGKICNHPCRLTVPDDELEKPNERKLLKNMVKQLEQIVPQTAMNDEFYSSCKIEFILKLLEKFHNVHKVLIFSQTREMLNLIEVALAKRNYIFSRIDGTLGQTERDDIVEEFKGKDGPPILLLTTRVGGFGLDLQIACRTIIVDPSWNPSIDNQCADRTYRVGQQQKLFKSGLSKNATEDADYERYVSKNSKLLDMPSGFSTSRIQRKLVHDTMRAKRSKEVQEEIDDLKKRGFAVVSIHNRLFSETIDLPTITNDSADFAFKPKEWPAKMSPTPPIKEAENDSQSKKAFLESEIQNYRNILLTVWLPDGGEKIRVRIEELEELSKLETKDPT >LPERR06G10320.1 pep chromosome:Lperr_V1.4:6:8035397:8037176:1 gene:LPERR06G10320 transcript:LPERR06G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYLHFWNILLVLGIKTLQSRLPRRRKFFIPKCLGSCLHLQALKTLLIPLMVNIKQQTLRQLIAMYIGLQKQRSTFVSAPIAKEGKRIETSLGRNMEKSIKANIDDMWTHFQEENANHEEAKRERMLSNHNFCKQGYSCYAGELTKERNIFYRREIAIFCLFWFTPAIVSSDVHSSLVNSRAALPLSESFWYKFVNQLDKYLDTKLEATVARQIKTKSFIFLYITY >LPERR06G10320.2 pep chromosome:Lperr_V1.4:6:8035397:8037648:1 gene:LPERR06G10320 transcript:LPERR06G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYLHFWNILLVLGIKTLQSRLPRRRKFFIPKCLGSCLHLQALKTLLIPLMVNIKQQTLRQLIAMYIGLQKQRSTFVSAPIAKEGKRIETSLGRNMEKSIKANIDDMWTHFQEENANHEEAKRERMLSNHNFCKQGYSCYAGELTKERNIFYRREIAIFCLFWFTPGCSNCFI >LPERR06G10330.1 pep chromosome:Lperr_V1.4:6:8038895:8040665:-1 gene:LPERR06G10330 transcript:LPERR06G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLGSRRRRMFSDGRHRIQRCTNLAVLSRNHAMEMIATKDKNTLDCNSEEPPMLKTYKRRRVRRDPSIPDELVYEILLRLPVKTLVRSKCVCKAWQATISKPSFICAHLKQQQSATCRHVQKPSFLITPHTLDSIIDDEVWPSTFSNSIPFYHWQEGQDNACLVHAMDFHGEFRSVYRMSHCDGLVMLPTNTKLYVFNPATCDFLKLPDGQKDHLGIQTAGLGLDLGTNTYKVVRSFYRSVDFRRRTYDVGIEVFAIGGHDSCWRRVVEDPPYPVSIQDPIYFKGSMYWHICKKLIRNPPQGFICFSLQDETFSLIRHTISSSDDEGIRLHFVELGGELCVAQYLATQIVVWKSSMSSDSHQWDRLYSISNLTNEAWKFQPFLDLVDDFMLLGPSNCIYLYNKASRSTKELVFVDQLKYTNPKVGKLDFVRKDFYFFNIVPYIESLVPLRAPMEQRESGRVVED >LPERR06G10340.1 pep chromosome:Lperr_V1.4:6:8057693:8058285:-1 gene:LPERR06G10340 transcript:LPERR06G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDVVGQLADAYIGLGAKWRGEHVDRFLEVMVVDGCFLLEDVGMLFLLPISVDNTTEHKLFNLMALEQLHAGARNEVTTYIFFMDNVVRSAAGVIRHGLGSDKAVADMLNRVACEAVLDCDSRLHDVHRQVNTYCWQRWNRWRANLLQTYFRSPWAFLSLTAAVFLLALTVLQTVYTVMPVIQDSAGPGKRP >LPERR06G10350.1 pep chromosome:Lperr_V1.4:6:8059780:8060049:-1 gene:LPERR06G10350 transcript:LPERR06G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRSPSGNGGETLRQWVVDVESLLAGDASADVAPWRKHSIYRVPPHIKNGNGRAYAPVVVSLGPFHHGEPDLLPMEMHKRQGLLHLP >LPERR06G10360.1 pep chromosome:Lperr_V1.4:6:8064480:8066918:-1 gene:LPERR06G10360 transcript:LPERR06G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGIYTAADLQHEHERWFHALTIVGALYVAAVAFRLLSHLTLCLRRPFDLRRRYGAWAVVTGPTSGIGRSMALELARLGLNLVLVGRDPSKLHDISDLITKSHGVHTKTVVFDLSLVSTAEGGEGLRRLREAVEGIDVGVLVNNAGVAKPCALYLHEVDVEAWVRMMRVNLWALTEVTAVVMPGMVERGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVSEFSRSLYVEYKSKGIDVQCQAPLFVATKMTSVVAKTGSDGDANSKRRRRVSPFVPTSDAYAEAAARWIGHGAICMPNLCHQLQWCVSSVVPDAVHDRLRLRENLRQRTVFQRLRRRPATLEAKLGD >LPERR06G10370.1 pep chromosome:Lperr_V1.4:6:8072245:8075590:-1 gene:LPERR06G10370 transcript:LPERR06G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVESVGSSSPCNLAINRESIVHTEDQTLHIQRSPEPKLFSRGKTLHIQRSPKPSFSSRGKMTMALLLVSYALVNFAFFGVAVDLVVFHRQVLHQENAEAANKVSEWMGTVYIFSLFGAFLSDSYMGRYLTCVVFQIILVVGLVLLSLSSWLLLVVPLACGDGGGLRQCTAPSERGVAMFYLSIYMVAFGNGGYQPSLATFGADQFDDDADPGEQLSKRAFFCLFYLSLNVGSLFSNSVLAFFEDRGNWVPCFLVSSAAAGIALVLFLLGTPHYRQIQPSGNPLTRVMQVFVAAYCKRHIRPPPGDHLHEVEGEISMIRGVGKIRHSDQLRFLDRAATATEEDYHAGNGKNPWRLCTVTQVEEAKCLLGMMPIWVCTIIYSMEYTQMSSMFVEQGAAMDTNILGGMFKVPAAAMSVFDVVGVVATLALYHYVVVPVTAILTNNPRGISELKRMGMGLVIALLGMVAAAVVEVHRLRDASNHGAMTVLWQAPQYTLIGVSEVFMYVGQLEFFNAQSPDGVKSLGSSLCMASISLGNYASMVIVSTINGVTSGRRSGRAATGWIPADLNRGHLDRFFITLAALSAVDFVVFMVFARLFKGIKLEENGHSTATHIYVV >LPERR06G10380.1 pep chromosome:Lperr_V1.4:6:8085773:8088018:-1 gene:LPERR06G10380 transcript:LPERR06G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIWFVLLASLGAAHVVTTVFRLTSLLSFGLRRPKDLRRRYGSWAVVTGPTTGIGRSMALELAAHGLNIVLVGRDPAKLRDVADAIVRSHAVQTKTVLFDFSLISTVQGEKAMAALREAVEGVDVGVVVNNAGVVRPGAMFLHEADVEALVRMIRVNAVALTEVTAAVLPGMMERGRGAVVNIGSGSSDTLPSFPLYSVYAGTKAYVSVLSRSLSVEYKSKGIDVQCQVPLLVKTNMISDAVKNVFLPLFLVSPEAYAREAVRAIGHGRVCVPSAVHRLQAAWSILPIPEFVVDSYRLRLHLQQRGIKSSRSPHTSQDRLLDYQDILRS >LPERR06G10380.2 pep chromosome:Lperr_V1.4:6:8085856:8088018:-1 gene:LPERR06G10380 transcript:LPERR06G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIWFVLLASLGAAHVVTTVFRLTSLLSFGLRRPKDLRRRYGSWAVVTGPTTGIGRSMALELAAHGLNIVLVGRDPAKLRDVADAIVRSHAVQTKTVLFDFSLISTVQGEKAMAALREAVEGVDVGVVVNNAGVVRPGAMFLHEADVEALVRMIRVNAVALTEVTAAVLPGMMERGRGAVVNIGSGSSDTLPSFPLYSVYAGTKAYVSVLSRSLSVEYKSKGIDVQCQVPLLVKTNMISDAVKNVFLPLFLVSPEAYAREAVRAIGHGRVCVPSAVHRLQAAWSILPIPEFVVDSYRLRLHLQQRGIKSSRSPHTSQDRLLDYQDVGRKTS >LPERR06G10390.1 pep chromosome:Lperr_V1.4:6:8090813:8093934:-1 gene:LPERR06G10390 transcript:LPERR06G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQAWFTGGSGAPTPAAGESQPSLLADWNSYAASRSDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLASGIFFVFIAFALFLPVMVLMPQKFAICFTLGCALIIASIFALKGPASQFAHMTSRERLPFTVGLVGCMVGTIYVSMFLHSYFLSVIFSVLQVLALAYYTISYFPGGSSGLKFISSSVLSSVTSCFGR >LPERR06G10400.1 pep chromosome:Lperr_V1.4:6:8106187:8111750:1 gene:LPERR06G10400 transcript:LPERR06G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSREDKKNVTTVEQSWEYQLREYIMLLASVVAIATYSAGLSPPGGVWQNDDTAAGGHKAGDPILHDDNGDGAARYHAFYYCNATAFAASLVVNLLLLVLKEESKVGLTMLRTVMVLDVLALMGAYAAGSCRDLPTTVYVSTLVVALSAYLCIHIVLHNIRPENNNKNNVRDEPNTADEAQKPEEKTNHHEMRRVLMLLATFATEITYTAGLNPPGGFRAADGSGGSHVAAGEPTLQQKNSARLMAFFYCNTAAFVASLSIVVPLLSSRLHKTRIYLQLYGPILIALFGLMGAYAAGSSREPRTVAYLVAAVLAYILLAMVIALLSRESNANGNETSTTSEGASTEGSKTNESSTTSEGASTEGSKTNEVLKAKDFVLLLATLAATITYQAGLSPPGGVWPEDDKLYGHKAGDPILLSTHAERYKAFFYCNSTAFAASLVVIFMVQSNRLVESRALVVAMILDLFGLIGAYAAGSCRDVSTSIYVMALAGAVLVYVVIHIVFSLDKNEGDNENLEKAREQLLVLAILVATIAYQAGLTPPGGFWEKDGDDGRRAGAPVLFDSYPSRYQAFFYCNATGFMASVALIVLLVNPKLYRLGIRCYALYVCMVVGMFGLMGAYAAGSARHVRTSIYVFVLVGVVVVFLLVQLVYFHIWKKSTSDQGSNTNVPGPDQTARNTKQDSKRGDQQTAGTNTEQDSKRGDEQRAGTNTEQGSKSKDQRSKYTEENSKREEYIMTLAILAASVTYQAGLQPPGSVWQEGDTAGNPVMHDRNEHRYHAFYYCNSTSFVASVVVIMLLLQQYRHQTKMGYNLLVYAMNTVIVVDLLGLLGAYAAGSCRDWETSGYVIGLAAVVLAFIAVHFLWNGMEWYWRRGKLSKGANGVSDHTLNSNEP >LPERR06G10410.1 pep chromosome:Lperr_V1.4:6:8114615:8121574:-1 gene:LPERR06G10410 transcript:LPERR06G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPAARSGLHLRSLLRPRGFSSSPAPAAAAAAEGDDRKIVASVLFERLPVVIPKIHPVVYAFKEFSFRWNQQYKRQYPDDVLGKADARGKGDYQIDYVPAPRITEADKTNDQKSLQRALDNKLYLLLYGKAYGSPDGKPVWHFPEKVYENEETLRLCAESALKSVLGDLSNTYFVGNAPMAHMVVEQNEDSNISSFKVPEGFLSKLWSFVSFLPFFLLLLLLGSTKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLRTERIGLVLKILAAILLPLPLLLSPLLAIAGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFMDGTVSTIAGACTVVRDVTDFCFHSYFSFMDELIRKLGDNETPLDIKLSYLPHTVLVALVAVPFDVIMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLSIILWPLAVIGAVIASFLCSFFFGIRSGVIAYQEASLQMGIAYMIAAVALFDEYTNDMLYLREDRPKYRKTNSINNGTGQNNEGHNITAELGEKRQNGHHRTMKALQQSKTFRQTIHRLRPIQIWDWLFRSCELNGRILLSEGLISAEDMEKCIIKGKCKKLSIKLPAWCILQCLIRSAKYDSHADDVEVTNFNWPKDKVFDWMLGPLLVMKEQVKKLELTEDEEMCLRKLIMTNNNQKPSDWDDCGFPSSDNIKRAQLQAIIRRLQGIVVNMSWVPSFRRRFINLVKALYLEGLEAGAIEGSREGSRSVKRKIEADAASVSKFDDKYVESSSSNGGAALGIDVV >LPERR06G10420.1 pep chromosome:Lperr_V1.4:6:8130898:8134474:-1 gene:LPERR06G10420 transcript:LPERR06G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEIKIIDETVPDTMGPVEITPSEIIVLHQENAEAANKVSEWMCTVYIFSLFGAFLSDSYMGRYLTFVIFQFIFVVGLVLLPLSSWLLLVMPPACGDGGGLRQCATPSECSVTMFYASICMVAIGNGGYQPSLATFGADQFDDDVDPDEQHWKRAFFCLFYLSLNVGSLFSNSVLAFFEDRGKWVPCFWVSSAAAGLALVLFLLGTPHYCQIRPSSNPLTRVAQVFVAAYHKRHIRPPPGDHLHEVEGELSMIRGVGKIAHSDQLRFLDRAATATEEDYHAGNDKNPWRLCTVTQVEEAKCLLGMMPIWVCTIVYSMEYRQMSSMFVEQGAAMDIDILGGMFKAPAAAMSVFNVAGLLGTLALYHYVVVPVMAMRAQAHGYGSFVEIHRLHHASSHGAMTVLWQAPQYALIGASEVFMYVGQLEFFNTRSPDGVKSLGSSLCMASISLGNYASMVIVSTVNGVTSHRQSGRAAVGWIPADLNRGHLDRFFIMLALLSAVDFVVFMVFARLFKGIKLEENKHSTDTCIHVV >LPERR06G10430.1 pep chromosome:Lperr_V1.4:6:8142021:8142212:1 gene:LPERR06G10430 transcript:LPERR06G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHPNPQRFLRPGHVVHLGVIIGSLGWTIPSHSNPRDIMKIIVLLWWSLLCRNNTGIIIAI >LPERR06G10440.1 pep chromosome:Lperr_V1.4:6:8142378:8143638:1 gene:LPERR06G10440 transcript:LPERR06G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARIGEHHSTTERADFPLDFIEWKFLNHATASFGQLTYWLEGDQMKGRVLIRAKYKDHDFAPRKFDHPMGQVLELVLVQPQFIPMAGLNVMVENLSNAKNLASSILLDGSSLLNHLLPALNTFTNMVLPKKTVFDCPPPLAPLLDWVFDQFKSVSSPLPSDLEILEVEPLDVQPPSLVTSAPVPLLLPKAPVKKRDGKTVLYCPYRRQSSWLQHNKHDLDLQVDPRMGIGNQGEGLQKSSKNLQKSDFIGSSFDDVNYDSSSSDCFVSLLQKMGVDMCGLSIDDVAEFNLGVCRRRKIPRPSMDDQ >LPERR06G10450.1 pep chromosome:Lperr_V1.4:6:8149095:8154065:1 gene:LPERR06G10450 transcript:LPERR06G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVEDSAAPVVVALEVAVEGVQRVGDAAGEEDSVVPAVAPEASAAADQHIEDAAPPEDGKDGSTEGNVDITPEEMRSVIEVIADTGKFWHDWNFLKRLLSLQLKQVLSEYSEGQMASQDDGQIQYSELVSWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTLTKCTDPYPAAYVSNLEGTTTAENTSAVDVEPEGLPEHPAAVPNGNVVDGDAEMADAETEEPSSSHDVEMQEDKPDQISNVNPDDSSDTAVNAEIVDDSEKPSDPQT >LPERR06G10450.2 pep chromosome:Lperr_V1.4:6:8149095:8154065:1 gene:LPERR06G10450 transcript:LPERR06G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVEDSAAPVVVALEVAVEGVQRVGDAAGEEDSVVPAVAPEASAAADQHIEDAAPPEDGKDGSTEGNVDITPEEMRSVIEVIADTGKFWHDWNFLKRLLSLQLKQVLSEYSEGQMASQDDGQIQYSELVSWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTLTKCTDPYPAAYVSNLEGTTTAENTSAVDVEPEGLPEHPAAVPNGNVVDGDAEMADAETEEPSSSHDVEMQEDKPDQISNVNPDDSSDTAVNAEIVDDSEKPSDPQT >LPERR06G10460.1 pep chromosome:Lperr_V1.4:6:8158488:8167074:1 gene:LPERR06G10460 transcript:LPERR06G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPSPTPNQPFEINRFFNPAAATAPNPNHPTTAPSPTGILPGVVGGGVPPMTAGLGPYSYPPATPPFHRGPYLQYPNDPLHAAAGHLPHAAAAAAAFANPSPAPGAGPGPNPGPNPGARLMQLLGNTAPNHLESTVLMPPPSEFSVAPAAALPGMPSAPPARMPSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKAIITGKIEIAIQIVGDVETYHPRICWHSHKQEILFVGIGNCILKIDTTKVGKGIDFSREEPLKCALDKLVDGVHLVGKHDGDITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAGPLNREVKVWASTNEEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADTGFGRDPAISHVYERPPEVTVVESSAETSLIDSSVVGPSKPPRNNQALEVNVPSQVQSSTPPSSIDLGSMEEGALRRGPSRGPSLGDRDVDPSSLDFISKKRMDSDGASEQVGRKDSLRKEEPRGSQGVLSSPGTIATDSSQNAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSALLKHPSGAGDENTVSEAPEKTSDGYASRNLQSTSAIKEEKVLYPQVSGQLSPSTSTYNSTDSFHEPPSNINPAIDSVPQVAIQETLQQLMAMHNDLQKQLSTIVAAPVAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQQIATLITTSVNKDIPAMLEKSLKKEISSVGPAVARTTAPIIEKTLSSAVSDSLQKVGDKVVNQLDKSLSTKLEATVVRQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIWQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGASLSHNTSVLQPSNGPVATLPEIDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPTISPSEATNIRLIMHVINSVLLTYK >LPERR06G10460.2 pep chromosome:Lperr_V1.4:6:8158488:8167074:1 gene:LPERR06G10460 transcript:LPERR06G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPSPTPNQPFEINRFFNPAAATAPNPNHPTTAPSPTGILPGVVGGGVPPMTAGLGPYSYPPATPPFHRGPYLQYPNDPLHAAAGHLPHAAAAAAAFANPSPAPGAGPGPNPGPNPGARLMQLLGNTAPNHLESTVLMPPPSEFSVAPAAALPGMPSAPPARMPSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKAIITGKIEIAIQIVGDVETYHPRICWHSHKQEILFVGIGNCILKIDTTKVGKGIDFSREEPLKCALDKLVDGVHLVGKHDGDITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAGPLNREVKVWASTNEEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADTGFGRDPAISHVYERPPEVTVVESSAETSLIDSSVVGPSKPPRNNQALEVNVPSQVQSSTPPSSIDLGSMEEGALRRGPSRGPSLGDRDVDPSSLDFISKKRMDSDGASEQVGRKDSLRKEEPRGSQGDDTKTSDSRPVFKVGGNTTHLITPSEIISGVLSSPGTIATDSSQNAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSALLKHPSGAGDENTVSEAPEKTSDGYASRNLQSTSAIKEEKVLYPQVSGQLSPSTSTYNSTDSFHEPPSNINPAIDSVPQVAIQETLQQLMAMHNDLQKQLSTIVAAPVAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQQIATLITTSVNKDIPAMLEKSLKKEISSVGPAVARTTAPIIEKTLSSAVSDSLQKVGDKVVNQLDKSLSTKLEATVVRQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIWQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGASLSHNTSVLQPSNGPVATLPEIDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPTISPSEATNIRLIMHVINSVLLTYK >LPERR06G10460.3 pep chromosome:Lperr_V1.4:6:8158488:8167074:1 gene:LPERR06G10460 transcript:LPERR06G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPSPTPNQPFEINRFFNPAAATAPNPNHPTTAPSPTGILPGVVGGGVPPMTAGLGPYSYPPATPPFHRGPYLQYPNDPLHAAAGHLPHAAAAAAAFANPSPAPGAGPGPNPGPNPGARLMQLLGNTAPNHLESTVLMPPPSEFSVAPAAALPGMPSAPPARMPSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKAIITGKIEIAIQIVGDVETYHPRICWHSHKQEILFVGIGNCILKIDTTKVGKGIDFSREEPLKCALDKLVDGVHLVGKHDGDITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAGPLNREVKVWASTNEEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADTGFGRDPAISHVYERPPEVTVVESSAETSLIDSSVVGPSKPPRNNQALGNAFEISRPDASIHYFAVKFDVNISPPLFAYAEVNVPSQVQSSTPPSSIDLGSMEEGALRRGPSRGPSLGDRDVDPSSLDFISKKRMDSDGASEQVGRKDSLRKEEPRGSQGDDTKTSDSRPVFKVGGNTTHLITPSEIISGVLSSPGTIATDSSQNAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSALLKHPSGAGDENTVSEAPEKTSDGYASRNLQSTSAIKEEKVLYPQVSGQLSPSTSTYNSTDSFHEPPSNINPAIDSVPQVAIQETLQQLMAMHNDLQKQLSTIVAAPVAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQQIATLITTSVNKDIPAMLEKSLKKEISSVGPAVARTTAPIIEKTLSSAVSDSLQKVGDKVVNQLDKSLSTKLEATVVRQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIWQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGASLSHNTSVLQPSNGPVATLPEIDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPTISPSEATNIRLIMHVINSVLLTYK >LPERR06G10480.1 pep chromosome:Lperr_V1.4:6:8188943:8194268:-1 gene:LPERR06G10480 transcript:LPERR06G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVTFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMFSHARIVSFMVFLLVVDCLFLSNSLRSLIHKREASVAIFFSNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHNANTLALHVELPFFPQIMAVQQHVSMEFTLELSLALVHRVHKEHQVRTRQAKLEAAASAASLYGRSFAYPPVNNLNRLTSHSTSSGPQSGEASTSNQSPKDSAMQWKNTAGPPSAPTLHGRGAGSMTSTRELESSLQKAYENAIKNVANPTTNVAAWGYFIGN >LPERR06G10490.1 pep chromosome:Lperr_V1.4:6:8203205:8206340:-1 gene:LPERR06G10490 transcript:LPERR06G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWPAPSGGVLRFAPLATARVLASVAPGSLHGLSAGVASKSVAWQRLTAMCMKAEYTSSPVDPNTVAEQTEDVNQQPTTVSPADAEIDTDQEAVPQQKGAIIHDFCLGIPFGGILFSMGLVGFLFWRSAISLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQEDMKSKISAIAGAVAWKHWQAYTTTKKLLPWCFYTALSATMICFYSYVLLAGGNPPPKKKAAVAQ >LPERR06G10500.1 pep chromosome:Lperr_V1.4:6:8210670:8225781:1 gene:LPERR06G10500 transcript:LPERR06G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGGEPTAATSGMHIYNAWLPPAVRDEVAREPAAFAGAVREARAAWRPDDPDSADASLKWIPIFFLFIYAKSDIAPEDVHTLVELGLEIFHASQNKFVVQIKWADLLTIFLKKHAKRISISVQWRPLYDTLIRTHFKRNMGPEGWKVKQQHFEIVTSLVHASRSLFPEGAAAEIWSEFRLLLENPWHNSAFEGVGFLRLFLPANSRNQDHFTTEWIAECLDIWGSITNCNFWDIQWASIISRCIKGSTSVDWESFLPILFTRYLNMFEVPISSGNVSFPFPLYVPRNTRSLFSSDTGTPSKAIAKSVVYLLKPNSLAFDHFEKLINFLEQFYHPSNGGSWTYSLERFLEYLSLYFEKRLRHEQFEAMDDKHNQFCLGKEERAAFVKVVLKLLARGQYSKDDSLAETVSIATSILSYVEPSLVIPFVAINFQLALETTTATHQLENAVTSVAFSGRAILLSSLCLSQSDDSSTSDTFNDLIIISLSNALLGMDANDPPKTIATMQLIGSIFSNNLESSSPINEGYQSSIMSGTFLVEDSPYYYSMLEILLGKLSQSLFNQSLKKIAKFVNANILPGATSEGTPTTGYVGREVHNKRATKAMLSPALETALDYYLRVLAIAIKYAGPVLLSYKQELQNIITSSFQAPSWKVNGAGDCLLRSLLGTMVLYYPIDMYKTFSCQPIANIVEPWGCSKAHQDQEVEMLNFPPKWHDPSQDELSFANELLEFHFLSALENLWIICETKIHSETGEEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGRSNVVEPMFFIAGSAGSVVGNPEMREKAAELVHKACRYLLKERTDDSILLVLVVRVIDALVNYGSLEYKEWSSHFEDWKAESASVIEPSCNFIIPFHCQGKKRPRWALVDKTHMQNTWRCSQSSYHIYHTNADVSPSSLMINLMNDLLDLSIHNYETVRSYAGRSLTKLLNRWPSLKSNCVLTLTDNLRDSKAPEHMVLGSCSILSSETILRHLATDSISVSSFIMGILESSHHESLKCQKAITELFVEYNIHFSGISRSLFKNTECEADKPVFLSLVSQINALGFATNSLHWRYNLMANRVLLLLILASRSESDVYSQILAETAGHFLRNLKSQLPHSRILAISALKTLLQGSPDKASAQDSQQSLDCPEGGTLSTRGILNNIIREDGFMSETLNSLSHVHIISDNDDSSEKTYGASSFMSGSDQEITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQDALGEFLSSKEKSRQCVAAEAMAGILHSDLTGNLESENNWLMLQLQKIMLAPSLQSVPEWAACIQYAVTGKERSGSRAPVLRQKLLDCLCTPVPQSVASTVLAKRYSFLSVTLTEISAPNMSLAEEQYHVKVLDELFVNMSHPSGQVREAIGVTMCIACSNMRLFRLFRQENSKNISGDVIMTEQAGNENWSKQLTDGATELSVSIQNSISKYLELIPDSATENGLDKKEEIFHFIIASLKSGRSSVLLDVITGLIYPVLSLQVREHAAGVLASLIKAIDNDLSKDFRDRSYAQAQRILHTRQRAAKSGHSIATTHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIHEPSPIKSTVTKAVAEFKRTHADTWIIQKASFTEDELEVA >LPERR06G10510.1 pep chromosome:Lperr_V1.4:6:8232350:8250637:1 gene:LPERR06G10510 transcript:LPERR06G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFRLLLGNPWHNSAFEGVGFLRLFLPANSRNQDHFTIEWIAECLEIWGSITNCNFWDIQWASIIARCIKGSISVDWENFMPLLFTRYLNMFQVPISSGNGSYPFPLDVPRNTRFLFSSKTRTPSKAIAKSVVYLLKPKSLALDHFEKLVNFLEQFYHPSNGGRWTYSLERFLQYLVIYFARRLQHEQFEAMDDKTSQFCLGKEERAAFVKVVLKLLDRGQYSKDDSLAETVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRAILLSSLCSSQSDNSSTSDTFSDLIITSLSNALLGMDANDPPKTIATMQLIGSIFSNLAKVGYSDDVPAFLQTTSLSNWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEILLGKLSQSLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEEASLYLIKPILTTIMSSFEGTPTTGYVGREVPNKRATKATLSPALETALDYYLRVLAISISYAGPVLLNYRQELKNIITSSFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFSCQPIANIVEPWGCSKAHQDREVEMLNFTPKWHDPNHDELSFANELLEFHFQSALEDLLAICQAKIHCETGEEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGRSKVVEPIFFIAGSAGSAVGNSEMREKAAELVHEACRYLLKERTDDSILLALVVRIIDALVNYGSLEYEEWARHLQAWKLESSSIIEPPCNFIIPFHSQGKKRPRCALIDKAHLHNTWRCSQSSYHKYRTNADVSPSSIMVNLMNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVVTLTDNLRDSKAPEYVVLGSCSILSSQTVLRHLTTDPVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRSFFKNTECEANKTGFLSVVSQINALGFETNSLHWRYNLMANRVLLLLILASRSESDIYSQILAETAGHFLRSLKSQLPHSRMLAISALNTLLQGSPDKAPAQDSEQSLDHPEGGTLSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDMTGNLESENNWLILQLQKIMLAPSVESVPEWAACIRYAVTGKERSGSRAPVLRQKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPTEEQYHVKILNELLANMSHPSAQVREAIGVTMCIACSNMRLSRLFGHRNSPDLSRDISMTEQTGNENWSKQLTDGANELSVSIQNSISKQLESIPDSATENGLDNKEEADTKRMETIFHFIIASLKSGRSSVLLDIIIDLIYPVLSLQETSNKDLSLLAKSAFELLKWRILRRPFLETAIKAILSSANDPNWRIRSALLSYLRTFTYRHTFILSGSEKSHIWQTIEKLLVDSQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAERILHTRQRAAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >LPERR06G10520.1 pep chromosome:Lperr_V1.4:6:8251874:8253037:-1 gene:LPERR06G10520 transcript:LPERR06G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLFSAVRSNTCVLDRILLFHPTFCKKNARLQQIFCIFVPITQSLSPQSPILFLSPRSSHSPRRPARRESTGARGDAEAAQRRQRRLLRRNRSGRDSRWWCRNGAAHARLWIRWRPVLTGVRGSERGDGRITRKMRTCGRACEDRRSA >LPERR06G10530.1 pep chromosome:Lperr_V1.4:6:8254195:8256427:-1 gene:LPERR06G10530 transcript:LPERR06G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEGRKLLSAEEMIRMVPGPRADVTKYQKNVLPNSPIPSAIIDLFTPPSGKRKKELSFLKWGSGAKGAELDPELDQAKKKISRYNYGTSSNGHDRVHHDGHGDNRMVFNYEAVKLKMESLDTFWYSEINKNKFPHYRADGHDLLAKKFSRHIYSNQADQHNHLHYDNHDDNQMASIDEAMNLKKLDQAKKIISRYIYGNPVDGHDQVHVAKKKVSLYIYGNPTDGHDQAHRVGNSDNHIVFSEEAFKLTKKSSDLHHYSHSRLKEIGEKPKVDLDNRKFARYIYGNPANRPDYVHLAKKKFLHYIYGHPTDGYDHVHNEAKKGQVRFVINWSEGEERG >LPERR06G10550.1 pep chromosome:Lperr_V1.4:6:8285232:8294276:1 gene:LPERR06G10550 transcript:LPERR06G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLISLILLIGGSSGSSMAPAVAIPSPTAMDYWRKLFPETSMPSAILELLTSMPAAGEELKEVSVSYGPKGEEKESKFLPMKNRSNNKNENYFQKEELKEVSVSYGGEGEDSQKAFPTGQYKLDKENKKSLYNTKKAGLKEESVSYGSEFEEEPRKAFPTGQYVLDKEHENNLNTKKEELKEVTVSYGSKSNLYPTDYGHKKDIHSSTGLKEVSVSYGSDGEKEPRKEIPTGQYMIHSEHGKNLPANKGLKEVSVSYGSEGEDEPRKETPMGQHMLDKEQTKNQRANKQEVREVSVSYGPGYEHKKYIYSTEAGLREVSVSYGSEGEEEPRNAIPTRHYMLDMANRNNVAANKEELREVSVSYGPAHEHKKYIYSTKGPKEVLVSYGSEGEAEPRKVTPTIPYMLDKEHGKNVWTDKVTDELREVSVSYSPGFEHKKYIYSTEARLKEVSVSYGSGGEERPRKASPTGQYMFDKEQEKNTQANKEELREVFVSYIPGYGHKKYIYSSEGGLKEVSVSYGSDGEEEPKETFSVGQYILDKEHDNSMRTDIDGSSTPHSRPQQQRHRRRLLLPRRPAPGVHHHADHPANHHPAAPPPSPRRRRHPILHRPLRRHRRHVRAGVARHRTRDPVGARHVQPTHTGPRRRQRRVRHLPRVPRRPRRVAPRHP >LPERR06G10560.1 pep chromosome:Lperr_V1.4:6:8313013:8319050:-1 gene:LPERR06G10560 transcript:LPERR06G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSIIPSTMAEAPRSKPCTGHDDFLPNRIPDVNYVRVLDTTLRDGEQAAGAAMTSTEKLAIAQQLSKLHVDILEAGFPASSPDDFNAVRLIAMEVGNKPMGDDGHIPIISAGSRCNKQDIDAAWEAVRHAKKPRIVVFIATSEIHMQHKLHKTKEQVVAIAVEMVAYARSLGCLDVEFVAEDASRSDREFLYHIFEQVIKAGASTLDVPDTVGYNLPNEYASLIADIKKNTRGIENAVISTHCHNDLGLATANTLMGVAAGARQVEVTINGIGERAEIASPEEVVLAIKCRRSSMGGIYNGINTQHIMKISKMVEEHSGLPVQPHKAIVGANAFAHASGIHQDGILKHKETYEIISPEDIGLVRSNEFGIVLGKLSGRHAVKNKLKERITDEDIKTLLSNKMVQPSTEL >LPERR06G10570.1 pep chromosome:Lperr_V1.4:6:8331519:8332437:-1 gene:LPERR06G10570 transcript:LPERR06G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVAYGLAAPLLHRHQSAPSRSHSSAVPHGGNIGSRGLIFWCGEGAKLRSKFTDGLSAQKKSPTGGASM >LPERR06G10580.1 pep chromosome:Lperr_V1.4:6:8336898:8342652:1 gene:LPERR06G10580 transcript:LPERR06G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVSLAVSLLLVGGGERSSLQYAGFAAAAQTAASGSETPSAYWQTVLPGVPMPPAISDFLTGADQGDKTKLLMEDVKNMKKHKIQSLKEQGLGGRKLQNNYIYKNEEKATISYKPQSKDDQKKVTYNLYNEDDQKKVTSSYKPMGEENRKRVTYGLYNEDGKKKVGAPSIENKKKVKYGLDNENKQKKVAFSNKSLSKKDQSKFTYGMFNEDDQNKVTFSYKPLSKEDQKKVSYDLSNEDDQKKVTFSYNPLSKEYQKKVTYGLFNEDDQKKVTFSYKPLNKEDQKKVTYGLLNEDDQKKVTFSNKPLSKEDYKKVTYSLFNEDDQKKVTFSNKPLGKDDQKKVTYDLFNEDDEKKVTFSYKPLSKEDQKKVTYSLFNEDYQKKVIFSNNPLSKEDQRKATYGLFNKDDQKKLTFSYKPLSKKYQKKVTYGLFNEHDQKKVTFSYKPLSKQDQKKVTYNSYNEDDQKKVTFYKPSSQEDEKKVTYGLHTEIDKKEVTFFYKPHDDVDQQKDTFSDKKPDDEVGKKVTFSYSPENKGEGQDHDNGHSSLHSHRLADVFFLEDNLRTGSVITPTIPRTTSLPPLLRRDVANSIPFSIDHLSDILSMFAPASLAIADEIRWVLEKCNTSENKKNNKAAAAAGCATSMEDLVELPMSLLRTRHLQAFSPKMPVVEAASNEPRGRYAVTSLRRIVSGEMVTCHDMTYPYAVFYCHMTGPATRAYTVALASENDGAEPAAMEAVAVCHLDTSGWSPEHPFLHATHAKPGDVEACHFLPKSSMVWVPVLDQPGEGRAVTK >LPERR06G10590.1 pep chromosome:Lperr_V1.4:6:8343881:8347537:-1 gene:LPERR06G10590 transcript:LPERR06G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTPLVHQRLALLDSSSGSDKDDDFEPGAVAIDYDDMDDVVVVGAAPLKVPVKVQNNCVSAQECRGSAMERAKELQANLQAEYPSFIKNMLQSHVVRGFWLGLPSDFCNKHLPKNDTGLVLEEANGNCHDTTFLGGKQGLSAGWRGFALKHDIIVGDVVVFQLVYIVRETNLTTADGVLGLMSFATRKKRKISTKEKRSDNFKSEDPKTCRNSTKGDHNDNQNLVSEAIDGIRFSKSEISFDDVTSFSNFNIIVDGLVIDCKFPDHERMMYYEICCSQKSFLHNHLLKHLNFKLVVGVIMETIKIAEGIRACKADNSSYDDFLVWKKTLQSFELLGMKVAFLIKRIDDLLCLPAQPRDPSECSKYKDIKLARSRAREKMKALESKFSSLTDELKKMDDEMEELESSVRKHDIALKKIATAPW >LPERR06G10600.1 pep chromosome:Lperr_V1.4:6:8354127:8363016:1 gene:LPERR06G10600 transcript:LPERR06G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGAEQEERCSKKKKGKRTEGDEEVVKRDSCTDAPGSSKGNVVMDVEKAKEGNTRKKKKKEKGREKDKKSKGSDDNIKVASAETEHAEGDMSRCSVDEDKSIKKDNKSKKKKKIERKAEMSGKEQVRVGSTDENAGLEHADVDMGDKEQGTKSKKRKRKHADDEPAEHVFAHEEIVTNIDKKTRKEHSVEFEGDQVNASKMVVKTKGNKKRINESDKFNPDISIDKLTRGDDKNGKKRKKNGTLTKGDEVGQDGKNDKKKRKSKEGDEVGIDGENDKKKKKSKEGNGGRKSEKERATWSKDKVRRVSFADSVEVFTMNGDEDKENDNSAESEVVHGVRFTPEENATLLEAINNYIEMKQLGENGLDMIRASGKHPELKGCWAEIAKSLPHRPIQAIYKRARILLFRSDERKWTPEEYEKIRRHVEQNGTSWISLAQQLGKSEIHLKDTWRRIKPKNLKAGPWTQDEYQNLFDLVNLDLRVKAHQKYNAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYHQLASPLVQKGVWADTDDYRLVETLQNVDAVCIEDVDWDNLLDHRSGEVCRKRWNEMVRNIGGHREKPFIEQVEVLSKRYCPEMLDYREGEA >LPERR06G10610.1 pep chromosome:Lperr_V1.4:6:8367937:8369655:1 gene:LPERR06G10610 transcript:LPERR06G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLVFLFFLFLFDGVLQVMGGSMTRSLLQAKKSCPISIEYQNYSIITSKCKAPQYPANLCCAAFTEFACPLSQYINDESTDCGDSMWSYLNTHGNYPPGLFSLECQGGKDGLPCNGSSSSNQTSGGSSTQGVNGISEVYSLVITLTVFGLGMLMLY >LPERR06G10620.1 pep chromosome:Lperr_V1.4:6:8380952:8382229:1 gene:LPERR06G10620 transcript:LPERR06G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQMDEFWMDRQKDIEAIEDYSEHAIPMARLKKIVSSQKGNMMMTFDMPAFLSKMCELFVQELAIRAWACAQSYNRCIILETDIAQAVASTESYDFLVDVLHKHRVKHNSSPYPIITTKRCRLVDQPSTSHLPHQQQLSQFAPTYTPSIPKNPSLMPQISQYRPFSFPSFISTTPIVNGPILSIHNIARSLGLQENNTNAVTDNNVQDNIVDCSSPTILANVMNPTLLSPTGSPLDIPDSQSSMRMMGMINSIVAGGSSTSNIVVANEESLALPGHFKSPFLLQSPCPTFLPGNNNDMDVVIPERIDINDTMHVVSDVVDATVTVLNDQQERHDKETNVEYHEQNGIYERVDAEMVNANTADGDKCNISWDELGMAEDSLLDMFLDELQERRDDVLDARIVLNENPCLDETMFSNPNTSNVNN >LPERR06G10630.1 pep chromosome:Lperr_V1.4:6:8408439:8408645:1 gene:LPERR06G10630 transcript:LPERR06G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRNPKERQWLTMELRHNLKERRRLTMDLCHNRKEKRMIMELCSKEKRLMLRPMKILMTAVVVLAR >LPERR06G10640.1 pep chromosome:Lperr_V1.4:6:8409002:8409631:1 gene:LPERR06G10640 transcript:LPERR06G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATVGAGAGELATGIMSGAEGSRLVLHDEREAQRKGKRKMKELRGAKSYEDGASRKEKRRPYMCKHCNEEFSTHQALGGHMAGHHKEKRILKEKLLGRSLVLEEQPERSLNLKEKQPERGLILGEKQPERIKVLKEKQPERSLTLEEKQPEVYQDKIDQIMNSQETTSNEGAAYLDGGSNTEPNAEPEEDRRLPYDFDLNLEASEQE >LPERR06G10650.1 pep chromosome:Lperr_V1.4:6:8409915:8413838:-1 gene:LPERR06G10650 transcript:LPERR06G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVPPLVALLFGSGWDGQGNGKACIARYSNNYKYGNQNTEQLLVSFQLIGRTDEAVSIIEAYLRANRMFVDYNEHGQTAELKQETWEYGTVVIAAITSCTNTSNPTVMICPSLIAKIAWELGLEVKPWIKTSLAPGSGVFTKYLLRRAPILNTRGIHDMVIRAKLVLRLSLATASCTALIPWEGLLNSQWFAELLNNLGFHLVGYGCATCIGNSGYLDANVAGAITVNGTHILSLEVWDSYY >LPERR06G10660.1 pep chromosome:Lperr_V1.4:6:8413874:8414062:-1 gene:LPERR06G10660 transcript:LPERR06G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNSEERVPGGRVGGRRETWGPTAFSSFLPSSSPRHADARPVTRVFLRRAARRGAADETY >LPERR06G10670.1 pep chromosome:Lperr_V1.4:6:8418208:8424494:1 gene:LPERR06G10670 transcript:LPERR06G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKEYVDDLTTSSDTE >LPERR06G10670.2 pep chromosome:Lperr_V1.4:6:8418208:8424494:1 gene:LPERR06G10670 transcript:LPERR06G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKEYVDDLTTSSDTE >LPERR06G10670.3 pep chromosome:Lperr_V1.4:6:8418208:8424494:1 gene:LPERR06G10670 transcript:LPERR06G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKEYVDDLTTSSDTE >LPERR06G10670.4 pep chromosome:Lperr_V1.4:6:8418208:8420690:1 gene:LPERR06G10670 transcript:LPERR06G10670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKEYVDDLTTSSDTE >LPERR06G10670.5 pep chromosome:Lperr_V1.4:6:8418208:8422034:1 gene:LPERR06G10670 transcript:LPERR06G10670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKETMELSESNVQTIEVMELSIKEK >LPERR06G10670.6 pep chromosome:Lperr_V1.4:6:8418208:8420281:1 gene:LPERR06G10670 transcript:LPERR06G10670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRLLLRRRPLRSAAAALVTLCDSWAGLRGAGSPRDGALLEPVCGVSGRWMDGRRWWFHQPVRHGSTAVTLNTDGGFARFSVGGDAAAKEKLGRQPPGKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVHEYAEELTRLSKGTVIDIKPNNTIMFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNHGETSETISSKEYVDDLTTSSDTE >LPERR06G10680.1 pep chromosome:Lperr_V1.4:6:8422561:8427703:-1 gene:LPERR06G10680 transcript:LPERR06G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPLLLACSLLFTIATPIRDVTNACTSQINDFQHLNNSSGLHLTLHHPKSPCSPAPLPSDLPFSAVITHDDARIAHLASRLAKNTNPSSSRHGHRKKNATLASSSSVPLTPGTSLGVGNYVTRIGLGTPATTYAMVVDTGSSLTWLQCSPCAVSCHRQSGPVFDPRASGTYAAVRCGASQCGDLQSATLNPSACSASDVCIYQASYGDSSYSVGYLSKDTLSLGAGGGDAVTFPDFYYGCGQDNEGLFGRAAGLIGLAKNKLSLLYQLAPRLGGYAFSYCLPTSSASASAAGYLSIGSTSPGQYSYTPMASSDADASLYFVRLSGMSVGGAPLAVSPAEYGSLPTIIDSGTVITRLPTGVYTALSRAVAAAMEARGAARAPTYSILDTCFRGAVASGLRGAVPAVDMAFDGGATLRLEPGNVLVDVDDDTACLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >LPERR06G10690.1 pep chromosome:Lperr_V1.4:6:8432303:8437607:1 gene:LPERR06G10690 transcript:LPERR06G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTGIRYTVQRNISILNDAMWAKLVPGKAVATPVISYILNKAFTCLDNYWRAEDMESMKAELLKMLPHVQAVFDAVHWDNIKEQSLALDAWLWQLRDAVEEAEDSLDELDYHRLKEDVKARDEQEETASVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGIKKFMGLVNKAGVVNHFMDYELHKCKQFETSSRSTAIEVFGMDKEKDLMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQHIYNEKIVQNCFDIRLWVSVSSHFDAPTIINHIIEAISKKVPSANTLEALHAILEDRLISKRFLLILDNVWNDNDMNEWEKLLAPLRVGGPGSIVLLTTRMKSVGDMAGYALGLKVQHLKLDGLLEKDILMLFNKHAFNGLSLDYCRNFHSLGEHIVKKISGCPLAAKVIGAHLRDNMSYIYWKKILQEDLQNLQVGMDGVMKVLRLSYHYLPANLQLCFRYCSIFPQGHRFGKKELVEMWLGSGMILQTTDETKAAEDIGGQCLDQLARKSFFEFTSEETDGVVLEEYYVMHDVLHDLAQVVSSGECLRTGDIRSVKIAETVRHMSVKIVNPVHLKDLCHLNNLRSLIIEFVEDEPSTNYSIAFNDILKSFRSLRLLCVTAKCWIDMPDAISKLIHLRYISLFSTKRSFIVSMHKMFTLYHLETLKIMEFSETKRLKLNGMSNLVCLRNLHVPYDTISSIPRIGKLTCLEYLNAFTVQKKNGHTVCELKNLAQLRHLRLRDIHNVNRREVLGASLKEKTQMRTLCLHWSCHEVVSANTDDQVLDDFQPHSGLEELSIVGFSGTKIPFWLTNSYLENIVSLKIINCGKIEYVPSLAGLCSLRSLSLEQLPLLTSMGNLLHRCGEIPIGCSHSLISEGTYDIDWDSIFFPSHLYTLIIRRCPKLMELPTLPMRLKHLKIVSSGLMILPKMYQNYYNIEGSLSSLNESQLTNMIIEECPNLTSFAECFLEQNIFQVSLRELHINQCKQLEHLPPNGFVELVNLQILEISDCPMLKDSGMEVKLLPSSVEQLIIGSSGKLENMLIGSLAGLKALSNIYLYQCTHLTSLPSASTFETLTALRVLKISSCPNLSSFGGLQCLEFLRCLTIRSCRSLAKGFSSQSPSLCQSRQESFMKNVLKIDTISIDDHSLLFMEPLRSMHFTRELSITDDPVMTSLPDQWLLQNRLVLQNLVILNAKTLQCLPSSLMNAALRTILLSTCKFFQFQHLLLFLWANAPKQPGKWKDSL >LPERR06G10700.1 pep chromosome:Lperr_V1.4:6:8449442:8451083:1 gene:LPERR06G10700 transcript:LPERR06G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVPTVFFILLLLAPHLGSSYHINTYSHGGCHYVVSSPDDPRRPETKIEACPSTFSARSSSTSSSSNRLPVVHRSSPCSPLGAARNHDKPSANNDDVFHSDALRLRSLFQVCSTKYGPAPLPTPGDDDDLTIPTTGNPLGSLPGAFEYHVTVGFDTATAGATLLQCKPCAAAGASPTCDANVGNSPTLKV >LPERR06G10710.1 pep chromosome:Lperr_V1.4:6:8451741:8452448:1 gene:LPERR06G10710 transcript:LPERR06G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTVDQSSGILDLSRDSHSLASRAPSSPDTVAFTYCLPSSHDATGFLSIAATRPELTGADVTYADLQSSATHPTRYVVKLAGIGLGGPDLEIPRAALAGAECDSLLDLHVTFTYLRPEIYSILRDGFRGRMSGYRAAPPVGELDTCYDFSGLTFYLVPTVILRLEGGVSLELGLDQMMYFPDHGNFFSVGCLAFAAAPSGATAVAVIGTLAQASTEVVYDVSGGKIGFVPFRC >LPERR06G10720.1 pep chromosome:Lperr_V1.4:6:8458162:8459433:1 gene:LPERR06G10720 transcript:LPERR06G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHRRGPCGSPLGGARSNDNEHPTADDVFDRDALRLRSLFAARQGGVQAAAEEASLTIPATSTPISVAPGALEYRVLAGYGTPVQRFNVAFDTNYGASVLRCKPCFASAAPCEPAFDPFISSSFAAVACGSPECSAECTDGSSRCPFTVQFGNTTVANGTLVRDTLTLSPSATISGFTFGCIEAGADALTFDAAVGLIDLSRNTNSLASGGFLSVGASRPEYNGSDIKYAPMSSNPNHPNSYFVHLVGISIGGEDLPVQPTVFAAAGTMLEVATEFTFLVPAAYAALRDVFRREMAQYPPAPPFRALDTCYNFTGTKELSTPVVALRFNGGTVLELDAPQMMYFTDPSDVFSSVGCLAFAAAPLPEFPVSVIGTLAQRSTEVVYDVRGGRVGFIPGRC >LPERR06G10730.1 pep chromosome:Lperr_V1.4:6:8470563:8472472:1 gene:LPERR06G10730 transcript:LPERR06G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCCHFLASVLATLVTIGVLHRYIVQKKKNSEASLPRPPPGPAGNLLLGNLLYVIGPLRHNPHRGLASLAKTYGSILSLRLGLTRTLVVVSSYDVAHEALTKNDAALAARLVPDNVCALSYGTTSMVFLPSSNPLWRQLRVIIGAGFSSSRGLNVIRPILERRARQLAEYLRECSGSGCPVNIREVVNGTVLNVISNVLFSEDVVDLRVQGTQMFKGLIVPVLEEWSKPSICDAFPFFAPLEHLLGSRRRISTHLAKLYNFFDQGIIKRRLASCENHNDLLDVLLSRHAMSKLTRQEITTFLTDMFIAASDTSTVTVQWAMAQLLRHPDKMKKVTFELAKQLGSKDFVMEGDLTMLPYLHAVVKETLRLHPAVPLIPREVVGDDVSLGGFHVSNGTGVVINLWAIGRDERAWLHPEEFIPERFLVGEEVHLTGQDFIYKPFGAGRRVCPGMEYTTRSVPLLLASILHKFEWRLTDGMAPEDMELNDRYGTVLNPATPLLVVPV >LPERR06G10740.1 pep chromosome:Lperr_V1.4:6:8491413:8491845:-1 gene:LPERR06G10740 transcript:LPERR06G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGISVDGRKKLPIPASAFADGKRIDCADTAATRLSRACLLGCAFGVPGSQVVPQPDGPWPDTCYNLKGYSNVTVPTVSLGGATMRLDVPNGVLVDGCLAFRAVNERTFEVLYDVDHSRVGFRSGSC >LPERR06G10760.1 pep chromosome:Lperr_V1.4:6:8508339:8508659:-1 gene:LPERR06G10760 transcript:LPERR06G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWFYQMNLTGISVARCPLDDIMPAVFSQGVTNDSGTIVSSSRLCRRRPTPRSVPRSGPPRRRTRELAPLVGHLDTCYDFTGHDSVTVPTVALTFGGGRRCHRGA >LPERR06G10770.1 pep chromosome:Lperr_V1.4:6:8510194:8511866:1 gene:LPERR06G10770 transcript:LPERR06G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIGASKAKLLFGRSWSESEELCSGANQLLRTRSNLLCIERGKPSLAERLRRHHARANYLVSKATGRRTATTTTQSDADAGDNSASIPTFLGDAVDSLEYVVTLGIGTPAVEQTVLIDTGSDLSWVQCKPCGAGDCFPQKDPLFDPTKSSSYASVPCDSDACRKLEAGSSGHGCATIGGASVCEYGIEYGNRATTTGVYSTEKLTLSPGVVVDRFGFGCGDDQHGAFDKFDGLLGLGGAPESLVSQTSSRFRGAFSYCLPPASGGAGFLTLGSSPSNTTNAGFSFTPMRRIPATPTFYVVTLTGISVGGKPLAIAPSVFSRGMVIDSGTVITGLPATAYAALRSAFRSAMSEFRLLPPSSDGGLDTCYDFTGHSNVTVPRVALTFSGGATIDLAVPSGVMIDGCLAFTGAESDDITGIIGNVNQRTFEVLYDSGKGAVGFRPGAC >LPERR06G10780.1 pep chromosome:Lperr_V1.4:6:8513085:8514119:1 gene:LPERR06G10780 transcript:LPERR06G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAHGGRRRPALPCGVRLRLCLLEFAIDATQRRDGSVNRPLFSLLLDRRTAADPRPDAATGVSSRDVTVDASRGLWVRVFSPPPVPPSPTKPCPVIVYFHGGGFTLFSAASRPFDAHCRTLCAGVGAVVVSVDYRLAPEHKFPAAYEDGESVLRYLAITGLPDDVQAVDLSNCFLAGDSAGGNIAHHVAQRWTTKNPPPPDDNPVRLAGIILLLPYFGGEERTKAERAMEGVAPVVNLRRSDRWWKAFLPEGADRNHPAAHVTGDGAGPEPELNEAFPPAMVAVGGLDSLQDWDRRYARMLRQKGKAVRVVEFPDAIHAFYFFHRRHNAHFFTTSRKTSCFCI >LPERR06G10790.1 pep chromosome:Lperr_V1.4:6:8516285:8521631:1 gene:LPERR06G10790 transcript:LPERR06G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVATATVAVASTTRPHRARSFVSSRLAVPRDSCSFVPSALSSASRGPQRRRLPVTSAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENILINYVGPKHVQDATIEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVVVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEKELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPETFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTLDQVQERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQQSVQDYLESERENINRIIKQMLAVGEIFKAENLQYSTEQLVKEVESSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCIVEYIRQ >LPERR06G10790.2 pep chromosome:Lperr_V1.4:6:8516285:8522446:1 gene:LPERR06G10790 transcript:LPERR06G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVATATVAVASTTRPHRARSFVSSRLAVPRDSCSFVPSALSSASRGPQRRRLPVTSAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENILINYVGPKHVQDATIEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVVVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEKELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPETFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTLDQVQERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQQSVQDYLESERENINRIIKQMLAVGEIFKAENLQYSTEQLVKEVESSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCINVVGSRNKT >LPERR06G10790.3 pep chromosome:Lperr_V1.4:6:8516285:8521631:1 gene:LPERR06G10790 transcript:LPERR06G10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVATATVAVASTTRPHRARSFVSSRLAVPRDSCSFVPSALSSASRGPQRRRLPVTSAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENILINYVGPKHVQDATIEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVVVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEKELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPETFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTLDQVQERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQQSVQDYLESERENINRIIKQMLAVGEIFKAENLQYSTEQLVKEVESSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCIVEYIRQ >LPERR06G10800.1 pep chromosome:Lperr_V1.4:6:8525067:8527418:-1 gene:LPERR06G10800 transcript:LPERR06G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGNDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIDRYPTATAPLSIEKNTQKVLES >LPERR06G10810.1 pep chromosome:Lperr_V1.4:6:8531932:8536864:-1 gene:LPERR06G10810 transcript:LPERR06G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEQGEVCGVCGHRPPATAMAAGAQAQAAAPRQQDSAFPSEILKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDEKTLQFDDAIQFLELCERDKARVLVHCMSGKSSICDSLLDEDQRLETCPVFPMGERKETATAQQQLVEYEQKLFNSTLSIPAQSFVQTDSFPSLGFGFPKPSGDIQLM >LPERR06G10810.2 pep chromosome:Lperr_V1.4:6:8532324:8536864:-1 gene:LPERR06G10810 transcript:LPERR06G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEQGEVCGVCGHRPPATAMAAGAQAQAAAPRQQDSAFPSEILKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDEKTLQFDDAIQFLELCERDKARVLVHCMSGKSSICDSLLDEDQRLETCPVFPMGERKETATAQQQLVEYEQKLFNSTLSIPAQSFVQTDSFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPNNIPSNFTFGAERTTEVKLSDSNNFGVVNSSGGDSMMDSS >LPERR06G10820.1 pep chromosome:Lperr_V1.4:6:8541972:8544049:-1 gene:LPERR06G10820 transcript:LPERR06G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASNFDHVSQILHNEEECCCLSPHAINRLNELLQQNPSDELPKESSILTKQIISMNEKLHVLYLGAISRLPTDALRIRYHRSLIQAGHCFSPARDPNPISNIILNTIWYDTAFPPHVELKLDMISTMGLARIDCRSLNGLLAFLCKIYPHMSQYDAMLHLLRGNSSLVDLDKIKESSCSYKEACEAAAKAARHPHPVEQVEFVVSTCHPLLNMFDSFGVQLTSNELSLISHYFSHKSYPEKPATSVVKLTPGAAAIVSHSHQKFMAIQHFICKKVKAALKRYAAIKGARYKLHIICGVNLDVPENGKHGCFWNHQGYPYAHILMTQLPSFFSTSNSEEDLDGSLLCSIVQNSPAISGRCFHCEYEGTKIVHPAFETYHGRESDFEEMACGRRDVDNEELIAFGKLGSEHMGVLHDDSVYFDPARDSDFVKWMNWLDKDEGEAWPSKLESLCTNIIMAS >LPERR06G10820.2 pep chromosome:Lperr_V1.4:6:8541970:8544049:-1 gene:LPERR06G10820 transcript:LPERR06G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASNFDHVSQILHNEEECCCLSPHAINRLNELLQQNPSDELPKESSILTKQIISMNEKLHVLYLGAISRLPTDALRIRYHRSLIQAGHCFSPARDPNPISNIILNTIWYDTAFPPHVELKLDMISTMGLARIDCRSLNGLLAFLCKIYPHMSQYDAMLHLLRGNSSLVDLDKIKESSCSYKEACEAAAKAARHPHPVEQVEFVVSTCHPLLNMFDSFGVQLTSNELSLISHYFSHKSYPEKPATSVVKLTPGAAAIVSHSHQKFMAIQHFICKKVKAALKRYAAIKGARYKLHIICGVNLDVPENGKHGCFWNHQGYPYAHILMTQLPSFFSTSNSEEDLDGSLLCSIVQNSPAISGRCFHCEYEGTKIVHPAFETYHGRESDFEEMACGRRDVDNEELIAFGKLGSEHMGVLHDDSVYFDPARDSDFVKWMNWLDKDEGEAWPSKLESLCTNIIMAS >LPERR06G10830.1 pep chromosome:Lperr_V1.4:6:8544071:8544636:-1 gene:LPERR06G10830 transcript:LPERR06G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSSSSSSLMAESDCGINVVSNLLELIHGYYKAALDRLPVEEMPVLIPRLLDAVSNIIANTVCTHEGNRKRKRNPGEKEREEAMSEIIKDGSSITYLPPNLREGNKIGKTRSLEGLVTFLICYFRHLPVSEALFYLLIAKANLLDAVRFIISNRCIIQHEISSPTTEISLRCAAISVAP >LPERR06G10840.1 pep chromosome:Lperr_V1.4:6:8558731:8561880:-1 gene:LPERR06G10840 transcript:LPERR06G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEITCGSLLQKLQLVWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFINVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVNMVHDLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHKKILALIEAGNIEPSELIADMDGEISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPVFANVMNGFILALVETLVAKTRAWEESRGMSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASAKKVPGAKSNGSANGTPPNRRLSVSGQQNGSHGARSGGKDGKRDAAKTASPGNVATAKEDASSHISGTDPVPSTP >LPERR06G10850.1 pep chromosome:Lperr_V1.4:6:8568720:8573355:1 gene:LPERR06G10850 transcript:LPERR06G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLKIPQKERTKTTNSLLISIMNQLEKDKKSLTLGPDDYLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFSELQPDIEQKQKYAIWKAAEIRKALKEGRKPEAGPPGEEKDEAPASTTTISHDMGRNQSFGSGQHGSEASSQHVDKDFVKRQSTDTYSSPPPQSQFPSPAQSSYSSPSYQGTDHPSDVHKPPHNYSSAPYTAPDYPTNEVHKPPSNYSSPPYTRTDYPSNDSYNPQSNDKPDVSAYPYSHHQPPYTIEPQHTSQNYYSTETPPAPYNYSNFQSYPSFQDSSVPSVPTNQPSFYSATSSDGTSAVSYSPSASNYSAPTQYHSSADNTHQVTPPAAAPPTSQYKYDSSYQPEVEKIAEAHKSARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >LPERR06G10860.1 pep chromosome:Lperr_V1.4:6:8578524:8583320:-1 gene:LPERR06G10860 transcript:LPERR06G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADASFLATALATISSPNILKQTQLNEPPSVKADSASSIVSPPSVGSTDIKVEKGVNCPNCTHNGGTMIYSFITGIFHPLADFPTSPVNAAIIGQANKSAKHTATMSSTNTEGSSSDAGTPQSQPGASFIQQQPIFPNHNAIAELVQQVLHQPVNNPNWTPPSVEYMHGQLDCQVCKVSIIDTQSVIVCDACEGGIHLKCLHHDGNNVLLKAEWYCPTCVARSKGKSLPPKYGRVIRTVDASKVNFTSGVTSQGVSPTIKDNSQELAEDETLFNMNDFFMGYAEDVAASYIIGCSDVCWVGYPLKVAANKTYYSSCNVDDISYNLEDHILIASKDKEVAPFKLQSLWEEHDSRSMMASVSPYLFASDIPESIRKPCTAEENEVFASYGLRTVPVSMICGPCEVLHVDKFQEVSKRSQVVSSKPHPIFLCRLGEEATMRLVI >LPERR06G10870.1 pep chromosome:Lperr_V1.4:6:8585851:8589944:-1 gene:LPERR06G10870 transcript:LPERR06G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLLLPILSLLLLSSGASAAGAAHEAHVSAVVAEKGLDFAKEVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAASNITLFHLDVGNDSVIHPGDSALVVVASGISANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNSNGSLALSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDATSKLDSLLQSLPRSVNLDNVSALNMTFVHDPQYGNSSIEFDINGLFSSAIAKISNLQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDAMLLNISMASSPVMKITSEKIGATINADMIIDVLHGKETIPVACISVVVSASGVAEAAGNKVYGKIELDDFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDVYVLTSAEQLTLCSDVTFANASSLAES >LPERR06G10880.1 pep chromosome:Lperr_V1.4:6:8590848:8595853:-1 gene:LPERR06G10880 transcript:LPERR06G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQSPHMNPGEGEASYARNSAVQKTVQESMKTLIEEAVTGLFTTRNSYLVKNMVIADLGCSSGPNALTLVSAAIDAIHHHCVQQAKLPPKISVFLNDLPNTDFNTVAKSLATLKHSHEDFSYPIVITGIIPGSFYERLFACGSLHLVCSSNSIQWLSKAPDYLKESKTPMYDSDESLRLSRRQTVRDAYAQQFREDFTLFLNLRAQEMVSRGRMVVSLYVRCSDKPDFEFTQPWIPVMVALSDMALRDMINKEKFDSFHLPLYCPLDHEVNKIIEDEGSFEINRTLMHDPYGVMDKALINPRMMALWTRAAFEPLIVQHFGSSKEFMDEFMRTLELHLTSWGMEAVLSSEYPLAFMCLSLIRATY >LPERR06G10880.2 pep chromosome:Lperr_V1.4:6:8590850:8595465:-1 gene:LPERR06G10880 transcript:LPERR06G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQSPHMNPGEGEASYARNSAVQKTVQESMKTLIEEAVTGLFTTRNSYLVKNMVIADLGCSSGPNALTLVSAAIDAIHHHCVQQAKLPPKISVFLNDLPNTDFNTVAKSLATLKHSHEDFSYPIVITGIIPGSFYERLFACGSLHLVCSSNSIQWLSKAPDYLKESKTPMYDSDESLRLSRRQTVRDAYAQQFREDFTLFLNLRAQEMVSRGRMVVSLYVRCSDKPDFEFTQPWIPVMVALSDMALRDMINKEKFDSFHLPLYCPLDHEVNKIIEDEGSFEINRTLMHDPYGVMDKALINPRMMALWTRAAFEPLIVQHFGSSKEFMDEFMRTLELHLTSWGMEAVLSSEYPLAFMCLSLIRATY >LPERR06G10890.1 pep chromosome:Lperr_V1.4:6:8606587:8611019:1 gene:LPERR06G10890 transcript:LPERR06G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLERRLYSLLGLLLLLAVIYLSWFPTTQDGGGGGGGGAWVKLPVPWLQPRMAFAGRSGTHFVDNGTGEPLYVNGWNSYWLLSARSPALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNFGGKAQYVQWAQSAGANVTNSTDSFFSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSSHHLQSWIAEMAAYVKTLDTNHLVTVGTEGFYGPGSAERLGINPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPKASLEEKVRYLSDWVDSHVNDSEHVLKKPVLFSEVGYLQHSDANSTVDREIILRTVYDKIYDSARKLQAGGGALIWQLMVEGTHMYGDNFSVVARDHPSTYSLITEQSCRLQRLYGKGDPEWQCSIPP >LPERR06G10900.1 pep chromosome:Lperr_V1.4:6:8613009:8615840:-1 gene:LPERR06G10900 transcript:LPERR06G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQSLHMNPGQGETSYAQNSVLQKTVQDRMKTLVEEAITGLCTVTNTPHPKNLVIADLGCSSGPNALTLVSAAVDAVHRHCVKHAQLPPEMCVLLNDLPDNDFNTVAKSLATLKHSGEDLTDHSIVITGMVPGSFYERLFACGSLHLVCSSNSLHWLSKAPEDLKKNGIPMHDSDSDEQLRLSKSQIVVDAYAFQFRMDFMKFLSMRAQELVPGGRMVISLLVRCSDKPAPEFTQPWTSVVMALSDMRLRGVVSKEKVDSFYIPMYSPLDSEVNKILEEEGSFEIDKMLMHDPYAGLDKALVNLKMVALRIRAVFEPLIVQQFAPSEQIMDDFIGALERHLSSGALEARLSGEHSFAIMCLSLTRAI >LPERR06G10910.1 pep chromosome:Lperr_V1.4:6:8617750:8619797:1 gene:LPERR06G10910 transcript:LPERR06G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRFFRWPWPPTIGRVAAIPFSVSGETFTMPPAPRGICFGRLKASFTIRKGRPDLVIYPCCIGRSIQALLARPGKVLSVFPPEPYEPDGYEKFYVGELRTHGNEAALVELDDFELSNKSWFLGPLQSFCARIKGQRWDEDFGKKPYRVNYHDMLKKKFLMLTPDKFGPVHSWVDLGGVLVTRYPRLNHDASL >LPERR06G10920.1 pep chromosome:Lperr_V1.4:6:8641575:8644265:-1 gene:LPERR06G10920 transcript:LPERR06G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNVEDPDPLIASLSSTKFMQNYVEPQVTAGTSVHDNSNLQNKETASFEASMQEELPASLIGNKSNLQEILTEQKAPISDSSSPPSEVNSGLVSARDPHGSPMTSNTHAESTEANSDSTTILPLEENTHSTSETYDRPSKVVQVQTTPLDNIQDDAFCSYSTKVVCETPPALPEKVKAQKPQLMLRFHERQMSLRDTRQKAPAPLNRSNSGKYFRTDNTFVDTTTHIESVKVAASRFGGSINWKTRRTESEQESGRVILELDRLKKEISECKAKVEAAEAAKVSLSNEYEKTKRLIEGLQHDLEKAQEEEIYANLDLEFFLLIVHEMEEGVTSDDSVIGWDQKLNMIKEQYNAVLTNLKLVKDESRKVRENYETLMVERDIDIEKSQVAVAMSKDAARKVEELTVELNKLEAELELAHSKCQDAEKSTIGTTLARDEDSLKWESDDLRQAEEELNQLVKKISSVEEMKSMLDTSTGLFLELKTELASYVKDNPNEEEKEYNTERSMHDKVILPTRDLEECLMSIDKVRGEVCALNVAAASVKTELTKEKAALAAKQQMEAMSSITAASLKVEIQLAQRELEAVQAKEKESRNGMLGLQKIMEDTANEADAAKSTARKAQEKLTKAREDMEHVKAGLNTMEFRLQSVLKEMETAKESEKAALAALRSLESELAVDVEEQGSQMITLNLNEYESLIEKSVQAEVPVHEKIADAIAQVKIAKESETRVLSSLSETFKVLEQRKQALLAATERAERATEGKLAMEQELRKWREENEQRRKAGEALKSEPKPSSTSVIIAERSGHTKDDSYASVHPLLDLSAKSSPNDSALLVKMKKRKKLSFFPRIMMFFARKRLRAVK >LPERR06G10930.1 pep chromosome:Lperr_V1.4:6:8646628:8649594:-1 gene:LPERR06G10930 transcript:LPERR06G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHHRFPRFFKIPSFQPKPNPTLTKSFASSTPSRLNPPVDALARSLPATRSAKCLTKLHALLAVTGAIRDASVVAGAVERYLFFGKPASAAAVFAGFYRRRPMVYDLNIAVRCFSDHGFHGELLGLYREVCAFGSDNFTFPPVIKACAAVCCVRLGKEVHCRVLRTGYCGNVGVQTALLDMYAKAGQVDLSRRVFDGMRRRDLISWNAMISGYSLNGCLREAADALKQMQQDGFRPNASSLVGIVSMCSGLGERDAGVSLHGFALKSGVLGDESLGPAFISMYGAFGHLSSSLSLFRQSSVDNLVSCNSMISVCMQNGYYEEAFGVFRLMRCTGLVPNLVTVVSILPCCSNFFGIDHGESVHGMVIKFGLAQQVSVVSALVSMYSKLGDLDSAVFLFSSVNEKSQLLWNSLISAYLVNNKWNMVMDSLHKMQTEGIDPDAITLINVISACRHTQDLCVVKSIHSYAIRSRFELNESVMDALLAMYADCGEFSISFKLFQKMEVQTLISWNTIISGFAENGDSVSCLRLFYKMRLANMQFDIVTLIALVSSLSATEDITVGESVHSLALKSGCCLDVSVVNALITMYTNCGIIQAGVKLFDSLSSVNTISYNALMTGYRKNDLHGEILPLFREMIKNDKKPNIVTLLNLLPICHNQLQGKTTHSYAIRNLLKLETSFFTSSICMYSRFNNLEYCHKLFCLVGERNIIAWNAILSACVQCKHAGIAFDYFRQMRFLNVKTDAVTILALISACSQLGKAYLAECVTAITLQKGFDGTIIVLNALTDMHSRCGNISFARKLFDSSTKKDSVSWSTMINSYSMHGDGGSALDIFLMMGALGIKPDDITFVSILSACSHSGFLEQGRALFRSMHTDHAITPKMEHYACMVDLLGRTGHLNEAYDIVATMPFRPSESLLESLLGACRFHENSKIGESVGKLLTESQHGNPRSYIMLSNIYALTGKWGDYERLRSDLEAKGLVKDVGVSLIGGT >LPERR06G10940.1 pep chromosome:Lperr_V1.4:6:8665187:8668540:1 gene:LPERR06G10940 transcript:LPERR06G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQVLHMNPGQSETSYARNSTVQKTEQDRMKTMINEAIPGLCGVTSTSLPKSMAIADLGCSSGPNALTLISATIDAIYRHCAQHSQQLPEMCVYLNDLPDNDFNSVAKSLATLKHSHQEQADPVVITAMIPGSFYERLFPCGSLHFVCSSNSLHWLSKAPEDLKERRIPMYDMDEHLRQSRSMIVADAYARQFRKDFTQFLTLRAKELVTGGCMVLSLFGRFSDNNITKSNQAWKVVSVALSDMASRGIIDKEKLDSFYIPIYTPLENEVNEIIEEEGSFKINKMLMRHPFHGIDDSLVSPKMIALALRAVFESTIVLHFGPSEEIMDEFTRILERNFSSKSGWRAVLDAEYPVVLLSLSLTRVI >LPERR06G10940.2 pep chromosome:Lperr_V1.4:6:8665187:8668540:1 gene:LPERR06G10940 transcript:LPERR06G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKQVLHMNPGQSETSYARNSTVQGIIDKEKLDSFYIPIYTPLENEVNEIIEEEGSFKINKMLMRHPFHGIDDSLVSPKMIALALRAVFESTIVLHFGPSEEIMDEFTRILERNFSSKSGWRAVLDAEYPVVLLSLSLTRVI >LPERR06G10950.1 pep chromosome:Lperr_V1.4:6:8672540:8678400:-1 gene:LPERR06G10950 transcript:LPERR06G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQILHMNPGQGDTSYARNSTVQKTEQDRMKTLIIEAITGLCGLSSTSPPKSMAIADLGCSSGPNALTLVSTTVDAIYRHCAQHAQPLPEICVFLNDLPNNDFNSIAKSLASLKYNHDDLADPVLITGMIPGSFYERLFPCNSLQFVCSSNGLHWLSKAPEDLKESRLPMYDMDEQLRISKRAVVANSYARQFKKDFTRFLNLRAQELVPGGRMVISLYGRCSDNPTSKSNQAWQVVAVALNDMASRGIIDKEKLDSFYIPLYAPLEKEVNEIIEGEGSFKINKMQMRNPFSGMEDALVGAKMIALAIRAVFESTIVFHFGSSDEIMDEFAMTVERNLSSGSGWRAVLAAEYPLVLLCLSLTRVI >LPERR06G10950.2 pep chromosome:Lperr_V1.4:6:8672540:8678400:-1 gene:LPERR06G10950 transcript:LPERR06G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQILHMNPGQGDTSYARNSTVQGIIDKEKLDSFYIPLYAPLEKEVNEIIEGEGSFKINKMQMRNPFSGMEDALVGAKMIALAIRAVFESTIVFHFGSSDEIMDEFAMTVERNLSSGSGWRAVLAAEYPLVLLCLSLTRVI >LPERR06G10960.1 pep chromosome:Lperr_V1.4:6:8694691:8696435:-1 gene:LPERR06G10960 transcript:LPERR06G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQNHLYMNPGQDKTSYARNSTLQKTEQDRMKPLIEDAITALCRVAAPQSMAIMDLGCSSGPNALTLTSATVDAIHRHCMKYAQTAPEICLFLNDLPYNDFNTVAKSLAEFKHCHDRSSHHVIVAGMIPGSFYERLVTSGSVHFVCSSYSLHWLSKAPEELAKRKIPMYDSDEHSRLLNSEIVANAYARQFRKDFTLFLSLRAEELVLGGRLVFSLLGRCSSNPASVCTQAWKLVAIALNDMALRVSLAYIE >LPERR06G10970.1 pep chromosome:Lperr_V1.4:6:8697925:8699074:1 gene:LPERR06G10970 transcript:LPERR06G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKRQHSHIYSLYKKLSLLSLSLAVPSFLPLHDRTAKLAYGAHGVQDHVCAVRRGGLCPPALA >LPERR06G10980.1 pep chromosome:Lperr_V1.4:6:8706538:8712311:1 gene:LPERR06G10980 transcript:LPERR06G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLHVTQLGRPIASSAGDDAVLQRAAERGGGGRRGQPHAXXXXXPPLPPPPQPQPPTSSSNPKDPPPPPPPPPPAKPKHPKPKQQQHPPPAPSPPQPQKQKPPQGSSSKPSSLPNPSGSSDPPPSQLHLATVVADLFVTPSSDPQPIVQIKAFRKQNHPRPRPDKSSRPSKDNKDKATIVKVKKRRRSERAADADGERCSRTEVTVIDTSTDGWKAGKLLLRRGAVWKIRDKSLPICEPEDPTKVKRRAGLVSKIQRDREKQKQKQKEKEATSSGIILVSTGDVVKESDGPIQTQKRSRSSEPEPVDQEAVLLIERP >LPERR06G10990.1 pep chromosome:Lperr_V1.4:6:8733215:8733973:1 gene:LPERR06G10990 transcript:LPERR06G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSLLLHCALLASLLLVSHVVAARELTEAHESETKNAKPDNVQDNWGGGYVDGEGYGHGGGYGQPTYGGGYGQPGYGGGYGQPGYGGEYGQPGYGGGGYGPRYGSSGYGGGYSHPGYGGNGGYGDYGSGYGSGYGSGYGGGGGYGGGGGQHDGWH >LPERR06G11000.1 pep chromosome:Lperr_V1.4:6:8749590:8751154:1 gene:LPERR06G11000 transcript:LPERR06G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSPKPMNLRGRTSNHTSNQQEGQELRIRSGEVDTMVVVDMDMVVGMVEDMAILDTEVGMLEDTAIQGMAASNILADTVCYEGLHKGDTQDGRPTRSLAGEGHSCHNCCR >LPERR06G11010.1 pep chromosome:Lperr_V1.4:6:8761482:8762952:1 gene:LPERR06G11010 transcript:LPERR06G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLGVFLTALLFFFLDVAHARELAEANESEGKNVKPTGGPGVEDQKWGGGHYRGGGYGYGGGYGGGYGHPGYGGGYGGGYGHPGHGGGYGGGYGRGYGGGYGQPGYGGGYGGGSGGGYGGGYGGGYGGGGGYGGGGGGYGGGGGYGGGHGWP >LPERR06G11020.1 pep chromosome:Lperr_V1.4:6:8766854:8770437:1 gene:LPERR06G11020 transcript:LPERR06G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFLFGVVLASLLLVAQDVAAARELTETNEAKGKDIKPEAAHGLDDEKLAHHGDGYGHGGGYGGGYGSGYGVGHGGGYGGGYGGYGSGYGGGGGGGGGGGGYGGYGGGGYGGYGGGYGGGGGGGYGGGFGRGYSGGGYPRGGYYGGGGGGWH >LPERR06G11030.1 pep chromosome:Lperr_V1.4:6:8776330:8778139:1 gene:LPERR06G11030 transcript:LPERR06G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLLFGVVLVSVLLVSQNVAAARDQFINGKVEEKDMKGKNEDGLKDEKLRYDGGGYGGGFGGGYGGYLPGRGWYGSEYGGYSGYGGGYRHGADFEVKDMMSENGVGLMDKKLGYGGGGYGGGYGSGFGGGYGGYVPGRGWYGGGYGGYPGYVGGYGYGGGAGYASGYGGYRGGGGGGGGGGGGGGWH >LPERR06G11040.1 pep chromosome:Lperr_V1.4:6:8779729:8782765:1 gene:LPERR06G11040 transcript:LPERR06G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRNSRPSPEHQQRLELAAGIDVEVELDPEDLHPSVPLKKVPAGDLFEAARAGDCGRLALLLEAGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLRAYEARPPPLPPLHAALRSTFLACPANRAAFLEMLQWSAGSEAAALAAAAAAGFGPTDNASSTSPFPPDITFYVDGKPIEAHRAILCARSSFFRQKFKTDWKTRKEVRFSSQKLSFGALYSLIHFFYSDRLEVDVDDMENLARACKVCKCVELQKILDKEVVHQRYAQHKAVRQEDLDSSQKRFILHGQSLPEEDRLPSALQRILEECLANSREQDCHNDEPNEMSRDSGVDDAADLYIKVCDKVFQCHQVILASRSEYFKTRLSRNMDFVEAKSWLQATDNLPFLEEHDLSTEAFENVLEYMYTDKLENMDPSQAEELFDIASRYLLFPLKRAVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDVIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSAPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEINEDDA >LPERR06G11050.1 pep chromosome:Lperr_V1.4:6:8785168:8787863:-1 gene:LPERR06G11050 transcript:LPERR06G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVGEASAAVSCSSASSSVEPPPSVERKEQEREEERADPEEQREASSCGSDEEVAVAVAAAGEEEEEEDDEEEDEDGKVAEAIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLSSVGDTLEPDVRITSLCIVSPGRPDVSLPLPVDPDNSKGPWFTLKEGSTYRLKFTLSVSNNIVSGLRYTNTVWKAGIRVDKTKEMLGTFSPQLEPYTYLTPEETTPSGVFARGSYSAKTKFVDDDRKCYLEINYTFDIRRDWPCTS >LPERR06G11060.1 pep chromosome:Lperr_V1.4:6:8790039:8794328:1 gene:LPERR06G11060 transcript:LPERR06G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWWDETTMVVAAAADGGGGSSGTVVSICVFTAVLCLCLIVGHLLVENKWVNESITALLIVKKKQFFHNFLTIMSFGIFGVFISVALVSTGCYWLFPEVGFGNLDAVDYLALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATAVVLFNAIKNIDITQLKGGVALKVISDFLYLFFTSTVLGVTIGLSTAYALKALYFARHSTDREIALMALMAYLSYMMAEFLNLSGILTVFFCGIVMSHYAWHNVTESSRIATRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSILSNYMSGSSERAPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIVVFFTTLVFGFLTRPLISKMLPQRQQSTTGTGGGHSTGSNSPKEDFIMPFLSPEEASGSGSGFLQAKRSISMLLEMPVHTVHIYWRKFDDKFMRPIFGGPRSR >LPERR06G11070.1 pep chromosome:Lperr_V1.4:6:8796597:8801289:1 gene:LPERR06G11070 transcript:LPERR06G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVDCSLAAARAPCRFSVPSCRPVLGAAGSGSGRRRACRRLRVRSERTREASAADVGVSSRMPERLGKAAAGLAAAAVVSLTGFAAEPLSLPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINREPTTGRLLVMDCIDGGPADRAGIHGGDEIVEIDGVGVFGLDGEAAAQRLRGRVGTTVKVKVLDGTEIERNGRIRQKEVQLSREVINLSPLSTAIISHRSDDGRESKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGKVLPINMAHGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDNATNLEMDSCIMVAEQALAIEKSNGSAS >LPERR06G11080.1 pep chromosome:Lperr_V1.4:6:8801552:8803978:1 gene:LPERR06G11080 transcript:LPERR06G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSAAAAAAGDTEKDYTTAILERKKSPNRLMVDEATHDDNSIIGIHPDTMDALDLLRGDVALLKGKKRKDTVGVVLIDDTCDPTKIRMNKVVRKNLGVRLGDVVSVHQLASVKHATRAHILPIDDTVEGISGNLFDAFLQPYFSEAYRPLRKGDLFIVRGVGMRSVEFKVVEIEPEEYCVVAPDTEIFCDGEPIKREDEERLDDVGYDDVGGVRNQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKRDKTHGEVERRIVSQLLTLMDGLKARAHVVVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVVGIHTKNMKLSEDVNLEIIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDDTIDAEILNSMAVTNDHFKIALQTSNPSALRETVVEVPNVSWEDVGGLESVKRELQETVQYPVEHPEKFVQFGMSPSKGVLFYGPPGCGKTLLAKAIANECKANFISIKGPELLSKWFGESSANVREVFDKARQSAPCVLFFDELDSIATQRGSNIGDSGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEQSRLQIFKSCLRKSPVANDVNLNSLAKFTNGFSGADITEICQRACKYAIREDIEKDIEKEKKRKENPEAGEAMEEDDDEVAEIKAAHFEESMKYARRSVSDADIRKYNAFAQTLQQSRGFGTQFRFADHPAASGVAATAATAADEEDDLYN >LPERR06G11090.1 pep chromosome:Lperr_V1.4:6:8807031:8814022:1 gene:LPERR06G11090 transcript:LPERR06G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKAESASRFFPKKSERERERQRRDAGGEEETHDPSPRAAEGRKGTTTTTGATEQALAPRRDGGGGVSALSRRAARLVSSAESDRIGSAMSTKSGDSAAFETPSSLIARIKVLCKFFMHGACLKGEYCEFSHDWSDQPNNVCTFYQKGACSYGSRCRYDHVKVSRNPTVPPPASSSTSARTSSSLQPLGFGRLHHMGYQADSNNPRQQSPHHVGYQADSSSPREQISMDLLAHSGSKPVWRNDYQHESVLEDWIDWSSNRTVQNQTTLNPADLPICSFAAAGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHNKLCEKNHNRLETLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIENYKSKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGRLEEVILRHLDADDGSTVIAKNIRLSDFLSRLRL >LPERR06G11100.1 pep chromosome:Lperr_V1.4:6:8824485:8824658:1 gene:LPERR06G11100 transcript:LPERR06G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLIAASATVALAAEAPAPAPTSASSAAFPAVGAVLGASVLSFLAYYMH >LPERR06G11110.1 pep chromosome:Lperr_V1.4:6:8831582:8841198:-1 gene:LPERR06G11110 transcript:LPERR06G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTGVKRKGLACVVSEEFPSSIPPTSVSTGVRRTCSSNTESTARSCESLQSDGASGAEEGDYVEVVAKALIDIAINKPDALRGGANGFGNWMGGPPGGGFGGGFGGGYGGGFGGGYGDGAHEEDYSLQPNFQSSLHLAEEPN >LPERR06G11120.1 pep chromosome:Lperr_V1.4:6:8850750:8858401:1 gene:LPERR06G11120 transcript:LPERR06G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIKPREREREWGKDAAVVSVSSIAQFGTASTTRAAVRPDPSIPNPKTIVARRCSPSSLPGGAARREPSFPAPSSSSPPLATKLSLLARGLVGTVRTASSAFPRPSPSLFLPPAGCALLARTGRPIWCRPCLESLDASGCRVLGSSMANNGNGYLGVTEPISLSGPTEKDIVRTQEVEKCLTDAGLYESQEESVSREEVLGKLDQIVKAWIKKATRSSGFGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLADMPEVKELNPVPDAHVPVLQFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILSLVPNIQTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMIQEFTRASEICQEIDESKAGWDALLEPYPFFETYRNYLKIEITAKNEDDLRNWRGWVQSRLRTLVLKIERYTRDMLLTHPNPRDFSDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFRSSIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPLRNVGKEARTVSRRNISANVQERNVQSIAPTLPANVQERNVQTIADPLPYKSSEVNHIPSDPHGGYQSQERNNTLASSMSCEETGHMLNGYANIHTESVELEHVRTYKESASVPENQVAHDLVKPPESMPPNSIHVYPSPANGLGHLLDSSCKKTADIIVNKTTHFSSAVLAVPDELDELDYHQVKVSQKDLTVVDQGLPLEHEAGSSGGKAGTTGSPSNNHLKRKAEEALEPLELAAPLIRPPAPTSTTQRRPLRLRLSTVVQPKPAEGTS >LPERR06G11120.2 pep chromosome:Lperr_V1.4:6:8850767:8858401:1 gene:LPERR06G11120 transcript:LPERR06G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGNGYLGVTEPISLSGPTEKDIVRTQEVEKCLTDAGLYESQEESVSREEVLGKLDQIVKAWIKKATRSSGFGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLADMPEVKELNPVPDAHVPVLQFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILSLVPNIQTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMIQEFTRASEICQEIDESKAGWDALLEPYPFFETYRNYLKIEITAKNEDDLRNWRGWVQSRLRTLVLKIERYTRDMLLTHPNPRDFSDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFRSSIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPLRNVGKEARTVSRRNISANVQERNVQSIAPTLPANVQERNVQTIADPLPYKSSEVNHIPSDPHGGYQSQERNNTLASSMSCEETGHMLNGYANIHTESVELEHVRTYKESASVPENQVAHDLVKPPESMPPNSIHVYPSPANGLGHLLDSSCKKTADIIVNKTTHFSSAVLAVPDELDELDYHQVKVSQKDLTVVDQGLPLEHEAGSSGGKAGTTGSPSNNHLKRKAEEALEPLELAAPLIRPPAPTSTTQRRPLRLRLSTVVQPKPAEGTS >LPERR06G11120.3 pep chromosome:Lperr_V1.4:6:8850787:8858401:1 gene:LPERR06G11120 transcript:LPERR06G11120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGNGYLGVTEPISLSGPTEKDIVRTQEVEKCLTDAGLYESQEESVSREEVLGKLDQIVKAWIKKATRSSGFGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLADMPEVKELNPVPDAHVPVLQFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILSLVPNIQTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMIQEFTRASEICQEIDESKAGWDALLEPYPFFETYRNYLKIEITAKNEDDLRNWRGWVQSRLRTLVLKIERYTRDMLLTHPNPRDFSDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFRSSIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPLRNVGKEARTVSRRNISANVQERNVQSIAPTLPANVQERNVQTIADPLPYKSSEVNHIPSDPHGGYQSQERNNTLASSMSCEETGHMLNGYANIHTESVELEHVRTYKESASVPENQVAHDLVKPPESMPPNSIHVYPSPANGLGHLLDSSCKKTADIIVNKTTHFSSAVLAVPDELDELDYHQVKVSQKDLTVVDQGLPLEHEAGSSGGKAGTTGSPSNNHLKRKAEEALEPLELAAPLIRPPAPTSTTQRRPLRLRLSTVVQPKPAEGTS >LPERR06G11130.1 pep chromosome:Lperr_V1.4:6:8862204:8865646:1 gene:LPERR06G11130 transcript:LPERR06G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDEE >LPERR06G11140.1 pep chromosome:Lperr_V1.4:6:8864902:8865489:-1 gene:LPERR06G11140 transcript:LPERR06G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPTRAALSFGSSPTFRLHPPPNTLLLARARRNKSSRSPDEAAAEPKVITIGRPGKKSRGGGGGRKTQQQPPPTSEEDDEFDDEDERDAAIPEVVTNRMMRRVGVSVGAPLAVGVGFFPAFYYLKTVAKVDVPTWIPFGVSFIFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWESLRGGDRR >LPERR06G11150.1 pep chromosome:Lperr_V1.4:6:8867997:8868429:1 gene:LPERR06G11150 transcript:LPERR06G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSEWACSSPPNCTYGKAASWPELVGKKGGETMAVIQRERPDVTGAIIVPQDAVITDDYCCNRVRVFVDCSGSDDCSNATVVSVPKIG >LPERR06G11160.1 pep chromosome:Lperr_V1.4:6:8869998:8870689:1 gene:LPERR06G11160 transcript:LPERR06G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDDRTCLTLPKCPDGKAFWPELVGKKGSEAKAVIGSERPDITSVIFAPQDAVISGDYCCNRVRILVDCRGAVDCGDAIVTAVPMVG >LPERR06G11170.1 pep chromosome:Lperr_V1.4:6:8871267:8871768:-1 gene:LPERR06G11170 transcript:LPERR06G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATVADFDAHFDKLFAAAAAGDDNSGGKLKLLLFLADRDAASNLTWCPDCNVAEPVIYERLEALGKDVVLLRAFVGDKPTWRDPAHPWRVDPRFRLTGVPTLIRWENGAAAARLGDEESQLADKVDALLNPAAN >LPERR06G11180.1 pep chromosome:Lperr_V1.4:6:8872057:8876647:1 gene:LPERR06G11180 transcript:LPERR06G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRNLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARVAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNTESRSIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSKATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVETSFGETSKDHGSNVTGGENVDSNMSADDIDWDISLDDNGIDWDIGAVEQPVEESGDGFGSYEIIDANVELAGSENYNFGISDDPSVNKSNSSEPGICWDITDDNPEENASTQNVGQSQSLAEERSQLLEKDYRNNILDDLLEVKAFLTQRLGEMRNTDTSSIQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNSLSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSVSQLAG >LPERR06G11180.2 pep chromosome:Lperr_V1.4:6:8872057:8878540:1 gene:LPERR06G11180 transcript:LPERR06G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRNLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARVAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNTESRSIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSKATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVETSFGETSKDHGSNVTGGENVDSNMSADDIDWDISLDDNGIDWDIGAVEQPVEESGDGFGSYEIIDANVELAGSENYNFGISDDPSVNKSNSSEPGICWDITDDNPEENASTQNVGQSQSLAEERSQLLEKDYRNNILDDLLEVKAFLTQRLGEMRNTDTSSIQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNSLSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSVSQLAG >LPERR06G11180.3 pep chromosome:Lperr_V1.4:6:8872057:8876602:1 gene:LPERR06G11180 transcript:LPERR06G11180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRNLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARVAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNTESRSIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSKATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVETSFGETSKDHGSNVTGGENVDSNMSADDIDWDISLDDNGIDWDIGAVEQPVEESGDGFGSYEIIDANVELAGSENYNFGISDDPSVNKSNSSEPGICWDITDDNPEENASTQNVGQSQSLAEERSQLLEKDYRNNILDDLLEVKAFLTQRLGEMRNTDTSSIQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNSLSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSVSQLAG >LPERR06G11190.1 pep chromosome:Lperr_V1.4:6:8876332:8880837:-1 gene:LPERR06G11190 transcript:LPERR06G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWFLLLLLMASLTSSSSEAKAGEIKRSQFPKGFFFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDRAIDSYHRYKEDVRMMKDLGLNAYRFSLSWSRILPNGKLSGGVNMEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSPLIVEDFRDYADICFREFGDRVKYWITFNEPWSFSIGGYASGILAPGRCSSQEKSGCSNGDSGREPYIVAHNQLLAHATAVKMYREKYQGKQKGKIGITIVSNWMIPYEDSKEDKHATKRALDFMYGWFMDPLTKGDYPVSMKTLVGNRLPRFTTEQSKVINGSFDFIGLNYYTARYIQGTTQDSNSHKSYNNDSLTSQRVERNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEVNNENLSLKEALIDNTRIEFYRQHLFHVQIALREGVDVRGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWLQNFLHN >LPERR06G11200.1 pep chromosome:Lperr_V1.4:6:8884703:8885134:-1 gene:LPERR06G11200 transcript:LPERR06G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRSHEDKGSSGEEVVPARKRRGRPQKHIADKVDQAEAKNLAEVDDGDADYQQGEGEDGAKPKASRTDKSSAGKGNKRNRLPKEEEGSNLDLEEHSSGTRSSNDESTRSSGFRQNGSRRKSTPRRAAEAGI >LPERR06G11210.1 pep chromosome:Lperr_V1.4:6:8889599:8891877:1 gene:LPERR06G11210 transcript:LPERR06G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRSCSAVGVPSLLAPRNGGARLPVCAFATTSGRVSMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILAIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >LPERR06G11210.2 pep chromosome:Lperr_V1.4:6:8889599:8891880:1 gene:LPERR06G11210 transcript:LPERR06G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRSCSAVGVPSLLAPRNGGARLPVCAFATTSGRVSMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILAIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >LPERR06G11220.1 pep chromosome:Lperr_V1.4:6:8897166:8903263:-1 gene:LPERR06G11220 transcript:LPERR06G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLPFSSPLPTALAYARSRGVLLLPSASSSRRLLLPRPHLRRLPIPPTRGIMASAAAEAPAPSPASATGEANTRPRRALEELSWDNSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKMVAWSQSVADILDLDHKEFERPDFPQLFSGASHLVGSLPYAQCYGGHQFGVWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIIRNLADYTIRHHYPHLENIKKSEAWAVEVAERTAYLIARWQGVGFTHGVMNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKDEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADRDIPEKELLVPLKAALLDIGKERKEAWISWVQTYIEELVSSGIPDEERKAAMNSVNPKYILRNYLCQTAIDAADQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVLYKLTYKGT >LPERR06G11230.1 pep chromosome:Lperr_V1.4:6:8905176:8907344:-1 gene:LPERR06G11230 transcript:LPERR06G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRANCGGSAEAKGSKGTKAPVANGIPRHAAARAAERSPASAEKSAAAAERRSPKIASRVTSITPCAQKHCSIQEELRRAKDQLAEKEAENRRLQEMFRAVDLEQTTIQRRLDEARQDLADAIDSKDHAITASEENAAKVELLNAEINRLKDDSFHSCALESRVRESAEKSRKMEAELSVLRMKLKKAKITEEKLAELEGAVQGLRADVANAIEARREADELVGEWKKKAQLMEIKLDVANQSSILKAESLNSAVKELDAANASLQEKQSQLALLQDKVESLEHQVVMQKEDINASGHRLHIAQREAYALRAEIRELRSRLCAMERDKTDAISNGSSQIEAICEEKDMLAKELESCKDEYEKVRKAMEDLASALQEMSAEARESRERYLDKQEEFERAQAQVEELNVILNNTRENYELMLDEANYERVCLKNKVEELEAETKTTSEERQSKEQELCLVSSITNSEEEIMSMRIQLDKTADSARDLENRNAQLEEKIRELEAQVAKTQSGSKDGKAYKQNEQQNVKQENEGLYVKEPSNSEKIKDLYTLIGNDKGNTEKDGPVLLVSKMFSKIADYNLSKERDNGEPEVDLDTNRDNAADAGRLPTDKTSSNSKLVTKQNMEKKALMKKFGGLLKKKSQH >LPERR06G11240.1 pep chromosome:Lperr_V1.4:6:8946783:8947092:-1 gene:LPERR06G11240 transcript:LPERR06G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKADHAAERSGRRTFTTASG >LPERR06G11250.1 pep chromosome:Lperr_V1.4:6:8951278:8953771:1 gene:LPERR06G11250 transcript:LPERR06G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIIGRVYYSDDDGHSGSSPGVTPPAVVSATVGVALLGAVVGNVLFGALGDRVGRRRVYGACLLLMVCSSVGSGLSVCRTRSCALTSLCFFRFLLGVGIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILVSSAVIMAVTAAFDHYTGRPAPLDTPESADLAWRIILMAGAVPAALTYYWRMSMPETARFTALVEHDVAKATHDIGRVLTDLDLNAAADEDESTETLTRPPPPPPPSPPPPRRNSPYGLFSRQFVRHHGRDLFACASAWFLLDIPYYSSTLFQSQIYRPLFPPASQVNVFREAYNVAKFQAVIAVASTIPGYFAAMLLIDRAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKHAGYLVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWLSQQRDRVGVQQAGHLLGVGMMYALFVLGGICLVGLVITYVFTRETMGRSLEENESEQGQSQFGDGERDAGGSSGGLRFHELSVLMEAAKSPMSPMSMASSHLSTSPILPDRMSV >LPERR06G11260.1 pep chromosome:Lperr_V1.4:6:8959672:8962029:-1 gene:LPERR06G11260 transcript:LPERR06G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLMALDQARTQYYHFKAIIIAGMGLFTDSYDLFCISPVMKIIGRVYYSDDGHRGSSPGVTPPAVVSATVGVALLGAVVGNVLFGALGDRVGRRRVYGACLLLMVCSSVGSGFSVCRTRSCALTSLCFFRFLLGVGIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILVSSAVIMAVAAAFDHYTGHPSPLDTPESADLAWRIILMAGAVPAALTYYWRMSMPETARFTALVERDVVKATNDIGRVLTDLDLIAVAEEEVAATLRQPPAPSKPPPSPPYGLFSRRFVRRYGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPPASQVNAFQEAYNVAKFQAVIAVASTIPGYFAAVLLIDRAGRRRLQMAGFLLMAAFLFALAGPYDGYWRDHSRNAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQRDRAEVQAGHLPGIGMMYALFVLGGICLIGMALTYAFTPETMGRSLEENEIDRAQSAQVGDGGSDDGTGSMARSQLSMSPVLPHRVSV >LPERR06G11270.1 pep chromosome:Lperr_V1.4:6:8971291:8976435:1 gene:LPERR06G11270 transcript:LPERR06G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCIHLCRLRELILRELGFTDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKNKWSNKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDVTYLELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >LPERR06G11280.1 pep chromosome:Lperr_V1.4:6:8986535:8990840:-1 gene:LPERR06G11280 transcript:LPERR06G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASEAAAYGVGVTQPQPPHGVSGVHLAYTQEGTAVFKQTAPPPPPMYETPAPVRGNGEHKRKRGRPRKYAATTDLPLAVIPPSPPTSEPGAVQSPALPPGFPAGLAACQPAPPASERVLPHKKRGRPPGSGNKLQPRRRQNKTMMMSPASGGIGLKPNVITVQVGEDVVSKVMSFSQNGWAVCVLSANGAVSNVTLRQAGSSGATINYEGHFEILSLSGSYLLSESNGLSSRTGGLSVSLAGPDGRVLGGGVAGPLDAASPVQVVIGSFLADVKKGSNLAVKGGAPFPRVSTPSSRGTPSGSSGAAGSPQNQSASGSFNTSNQPALADFPWR >LPERR06G11290.1 pep chromosome:Lperr_V1.4:6:9007394:9022059:1 gene:LPERR06G11290 transcript:LPERR06G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPTHLKSTKKFSPSSLSRRALPPFSLARAAAAARLISRQSRRAAAAAAAAVAIISRQSRRSPFAGGEAAAAAHLAGGEATAAGEKFIAGGAPPPPLHPISREEKPPEQSSSPSEASTELISSVKNPASSSNEMNLGKILSNYACNKPGLVMTAALEKDIETLQKKLGGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFADFEERTKEYESSIDDQKQMNDALRMELLELKKQTQSSLEVIQKFYELRWRNLNFEVCVEEERDSLRAKIAKLQNNLRMGLEIEQHLQRNARILEKRQALYDEFMRNGLSALQKLFVQQRDEIMKILEEESSQLSTVVNEIQDRLSQIQINSKIIENPLGEMRCCDSSCKDVHVTMDVSSATSPKGDVPSNSVVFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVESAKLKEEYLMLKGNSTPKGGHGAGDNMKIIPAHDRQGMLKTILNRTSLRHWMRKENTHIGHDYDENDHTVINEQSVDLARAKMENAALLESVATMERLTSSVHRLHIVLMKAYDDVKADVPLESAYEALNSLITEANLMKTALCVALPVSWSSDAITCDALYDPSDSPKPSKSDKQEPLSSAGMEMVELLILAADILKENCILNKYM >LPERR06G11290.2 pep chromosome:Lperr_V1.4:6:9007362:9022059:1 gene:LPERR06G11290 transcript:LPERR06G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGKILSNYACNKPGLVMTAALEKDIETLQKKLGGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFADFEERTKEYESSIDDQKQMNDALRMELLELKKQTQSSLEVIQKFYEVRCREYECPMNITFEEKCSILLDDSADNWSFSSDGGTSTSKYIVCVEEERDSLRAKIAKLQNNLRMGLEIEQHLQRNARILEKRQALYDEFMRNGLSALQKLFVQQRDEIMKILEEESSQLSTVVNEIQDRLSQIQINSKIIENPLGEMRCCDSSCKDVHVTMDVSSATSPKGDVPSNSVVFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVESAKLKEEYLMLKGNSTPKGGHGAGDNMKIIPAHDRQGMLKTILNRTSLRHWMRKENTHIGHDYDENDHTVINEQSVDLARAKMENAALLESVATMERLTSSVHRLHIVLMKAYDDVKADVPLESAYEALNSLITEANLMKTALCVALPVSWSSDAITCDALYDPSDSPKPSKSDKQEPLSSAGMEMVELLILAADILKENCILNKYM >LPERR06G11290.3 pep chromosome:Lperr_V1.4:6:9007394:9022059:1 gene:LPERR06G11290 transcript:LPERR06G11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPTHLKSTKKFSPSSLSRRALPPFSLARAAAAARLISRQSRRAAAAAAAAVAIISRQSRRSPFAGGEAAAAAHLAGGEATAAGEKFIAGGAPPPPLHPISREEKPPEQSSSPSEASTELISSVKNPASSSNEMNLGKILSNYACNKPGLVMTAALEKDIETLQKKLGGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFADFEERTKEYESSIDDQKQMNDALRMELLELKKQTQSSLEVIQKFYEVRCREYECPMNITFEEKCSILLDDSADNWSFSSDGGTSTSKYIVCVEEERDSLRAKIAKLQNNLRMGLEIEQHLQRNARILEKRQALYDEFMRNGLSALQKLFVQQRDEIMKILEEESSQLSTVVNEIQDRLSQIQINSKIIENPLGEMRCCDSSCKDVHVTMDVSSATSPKGDVPSNSVVFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVESAKLKEEYLMLKGNSTPKGGHGAGDNMKIIPAHDRQGMLKTILNRTSLRHWMRKENTHIGHDYDENDHTVINEQSVDLARAKMENAALLESVATMERLTSSVHRLHIVLMKAYDDVKADVPLESAYEALNSLITEANLMKTALCVALPVSWSSDAITCDALYDPSDSPKPSKSDKQEPLSSAGMEMVELLILAADILKENCILNKYM >LPERR06G11300.1 pep chromosome:Lperr_V1.4:6:9039648:9040704:1 gene:LPERR06G11300 transcript:LPERR06G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRTHTITVHSPSSSYSYSLCSYAPILYSPIIIKQPIGLDTHKYTMSNQAAGQTALEAAVGLAVGAAVVEAGALALHRAHQQRAAAPPRRLRAPRVLPHPVQPRAVARPPVPPRFTATAIPIPLCPHAAASTVFFASYMMTPSAATASPPTPSSTTTTS >LPERR06G11310.1 pep chromosome:Lperr_V1.4:6:9040393:9040999:-1 gene:LPERR06G11310 transcript:LPERR06G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVLAFMVVVPVFCADSDGRVQVQSMEGAASSGGSRNGTYNSTSVAGRKDGGGGGGKEDGGSSGVRTERDRDGGGSEAGRHRRPSYSPRLYRVGEYARCTEATGRCRGALLVCPMQCEGPCFYDCGANCKAHCRF >LPERR06G11320.1 pep chromosome:Lperr_V1.4:6:9067093:9073723:1 gene:LPERR06G11320 transcript:LPERR06G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGVPRELSTLQKARALYRPDLPPCLQGTTVRVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTTVAPDDTVITEHPVVRAGLVFSGRQSPGGHNVIWGLHDAIKAHNLNSKLIGGTDGLFAQKTLEITDEVLSSYKNQGGYDMLGRTRDQIRTTEQINAAMATCQALKLDALIIIGGVTSNTDAAQLADTFAESKCLTKVVGVPVTLNGDLKNQFVETTLGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFDFLPPFIRKQIETEKLLAQLVEIEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASVDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGEGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSMVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >LPERR06G11330.1 pep chromosome:Lperr_V1.4:6:9088281:9089724:-1 gene:LPERR06G11330 transcript:LPERR06G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSMSARESTLLVVSLLLTRPCSKPGLVSNRLERYNSIFENWLNLLVLNNLSHLNFQFGSSSSTSIDQENSMTYSQVLTALRFSQTLQLLSLCSCCFCDHMMSRSLYFPKLRKLRFHSITALGDVLSDVIFACPALESLVFNYTIGMYHLRVRSVSLRSISIGTTHGLKQEVVFQEILLERVMPTILDAGPAIICVISAPRLKVLCILPSSISRLEIGTAVIQEMPSISTAMSVPTVRILILLSVGPNLSAVVNLLKCFPFLEKIYVRVMKFGVLDITRDNEKWMNHQHRRLQLDNKASRGARFEFDSKYWCDYYESTRIDDFSVSDPFDLSLD >LPERR06G11340.1 pep chromosome:Lperr_V1.4:6:9094231:9095350:1 gene:LPERR06G11340 transcript:LPERR06G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNDKNPVALRRQSRRAATLWLSAPLRLHDSDLPPSPSAAISRILSSHRVDAVSFHLASTRARPSAADLDSWLRILTGKRLQDLLLQPPPDPLLPSLLACRSLRSVDLTNRCLPAAPEEATLARRQLGAIDMCPPRAEAGDARLFGRWHPIAAAWGSIIGGGVPKCEDAGMALEMVDPQEKPVIDFLRCFPCLDTLHITPHMVLPRSMEISKCDNMDYPIECLIHHLKKVVLVGYEGRRHELQLATFLLSNARVLHVMKFLCANDCNPTWLTSRRR >LPERR06G11350.1 pep chromosome:Lperr_V1.4:6:9102193:9104964:1 gene:LPERR06G11350 transcript:LPERR06G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASLAARLLRRTPSALSRRCAHSSATVSRPPIPLPRFRAAAASSSSSSSWIDGRRFLSSNYPASPSSSSKVSADENLVRVIDSEIQCVVESEEGASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDAEQNLNEDDSDDENNEDSFKPGIQMVVTVEKPEAAILEFECHFNDDELTIENMRMLDQNSHDSENLYGGPPFHDLDESLKKALHRYLEVRGIKHSLHDWLLEYMMSKDEKEYVVWLKNMKQFLGN >LPERR06G11360.1 pep chromosome:Lperr_V1.4:6:9110916:9111454:-1 gene:LPERR06G11360 transcript:LPERR06G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLNIPDDISDVSDDEEWADPFAPACGDPGQSSSAPPVPAFCETPAHIDSPLDSGSLEGTSVEEEVEYQSDGYGGDDNDALVMIHA >LPERR06G11380.1 pep chromosome:Lperr_V1.4:6:9123394:9126648:1 gene:LPERR06G11380 transcript:LPERR06G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNDAAVAERPMGGAAARVAVAEGEATVFRGADYSLPRTTVALALWLGGIHFNVLLVLASLFLFPLRVAALYAMGYFPISLHVEDYKCFDPSRSYVFGYEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAMQCGCPLVPIFCFGQSYAYKWWRPSGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKISQPTIDEINEVHEQFIDALQDLFEKYKMKTGYPDLHLRVL >LPERR06G11390.1 pep chromosome:Lperr_V1.4:6:9132086:9132976:-1 gene:LPERR06G11390 transcript:LPERR06G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPDALPPGLKFDPSDGELVGRYLLPRIQGNPLPLDGAILDADPLSSPPWRLLADHGRRGDEAFFFDEARAKNGKGSSRQKRTVEEGGGFWQGQRMAVDGERLLVPDAGGLEISWRKYVLSFFADGEKGSSGWVMYEYAITAPAELASSTTRLYRIRFSGYGKKRKREPENQSSSHASRKTENALLQDLAPVPPLAAADDDSISDSADQGLMMDDYSLVFDSLPEIIDVDELQSTLREFSAPDMFVSPQEAEADACAGAATSSADLGVAEIVDEDDLSCIDFDFDVDAALAAWS >LPERR06G11400.1 pep chromosome:Lperr_V1.4:6:9145558:9145842:-1 gene:LPERR06G11400 transcript:LPERR06G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVSCLIWPGRRVGVASSAITGCLAWWRRSRLSMATHECVEASDWAAGDSGGHRDRWAPGLCGVSSGGMGNSKRRLQSGQSLVAQVGQLGLEA >LPERR06G11410.1 pep chromosome:Lperr_V1.4:6:9148027:9149001:1 gene:LPERR06G11410 transcript:LPERR06G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMDPAGGGGAMAAHYLHLLRQQQQHQQQQLSPVSDVKAEHSMPSQDESPGAGEAEPGSSSAMVVATADDGGGGSGGSGGPMRRPRGRPLGSKNKPKPPIIVTRDSPNSLHSHVLEVAAGTDIVECVCEFARRRGRGVSVLSGGGAVANVALRQPGASPPGSLVATMRGQFEILSLTGTVLPPPAPPSASGLTVFLSGGQGQVVGGSIAGQLVAAGPVFLMAASFANAVYERLPLEGEDPDETAAAPADAAQPTGAPPPPQQQQQQPAASQSSEVTGGDVGAGVSAGLGMYLGGYQHQLPGPGDNFGAWSGSGSIRPPPF >LPERR06G11420.1 pep chromosome:Lperr_V1.4:6:9170690:9174544:-1 gene:LPERR06G11420 transcript:LPERR06G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSKLAALLRRSRQLAPAAAASGSATVATASANGGSMEEPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSARFGTRILTETVTSVDLSSRPFRVASDDTVVHADAVVVATGAVARRLRFPGSDEFWNRGVSACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRDAFRASKIMQARALSNPKIQVLWDSEVVEAYGGADGGPLAGVKVKNVVNGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSEGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGRPEMHGSEGGGVKHRAQLPRHLLHHSNRFSTMANTLHAQSMDDETHKCGAPEPQCIVIIIKDGARCGSEPIYHMTRQGHAR >LPERR06G11420.2 pep chromosome:Lperr_V1.4:6:9171572:9174544:-1 gene:LPERR06G11420 transcript:LPERR06G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSKLAALLRRSRQLAPAAAASGSATVATASANGGSMEEPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSARFGTRILTETVTSVDLSSRPFRVASDDTVVHADAVVVATGAVARRLRFPGSDEFWNRGVSACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRDAFRASKIMQARALSNPKIQVLWDSEVVEAYGGADGGPLAGVKVKNVVNGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSEGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >LPERR06G11430.1 pep chromosome:Lperr_V1.4:6:9177930:9178373:-1 gene:LPERR06G11430 transcript:LPERR06G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLSSSCARLGRRWWRRPAARGFRLSPTRLSVRRLRARLWTLLGLLGRCVRNVRLLTRGLVASNSNSPSPARGNKGRRVLALASLGGKESKRAPAPAVAGNNKAARRPPCMRSNSFYARAVAECLEFIKGSNNGGATPARDNRVK >LPERR06G11440.1 pep chromosome:Lperr_V1.4:6:9214574:9217112:1 gene:LPERR06G11440 transcript:LPERR06G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYMLFGALTHSQGYEANITHTKGWKHLVFQSTAQLQPPTECNSRFSQSMALAPHQTILLLFVSTAISSCPHRAAAQTTTTTTTLPNGKKFVTYSFPRFDNTLLHLPANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPSPSPSTANNGSGGNYMASFNMVFRVNIFRTNTSVPGEGVAFVIASGPDPPPPGSHGGFLGLTNASTDGDLGNRFVAFELDTVKQPYDPDDNHVGLDINGVRSVKAVPLAPFGIKLGAANASNFFVWLDYDGASGHVRMYMARSDDGVPTPKPSTPVLNEPLDLSGVVAQKAYFGFSASTGTQFQLNCLHMWNMTVELLDDGSVSGGQQHRKLGLIIGVPCGVVFLAAGVLVACLYVKKRRRRAVGDDPESASASASGSAALFTFKKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGYGVVYKATVAGDGGDNDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLNGVLLLVYDYMPNGSLDKHLFSSSSSNSSSPVLTWEQRYNIVTGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARALDSDKTSYTEIMGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLEVACGRRVSFGSGDGAIGCSRLLEWVWRLHGAGRILDAVDAKLDGAFDAGDAERVLLLGLACSHPDPGARPNAKAVVQVLARAVPAPDVPPSKPAFMWPALSGADCDDGDGGGDLSASRHSAGTTTSTELTSSSMYYASSSHSSQVTTSRDGTVADETKYMSLG >LPERR06G11450.1 pep chromosome:Lperr_V1.4:6:9224278:9228319:1 gene:LPERR06G11450 transcript:LPERR06G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAGRNKDGGGAPALLGVGGSGVSCLDIKSFVASLALLTLVMALWQLHPYHPLILLPAISSSSSCPLLPHSPTSTSTSAVSSLSTAAANSTTTDTASPTSAAAATATTARMPAQPTRPRDPNKRELRPYGTAAALFVQMGAYRGGPRTFAIVGLASKPTHVFSNPYFKCEWLPNPTAAGDTPPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPTNPNSNNAGGKLLVHAYYSTASRRYERFVALEETPGSYDDSRFNPPFPYDYLYCGSSLYGNLSAPRMREWLAYHARFFGERSHFVLHDAGGVSPEVAAVLDPWVRAGRVTVQDIRAQEEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRSLEVVLGELEQYTQFTIEQNPMSSKLCVDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGKTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPTNGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDSHT >LPERR06G11460.1 pep chromosome:Lperr_V1.4:6:9233652:9234071:1 gene:LPERR06G11460 transcript:LPERR06G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLDRKIISKEEEEESAAAVKPTRSFRCEDYSTRRVFLRSYPLQWDWSPAPEEKHQSAAAAMAPKEEDDEYEDERRGIGWKRHVAVAVVEWGEDKLLLLRRVKKRLALYLIGCHYGHRPALPFKSGGSCTAAMLASR >LPERR06G11470.1 pep chromosome:Lperr_V1.4:6:9243670:9244629:-1 gene:LPERR06G11470 transcript:LPERR06G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEDNHSRSLQSLWRLSLFYPNLERVIANVQSRRLVDPVYICVECTVVHESQPAMAAHCRIHIHFTWHGKGECEAYQTGIIGASDLLQKCVDWLYSAANISESRRHWVCSSYYTNSRSDTQVGSRTYCF >LPERR06G11480.1 pep chromosome:Lperr_V1.4:6:9247114:9249229:1 gene:LPERR06G11480 transcript:LPERR06G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQTVHMNRGQGETSYARNSSFQNAEQNRMRPLIEEAVADLLSSSNSSSRSMVITDLGCSSGPNALAIASIAVDAIRRCLGLQRPPPDLFVLLNDLPDNDFATVVKSLAEFKKNNEHVLAGVVPGSFYGRLFTAESLHLVCSSNSLHWLSEAPEDLKMKSIPAYDVDADVRRERRALVVGAYARQFRKDFMLFLQMRAIELVPGGRMVLSLAGRRSVDFASKFTHAWESVAMILCDMVHMGLIDKEKFDSFYIPIYGPSDEELRQIIQEESSFSIRQMQVPELTSGAYSALITPTRVAYMLRAAFEPIIVQHFGSSEGGEGIMDEFVRTAERRWSLEGSLEEELAQNPRGVLLVSLEKKLDIT >LPERR06G11490.1 pep chromosome:Lperr_V1.4:6:9268366:9270794:1 gene:LPERR06G11490 transcript:LPERR06G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVEGEKAESLNRFLQMATYIIRKPLDPTMMAQLWKVMAWRKCFVEENMVASADSDAADGGGNSDEDDVIITKEPESHLKAVRYGKSQKRELAINDDDNNYNSSNASAVLSPRTQLVLAGGAACGGGGGGAIRQPAATRFGRSLEATGAGRLGWQQLGGRPPA >LPERR06G11500.1 pep chromosome:Lperr_V1.4:6:9272910:9277312:1 gene:LPERR06G11500 transcript:LPERR06G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQMVSFFPDGLRVMVVDDDTKAKTATTILSAQHYSVVATCSTIGAAVCALSGDNGVDVQAILCDIHKVVFSGFDFRHIVETKLHVPVIYLLSMDDAVEGEEAGSLDRLLENATYIVKKPLDPTMMAHLWRVVAWRKCYLEVNMAAPADGDASSGDGESDDDEAIIIEEPQIQFKAVQHERNQKRQLAINNDDDNNRDDEDAHPAKILEHMNVEDWKEACCKLHYRYMTTLFLGGTLAPLWPQKYRAQRQQQKREQLDDRRLFQSSDSVFLKAILPTLNPLPSNPLIPSSIVTASSALFAGGSAAAAAAPFQAPVLHQQPAATAQQVYSGAALQLDSSSQKLFLGALLLPRPSSTSCHHGQRQGSNDRAPPVDDLLAEVGESAHDGAMQNNGAAASSLVAVAPPIRDMADRVEAFTGPDQVAADPAAIVGEQDITFSLEDLLGLDNDALLPLEDGGADDAGAGGEEGGMEIGWDLDLDDFLLMGNNNDLDFQLDDLMAGNE >LPERR06G11510.1 pep chromosome:Lperr_V1.4:6:9285470:9285980:-1 gene:LPERR06G11510 transcript:LPERR06G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTEDNHSSLFYPNLERIVLTVQSRTLVDPVYICVECTAVHKNQHAMASHCRIHIHSDDMAKGTCTRFAAIPMNTNFNSSGSKPLASQTGIIGASDLLQKCLSRYIPQPASAKVGSTLFVPAATPAVDLTLRLGPGPTAP >LPERR06G11520.1 pep chromosome:Lperr_V1.4:6:9287425:9287929:1 gene:LPERR06G11520 transcript:LPERR06G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEDNHSRFSQSLWRLSLFYPNLERVILNVQSRTIVDPVHKNQHAMAAHFRIHIHSDGMAKGTVRHIKYNPDHSFSLIKPQASQMGIIGVSDLLQNCLSLGYIPQPVSAIVGSTFVPAATPAVDRTLSLGPGPTTP >LPERR06G11530.1 pep chromosome:Lperr_V1.4:6:9311028:9311225:1 gene:LPERR06G11530 transcript:LPERR06G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALAELVTVLESIVPKYTSQMKETATTGFRTGTTHILTCFKATYPELDLENTLHHGEVNSGHL >LPERR06G11540.1 pep chromosome:Lperr_V1.4:6:9323517:9325321:1 gene:LPERR06G11540 transcript:LPERR06G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTLYCVLALLPLVYYFIRSLFPSSHQSDHHLRLPPGPWRLPLIGSLHHLIGSLPHRALRDLSRRHGPLMLLRFGHSPPVIVASTAAAAREIMRTHDDAFSSRPLSAVVRVSTKHGAGITFAPYGEHWRQVRKICRVELLGPKRILAFRSVREEEAARLVRAVASSSVVDLSELLGNYVTDTTVHIVMGERFRERDALLRFVDEAVRLAGSLTLADLFPASRLARVLSRRTLRRAEVFIDSLMEFMDRVIKEHLEKRSCLKGGEREEDIVDVLLRLRAEGSLHFDLTMGIFKAVIFDLFAGGSETAATTLQWAMAELMKNPSVMSRA >LPERR06G11550.1 pep chromosome:Lperr_V1.4:6:9330270:9331848:1 gene:LPERR06G11550 transcript:LPERR06G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSIFPSKLTNSVSLLSSLSSSVIFTSSSSVPMTTTADQCATRTWSSHAVEAAAVGMSSPQPSSPHLPPPHRPSQLPRRPCRRGRLGRQDRRSCHPAEDAHAQAAEATHGVATEEEMAWRGGNQIRRGIWARRPSTPMPTPLLLCYRSRRRRGAQHHDARSGVMQQPMQPPPAGKVFAVVVAGELISPASI >LPERR06G11560.1 pep chromosome:Lperr_V1.4:6:9357000:9358601:1 gene:LPERR06G11560 transcript:LPERR06G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQMMYTGERSSSAAREMELMAAEQRQMGCSALGRMISSLFNCRGRMRSERMTDYGATPYHPTAQTCYVRPSTRTVAFANAHAMRPEPLQAHAVSMPPGGPPKRKKKKKKKSNSNNRVRFAAPAGSSAEPVPTDAPPPHAAHYAPAASGGQLQYPSSGAGVTQAAAAYGHGMRYAASPVARWEMTGTPRRRHEYFSSEYRWYYPTPVREGIYSLAMDANRLTTIFSEENPNACAIV >LPERR06G11570.1 pep chromosome:Lperr_V1.4:6:9364266:9367031:-1 gene:LPERR06G11570 transcript:LPERR06G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGLLIVKLGDALASEAVEIAKSMLGLEGSSLKRLFSEIREVKGELESIHAFLQAADRFRDYSDETTSMFVKQIRSLAFGIEDVGDEFTYKIGEGGGRMSLKRMCKISTWSRLAGNLQDIKISLKSAAKRRIRYDLKDVVESGAKSMPRRSSNWRSDSAHFKSEDELVGIDKNRELLMRWMKDEQQQHRIVSVWGMGGIGKTALVANVYNAIKDDFDTCAWITVSQSYEPDDLLRRAVQEFRKNDRKKDFPIDIDISNYRRLVETIRFYLENKRYVLVLDDVWSTNVWFDSKDAFGGGNVTGRIILTSRNYDVALLAPETHIINLHPLVRSHAWDLFCKEAFWKNENRNCPPELLHWAQSFVDKCHGLPIAIVCIARLLSFQGSTHSDWEKVNKNLEMQLTNNSVMDMMNLILKISLEDLPHNIKNCFLYCSMFPEKFAMKTKLMVRLWVVEGFVEETEQETPENTAEHYLTELVNRCLLLVVRRNESGRIKEFQMHDVLRVLALSKAREQQFCIAFNHTSTNLIGEARRLSVQRGDIAEIAGHTPHLRSLLLFQNSPTTASLRSISRSIKLLSVLDLTDSSIDMLPEEAFGLFNLRLLGLRRTKINKLPRSIGRLKNLLVLDAFKSKIVKLPLEITKLRKLTHLIVTSKPVVGSLQFVPSAGVPAPIGICSLTSLRTLHMMEASSEMVHHLGALVQMRTFGISKVQSCHCEHLFPSITNMIHLTRLGIQADSSQELLHLEALKSPPLLQKLFLEGALSQESLPHFMSVSNLNNLRSLRLVGSRLDENAFLNLESLQQLVKLQLYDAYDGKNIYFHENSFPKLRVLSIRGATQLSEIKMKKGAVASLADLKLLLCPKLKQLPYGIEHVSTLEELTLDNTAEELVNKVRQKTERIISHVQRVYVGFSRNGVLAAERIQ >LPERR06G11580.1 pep chromosome:Lperr_V1.4:6:9371132:9372358:1 gene:LPERR06G11580 transcript:LPERR06G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGVVAAEAFNPVLRAMLRGGDVKGAAKLFGFMQLKGCVPTAATYNMLVHGLLVCGRAGAAMGVMRRMEREGVAPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGFAPNEFVYSTVITGFCKSGEIEWALKVWEAMAAGPVRPNIVLYSAMIGGLAHFGKMVEAELLFREMLDSKCAPNIITYGSMIQGYFKIGDASRALSVWEEMIGVGCIPNAVSYSILINGLCNVGRLKDAMMVWKHMLGHGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLARGDAEPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNMFLREFGAGERMGREFLEGLVVRLCNRGRNMAAGEVLMVMLAKYIVPEAPLWEMVVRDVCKKKRVWRMVDKCWDDIWGP >LPERR06G11590.1 pep chromosome:Lperr_V1.4:6:9391270:9391713:1 gene:LPERR06G11590 transcript:LPERR06G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLLHAVAALMTTCTRRLQRAARRMSAAAAKHGHGSSSPMSRTVVPWRKAFSLPASPARGKGVKASRGGIGGGGGGGGERGEDCGGGVWRKEILMGERCQPLDFSGVIYYDADGRRLAHPPPPRSPMRSPLPVNLKLAANARTS >LPERR06G11600.1 pep chromosome:Lperr_V1.4:6:9395230:9400758:-1 gene:LPERR06G11600 transcript:LPERR06G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYALRLSPPPSPRRHHTLLLPHPRSTRGTTRVSASWASPDSGSDGADDGVGGWWLPEQQPEEAAERRRPVGIGIAGSGRALAVGLGASAAIALAGVMWHSPSSRKCFYRFVHAPLHYVQEKLSTRESKEAAKEDAGGREQDTTDVSRTTLGEKVDAQNDDSNQSHMPSGGVRISFRAPVDPVHEEAFSILKKLQIIEKDASSSDFCTRREFARWFIKLYSKIERKRMRRIIPNIQTSGPVRSAFDDIDSDDPDFLYIQCIVSSKLSTFLGTSASDSSSDSRNSNFLPDSYLSRFDLVNWKALVEHPFPTEVDQKMLSKSVRILDLSSWPDVSLSMLIDLMDDEQSIVSKVFGNTRRLQPHKPVMKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEEKMKEEENRELAVDKHLQNVLQELTNEKTNREKELAVLLKERTALEHQNQELVNLRSEIDGMYDRLAMESLEVMTDEQNLEKLTFDVSRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLEKAVRRWRIPAD >LPERR06G11600.2 pep chromosome:Lperr_V1.4:6:9395230:9400758:-1 gene:LPERR06G11600 transcript:LPERR06G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYALRLSPPPSPRRHHTLLLPHPRSTRGTTRVSASWASPDSGSDGADDGVGGWWLPEQQPEEAAERRRPVGIGIAGSGRALAVGLGASAAIALAGVMWHSPSSRKCFYRFVHAPLHYVQEKLSTRESKEAAKEDAGGREQDTTDVSRTTLGEKVDAQNDDSNQSHMPSGGVRISFRAPVDPVHEEAFSILKKLQIIEKDASSSDFCTRREFARWFIKLYSKIERKRMRRIIPNIQTSGPVRSAFDDIDSDDPDFLYIQCIVSSKLSTFLGTSASDSSSDSRNSNFLPDSYLSRFDLVNWKALVEHPFPTEVDQKAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEEKMKEEENRELAVDKHLQNVLQELTNEKTNREKELAVLLKERTALEHQNQELVNLRSEIDGMYDRLAMESLEVMTDEQNLEKLTFDVSRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLEKAVRRWRIPAD >LPERR06G11610.1 pep chromosome:Lperr_V1.4:6:9402758:9405811:-1 gene:LPERR06G11610 transcript:LPERR06G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLPATAAAAAAAASRLPFRLATTTTPPPPRVLLPFLFSLPLTPRRRSHSVVSAVSNGKRGKSPSPVISEGRDDEDAAVGRPVCPGCGVFMQDADPNLPGFFKSPSRLSDDEMAEGGFDPDGVEDDQLSESDVAAELNGLDSDLDEFLEEEEDEYEDEEGEDGEQTKAATDAEIDDILSGWDSDWDEEMEDEEEKWRKELDGFAPPGVGYGKITEETLEKLKREKLSKSEKKRRAREAKKAEAEEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMAMRLSSEERKMIEIRKELRPRSFRVKAGQSVHIGGLARLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGVRLQPPLGPERVAELGHWTERHIDLSGVSWDVNSMDITISGLGWYSLGLKGNATVAVWTFDGIDVTQREAMILHRAQFLERPGFWLPIAIANAIGEETRKKNEIRKKAQQRDDFLLEESTEDDDVEVLI >LPERR06G11620.1 pep chromosome:Lperr_V1.4:6:9413044:9415407:1 gene:LPERR06G11620 transcript:LPERR06G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHVDPLVVGRVIGDVVDLFVPTTAMSVRFGTKDLTNGCEIKPSVTAAQPAVQIAGRVNQLFTLVMTDPDAPSPSEPSMREWLHWLVVNIPGGTDPSQGEVVVPYMGPKPPVGIHRYVMVLFQQKARMAAPAPDADAARTRFSTRAFADRHELGLPVAALYFNAQKEPANRRRRY >LPERR06G11630.1 pep chromosome:Lperr_V1.4:6:9424918:9429418:-1 gene:LPERR06G11630 transcript:LPERR06G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIHYALLLLAAVAAAAVIPPPPPAAALSAMPDPASLDPSLLFPSTSASPSPSSAQPAAAASSTIPAFPEQSDAAAAATSVCQLTPSPPLLPPVLSSCNAGAGGVLPPRLRCCPALAAWLYAAFAPTALMSSSSAAAAVVDLPVVPDDAEECAGAAERALVAAGASLPPAPPQTQKVVGGGGVVNGTTATAACDVAFCYCRIKLRRPACAAPEGRFGRRLERECSLPGLDGCSRCLRVLNKLSHNTASQNATSAANAKHGAVGQQHERDCQLMGLTWLLHRNATRHGAAATAVIQALIAADETGGHATCSLPTDGLPVAVASSEINGGAVAKDVAGLGILHVMLSAFAMVFLLSQYNF >LPERR06G11640.1 pep chromosome:Lperr_V1.4:6:9441277:9443615:-1 gene:LPERR06G11640 transcript:LPERR06G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPAGVDAGEGKSGSSKEAMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDNFSKANKLGEGGFGPVYRGVLDGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFNEGKSAQLGWRTRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDESNEVNTGRVVGTYGYMAPEFALEGVYSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWSEGQAVEFMDPALGRTYTAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHMNLPDPAMPPMFTRLRRALLMAPPLTTKTDSTMSPVSVNDVSITVIEPR >LPERR06G11650.1 pep chromosome:Lperr_V1.4:6:9446929:9447751:-1 gene:LPERR06G11650 transcript:LPERR06G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMSWNDGVVIDDVETAPPLVAGCGDIPYSCQYSYEYDGWVHAAASSPSSSSVLTFDGGHGADEYTAASWMNMDMDSHGTDPQLISYGSTAATATSTCCLTFDTATTLVTAVSGSQKRPRPPPPSQGAEANESKKYKKHRMVDMVTMLDKAISYVKFMQLQLRVLQTDAFWPSPDGTAPDVSQVNHALHAIITQQQPPSCQFS >LPERR06G11660.1 pep chromosome:Lperr_V1.4:6:9459992:9462162:1 gene:LPERR06G11660 transcript:LPERR06G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPLAKILRINENCITDLFLLNLLCFGRKRTQQSGCFTDFSEGYFSLKFKSFHFDSDSKIEERVVSPVNSGDCICRIHNLFGIRLMRKLAAGLDWFGECENQVQAFSYLKFWLAFNTPLILNFSVVVFTPRQTILRPQCSQREKPEAQTNS >LPERR06G11660.2 pep chromosome:Lperr_V1.4:6:9457128:9460435:1 gene:LPERR06G11660 transcript:LPERR06G11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGRGKQQRKGADAAAAREEGKRRHDDEAAALREEAVAVLRLDREGRHAEAIARAEELAATHPQSALAQHLAAGLHHNASTRAAVERTDGQGSVAHLARARVFYDQARRLAPNCVQITVGSAMARLPTAEDHDLEPDREIMRAVRIAAASPTDPAENNATFHLDGSARTATERIAMARQSAAAHYRRIMSHMNTKVIPRAVVSTLEVSKREGAATAKKRARALAERYEYSARAIMAHAHISLDFARGLDPNIDKRPFLTRILDDVNKAVVQRLTSLEIVRFRAKLLFVLGFNCAVESECQRAISIENPVDPGEEDVPPGSVPGEKLQDRISYVCRDLQRLRQKLVLKARDHWCSLPSEKQDSFRFVGLKSMHQYYVSEDDHEAVKTVSDALNFVKKNGSWRFWVCPYCVGKKIPDIDSLLHHMRNKHSDGGFWPKLLSIVDPKLVPDTDASQGDYFLKNATICHDSEENYAFHFKRMDMIFGYLFLRACTKTDQNSLSEIRDEKCSKGVSILEKIKLKLKNIPTDTSSTESNEACAEIRDLWHYFLEISLLDYRVVISPLALSFISEKLLKCMSKDEMASSKSIDVAVIDAIFPFVDGFPNIDAILPNVKDAPDSNDDDTSKAGTHGQSAEGRATTTY >LPERR06G11670.1 pep chromosome:Lperr_V1.4:6:9470089:9471399:-1 gene:LPERR06G11670 transcript:LPERR06G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPLSPIPSSAKMSPARGGGGGLHLQWLFGKKRHSRQPLAAAAAQMGNGNGYDDGVEMEEEDDFFFVSTPYMPSTPSASPSPARTKRAAVARLRAAVLSVLARARRGGGAASSARVLTGTIFGRLRGRVHLALQADPRAAPATVLELAGYSTGALVREMASGLVRLALECEKPPPPSNPGEKRRRVALLEEMTWRAYCNGRKCGYAVRRECGAEEWRVLRAVEPVSVGAGVLPDDGGIGGEGDMMYMRARFERVVGSKDSEAFYMVSPDGAGPELSIYLLRV >LPERR06G11680.1 pep chromosome:Lperr_V1.4:6:9476313:9478296:-1 gene:LPERR06G11680 transcript:LPERR06G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGAPCDADDKGEEETSLQTMPPNVNGGGPLASECQLEGEPSTIDNTKALDGIIDLEGQDQVDVAPAPTIDRTNVSRVTIDLEEGQVEDMDLLDDDIVVAKHQHLDASIQTGASVAAVHTLNGVSVELDKSNGLENVSQESIKEQSSPVTAKTKSLLVLIRESKRKLMELMQQWSEWHSRKQHTFMVLFFANMASRISNYTVEKLRMPFPPKSGEEVLECGEETYYPALHVGLEKSCAVESGATL >LPERR06G11690.1 pep chromosome:Lperr_V1.4:6:9492447:9492827:-1 gene:LPERR06G11690 transcript:LPERR06G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAPTAVGRHSRPVTDRRIN >LPERR06G11700.1 pep chromosome:Lperr_V1.4:6:9496429:9504934:-1 gene:LPERR06G11700 transcript:LPERR06G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINKASMEESPVATGEKDDDDHVSSVPPPPPLAVREEAKRQLRLAGPIVAGALLRYVIQMISVMFVGHLGELPLAGASMASSFATVTGYSILPKVQAQQLDGPITQNPAQPAEQERNVGRTNLFFFSTSTYGTNYYKFYSTYMHGYIFAGQLGMASALDTLCGQAFGAEHYHLLGIYKQRAMLLLTVVSVPLAVVWFYTGEILRLLWQDADIAAEAGAYARWMILALFAYGPLQCHVRFLQAQNIVLPVMASSGAAALCHLLVCWLLVYVAGLGSKGAALSNAVSYWINLAVLAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAIPSALMMWSFEIIVLLSGRLPNPKLETSVLSISLNTAFLVWMIPFGLGSAISTRVSNELGAGRPHAARLAVRVVVFMAVSEGLVIGLVLVCARHIWGHAYSNEEEVIRYVAKMMVVLSVSNFFDGIQCALSGVARGSGWQKVGACVNLGAYYIVGIPSAYLIAFVLHVGGMASGLSSSMASWCKSCYSWESLYAQIGIKRRQMRRTGFSILHFHLIWRHEDGGDI >LPERR06G11700.2 pep chromosome:Lperr_V1.4:6:9496429:9504934:-1 gene:LPERR06G11700 transcript:LPERR06G11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINKASMEESPVATGEKDDDDHVSSVPPPPPLAVREEAKRQLRLAGPIVAGALLRYVIQMISVMFVGHLGELPLAGASMASSFATVTGYSILLGMASALDTLCGQAFGAEHYHLLGIYKQRAMLLLTVVSVPLAVVWFYTGEILRLLWQDADIAAEAGAYARWMILALFAYGPLQCHVRFLQAQNIVLPVMASSGAAALCHLLVCWLLVYVAGLGSKGAALSNAVSYWINLAVLAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAIPSALMMWSFEIIVLLSGRLPNPKLETSVLSISLNTAFLVWMIPFGLGSAISTRVSNELGAGRPHAARLAVRVVVFMAVSEGLVIGLVLVCARHIWGHAYSNEEEVIRYVAKMMVVLSVSNFFDGIQCALSGVARGSGWQKVGACVNLGAYYIVGIPSAYLIAFVLHVGGMASGLSSSMASWCKSCYSWESLYAQIGIKRRQMRRTGFSILHFHLIWRHEDGGDI >LPERR06G11710.1 pep chromosome:Lperr_V1.4:6:9516700:9517275:-1 gene:LPERR06G11710 transcript:LPERR06G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDTLCGQAFGAKQYHLLGIYKQRAVLLLTVVSIPIAVLWFHTGDILLKLGQDADIAAEAGAYARWMIPALFASGPLQCHVRFLQAQNVVVPVMAAAAVTALCHLVVCWALVYAAGMGSKGAALSNGVSSWINLAVLAVYVSVSSSCNKTWTGFSTEAFREALGFFRLAVPSALMVWSVRDNFKFERF >LPERR06G11720.1 pep chromosome:Lperr_V1.4:6:9520314:9525814:1 gene:LPERR06G11720 transcript:LPERR06G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSRSAKLATGVNGRDVGLKGHHNRHLKGYKHFGCLDVPLKHHRVILNQNTSPFSNCNKMMALKSTSLKNNGGLLQVDHEGSMDSLEYRHVPPRPVTIATKLLGQLMLHMLARFCNSPVLRKNHHPMETERNPSHGDHTPSQSEPWRRSTEREKEAGSTHVSAWTVDIHDLLGRTIWRWCDEVAGSPPFHHRPPSILVLAAIRAAEQPIVPVLCHRRRRAHPCCVGLCIYSSASALSPEFMASPWSPDVSIEVEEEEPMDEVEEGQGNWFSQKRCFVDDERDLRVMTEEEWREQLHREMAGRKVSREIGEVTITRFSEVA >LPERR06G11730.1 pep chromosome:Lperr_V1.4:6:9540378:9543315:1 gene:LPERR06G11730 transcript:LPERR06G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGMLIGKLAEALLKESAAVGATILGKEAAALKDLFFQIHESKKELEAMQVYLLDAERSEDTNPTTDVFMKNIREIYFKIEDVVDEFTYKLEDEHKGYTAKIKKRMTSVKTWHGLSQKLLEIRRSLENFDTRKSRYKPEETNRTVGESSGYGRFARQSSLHTVDLVGIKENKKILMEWLSADSGHGRRICTVWGMGGIGKTTLVNHVYDIVKVDFDTVAWINVSKNYDNHVDDLLRKITEEFGILDHDAGLDGGIPLRETGVQVVWHIMNAFPGDNNSTGRFIITSRIRDVALTATGNSVIHLEELGKDHSMELFCNEAFWNKEDKECPPELRDLAYQFLDKCNGLPIAIACIGRLLSCKLETLSEWENVYRMIKSRLTESVIFNVNIILKVSLEDLPYELKNCFMHCLVYPEKSIIKRKRAIRHWISAGFIQKQAQDNLTLEEVAEDYLTELVHRCLLQVVERSAVGRVKSCRMHDVIRILALKKAADECFCKAYDGSTSKPFSVGNTRRLSIQCTNNEELIHFESNETQVREIHVSECSTSLHWLKSILRSSLLLTTLDLQGCTTKELPKEVFNLFNLRYLGLRYTGISELPETIGRLQNLEVLDACKCNLSFFPNNIIKLRRLRFLHACTALGRDKVERFGGVQVPNGIKHLTSLQALQCVKADKGTLGEVRFLTELRTFGVSNVKGEHSTVLCEAISQMNSLVHLEITAIREEVLRLSGLHLPPTLHWLGLEGQLERTSMSQHLPSWSNLTNLTRLKLSFSKLDEESFGSLLPLRSLCRLSLCKAYDGSKLQFSAATFPKLRWLQIWDAPHLNQVTIEEGALPSLDVLRFMDCPNFNILPDGVVHLSTLTELYLKDTAEDLIDKLRGKGESNECDEHHRKINHIKKVTVVLTKNNIEERILYRNFSNSTGKGY >LPERR06G11740.1 pep chromosome:Lperr_V1.4:6:9558252:9564207:-1 gene:LPERR06G11740 transcript:LPERR06G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQRQLASEEEPLLVVGGDDQPAVVREEVKKQLWLAGPLIAGALLQNVTQMISVMFVGHLGELPLAGASMANSFASVTGLSLLLGMASALDTLCGQAFGAEQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGDILLLLGQDADIAAEAGVYARWMIPALFGYGLLQCHVRFLQTQNIVFPVMASAGATALCHFVVCWVLVYGVGLGSKGAALSIAVSYWINVVILAVYVRVSGSCKKTWTGFSMEAFRDALSFLRLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPDAARLAVRVVVFMAVLEGLVIGLLLVCVRYIWCHAYSDEEEVVRYVAKMMLVISVSNFFDGIQCVLSGVARGCGWQKIGAGVNLGAYYIVGIPSAYLIAFVMHVGGTGLWLGIICGLMVQVLLLMAITLCTNWDKEATNAKDRVFSSSIPSDPTT >LPERR06G11750.1 pep chromosome:Lperr_V1.4:6:9581115:9584942:1 gene:LPERR06G11750 transcript:LPERR06G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDCSFGKLNFGVHGSKWQSSQQVIMPCYAVDGFDSQPIDNIASDNTFYLLAVVHLGTSTTVWSANPNSPVSHSDNFVFDKDGKAYLQSGGSNVWAANISGKGTATSMQLLDSGNLVVLGEDASSPLWQSFSHPTDTLLSGQNFIDGMTLVSKSKTQNMTYTLQINSGSMMMYAGFETPQPYWSAQQDSRIIGGNGKTTTQITVPADSCDMPVHCNPYTICSSGTCQCPSALGSFANCNPGANSACKSNGEFQMVQLDSGVGYVGTKFFPPVAKANLTGCTHACMGNCSCVAAFFEESSGNCFLFDQIGSLQQKGGNTTRFTSFVKVSSSGKGGSDSGGGKRNIIVAVIVLGTFAIIGILVYIGFWIYKRKRHPPPSQEDACSSEDDGFLQTISGAPVRFTYRELQDATSNFSNKLGQGGFGSVYLGSLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGSHRLLAYEYMANGSLDRWIFHSKEGDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIIHCDIKPENVLLDDNFVAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPGEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVPQPPISSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >LPERR06G11760.1 pep chromosome:Lperr_V1.4:6:9586574:9588367:-1 gene:LPERR06G11760 transcript:LPERR06G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYELYLSLLDVLTRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPRTVHSDXK >LPERR06G11770.1 pep chromosome:Lperr_V1.4:6:9596016:9600506:-1 gene:LPERR06G11770 transcript:LPERR06G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYQGTALAVHHCYSLHSPAPVAASCRKKLEEDEGERRPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKLQAVEAAVTAVESSLPSPCQTAPVAAAHWVHRKLASGGGGGCAVAGEVYETEVMDVGTDDEAARAFFSRLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRVAVIAEQQRDSRRRGESPPEMEDPSVSCSILHGDQSLRGTSEPEQEGQEKLTRDMSARIPDEDQLSIPQEFGDSGRLGRTREEAVSTKLRTTPLGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMMSQSRRSGSTNGVKCSEKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRTLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLRVIRNIFLTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDFGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEEN >LPERR06G11770.2 pep chromosome:Lperr_V1.4:6:9596193:9600506:-1 gene:LPERR06G11770 transcript:LPERR06G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYQGTALAVHHCYSLHSPAPVAASCRKKLEEDEGERRPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKLQAVEAAVTAVESSLPSPCQTAPVAAAHWVHRKLASGGGGGCAVAGEVYETEVMDVGTDDEAARAFFSRLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRVAVIAEQQRDSRRRGESPPEMEDPSVSCSILHGDQSLRGTSEPEQEGQEKLTRDMSARIPDEDQLSIPQEFGDSGRLGRTREEAVSTKLRTTPLGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMMSQSRRSGSTNGVKCSEKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRTLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLRVIRNIFLTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDFGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYR >LPERR06G11770.3 pep chromosome:Lperr_V1.4:6:9596016:9600506:-1 gene:LPERR06G11770 transcript:LPERR06G11770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYQGTALAVHHCYSLHSPAPVAASCRKKLEEDEGERRPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKLQAVEAAVTAVESSLPSPCQTAPVAAAHWVHRKLASGGGGGCAVAGEVYETEVMDVGTDDEAARAFFSRLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRVAVIAEQQRDSRRRGESPPEMEDPSVSCSILHGDQSLRGTSEPEQEGQEKLTRDMSARIPDEDQLSIPQEFGDSGRLGRTREEAVSTKLRTTPLGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMMSQSRRSGSTNGVKCSEKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRTLNMMAFVKILKKFDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLRVIRNIFLTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDFGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEEN >LPERR06G11770.4 pep chromosome:Lperr_V1.4:6:9596016:9600506:-1 gene:LPERR06G11770 transcript:LPERR06G11770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYQGTALAVHHCYSLHSPAPVAASCRKKLEEDEGERRPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKLQAVEAAVTAVESSLPSPCQTAPVAAAHWVHRKLASGGGGGCAVAGEVYETEVMDVGTDDEAARAFFSRLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRVAVIAEQQRDSRRRGESPPEMEDPSVSCSILHGDQSLRGTSEPEQEGQEKLTRDMSARIPDEDQLSIPQEFGDSGRLGRTREEAVSTKLRTTPLGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLTLNMMAFVKILKKFDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLRVIRNIFLTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDFGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEEN >LPERR06G11780.1 pep chromosome:Lperr_V1.4:6:9633697:9634494:-1 gene:LPERR06G11780 transcript:LPERR06G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEDQMNMMRLIQLMSESSDLVLKVVKNSNAKDVVLSVLAPLVEEGKNVRDELSSYSDLALVEAKKQAKEQVLKLQAKLTWLQGENEELIKAKDSAEKKLAHAITLNVKSHKQANYYKVKLETFSKKHEGKSSYLVSLTRVLFSLLIFSSNSSCRFKEKAC >LPERR06G11790.1 pep chromosome:Lperr_V1.4:6:9686504:9688014:1 gene:LPERR06G11790 transcript:LPERR06G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEASPALKDSGAEPASSPVIGASISKSICVDLRSIPEGYMYKIDGTEELGSLKYLSKVKNIFHIDTSMIKVGAPTPAMPP >LPERR06G11800.1 pep chromosome:Lperr_V1.4:6:9699121:9701233:-1 gene:LPERR06G11800 transcript:LPERR06G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITELGGGCNVMQLMETTEILEDTCNSKNMLRGEDLELKPNEPFLNYMRLALDSPTGEGNFSNISSKELESAQDQLEWQSRIKPTRLPVLKLHTGNKMFTRTAECDIFVKFLYHKKSVVVCQMRKDRMCRMVDVPFDNITSFCFSFDQQSDILKIEVNSSLKFFSAAKPPPGMFHQWEVDDSKDDEFYFPESKYLRIETGKGSMEKCYAKLLYANPDLPCLVTSAN >LPERR06G11810.1 pep chromosome:Lperr_V1.4:6:9707407:9711413:1 gene:LPERR06G11810 transcript:LPERR06G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSRQALVGVSMVQMRAMMQRDGMPAEWLDIGMEMMRQLTSDPIPDPPVSTRAPLASAAASRPPADGLDRISALPDELLRDLVSRLPARDAARTSALSSRWLLVWRSAPLSLVDAHLAGEKSAAVVEAVSRALASHPGPFRCAHLTRTPMEGHRGEVARWIDALAEKGVEEMVFINRPWPLDLPLPAAILRCGASLTRLHLGVWRLPNTRAAPRAASFPRLRELVLSCVAMEERDVAFLLDRSPALEALAVIHCQNGARLRVVSRSLRVLQLCLTALIYLHVVDAPRLERLMIWMTEKGRRRDSALLNIGNAPNLRLLGFMEPGMHDLGIGNTIIKAGMKFSPSTVVRSVKILALEVKFTVRNEARMLPSFLKCFPSVETLHIHSLNADDNEPVGKLNLKFWQDAGLIKCVQHHMKKVIMREFRGTKSELTFLKFIAEHAQKLEMMLVVVANGCFCLRKEDAQAQMEALLASAKWASKGCKLVAFENPCSEVGAPAWSVRLGFCFDISDPFEYINDHSPMVWRPRHGDIVSRLPAKDAARTSALSSRWRLVWRSVPLVLADAHLLPRHGVRVMISPEKSRGLVASVSRALAAHPGPFRCVHLTITAMDAHRSEIARWLDVLAAGVEHLVFLNRPWPLDLPLPTTLLRCGASLTRLHIGFWRFPDTRAAAFPQLRQLSLAMVVIEDRDLAFLLDRSPALETLSVVMGQSGSRVRLASILDQMEL >LPERR06G11820.1 pep chromosome:Lperr_V1.4:6:9713665:9721225:-1 gene:LPERR06G11820 transcript:LPERR06G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYTAAALALRAAKPSSSSYAHSSYHHHHHGDGECHHQHQELHPPNPRVAPRFMLDGYLLRHSAHLLLSARLRPAPPPHPLPHCCRRRVTARCCGCRNGGGGGCSSVVGHVSWRLDPRCGAGRSSDLGAVCRRVEAGECRCGSGGGRLDVGARCGRRGAPRLVGRAVRQEVWEYEGGEWPRTSCSTECHADWEEEEEEDCGLLTQWEAPRRFRLSTRRWEEDDGDRCSRDCHRRKGARSDYYGEDEYSGRRRERRNMNEGHGRFRDSDQRRWEQRDHHDDDDYLEFRRWKEWRDRRDSDFDDSVSRRGVEARRYSEDDRKYDQRRTKRDFGYDDAVDVRREGAGRYTENNQRSDWRTEKREYGVDDEVDVRRDGRHYRNNDQRYAKRQQHIRDDREEEDVSLLESRRWDDEEYEYDDRDIAERRYYSGRRSQKSARASALQEDESNRASSSRNTVDTRVARNEENSSSRVRWHDNVDRRAEQTYEDRDQRYSSSVSRSYDEKHHVNHDDAQLARVRDSRIGTRDVRVINEDDANLASSSKNTTISKHHSTVDQKSIARKGDSRKSSQKIMELSEVRGTNTEHDSRTQSYHQEDRERYINNRSSSLQSSANMVSDTRTQLDQHDKVDQQVVALTGSRRRSEKLTDIKMDSSCDVSRTSLTQKNYDEVNQTDIDDRATSVHNITHITRDKKRYVNEQVIHETDIDVQNVTQVDVSKVRASDIITSRNSQNHLETRSDVANNQLIQIHANSSPMIRVPQSHLEAGLHNRVYVTSATDIANTSVEKPEQFEFTKASNTAIASTSESHMQTRIDDQLQSSSAVNTVGSAQEQIDLTKIRTSDSTVSSSSQGLDTRGNQVSITSATNFIDGTRENPDKSDQEMTQVSNIARNDQDRSKFDGLSQDPREMLARLKETGRLMQHNMGLNWQQEGSSRISNDTDIATLEIQSTGDDSSMVTADVQKRPMIMRNSEQEGRSETTGGTSIPTGSSARHPVSESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTIVTTKNDKPLLGGITGSSSRSRMRGPSDEVWDVQSATSQETFKTADKEEGSSVDGDIVRLGWIQRGESHDSNSNRPVKKSSSSNSRNTEGWLSSQERDNEGIQKKYESSRPQDQYLTKSHTGELLKKERLPISSQGLHTSEASNVTHIGTSKGDYVSRSSKDDAHMIGEKIKQSDVAASLKQDAVGGFSEDSTPTLEDITLGKFPEHEAVTSSMITTKGSADGDNGKEVIAGISSMPISTEGVGWTSGSDDKRNDPSGAMTPYHHPHTQVMMPHEDTSAVILEPPQLPTGGSTRFDEKTVLQEAPEVIRTEEKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRMTDELFMREALHEAQRAADIWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANTNQAAGPVHPFHPKISIRRGVLSNECSEIMQQFFHLRRKKKQKPESPPHAHLPGRNPVKFFTD >LPERR06G11820.2 pep chromosome:Lperr_V1.4:6:9713665:9721225:-1 gene:LPERR06G11820 transcript:LPERR06G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYTAAALALRAAKPSSSSYAHSSYHHHHHGDGECHHQHQELHPPNPRVAPRFMLDGYLLRHSAHLLLSARLRPAPPPHPLPHCCRRRVTARCCGCRNGGGGGCSSVVGHVSWRLDPRCGAGRSSDLGAVCRRVEAGECRCGSGGGRLDVGARCGRRGAPRLVGRAVRQEVWEYEGGEWPRTSCSTECHADWEEEEEEDCGLLTQWEAPRRFRLSTRRWEEDDGDRCSRDCHRRKGARSDYYGEDEYSGRRRERRNMNEGHGRFRDSDQRRWEQRDHHDDDDYLEFRRWKEWRDRRDSDFDDSVSRRGVEARRYSEDDRKYDQRRTKRDFGYDDAVDVRREGAGRYTENNQRSDWRTEKREYGVDDEVDVRRDGRHYRNNDQRYAKRQQHIRDDREEEDVSLLESRRWDDEEYEYDDRDIAERRYYSGRRSQKSARASALQEDESNRASSSRNTVDTRVARNEENSSSRVRWHDNVDRRAEQTYEDRDQRYSSSVSRSYDEKHHVNHDDAQLARVRDSRIGTRDVRVINEDDANLASSSKNTTISKHHSTVDQKSIARKGDSRKSSQKIMELSEVRGTNTEHDSRTQSYHQEDRERYINNRSSSLQSSANMVSDTRTQLDQHDKVDQQVVALTGSRRRSEKLTDIKMDSSCDVSRTSLTQKNYDEVNQTDIDDRATSVHNITHITRDKKRYVNEQVIHETDIDVQNVTQVDVSKVRASDIITSRNSQNHLETRSDVANNQLIQIHANSSPMIRVPQSHLEAGLHNRVYVTSATDIANTSVEKPEQFEFTKASNTAIASTSESHMQTRIDDQLQSSSAVNTVGSAQEQIDLTKIRTSDSTVSSSSQGLDTRGNQVSITSATNFIDGTRENPDKSDQEMTQVSNIARNDQDRSKFDGLSQDPREMLARLKETGRLMQHNMGLNWQQEGSSRISNDTDIATLEIQSTGDDSSMVTADVQKRPMIMRNSEQEGRSETTGGTSIPTGSSARHPVSESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTIVTTKNDKPLLGGITGSSSRSRMRGPSDEVWDVQSATSQETFKTADKEEGSSVDGGTTSTSQTPKNETAVARKVHKSLWAYVADIVRLGWIQRGESHDSNSNRPVKKSSSSNSRNTEGWLSSQERDNEGIQKKYESSRPQDQYLTKSHTGELLKKERLPISSQGLHTSEASNVTHIGTSKGDYVSRSSKDDAHMIGEKIKQSDVAASLKQDAVGGFSEDSTPTLEDITLGKFPEHEAVTSSMITTKGSADGDNGKEVIAGISSMPISTEGVGWTSGSDDKRNDPSGAMTPYHHPHTQVMMPHEDTSAVILEPPQLPTGGSTRFDEKTVLQEAPEVIRTEEKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRMTDELFMREALHEAQRAADIWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANTNQAAGPVHPFHPKISIRRGVLSNECSEIMQQFFHLRRKKKQKPESPPHAHLPGRNPVKFFTD >LPERR06G11830.1 pep chromosome:Lperr_V1.4:6:9723362:9734898:1 gene:LPERR06G11830 transcript:LPERR06G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGDEDDLVVYGTPIEREEDTSARKRRAVAEASQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWAPQTFTSSRKNRAEVKKQSIYSFLDEEDIKRCPGSSVLMNTIVGEVLLYGLWFLKRIRAKDMGGNALETSQQYDTFGITATEYARKQASKEQKERPSAIPGPIPDELVVPATTSIESRREARKAFLALSGINADGEKLQIESHKSGKDESVTESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSAARDRNRSDVSVRGSLLISNSGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKATNDSNYKLEDRKRGVFLTFKIASSSEYKLERFDPPVIPADFDGHHKFLTPLQSSVNNLFDLAPPEVPPPEDSSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYVDQQRPDAVKSKSSSDRLTAENRGKILGERPLDRSTKPSSSSFPAKEAIQLQSNLGETFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLIPTSNMSDADRARERLDFEAAAETIEKGKEKKPMDPLSLLGLAGINEQRFVSSTESEKSTPAQDEKSIYPRREEFEWRPAPILCKRFDIVDPFMGKFCDTSMHVQKPRSKMDNLIFMSESSTRTHDKVESSSIAPQHTSVAGTTETESKGTASDPDVEYSSVQRPVDLYKAIFSDDSDDDMDEPLTNQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPAPPNVLYRPETPSTANASGISGNGKASTCQEVKENENALDKAEVVNANADAPSDNVEKIDLRYEKQEHRAEKGRSRSSHRQTRSGSIDSDSTSDQHRSRKRRSSHHFQSESPGSDSSIEHHSSKKGKSHSRHRTHKKGSRYADSSSDSQHTKRKRRGKRHHRTRNSDTDSSDHEHKERYKSSSRRSSDKDRSRRHSRHHKR >LPERR06G11830.2 pep chromosome:Lperr_V1.4:6:9723362:9734922:1 gene:LPERR06G11830 transcript:LPERR06G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGDEDDLVVYGTPIEREEDTSARKRRAVAEASQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWAPQTFTSSRKNRAEVKKQSIYSFLDEEDIKRCPGSSVLMNTIVGEVLLYGLWFLKRIRAKDMGGNALETSQQYDTFGITATEYARKQASKEQKERPSAIPGPIPDELVVPATTSIESRREARKAFLALSGINADGEKLQIESHKSGKDESVTESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSAARDRNRSDVSVRGSLLISNSGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKATNDSNYKLEDRKRGVFLTFKIASSSEYKLERFDPPVIPADFDGHHKFLTPLQSSVNNLFDLAPPEVPPPEDSSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYVDQQRPDAVKSKSSSDRLTAENRGKILGERPLDRSTKPSSSSFPAKEAIQLQSNLGETFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLIPTSNMSDADRARERLDFEAAAETIEKGKEKKPMDPLSLLGLAGINEQRFVSSTESEKSTPAQDEKSIYPRREEFEWRPAPILCKRFDIVDPFMGKFCDTSMHVQKPRSKMDNLIFMSESSTRTHDKVESSSIAPQHTSVAGTTETESKGTASDPDVEYSSVQRPVDLYKAIFSDDSDDDMDEPLTNQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPAPPNVLYRPETPSTANASGISGNGKASTCQEVKENENALDKAEVVNANADAPSDNVEKIDLRYEKQEHRAEKGRSRSSHRQTRSGSIDSDSTSDQHRSRKRRSSHHFQSESPGSDSSIEHHSSKKGKSHSRHRTHKKGSRYADSSSDSQHTKRKRRGKRHHRTRNSDTDSSDHEHKERYKSSSRRSSDKDRSRRHSRHHKR >LPERR06G11840.1 pep chromosome:Lperr_V1.4:6:9746323:9753563:1 gene:LPERR06G11840 transcript:LPERR06G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRRRQKLKLKLSALYTFALCSKGSSDDHSSRIGTTGFSRVVYVNEPSRHDEEGFRYPLNEVSTTKYNLVTFVPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLGVVIVATMAKEGIEDWRRKQQDNELNNRIVKVHIGNGHFEERKWKNIKVGDVIKVEKDSFFPSDLILLSSNYPDGICYVETMNLDGETNLKIKQALEETLHLEEDNGFVNFRQTIKCEDPNANLYSFIGTMEWKGKQYNLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEMRMDKIVYVLLSSLLVIALLGSVFFGVWTKKDLRNGKMKRWYLRPDDTTVFYDPKRATLASFYHLLTALMLYSFFIPISLYISIEIVKILQALFINQDIKMYHEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGAVSGAEIENMEYTDKKNDESPHIKGFNFKDPRIMDGNWTHEQNSDMIRDFFRLLAICHTCIPEVDEETRKVTYEAESPDEAAFVIAARELGFEFYHRAQSSIIVRERDPITNVVKDRKYELLNVLEFSSTRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRVLDDNEYMEFSEKFNTARTSLSADRDQKIEEAVESIEQDLLLLGATAVEDKLQKGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPSPSQSSRESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHSHRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISGMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVLFASLPVVALGVFDQDVSSRLCLQYPGLYQEGVQNILFSWRRIIGWMLNGVMNAILIFFFCTTAFGLQAFRQNGQVADLNALGALMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAAWYLFIVGFGALAPNLSTTAYMVFTEQLAPALSYWLMTLFVVVATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSNQRMVGISARRDGKAMQITREADQELQG >LPERR06G11840.2 pep chromosome:Lperr_V1.4:6:9746626:9753563:1 gene:LPERR06G11840 transcript:LPERR06G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRRRQKLKLKLSALYTFALCSKGSSDDHSSRIGTTGFSRVVYVNEPSRHDEEGFRYPLNEVSTTKYNLVTFVPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLGVVIVATMAKEGIEDWRRKQQDNELNNRIVKVHIGNGHFEERKWKNIKVGDVIKVEKDSFFPSDLILLSSNYPDGICYVETMNLDGETNLKIKQALEETLHLEEDNGFVNFRQTIKCEDPNANLYSFIGTMEWKGKQYNLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEMRMDKIVYVLLSSLLVIALLGSVFFGVWTKKDLRNGKMKRWYLRPDDTTVFYDPKRATLASFYHLLTALMLYSFFIPISLYISIEIVKILQALFINQDIKMYHEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGAVSGAEIENMEYTDKKNDESPHIKGFNFKDPRIMDGNWTHEQNSDMIRDFFRLLAICHTCIPEVDEETRKVTYEAESPDEAAFVIAARELGFEFYHRAQSSIIVRERDPITNVVKDRKYELLNVLEFSSTRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRVLDDNEYMEFSEKFNTARTSLSADRDQKIEEAVESIEQDLLLLGATAVEDKLQKGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPSPSQSSRESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHSHRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISGMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVLFASLPVVALGVFDQDVSSRLCLQYPGLYQEGVQNILFSWRRIIGWMLNGVMNAILIFFFCTTAFGLQAFRQNGQVADLNALGALMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAAWYLFIVGFGALAPNLSTTAYMVFTEQLAPALSYWLMTLFVVVATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSNQRMVGISARRDGKAMQITREADQELQG >LPERR06G11850.1 pep chromosome:Lperr_V1.4:6:9754274:9754567:-1 gene:LPERR06G11850 transcript:LPERR06G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLPAYDVSGSATAPRHGHGSGSVGAFLAVLAAVLVVTAVSCVVGRACAARAEGPDERYGCVGLAGSRRWWWRREARRPAAEEEEVKQPAAET >LPERR06G11860.1 pep chromosome:Lperr_V1.4:6:9759887:9760081:-1 gene:LPERR06G11860 transcript:LPERR06G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRAPGVAVTRSGHPLARSGRGGGRRVTGSGGATAGAGVVGCTAAAATAAGQRRCGRAGGGC >LPERR06G11870.1 pep chromosome:Lperr_V1.4:6:9760110:9760406:-1 gene:LPERR06G11870 transcript:LPERR06G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPITIHLRLLRHAGDLITLGLQQLDPPFELAGDGAKLCRHPRLLPLHVTPNNASSRRRVGRRRRHPLPPPRHPFLPSSLPHRRWSSSPDLLPSPRTT >LPERR06G11880.1 pep chromosome:Lperr_V1.4:6:9782122:9790315:-1 gene:LPERR06G11880 transcript:LPERR06G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMRRFDGIHPSPTHGGPRSCAVRHRGSGSSNFCQRRHLPPLRRWLAERHASVRLPPPATTSIRAADVASIRAIRVAAASSPSPLSTVAAASSTSTPLRPCRRLILLLRLSPPSSPPPPPPTLSAVAAVSSSTSSPFAPARHSPRSVSSSVHASHLLPPFFVVNAPPRRRRGSALTTLRPDTAFAKPRRRLTPRDPHLPGSYASGIHCGHRCTTSSSRCRAATCSCDASPAHMMEWPTYLTGEGGVSISVAFPRGHSSIHRLPSLLTSSASLLPYWPLAKLIGQKDDSDEAIFLSSTFLPGGRGIVQPQGVALGRR >LPERR06G11890.1 pep chromosome:Lperr_V1.4:6:9792717:9796361:-1 gene:LPERR06G11890 transcript:LPERR06G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELHFPADLSLPPSASRQHVHDEKKSAPGASRRRRRASAHLDGDRRIAASSVIFLDPISSFSFLLLLCSSAASFIVISYSPSTFSIRATASATGGDITTTADAAGGGASASTTDITSPATSAITAASHISTSTGQRCAPTGGIRAAAFAVAVRSPAALSKHSGATSRGCAKIVSGNDATAAAASFARGLLPTADDTDEHGSRPLPTGGGQRVVAASEQRRAELRRHRGGGGLAGVFVCLSQRRKRREAERYYPGFAVPSYTPQHMSGEAPFLRAPSSSSTPGSMNFSQGMSPSPPMSSYGQQPPPPPSSYQYQQRYTSSSANYSTGSTTQGGGGVGRSGELSVGNTKAFTFDELYDITGGFARDRLLGEGGFGCVYKGTLAADGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHGNVHVFPPLLSRACMAGLRKEIDMIAGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLNDHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLNGNYDDVEMFRVIEAAAACIRHSAARRPKMGQVIRILDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQKMAFGSQDFSSEYSQSRSSLSGRRDF >LPERR06G11900.1 pep chromosome:Lperr_V1.4:6:9802156:9815947:1 gene:LPERR06G11900 transcript:LPERR06G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASTGRPSDGDDGADGAGDESELRKLRFEELEEKSRRIQSTLNAGIGRSLPDGGANFRRSLDAVKREIDRRRKAVERATDDDKRERIVQSRHAESSVKQPEVTILDFRSSFGIDEEAGIGASQLQTSASTGDPKTLIDNEGVLWEEEHSCKPSMPQKVSSVDCTDIENIDAADDSKDNGYSMIRKDVHTSRKRKGELSPAFSMRLRSRKVEEVVLLDGDTSISDSAEKTSSAWDTPNSIELSHADIKCLEPESLLSSNILNFYIMYLLGQMPSTSRLGDNYHIFNTYFFSKLESLASKADKDTYFVNLRRWWKGVNIFQKAYIIFPVHADSGCEDDVGKKWWWLGIVAAQHLWVKPEASRLDEGRGFLKEEWSYLNKTSSLQDFHLHESVCKNLGKIKKTPVTVPQQDNEYDCGVFVLYYMRRFIEEAPERFSNKDISMFNKGWFQPEEASALRKEMRALLLKLFEEAKHNKDMRDPTTPVPATAEHPVEVSSTETAMRGRPLNAVDVATS >LPERR06G11910.1 pep chromosome:Lperr_V1.4:6:9830619:9831258:1 gene:LPERR06G11910 transcript:LPERR06G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVANGIVSPRIDLQGSPKYHGRSIPAGYASVGNNRGVAEYRGLALDFPRGEDETTLGEIEHGVSYSTSIFKSSECTSSTFCVSSPTRAAKEGCQYPGKDETAGQ >LPERR06G11920.1 pep chromosome:Lperr_V1.4:6:9831747:9832291:1 gene:LPERR06G11920 transcript:LPERR06G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSDLTWFDRSQHKCLSCTADTSKLKHTNHWILLSINLDDSSCIIDDSMHKDQILYQNMLDLLQRVWVRFTSKEPGEWK >LPERR06G11930.1 pep chromosome:Lperr_V1.4:6:9838986:9839250:-1 gene:LPERR06G11930 transcript:LPERR06G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDMKDEEMTTKERLEMEDLCSRLASLIPQDYSPVDATSQDYNQEITRLESAFVMYSIQNSFFS >LPERR06G11940.1 pep chromosome:Lperr_V1.4:6:9848214:9851826:-1 gene:LPERR06G11940 transcript:LPERR06G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKAGEYAEMNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCSLLYHDRLKINPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQNYIVKEGQLASQYQ >LPERR06G11950.1 pep chromosome:Lperr_V1.4:6:9864686:9865236:-1 gene:LPERR06G11950 transcript:LPERR06G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGKAIAEREAAVEGREAAVLQAQEEVSLSFRALEECEQQLVAASRCQQEELERRERDIATREQEVGDVEARAQELEQRERALPPQPVPHFGEAAPDLERARQRIADLEHMLDLGTKIMAASVARLHEAAREVGCLGGLASQVDVLAKGIKGVLEEVDEVAKDSPYDLAR >LPERR06G11960.1 pep chromosome:Lperr_V1.4:6:9869630:9880301:1 gene:LPERR06G11960 transcript:LPERR06G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNTEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNDHQLWVVMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLTPLGERFRALKAKEADLLLNNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSTNGTCHLDGVNSKVKDGIQEDYNEPKNIYQGRANHVASARPEDEIQEVDDLDCALASSFPSRPLEALKSCFDVSGDDDPCATATDLREQPNTESVSPIQQFPQIEHHKSASCNGENLERSASVPSNLVHSGSHKFLSGSLIPEHVLSPYRNAANDSARNECHQKSTCNRNRSGPLFRQMKDSRAHLPVESEEQPEGKVIQRRGRFQVTSDSSFISKQQQVLKSTNRINTINFQSINNSSNATILDTAKYHAKDGGDASITGSSQSSGVNFREKELQSYITNLQQSVSELAEEVKKLKLKNSQLEVQINALPKKDEWLRREDTRQ >LPERR06G11960.2 pep chromosome:Lperr_V1.4:6:9870554:9880301:1 gene:LPERR06G11960 transcript:LPERR06G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNTEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNDHQLWVVMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLTPLGERFRALKAKEADLLLNNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSTNGTCHLDGVNSKVKDGIQEDYNEPKNIYQGRANHVASARPEDEIQEVDDLDCALASSFPSRPLEALKSCFDVSGDDDPCATATDLREQPNTESVSPIQQFPQIEHHKSASCNGENLERSASVPSNLVHSGSHKFLSGSLIPEHVLSPYRNAANDSARNECHQKSTCNRNRSGPLFRQMKDSRAHLPVESEEQPEGKVIQRRGRFQVTSDSSFISKQQQVLKSTNRINTINFQSINNSSNATILDTAKYHAKDGGDASITGSSQSSGVNFREKELQSYITNLQQSVSELAEEVKKLKLKNSQLEVQINALPKKDEWLRREDTRQ >LPERR06G11960.3 pep chromosome:Lperr_V1.4:6:9869591:9870852:1 gene:LPERR06G11960 transcript:LPERR06G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGHSAATENLGVGEGEGRRPPLHLLFLPPRLSPLRCLPSPRLPLALAVAVAGVIRPAPRCSSSSAPEIRHRRLPPLGNPSAVGERVEVVTYDAL >LPERR06G11970.1 pep chromosome:Lperr_V1.4:6:9884195:9886711:-1 gene:LPERR06G11970 transcript:LPERR06G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRELPETPTWAVAVVCAVIVLLSVAMEHGLHILAHWFRRRQKKAMGDALEKIKAELMLLGFISLLLTVAQTPISKICIPKSAANILLPCKAGQDAIGQEAIARRSLAGAAGGDYCSRYDDKVALMSASGMHQLHIFIFVLAVFHVTYCVINMGLGRLKMKKWKKWESETNSLEYQFANDPSRFRFTHQTSFVKRHLGSYSSTPVLRWVMGSNMKKTILEEQTMKALMNWRKMARERKKLREADALLSQMSSAASPVHLLQDHRARSDGPPSPYALASSPEEDEEEEEPRDMYPVPASRRIIEPGVASSSSATRADIAESDFSFSAER >LPERR06G11980.1 pep chromosome:Lperr_V1.4:6:9889624:9892892:1 gene:LPERR06G11980 transcript:LPERR06G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIYLIVSRRRKKKMDGLVYHYDGNNYFVTSSQFSGSSHPPSGMVINSGGFTHGQPVSALTDSGSGYYQSGPYSGGEGGAPVGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAELIMESLANITKMFRSHLGKIGHPRIIHRDIKSANILLDYSWEAQVADFGLAKLANDAHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTRPLEGAYNRNEMMTMVEAAAACVRHSAPKRPRMVQVMRALDEGSMTDLSNGIKVGQSQVFGGGGGGDAAAAAIQQLRRTAFASEEFTGEFEHSSANSESRPMNRIPE >LPERR06G11990.1 pep chromosome:Lperr_V1.4:6:9906165:9911778:1 gene:LPERR06G11990 transcript:LPERR06G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGGPAFRFTQAEVAEMESRLQQLNNAIPHRSVIQALADKFTASPARAGKVPVQPKQVWNWFQNRRYSHRSRNTRGPLLMQAKMLPTGSDEQKVAPFRAMPSAAALSGSPSGKSPLESGQVEFEAKSARDGAWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDYRLQILHATRAAGVAKEAVVDLVSHNDKGSAEQKPQKQHKMMDVNTDEVTMVSNPDQEEPTGKTVATLQAEPIKTPNDSASASDVQMGEAQAGPKVESSDEVEENKMKEG >LPERR06G12000.1 pep chromosome:Lperr_V1.4:6:9912511:9915486:-1 gene:LPERR06G12000 transcript:LPERR06G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMRSAAAAPCSVAAMLLRRFASSPSAAIRRHAALSSSSTFSSSSTTATRFAAWSPLPPTTTPSTGVRSRGFSAWASAPGPAGSADSPAMQALEAKIKEQLEADMVTVVDTSGDGRHVFEGKSALNRQRMVYKAIWEELQSTVHAVDKMTTETPAEAAANK >LPERR06G12010.1 pep chromosome:Lperr_V1.4:6:9923251:9924751:1 gene:LPERR06G12010 transcript:LPERR06G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVLLFFLETTFFACRCVA >LPERR06G12020.1 pep chromosome:Lperr_V1.4:6:9933282:9941686:1 gene:LPERR06G12020 transcript:LPERR06G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGMAKTTTTAGRPLFRFLRAEVAEMEARLQQQVNRAIPDRSVIQALAEKFTASTERAGTVGVKPVQVWYWFLNRNRMYRSRNSRMAMLPIGTSHEHKLDSTTRAISSSSTQSTDSSSGKNHLEGGQIEYEAKSARDGSGFDILGLEPWMMNGSMSINVCANVLFQDLLNERKDHALYFDAQVIDAQKRRHDARGCRCRFLVRYDDQSEVTVPKPVISAEAY >LPERR06G12030.1 pep chromosome:Lperr_V1.4:6:9957939:9960111:1 gene:LPERR06G12030 transcript:LPERR06G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFCGMEWTDVEKEEARSIITKLSNDFDVVGTGNGNRDTRHDRIVSELAAWFPWMTRKQVIDLYIDIVADMSQQALVQPQDAGSTVHPTFEPIKDNFSMLPGEYASMNNFDFGMNKNNYYDGSGMVFGDAPIAEMVEQAPPTPVVNSGNEVNWGSGHQHAEPKGGKSRFWTIDEHRMFLWGLQHYRRGDWKNISRFFVPSKTAVQVSSHAQKFFRRLERADVKQRYSINDVGLNDAENPLDNNYSGWQAHAFAGGNVSVTAGRAAPPENTSSIPGMNNDVSHYGLLDPLDNNYGSWQALGFTGSHLNHVGGYGAGGHNIASLATSSAAAMKNVAQLWAPLLYKPLKKQQQQEQFTQMQVPLPQQEWNHQQMMGAAATPLMEGEAWWNAAPMEGGAMWNDQQMMGAAAVPMEEAFDNFAPFGGAANNFASASGSGSYQHERGTSNDFAAEPWMMNKNMF >LPERR06G12050.1 pep chromosome:Lperr_V1.4:6:10003220:10003603:1 gene:LPERR06G12050 transcript:LPERR06G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVAQLWAPLLYNPQKQQQQQFSQMQVPQPQQELNHQQTIGAAATPLMEGEASWNAAPMEGGALWNDQRMMGVAAAPMEEAFDNFVPFGEATHRFASASGSGYYQHEQGISNDVPAEPWMMNKNLF >LPERR06G12060.1 pep chromosome:Lperr_V1.4:6:10023636:10025751:-1 gene:LPERR06G12060 transcript:LPERR06G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFSGMEWTDVEKEGARSIIAKLSNHFDVFGAGNGNKDTRHDRIVSELEAWFPWMTRKQVIDLYVDIVVDMSQQAPVQPHDAGSSVHPTFEPIKDNLGMLPGEDPAMNNVNFGMNKNNYYDGSGMVFGDAPIGETVEQAPPTPVVNSGNEVNWGSGRQHAEPKSGKSRFWTIDEHRLFLWGLQHYGRGEWKNISRFFVPSKTPVQVSSHAQKFFRRLERADVKQRYSINDVGLNDAENPVDNNYSGWQAHAFAGGNDSVTARHAAHPENTSSVTAMNNDVGHYGLPDPLDNNYGGWQALALTGGHLNPVSGYGAGGHNIASPATSSATAMRNVAQLWAPLLYNPQKQQQQQQFTHMQVPRPQQEWNHQQMMGAAATPLMEGEASWNAAPMEGGAMWNDQQMMGAAAVPMEEAFDNFAPFGGATDNFASASGSGYYQHEQGTSNDVPVEPWMMNKNIF >LPERR06G12070.1 pep chromosome:Lperr_V1.4:6:10049383:10049982:-1 gene:LPERR06G12070 transcript:LPERR06G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPISSPFPTTPQLRLGRRYHRVLQLLSPPRASSGDDATAAEDPPAAAAPAKTATAPAGDDDFEERVLRIKSRVGPKKRGSAAARKRRSGASASSSSKGAVTLPPVPLREPRSSLVGGGSRVPVEFGFSAYSERLNGALAAVGLVALLLVELGSGQALVKYHQPATIFLQVYTVAAAGAVFVKYEKERISVWPGPPE >LPERR06G12080.1 pep chromosome:Lperr_V1.4:6:10071034:10072079:1 gene:LPERR06G12080 transcript:LPERR06G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAVAIDLASGLCSPSFQKLKNSIVSTFGSASSSSSSSLFQAEDHRSSIGFVRRSRTSQSVTFCSSLLSM >LPERR06G12090.1 pep chromosome:Lperr_V1.4:6:10084133:10087963:1 gene:LPERR06G12090 transcript:LPERR06G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGHPVVVAFTIKCTYTHHLDKVLEEAAATFYPHIKFVRTTVECPKYPGFCLTRQKTEYPFIEVFYNPEQAASQGKGIDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFRYVETN >LPERR06G12100.1 pep chromosome:Lperr_V1.4:6:10088307:10088909:-1 gene:LPERR06G12100 transcript:LPERR06G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLTRPILLYNDHYPYSGVAVERAVRRSPAAEDGAGSFGPVLVVLAVISFLAVSACVYGRLCGGGRRPPSKGDQQQQRDGAAHSSDAEKGFGVVQQQQQQAVTMRPVPSSRATVHDVDDDVFEIKLTAAPSKPPAAGGGGRAPTRPMTVPIGVPRQCVPAAAGFRRAPPATASGGAAVRQGHAQVVGRGNGGAAFVHG >LPERR06G12110.1 pep chromosome:Lperr_V1.4:6:10102843:10108723:1 gene:LPERR06G12110 transcript:LPERR06G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTPPSPLSLCIYRQGHPLARFLARIVQYLRATYVLAPQVANYSISIDMWKLKIAEGNTAGLRSVNGFVGRAVWDFDPDAGTSEERAEVEMVRHEFTKHRFERREAHDLIMRMQYVKQNHLQMDLPAAKLVESSQVTEDGILTSLRRALAQHSALQAHDGHWPCDFSGIIFILFP >LPERR06G12110.2 pep chromosome:Lperr_V1.4:6:10102843:10108826:1 gene:LPERR06G12110 transcript:LPERR06G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTPPSPLSLCIYRQGHPLARFLARIVQYLRATYVLAPQVANYSISIDMWKLKIAEGNTAGLRSVNGFVGRAVWDFDPDAGTSEERAEVEMVRHEFTKHRFERREAHDLIMRMQYVKQNHLQMDLPAAKLVESSQVTEDGILTSLRRALAQHSALQAHDGHWPYSPHTKL >LPERR06G12120.1 pep chromosome:Lperr_V1.4:6:10116331:10128772:1 gene:LPERR06G12120 transcript:LPERR06G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIGLYDWSGNNPIIPELWLVPHFLPIHPGRFWCFCRLVYMPMAYLYGKKFAGSITPTVLAIRDELYSVPYEEINWNKARDMCAKEDLLYPRSFMQNIVCTCLNKVVEPMLNVWPLNKLRGAALSILMKHIRYEDESTNYINICPINKALNMICCWIENPNSDAFKLHLPRIYDYLWVAEDGMTAQVYDGCQSWETAFIVQVYCSTDLDNEFGQTLRKAHEFMKKSQVLEDHPDKEAYYRHRSKGSWTLSTADNGWSVSDCTAEALKALFLLSKLSPDLVGDPIESERLYDALDCLLSFMNKDGTFSTYECKRTTPLLEVLNPSESFLNIVVDYPFGTWGICFTYGTLFAIKGLVSAGRTYENSSSIRKACNFLLSKQLSTGGWGESYLSSETGVYVEASSAHAVNTSWAMLALIYAGQAERDLTPLYHAAKELINMQLESGEFPQQEHVGCFNSSFCFNYGNYRNLYPIWALAEFRRRLLARKT >LPERR06G12120.2 pep chromosome:Lperr_V1.4:6:10116331:10128772:1 gene:LPERR06G12120 transcript:LPERR06G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIGLYDWSGNNPIIPELWLVPHFLPIHPGRFWCFCRLEDLLYPRSFMQNIVCTCLNKVVEPMLNVWPLNKLRGAALSILMKHIRYEDESTNYINICPINKALNMICCWIENPNSDAFKLHLPRIYDYLWVAEDGMTAQVYDGCQSWETAFIVQVYCSTDLDNEFGQTLRKAHEFMKKSQVLEDHPDKEAYYRHRSKGSWTLSTADNGWSVSDCTAEALKALFLLSKLSPDLVGDPIESERLYDALDCLLSFMNKDGTFSTYECKRTTPLLEVLNPSESFLNIVVDYPFGTWGICFTYGTLFAIKGLVSAGRTYENSSSIRKACNFLLSKQLSTGGWGESYLSSETGVYVEASSAHAVNTSWAMLALIYAGQAERDLTPLYHAAKELINMQLESGEFPQQEHVGCFNSSFCFNYGNYRNLYPIWALAEFRRRLLARKT >LPERR06G12130.1 pep chromosome:Lperr_V1.4:6:10134196:10136412:-1 gene:LPERR06G12130 transcript:LPERR06G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPRSLNGSAAGSCFPFLLFLLVFVVSFPFFSDHRCLARTDSHHRRPPAGRHGPPRPVSPPPPTPPPATSTTTFCVLQYGAVGDGNTDDTKAKQAFVDAWSAACGVRSSTVVVPAGYRFVVGPVTFTGGSCQPNAVFQVDGTIVANTDSSAWSSGNAQQWLEFKSFNGLTIQGSGTVDGQGSHWWSGGAPATMTDVDADHVGTNNRPTAVRVYQSTNVAVTGITIQNSARFHLTIDTCRAVDVRGVAVRSPGDSPNTDGIHLAATVGVAIHNSTVACGDDCVSIQDGCSGVVVRGVACGPGHGISIGGLGKGGATATVSDVDVRDVSLTGTSSGVRIKTWQGGSGSVRGVRFAGVRVSAVRTPIVIDQYYCDDHATTCENRTAAVAVSGVSYSGVTGTYTRQPVYLACSDAAPCEGVRLQDIQLAPVKDGAGGRMYGPFCWKAYGGEVSPVVPPVDCLMAGPP >LPERR06G12140.1 pep chromosome:Lperr_V1.4:6:10151798:10155096:-1 gene:LPERR06G12140 transcript:LPERR06G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCGLAYLYMCSCRYVLRAPVDDRSISRCICVLCLVMLLSYVRLLCLSNLSSLCCMQLPDCLMGNSGLWGNSLQVPTPSLCPFLILVLH >LPERR06G12150.1 pep chromosome:Lperr_V1.4:6:10158619:10159749:-1 gene:LPERR06G12150 transcript:LPERR06G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLQIPEHGEKSVGFSLVPYMVSQNFSINFQVSKVMETAEEDLLFYLIHALDITRFVVLCSSDVTGKNPGNAHRHALVGKGKELEEHRFW >LPERR06G12160.1 pep chromosome:Lperr_V1.4:6:10177334:10184586:1 gene:LPERR06G12160 transcript:LPERR06G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNDGVIRISRHNSHPKVHSSMCNQLTMMLDKVSSVLPAIEAAQPGCKAGIEELCNLYNIVDKGKLAITGEATAMRCERIRIALRRSLFLVQNMVADVHNDLADVKFTVNLEEEEAGKAILEMLQQSDATQEHELQTFLFAASKLNLTSAKSILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKSMKPESAAKNEAVDVANVTSSTDLFTSGTNAPQKCFSPTNSWTRRCEDQNNLSRLSTPSEFCCPLSMKLMYDPVIIASGQTYERENIERWFSEGHDICPRTQIKLENFTITPNTCMKTVICNWCKDNELEFTSSSEQFHSYSLSSLHNISAPLISGTTREYMSDHSSSSLALSATSYVSSPMRETEESRANYTQFFSNAYYQLYLSFSNFNKEMFLNFFYELSELPMELQAKAVKDFKSVLNGECQIWHSMISNGFLEAFLEFLKNDNGRCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVHLIASFLDSELKTEALQMLHELLQQPTCWKSHLIASVVAPSVFLAWDSADTGCLELVLKIICELSSKSDVKSFLISSGIISKLSAVLSEGSLPEYCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSIEREHASGILLALCSRSIEDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSKHSDQFGNSCSSEVAVNGAAENSPNGTICKQPISKSARYISRKLNIFSKPRSLTLV >LPERR06G12160.2 pep chromosome:Lperr_V1.4:6:10177334:10184586:1 gene:LPERR06G12160 transcript:LPERR06G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNDGVIRISRHNSHPKVHSSMCNQLTMMLDKVSSVLPAIEAAQPGCKAGIEELCNLYNIVDKGKLAITGEATAMRCERIRIALRRSLFLVQNMVADVHNDLADVKFTVNLEEEEAGKAILEMLQQSDATQEHELQTFLFAASKLNLTSAKSILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKSMKPESAAKNEAVDVANVTSSTDLFTSGTNAPQKCFSPTNSWTRRCEDQNNLSRLSTPSEFCCPLSMKLMYDPVIIASGQTYERENIERWFSEGHDICPRTQIKLENFTITPNTCMKTVICNWCKDNELEFTSSSEQFHSYSLSSLHNISAPLISGTTREYMSDHSSSSLALSATSYVSSPMRETEESRANYTQFFSNAYYQLYLSFSNFNKEMFLNFFYELSELPMELQAKAVKDFKSVLNGECQIWHSMISNGFLEAFLEFLKNDNGRCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVHLIASFLDSELKTEALQMLHELLQQPTCWKSHLIASVVAPSVFLAWDSADTGCLELVLKIICELSSKSDVKSFLISSGIISKLSAVLSEGSLPEYCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSIEREHASGILLALCSRSIEDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSKHSDQFGNSCSSEVAVNGAAENSPNGTICKQPISKSARYISRKLNIFSKPRSLTLV >LPERR06G12170.1 pep chromosome:Lperr_V1.4:6:10187819:10190187:1 gene:LPERR06G12170 transcript:LPERR06G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGGHKSRILIIGGTGHLGKFIVAASARAGHPTTALVRAAAPPASGRARLLQSFSDAGVTILQGDIGDHDLLVKAVKAADVVISVVGYDHVGEQSKIIDAIKEAGGNVKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGYYLPTLVQPGASGLPTDKVVILGDGNTKAIFVNEEDIATFTIKAVDDPRTLNKVLHIRPPENALSMNDLVSLWENKVGKTFERVYLTEEEVFKQIRECPPLGIDLAILHSAYINGDHINFEIEPLVGVEATEIYPDIKYSTVDEYLNRLL >LPERR06G12180.1 pep chromosome:Lperr_V1.4:6:10197407:10197891:1 gene:LPERR06G12180 transcript:LPERR06G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGHINPNKAADPGLVYDLGVTDYACYICATLGDHAWIGDARAQLEPGLQEPGHASAAELPDHRGATPADRRRSRTVTNVGPATSAYTVKVRTPTSLVVRVLPTTLVFSMAGEKKTFSVSGGDQQEVVEGSLRWVSDKHVVRSPVVAVVGLGAPHL >LPERR06G12190.1 pep chromosome:Lperr_V1.4:6:10204395:10207128:1 gene:LPERR06G12190 transcript:LPERR06G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRHIVAASAREGHPTAVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYEHESLVKAIKSADVVISAVGYAQLADQTHIISAIKEAGNVKRFLPSEYGNDVDRTHAVDPAKSVYAKKVSIRRAIEAEGIPYTYVSSNFFAGRFLPNLAQPGVKDLPTDKVIILGDGNVKGIFVTEEDVGTYTIKAVDDPRTLNKILYLRPASNILSHNELVSLWEKKVHKTFERVYIPEDEVLKKIKESPAPINVVLSISHCVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRLL >LPERR06G12190.2 pep chromosome:Lperr_V1.4:6:10204295:10207128:1 gene:LPERR06G12190 transcript:LPERR06G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRHIVAASAREGHPTAVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYEHESLVKAIKSADVVISAVGYAQLADQTHIISAIKEAGNVKRFLPSEYGNDVDRTHAVDPAKSVYAKKVSIRRAIEAEGIPYTYVSSNFFAGRFLPNLAQPGVKDLPTDKVIILGDGNVKGIFVTEEDVGTYTIKAVDDPRTLNKILYLRPASNILSHNELVSLWEKKVHKTFERVYIPEDEVLKKIKESPAPINVVLSISHCVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRLL >LPERR06G12200.1 pep chromosome:Lperr_V1.4:6:10210094:10216016:1 gene:LPERR06G12200 transcript:LPERR06G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHIIGRSASRRRCLHSAPDAHAHPLLAAFSRLSLHGPLRDALALLPGLAAAGLLRVDPVAVCRLVKLCVRHGTPTDGRLIHRYVYDDGGSGAAAAPFGRSLFVSNSLVSMYAKFGLLDDALNLFDAMPQRNVVSWTTVVAALANAGGRKEQALRFFVAMLRDGVTPNMYTFSSVLGACSTPMVLAELHGAIVKSGDGVGAIEIFMRMKEAGFLSNQGTLTSVLRACTGMVSLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFSRMPEKDVISWSTMISGLAQNGRSIEALKVFDIMKSEGPRPNHITMIGVLFACSHTGLVEDGSYYFRSMEKLFGIQPEREHYNCMVDLLGRAGKLDEAVKFIHEMNFRPDSVIWRTLLGACRMHKNANLAAYAAKEILKLEPDDQGARILLSNTYADLRQWVDAEKSWKVMRDRGVKKDPGRSWIELSKQVHVFIAGDLSHPCSEGSSEVTARSYSMVHIMIHLYDYMVVLRCAWASDCFQKSEGLQGSTFYTEGF >LPERR06G12200.2 pep chromosome:Lperr_V1.4:6:10210094:10216016:1 gene:LPERR06G12200 transcript:LPERR06G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHIIGRSASRRRCLHSAPDAHAHPLLAAFSRLSLHGPLRDALALLPGLAAAGLLRVDPVAVCRLVKLCVRHGTPTDGRLIHRYVYDDGGSGAAAAPFGRSLFVSNSLVSMYAKFGLLDDALNLFDAMPQRNVVSWTTVVAALANAGGRKEQALRFFVAMLRDGVTPNMYTFSSVLGACSTPMVLAELHGAIVKSGDGVGAIEIFMRMKEAGFLSNQGTLTSVLRACTGMVSLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFSRMPEKDVISWSTMISGLAQNGRSIEALKVFDIMKSEGPRPNHITMIGVLFACSHTGLVEDGSYYFRSMEKLFGIQPEREHYNCMVDLLGRAGKLDEAVKFIHEMNFRPDSVIWRTLLGACRMHKNANLAAYAAKEILKLEPDDQGARILLSNTYADLRQWVDAEKSWKVMRDRGVKKDPGRSWIELSKQVHVFIAGDLSHPCSEGSSEVTARSYSMVHIMIHLYDYMVVLRCAWASDCFQKSEGLQGRKGNIFLMLAMQAEQQEE >LPERR06G12200.3 pep chromosome:Lperr_V1.4:6:10210094:10216016:1 gene:LPERR06G12200 transcript:LPERR06G12200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHIIGRSASRRRCLHSAPDAHAHPLLAAFSRLSLHGPLRDALALLPGLAAAGLLRVDPVAVCRLVKLCVRHGTPTDGRLIHRYVYDDGGSGAAAAPFGRSLFVSNSLVSMYAKFGLLDDALNLFDAMPQRNVVSWTTVVAALANAGGRKEQALRFFVAMLRDGVTPNMYTFSSVLGACSTPMVLAELHGAIVKSGDGVGAIEIFMRMKEAGFLSNQGTLTSVLRACTGMVSLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFSRMPEKDVISWSTMISGLAQNGRSIEALKVFDIMKSEGPRPNHITMIGVLFACSHTGLVEDGSYYFRSMEKLFGIQPEREHYNCMVDLLGRAGKLDEAVKFIHEMNFRPDSVIWRTLLGACRMHKNANLAAYAAKEILKLEPDDQGARILLSNTYADLRQWVDAEKSWKVMRDRGVKKDPGRSWIELSKQVHVFIAGDLSHPCSEGSSEVTARSYSMVHIMIHLYDYMVVLRCAWASDCFQKSEGLQGSTFYTEGF >LPERR06G12200.4 pep chromosome:Lperr_V1.4:6:10210094:10216016:1 gene:LPERR06G12200 transcript:LPERR06G12200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHIIGRSASRRRCLHSAPDAHAHPLLAAFSRLSLHGPLRDALALLPGLAAAGLLRVDPVAVCRLVKLCVRHGTPTDGRLIHRYVYDDGGSGAAAAPFGRSLFVSNSLVSMYAKFGLLDDALNLFDAMPQRNVVSWTTVVAALANAGGRKEQALRFFVAMLRDGVTPNMYTFSSVLGACSTPMVLAELHGAIVKVGLDSDVFVRTSLIDAYMKLGDLDGGRRVFDEMVTRDLIVWNSIIAGFSQSGDGVGAIEIFMRMKEAGFLSNQGTLTSVLRACTGMVSLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFSRMPEKDVISWSTMISGLAQNGRSIEALKVFDIMKSEGPRPNHITMIGVLFACSHTGLVEDGSYYFRSMEKLFGIQPEREHYNCMVDLLGRAGKLDEAVKFIHEMNFRPDSVIWRTLLGACRMHKNANLAAYAAKEILKLEPDDQGARILLSNTYADLRQWVDAEKSWKVMRDRGVKKDPGRSWIELSKQVHVFIAGDLSHPCSEGSSEVTARSYSMVHIMIHLYDYMVVLRCAWASDCFQKSEGLQGRKGNIFLMLAMQAEQQEE >LPERR06G12210.1 pep chromosome:Lperr_V1.4:6:10220606:10228637:1 gene:LPERR06G12210 transcript:LPERR06G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVKVNSLDLNSLSSLDTKHFLPLPNPTHSAHPHPSLSLIPSSTSPDLRLGSPRAWIQISMELLCIGTADTKLDELLFLAARLRSILASAPPTQQQVQVKIVDVSTTKEVSSQDFKDTAFISRDTILSCHLGVAQHELPNDRGEAIAFMSKALQSFLQRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSCVILSNAAAAVAGMVHRILMESNDSDETATKPTIGITMFGVTTPCVNAVKERLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDITTTEVADHIVGGVMACDETRFDAIIENKIPLVLSVGALDMVNFGAQDTIPPEFAGRKIHVHNEQVSLMRTTVEENKKFAQFIADKINKSSSKVTVCLPQKGISAIDAPEMPFYDLEATSTLLDELYSRIEKTDNREVKMLPYHINDPEFANALVDAFLSMNVKASNTINPENKTVQPKQDPNTKEYCSRQRTSDSSIIWRSPVDFPDARPETLQKTKSVLHKLKQQIVEGTPVVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLEDSVIRVQAIADAALGINPDIIVLCHGGPISGPQEAEFILKHTNRVHGFYGASSMERLPVEQAITNTVREYKQISVK >LPERR06G12220.1 pep chromosome:Lperr_V1.4:6:10243143:10247475:-1 gene:LPERR06G12220 transcript:LPERR06G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGNHSSSSRELHPPRKWEVEFARYIPRPPRRVGRWTPPAPPGLRHITRGKLRHRRGEWLPACLFSSFRRFSVCVLASEEMAADKVKGAGKEIGVTIERGDAMSWIKVGNKLGVVVMLPAKMHVMMEESAVLFTNLEIKRATSSMGTEQTAHSFGTETA >LPERR06G12230.1 pep chromosome:Lperr_V1.4:6:10251617:10254358:-1 gene:LPERR06G12230 transcript:LPERR06G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQHGMDADKQNIFRERDNMIHLNTCVSDGRSAVGQRCQRLGCNNVGEGQALLCKSHNIGHRCQMLGCPHIVPEGTVLCMNHGGRRTLGEPGSSTVACSKPEVSIKYEGDGGFRVTGNAVNDLGSASAGIYNLDGEVVMCKYQGCSKRAQGNALYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCGTSAKWGMDFCSLHRKGLMSNASNEMPPAPPPKRRAKKTKSAADPSAGLSSEPKMGTIHVADRSSESVMMKNASNASQQQPIQSMKSSPSSGLTASTEGDVAARSHALFGL >LPERR06G12250.1 pep chromosome:Lperr_V1.4:6:10263412:10265762:-1 gene:LPERR06G12250 transcript:LPERR06G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQKFALRFPHLSDAESFLNCVKDLKECSTDSMDIIPSGSDYLCEDSSASEYIASSGIHRPHDTISFEEPDQAIHRTETPALGYHEEPDEPIHRIEAPALSQHEAPALSQHEAPAFGQHEASAFGHHEAPAFGHHQAPQQILQPVLATNIDTIFSGFPPSFTDMLTQFSCKLDKVATTAAKESDASKETDDIMTRMKTYMADGAFHDMLYKLDKVIDELGGDLSL >LPERR06G12260.1 pep chromosome:Lperr_V1.4:6:10281738:10291283:-1 gene:LPERR06G12260 transcript:LPERR06G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDQDKGGVSTRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDAYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKKYVNTDVDLVAYVKSVVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQCDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGATGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMEPGSSMGCYYILRQDLYIIQA >LPERR06G12260.2 pep chromosome:Lperr_V1.4:6:10281738:10291283:-1 gene:LPERR06G12260 transcript:LPERR06G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDQDKGGVSTRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDAYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKKYVNTDVDLVAYVKSVVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQCDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGATGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMEPGSSMGCYYILRQDLYIIQA >LPERR06G12260.3 pep chromosome:Lperr_V1.4:6:10281738:10291283:-1 gene:LPERR06G12260 transcript:LPERR06G12260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDQDKGGVSTRVPLPEDTCDSYVYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDAYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKKYVNTDVDLVAYVKSVVDPTERLKQIAEVSCSTYHFSGNVYVGQCDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGATGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMEPGSSMGCYYILRQDLYIIQA >LPERR06G12260.4 pep chromosome:Lperr_V1.4:6:10280270:10281733:-1 gene:LPERR06G12260 transcript:LPERR06G12260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGEGGRAGCKGAACVTGQRGQRPQRMQRRWQGVEPARCDGNQRGARQGGGRQRSSSWRLGHRPGGFSDAWRH >LPERR06G12270.1 pep chromosome:Lperr_V1.4:6:10308839:10316193:-1 gene:LPERR06G12270 transcript:LPERR06G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDEDDDDVFSSKKGKTKVEESAPGAATGMILSLRESLQSCKDNLASSQVELDAAKSEVQKWHSAFQNMPAVPAGTNPDPVSVVSYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTHLRPPSMQTRRLLLDPAIHEEFTRLKSLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTQNNELRNQFNELYKHMDGLTNDVERSNEMVAILQDELETKDLELRRLKEMLAQKEATDDDKIAEEKTIAGDMNASSDIQPMKVET >LPERR06G12280.1 pep chromosome:Lperr_V1.4:6:10319615:10321036:1 gene:LPERR06G12280 transcript:LPERR06G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVTMQLVMGVLVMCISMASLHRFYATHALLPGLDDRCATSDGAGAGIAAFDMRALADRVDEVLVQLAELQDKLEATALKIGKKTKRRKHPPQQENMTMAEFRRFLEDEVIHPLYAAHIALRLVRIPRPDPDDDAVDPLVNFFSSEETRKYVTSKRTSRREANRTYGVIGHACVTMRRELDEYMSYDVGAPCPDDWDLGQRLMLGGCDPLPRRRCLARASKHFNRTLNNDDSSALWALPDDANVRWTRYHCRDYRCLSARNPRRGYNRCVGCFDMDRERQRWMIGNVSSSSLSLVDFRIDEVLAVKSAGEIRVGLDVTVGTGSFAARMRERGVTVVTTALNLGAPFAETVALRGLVPLYTATLGTRLPLFDNTMDLIHTDGVLDGWLDLQLLDFVLFDWDRVLRPGGLLWVDRFPCARKDLDDYMYMFLQFRYKKHRWVVSFKSRDEVYLSALLEKPPRS >LPERR06G12290.1 pep chromosome:Lperr_V1.4:6:10324291:10328245:-1 gene:LPERR06G12290 transcript:LPERR06G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPFLLLLTLLLLITSASSTAALASMHSSSEEDTASNATSARVRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNSNLTIAGSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRNIRRDSTSSDHEHAVGYVNNENYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHANMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >LPERR06G12300.1 pep chromosome:Lperr_V1.4:6:10348570:10363455:-1 gene:LPERR06G12300 transcript:LPERR06G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTALLRRLLDMSASQSPSRNMLTGHPKLFRLGHKDEGADGVELRQCSPTISLTNELTGALPLRAQRLGTVLAFLPSPLHATPFPRQIHTHTHPSRYAAEAHSSWVEVQCRAREARKTTQRNASGLPLSSASSASPLDRLPPSNPIPTGRGCLLSFFPPPFPSLSLALEMSGFSAVAERCALRIHAARPSSPARQPPPPLRRGRHRPAAAATTLAVSRRSLLVPRAVAASAAGRASPDLVRRFSLDSNSELQVTLNPAPQGSVAEINLEVTNTSGSLILHWGALRPDRREWLLPSRKPDGTTVYKNRALRTPFIKEEYEAARTELIEELNKGISLEKLRTKLMKTPEAVSDAPASENTTATKLPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAELDKGTSVEQLRKKILKGNIETKVSKQLKNKKYFSVERIQRKKRDTMQLLNKHKLTITEVQAETPKQPTILDLFTKSLQDQDSCEVLSRKLFKFGDKEILGITTNALGKTKVHLATNYMEPLILHWALAEENGEWKAPPSSILPSGSSLLDKACETSFTEYELDGLHCQVVEIELDDGAYKRMPFVLRSDETWIKNNGSDFYLDFSTKIVKNTKDTGDAGKGTAKALLDRIADLEEDAQRSLMHRFNIAADLVNQARDAGLLGIVGVFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLSYDRPIHSEPNFKSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLESPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDNNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSSLLNRIDPVLRNVAHLGSWQVISPVEVSGYIVIVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHEGKVFSFKPTSADITYREIAETELLQSDSLDVQAGQAVPAVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDDINKEVAQNIQMLKGKLAGDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKSIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDHGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYVPKNGSVQYELIRPVKYRPRSMIRCCSTARGKAREDYYQVLGVTVNSTPQEIKVAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKALMRNNSSRNADSSGRGYERGFTGKGYSSWNGPVRSHALFVDENKCIGCRECVHHAGQTFAMDNVLGSAHVEVQFGDLEEKIQVAVESCPVNCIHWVGSQELAVLEFLARPQQKEAHGVFGGGWERPRDLFAAANNFTKRLDRQEQQDMAREQRYNSNGVACEEAETAAQAEARRRAGQELRWRRLLDVWNGLTDWRKPGADR >LPERR06G12300.2 pep chromosome:Lperr_V1.4:6:10348570:10360963:-1 gene:LPERR06G12300 transcript:LPERR06G12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAVAERCALRIHAARPSSPARQPPPPLRRGRHRPAAAATTLAVSRRSLLVPRAVAASAAGRASPDLVRRFSLDSNSELQVTLNPAPQGSVAEINLEVTNTSGSLILHWGALRPDRREWLLPSRKPDGTTVYKNRALRTPFIKEEYEAARTELIEELNKGISLEKLRTKLMKTPEAVSDAPASENTTATKLPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAELDKGTSVEQLRKKILKGNIETKVSKQLKNKKYFSVERIQRKKRDTMQLLNKHKLTITEVQAETPKQPTILDLFTKSLQDQDSCEVLSRKLFKFGDKEILGITTNALGKTKVHLATNYMEPLILHWALAEENGEWKAPPSSILPSGSSLLDKACETSFTEYELDGLHCQVVEIELDDGAYKRMPFVLRSDETWIKNNGSDFYLDFSTKIVKNTKDTGDAGKGTAKALLDRIADLEEDAQRSLMHRFNIAADLVNQARDAGLLGIVGVFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLSYDRPIHSEPNFKSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLESPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDNNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSSLLNRIDPVLRNVAHLGSWQVISPVEVSGYIVIVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHEGKVFSFKPTSADITYREIAETELLQSDSLDVQAGQAVPAVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDDINKEVAQNIQMLKGKLAGDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKSIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDHGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYVPKNGSVQYELIRPVKYRPRSMIRCCSTARGKAREDYYQVLGVTVNSTPQEIKVAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKALMRNNSSRNADSSGRGYERGFTGKGYSSWNGPVRSHALFVDENKCIGCRECVHHAGQTFAMDNVLGSAHVEVQFGDLEEKIQVAVESCPVNCIHWVGSQELAVLEFLARPQQKEAHGVFGGGWERPRDLFAAANNFTKRLDRQEQQDMAREQRYNSNGVACEEAETAAQAEARRRAGQELRWRRLLDVWNGLTDWRKPGADR >LPERR06G12300.3 pep chromosome:Lperr_V1.4:6:10348570:10360963:-1 gene:LPERR06G12300 transcript:LPERR06G12300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAVAERCALRIHAARPSSPARQPPPPLRRGRHRPAAAATTLAVSRRSLLVPRAVAASAAGRASPDLVRRFSLDSNSELQVTLNPAPQGSVAEINLEVTNTSGSLILHWGALRPDRREWLLPSRKPDGTTVYKNRALRTPFIKEEYEAARTELIEELNKGISLEKLRTKLMKTPEAVSDAPASENTTATKLPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAELDKGTSVEQLRKKILKGNIETKVSKQLKNKKYFSVERIQRKKRDTMQLLNKHKLTITEVQAETPKQPTILDLFTKSLQDQDSCEVLSRKLFKFGDKEILGITTNALGKTKVHLATNYMEPLILHWALAEENGEWKAPPSSILPSGSSLLDKACETSFTEYELDGLHCQVVEIELDDGAYKRMPFVLRSDETWIKNNGSDFYLDFSTKIVKNTKDTGDAGKGTAKALLDRIADLEEDAQRSLMHRFNIAADLVNQARDAGLLGIVGVFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLSYDRPIHSEPNFKSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLESPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDNNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSSLLNRIDPVLRNVAHLGSWQVISPVEVSGYIVIVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHEGKVFSFKPTSADITYREIAETELLQSDSLDVQAGQAVPAVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDDINKEVAQNIQMLKGKLAGDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKSIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDHGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYVPKNGSVQYELIRPVKYRPRSMIRCCSTARGKAREDYYQVLGVTVNSTPQEIKVAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKALMRNNSSRNADSSGRGYERGFTGKGECVHHAGQTFAMDNVLGSAHVEVQFGDLEEKIQVAVESCPVNCIHWVGSQELAVLEFLARPQQKEAHGVFGGGWERPRDLFAAANNFTKRLDRQEQQDMAREQRYNSNGVACEEAETAAQAEARRRAGQELRWRRLLDVWNGLTDWRKPGADR >LPERR06G12300.4 pep chromosome:Lperr_V1.4:6:10362502:10363455:-1 gene:LPERR06G12300 transcript:LPERR06G12300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTALLRRLLDMSASQSPSRNMLTGHPKLFRLGHKDEGADGVELRQCSPTISLTNELTGALPLLGKAPQY >LPERR06G12310.1 pep chromosome:Lperr_V1.4:6:10383574:10383900:1 gene:LPERR06G12310 transcript:LPERR06G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWGHRPVRAKHHRVPSLIPEDFAVVNFEEETILSREENTIGRQSLKEESQKTTLKEESHNIHNQDKHQCSNELRGEEKDSEKGESSGRKDGDGKKDSEKDSTDGEK >LPERR06G12320.1 pep chromosome:Lperr_V1.4:6:10393993:10394757:-1 gene:LPERR06G12320 transcript:LPERR06G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRRQPPPPPSLDIGEEGAAATDDEGSDSYSDGSFSSGSGSAGGGATKKPAAFQRTWAPADEISLLEAVVSHRQRHGRIPSPDDLAAALRGSLLTTYGRRLDADAVSLRLRYLRSRYIAAWRRISFRGVVPVKDHDLRIYKLSKSIWEEATRDQRISKKPSVARNGPPREPRELEALYPCLSAVVDAVEASRPCAVVGMLRRSFGRIGDEKAAQLEAKARKQRLAEVKVGIRLAMLRKEVSDTLLELIESND >LPERR06G12330.1 pep chromosome:Lperr_V1.4:6:10411716:10412108:-1 gene:LPERR06G12330 transcript:LPERR06G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAEVRALTAAVEGANAVTLAAPAPIPPPPSPPCPPRRSIADTVARAMRSSPPVFVLASPASTRSSSKLRPPVHVAGERFTLAHVVALACSTGAGWNLRPQQRQQQQDRRWRRRQRLRQRTSPSWMAS >LPERR06G12340.1 pep chromosome:Lperr_V1.4:6:10412888:10419160:1 gene:LPERR06G12340 transcript:LPERR06G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDEWLAADKLQHVLACFLIAVAVAALSGRSSRSSFRRRSATLGCAASLAAGAAKEIADEAGFFGSSGASLRDAAADLLGAALAAVALTLLRRLRRPRGDGKARDDGRRDGISMETDQSIKEKMTLKFVNPIMGIVSSCSFPPSCVHKPLLKLCAKLAAANADSEGGANQSRRCHTRAAWSV >LPERR06G12350.1 pep chromosome:Lperr_V1.4:6:10420227:10422963:-1 gene:LPERR06G12350 transcript:LPERR06G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFGLNGKLPVDQAPTNILIQQKVNEPSADSRKPSTDTLRGDPKVVDDEADVKPQGTGGGEEDGRVLSEPDPTSGMMDPTHSKDGNGHKSHEETLGGDGGDGESKGKDEEEEEEHKHKVTLPTVSNYTIHDAAEDTENAKLEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPDGSQRDEVWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMVDFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIIAMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPIAFHKRGFDFIRQTFMDKQNVKLDCKRFRPILLEALNNLNP >LPERR06G12360.1 pep chromosome:Lperr_V1.4:6:10428181:10435021:-1 gene:LPERR06G12360 transcript:LPERR06G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLDDADEDPPVASVGEKRKRDDGPAGDAAADGETPRALNSATTGEKPAVPGEEAIGRCGLAEEVEDGLSVQIDPDMLDCSICFESLRPPLYQDVDDTIASFAMFVASFAVPIQRDEGIAKWDWSLRLLGFGCNTKLSAGWGIHKGCSQAACHCDAKDEHRCHQCKNGHVACFSCWAKLSNKCHVCSRDANFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPLICPVSGCRYRGFTGHWSGHFLASHSSDVMRFIYSQPFDVNFEVSLPFLVLLGEDDHLFLLVNNSVTPLGHAFSVVCLRNGNQNWKFSYEIEATSRKKPENRLQVKAYVTNTKQWTGLYPVEAFLLVPYEFCNSTSIILSISIERCAVV >LPERR06G12360.2 pep chromosome:Lperr_V1.4:6:10428181:10435021:-1 gene:LPERR06G12360 transcript:LPERR06G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLDDADEDPPVASVGEKRKRDDGPAGDAAADGETPRALNSATTGEKPAVPGEEAIGRCGLAEEVEDGLSVQIDPDMLDCSICFESLRPPLYQDVDDTIASFAMFVASFAVPIQRDEGIAKWDWSLRLLGFGCNTKLSACKNGHVACFSCWAKLSNKCHVCSRDANFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPLICPVSGCRYRGFTGHWSGHFLASHSSDVMRFIYSQPFDVNFEVSLPFLVLLGEDDHLFLLVNNSVTPLGHAFSVVCLRNGNQNWKFSYEIEATSRKKPENRLQVKAYVTNTKQWTGLYPVEAFLLVPYEFCNSTSIILSISIERCAVV >LPERR06G12360.3 pep chromosome:Lperr_V1.4:6:10428181:10435021:-1 gene:LPERR06G12360 transcript:LPERR06G12360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLDDADEDPPVASVGEKRKRDDGPAGDAAADGETPRALNSATTGEKPAVPGEEAIGRCGLAEEVEDGLSVQIDPDMLDCSICFESLRPPLYQGWGIHKGCSQAACHCDAKDEHRCHQCKNGHVACFSCWAKLSNKCHVCSRDANFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPLICPVSGCRYRGFTGHWSGHFLASHSSDVMRFIYSQPFDVNFEVSLPFLVLLGEDDHLFLLVNNSVTPLGHAFSVVCLRNGNQNWKFSYEIEATSRKKPENRLQVKAYVTNTKQWTGLYPVEAFLLVPYEFCNSTSIILSISIERCAVV >LPERR06G12360.4 pep chromosome:Lperr_V1.4:6:10428181:10435021:-1 gene:LPERR06G12360 transcript:LPERR06G12360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLDDADEDPPVASVGEKRKRDDGPAGDAAADGETPRALNSATTGEKPAVPGEEAIGRCGLAEEVEDGLSVQIDPDMLDCSICFESLRPPLYQCKNGHVACFSCWAKLSNKCHVCSRDANFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPLICPVSGCRYRGFTGHWSGHFLASHSSDVMRFIYSQPFDVNFEVSLPFLVLLGEDDHLFLLVNNSVTPLGHAFSVVCLRNGNQNWKFSYEIEATSRKKPENRLQVKAYVTNTKQWTGLYPVEAFLLVPYEFCNSTSIILSISIERCAVV >LPERR06G12370.1 pep chromosome:Lperr_V1.4:6:10431105:10434114:1 gene:LPERR06G12370 transcript:LPERR06G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVSIFTAPDHIMTDRQHEGKNINQKFIVERRKLRLSPPLKAAAKPSVPPPTEEKKDFVYKDYFLLLNVHQVLQGV >LPERR06G12380.1 pep chromosome:Lperr_V1.4:6:10447711:10452198:1 gene:LPERR06G12380 transcript:LPERR06G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQERMMKKGWSGAAALWFILPLFVLIVLKTDFLPQVARCLDRARWQQQTLDVAKLEDSVVGAPFSVSSSSDEMTVKVDAHKDSKQPNLFLTKSGSKDSSLINSADVSATKSNLRCNFSSTHMDTCAMEGDIRIHGRTGMVYVVASWAENGTAVIRPYPRKWEQATMERVREITIRSTEPGGRDGIIPLRCTVTRDTPAVVFSTGGYSVNFFHTMNDIIIPLYITAREYGGHVQLLAANYDPKWTAKYQHMLAALSMYPVVDLDTDAAVRCFPSARIGVESHKVLGINPALSRNGYTMVGFLGFLRSAYSLQRHETISRTRPRVVMVLRRKSRALTNEAEVIAAVKELGFDVVAAGPEDASDVARFASVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVTANETTLKDRYPQDHPVFTDPVSIHRQGFNHLWSTFLNGQNVTLDIHRFKGVMQRVYMSVAAP >LPERR06G12390.1 pep chromosome:Lperr_V1.4:6:10455159:10457041:1 gene:LPERR06G12390 transcript:LPERR06G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITTLTLAPYKNPLLLSSATPSASPLPFNLLSPPLPLPLLSPHGGGRRQQRLATPASSSFASRLPLAARCADLHLRRRQARSRRRGGGRWRAHGVGRIRRFRL >LPERR06G12400.1 pep chromosome:Lperr_V1.4:6:10462539:10462766:-1 gene:LPERR06G12400 transcript:LPERR06G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRVRLVVAAAPPSSWSELPPVIVGQVLLRLPSLSDRVHFRAVCRPWRAGTRREDLPCRSRMCTERLLGGKHT >LPERR06G12410.1 pep chromosome:Lperr_V1.4:6:10466273:10467915:-1 gene:LPERR06G12410 transcript:LPERR06G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEDDACRWRGRAEPPFPIAIPCGTKTLALGGRERWRRRASAASVGDDSRGQLISIPQLSYPSRAADLGDGGGIWGGGGIGSSRGDGAAVAMAVASMRWYSVDVRVQAYVTIDEAGRKIYTRGSTREWVVDEESFSVEFVLNSLSVEFSWGKIQEPAIWFLHKSMGEDSISIAFNVEPLCVVPRENVGGVAGSSGVDVGGVAGSSGVDGGGAGKGGDRPAPTIGGLD >LPERR06G12420.1 pep chromosome:Lperr_V1.4:6:10475496:10476148:-1 gene:LPERR06G12420 transcript:LPERR06G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLLGVTSRHRRSSCRCSGQLQPPIAVPLRHQISLVHAKPQPLVALKSDHQRRRSTTSPTSAVRRCRPPNLAAPQIDLATPSRRFPPSPEFPIVAGASPTSPTFSNLEVNLNIPRNTACMHPYGPFGALARHAYFTSYNKSTIYGFASMWDTFYLFMSTTFELRFAAGEL >LPERR06G12430.1 pep chromosome:Lperr_V1.4:6:10481155:10481808:-1 gene:LPERR06G12430 transcript:LPERR06G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGMLVSRRSATSTFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGCEESGGN >LPERR06G12440.1 pep chromosome:Lperr_V1.4:6:10490548:10491409:-1 gene:LPERR06G12440 transcript:LPERR06G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVWTEPHAQDAPPGFVPAMCPGEVAAVESLLGYEFGDKSLVVEALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFVTYGQLQPGPLTRLRAANVDKEKLARVAVVHGLHRFLRHKAPNLDGQKSFVVQYSDLQAIGHERVEI >LPERR06G12450.1 pep chromosome:Lperr_V1.4:6:10502476:10506773:-1 gene:LPERR06G12450 transcript:LPERR06G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDRSSILVHLLVIALCLTAFGFAIAAERRRSTGSIVTDNFNSTFCVYDSDIATGYGVGAFLFLLSSQSLLMVVTKVTFIIAESCLIGGATKNAYHTKYRHMVYAGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKNINRTTPNVGMTGYA >LPERR06G12470.1 pep chromosome:Lperr_V1.4:6:10521540:10522342:1 gene:LPERR06G12470 transcript:LPERR06G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNEPCTPVGQLIASFGYSQNQVDHSKGKRKVVTTGLRRSSRLNKLADGLKRSNALLMHPKQGIGKSKEKSLHKLMSLAAESGLLSKNSIITELDFSTTSNPDSSSQLPSDCSFNFLQKIALSCDPHGNEVAIALDLMQAAPTANEDAFPAVCGEDRMAHAEWMAAVG >LPERR06G12480.1 pep chromosome:Lperr_V1.4:6:10525380:10526693:-1 gene:LPERR06G12480 transcript:LPERR06G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPQLRFFFVVVVVVVVEVLGSSTAWAATLQERDAAALRDVRAGLRDLPGSRFFESWDDATTPCDYAGVVCEPDEDDPAALRVSVLTLGTGLADSPGLAGTLPASLASLTALTDLVLYPGRVSGSIPADIGSGLRRLRLLSMAGNQLTGQIPESLAGLPDLHTLDLGNNRLDGTIPCGLLLPSSPSLKVLILANNDGLSGQIPDQFYSSQLFHVDLSRNSVTGTLPLLPQTVRYFSVAANSMQGSLDSAFGNGSAPVDLAFLDLSMNNFSGSIPREVFALPSASSLLLSRNNFTGPIAVPASASAHSATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMSGEVPEAVARSVFAGRMTTFYAQHNFLTGFPVPPLPLPDSAALCLSYNCMDLPSPSAADGCPIIGGPLESRPADQCHSTTAADAGSSGGDG >LPERR06G12490.1 pep chromosome:Lperr_V1.4:6:10549064:10550314:-1 gene:LPERR06G12490 transcript:LPERR06G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKAGSNKKVEEMVGHLTTLRDALRHSFTSLHQTAAGLAESTRQRGHICRKSLGKNGNQPTLHPGPHISDGILQKDRRVPLASGALATRPASFTAAATAMERFSGQATKRKNMRKEEI >LPERR06G12500.1 pep chromosome:Lperr_V1.4:6:10555927:10558408:1 gene:LPERR06G12500 transcript:LPERR06G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFDRYKNHPLLSFFLVLPQPPPPPSPLISSPSPLLSLSQQWPEAATTACCSDNGLLQRRQWFAVLAPVAGRRAGFLPPRCSPRRPPSPLPPAVGAADLPAVAPPGPRAARSGGVCLGADGSSDDGGGPKSLSGSEVMAARGGGGVAAMVEAPAVSCAGFEHRGDVYLLSLGRRCRPADELERVIILSHCSEATLGDLERQTLSNCSAMKFFHELKHTISNRCSGV >LPERR06G12520.1 pep chromosome:Lperr_V1.4:6:10568583:10573650:1 gene:LPERR06G12520 transcript:LPERR06G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSPPLALPKDFPIPFPPLTQRADEQTKAAKFKFNREAGAIPRQNNHPPAQQIDVGGIEDGHWSATTAVDPHRRVLGLYRALSDPYRFRSSVDMLEELLIFTRGGLILWSSCRALGGAALKGSSPIDALIRSCLLEERSADASFSQDNYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAYNEIFRQLHLEAEARSEEMKKNKQLTGSRPTRVSTNKITQGGRDASQRSGGAAKKNGDSGKNDSDGDAGKEHRVQENGLRDNSHARAVVVNGKENGDPNDGAFDVNKLHKLRKNKGGKKNDVAVNVAKNTSKTKPEKITKKNRIWDDKPSNKKLDFTDPADERGDEVIDQVVVNQGASMMDKDDVLSSDSDEEEEDEKENGGASQKKKGWFSSMFKRSSVERWSEKPHMVHLSEVKALAAMEEALLRILTPRRSIDILRDVHAAKEHGKPYIIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLM >LPERR06G12520.2 pep chromosome:Lperr_V1.4:6:10569255:10573650:1 gene:LPERR06G12520 transcript:LPERR06G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSSPIDALIRSCLLEERSADASFSQDNYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAYNEIFRQLHLEAEARSEEMKKNKQLTGSRPTRVSTNKITQGGRDASQRSGGAAKKNGDSGKNDSDGDAGKEHRVQENGLRDNSHARAVVVNGKENGDPNDGAFDVNKLHKLRKNKGGKKNDVAVNVAKNTSKTKPEKITKKNRIWDDKPSNKKLDFTDPADERGDEVIDQVVVNQGASMMDKDDVLSSDSDEEEEDEKENGGASQKKKGWFSSMFKRSSVERWSEKPHMVHLSEVKALAAMEEALLRILTPRRSIDILRDVHAAKEHGKPYIIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLM >LPERR06G12520.3 pep chromosome:Lperr_V1.4:6:10569269:10573650:1 gene:LPERR06G12520 transcript:LPERR06G12520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSSPIDALIRSCLLEERSADASFSQDNYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAYNEIFRQLHLEAEARSEEMKKNKQLTGSRPTRVSTNKITQGGRDASQRSGGAAKKNGDSGKNDSDGDAGKEHRVQENGLRDNSHARAVVVNGKENGDPNDGAFDVNKLHKLRKNKGGKKNDVAVNVAKNTSKTKPEKITKKNRIWDDKPSNKKLDFTDPADERGDEVIDQVVVNQGASMMDKDDVLSSDSDEEEEDEKENGGASQKKKGWFSSMFKRSSVERWSEKPHMVHLSEVKALAAMEEALLRILTPRRSIDILRDVHAAKEHGKPYIIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLM >LPERR06G12520.4 pep chromosome:Lperr_V1.4:6:10569269:10573650:1 gene:LPERR06G12520 transcript:LPERR06G12520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSSPIDALIRSCLLEERSADASFSQDNYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAYNEIFRQLHLEAEARSEEMKKNKQLTGSRPTRVSTNKITQGGRDASQRSGGAAKKNGDSGKNDSDGDAGKEHRVQENGLRDNSHARAVVVNGKENGDPNDGAFDVNKLHKLRKNKGGKKNDVAVNVAKNTSKTKPEKITKKNRIWDDKPSNKKLDFTDPADERGDEVIDQVVVNQGASMMDKDDVLSSDSDEEEEDEKENGGASQKKKGWFSSMFKRSSVERWSEKPHMVHLSEAAMEEALLRILTPRRSIDILRDVHAAKEHGKPYIIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLM >LPERR06G12520.5 pep chromosome:Lperr_V1.4:6:10568583:10569252:1 gene:LPERR06G12520 transcript:LPERR06G12520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSPPLALPKDFPIPFPPLTQRADEQTKAAKFKFNREAGAIPRQNNHPPAQQIDVGGIEDGHWSATTAVDPHRRVLGLYRALSDPYRFRFLANQSSNQIRF >LPERR06G12520.6 pep chromosome:Lperr_V1.4:6:10568583:10569049:1 gene:LPERR06G12520 transcript:LPERR06G12520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSPPLALPKDFPIPFPPLTQRADEQTKNHQSLPFARFIVRSDFFLHLCAPQILIILIFQSIVRRTFSISGSGLVDLLEFRPRNSSSIGKPGQFLDRTIIRLPSRSTSAGSKTATGLLPPPSIRTVVF >LPERR06G12530.1 pep chromosome:Lperr_V1.4:6:10577580:10577810:-1 gene:LPERR06G12530 transcript:LPERR06G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAVFRDYQSRRLSPLQEGPRPSYMYTGPNDSLRTHIGSGFSWSESDHNILVRRTLGVTEEVLTLLPPDIIPLC >LPERR06G12540.1 pep chromosome:Lperr_V1.4:6:10590942:10591267:-1 gene:LPERR06G12540 transcript:LPERR06G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDGPRGIHSPVPVAPLGPMPAIASGRGLQIPLPHFNLTEQMAHMQDWQHHADAQFSNFNNMMQQQQTNLQAYFHF >LPERR06G12550.1 pep chromosome:Lperr_V1.4:6:10595284:10597822:-1 gene:LPERR06G12550 transcript:LPERR06G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQIHRPHPPSFEAASHSRRRRHTPAPIAAPLQLSAPLLPSNSQRRHPLPAPSAASLLLLASLPRPSCSQCWSVNWIYRLCHGLARFVASHHRLLYQSTLEPDTGPWRVDDKHFCFVNVCTNLL >LPERR06G12550.2 pep chromosome:Lperr_V1.4:6:10595284:10597822:-1 gene:LPERR06G12550 transcript:LPERR06G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding EQIHRPHPPSFEAASHSRRRRHTPAPIAAPLQLSAPLLPSNSQRRHPLPAPSAASLLLLASLPRPSCSQCWSVNWIYRLCHGLARFVASHHRLLYQSTLEPDTGPWRVDDKHFCFVNVCTNLL >LPERR06G12560.1 pep chromosome:Lperr_V1.4:6:10628739:10632781:-1 gene:LPERR06G12560 transcript:LPERR06G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHLPYTRNGLKFFTKRGGLTSVEVEGICDRAARKYVARKMGLGLGGRGKLPMVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLDPDGRFPNHMPNPEDATAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARASDGLTHFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALQENYFLDDGAYMVVKIIIEMVRMRLAGLEGSVGTLIIDLEDPAESVLLRMDILGEAKYAKTRGSQAVETFKNYIQEGKLNGWLLDDCGDCSVSQGCLVDTNDRPIDVDAYMYRATFFDEFKGQLGWVHIRQSVHNPNIAINIQSSIPGGCKSMAKDLLDRYLLISGVNEYVDISQVQKFVK >LPERR06G12570.1 pep chromosome:Lperr_V1.4:6:10634887:10649658:-1 gene:LPERR06G12570 transcript:LPERR06G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQWDSVQASIPVAPTTIVPSSADSKHKRAAKYADALGQHTLYSMTTLALGDDYSEPQATDAAYSTPCNEELAGGWSAATGAEEVAAARQGIREERMRPGGGDMVSGGGPAAGGAAQRRGPTVDGAARRGDPRWRCGGPAGRRMRSAAVAQKVL >LPERR06G12580.1 pep chromosome:Lperr_V1.4:6:10654055:10663658:-1 gene:LPERR06G12580 transcript:LPERR06G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMFFSGDPTTRKRVDLGGRSNKEKDRQVLIERGRNGGEAIRRGTGRCLSSRRGRSGGGWGLRLQNSSATKIQKLEEQSGLFLHFIQRLNSFIS >LPERR06G12590.1 pep chromosome:Lperr_V1.4:6:10674006:10677839:1 gene:LPERR06G12590 transcript:LPERR06G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMYPLVLTISVATAVTAISSPHPLDPLSPREITAATSAVSASPLVLPSRSTITFHYVDLDEPEKPDVLSNDNTNTTTLRRHTFVIARAGGLTHEFQVDVTNPSSPSVISHDIHLGPGFPMFTKEEQIAAQALPPKYPPFVESMRRRGVDTWFNLSLIAISIIPFIAGSKPWVDMQDVVCRVLSMGWFGTVETRRVTKVQCFVVGATANFYARPIGGVTVVVDLERMVIVGYRDTGVSPVPKAEGTDYRAGKAGPPFNGELPAPGVVVQPEGKGVQIDGHVVRWANWEFHVGFDMRAGMVISVASVHDPDADQWRRVLYRGHVSEVFVPYMDPGEEFYFHTFLDAGEYGLGVEAVTLQPGADCPANAVYMDGYYAGADGKPVKTENVICIFERYAGDVSWRHTQIIRPSDEPISEVRTSVTLVVRIVVTVGNYDYMLDWEFMTDGSIKTAVGLSGILEMKATNYTHVDQIKEDARGTLVAENTVGVYHDHFITYHLDLNIDDTKNSFVKNNIVPKRNSGVRATGGAPTPRRSYWTVLPEFVNPNKTTEVGNQVGYRLVTVGATATSLLADDDFPQRRASYTKKQVWVTPYNKLEKWAPGLYAEQSTGDDNLAVWSKRNRRTKNEDIVMLYTVGLHHIPYQEDFPVMPTLRGAFELRPSNFFERNPLIRTAPPKNFSNCACSPRSR >LPERR06G12600.1 pep chromosome:Lperr_V1.4:6:10681925:10688073:-1 gene:LPERR06G12600 transcript:LPERR06G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIVVVVEGQEAARLVGAWATSAYLDRLLVEVVAVPEDIGTAGALRAISKWLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDAASSGGKDKAKKPTRLNIVGLDMTRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKEAYRSIRLEVLPYLVRSQLRSSPSGGEGTTNDETGDATVPSNSHLQCLSQHRILAPSAFNKYLLSAEGTYRCCVYIASKSKYCHRLNSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAILKDCQVGAGYIVTASSEYKAESLSKKVERFG >LPERR06G12610.1 pep chromosome:Lperr_V1.4:6:10693193:10697417:1 gene:LPERR06G12610 transcript:LPERR06G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKALLGLKSLITSDPSEMLLSWGNGSACTWTGVRCNRQGQVSVLDLQGLNLVGKISPSIGNLSALRFLYLQNNQFVGEIPDQIGLLGQLQTLNASANILTGNIPAALTNCTNLETIDLSGNTIFGTIPASISFVPRSIGNLSLLNTLDLSTNSLTGTIPYEFGHVRQLEFLQLSINSLTGTVPESLCNLSSLSFFAIAKNDMHGKIPSDIGFRLPRLLVFHNCFNRFTGLIPPSLHNVTNIQSIRISNNHFSGSVPPGPSSLCNLVMYNIGFNQIVGNTSILVDLMNSTKLEFIAFDENLIEGALPNSIGNLSSSLTKLYVGGNRIRGYIPASIGRLSALTLLNMSYNQLSGGIPSEVGLLKELTMLGLAGNKLSGMIPAEIGDLTNLTKLEMSHNELVGRIPVEFGQLERVLSLDISSNNLNGSIPASIFSLNSLSSVLNLSHNLLTGALTENMGQLEKITAVDLSYNLLNGSIPVSIGKCQKYGYGSKPSPKGDVYSYGVMLLEMITGKRPVDPQFGGAMNLEKWVRDGFPHRAHEVIDERLIDTAVDTCHEGERQATSSTEQKKEQQQQQQQLQNIILPLMDVALSCVMESPDERSTMHYALSRLKRIKEACFKNHGV >LPERR06G12620.1 pep chromosome:Lperr_V1.4:6:10701998:10703779:-1 gene:LPERR06G12620 transcript:LPERR06G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHAHEVNTSSSRCGSNIPMEGGRDGAWPLPVVSSSSRSDYHHQELASAAAAAFGDFPSSGDSPKITKQSSGMTSRLLPARSLRSTGDSHDGGEVAAPAVAAGPRSLSFSKLFSFRIASAHHSSSLSAIDFDHQLPPSDAAASEHSHSSSANDETTAIAKKSKRNQTSQHHHMNISRSQSVPMSTLSRFTSKGGGSNSKRVADSSSLRIPDGGSVRFRVSVIPTFPDAASANDGNDDDAGSVEAEEDALVCRICMVALSDEHDAGGGNGGTLKLECQCKGELALAHGECAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHHHHQLVLQQQEAAAAAGDIVDGAGGVNWRGGYTYGRVWRGTTILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRKYVWIYSAVQYLFIVLFTHLFYRYVRQPTTSKYLLATRMI >LPERR06G12630.1 pep chromosome:Lperr_V1.4:6:10707224:10710334:-1 gene:LPERR06G12630 transcript:LPERR06G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHAAAAGGSVPASPGCGCSAISSFCFHEQDSRSERVTMDQVVNWLVLVMLRVSRWMDGQEDQCHEKGEMGELTCYPRHGW >LPERR06G12630.2 pep chromosome:Lperr_V1.4:6:10707202:10710334:-1 gene:LPERR06G12630 transcript:LPERR06G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHAAAAGGSVPASPGCGCSAISSFCFHEQDSRSERVTMVQSILQINHMLRKWIDGSHGGWMAKKISATRRARWVSLLVILDMGGKFLSMDL >LPERR06G12640.1 pep chromosome:Lperr_V1.4:6:10716899:10718686:-1 gene:LPERR06G12640 transcript:LPERR06G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVMALGPMQEEFLPICFDGGRSPHCLSGSQLQDSILIFLAVPGAPPMPMSVLGTESIASVKLRIQRFRGFVVNKQRLVLDGHELARNNCHVKDYGLADGNVLHVVIRMADLRLINIETATGKKFQFQVDQSRNVKYLKSKLAVEGDEDLGEDHKLECDGKELEDHQLIANISKKDDAVIHLFIRKPAKLRTQQVDKDTVVTVVTPQEKENLQSEACAVNAAKSAGASPALVEPIIINPKVKLSPEVMRMIGSAIAGLENGHLPVMSAEGSGGVYFMQDALGEKNIAVFKPIDEEPMAENNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPVGDHESEKRVGFSGVPPTALVQSLHRAKSFKIGSLQMFIENNGSCEDMGPRAFPVEEVHKIAVLDLRLANADRHAGNILVCKEEGGNYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKSLDAEEDIKLLKFHGWELSARCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIENIVQEAEDHVLPGTSELIFLETVSDIIDRHLDKKFA >LPERR06G12650.1 pep chromosome:Lperr_V1.4:6:10744059:10745431:1 gene:LPERR06G12650 transcript:LPERR06G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPTRMEPDQLQAQDQAQGQGQEAIKYGHVFAVTGELAGQPIAPRDAAAMRSAEDSVPGVPVPQEIGGGFSAGVAMETAAAYNQSVGAVRPGQASHAAASQGIAVTQTAVPGGRIITEFVAGQVVGHYSVADDQPVADDEEDATKITVGEALEATALAAGERPVDSADVEAIRAAEMTAQESDVTLPGGLADQAQAAARSNADAECDDDKITVADVLTDATAKLAGDKVAGMEDAAKVVRAETYSDAGARTRAGGVGAAVTTAARLNQADDGEDDEDDEE >LPERR06G12660.1 pep chromosome:Lperr_V1.4:6:10745715:10747340:1 gene:LPERR06G12660 transcript:LPERR06G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHQSTNKSYRELIISELIKMLVFPVMLCRLHAAAALLYLLVVLVGGPMARSQAIPVPTSCCSMFQGLDMTPCLQDAVGGAVAGGNISGACCSSLNQALDAGHRFLCSLLLPSSGGVRVLAAGLAAALPALPLALPLPGCLLYAPPLAYCQVPVQEQTDAPPAATEEATASTAIADSPPPQAVVMPSAERSKKRSVDGKKADQVMNGSDGNGDGKAKERASHSDAAAARASTDIGQGIRAYLMSFVVAMAAFWLN >LPERR06G12670.1 pep chromosome:Lperr_V1.4:6:10745894:10750989:-1 gene:LPERR06G12670 transcript:LPERR06G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLALRQQAQACHQQFPRHYGGETWTKRRQPGRGRASGRAGSAAARPAASTRTPPLDGRSSEHRKRWPASSAWFSDEQQAPLMFPPATAPPTASCRHGVMSSPWNMLQHDVGTGIACDLAIGPPTRTTSR >LPERR06G12680.1 pep chromosome:Lperr_V1.4:6:10752078:10752475:-1 gene:LPERR06G12680 transcript:LPERR06G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKSQHNFQALESVEAAAVKIKSNKHSDNAMDERAVNFDISKLGVTTAKKMTKRRSLSKRTKSTTGLGGTTDAPPDV >LPERR06G12690.1 pep chromosome:Lperr_V1.4:6:10756145:10759074:1 gene:LPERR06G12690 transcript:LPERR06G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGAGAGWLATVRKVFKPGPSKDPRHAPKKIEILIGVEQQRGADEKAGEGGDEAAEILSVDHFPAVETSPDVTNEESVGSVFGRDRLHGWNDVAVEGAMRGRRAMAVAASRAVRNAAARGRVAGREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHVARRTHHEAAMPMPLAQWSSNAHAGANANHGAWDTLAHDPRRQHNLYGYKYNYHQQLPQVRAPAIDERRVRMQRAEEEDDAQDDERRVRMGGWQWLQEEQTNNHRHVAAAAAAETSYVTAADEASEKTVEMDAAGRRRPSHASAKDLYPVVRPPAPVPGYMAATQSARAKARMASAVPRAQLQQQTNARSIRSGVTSTVTSGWSTNNIGGAGGRTSHHRAAGYSPDSSCSGDRTPPQPPLGGRGRVTYA >LPERR06G12700.1 pep chromosome:Lperr_V1.4:6:10760192:10764938:-1 gene:LPERR06G12700 transcript:LPERR06G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSPSYSPPYSQLSPAYYLDSPVYPPTSWSNSPISTLFSPESPTESPMRLSSNYRPYRMSSPSYSPTPTIEYDPLTPPYDPVRPTYGMEGNALLQSSDLVEHNPPQITCQGVAPLPHSDVMQQLDPVPLITHQVYGPEGVTPSQPSNPMSPSLIDLPADVAPIQISNLVPERTSTASSVSFLEGLATRQPSNLMPNVPTIQELAFLPAPSGDQQPGHTNTSDYPSSPSHPPSTSKKEH >LPERR06G12710.1 pep chromosome:Lperr_V1.4:6:10772813:10776269:1 gene:LPERR06G12710 transcript:LPERR06G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGASVAVEATSSAGVVKQALISLSDKTDLAYLGNGLQSLGMNHYVDFLYYSYEYLKEICYRFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVIVNLYPFYNKVTSGVISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDNRQFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGIDPDHLKRSGVYMLVLSLCCQISSHSWFSVGDVFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASPQDILEAYRLAVKGDPVSAFGGIVAFNTTINEDLAKEIREFRSPTDGQTRMFYEVVVAPSYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITSTTVSERAPEDSELSDAKFAWLCAKHVKSNAIVITKDNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFGKKNVAY >LPERR06G12720.1 pep chromosome:Lperr_V1.4:6:10776906:10777745:-1 gene:LPERR06G12720 transcript:LPERR06G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVGDFGLAKFLYNSCSSTSLVGARGSIGYIAPEYGMGSKMSIEGDIYSYGVILLEMLTGKNPTDQMFAGDLTLRRFVELAFPEKIDDISEHNFSQWNQREGRSSSSHNENGRKVGMHTCVMQLITLGLRCCSESPKDRPLMDEIYTEVASIKEAMLTLCI >LPERR06G12730.1 pep chromosome:Lperr_V1.4:6:10782303:10784570:-1 gene:LPERR06G12730 transcript:LPERR06G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASATKQIKLSSSSPLLLLFLCHFLALLPLHSSQNISESDRQALLCLKSSLAGTDDGSAARAALATWRDHSPQSFCQWHGVSCTTQAPIRVVALELSSLNLTGRIPPCVGNLTSLTRINLAGNLIGGVIPPEIGRLTELRDLDLSNNALVGTIPLSSCSRLETLILWRNQLEGEIPKSLSQCLSLRELKLSQNNLHGQIPAELSLLPNLSVLYLASNQLSGTIPLLLGSTPNLTALSLRNNMLTGGIPGFLVNSSTLGFLNLASNSLGGNIPPGFFNSSVLYSIDLSYNHFVGPIPEISNSSLPLKYIYLTSNNLLGSIPSSFGHLRSLSTLFLALNNLEGTIPESLGNNSVLQELDLSYNMLSGTVPPAIYIASSLTYLGLGSTRLSGRLPNDIGYTLPSIQTLVMQGSQFDGHIPASLANATNLQELDLRNNSFSGVIPSLGSLRNLHTLDLGNNRLEAGDWAFMSSLASCTQLQKLYLDKNNLQGSLPTSIANLPKSLELLLLNDNQIVGSVPHGIENLTGLTILHLERNFLTGHIPTTLGNLQNLFVLNLAGNNFSGEIPSSIGNLQKLSELYLQNNVLSGSIPTSLANCKKLVTVNISCNSINGSIPQQFFSISSLSEGLDFSYNKLAGPIPEFTSLINIELLNLSNNHLSGRIPSSIGQCSHLEYIRLDSNYLQGSIPGSLMNLRGMVEMDLSQNNLSGEIPNFLGSFSSLRLLNLSFNNFQGPVPSSGXIHLLDSILALRILPNTT >LPERR06G12740.1 pep chromosome:Lperr_V1.4:6:10816478:10818234:1 gene:LPERR06G12740 transcript:LPERR06G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLPSPSAATIQSRPAAAGHAQGRLLWCRICTSSIHASGSRWRDAIGRMRTTAEEDLHEIVQVMVKYRCKDGTGRWPMAVQDEIGFVLFS >LPERR06G12750.1 pep chromosome:Lperr_V1.4:6:10824705:10826806:-1 gene:LPERR06G12750 transcript:LPERR06G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESPTSPLNPNPTHSPPPGSPTNNAAAAAPSPASALLRATVLLVAFLALQLVLFKYLLLLPSSRFLPAPRRSNSTWANGDAADECQAGLIYVYDLPHEFNHDLVANCGSLWPWYSFCPYLTNGGLGRPAAETPALAAVIPNEFLPNWYNTDQFPLEVIVHRRLLSHRCRTIDPSLAAAFYVPFYAGLDVGSHLWGPNSTVADRDRAGTRLLRWLTRQPHFVRSGGWDHFISLGRITWDFRRWGDTDGWGTKFVVMPGMENVTRLVIEADRTDPLDVGVPYPTGFHPRAASDVRAWQRHVLSLPRATLFGFAGAPRSGFRDDFRDVLLEECEDAGRARCRAVDCRGTRCNDDGAAAVTELFLGSKFCLQPRGDSFTRRSMFDCMVAGAVPVLFWRRTAYDAYRWFVPAGSEEEWSVFIDRQELRVGNVSVRDVLEGYSEARVWRMRERVVEMIPTLVYASSTYRGLGEGMEDALDVALRGVLQRFQRRRQSIAREAEHQPGRLVSRPLRRGSNGKNGSVIARRASRHKSAAEYIKTVLSEEPASRDKSLQQS >LPERR06G12760.1 pep chromosome:Lperr_V1.4:6:10833867:10834301:1 gene:LPERR06G12760 transcript:LPERR06G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGADHDDYIQFEDEDIDKI >LPERR06G12770.1 pep chromosome:Lperr_V1.4:6:10836573:10843690:-1 gene:LPERR06G12770 transcript:LPERR06G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRILCPQSKVYGFPPSFIAKVRDDSNALVTIHPPYPGDAVRVIETADVARREADGGPPSFSPAQEALLMVHRRILETEHDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQDDEYFGGAQQMPAYEEPYVRPDQIRNNTSMEPPGYEFDSNGAKINDHPEIPYDDIIFRILCPNDKVNSLVGTRDGVIEMLQEDVGVDVRLTDSIDGSDERIIIITSREGPDHELFPAQEALLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPREALPSCALDSDELIQIVGEIRAARNALVQVTAKLRSYLYREIPGPNQVGNIAVHGSISPPTGLYQGNDIPMAPYQQAQHDSGGGANMPFEHGSNINDDMRQSSAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADDRPEVMEKVVRISGTPEQADKAQSLLQGFILSILSVSLKGAISYFGVQTWIQPI >LPERR06G12770.2 pep chromosome:Lperr_V1.4:6:10837025:10843690:-1 gene:LPERR06G12770 transcript:LPERR06G12770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRILCPQSKVYGFPPSFIAKVRDDSNALVTIHPPYPGDAVRVIETADVARREADGGPPSFSPAQEALLMVHRRILETEHDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQDDEYFGGAQQMPAYEEPYVRPDQIRNNTSMEPPGYEFDSNGAKINDHPEIPYDDIIFRILCPNDKVNSLVGTRDGVIEMLQEDVGVDVRLTDSIDGSDERIIIITSREGPDHELFPAQEALLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPREALPSCALDSDELIQIVGEIRAARNALVQVTAKLRSYLYREIPGPNQVGNIAVHGSISPPTGLYQGNDIPMAPYQQAQHVPASWNSKDSGGGANMPFEHGSNINDDMRQSSAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADDRPEVMEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >LPERR06G12780.1 pep chromosome:Lperr_V1.4:6:10849111:10854707:1 gene:LPERR06G12780 transcript:LPERR06G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSCIRAVAFFHRRLPRPLPFLLQPRPPPRLTPAPPRSTMAFSAESAGEEADEEALPAVTGSGGGGGEVTAEEWRRWGTSSPLPAVVDAVVRELLEMEAESGEKMRFGGVGSKIKGDFKDMEDKKHRAVYETLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGVRFWLYMHPKDFLRQNNTGKLLWQVFGIEAAPLCLFGIQEHEDIMWDAFQRSGCDRILSRKGKSFVSVSEQEYNPHDMKDGPINFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAAAGLLWELDMRPELSTFEFGKQAEAVECSLDVLLDALTARRIRLGRSITRKQRHNRNCI >LPERR06G12780.2 pep chromosome:Lperr_V1.4:6:10849111:10854707:1 gene:LPERR06G12780 transcript:LPERR06G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSCIRAVAFFHRRLPRPLPFLLQPRPPPRLTPAPPRSTMAFSAESAGEEADEEALPAVTGSGGGGGEVTAEEWRRWGTSSPLPAVVDAVVRELLEMEAESGEKMRFGGVGSKIKGDFKDMEDKKHRAVYETLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGVRFWLYMHPKDFLRQNNTGKLLWQVFGIEAAPLCLFGIQEHEDIMKGKSFVSVSEQEYNPHDMKDGPINFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAAAGLLWELDMRPELSTFEFGKQAEAVECSLDVLLDALTARRIRLGRSITRKQRHNRNCI >LPERR06G12790.1 pep chromosome:Lperr_V1.4:6:10855389:10858610:-1 gene:LPERR06G12790 transcript:LPERR06G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSPAGDAVSDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRDSPSVADFDAKLKAHGADLPDYLSRTLHTVIHAIPPPPLAAPQNPSTGTRRSRGEDKVRDGDPGLYQVCRGRVTRVADAGCFVLLDGGREGLVHVSEMPGRRVAVKRGQEVLVKVVSVQGGNLGLSMRDVDQGAERNHLPLIEHRPREVDAPTPRANPSAGRAAGATGNRIGLSGIVIPAPADNQSGSASRRSIRRMSSPERWELKQMIASGVLDKKDYPAFDDVDGEGMNYLEEGVEEELEIELNEDEPAFLRGQGRSSIDLSPVRISKNPDGSLSRAAALQTALVKERRDIRNQEQRAMMNSIPKDLNRPWEDPMPEVGGRYLAQELRGVGLSAENMPEWKKEAYGKTVTFGQISRLSIQQQKQSLPIYRLKNELIKAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTAKGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDSDLSCYSVVMLDEAHERTIFTDILFGLLKKLIMRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRAYPVEILYAKQPESDYMDAALLTVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKAMDNVPELLVYPVYSALPSEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKINVYNPKQGLDALIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTITPEIQRINLGWMVLNMKAMGINDLLSFDFMDPPAPQALLTAMEQLYNLGALDEEGLLTRPGRRMAEFPQEPPLSKMLLASVDLGCSDEIITIIAMIQTGDMFYRPKEKQAQADRKKGNFFQPEGDHLTLLTVYEAWKAKQFSGPWCHENFLKLTSLRRAQDVRKQLLEIMDKYKLDVVSAGNDLTKVRKAITAGFFFQAARKDPQGGYRTVADHQQVYIHPGSALFQQQPEWVIYHEVVMTTKEYMREVTATEPRWLVELAPRFYRSVDPTDISKRKRQERIEPLYDRYQEPNSWRLSKRRR >LPERR06G12800.1 pep chromosome:Lperr_V1.4:6:10862192:10863616:-1 gene:LPERR06G12800 transcript:LPERR06G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRTVVLFPSLGVGHLNPMLELAAVCIRHGLAVTIAVPDPATTAPAFSATLRKYASRLPSLSVHSLPPPPPAADAAAAAAAHPILRMLAVLRAHAPALRALLRGAHALVADMFSVYALDAAAEAGVPGYILFCTGAANLAVFLRLPQFCSGSGGESLRDLGDAPVSFPGVRPIPASYLPEEVLDRGTDLSAAVLDAFGRMADGRGILTNTFEALEGSAVAALRDARDTPPVYCVGPLIASGAEEEEEERQHPCLAWLDAQPERSVVFLCFGSRGALSREQVREMATGIENSGQRFLWVLRAPAGETEAAALLPDGFVSRTADRGMVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKVGIEVRGYEPGVLVEADIVDTTLRRIMESEARQGVLERVMAAKESAAVAWKEGGSSCAAFAEFLKNMEEENVAMAHSSQVPTLGSS >LPERR06G12810.1 pep chromosome:Lperr_V1.4:6:10869884:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMDEATLFAQKIVQPLLRLLDEDGPVAEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNNLLNDTFGGLDEGIIFGFTGHEIMIPLISPGSKPPPQLGGQATCGDQNFHSAKKFCTFTVPTISALVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVGGSFRACYWRTQIDLLIINVARESFYMMGMYELRPLLTEDPTLSDFQLALLKALLASFLSSHECPPYLEPGLELFNRGRLETGTELAKFCSHALLALDVLVHPREHCLQFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12810.2 pep chromosome:Lperr_V1.4:6:10869886:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNNLLNDTFGGLDEGIIFGFTGHEIMIPLISPGSKPPPQLGGQATCGDQNFHSAKKFCTFTVPTISALVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVGGSFRACYWRTQIDLLIINVARESFYMMGMYELRPLLTEDPTLSDFQLALLKALLASFLSSHECPPYLEPGLELFNRGRLETGTELAKFCSHALLALDVLVHPREHCLQFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12810.3 pep chromosome:Lperr_V1.4:6:10869884:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMDEATLFAQKIVQPLLRLLDEDGPVAEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVGGSFRACYWRTQIDLLIINVARESFYMMGMYELRPLLTEDPTLSDFQLALLKALLASFLSSHECPPYLEPGLELFNRGRLETGTELAKFCSHALLALDVLVHPREHCLQFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12810.4 pep chromosome:Lperr_V1.4:6:10869886:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVGGSFRACYWRTQIDLLIINVARESFYMMGMYELRPLLTEDPTLSDFQLALLKALLASFLSSHECPPYLEPGLELFNRGRLETGTELAKFCSHALLALDVLVHPREHCLQFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12810.5 pep chromosome:Lperr_V1.4:6:10869886:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNNLLNDTFGGLDEGIIFGFTGHEIMIPLISPGSKPPPQLGGQATCGDQNFHSAKKFCTFTVPTISALVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12810.6 pep chromosome:Lperr_V1.4:6:10869884:10881448:-1 gene:LPERR06G12810 transcript:LPERR06G12810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIHAAAAPAPPVEEGLPFAGMHDLRLRPRLLRHLLAECLPLPDPDADLEPTRRPADLARALRTVRDQGLLAERASDPGLLEEWHAAVDAWVDRLVALLVSDREHSCWVGTSFLGLTFEGCSDDRFAKSYSDWFKKILKKLKAPSSNKMDEATLFAQKIVQPLLRLLDEDGPVAEKAADLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHDSLSLMIQKILVVVNIPVPALVTFIQRVLLADVTSHKSLLQSDDRLHQELIVSEILVLHSNFLDLLGAIIKGMCSSLLPHAGSIVTLVTEYFKRAKLPALRRKIYAIVRLLLSSMGVGMGMHLLQVVVCNISADLDDNSESSLFISSTDSIGEIDQPSSERSTSNNQAFHGQSSTAGFPQPTCIRKPMPSFCVKIAALETFEELLNVFDPKIPIKRAAHVDVQLMSVASESKVFDSGRSNHLHSVVCKDRATENLGDGVNDWLFSTNDAITDTFVEDSIDAANEMSIDPSERNKNAIGDHKRTVLNQFHVELQEGITSSRTDVDVAIAVTKARDRPYKSPADIDPGGAPISNNTDDQRGGTASGSQNVARHAAQTFGTSGMSGSEWDSLNPFLDIGNFGTETSFSFDMANLDRDYD >LPERR06G12820.1 pep chromosome:Lperr_V1.4:6:10904662:10906699:1 gene:LPERR06G12820 transcript:LPERR06G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHFIGCLVFLDPTSRRSGNPPVSLSLSCSYPHAHRPLLPPMASSASTTTHHTISSPPAMATTRSYLIHHLRIAVPTWGPPIPPSPPHPTHLRMSSPTTTTKATRSRTSGKRSRSRRKSYPSATAAWPTHR >LPERR06G12820.2 pep chromosome:Lperr_V1.4:6:10904662:10906700:1 gene:LPERR06G12820 transcript:LPERR06G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHFIGCLVFLDPTSRRSGNPPVSLSLSCSYPHAHRPLLPPMASSASTTTHHTISSPPAMATTRSYLIHHLRIAVPTWGPPIPPSPPHPTHLRMSSPTTTTKATRSRTSGKRSRSRRKSYPSATAAWPTHR >LPERR06G12830.1 pep chromosome:Lperr_V1.4:6:10910292:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNASPSNSTNSSAPEKIFELQQQVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSEVEFLQEILILRSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQMGDGMSKGIFHNILELQEIIYKFHDIL >LPERR06G12830.2 pep chromosome:Lperr_V1.4:6:10910292:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNASPSNSTNSSAPEKIFELQQQVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSEVEFLQEILILRSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQMGDGMSKGIFHNILELQEIIYKFHDIL >LPERR06G12830.3 pep chromosome:Lperr_V1.4:6:10910278:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNASPSNSTNSSAPEKIFELQQQVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIILWELTTSKVPYDNMTPLQAALGVRQGFRLEIPSSVHPRLSKLIRRCWDEDPDVRAVFAEILIELEDILHQAQANRGSSRRSRAKMQKKTPG >LPERR06G12830.4 pep chromosome:Lperr_V1.4:6:10910278:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIILWELTTSKVPYDNMTPLQAALGVRQGFRLEIPSSVHPRLSKLIRRCWDEDPDVRAVFAEILIELEDILHQAQANRGSSRRSRAKMQKKTPG >LPERR06G12830.5 pep chromosome:Lperr_V1.4:6:10910278:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNASPSNSTNSSAPEKIFELQQQVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIILWELTTSKVPYDNMTPLQAALGVRQGFRLEIPSSVHPRLSKLIRRCWDEDPDVRAVFAEILIELEDILHQAQANRGSSRRSRAKMQKKTPG >LPERR06G12830.6 pep chromosome:Lperr_V1.4:6:10910292:10917768:1 gene:LPERR06G12830 transcript:LPERR06G12830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNASPSNSTNSSAPEKIFELQQQVGDSEIDWNLLTMGEKIATGSFADLYQGSYNGVDVAVKILRDSHFNNSSEVEFLQEILILRSVNHENVLQFYGACTRPPKYCIVTEYMPGGNLYNFLHMQNNVLDLLTILRIAIGISKGMDYLHRNNIIHRDLKTANLLIGRDQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIILWELTTSKVPYDNMTPLQAALGVRQGFRLEIPSSVHPRLSKLIRRCWDEDPDVRAVFAEILIELEDILHQAQANRGSSRRSRAKMQKKTPG >LPERR06G12830.7 pep chromosome:Lperr_V1.4:6:10910292:10917771:1 gene:LPERR06G12830 transcript:LPERR06G12830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPMGGLMEEWEEGMGESSSPPHPMAMGHGSLKDKDPEELKRRLYERVVESRRPEELPEEASVFLRHLGRLPIRYLIDLGIDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPAAKFDDDDDPCQSLMEDLSLERRNKGRAGVGADNTDSMSISSRDKKTLLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWETEETEDLITTIKEALSRKNPRKKYLSYNSRLEILKLTGTC >LPERR06G12840.1 pep chromosome:Lperr_V1.4:6:10931404:10933284:1 gene:LPERR06G12840 transcript:LPERR06G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGMLLGGGGGGGGCGGDQLPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATAAGYWKATGKDREIRSARTAALVGMKKTLVFYRGRAPKGHKTQWVMHEYRLDGSYAYHFLSSSTRDEWVIARIFTKPGVISVPRKGRLGLGGDTSCFSDSTSASVGGGGGGTSASSALRAPLTDAASLFATSAAAVDGAESSSYGGGAATANLVTGLELVPCFSTTAHMDAASFGIGHYNPTPLAIEPPAPAAPFFPSLRSLQENLQLPMFLSGGGGGAFQHWQSGVDVKVEGRAPPQMAVGPGQLDGAFAWGY >LPERR06G12850.1 pep chromosome:Lperr_V1.4:6:10941209:10942470:-1 gene:LPERR06G12850 transcript:LPERR06G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTTSALGPRSQISAEEELRRLEGLAKRPRVLSALANEIRTVHAKIYVFLEGVEQDKVETTFKPMSVDIKFHDVKGKNYGCAIPKLNKEIVPEKCKILVKPTKIVVTLYKASKGNWLDLHFKEDKG >LPERR06G12860.1 pep chromosome:Lperr_V1.4:6:10951778:10960735:1 gene:LPERR06G12860 transcript:LPERR06G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGKRWRFANPNPAVAAAGERSIQRYLVQLHACLDEHGPRPIIPLSHGDPSSSACFRTTPEAEEAVAAAVRSGEYWATPPPPPASPLAVAEYLSGDLPYELCTDDIFLTSGCTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRFYDLVPERGWEVDVESIEALADDNTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGVLVIADEVYGHLVYGSNPFVPMGLFGETAPVLTLGAISKRWIVPGWRFGWIAICDPKGILKETKVVDSLRSFRNLTTDPATFIQGAIPHIMKNTNDEFFSKTVELLKETAEICYGEIMEIKCITCPHKPEGSFFMMVKLDVSQLLDICDDVDFCSKLVKEESVVLLPGKTVIIRKRMAKGGKTTKVYQIIVESLGHGKLVAHYLCTGSTKAKTRTRKGQVLLPEASITFQLTFNKYFGESRTSNKCFGESEAPIGMSERSIKMNRLCLFVLVVQILDPTNEF >LPERR06G12860.2 pep chromosome:Lperr_V1.4:6:10951778:10960735:1 gene:LPERR06G12860 transcript:LPERR06G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGKRWRFANPNPAVAAAGERSIQRYLVQLHACLDEHGPRPIIPLSHGDPSSSACFRTTPEAEEAVAAAVRSGEYWATPPPPPASPLAVAEYLSGDLPYELCTDDIFLTSGCTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRFYDLVPERGWEVDVESIEALADDNTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGVLVIADEVYGHLVYGSNPFVPMGLFGETAPVLTLGAISKRWIVPGWRFGWIAICDPKGILKETKVVDSLRSFRNLTTDPATFIQGAIPHIMKNTNDEFFSKTVELLKETAEICYGEIMEIKCITCPHKPEGSFFMMVKLDVSQLLDICDDVDFCSKLVKEESVVLLPGRALGMENWLRITFALDPPRLKLGLERVKSFCQRHQSPSS >LPERR06G12870.1 pep chromosome:Lperr_V1.4:6:11010741:11012879:-1 gene:LPERR06G12870 transcript:LPERR06G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLINNASVSAIMKGSLDELSLLKCSAFSRYHFLIVYLPQPNPLTYLEAISRNRNLEAVDPIDFGCLILEELRL >LPERR06G12880.1 pep chromosome:Lperr_V1.4:6:11022223:11028851:1 gene:LPERR06G12880 transcript:LPERR06G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAMCPDVAEDRARVVAGDAVGMEVVGDMEFDFSVDDIDFGDFFLRLEDGDVLPDLEAVDPAEIFTDFEAIATSGGEEFQEDQEVPTVELLAPVEDVGVVDVVVGEEHREFVAEAAGEEEKVGCNQDDEAEEANADVGGDAAVVAEAKSSSTTSSSQEAESRHKSSSKSSQGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAETASWTQRRQIYAAGGGAVAKRPESNVWAVPTIGFPPPPPLPPPPSPMQHFARPLHVWGHPTVDSPRVPMWPPRHLVPRCPALPLVPPPPPSDPAFWHHPYMRGQAHMPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALVSKNQQDAQLQLQAQPGQAHMPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALVSKNQQDAQLQLQAQPSSESIDAAIGDVLTKPWLPLPLGLKPPSLDSVMGELQRQGVANVPPAGG >LPERR06G12890.1 pep chromosome:Lperr_V1.4:6:11052783:11054447:-1 gene:LPERR06G12890 transcript:LPERR06G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCPQDAYFVTTVFITIAFFLTYTSRLRRRTSSHFPPGPPGLPFIGNLLSVHQFTHRGLAELAKIHGGFFYLRIGLSNVFVVSSPETAREIVHDNDSVFSHRPVTAAMVYVSYGLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEADALIRSVAELPRGSAASEGCSVGDLVFRFATNVTFRAAFGARSQEDEKEFVDIILELSEIFMAFNVGDYIPCLEWLDLNGIGKRMAAARRALDVFIDRIIDEHLAKWRNGDDVSAADMVDDMIAYLIDAPAETGDRRADGVELGDLRLSRDNIKGLIMARVDNSPDIMFGGTKTVASTVEWALSELLRNPDELKRAQDELAGVVGLNRRVNESDLDNLPHLRCVTKEILRLHPPLPLLLRESLHDCAIGGYTVPRGSRVWINNWAMARDEALWGHDAAAFRPSRFAAGDGESAGVEFKGGDFHYLPFGSGRRSCPGMQLGMFAVELGLAELLHCFDWSLPAWTEPHEVDMDDVFGLTAPKAVRLCAVPSPRLSCTLF >LPERR06G12900.1 pep chromosome:Lperr_V1.4:6:11071653:11072123:-1 gene:LPERR06G12900 transcript:LPERR06G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKISPRAVPPSMQSSPAPARPPQHSAAATSSLTRPPASVPTRSVEPTVTPRTVIVAPDEGEDRKVDERADTFIRRFKERTHSDIAYMEAEAAAGVVAAAARPPPPPRASLGAGNLAGTAYGYYR >LPERR06G12910.1 pep chromosome:Lperr_V1.4:6:11085668:11086207:1 gene:LPERR06G12910 transcript:LPERR06G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVITYATALLLFLSITHLAQPQNAPHDFLQPHNEARAEVGVAKLSWDSALAAYARNYAKKRSSDCALKHSGGPYGENIYRGSAGGRRWTAADAVARWVRERSYYDCKSNTCAAWRRCGHYTQVTWARTAGLGCAAVTCDGGGTFVMCSYDPPANVRGRGPYPGCRDYVHVSIARHI >LPERR06G12920.1 pep chromosome:Lperr_V1.4:6:11093305:11093806:-1 gene:LPERR06G12920 transcript:LPERR06G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGTPRNKKKENRKPLQLLATLLHFFSLPPVQEGKSERTRPSPHPSGPPSATSALAVRRGLRPWPSPPPSAPAVRHNYGPQRCIMEEERRS >LPERR06G12930.1 pep chromosome:Lperr_V1.4:6:11096758:11097208:1 gene:LPERR06G12930 transcript:LPERR06G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITNFAFLTGNEESSDNSSFYFNPIHPQTLSLRNLRDCVVKGAIDLKFVRRYIENRYVYLATVL >LPERR06G12940.1 pep chromosome:Lperr_V1.4:6:11110758:11115506:1 gene:LPERR06G12940 transcript:LPERR06G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLQQLQISLGAGRCSSSSLAQRLLVIVVVSMVAIGVAPGVANGAENKSRFKAIFMFGDSIVDPGNNNGRLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLLASKLGIKELLPPYNNQDLELSDLLTGVAFASGGSGYDPLTSGPSTAISSSGQLDLFSDYKQKLTSLIGEEAMTRVISEAVFFTVMGANDILNNYFTLPVRRHQYDIASYIEFLVSNAVDFTLKMNAMGAKMIAFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRIKQELDKLNAESTDGSRFVYIDIYYNLLDLIQRPGYYGFKDTSDGCCGNSILNAAIFIKYHSACPNVYDYIFWDSFHPSEKAYNIVVDNLIQQNQQYLM >LPERR06G12940.2 pep chromosome:Lperr_V1.4:6:11110758:11115443:1 gene:LPERR06G12940 transcript:LPERR06G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLQQLQISLGAGRCSSSSLAQRLLVIVVVSMVAIGVAPGVANGAENKSRFKAIFMFGDSIVDPGNNNGRLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLLASKLGIKELLPPYNNQDLELSDLLTGVAFASGGSGYDPLTSGPSTAISSSGQLDLFSDYKQKLTSLIGEEAMTRVISEAVFFTVMGANDILNNYFTLPVRRHQYDIASYIEFLVSNAVDFTLKMNAMGAKMIAFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRIKQELDKLNAESTDGSRFVYIDIYYNLLDLIQRPGYYGFKDTSDGCCGNSILNAAIFIKYHSACPNVYDYIFWDSFHPSEKAYNIVVDNLIQQNQQYLM >LPERR06G12950.1 pep chromosome:Lperr_V1.4:6:11128182:11131029:1 gene:LPERR06G12950 transcript:LPERR06G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCKCHATAQGCSLFVLLLLLAAVIPPASCRANPARNISAIFIFGDSTVDPGNNNNRLTLSKANFPPYGQDFPGGLATGRFSNGKAMGDMIASRLGVKELIPPYLGDGLQLDDLLTGVAFASGGSGYDPLTSKITKEKLRTLVGEETMTQVVSEALYFTSMGGNDLVNNYFLIPFKQDQYNLSSYVDFLISSAVNFTLQLNQMGAKRIGYFGIPPVGCSPSQIILGGHPSKECDQIRNQASELFNSKMKMEIDRLNAEQNIYGLNLAYIDFYSYLLQLTQQPEFYGFKEAAEGCCGSTLFDASIFIAYHTPCSNVLDYIYWDGFHPTEKAYRTVVDNVLRVTEEHLM >LPERR06G12960.1 pep chromosome:Lperr_V1.4:6:11147379:11148125:-1 gene:LPERR06G12960 transcript:LPERR06G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQTDLESQKPAMSPAAGVSSAATTEVPVSVGRSNGHDVSPETEPLLSLQGDADGGGGGDDDDDDTTRLERAMTQAFRSTAELAKHLPTGAVLVFEVLSPVFTNGGKCHDVNRVMTSWLVGLCAAACFFLCFTDSFHDRKGTVRYVVATRSGLWVIDGTTPPPPDVAATYRLRFIDLFHAVMSLVVFLSVAMFDHNVGSCFYPVMSYDTRQVLTAVPLAGGLVGTMLFATFPSTRHGIGFPVHVA >LPERR06G12970.1 pep chromosome:Lperr_V1.4:6:11159231:11161914:1 gene:LPERR06G12970 transcript:LPERR06G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGTAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPAALTQQNPVLTDQQQTKIEEGKDVSEDVTDTKEPSFAEATQKNEEKKETVTKPSSGETDEATITCDENGVDEGFPYARPPVCELTGDIRISPKDKTMFFVNPSGAGPFNDNGEKKIRPYARKDDFLLPGVVEVTIKSVPSPAAAPACTRTHDVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTAHLAGEVQLLITNFKPWWVHKYTPLLKKISNYEVINFDDKDGGDVVHCFRRGGLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRRAFGLPRDTPAVLGDKTGARPRMLLIERKGTRKLLNLHDVAALCEELGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWFALAEIVMKQDVKVNVTRFKPFLLKALDELQE >LPERR06G12980.1 pep chromosome:Lperr_V1.4:6:11181967:11184513:-1 gene:LPERR06G12980 transcript:LPERR06G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHLDRGGGGGGGGGGTELFICFTSRPSTASASSAAPATLRPSTSSKLLSPGRATAAADTAPGPPPLRPSLSRRLRNSGSLKGGQSPMFPSSSSGGGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHGSSAAALRSRSRRGGVGAGGSAEASFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRGGGGGTCDGDRGSFSAGAGVDKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEVGKGAAVVPAEDVVKASEVGLVMQGWGDVEEEEHSTVVVGEVEVEKKEEILLVGGKEDEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVVNAADDDNDDDDEEQEEDNGGAETDEECEEQARDSAVSAKEAECCEPDCDEDGDCEGGEVDPVVVETSECGGDLGEEANDDGDCGGDGEKVETEEVPIVRKDSVLEVVLSGEAAGREIQLPEMVEAAAEAKEEVSVPRAEKEEEETKGRRSSSCCSPSTALKEDRKLRRLSSRRRVGSNIRASSGSDRAGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGCRKSQKISSEQESEKDCVVHAAPNSAEESQESHDDGKEEATLDGEEGGTQETEVDEKGENVEVAGVEAQDVVEEQKQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYKGQNRRNCRQQKAGCNDAASEELKDTDNAEGTSDAKNAEEDEAPASVNSASMPPLVVQKPPPKEAAEKKLKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >LPERR06G12990.1 pep chromosome:Lperr_V1.4:6:11187283:11193002:-1 gene:LPERR06G12990 transcript:LPERR06G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARVCPSASFPFLLHSLLRPFSSASSVLRPGVPHQRGFPIASALSQASPVPSVNGDGPVMEAPPGPSSRRPWKPTCLYYTQGKCTMLNDTLHLEKFNHDLSMDLPVNSSSADSKVEILEFPVVMIDAQTMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRYLQHYFTLYVSLCRITFFFQFDHNF >LPERR06G13000.1 pep chromosome:Lperr_V1.4:6:11198391:11207269:-1 gene:LPERR06G13000 transcript:LPERR06G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTPPTAAARRAAAIARHLAALCPRDAAALGPSPCLSYAPPESSGPGAAFSPLELRLFLDGHHLRERDWAFGAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGVYDHSLAIKVGVHFFLWGSAIKFLGTKRHHDKWLQDTENYVIKGCFSMTELGHGSNVRGIETVATYDSKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDENENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRESLLNLVADVLPDGKYVSMIDDPDQRFAAFLSPLTLGRVNIAVNSVYVSKVGLAIAVRYALSRRAFSITPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVRRTPEHNKAIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAKRKNQPFKGLGLEHLNGPSPVIPDYLTSDTLRSSSFQMDLLCLRERDLLTQFTTEVSNYLAQGESKEKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIEESASFLRYGCLSRENVASARKEMMTLCSELRPHALDIVSSFGIPDAFLSPLAFDWIEANARSSGNE >LPERR06G13010.1 pep chromosome:Lperr_V1.4:6:11217853:11224328:1 gene:LPERR06G13010 transcript:LPERR06G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSCLAFHRTPSSIWRFGGNGLGPGHAKLTRPRRSAILCVGGSGTASNPADSGKVHVNHGFYVSDIDAALQGIPKKAGEIEKMIIPGLPEGPESSLISTGFWEWKPKLTVYYEQSGSQNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKNTIGDSADDDAFWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIRGILKQVYADHSTNVDNVFSRIMETTQHPAAAASFASIMCAPKGQISFEEALSRCQMQGTPISLMYGREDPWVGPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVVNYLLRGWLKNVESEGSIEIPFLEEPSYAEDGVSRELEFVRGGSKKSVRVRLFGSKMSLWGQISSFFNSGLSNRRVISR >LPERR06G13010.2 pep chromosome:Lperr_V1.4:6:11217876:11224328:1 gene:LPERR06G13010 transcript:LPERR06G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSCLAFHRTPSSIWRFGGNGLGPGHAKLTRPRRSAILCVGGSGTASNPADSGKVHVNHGFYVSDIDAALQGIPKKAGEIEKMIIPGLPEGPESSLISTGFWEWKPKLTVYYEQSGSQNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKNTIGDSADDDAFWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIRGILKQVYADHSTNVDNVFSRIMETTQHPAAAASFASIMCAPKGQISFEEALSRCQMQGTPISLMYGREDPWVGPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVVNYLLRGWLKNVESEGSIEIPFLEEPSYAEDGVSRELEFVRGGSKKSVRVRLFGSKMSLWGQISSFFNSGLSNRRVISR >LPERR06G13020.1 pep chromosome:Lperr_V1.4:6:11231409:11232302:-1 gene:LPERR06G13020 transcript:LPERR06G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASRRAASLLAVAALAAVLLAGPAAAVGKTGQVTVFWGRNKDEGSLREACDSGLYTMVIMSFLNVYGHGKYNLDLSGHPIAGIGDDIKHCQYIGVPVSLSIGGFGNGYSLPSNRSALELFDYLWNAYFGGSKQGVHRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRAGPGKLLHLTATPRCTFPPSSYLGKAVATGIFERIHIRIYDDGDCEAYWHLAWDKWTAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKQSNYTSMVKPYA >LPERR06G13030.1 pep chromosome:Lperr_V1.4:6:11240891:11242396:-1 gene:LPERR06G13030 transcript:LPERR06G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELWLLCATLAVSLLYYLISRHSSSRRRRPPGPRPLPLLGNLLDLRRGNLHHTLARLARAHDAPVMCLQLGLTPAVVISSPGAAREAFTAHDQRLSRAVPDANHALSFCDRSMIWLPSAHPMWKTVRGVVATHVFSPRSLAAARGVRERKVRDLVSYLRGRAGREVDVKDVVYGGVLNLVSSALFSADVVTVGRESAQGFRELVEELIESIAKPNVSDLFPFLRPFDLQGWRRWTAGHLAKIYRVLDGIIDRRMAEVNDDGKDKHGDFLDVLIELTSTGKIAREYLTNLLFDVFTAGSDTVSLTVVWALAELLRNPGIMAKVRADIDGAIGGGSRGRGDEAVEETDVARMPYLQAVVKEAMRLHPVAPVMLPRKAVEDGVEIGGCAVPNGCTVIFNTWAIMRDPAAWERPDEFVPERFMDREVDFRGKDFEFIPFGSGRRLCPGVPMAERVVPLILASLVHAFDWRLPDGMSAEQVDLSEKFTTANVLAVPVVRDHLAS >LPERR06G13040.1 pep chromosome:Lperr_V1.4:6:11282909:11283724:1 gene:LPERR06G13040 transcript:LPERR06G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYGYGGYGGGGGYPDMAGYGSGGGYYTSDSYTAAAAPAAYEDPLTGGLRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNFGNSGGGGGYDHHHCYGFDQDYAGGKSAYYGVEEDDGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGYGVSTGASRSDCCGGRKVDGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >LPERR06G13050.1 pep chromosome:Lperr_V1.4:6:11289730:11291585:1 gene:LPERR06G13050 transcript:LPERR06G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVDNFGTVNLVEACRKAGVTRFVLISSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKHIRSSGINYTILRPGGLTEQPPTGNIVMEPEKLMVKVEC >LPERR06G13060.1 pep chromosome:Lperr_V1.4:6:11293845:11295001:1 gene:LPERR06G13060 transcript:LPERR06G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFHAIAACPRLPPAAFACLSPCPASRKLRLTPTAASTRDAAASGTSSDAKKTTTVFVAGSTGRTGKRIVEKLLERGFGVVAGTTDVGRARGSLPQDPNLQLVRADVTEGADKLVEAIRGVDAVVCATGFRRSFDPFAPWKFLRGVSEAKKWQYFSVIAYRRSSESSRAPLAARGDKPRKILPHRDFPVLISFPVTTLEKSSPSGRFPWQRLXKL >LPERR06G13070.1 pep chromosome:Lperr_V1.4:6:11318977:11320965:1 gene:LPERR06G13070 transcript:LPERR06G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKDHAAKLPSCSPFAEPAPPPYHHHYGTFCPPPPPQLPARAAPNNPFPAGYAAQGVAAYPCTVQQHQQVLVEGLPIREPPLQFCGIGVGWTLFFLGFFLAAIPWYAGAFILFFVALDHREKPGLIACTIAVSISFY >LPERR06G13080.1 pep chromosome:Lperr_V1.4:6:11332994:11344211:1 gene:LPERR06G13080 transcript:LPERR06G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNNLRKKNAAEVDFFTAYGDVNRYEILEVIGKGSYGLVCSANDTHTGAKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHILLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAEEACHTNDCFLILSILGGSPYLALTDPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHISGTERPNFLHLSAVDQFRKQFTQVEENVNGSGAAVSLQRKHSSLPRSTIVHSAAIPSKDYKHAASCSTNHAVDGSWNTQIQGLHGSIAGKPSTIGRPALSSETPRSLAPTLQWQPNITHFPNQALSYQNTVFRGSLLDATSPAQAIPRTTTYVDSRSGNLYLYRHHGATEDVQSDRTTAQAHTVSHGPVPAVPYSFPGMYRIT >LPERR06G13080.2 pep chromosome:Lperr_V1.4:6:11332994:11344211:1 gene:LPERR06G13080 transcript:LPERR06G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNNLRKKNAAEVDFFTAYGDVNRYEILEVIGKGSYGLVCSANDTHTGAKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHILLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHISGTERPNFLHLSAVDQFRKQFTQVEENVNGSGAAVSLQRKHSSLPRSTIVHSAAIPSKDYKHAASCSTNHAVDGSWNTQIQGLHGSIAGKPSTIGRPALSSETPRSLAPTLQWQPNITHFPNQALSYQNTVFRGSLLDATSPAQAIPRTTTYVDSRSGNLYLYRHHGATEDVQSDRTTAQAHTVSHGPVPAVPYSFPGMYRIT >LPERR06G13080.3 pep chromosome:Lperr_V1.4:6:11332960:11344211:1 gene:LPERR06G13080 transcript:LPERR06G13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNNLRKKNAAEVDFFTAYGDVNRYEILEVIGKGSYGLVCSANDTHTGAKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHILLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHISGTERPNFLHLSAVDQFRKQFTQVEENVNGSGAAVSLQRKHSSLPRSTIVHSAAIPSKDYKHAASCSTNHAVDGSWNTQIQGLHGSIAGKPSTIGRPALSSETPRSLAPTLQWQPNITHFPNQALSYQNTVFRGSLLDATSPAQAIPRTTTYVDSRSGNLYLYRHHGATEDVQSDRTTAQAHTVSHGPVPAVPYSFPGMYRIT >LPERR06G13080.4 pep chromosome:Lperr_V1.4:6:11332994:11344211:1 gene:LPERR06G13080 transcript:LPERR06G13080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNNLRKKNAAEVDFFTAYGDVNRYEILEVIGKGSYGLVCSANDTHTGAKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHILLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHISGTERPNFLHLSAVDQFRKQFTQVEENVNGSKPSTIGRPALSSETPRSLAPTLQWQPNITHFPNQALSYQNTVFRGSLLDATSPAQAIPRTTTYVDSRSGNLYLYRHHGATEDVQSDRTTAQAHTVSHGPVPAVPYSFPGMYRIT >LPERR06G13080.5 pep chromosome:Lperr_V1.4:6:11332960:11344211:1 gene:LPERR06G13080 transcript:LPERR06G13080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNNLRKKNAAEVDFFTAYGDVNRYEILEVIGKGSYGLVCSANDTHTGAKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHILLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHISGTERPNFLHLSAVDQFRKQFTQVEENVNGSKPSTIGRPALSSETPRSLAPTLQWQPNITHFPNQALSYQNTVFRGSLLDATSPAQAIPRTTTYVDSRSGNLYLYRHHGATEDVQSDRTTAQAHTVSHGPVPAVPYSFPGMYRIT >LPERR06G13090.1 pep chromosome:Lperr_V1.4:6:11345616:11346344:-1 gene:LPERR06G13090 transcript:LPERR06G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPMTPGRHFVQSLFSPDYLEAQNYWGDAPSLTRPTQPTEPPVGSTPPLQVRDRHPPDPLTYSANQNMEDVYTSDGV >LPERR06G13100.1 pep chromosome:Lperr_V1.4:6:11351186:11357710:1 gene:LPERR06G13100 transcript:LPERR06G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVVAKLGELATAEATALLRVDAEIRLLRRKLAYLQALVRGADRQRRGRANELLLLWLRETREVAFEVEDAVDEFHLRVETFQLRAKLRRGWWHRAAVDLLHGFAMQISVRHGLSNQIIKINERIDELNQNKETYNIESSPSVIWNSSSIEANPEWDEDGYVVDSRQHEFTLLKDQIIKKEDNVLQRAVILILGESGIGKTMLARKLYNDTNVIKHFEVHAWVCLPPHIRFNDYLDIMYRQVSSQILEAPKVYNTTSLSSGDEETTEHKLLQLMQNKKYLVVLDGLVSISDWNSMFDVLPDNNNGSRILLTTHLNLKEINHIGLQIHPLELRCLEAKDGQELFNQWVFGAREPPHVYKSRAYYDKVHNITTGLPLAIIVLAGVLRSKVIPVEWDAVFEQLESNGQPKPVTSIWSLSFDDLPHYLKSCFLYFASMSENIIVYPDRLIRLWIAEGFIAPKKAKTPEEVGFDYLKELVSRGLAQVIEKDAGGGIKLVTIHNLLHAFLESEAQESGFLEIHHHANIINPNSVRRLAVHNYVDSFVHIPNAFPKLRSLFCDFAEGLHNSSSCGGQQSQTLWGNFAELCFRACGISESTCSNTFHSLSLLQGSRFLRVIDLYGLKIQKLPSEIGSIIHLRYLGIKNSNLEDLPSSITKLANLQTLDVRRTNVRKVVNEFWEIEALRHVLGENLLLPMCTVPLNNLMTLSDVVPSNPWHEQICPLNYMICLRSLSLSSISGSHTKALSSALKKLEFLIYLKLSGQVLPSNMFRDSSMRRLQTLILHGKLEDLDALLSNRYILPNLTVLHLHKSEVPQQFIDKLSVLPCLAEMELLDDSYSDTVLAFPEKGFQSLIKLKLKSLCTLEELMVKLGAMPMLSILAIYDCSNMKTFEGLNGLEHLQEVAVYNMQAIVDTIKREDKQLFDKVKCLTSPTKVTDRGWIRSLDRQGYISRVKVDPESHCGDLENARTIEVDEIEIDGSRGAWLRSFNSS >LPERR06G13110.1 pep chromosome:Lperr_V1.4:6:11356170:11365164:-1 gene:LPERR06G13110 transcript:LPERR06G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVKTKRAAAQKETTRLGQPDYTFVGRLHGFTDGGERLIDEAAKNHAATNPERTIYDAKRLIGRQFADVEVQRDMKLLPFAVMDRNRKPHVRVEVKDSNVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDADVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLARNGDTHLRGEDFDHRLMDHFVRLINKKHGHDIFGDARTLGKLRRECERAKRALSNQHQGAVRGAERRPVQEDNGAGEEGDGGRRLSKGDIDEIVLVGGSTRIPKVQACSSCCLKTYFGGKEPNRGINPDEAVAYGAAVQASIISGDENTHDLILLDVASLTLGLETAGGVMTKLIPRNTVVPTEKTQVFTTYKDKQTMVTIQVFEGERSMTRDNRLLGKFDLTGIALAPRGTPQIEVTFEVDANGILSVQAAEKATRRLEKITISGDDRKISQEEIDRMVREAEEFAEDDRRHREQVDAKNSLEAYVYNIKNTVEGKMADAMEGDEKEKVEEAVREAYEWMDGNQHDAGKEEYEEKLRKLEDVRNMSAAYQTSGGAATGDNNLLNRVHN >LPERR06G13120.1 pep chromosome:Lperr_V1.4:6:11365908:11369060:1 gene:LPERR06G13120 transcript:LPERR06G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQHYAATSAVSSGFRATARSPHPAAAVPALPLAPPCGGAWTRASHRRASVRAMGASSSSSSSASASPSPQGQAQGRQNYTSLSDEEWKKRLTKDQYYITRQKGTERAFTGEYWNTKTLGVYHCICCDTPLFESSTKFDSGTGWPSYYQPIGDNVKSKLDMSIIFMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKTTQ >LPERR06G13130.1 pep chromosome:Lperr_V1.4:6:11379235:11383226:1 gene:LPERR06G13130 transcript:LPERR06G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAAVAVALLFKTPLRKLAMLGLDRLKQGRGPVMVRTVAATVLVVLASSLHSMAKIQGRAAGELDGAGAGVLTPTDQVLFARHLLEASLMGYSLFLALVIDRLHNYIREIRGLKRNLEAASKQNKTFEEAKILGSSDESKSHQKDIASLNEEIKKLKCQLKEKANETKDAESKALAAQKQSEGLMLEYNRLVEDNNHLHDRLQSGDLTLSCSDGKKNT >LPERR06G13140.1 pep chromosome:Lperr_V1.4:6:11417356:11423544:-1 gene:LPERR06G13140 transcript:LPERR06G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVLTIVLFVSTVAITMAAISLNAHPFDPLSAEEITAVRIAVLASPLVPARPLYFHYVGLDEPDKPDILSYAGYGTSSATTTNLPRRAFVIARADGQTHEFTIEIATATNANASASVISHAVYRGPGFPMFTPNDQISAMALPAKYPPFVESVRRRGLDVANVGCGVISRGWFGSSEPAYGGARVAKLQCVVFDNATANIYARPVEGVVMVVDLDRMAIIGYRDRAAYVVPKTEGTDYRAERVGPPFTGPAAAPGVVVQPEGSGFRIEDGHFVRWANWEFHVGFDMRAGTVISLASVNDTDDGGSWRRVLYRSFVSEVFVPYMDPEEEWYFHTFMDAGEYGLGASASPLQRDADCPANAVYLDGYYADYDGNPVKATDVICVFERYAGDVAWRHYNGIGEPVGDETASSLLSLLLPKYVDNVSCGFSVQFAEVRPEVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVTKIGNQVGYRLIPAGATAMSLLADDDYPQRRASYTKKQVWVTPYNKSEKWVSGLYAEQSTGDDNLATWSKRNRRIKDVDIVLWYTVGLHHVPYQEDFPVMPTMSGAFELRPSNFFERNPLIRTKPPGNYPNCSCSARSG >LPERR06G13150.1 pep chromosome:Lperr_V1.4:6:11430107:11431063:-1 gene:LPERR06G13150 transcript:LPERR06G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGEGPKFGWGFSFKLQVAGMGNQCQNGTYGNKCNNKFHNECLASRYDDGDDTEDCYSGSSRSSIAVLMQQGLRRTLTSISVLDQNSPNVTEHYTLGRRLGEGEYGTTYLCTEISTGCQYECKSILKKKFVNMQDIEDVRRQKNIVAIKDAYEDEEAVYIVMELCEGGELYNRIIKGNYSEQKAAEFLGFFFGFLGTCPSLG >LPERR06G13160.1 pep chromosome:Lperr_V1.4:6:11442678:11444501:-1 gene:LPERR06G13160 transcript:LPERR06G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWGGMTQRLSGKEWVMCSTCSSFVCRKKRTAIPKPDEEVTEGNGLEEAKIEFIKSVGGDRKSKMVTKMLDKKSIDFIKNQPPLKPPLRNSYFTYQHMVDIITPYVEVDRVLLEYLQYHYSINGYAEVQLEVTDDEGSDTQRSEASKSRVSALVYEFLPNGSLEDRLNCVDSTLPLTWLVRIRIIREVCSALIFLHKHNPHPGNILLDANFMSKVSDFGISRVLMESSVNGSNAHFASYAERCSEWPLVQAEQLARIGLQCSALSRQKRPDLQRDVWRVMEPMINEDPAPLSQSFRSMFSESGRAVAMPPFVQSLRFHEILRWQRMASPTKLMILDGWMVGMTHLQQQTKLLQTVTPSRITPCVQPCIKENLHQSKMQELFALG >LPERR06G13160.2 pep chromosome:Lperr_V1.4:6:11441856:11444501:-1 gene:LPERR06G13160 transcript:LPERR06G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENWGGMTQRLSGKEWVMCSTCSSFVCRKKRTAIPKPDEEVTEGNGLEEAKIEFIKSVGGDRKSKMVTKMLDKKSIDFIKNQPPLKPPLRNSYFTYQHMVDIITPYVEVDRVLLEYLQYHYSINGYAEVQLEVTDDEGSDTQRSEASKSRVSALVYEFLPNGSLEDRLNCVDSTLPLTWLVRIRIIREVCSALIFLHKHNPHPGNILLDANFMSKVSDFGISRVLMESSVNGSNAHFASYAERCSEWPLVQAEQLARIGLQCSALSRQKRPDLQRDVWRVMEPMINEDPAPLSQSFRSMFSESGRAVAMPPFVQSLRFHEILRWQRMASPTKLMILDGWMVGMTHLQQQTKLLQTVTPSRITPCVQPCIKENLHQSKMQELFALG >LPERR06G13160.3 pep chromosome:Lperr_V1.4:6:11441856:11442432:-1 gene:LPERR06G13160 transcript:LPERR06G13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVNGSNAHFASYAERCSEWPLVQAEQLARIGLQCSALSRQKRPDLQRDVWRVMEPMINEDPAPLSQSFRSMFSESGRAVAMPPFVQSLRFHEILRWQRMASPTKLMILDGWMVGMTHLQQQTKLLQTVTPSRITPCVQPCIKENLHQSKMQELFALG >LPERR06G13170.1 pep chromosome:Lperr_V1.4:6:11454384:11460147:-1 gene:LPERR06G13170 transcript:LPERR06G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVFHNYDPALRTQEKAVEYARALNAAKPFIGAMDGHVDAVSCMAKSPNCLKAIFSGSMDGDIRLWDIASRKTVCHFPGHQGAVRSLATSPDGDLLVSCGVDCTVRLWKVPMLKMVDSNDAIGDASQPSAIYTWKHAFWGVDHQWDGNLFSTVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGELDVLITSARMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRHRHLPMPIYKASNLRRTMIEAENRKEEKRRAQCAPGSMSVQPFRKRRLIKEVE >LPERR06G13180.1 pep chromosome:Lperr_V1.4:6:11460445:11462337:1 gene:LPERR06G13180 transcript:LPERR06G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSLLRSSASLLRAAPARSASSSSSAARPHLRRALSAPPHILRSPFELSVCVESLLPLHSATAAARMTSMLAVPGRALGWLTEGQHETR >LPERR06G13180.2 pep chromosome:Lperr_V1.4:6:11460445:11462337:1 gene:LPERR06G13180 transcript:LPERR06G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSLLRSSASLLRAAPARSASSSSSAARPHLRRALSAPPHILRSPFELSVCVESLLPLHSATAAARMTSMLAVPGRALGWLTEAETDGV >LPERR06G13190.1 pep chromosome:Lperr_V1.4:6:11466087:11466356:1 gene:LPERR06G13190 transcript:LPERR06G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGVDVHVVEFLPGRTSAGRYTFLGAPTAAAEEEEEEEADQAAKKAAAMAAVRGLVEKDVSKMTMEEAKAHHARLMELRAAVIRRL >LPERR06G13200.1 pep chromosome:Lperr_V1.4:6:11477672:11478287:1 gene:LPERR06G13200 transcript:LPERR06G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRYAGRKGVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLANFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >LPERR06G13210.1 pep chromosome:Lperr_V1.4:6:11480800:11483946:-1 gene:LPERR06G13210 transcript:LPERR06G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAPKPSDRYTRRTTSNDDDLDVTPDYSTMSGDYANYTVLMPPTPDNQPYGGGGVPPTAPSSGGGGKPDDLPLPPYGSSSKLVNRRGGGGTGDDVHSAAAGKMDRRLSTARVPAPSNNKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQQESNAYARGGGGAPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVMVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALREKFESPSPTNPTGRSDLPGLDVFISTADPYKEPPLVTANTLLSILATEYPVEKLFVYISDDGGALLTFEAMAEACAFAKVWVPFCRKHGIEPRNPEAYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRINSLPDLIRRRANAMNARERKLARDKQAAGGEADAGAVAAVKPATWMADGTHWPGTWLDPSPDHGKGDHASIVQVMIKNPHHDVIYGEAGDHPYLDMTDVDIRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCYAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRANEYRGAYGQTKVPIDPQYDPRHGGGEGAEHELRPISEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRLPLDAATVAEAVAVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITLTLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDRITQGGIDV >LPERR06G13220.1 pep chromosome:Lperr_V1.4:6:11486946:11487781:-1 gene:LPERR06G13220 transcript:LPERR06G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDAAGLVAVAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEIVVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGVVYRYLYMCGDHAPVASSEF >LPERR06G13230.1 pep chromosome:Lperr_V1.4:6:11499199:11500743:-1 gene:LPERR06G13230 transcript:LPERR06G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAKALLAVVFAVAALLELGLVGANFQDQCDITWEPQNAQMTEGGNHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGEKHDEIDFEFLGNETGQPYTLHTNVFADGVGEREMQFKPWFDPTDGFHNYTIFWNPCMIVWFVDSIPIRVFRNHSGVPFPTSRPMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDIGLNVCECSGSASSCADGCATGDQWYAAPELCKLSDRQLHQMKSVQLGYTIYNYCDDARAKGRPVPPECNMDQY >LPERR06G13240.1 pep chromosome:Lperr_V1.4:6:11522699:11525671:1 gene:LPERR06G13240 transcript:LPERR06G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLPTWASFVGVVLATILFLKTILGRSRSSRRAYNLPPGPKPWPIIGNLNLVGTLPHRSIHDLSKRYGPIMSLRFGSFPVVVGSSVEMAKFFLKTHDLVFTDRPKIAAGKYTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAEEIRALLRGLHGARGRVVVLKDYLSTVSLNVITRMVMGKKYLDKDAAAGAVTTPEEFKSMLDELFLLNGVLNIGDYIPWLNWMDLQGYIKRMKRLSKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADDPNLEVKLKRDGVKAFTQDLIAGGTESATVTEEWALSELLKKPEVFIKATEELDRVIGRDRWVTEKDIPSLPYLDAIIKETMRMHPVAPMLVPRLSREDTSIDGYDIPAGTRVLVSVWSIGRDPKLWEKSEEFMPERFIGSKIDVKGQDYELLPFGSGRRMCPGYSLGLKVILVSLANLLHGFEWRLPDGLTSEQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >LPERR06G13250.1 pep chromosome:Lperr_V1.4:6:11531205:11531492:1 gene:LPERR06G13250 transcript:LPERR06G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERFISSKIDVKGQDYKLLLFGSGWRMCPGYSLGLKWRLPDGMTSEQLSMEEIFGLSTPCKFPHEAVVEPKLPAHLYAAA >LPERR06G13260.1 pep chromosome:Lperr_V1.4:6:11531988:11537128:1 gene:LPERR06G13260 transcript:LPERR06G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSDLARKIAGFTPALRHGISKAGWLGVTSIRVLLRPGDMVAAIQSGVAYGLEFESNPVISLKDVYEFLGEDLRDDRSLLGKESSFPGFVLERKVWSKKKFGNVTRELEKLRQDLRHIKMDGGIVRPSSPRLHRRLLHVRRRVANARSQSVGVRRRAKAPREARWLFPERPYRQAKAQLGPIQSLLGNRGRGLDANRREIHGMPRALDDHGVERGDNLAACKMDNGLGVDHVIHSECQI >LPERR06G13270.1 pep chromosome:Lperr_V1.4:6:11535465:11536922:-1 gene:LPERR06G13270 transcript:LPERR06G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGTAIELPAVPWLFSALQQTGIWHWLPSAGHAAVGGAAVGMKDVQLNKQSASAEATLIHTK >LPERR06G13280.1 pep chromosome:Lperr_V1.4:6:11546345:11546711:1 gene:LPERR06G13280 transcript:LPERR06G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGAAAIALLVLVSLVASAAATEPHCCVDFHSWGDGSTGCGPDQNDACNSWCQSQCRGGECKLRGDHHFCHCFC >LPERR06G13290.1 pep chromosome:Lperr_V1.4:6:11555403:11555987:1 gene:LPERR06G13290 transcript:LPERR06G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIIGVVAFLLLASLVSSEVPRHSIIFSCIVATIFTDPAIIPKCCQDFHAWAEEVGCPPPLDGQCNAWCQNRCSGGACKIKGGKHYCHCNCH >LPERR06G13300.1 pep chromosome:Lperr_V1.4:6:11558222:11558846:-1 gene:LPERR06G13300 transcript:LPERR06G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRRAHVAAGAAQGAAGGDKGGKWRGRRQATAWATGRLAEHKLHCCRGEEQRQQPTEQGSGSSSLTGVDEDEA >LPERR06G13310.1 pep chromosome:Lperr_V1.4:6:11563810:11566912:-1 gene:LPERR06G13310 transcript:LPERR06G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLQERDYIGLSPAAAAALATELRLGLPGTTAAAEEADSDGAGADAPLTLELLPKGGAKRGFADAIVGGPALAGQRREAAGIKAAAAAEEEEEEKKKAQAPAAKMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRN >LPERR06G13320.1 pep chromosome:Lperr_V1.4:6:11586446:11586805:-1 gene:LPERR06G13320 transcript:LPERR06G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATIPTSAPPPSSTWPPRVTTAAGRSSRTDRGPSARRRSCPSLPRSGMWWSSVAAEDDEAQEERRRRIRYRQLREWEEEEELLPQKEEEDELVAVEDLEDEPEYETESVHRYGEVGL >LPERR06G13330.1 pep chromosome:Lperr_V1.4:6:11590323:11593782:1 gene:LPERR06G13330 transcript:LPERR06G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSARREADALLAAAPSPHVVALLDHFPGGDHDDDVLVLEWLPLDLAAVVRAGRGGIPAAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEGGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWISQQRAVLQGAKEDHPPQEAEIQTGQEPERFTATDYLREMDQLRAKSTHGDVDKMSLQDGNTSCFATCSTADIDDDPFRASYSYDPEEGMLEEEEDSGALTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPTGLEACLPDRSSSEVSIIKRLLCYDPAKRASAADLLNDPYFAEEPLPVPIEGLQVPASKDEDDDSAEEWANFRHGDSDSDFDEFGSMDVTKTDKGFSIRFS >LPERR06G13340.1 pep chromosome:Lperr_V1.4:6:11594584:11598060:-1 gene:LPERR06G13340 transcript:LPERR06G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQCSGGRTEVNMMLALSGRRRWLQAATMVALCFFSSICICKAQFNPADSYLVDCGSSKSTTLGMRTFAADGAAPVKVDTSLETLASTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFAFVYQKYDLSTAKFTVSTQDMVLLSDFQQPDKTAPLFKEYSLNITQDNLIISFKPSNGIAFINAIEVVSVPDDLIGDNAPMVNPMQQYSGLSTQPFEMVYRVNMGGPKVTADNDTLSRTWVSDKKYLVNPSVTREVTGGKINYMKGGATQLTAPDIVYKTATELAASNTSNALFNMTWQFDVDSGYSYLIRFHFCDIVSKALNQLYFNAYVGGFYAQHDIDLSMQSMNQLATAIYVDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMMTSSGSAFTVGSSGLNRNLGVIIGSVLGAVGILIIVLVIILFCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDINSMNRIVELPSQVQNVGAHESISMTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGR >LPERR06G13350.1 pep chromosome:Lperr_V1.4:6:11624599:11625267:-1 gene:LPERR06G13350 transcript:LPERR06G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRIKNVGARQVCFSKRRPSVFKKASELYTLCGAEVAMLVKSPAGNIFSFGAPSVRSVLCRSRHTSVGEYSSMAIAMQNGDSATITLHELTQQHIELENQLKDQNEKMRSLQQAIKQEAEGKVMCWLDGKVEDLCKDDLEEFNMVLLSLNDMIKGMTNQLFHNYTMFSHMMYMQHCVTTVPNQQFLLNSEDVKPIIHHVPSSSNGWNIGMDGNPN >LPERR06G13360.1 pep chromosome:Lperr_V1.4:6:11633982:11637015:1 gene:LPERR06G13360 transcript:LPERR06G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSLTAAAASPPVLLKSTPSSFASPAAPFLRPISRCSRFQSVKTKATENDQTEKKPPKVNSILCKDCEGNGAILCTQCKGTGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFLSTFDETAQ >LPERR06G13370.1 pep chromosome:Lperr_V1.4:6:11640723:11646830:1 gene:LPERR06G13370 transcript:LPERR06G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKQMDQQQQPEQTAPAPTDEAKAPPPQPQPRPPPQPKPAASVQHTNWPIAIRPPKQPTETKSVPPAKKKKHSGDYCDGCHCKQCGNHAENESVRQEAINSTKQRNPTAFQPKIENCSNTPNPRKDDAGGSASLRKHNKGCHCKKSGCLKKYCECFQANILCSKDCRCVDCKNFKGNEELQAITQAGNSSERNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDPLFQKLNGEGNMMQPQFQEANHVDSSEITSSIDLEGCYSNYQSRSKMVYRSPLAETIGPEDATGLTTHLVILCRKATGALLTTENNMGMDVERGTHTNSDGAINMDQQNEGDFGSCSNTLEDPRPASPGTQALMCDEQGSTFGTDYRSSFPVAFHDQDTSELNALQEKAVLTGFRDYLRAVITRGKINEANWSSEAAMELDTRRHNGSATILSPLKAVEKTEVPNGPENPKASPSASNCGSKDQE >LPERR06G13380.1 pep chromosome:Lperr_V1.4:6:11646389:11646712:-1 gene:LPERR06G13380 transcript:LPERR06G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASNGNKDYTIHMYSVVCCGPVVQIFDMKQAKIYINCHSWSFDPQFDADGLALGFSGPLGTSVFSTAFSGDNIVADPLCLRVSNSIAASDDQLASVITVEQPLGN >LPERR06G13390.1 pep chromosome:Lperr_V1.4:6:11648038:11650505:-1 gene:LPERR06G13390 transcript:LPERR06G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIKTRGSIHNSCRLLSPISASGPSIWLITRLSGCLPCPRTKESQTLRRLRPPPQQLRRRLAVAGGSRVAPDFASFASAERCSELSPCPQILRTKFNSLSLSGKVSTKPTLHSWIVAGKLKGPKLDDGSGGFPPFRFGKGGGGGGSGGGGSNYFGGFLLFTCVLLMDYLKELEKTLLLQRHRIGDEATAGLAQ >LPERR06G13390.2 pep chromosome:Lperr_V1.4:6:11648038:11650505:-1 gene:LPERR06G13390 transcript:LPERR06G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIKTRGSIHNSCRLLSPISASGPSIWLITRLSGCLPCPRTKESQTLRRLRPPPQQLRRRLAVAGGSRVAPDFASFASAGKLKGPKLDDGSGGFPPFRFGKGGGGGGSGGGGSNYFGGFLLFTCVLLMDYLKELEKTLLLQRHRIGDEATAGLAQ >LPERR06G13400.1 pep chromosome:Lperr_V1.4:6:11652354:11655903:1 gene:LPERR06G13400 transcript:LPERR06G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVVLRSRVLARAASASLRRSLAANSAAPSTPLLAASSRRASSLHRLPSVCGGLLSLMPLHSAVASARLQSAISPESQNWGIVPQDKITPNRLKDIAFQL >LPERR06G13410.1 pep chromosome:Lperr_V1.4:6:11656468:11666377:-1 gene:LPERR06G13410 transcript:LPERR06G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYAAYQELLRAGAVFPQKPESSVPIYTPPQTQPLRNLPPALRNTDRQQEAPESSSAPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKQAQSRGDNSSPAKPEGAKQPDQRPSEAASTVTPFEQLALPAPASSSSSKPPGEPAVGPSIDLLSGDDYFKPEPVNSQALVSLGNPPAASASANNTLDLVDMFAQSNVGNSQNPAVTSSMLNSNPNPSEPQLYPSQQTVPPQQPSPYSNGLTSNTMAPYDQRSDVNPTGSWNGQFAHGMLPPQLSPQQPPNYGQGQSQGQDQSGDLPPPPWESQPAESDQFQPGQPGGLALPSGQLGGIQSLPGQVATSQPMLTGQPAGMQFQQGFGDQIGAQQTQPIHTQYGGMYPPIQGNQPAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQTGGYYAPNAAYGYAGANELSQRMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVNIAKTKPSKTAANKAPGL >LPERR06G13420.1 pep chromosome:Lperr_V1.4:6:11673265:11675214:-1 gene:LPERR06G13420 transcript:LPERR06G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVDQQQHQSGVGGADQWKVTVTTESPSKAAEHDNVKGARRSCCCFATWVVWWLAAPWRWAAGIGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGGCMYKGLNRAAATVAGGALALGVHWATDKLTGDDAEPFVLTASLFVLASAATFSRFIPAMKARFDYGVTIFILTYSLVAVSGYRVDALVTMAQQRLTTIAIGAFICLAVCTLVFPVWAGQELHVLVARNMDRLADSVEACVHDYFDHAEMISEKARGYMAVLNAKASEDSLANLASQHCAAVLREASGSVTAMTRSGRLEVVVGDMNAAAQDLREELRCLAETLDDEEEEAQAQPSSEAEHEHNTATRPPPPLIEALPLFTAASLLLEISVRAEGVVAAVDVLATTARFKKADHDVTDATTTLDVEAAIPAPISSPIAADFDATTGTEHEKKETAEQQEPRDQVGQLVKLLMRRRSTKKWARGEPKVSPLCQRPPLDFPVHAPSPRSRSTELAGHPPVAPSPRHHRSMDLTSHGSSALPSPRNWSMDFASHGPVLPSPRNRSMDFGTHAPSPRNRSILGMA >LPERR06G13430.1 pep chromosome:Lperr_V1.4:6:11687263:11687797:-1 gene:LPERR06G13430 transcript:LPERR06G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKFFYKQRPATNLCAFYVVETIMSRGQRTYSAFSDLEYRRDRVAEEDQHKVIQEALASFLNDEVLDPKGEHYYNGRLDPASVDCNIDLDDPNFD >LPERR06G13440.1 pep chromosome:Lperr_V1.4:6:11712193:11713680:-1 gene:LPERR06G13440 transcript:LPERR06G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASGARTAGDGQEDDSGRSSRTTARRRTKEGAWLGHNKMTSDWKRKVQDLYAVCQKMRKRRAPRPSLRKSDFGGSDR >LPERR06G13450.1 pep chromosome:Lperr_V1.4:6:11717587:11719096:-1 gene:LPERR06G13450 transcript:LPERR06G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEETVPAPPPTPAVPAEGSSDSPPADAAASPEKVTPPEPAPEPAPAVRSRGFRLLGEDTSVHKVLGGGKTMLFNLVEFIPLVCAALILKWKRWKRCGA >LPERR06G13460.1 pep chromosome:Lperr_V1.4:6:11735441:11737419:1 gene:LPERR06G13460 transcript:LPERR06G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTSNKDWHDEWFYHPDAEKSLGEYSSEYPTPCDSWKAKLTKEELLEVAPLMKKIAELKQSGLTDIWVARHFLKYRLNPLKDRVHPTFEYTGHHDPTRESEVDLEEEVDNKLQALFVDGVDITTEKNKPRCRSYHIYRPPPRKVVKNSNAKDTLLSVLAHLVEEGENVRDELAIMKAEMTKSKNSEQNFKDTLRGIAGPDPALIEAKKRAEEQVLKLQAELTWLQGDNKELIKEKDSTEKKLAHAITLNVKSHELANYNKDKLETLSKKHEASALSTGNSSSDKKRKIGFLQSS >LPERR06G13470.1 pep chromosome:Lperr_V1.4:6:11774139:11775341:1 gene:LPERR06G13470 transcript:LPERR06G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEGVDDDSDLSKRRCSSIGEAVRMFSGNKFTQETIADWFQCFIGLDDDTQIWFAYDDADNFNVNNQEKSVALPSQSRSGHPIKNSEVLSAPNLGADAPLPMSYMKDLPRELVTKATKKRRDSTSARLTTSQAEAKKKKLVAHQPQTPNTSTDDGDDEVDAAAAMIF >LPERR06G13480.1 pep chromosome:Lperr_V1.4:6:11794236:11796125:1 gene:LPERR06G13480 transcript:LPERR06G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTVTESDNADASPSPSPSTPTTTTKIVNTTTYELAARNIYYAKPAAAVSATTTASLLSRLLRPCGAARPPPPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSSPLRASSFRRMSAHVPQSDVALSLLTVAETLAFAASLLRESPDEAVADLLAELRLGHVAHTRVSATRLSGGERRRVSIGLALLRSPAVLLLDEPTSGLDSSSAHVVVGCLRAVAASRGTTVVMSIHQPSSRILSAVDSLLLLSRGAVLHHGSVDSLDAALLSHGLAVPAQLNPLEFALEVLDQLPHPSPTPPTKTTSKDLTTIITSSISSSSSSSSTSPYSSRIHEVAVLYKRAWKVVYRSKQLLLTNFLESILVGTLLGTIYINAGYGEAGAHKRLGFFAFTLTFLLTSTTETLPTFVSERPIVLAETSSGLYRLSSHATASTLVFLPYLLAVAILYSSTVYFLVGLCASPAAFASFVLVVWSVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPAYWVFMHYVSPYKYALDALLANEYTCAASRCFGGAGAGVDGECSETGRDVLAEKGLTPQERWTGVQVLFGFFLLYRVLYWIVLSRRAARAKR >LPERR06G13490.1 pep chromosome:Lperr_V1.4:6:11804565:11806067:-1 gene:LPERR06G13490 transcript:LPERR06G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGHSPRQLSPSPAPSTARPSSPTPSSASASALAAAAAATSSSSKRRRPEVLDEDTYVAAIERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILDRRRRVQRQGGPVPTPTPATSTALRSPSFLATPSVAPSVAGEEGAEVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPAEAGKAPLLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEVPLTDEERAERLKFMTKEINRSNTRFHGRSSSDDGTTKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEDTPGGSGGSDTAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGASPSASTPKTRFSRDPSLGSRSPSTRQGSTPPW >LPERR06G13500.1 pep chromosome:Lperr_V1.4:6:11811556:11812473:-1 gene:LPERR06G13500 transcript:LPERR06G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRRLPCCIPAALACCLLLLTSLAGPATADEQIAVFWGRHADEGTLREACDTGYYNTVIISFYTAFGAHGDDHRIDLSGHPLRGVGADIKHCQRKGILVLLSIGGPAGNGSGEHYSLPSSRAASDVADNLWNSVLVGRRSGVFRPFGDAVVDGIDFFIDRGSGDHYDELATKLSMYGNGGGGKGKKGLMLTATPRCSYPDKRLEKALATGLFARIHVRMFGRRDASCTTAARESWEKWAAAYPASQVYLGLVASPEQDDPGYLSPKPLYYTVVMYIRDRDNYGGKVIWDRYYDNKTGYSTGRLI >LPERR06G13510.1 pep chromosome:Lperr_V1.4:6:11820336:11822363:-1 gene:LPERR06G13510 transcript:LPERR06G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRTSTKKTTLVGIFLAVLFLFTSPAVSAAAATKDGASGGAKSGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAASNPERTIYDAKRLIGRQFADAEVQRDMKLLPFAVVDRNGKPHVKVEVKDGDVRVFSPEEVSAMVLTKMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDHRLMDHFVKLINKKHGRDISGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEQLTRARFEELNGDLFKKTMVPVRKALADARLSKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQGSIISGDENTQNLILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGTPQIEVTFEVDANGILSVQAADKATGRSEKITISGDDRKISQEEIDRMVQEAEEFAEDDRRHREQVDARNSLEAYVYNIKNAVEGKMADAMESDEKEKVEEAVREVYEWLDSNHDAGKEEYEEKLKELEDVCNPVMSAAYQRSGGAATDDSNVDDDIDHDEL >LPERR06G13520.1 pep chromosome:Lperr_V1.4:6:11835630:11837264:1 gene:LPERR06G13520 transcript:LPERR06G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATILLLILAVVLAGGMAAEGAGECGRASADRVALRLAPCVSAADDPQSAPSSSCCSAVHTIGQSPSCLCAVMLSNTARVAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >LPERR06G13530.1 pep chromosome:Lperr_V1.4:6:11874629:11875635:1 gene:LPERR06G13530 transcript:LPERR06G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILSLTVDSATAQKCGCCKADRAKACCFACIALGKSEKFCKNTCCFPCLLADSVVAQMDEMGVLAEMEEGQA >LPERR06G13540.1 pep chromosome:Lperr_V1.4:6:11920084:11920613:-1 gene:LPERR06G13540 transcript:LPERR06G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRTILMRRIEKKESRQTTFSKRGPVVLKMASELSVRTGASVAVVVFSETGRVYAFGTPSSAATSSPQLAGRIKGAIRAGRVHWWDADAEALGLTELVRALERVRDSVRRPSAADVLLSAGGQPKQKPRRRRAQAKHK >LPERR06G13550.1 pep chromosome:Lperr_V1.4:6:11924176:11934755:-1 gene:LPERR06G13550 transcript:LPERR06G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAVAATSAALFTGAADGTILHWPLLPPSSSSSSPRPSSLLCAHAAAITSLCPLPTSLLLASCAAGVLSLFSASASASLRCLRRRSLPPWAGSPSLLAPLPPSSGSSSSSGATVAILCHATDDGSSRHVSAVVVVDARTLAVLRTCFHGALSVAPPKAIAVGVGDAVSVVVLADSQGRAQVVPVGGAEGAAVVVEGDSPRRLSASSVSSVASAEAADGRVVEAVSLSDDGKVVALVMKSSCLLKCITEGVVLGEVSPPSDPLCKEGEAGAKGCLVGGFFLRGGEWGTHGSEDGVVVRSLVLWSRNGGAIVYRVEVGTGSFGCKAVCEIPGICSEQGEGSLVQFCQCGNQLIRVESRSYRIAGSLLWKPFVSIWSMDQLELKIANNVDKPPLSKVLGEGGLQGEEFRSENTHSLCPSNNGVDISSPICSSDSNGLWKHGGTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGIYPHISERFFLGHTGAILCLAAHHMHTHSDSRTFNRVLISGSFDCTIRVWDLDAGTVLSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPGYPSMVAWDGVKGYIACLCRNLHSCNDSGSILYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKETSLLQSHANKKGLNISSVSTNHHNTNTDYVTVSVPDVKGKMSATDEGHEFYGNNPANSSGKTASDQSINSINKRRKQPIKCSCPYPGIASLRFDLTAIMSTQGMPNSNSDRQFRDHLYRDNVNDSTPPETCDNTSGIHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSVAQRMITLSRSCTNASSALAAFYTRNFAEKVPEIKSPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHIKKTKVFDSQLSTSDHTDSLIPAMQSASVSSYAQEKVNSEDVEREDHETSDISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDITHFLSDVLFQIECLSSTPSNNSVYKTAVAVTMREALVGTLLPSLAMTDIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPDGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCAKLIYVPPWEGFSPNSARLSIISSILGHDKHPNSETKVRELDEADNLKLLLHNLDLSYRLQWVGGKTIKLTRHGQELGTQQ >LPERR06G13550.2 pep chromosome:Lperr_V1.4:6:11924176:11934755:-1 gene:LPERR06G13550 transcript:LPERR06G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAVAATSAALFTGAADGTILHWPLLPPSSSSSSPRPSSLLCAHAAAITSLCPLPTSLLLASCAAGVLSLFSASASASLRCLRRRSLPPWAGSPSLLAPLPPSSGSSSSSGATVAILCHATDDGSSRHVSAVVVVDARTLAVLRTCFHGALSVAPPKAIAVGVGDAVSVVVLADSQGRAQVVPVGGAEGAAVVVEGDSPRRLSASSVSSVASAEAADGRVVEAVSLSDDGKVVALVMKSSCLLKCITEGVVLGEVSPPSDPLCKEGEAGAKGCLVGGFFLRGGEWGTHGSEDGVVVRSLVLWSRNGGAIVYRVEVGTGSFGCKAVCEIPGICSEQGEGSLVQFCQCGNQLIRVESRSYRIAGSLLWKPFVSIWSMDQLELKIANNVDKPPLSKVLGEGGLQGEEFRSENTHSLCPSNNGVDISSPICSSDSNGLWKHGGTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGIYPHISERFFLGHTGAILCLAAHHMHTHSDSRTFNRVLISGSFDCTIRVWDLDAGTVLSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPGYPSMVAWDGVKGYIACLCRNLHSCNDSGSILYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKETSLLQSHANKKGLNISSVSTNHHNTNTDYVTVSVPDVKGKMSATDEGHEFYGNNPANSSGKTASDQSINSINKRRKQPIKCSCPYPGIASLRFDLTAIMSTQGMPNSNSDRQFRDHLYRDNVNDSTPPETCDNTSGIHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSVAQRMITLSRSCTNASSALAAFYTRNFAEKVPEIKSPSLQSASVSSYAQEKVNSEDVEREDHETSDISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDITHFLSDVLFQIECLSSTPSNNSVYKTAVAVTMREALVGTLLPSLAMTDIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPDGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCAKLIYVPPWEGFSPNSARLSIISSILGHDKHPNSETKVRELDEADNLKLLLHNLDLSYRLQWVGGKTIKLTRHGQELGTQQ >LPERR06G13560.1 pep chromosome:Lperr_V1.4:6:11938244:11940732:-1 gene:LPERR06G13560 transcript:LPERR06G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIASTGSSFHSSPNPLARQQRIARAVVAFVPQPQAHALRCERTSHPNPRASAAAAAAMGGDAGSGSVVVVGRKKRLAVFVSGGGSNFRAIHEAALGGKVNADVVALVTDKPGCGGAEYARGNGIQVIVFPNSKSAPEGISSDELLNTLRELRVDFILLAGYLKLIPVELIQAYPRCILNIHPSLLPAFGGKGFYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVDAVTALCEDRIVWREDVCERDRGKGWCKWQPFLENPLGQKGPVVSSSILFFSYYIYVLSLGC >LPERR06G13560.2 pep chromosome:Lperr_V1.4:6:11938832:11940732:-1 gene:LPERR06G13560 transcript:LPERR06G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIASTGSSFHSSPNPLARQQRIARAVVAFVPQPQAHALRCERTSHPNPRASAAAAAAMGGDAGSGSVVVVGRKKRLAVFVSGGGSNFRAIHEAALGGKVNADVVALVTDKPGCGGAEYARGNGIQVIVFPNSKSAPEGISSDELLNTLRELRVDFILLAGYLKLIPVELIQAYPRCILNIHPSLLPAFGGKGFYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVDAVTALCEDRIVWREDGVPLIRSQTNSNEYT >LPERR06G13570.1 pep chromosome:Lperr_V1.4:6:11941236:11945256:-1 gene:LPERR06G13570 transcript:LPERR06G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRGRPSKGRQRIAIRHIDDVGRRQVTFSKRRHGLFKKASELATLCGAPVAVVVFSKADNVFAFGDPTASAVLRRYAPSSSPAPLSDAASDAAGAAAPEELDSLRRAAEETKAQVASEKARMRGVAEMITRAVREGREHWWDADVEALGMAELPEFARALEALRGSVQRQSNTMLAIATTTPPPLPAQQESPELNQEEGATMVRPPSKGRQKIEMRYIQDHTARQVTFTKRRQGMMKKASELATLCGAHVAVVAFSEVGNAFGFGDPCASAVLRRYAAATLTDDADAAFSAATTGELDALRRATEETKVQMASEKARMSRVAGRIKRAVKPGRKHWWDADVEALGMAELPEFVRALEKLRGSVKRQAERMPAAATTTPPPLQCNGMIRLLDDVNL >LPERR06G13580.1 pep chromosome:Lperr_V1.4:6:11952650:11953679:-1 gene:LPERR06G13580 transcript:LPERR06G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWWYGAGVNNWDLDAVVRFGCGGHVTPPPPPPPLPVLGDDDDAWLAPLPDLAVTDADPVAALLAASSAPAPPLPDSLQPPTVPSTEETPPPPADTKQPSTGVARAGGGSRSSARRKKRQVAKEVIRVAANGPAADEWAWRKYGQKPIKGSPYPRGYYRCSSDKSCAARKQVERCRLDPSFLILTYTGSHSGHDVPLHRNSLAGTTRLKHSTLPSTETAASSSHSQSASTPLLTSSSVEMVNNHGGGGEEEEDCDVVDGDAADNCMVVDYEDGEEEEAIQAVEWGTPMSDEVIAEGGEWR >LPERR06G13590.1 pep chromosome:Lperr_V1.4:6:11970540:11970812:-1 gene:LPERR06G13590 transcript:LPERR06G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTMPHRGHCGACHEILNTGLCPRITGITFFLTEVEAANACHRRALHARGVPRRLPVLQELGGICGRNSGNGRRTGGGGGDSVDDGALP >LPERR06G13600.1 pep chromosome:Lperr_V1.4:6:11979725:12009061:-1 gene:LPERR06G13600 transcript:LPERR06G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMACAGAAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEVDFVRQDALLLLENALEGSGGGGSAAAYLEAFRIIMRGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSSVRDSFAEALGSLLALAVNPDAQVKKGVKKQSASGKKFDDGLQKHLILPFIRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDNELQNNAVQVTEILQGNASPDPHALACVLYVLRVGIADQMTEPTQREFLLESPNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGTTTLHALRETLSFDKGNIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASVEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLANPIIYKSEHQQMLQLCSSPFRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQPESVSKMLVNQMLLCYGSIFACQDNTVKIRLLNNLDQCLKSGKKQSWFISVVTNACVALLSGLKDFLNLRGAQSLPTDILTMVQSIFKRAKSLQLNDEQHVKVLARFLLGELTAPIDLSYTASITLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQIFVHTGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAASTLCHLIERDPAAMINQNIEENLFSMLDEETDSEYNSNIGSFDYYALIVHIMSTSSISMVLATSIARNTSKGLSSSGHDPVDSNAENDIYYGEDEDNMISSSKQEKKNWSANNFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVSEGAYSSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRLKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSGINHKPFLDGIQSFLVSSKAKEYLDEVWQLILQATALDAAPLELEMNDSEDTLGQAFLSGHSMVKLDLNEFKFLWGLSFLVLCHAQSSMTNSAIKINLDHNNEKKTGGFVVCDGLDNPRPCDQMLTVLLSLTTQVFFSMNFLTVDTCQELLQALTYSDCSGSPVVCLFSQIIRHCPDKFFEVEEFVFVALEFYSRYLTTILQRCGSSQECLSNSLISELSIATETMACQMKNEHWWKLMILLVSTSHQSFQQVPTNLCLSNIISFLQNTLPFMKKYLRERAEPSDECANREVALGALISLVAYICTECGNMISMLDNKISDDYKLLAKVLYFCLGEAIALAKVVDEIGYHGESSTSNELMSGSFRHCIQIVQASLCSTTIQVQMLGVHVLKVCAQRELTEGSQTTTHSFMVLLMELLGDVFAVIHIALKDQMWHSLTTLFIQGCSSKESVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSGTGSQEHTEVNSISKKLFSHFIQIPSTAVHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPAPVHITTHSEQNAQGGFSQEPGFNATGAVEEKNEKEVSDDDWDDDWDNFQSLPAHGTKDDEDLPVPEQASVVSPHDEQMPQVNINPDAGNVDVSGGTKEGISYFDKDLEESSTSQFSDTMQQVQSESEELSCKDNEEPPKHLKVHCTGSSVHIIKEETDDESRQIHDDQFASKESKSNELNLPNEATGSAGGGEYGASGEILRAEGDALDENIASVDDSRSNLNNISDATEDELNEACNKVSVANERFESVITDCGEKVPASSDGVKSDLYPQNVDTKPESSGGEIVESGS >LPERR06G13600.2 pep chromosome:Lperr_V1.4:6:11979725:12009061:-1 gene:LPERR06G13600 transcript:LPERR06G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMACAGAAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEVDFVRQDALLLLENALEGSGGGGSAAAYLEAFRIIMRGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSSVRDSFAEALGSLLALAVNPDAQVKKGVKKQSASGKKFDDGLQKHLILPFIRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDNELQNNAVQVTEILQGNASPDPHALACVLYVLRVGIADQMTEPTQREFLLESPNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGTTTLHALRETLSFDKGNIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASVEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLANPIIYKSEHQQMLQLCSSPFRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQPESVSKMLVNQMLLCYGSIFACQDNTVKIRLLNNLDQCLKSGKKQSWFISVVTNACVALLSGLKDFLNLRGAQSLPTDILTMVQSIFKRAKSLQLNDEQHVKVLARFLLGELTAPIDLSYTASITLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAASTLCHLIERDPAAMINQNIEENLFSMLDEETDSEIATLVRSTIMRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSKGLSSSGHDPVDSNAENDIYYGEDEDNMISSSKQEKKNWSANNFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVSEGAYSSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRLKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSGINHKPFLDGIQSFLVSSKAKEYLDEVWQLILQATALDAAPLELEMNDSEDTLGQAFLSGHSMVKLDLNEFKFLWGLSFLVLCHAQSSMTNSAIKINLDHNNEKKTGGFVVCDGLDNPRPCDQMLTVLLSLTTQVFFSMNFLTVDTCQELLQALTYSDCSGSPVVCLFSQIIRHCPDKFFEVEEFVFVALEFYSRYLTTILQRCGSSQECLSNSLISELSIATETMACQMKNEHWWKLMILLVSTSHQSFQQVPTNLCLSNIISFLQNTLPFMKKYLRERAEPSDECANREVALGALISLVAYICTECGNMISMLDNKISDDYKLLAKVLYFCLGEAIALAKVVDEIGYHGESSTSNELMSGSFRHCIQIVQASLCSTTIQVQMLGVHVLKVCAQRELTEGSQTTTHSFMVLLMELLGDVFAVIHIALKDQMWHSLTTLFIQGCSSKESVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSGTGSQEHTEVNSISKKLFSHFIQIPSTAVHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPAPVHITTHSEQNAQGGFSQEPGFNATGAVEEKNEKEVSDDDWDDDWDNFQSLPAHGTKDDEDLPVPEQASVVSPHDEQMPQVNINPDAGNVDVSGGTKEGISYFDKDLEESSTSQFSDTMQQVQSESEELSCKDNEEPPKHLKVHCTGSSVHIIKEETDDESRQIHDDQFASKESKSNELNLPNEATGSAGGGEYGASGEILRAEGDALDENIASVDDSRSNLNNISDATEDELNEACNKVSVANERFESVITDCGEKVPASSDGVKSDLYPQNVDTKPESSGGEIVESGS >LPERR06G13600.3 pep chromosome:Lperr_V1.4:6:11979725:12009061:-1 gene:LPERR06G13600 transcript:LPERR06G13600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMACAGAAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEVDFVRQDALLLLENALEGSGGGGSAAAYLEAFRIIMRGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSSVRDSFAEALGSLLALAVNPDAQVKKGVKKQSASGKKFDDGLQKHLILPFIRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDNELQNNAVQVTEILQGNASPDPHALACVLYVLRVGIADQMTEPTQREFLLESPNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGTTTLHALRETLSFDKGNIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASVEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLANPIIYKSEHQQMLQLCSSPFRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQPESVSKMLVNQMLLCYGSIFACQDNTVKIRLLNNLDQCLKSGKKQSWFISVVTNACVALLSGLKDFLNLRGAQSLPTDILTMVQSIFKRAKSLQLNDEQHVKVLARFLLGELTAPIDLSYTASITLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAASTLCHLIERDPAAMINQNIEENLFSMLDEETDSEIATLVRSTIMRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSKGLSSSGHDPVDSNAENDIYYGEDEDNMISSSKQEKKNWSANNFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVSEGAYSSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRLKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSGINHKPFLDGIQSFLVSSKAKEYLDEVWQLILQATALDAAPLELEMNDSEDTLGQAFLSGHSMVKLDLNEFKFLWGLSFLVLCHAQSSMTNSAIKINLDHNNEKKTGGFVVCDGLDNPRPCDQMLTVLLSLTTQVFFSMNFLTVDTCQELLQALTYSDCSGSPVVCLFSQIIRHCPDKFFEVEEFVFVALEFYSRYLTTILQRCGSSQECLSNSLISELSIATETMACQMKNEHWWKLMILLVSTSHQSFQQVPTNLCLSNIISFLQNTLPFMKKYLRERAEPSDECANREVALGALISLVAYICTECGNMISMLDNKISDDYKLLAKVLYFCLGEAIALAKVVDEIGYHGESSTSNELMSGSFRHCIQIVQASLCSTTIQVQMLGVHVLKVCAQRELTEGSQTTTHSFMVLLMELLGDVFAVIHIALKGCSSKESVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSGTGSQEHTEVNSISKKLFSHFIQIPSTAVHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPAPVHITTHSEQNAQGGFSQEPGFNATGAVEEKNEKEVSDDDWDDDWDNFQSLPAHGTKDDEDLPVPEQASVVSPHDEQMPQVNINPDAGNVDVSGGTKEGISYFDKDLEESSTSQFSDTMQQVQSESEELSCKDNEEPPKHLKVHCTGSSVHIIKEETDDESRQIHDDQFASKESKSNELNLPNEATGSAGGGEYGASGEILRAEGDALDENIASVDDSRSNLNNISDATEDELNEACNKVSVANERFESVITDCGEKVPASSDGVKSDLYPQNVDTKPESSGGEIVESGS >LPERR06G13600.4 pep chromosome:Lperr_V1.4:6:11979725:12009061:-1 gene:LPERR06G13600 transcript:LPERR06G13600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMACAGAAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEVDFVRQDALLLLENALEGSGGGGSAAAYLEAFRIIMRGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSSVRDSFAEALGSLLALAVNPDAQVKKGVKKQSASGKKFDDGLQKHLILPFIRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDNELQNNAVQVTEILQGNASPDPHALACVLYVLRVGIADQMTEPTQREFLLESPNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGTTTLHALRETLSFDKGNIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASVEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLANPIIYKSEHQQMLQLCSSPFRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQDNTVKIRLLNNLDQCLKSGKKQSWFISVVTNACVALLSGLKDFLNLRGAQSLPTDILTMVQSIFKRAKSLQLNDEQHVKVLARFLLGELTAPIDLSYTASITLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQIFVHTGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAASTLCHLIERDPAAMINQNIEENLFSMLDEETDSEIATLVRSTIMRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSKGLSSSGHDPVDSNAENDIYYGEDEDNMISSSKQEKKNWSANNFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVSEGAYSSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRLKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSGINHKPFLDGIQSFLVSSKAKEYLDEVWQLILQATALDAAPLELEMNDSEDTLGQAFLSGHSMVKLDLNEFKFLWGLSFLVLCHAQSSMTNSAIKINLDHNNEKKTGGFVVCDGLDNPRPCDQMLTVLLSLTTQVFFSMNFLTVDTCQELLQALTYSDCSGSPVVCLFSQIIRHCPDKFFEVEEFVFVALEFYSRYLTTILQRCGSSQECLSNSLISELSIATETMACQMKNEHWWKLMILLVSTSHQSFQQVPTNLCLSNIISFLQNTLPFMKKYLRERAEPSDECANREVALGALISLVAYICTECGNMISMLDNKISDDYKLLAKVLYFCLGEAIALAKVVDEIGYHGESSTSNELMSGSFRHCIQIVQASLCSTTIQVQMLGVHVLKVCAQRELTEGSQTTTHSFMVLLMELLGDVFAVIHIALKDQMWHSLTTLFIQGCSSKESVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSGTGSQEHTEVNSISKKLFSHFIQIPSTAVHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPAPVHITTHSEQNAQGGFSQEPGFNATGAVEEKNEKEVSDDDWDDDWDNFQSLPAHGTKDDEDLPVPEQASVVSPHDEQMPQVNINPDAGNVDVSGGTKEGISYFDKDLEESSTSQFSDTMQQVQSESEELSCKDNEEPPKHLKVHCTGSSVHIIKEETDDESRQIHDDQFASKESKSNELNLPNEATGSAGGGEYGASGEILRAEGDALDENIASVDDSRSNLNNISDATEDELNEACNKVSVANERFESVITDCGEKVPASSDGVKSDLYPQNVDTKPESSGGEIVESGS >LPERR06G13600.5 pep chromosome:Lperr_V1.4:6:11979725:12009061:-1 gene:LPERR06G13600 transcript:LPERR06G13600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMACAGAAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEVDFVRQDALLLLENALEGSGGGGSAAAYLEAFRIIMRGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSSVRDSFAEALGSLLALAVNPDAQVKKGVKKQSASGKKFDDGLQKHLILPFIRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDNELQNNAVQVTEILQGNASPDPHALACVLYVLRVGIADQMTEPTQREFLLESPNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGTTTLHALRETLSFDKGNIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASVEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLANPIIYKSEHQQMLQLCSSPFRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQDNTVKIRLLNNLDQCLKSGKKQSWFISVVTNACVALLSGLKDFLNLRGAQSLPTDILTMVQSIFKRAKSLQLNDEQHVKVLARFLLGELTAPIDLSYTASITLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQIFVHTGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAASTLCHLIERDPAAMINQNIEENLFSMLDEETDSEYNSNIGSFDYYALIVHIMSTSSISMVLATSIARNTSKGLSSSGHDPVDSNAENDIYYGEDEDNMISSSKQEKKNWSANNFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVSEGAYSSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRLKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSGINHKPFLDGIQSFLVSSKAKEYLDEVWQLILQATALDAAPLELEMNDSEDTLGQAFLSGHSMVKLDLNEFKFLWGLSFLVLCHAQSSMTNSAIKINLDHNNEKKTGGFVVCDGLDNPRPCDQMLTVLLSLTTQVFFSMNFLTVDTCQELLQALTYSDCSGSPVVCLFSQIIRHCPDKFFEVEEFVFVALEFYSRYLTTILQRCGSSQECLSNSLISELSIATETMACQMKNEHWWKLMILLVSTSHQSFQQVPTNLCLSNIISFLQNTLPFMKKYLRERAEPSDECANREVALGALISLVAYICTECGNMISMLDNKISDDYKLLAKVLYFCLGEAIALAKVVDEIGYHGESSTSNELMSGSFRHCIQIVQASLCSTTIQVQMLGVHVLKVCAQRELTEGSQTTTHSFMVLLMELLGDVFAVIHIALKDQMWHSLTTLFIQGCSSKESVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSGTGSQEHTEVNSISKKLFSHFIQIPSTAVHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPAPVHITTHSEQNAQGGFSQEPGFNATGAVEEKNEKEVSDDDWDDDWDNFQSLPAHGTKDDEDLPVPEQASVVSPHDEQMPQVNINPDAGNVDVSGGTKEGISYFDKDLEESSTSQFSDTMQQVQSESEELSCKDNEEPPKHLKVHCTGSSVHIIKEETDDESRQIHDDQFASKESKSNELNLPNEATGSAGGGEYGASGEILRAEGDALDENIASVDDSRSNLNNISDATEDELNEACNKVSVANERFESVITDCGEKVPASSDGVKSDLYPQNVDTKPESSGGEIVESGS >LPERR06G13610.1 pep chromosome:Lperr_V1.4:6:12016735:12020585:1 gene:LPERR06G13610 transcript:LPERR06G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASCLAPPPPHLPCSSRATLPLPSSLLSTAAAARFRPPRTAAAAAPPKGWRPARVSCFRQGQDVPTTSSSDDGASAGFDHISLRPESSRGIKRSLQERIFRFQTQRWTVPWAGDTIVQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFSLKGRWYLDVALGCLLFPLVNFLSHININLVPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILIAQLVGFNGLAQPMEWAALDT >LPERR06G13610.2 pep chromosome:Lperr_V1.4:6:12016735:12021052:1 gene:LPERR06G13610 transcript:LPERR06G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASCLAPPPPHLPCSSRATLPLPSSLLSTAAAARFRPPRTAAAAAPPKGWRPARVSCFRQGQDVPTTSSSDDGASAGFDHISLRPESSRGIKRSLQERIFRFQTQRWTVPWAGDTIVQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFSLKGRWYLDVALGCLLFPLVNFLSHININLVPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >LPERR06G13620.1 pep chromosome:Lperr_V1.4:6:12036002:12038645:1 gene:LPERR06G13620 transcript:LPERR06G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSLGLAAVAVVVVGIAMPASAVAAAAEQPPAPAPASDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKFF >LPERR06G13630.1 pep chromosome:Lperr_V1.4:6:12041017:12044289:1 gene:LPERR06G13630 transcript:LPERR06G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAPPRPDEALLERIRDLHDKLSHAILSLSLSSSSARRRRAAGKQGRCGSWEHQCEEAAAMSDARSLHAVRAALEDFHGHIRFLRNVQSRHVAERDAAVARLQRSRILLATRLAEHRWRKHEVIEETLAFVDNVLDKSRFFSPEDVCGSGTYTRSQSVPKGHGSNLLVRVLSCTLAIAKNSLRLEKIGGAFGNTAICIKWHLVNKLQQSNVGELIISILGCL >LPERR06G13630.2 pep chromosome:Lperr_V1.4:6:12041017:12044289:1 gene:LPERR06G13630 transcript:LPERR06G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAPPRPDEALLERIRDLHDKLSHAILSLSLSSSSARRRRAAGKQGRCGSWEHQCEEAAAMSDARSLHAVRAALEDFHGHIRFLRNVQSRHVAERDAAVARLQRSRILLATRLAEHRWRKHEVIEETLAFVDNVLDKSRFFSPEDVCGSGTYTRSQSVPKGHGSNLLLHQVAFGKQTPAVQCRRVDYFHSRLSVKNTKEKHLEVLLARG >LPERR06G13640.1 pep chromosome:Lperr_V1.4:6:12047328:12049595:1 gene:LPERR06G13640 transcript:LPERR06G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNGTILQLYHAGRLAAALRAFDSLPSSSSSTAPLTAATYAALVAACSRLRSLPQGRRVHRHLVSSSSDAGNTVLNNHLITMYGRCAAPDSARQVFDGMPARNPVSWAAVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRGCTELGDVAAGRQVHAYALKSENGGDLIVQNALVTMYSRNGLVDDGLMLFERIRDKDLISWGSIIAGFVQQGFEMEALQVFREMIVEGMHQPNEFHFGSVFRACGAVGSWEYGEQIHCLSIKYRLDRDFYAGCSLSDMYARCKKLESARAAFYRIEAPDLVSWNSIINAYSVEGLLSEALALFSELRDSGLKPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHETKDRDVVTWNSILTACVQHNHLEEVLKLFNLLNKSEPSLDRISLNNVLSASAQLGYFEMVKQIHAYAFKAGLVDDAMLNNSLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYATEALDLFSKMTSLGIRPNHVTFIGVLTACSRVGFVHEGCYYYSIMEPEYGIVPTREHCSCVIDLLARAGRLTEAAKFIDQMPFEPDIIMWKTLLAASKTHNDMEMGKRAAEGILNIDPSHSSAYVLLCNICAASGNWNEFARLKKAMRSSGVKKSPGKSWVKLKGELKVFIVEDRSHPESQEIYTMLELVGMEMIKAGYVPKLSCKRFFVDHIDSDFLNEEELAEYG >LPERR06G13650.1 pep chromosome:Lperr_V1.4:6:12051219:12057042:-1 gene:LPERR06G13650 transcript:LPERR06G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSATLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTGRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLRDPFNARNILGILIAIFGMALYSYFSVKESKKKAINDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >LPERR06G13660.1 pep chromosome:Lperr_V1.4:6:12052858:12055426:1 gene:LPERR06G13660 transcript:LPERR06G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYEEDTKTGVVYLWAKTLRPMTIATEDATESARDPITPSWKPGDIIATVYLLLWSHSTHMNRVSASMSKLSMSQ >LPERR06G13670.1 pep chromosome:Lperr_V1.4:6:12061220:12063210:-1 gene:LPERR06G13670 transcript:LPERR06G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETSARAWTQKYAMG >LPERR06G13680.1 pep chromosome:Lperr_V1.4:6:12075913:12076365:-1 gene:LPERR06G13680 transcript:LPERR06G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVVIALLALAASSVSAQLDACSQGFGQCQQQPFQQPIINPCKEFVRQQCSPVAMPWWEQSRRLELSTCQVMKRQCCQQMRMMAQQYRCKDICNMVQSIVQQLQYGGRRFGEPQTQEQLALNLPSMCGVYPRYCITPCTVATGHCGSW >LPERR06G13690.1 pep chromosome:Lperr_V1.4:6:12081182:12081703:-1 gene:LPERR06G13690 transcript:LPERR06G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHPLQVTSPSSPSSPRRHVVAAYMDLTRDDCLRLFPSGRLISQTFRLAGRQFFLSAHCNMDQMDTFHCFGLFLAMAKEDEEGGSSSASVPLTVDYDFAARTRRPSGEDGDDEFVSVYMGYYEFRGGKAVGYRNLFGTPWDSFMADGSVFFVDGVLHLRAELHVKEAPFFF >LPERR06G13700.1 pep chromosome:Lperr_V1.4:6:12100279:12103777:1 gene:LPERR06G13700 transcript:LPERR06G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAVSVTTGVLKSLISKLTTLLEKEYSLLTGMRHDIAFLRDELASMDPLLSKLADMEELDPQVKVWRDRVREMAYDIEDCIDLFMHRLGHGTEKVSLVRKTAANICRLGARHEIGKQIHEIVLKTLYVEASNLEGIDSPIEQIVQWLSVDEEKNLDHQPPRVISILGFGGLGKTTLAIQVYNKFKEKFDCAAKPRIKKVLMDLLKDVGAGFDPTDDERQLINKLRGHLTKKRIITTTRRNDVAKACCSSSDDYMYEMKPLSTLDSERLFFKRIFDSEDCPSQLKDAANGILRKCGGLPLAIITISSLLASKPLTFDHWNRVDNFMSITLETNPDIEAMRKILSISYIDLPHYLKTCLLYISIFPEDYTIKRKRLIIRWIAEGFIHEEHGQSVQEIGESYFNELINRRLIQPWYIDPASGQVESCRVHDMILELIKLKAVEENFVTILGAQDLASIPRNKIRRVSVQCGESEQAKVPKGSLVLSHVRSFTIFGHGKHLPSLLEMNTLRVLDLEGCSEINDDHLTGIERLIQLKYLNLRETYISKVPREIVKLQYLDTLDIRNTGITQVPSSIIKLRQLTRLFVDLDTRLPDELGKLENLEELTHVNACIYPMDFPKELAQLTKLRELEISWDSECIQHHLTSYELGLTESLCQLATCKLHSLTLHIINEDEDGFPLHDWHPAPRTLERLRIDMKLGCISEVPRWMGTLVNLQEITLRVKTLGQNGIDILSEVPALRSLALHSEDRRDSRENLITIAGGNSGFRCLKVFRSIWEWMYLSFDVGSVGSKTKRQTN >LPERR06G13710.1 pep chromosome:Lperr_V1.4:6:12108024:12112574:-1 gene:LPERR06G13710 transcript:LPERR06G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAVEGCSSLADWARHRKRRREELRREKESGKYTELETCKVEPEECDTYEENSEEPVAMIEESPPDIGQDVEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKEFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRALAADVSTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIDGVLHLRAELTIKQP >LPERR06G13720.1 pep chromosome:Lperr_V1.4:6:12122637:12125160:-1 gene:LPERR06G13720 transcript:LPERR06G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPRGYIVIHEGAAFQLIQITKKTAPPQPLLAGAAGRRTLLPALSPDAVAVPAAFFRSHPYSPEPPALSQTSLRRRQLRLHPIQVS >LPERR06G13730.1 pep chromosome:Lperr_V1.4:6:12125986:12130665:-1 gene:LPERR06G13730 transcript:LPERR06G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPPPPPAPTAAAGVRGPKCRGDGGAMPAMATPTAEAAAGTPNRGQEPGGVGVEEEEKVALRRGLAAARVRRRAGQVTPSPSWKLEASPPRPEEKPSAAADSTAAGAGRRSSAAASARQLGATLWEIQDVIKVAGAGGGRRIRRRERRGIAGAGDRDDDEADRPQSSWGGGEHLSTSLMEHDKLHGERSHARQSLSPASYTSSIGAATINLVSPTRSLDCRARSREVGTQLKTSTELLKVLNRIWSLEEQHAADVSAIKGLKSELQHSYARVQELMQERQRYQYEIDSLVRQVSDDKMARKSKDQEKVRAALRSLQEELEDERHLRKHSESLHRKLKRELSEVKSAFLKAVKDLEKEKKATHLLENLCDEFALGIRNYEEEVRLLKQKHVKRYEHKFDKSVVHISEAWLDERMQMQNADPRDALAERISITERLNSEIHSFLNTRRSSKPNDDKLYISNENQDASLCRQSLESVHLNGATSAPQLAEDDDDNSIASDLHCFELSMPGHTIQNNDRAGARQRVTGCMYSPMRRLEFSNGKTIEGSRISTASPCSKKDKARPNGTREHLIASTPEISPCNDAKSASRGAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHHLRAGQFCESTASGNVYDLRSPARQLNNQRSSLDHEISESSPMHPLEGKESNTLKAKLLQARLEGQHARMRASGYSLTSIRRK >LPERR06G13740.1 pep chromosome:Lperr_V1.4:6:12160070:12165394:-1 gene:LPERR06G13740 transcript:LPERR06G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPKLPVPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENKWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYDAARGDQCEKCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLKDKLVDYINETSVAGMWSQNAIQATNAWLKEGLKARCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKVTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIIPDAPNPESHELTKALAEKANKWVEQYLESMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGLVYLLACLLEPFMPSFSNEVLRQLNLSPEESLSFCDEKGEIAKAKIPWDFVPAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKGTKISATHWLVSSSYPEGSQKKEQKKQSGGSKSKNTEVDVTVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGICKVKTIANGEIR >LPERR06G13750.1 pep chromosome:Lperr_V1.4:6:12188770:12190553:1 gene:LPERR06G13750 transcript:LPERR06G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILKVFILHLFIMLQTVGAYAKGKGSPGVYDVTEYGAEPSNDDNKDAFMAAWHAACGATAGNATLLIPDGTFTVSTTEFSGPCKNSRSPLVVVVNGILHPCANSDRHRHPGDDDVWITFSGVSNLLITGTGTLDGRGSNQISNAKSKTTTTLELDGVANATVRGLTFLNSRGFHLNIHRSSHVAAEGLHIGAPAASRNTDGVHVGLSSHVTVADSTIGTGDDCVSIGPGCSGVVVSGVVCGPGHGISVGSLGREEGEDDVRGLVVRNCTVMGTTNGLRIKTWPGSPPSRAFNITFRDIVMDNVSNPIIIDQHYCPHSHCSHIAKVVLGVRFDRVNLSCGKEQCDTKFSNVEGKPTVVAEEEASPGAVVSPERARH >LPERR06G13760.1 pep chromosome:Lperr_V1.4:6:12198007:12198637:-1 gene:LPERR06G13760 transcript:LPERR06G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLMMCVLMLGLILQQSQIQVEAKSCCPSTAARNIYNACRFTGQSRETCSRLSGCKIVDGKCKPPYIHHTLHPDSDESNVTDFCKLGCTSSVCSNINSFVGNEEGNGAVDRCNDACSRFCTTEADTVAVVS >LPERR06G13770.1 pep chromosome:Lperr_V1.4:6:12210248:12212245:-1 gene:LPERR06G13770 transcript:LPERR06G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLTEEMIMFCIIPLHEGWAHELTSGTEKVPGTYVGPFSSTGKAFRLAASPSLPLFFVSVHMYSSVCWPLFDFATTAELRPINSSIAEAERIFGKPAVKEKTERMARTNLCMRSNRVASRFNLELPPITSPWENDGPGPIRAATKRKESRGGGRSQRSKRSKGPIPAVPLQAMAPGQTPSGASASASADVVSVASAGADANPITVPSDEEGSGESECVRILPGLAWDIPGLGVDSALAEWGSLVGESARVLANNLTAGELSEMVRILGWQVTGDALCERGGFDAATAHSERIRLDWLQAEATEAISGAAAARDKAKHTESARAEAEIALSNARSELSREHEGAGKLADQLRKVKAALTERDEELRHNSKELETIKRALPPPTGSTVGEKLRWVEKAAKFVGKATVGYGMWCSGATPRFLSLLLRSKNCMHIGPSMCSSPDEVNAIFYGGSGAGSSRRDADDFATKIWPAMGHDAAVAAMSSVSGSSGKDASSKGKDAPAAKDV >LPERR06G13780.1 pep chromosome:Lperr_V1.4:6:12216514:12217215:-1 gene:LPERR06G13780 transcript:LPERR06G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKGVKSLIMCLLVLCLVQEMIHVEAKSCCPSTTARNMYNSCRLAFGTRELCSKLAGCKIVDGKCKPPFIHHTLHSDSDESDVLDFCKLGCASSVCSNINTFVGNEEGNDSMECCNEACNRFCTKEAEIVSVVS >LPERR06G13790.1 pep chromosome:Lperr_V1.4:6:12234535:12234882:1 gene:LPERR06G13790 transcript:LPERR06G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAASSAEAACVISRVVEIAATCKHMEAGGCKMRLLEVLRDCADGKHEDGSKGARQCAEATAALRRCMEANAGHFRDQIRDMDEGLDKDEKGGVEDWEHIARWRWWTGMRRTSG >LPERR06G13800.1 pep chromosome:Lperr_V1.4:6:12241096:12246736:1 gene:LPERR06G13800 transcript:LPERR06G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESKTAAAAASSTTEAAVKGVAKTLAQHHRAVVGFLFGFFLLLLLYTTLSGQLGSEDAIVRAVTQQSTPDVHNDQEARTTSSTSPTSTSSNATQGNNMDQDNEKEKDSSKKIMEDTDNGDGTNNNKGSSKFDNLDGKYPKQSSQPPVNDASDKMEEELIQRDIDQNGTTNGTNVKLGAPRKPICDLSDPRYDICDMSGDVRTMGANRTVLYLPHGDDDDNDGGHEWSIRDQSRKYLEYINKVTVRSLNASASMSAPACTSHHAVPAIVFAMNGLTGNPWHDFSDVLIPLFITARAFDGEVQFLVSDLQPWFVHKYGRILTNLSRHDVVDFNRDDGGVVRCYPRVIVGLRSHRDLGIDPARSPRNYTMLDFRHYIREVYSLPVSGDVDTRMREQHGRRPRAMLINRGRTRKFVNFPEIAAAVEAAGFEVVTAEPSRDLAVEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMAFYGGPAREMRLRDVEYSIAAEESTLYEKYGKDHPVVRDPESIHRQGWQFGMRYYWLEQDIRLNVTRFAPTLQQVLQMLSA >LPERR06G13800.2 pep chromosome:Lperr_V1.4:6:12241096:12246736:1 gene:LPERR06G13800 transcript:LPERR06G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESKTAAAAASSTTEAAVKGVAKTLAQHHRAVVGFLFGFFLLLLLYTTLSGQLGSEDAIVRAVTQQSTPDVHNDQEARTTSSTSPTSTSSNATQDNLDGKYPKQSSQPPVNDASDKMEEELIQRDIDQNGTTNGTNVKLGAPRKPICDLSDPRYDICDMSGDVRTMGANRTVLYLPHGDDDDNDGGHEWSIRDQSRKYLEYINKVTVRSLNASASMSAPACTSHHAVPAIVFAMNGLTGNPWHDFSDVLIPLFITARAFDGEVQFLVSDLQPWFVHKYGRILTNLSRHDVVDFNRDDGGVVRCYPRVIVGLRSHRDLGIDPARSPRNYTMLDFRHYIREVYSLPVSGDVDTRMREQHGRRPRAMLINRGRTRKFVNFPEIAAAVEAAGFEVVTAEPSRDLAVEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMAFYGGPAREMRLRDVEYSIAAEESTLYEKYGKDHPVVRDPESIHRQGWQFGMRYYWLEQDIRLNVTRFAPTLQQVLQMLSA >LPERR06G13810.1 pep chromosome:Lperr_V1.4:6:12257101:12276439:1 gene:LPERR06G13810 transcript:LPERR06G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIIMSSVASASSDSTATSPSPVDQLFLPAGWEWDDPWPAGDGETKPPPPPPEEEEHPRRPGQPDCSYYVKFGSCKFGMMCIYNHPDREHRLGFEAGGSGGDKLEHPLRPGQPDCYHYLLFRRCKHGMSCRYNHPPPGGRMPQQTYCLGKAQQYNNHDGKSDVEKVKLNLHGLPLRPGLGLCSYYMDRGFCKFGTGCKFHHPDQSSDQEINANQASSQVNIYSVLDLEELNEQPVPSQEIDQPRNSRLLPVERTCYTRDQLLQLRQTVDVPKDILELTQHINVELAGEDQSWLRNETINVQIASYKRFDETDSREWRPRSVQTPLVKEGQSGDKICQTKHQYALCWNQEQLNNNDQVGPISCAKTEEPWSIRKGNPFDKDGVLKRVKGILSILTPEKFDHLKGQLIEPGITRADILKDVINLILEKAVAEPTFCPMYAQLCSYLNKNLKSFPREDPDGEENTFKRALSTKCLEIFESTSNVRAEIYKLTGPDKEMERRDKEMLVKLQTLGNIRLVRALLTERLVTKKIVQHIVQAVMECDKFLFEPLGKVDLLNILFEGMTDSVSAGNESNMGFNASGDKKCSMAANDVEIINKDVDRLNEQATLPKSFKQNDNMWPAGGGETAVEEEHPRRPGQPDCTYYVKFGTCKFGMRCVYNHPDRKYRLGFDAGGGDKLEQHPRRPGEPDCSHYGKFGSCKFGTSCRFNHPEYPGGDRTPQQMYFPRKAYHHHHEGKTEVEQVELNLLGFPLRPGTALCSYYINRGICKFGTNCKFHHPADPGLDQEKAVTSRHANQESSQVNAYSVLDQGESDEQSDAPQEVHQKTGDSIQEAKVPALGWKQEQFNRHGHDQRFQFDSEAQYTEHIDAREVRYSEKLDVIGLILQKAITEPTFCKMYAQLCSYLNENLTLFPPEDPGGEEITFKRALANRCQETFESTCNLWAEIYRSGPDQEMDRRDKERLVKLQTLGNMHLLKELIKQKLVTDKMFAGSKPQHMMTYGSLCTARPSARYAALAGDHQLDGRTSSSGMVVSVSTASKPTAALEGWMPMSQA >LPERR06G13830.1 pep chromosome:Lperr_V1.4:6:12340351:12345635:1 gene:LPERR06G13830 transcript:LPERR06G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFSEFIGNSSNPGNAEKWTIIRPPAIQPTVCPKQLDVLAQDSIHNLISRGIKRKWVDLSLGLGNSSSSSDSSKQSMETCCTMSSAKDRDDGSSFDINFQFNLHNEGTSKLDRNECNGKRLEKPVDLELSLTVGTCESAVTNVDFSTATKHQSVLLQSCNMSSVPAVDEGSTSARWKSGGKLLPYLYQSGNNIGVFSSKEFPGSSNQSQDLVLPPTMIQLPQSPVSSTSGVVSLQQRCNSMKICSQPGCAKGARGSSGRCIAHGGGKRCQREGCKKGAEGKTIFCKAHGGGKRCEHLGCTKSAEGRTDFCIAHGGGRRCSCDGCRKAARGKSGLCIKHGGGKRCQKQNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPDCTKGAEGSTGLCKGHGGGKRCSAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQPGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGHTVGAIALPGSIGADAGGGAWWQHRGYAC >LPERR06G13830.2 pep chromosome:Lperr_V1.4:6:12340299:12344694:1 gene:LPERR06G13830 transcript:LPERR06G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPMEGTKHLREQICPFARRHFSLHHPPQPQISLFPTTTITSLSTTSPHRLPSSSPLFHITPLSLPLAAAAAVGAMDKQLGFSEFIGNSSNPGNAEKWTIIRPPAIQPTVCPKQLDVLAQDSIHNLISRGIKRKWVDLSLGLGNSSSSSDSSKQSMETCCTMSSAKDRDDGSSFDINFQFNLHNEGTSKLDRNECNGKRLEKPVDLELSLTVGTCESAVTNVDFSTATKHQSVLLQSCNMSSVPAVDEGSTSARWKSGGKLLPYLYQSGNNIGVFSSKEFPGSSNQSQDLVLPPTMIQLPQSPVVQKVLEVLQGVALLMEGVKGAREKVARKEQRGKPSSVKHMEVVNAVSTLDAQRVLKVAQISA >LPERR06G13840.1 pep chromosome:Lperr_V1.4:6:12352009:12355504:1 gene:LPERR06G13840 transcript:LPERR06G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRASSTPLAVFLVESLKKSAALLRHGEQLHSLAAKSGLLSSNLFVRNSLLSFYSRAAPPLAHHLFDEIPPPLRDATAHNILLSALARAGRPHRAWCLLAEMPRRDAVSYTTVIAALSHAGRPRRAMAVFRDMLAEAVRPNEVTLAEVLKVSTCDGAAASVGAAHGVAVRCGLDGFVVVATNLVHAYGVVADVRSASSIFELMPDRNTVTWNAMLNCYVKAGMIDMAAVVFREIPEKDGVSWLTMIDGYIRADFLPQALRTYVAMTGMMGIRGNEVMLVGLLKACSRHTAVSEGQQLHTVILKNGFDAHAFVQATLIHFYGSCDFLDEAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSSLISGYIQNGNSNMALQIFCLMRDAGIEPNEITLASVLSAVANSGTLEQARWIHNYIIGRSFQLTDNLIAGLINVYAKCGSVSDAIQLFNHVKDKSFSVSPWNAIISNLAIHGYAIMALELFSQLQHTNIRPNSITYIGVLNACCHAGMVAEGKRHFESMRMEYGIQPEIKHYGCMVDLFCRAGYLEEAEQLIKMMPMKADVVVWGYPGFEKTEH >LPERR06G13850.1 pep chromosome:Lperr_V1.4:6:12362931:12363176:-1 gene:LPERR06G13850 transcript:LPERR06G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVVAKMGGGEERKETAVVGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPPVDGGVDDKRRFAMGAFLWPDQY >LPERR06G13860.1 pep chromosome:Lperr_V1.4:6:12367640:12373859:-1 gene:LPERR06G13860 transcript:LPERR06G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDDIWTRAKGHKKSAKELTVYPDMEREKAVKYVDFGGGGSSNGGGDSGCSNMRVDRRITAHRKIKSSQKESTGDLGAWARLAATSTAPSPPASSCSSRLNLHDLLVPAPPLRSALSRPPPLRFPPAAARLARLGPEPKLGPKVELGPAPHCRRSHTQFLADSSSSSFTCCCHNQPSAGGNHDREEKPRAEPAAEEEGTMQWYLVAALLTVLTSSQGILTTLSQSNGKYKYDYATIPFLAELFKLSVSSFFLWKECQSSSPPRMTKEWRSIRLYLIPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDVPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVLFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVSMLVELPQTLPVTSK >LPERR06G13870.1 pep chromosome:Lperr_V1.4:6:12376930:12381804:1 gene:LPERR06G13870 transcript:LPERR06G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRPSGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAGVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQQDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAAELLGDDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVMNKDRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDGKDMERTREVYRECLKLIPHKKFTFAKWWLMAAQFEIRQKNLKAARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPSNCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDEKEFDRTRELYERLLDRTKHLKVWISYAEFEASAGLGGEDSEGEEIQNEVSSQEQQMERVRRCRAVFEKAFEYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSAVSYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >LPERR06G13880.1 pep chromosome:Lperr_V1.4:6:12398347:12398738:-1 gene:LPERR06G13880 transcript:LPERR06G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHTAQPALECLEVTLAQGGARRRSTAIDQWFRTLRARRLEPFDELSSTGSSDLKAAGVLGWWIRTLRARWPVPFDELRSTMAVKTAWNSSASARGEIDGINWVEGGLQA >LPERR06G13890.1 pep chromosome:Lperr_V1.4:6:12400345:12406178:1 gene:LPERR06G13890 transcript:LPERR06G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSPLAFSIPFHLLLGDDAAAVLPVTGTPLTEEEEKLVLVGSKQTGMEGDEGAAAAAAAARIRVVRCPRCDKFLPELPASYSVYVCGGCGAALQAKKKYSAQDNSDNGHVQYLEVLESVPEAPEAMRGASTNDRSVPSRISSLHSRSAYNHEDNRIPRGPSTSTGEATIGKDGREAKYMRIRNVENGDMMKSVRGRGISDVSLRSPIDGIPPTSYQGEGLVDYQSRSKYRYSNREHTSDQDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTSRPADASSLHGTHDRSSQSRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNVHGYAEPILHGRAPSYPAGAGYPCRNFDNFFFGHHDPDPLLSCHHEGLYHQPACSCLNCYHREFLPVQGTPLGFSDQRAPYLMNSYGAYPVDGPLLGQQRYTSRGTNTSLQRNHLRTNVSKKPAQTCEPIAGGAPFTICYNCYEVLRIPAKHSSLGKEYNMRCGSCSHAIAVKLDGSKLNVSEPAPGTHLSAALQNGIGDSMRNNEHANADDRLLPQYCFSVGSRESQEKDLELNSSESDSKHTPLGTDSENTPRSRDLPSDANVVSRVPSLPHHGHCGFSPSEDSGVGSRSTHSEHEKAILFSESCKRNSIKDVCVATETQSPVNEFDDPLCAQDALDFPQNVGHTRSTKAGDSFLTNLIKKSFKMNHGTRNGRARVLVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIEEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGRLAPTVEKMRRGFGMRVPRII >LPERR06G13900.1 pep chromosome:Lperr_V1.4:6:12417689:12423738:1 gene:LPERR06G13900 transcript:LPERR06G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPHTKSTRCLPPLLASSPVATYTLHLPSPLALPTEQTNTSKALVRSALRRIMGWGEPPPAPAPPQRKAASGGGLAALKLLLTVLLVGLALRLLVDPAASYLVSSTTTPVGEAAAIAAGGRSMDSNGNCDLFRGEWVPDSSGPAYTNASCRFIETPQNCMTNGRPDTGYLYWRWKPYGCDVPRFQVEEPTEVYHDKTFKSRKWHIASHNITVSLIWAPFLVKAETFEDEDGVSTSEIQLHLDILDSNWTRQWNSFDYVVISTGQWFLKTAVYWENGAVRGCHYCQNKSIKELTFEYAFRKSLRKSFQFITSSPHKPLVFYRTWSPSHFENGDWSSGGTCKRTVPFKPGEAGDREWDKKMWRIEREEFARVAAHNKRNSADRLKLLDTFELSLLRPDGHSGPYRTYHPFKNGTTGKVQNDCLHWCLPGPIDAWNDIIMQMLAMN >LPERR06G13910.1 pep chromosome:Lperr_V1.4:6:12425658:12426008:-1 gene:LPERR06G13910 transcript:LPERR06G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPPPHPPPPPPEAVPRVRGFGGGPPPGGGGGYPNPPEAAIPDAATLREQWRYAVRQYSRWYSHAWGTAILAGGAFFALGWLVKGSNPLPSRAQPHAPNDASASAEEEG >LPERR06G13920.1 pep chromosome:Lperr_V1.4:6:12427718:12429688:-1 gene:LPERR06G13920 transcript:LPERR06G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEMNSIAGGPRGSAVARLPMVNLQPTHWRLADERCTLQEEEMDYVRRFHCHELGSNQCTSFVAKHIRAPLQTVWSLVRRFDQPQLYKPFVRKCIIEGKMETGCVRYVTVQSGLPATRSIEKLEVLDDNEHILRIKFTGGDHQLKNYSSILTVHSEVINDQPGTLVIESFLVDIPEENTKDDIIYFVENVLKCNLRNLANVSEERLARP >LPERR06G13930.1 pep chromosome:Lperr_V1.4:6:12440002:12440544:-1 gene:LPERR06G13930 transcript:LPERR06G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALDDRLLLLHQQQDKPAVVASAALVWDCGSELYDSYELTSFRRQLDAAVLTSCRSLSMPHLQSPAPTMQQQQMPAGRRRRRLPAMIRRLFGKVLLRLRIQTAARGGWYDYGAHGDGRPGSPWSGALTSIPEESGGSPPTTSASPAAGGGGVIRRAQSERFVGSKTASSMVQFDVVL >LPERR06G13940.1 pep chromosome:Lperr_V1.4:6:12456387:12457329:1 gene:LPERR06G13940 transcript:LPERR06G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKNSEQNFKHSLGELIKAKDSTKKKLAHAITLNVKSHEQANYYKDKLETLSKKHEDEGQDGGSQGMNAELCQAAEPILNNIHAATAESNTSSLQSVIEHLQSAPTRLKKIILESASVACGQTLAVIKSLYPKLDLEPITSGYAEGTTDEKALELLDQVDGMAQVMAKDALYPEEEDNV >LPERR06G13950.1 pep chromosome:Lperr_V1.4:6:12484438:12487809:1 gene:LPERR06G13950 transcript:LPERR06G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDHFFVDGDGGETSSPFPHHADADDLFNILETWEQDCAGDVGGQQQLLELEDDGAAAAKKKTAPAGSKRRRAAADEGSPKTKRSKCSPPESKGSTSHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIRELQQVLHSLEAKKKRKTIVLSPRPPASSSSCCSPRPPPLSPRLPPAAPPISPCRTPPTPGSPYNIIPHLRGGMPVSGASSSCYASPAAMTPTSLVVGPEVKVEFAGANLVLRTVSQRSPGQAVRIIGALEGRSLEILHAKISTVDDTAVNSFTVKIGIECELSAEELVQEIQQTFT >LPERR06G13960.1 pep chromosome:Lperr_V1.4:6:12497250:12508854:-1 gene:LPERR06G13960 transcript:LPERR06G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATGGAGGSAARRLSAVSLPRAQWRLADERCELREEEMEYMRRFHRHNQGGNQCTSFVAKHVRAPLQTVWSLVRRFDQPQLFKPFVRKCVMQGKIETGCVREVTVQSGLPATRSIERLELLDDNEHILRVKFIGGDHMLKNYSSILSVHSEVIDGQQGTLVIESFVVDIPEGNNQDDICYFVENMLKCNLRTLADVSEEHLARSAARRLSAVSLPRAQWRLADERCELREEMEYMRRFHRHNQGGNQCTSFVAKYVRDPLQTARVTVQSGLPATRSIERLELLDDNEHILRVKFIGGDHMLKNYSSILSVHSEVIDGQPGTLVIESFVVDIPEGNNQDDICYFIENMLKCNLRTLADMSEERLSSS >LPERR06G13970.1 pep chromosome:Lperr_V1.4:6:12512111:12521752:-1 gene:LPERR06G13970 transcript:LPERR06G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKSKIMSFGLGEERPLQNLSPQFSPNSSARRRASLNPKPSPPSPPPPPPPPPRRAAAASSASAPSSHRACPLLPALHPVPLRNGAAAEGSRFLSSRAARGGGGAAAAAKSLIEDEADLSDWVSDLKTDSFHLGLSSGDEGREASTRGPGAASRGGRGGGRRGRDSRGPPPRSTFGGGSEFGGGGRGFERRGRMASGGMVYDDEDDDDDEVGFGSSRGRRGRGGRSSEFSHRGGRRGNGFDDDDAGFRSPRGQRGRGGNDFDDDAGFRSTRGQRGRGGRPGNLSRRGYDFDDEFQSPKGQRGRGGRHSDLDDDEGGFGSPRGRRGRGGRMSGMLRRGGKGSDLDDSDLDDDNDSIVPRASSRSHDRGRGGRNVGSLGAGRGGRGGNANFGDRRSGGGKMFDFGSSEDDSELGEDDEDDGPSGFEDDLFDGKGGEEDLVKSLAKRSVPLESIKGESVDQESVMHLGYSGGGDSYLSQTRFDECSLSPLTLKGVKASGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVTKLPPIDRDQKKPPISVVVVCPTRELADQAAAEAHKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHIVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQIGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDMKKKQPRASSPPPSFTVEKALAHVEVKDKELAYQAWLGYYNSNKCVGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLTNIPGLRSK >LPERR06G13970.2 pep chromosome:Lperr_V1.4:6:12512111:12521752:-1 gene:LPERR06G13970 transcript:LPERR06G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKSKIMSFGLGEERPLQNLSPQFSPNSSARRRASLNPKPSPPSPPPPPPPPPRRAAAASSASAPSSHRACPLLPALHPVPLRNGAAAEGSRFLSSRAARGGGGAAAAAKSLIEDEADLSDWVSDLKTDSFHLGLSSGDEGREASTRGPGAASRGGRGGGRRGRDSRGPPPRSTFGGGSEFGGGGRGFERRGRMASGGMVYDDEDDDDDEVGFGSSRGRRGRGGRSSEFSHRGGRRGNGFDDDDAGFRSPRGQRGRGGNDFDDDAGFRSTRGQRGRGGRPGNLSRRGYDFDDEFQSPKGQRGRGGRHSDLDDDEGGFGSPRGRRGRGGRMSGMLRRGGKGSDLDDSDLDDDNDSIVPRASSRSHDRGRGGRNVGSLGAGRGGRGGNANFGDRRSGGGKMFDFGSSEDDSELGEDDEDDGPSGFEDDLFDGKGGEEDLVKSLAKRSVPLESIKGESVDQESVMHLGYSGGGDSYLSQTRFDECSLSPLTLKGVKASGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVTKLPPIDRDQKKPPISVVVVCPTRELADQAAAEAHKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHIVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQIGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDMKKKVEKALAHVEVKDKELAYQAWLGYYNSNKCVGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLTNIPGLRSK >LPERR06G13980.1 pep chromosome:Lperr_V1.4:6:12528354:12537564:-1 gene:LPERR06G13980 transcript:LPERR06G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRAPSPAAAGGGLAGALLRRMARAAGLRALVGIEAAGRGGRVAVAAGHRGGFPGWPGVGCGSSSSSSAASFSLTRAQARRAAGSAARTRPPSESNGWITGGSASEDGRLSWGFSSFKGRRPSMEDRFSIKIETIDRQTVGLFGVFDGHGGPLAAEYLKEHLFENLVQHPEFLKDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNQLYVANVGDSRAVALEAGKAVPLSEDHKPNRKDEQKRIEDAGGIIVLDDTWRVNGLLAMSRAFGNCALKQYVKAEPDIQEKTIDESLEYLILATDGLWDVMRNEDVISQLKAQDGPEAAAMKLTEVAHSRLSLDNITCLVLEFHHEKSASSSLHSFPLLRNGAAAPRFFSSRGRGRAGALAKSLIEDEEIDDDDEDEAGLNDWIREAFFLSISSDEEGEAPSTRESAAPSGGGGCRRGRKNSERPPLRLRFDGGEDGCSNDGEFSWGYSSFKGKRPSMEDRFSIKLTTIDGQTIGLFGVFDGHCGPRAAEYLKQHLFENLVKHPKFLKDTKLAIKQTFLKIDADFLHSTSSDRLIRDDGSTAVTAILIGNRLYVANVGDSRAVALKAGKAVPLSKDHKPNRKDERKRIEAAGGIVSVDDTWRVNRNLAVSRAFGNHAMKRYVIANPDIQEKVVGEGFEYLVLASDGLWDVIRNEDVVSLLKGQDGPKVAAMKLTEVAHSRFTLDNVTCIVLQFHHGKSTNSK >LPERR06G13980.2 pep chromosome:Lperr_V1.4:6:12528354:12537564:-1 gene:LPERR06G13980 transcript:LPERR06G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRAPSPAAAGGGLAGALLRRMARAAGLRALVGIEAAGRGGRVAVAAGHRGGFPGWPGVGCGSSSSSSAASFSLTRAQARRAAGSAARTRPPSESNGWITGGSASEDGRLSWGFSSFKGRRPSMEDRFSIKIETIDRQTVGLFGVFDGHGGPLAAEYLKEHLFENLVQHPEFLKDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNQLYVANVGDSRAVALEAGKAVPLSEDHKPNRKDEQKRIEDAGGIIVLDDTWRVNGLLAMSRAFGNCALKQYVKAEPDIQEKTIDESLEYLILATDGLWDVMRNEDVISQLKAQDGPEAAAMKLTEVAHSRLSLDNITCLVLEFHHEKSASSSLHSFPLLRNGAAAPRFFSSRGRGRAGALAKSLIEDEEIDDDDEDEAGLNDWIREAFFLSISSDEEGEAPSTRESAAPSGGGGCRRGRKNSERPPLRLRFDGGEDGCSNDGEFSWGYSSFKGKRPSMEDRFSIKLTTIDGQTIGLFGVFDGHCGPRAAEYLKQHLFENLVKHPKFLKDTKLAITVTAILIGNRLYVANVGDSRAVALKAGKAVPLSKDHKPNRKDERKRIEAAGGIVSVDDTWRVNRNLAVSRAFGNHAMKRYVIANPDIQEKVVGEGFEYLVLASDGLWDVIRNEDVVSLLKGQDGPKVAAMKLTEVAHSRFTLDNVTCIVLQFHHGKSTNSK >LPERR06G13990.1 pep chromosome:Lperr_V1.4:6:12531378:12534004:1 gene:LPERR06G13990 transcript:LPERR06G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGLSGVVWSHGCPDMLLENGATVEGTDLNGYQVIFGSDVHVDICTLALQKLSLQWGKRFLGSMDINRRAA >LPERR06G13990.2 pep chromosome:Lperr_V1.4:6:12531355:12534004:1 gene:LPERR06G13990 transcript:LPERR06G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPTM >LPERR06G13990.3 pep chromosome:Lperr_V1.4:6:12531355:12534004:1 gene:LPERR06G13990 transcript:LPERR06G13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPTM >LPERR06G13990.4 pep chromosome:Lperr_V1.4:6:12531378:12534004:1 gene:LPERR06G13990 transcript:LPERR06G13990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGSYTPKSSTRSDPLTDLANVSSTELEKRHASAMFNNSNAPHQNYQRDRVSFPSVQVFVIGYLCMESLTMIPFSGPFADITNVSAAELNNKRVVVSHNGLHTKVVDNFRSIVLPDQLFAYYFSVNYDVLRANWWCEPASFQIVLR >LPERR06G14000.1 pep chromosome:Lperr_V1.4:6:12544017:12556638:1 gene:LPERR06G14000 transcript:LPERR06G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSAAVILTVGLVDLWKMMMAKEDKYVRFEDWRSEQSVMSPRRHNVLSSLKEKIDGIFAFLGSLVNSETLKRSVWHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIVFQFQTGYIASSPTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPTLRGSEVAKAKNILMLMVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYMLASHVLGAFWYLLSVERKDACWRDKCRTSNSTDCNLTYLYCGDKQNTFLKDVCLPINSTDIDPYFGIYVPALKNVSQSTNFFAKLFYCVWWGLQNLSSLGQNLKTGTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRHHEKYRWHQTSGVDEEVLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNAMCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGDLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTISEVEAFALRAEDLKFVATQFRRLQSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATSLSFGAAIYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFSAEE >LPERR06G14000.2 pep chromosome:Lperr_V1.4:6:12544034:12556638:1 gene:LPERR06G14000 transcript:LPERR06G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRHNVLSSLKEKIDGIFAFLGSLVNSETLKRSVWHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPFQTGYIASSPTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPTLRGSEVAKAKNILMLMVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYMLASHVLGAFWYLLSVERKDACWRDKCRTSNSTDCNLTYLYCGDKQNTFLKDVCLPINSTDIDPYFGIYVPALKNVSQSTNFFAKLFYCVWWGLQNLSSLGQNLKTGTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRHHEKYRWHQTSGVDEEVLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNAMCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGDLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTISEVEAFALRAEDLKFVATQFRRLQSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATSLSFGAAIYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFSAEE >LPERR06G14010.1 pep chromosome:Lperr_V1.4:6:12563003:12565122:1 gene:LPERR06G14010 transcript:LPERR06G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVPPKMTRHARQYQDGEGRSTPDRFVGAIISDLEASTTQDDQDNYSASESDNSQAAYAMDRDDASTSASMTPAQRLATMQQTLDEAPTDAAAGAEIVSWTDRLREAARNLDSTLAEAEQPGQPSLSEAARRATAPDGDAAVGAVAANGTPNINAAGQPTATAGAPNQDANAHNKIATAPTDGAEITKDAVTTLLFPDDIQRLATMQQTLDEAPTDAAAGAEIVSWTDRLREAARNLDSTLAEAEQPGQPSLSEAARRATAPDGDAAVGAVAANGTPNINAAGQPTATAGAPNQDANAHNKVNDKEPTDNEHRHPRGRINRERTPPADRDRADRRRRDHKRRRHHTSLPRRHTGE >LPERR06G14020.1 pep chromosome:Lperr_V1.4:6:12585462:12590159:1 gene:LPERR06G14020 transcript:LPERR06G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAVQTRGSGDDHKALPRCCVKAKAAVAESEAKCHATVVSGWFTEPRSRSFVAETLYVQSSTYAKILVLDDIVQLTEKDECAYQEMVLVVGGGDGGVLREIGRHASVETIDICEIDELVIDVCKNFFPQLSVGFKDPRVQLHVGDGPAQELVEKPFFQTISRALKPGGVLCNQAESMWLHTHLIQDMLSICRQTFKGAVHYAWTSVPTYPSGVIGFLLCAKEGRAVNFLSPVNPIEKLQGAMEAGREIRFYNSEDKSENNPVAKAMKMKIMPNSAIPTAS >LPERR06G14030.1 pep chromosome:Lperr_V1.4:6:12597143:12598565:-1 gene:LPERR06G14030 transcript:LPERR06G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPEDVLVNILHRLAPRCLAISRCVCKPWRTIIDAHCLLRVDLLPHLVGGIFINFHDLILSEFISRPSIGPTISGNFNYLPHNSIVRDHCNGLLLLDGYVDYPATQQYYVVNPATRQWVQLPPCPSSHPGMYNESKEYLVFDPRLSSQFEVFVIPYACVMPYASVLHRNVQLDPMIEEIEWPPSPCILHVFSSRTKQWEERSFVREGEAAGTLSKIRPNCPHFLQNAVYWRGVLYVRCQTNDVMRISLSGKYQLIKPPQSPLDIGDMDYSNIYLGRSQKGIYCTFVDNPYRVYILDESYGKMEWVPKHTICYVPRQEFRQIGPSTLQDINFYEYIGHYEYDNSEAIDEQKFEWDSDNDNSSILTIGEVVFLSHTLNRGLAYHLNTRKPFIEGSFPYTPWLGEFPEDG >LPERR06G14040.1 pep chromosome:Lperr_V1.4:6:12598360:12599827:1 gene:LPERR06G14040 transcript:LPERR06G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEYATHQVWKEVHTQQAMSIDDGAPRLADTSRNCKAARCKTMEDVYKDIFSCSYWSELGLTQIRSAQRCWCQRETK >LPERR06G14050.1 pep chromosome:Lperr_V1.4:6:12611415:12611657:-1 gene:LPERR06G14050 transcript:LPERR06G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALESELDNSAADPVDVNYLIPLQKCIFSFLCKALVSDDDPAADELVDRLGFFICEAGSDHDSRDALHCIGFNLYYGM >LPERR06G14060.1 pep chromosome:Lperr_V1.4:6:12628766:12635286:1 gene:LPERR06G14060 transcript:LPERR06G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSSGGGVGGGAAVVGAFQDEAEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTFGGGYLKRQAVFSCLTCVPDGVGGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHACKLFPEKEPENPANSYNHNFKGSYCTCSRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPIYEDFICQKCSPQCYFLKLYPDSIWASNKQSSSHGDTEKIENGVITNHSNGEKTSDSGKFPKENAAPEKASLDESSDGKCKLEMNISTKIQSADSEKNMPFFMSKGWREVICRCETCMDFYAQRGVAYLIDKEDSIEEYEKVAKQKREKKLEQQEGAEANFLNSLDHVQKIEILTGINDMKNEFQSFLESFDPSKPVTSEDIRAVFENLAKKKKQRLS >LPERR06G14070.1 pep chromosome:Lperr_V1.4:6:12639775:12646892:1 gene:LPERR06G14070 transcript:LPERR06G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIGLQASIRKQLQGVELSPSSYNTAWVAMVPLRGSQSACFPQCIEWIMKNQNNDGSWGINPLGSTVNKDILLSSLACVLALKKWNIGSDQIRKGLSFIGKNFSVAMDDKLVAPVGFNITFSGLLSLATQMGLEIPVTQTDIDGIFHLRKIELERDAGGTVSARKAFMAYVSEGLGSLQDWDFIMTNQRKNGSLFDSPSTTAMAAIHIYDDKALNYLDALANKSGGSVPARYPQNLYSKICMVDTLENTGISLNFVREIGDILDMTYRCWMQNEEELMLDMVTCAKAFRLLRMHGYDITSDGMAQYAEQSSFDDSIHGIPRSVIPEVEYNLKFPLYATLDRLEHRRNIEQFKPEGFHLLKSGHCGSGANKEILTLAIDEFHSTQSLYKQELHYIESWVAESRLDELMKFARVMPLASHLSAAASMFPRELSDARIAWTQNALLTTVVDDLFDHAGSMEEMENFVALIEKWDDHSEIGFCSERVEIIFNALYNTHKQIGAKAMLWLETIRGAMTEAKWRLDKYSPTTLEEYLSASRYSFAQGPVVFTTMYLVGPELSEEAVRSKEYKDMFRHMSIASRLLNDMQSYEREMKQGKINSVMLKALSRDGGSPASIEEAKRELRSVIKACRLELQRLVFREGGIVPRPCRELFWLMSK >LPERR06G14080.1 pep chromosome:Lperr_V1.4:6:12672938:12675942:1 gene:LPERR06G14080 transcript:LPERR06G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGSPQSPCFPQCIEWILQNQNNNGSWGINPLGSTVNKDILLSTLACVLALKKWNTGRDNIRKGLSFIGKNFSVAMDDKLVAPVGFNITFSGLLSLATRMGLEIPVTQADIDGIFHLRKIELERDAGGTVSARKAFMAYVSEGLGSLQDWDFIMTNQRKNGSLFDSPSTTAMAAIHIYDDKALNYLDALANKSGGSGGPIVLTAMYLVGPELSEEVVRSKEYKDMFMNMSVAGRLLNDVQTYEREIKQGKINSVMLQALSRDGSSPSPSSIEEAKRELRSVIEACRLELQRLVFREGGVVPRPCRELFWLMSKVVNIYYLEEDGYFTPDEMMSKANAVIIDPLQVTLPPSC >LPERR06G14090.1 pep chromosome:Lperr_V1.4:6:12689014:12689611:1 gene:LPERR06G14090 transcript:LPERR06G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCINPASKSHPHPMLPQREDEDMEEWCFASPDAATAATTTIGRVLREDQEEEEEEEADAAAGVKVKVVLKRAELEWLMAQLKTGDRRLEDI >LPERR06G14100.1 pep chromosome:Lperr_V1.4:6:12696948:12700962:1 gene:LPERR06G14100 transcript:LPERR06G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPLIKFPKRNLKIPSPSPPAAQPADQHATLMSRLGAKLEAPSSSGEIKNYRFRSDVPSPPSYQAVGGPASLLPKRKPLSEEEIEAIMQGGIF >LPERR06G14110.1 pep chromosome:Lperr_V1.4:6:12702125:12705548:1 gene:LPERR06G14110 transcript:LPERR06G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIAATAASALGQLAKPFTSSGAGVDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKLLTLQEKMTQYPEDDCLANSTSKLHSSKPETVAELVPVPEKAGSSQGSIASTYPRHSSGTSSSRLNALQSSETELTELAELKEAYSEECNRLSESVGHTELQVAAGALLGFLVTLVVYATL >LPERR06G14120.1 pep chromosome:Lperr_V1.4:6:12709120:12710998:-1 gene:LPERR06G14120 transcript:LPERR06G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVEVQEDGWVVCRVFMKKNYLQRGLNPETDQIAAADDDELLHHRPFPPPPPLAAAIDHKHNHLAGHHLMQPQPHHHNHYDEFPSFDPSMQLPQLMSADQPPLLPSLGLDGGDCSNNLIKLTAAAAAAGAGVATDWSILDKLLQSHQNLDQLFQGRVVAGAASPAAAVAAMGPGHHQQHLMDQLGSGGGSSSSLQRLPLQYLGCEAGDQLLRFSK >LPERR06G14130.1 pep chromosome:Lperr_V1.4:6:12726748:12727137:1 gene:LPERR06G14130 transcript:LPERR06G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQQEGGVKVKFIETQFVSSDAASFKAVVQRLTGKSAPLPPPATATRPNRPLARVVATAPAPAATYAGMTSHFAAPVKQEIGGAGFPAARLEDLHELCDFGDLLYNGGGARRVDGAAGYGGGFPY >LPERR06G14140.1 pep chromosome:Lperr_V1.4:6:12729657:12730469:-1 gene:LPERR06G14140 transcript:LPERR06G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAIVSELKASFASSYPAAILSGSGGGATLGVEPEQAVILNRSAFGHAIENAASNKYWFQLSPEEVFYLCHALKCIRVESQDKKQMSKNQLWDHFRSVSDSFPEMFKAYSHLRMKNWVVRSGLQYGADFVAYRHHPALVHSEFTVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSSCELSTPDCLEQLVVHERTITRWIPQQCREQRSEPSRDEVNREELISKKGCLVSSRWGVILGFTVLSSLLVYKLKFRQ >LPERR06G14150.1 pep chromosome:Lperr_V1.4:6:12755826:12760308:1 gene:LPERR06G14150 transcript:LPERR06G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAKSSMASLCALLCILVALEVGVGRCGASLGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDVNPQVLAAFAGTGIELIVTVPNDLVQPMASSPAQALQWVTSSVRPYFPATRVTGIAVGNEVFTDDDEALKASMVPAMRNLHAALAQMGMDGYVHVSTANSLAVLASSYPPSQGSFTPEVAPLMAQFLKFLAETNAPFWINAYPYFAYKDDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVSFAAARLGYNNIPVYVSETGWPSKGDANEVGATVENARAYNRNLLIRQAANEGTPLRPRQRLEVYLFALFNEDMKPGPTSERNYGLYQPNGQMVYNVGLVQQSTSAASLSLATSPATRMDAKKNFAGLCLLSSLAILLTKSLSKTNGQMHHFKEAKGNLKLAAVAQRKSWRRPLWDVECTILGMAQSVNSD >LPERR06G14160.1 pep chromosome:Lperr_V1.4:6:12762826:12767828:-1 gene:LPERR06G14160 transcript:LPERR06G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPFAFVSTLKTPAPPPSSSAHPPPLLRRLQTLTRALASSSSSSSSPQAMASPSPPAKKASVPPSRCPASLFPPFRRVWVGLLLLAWLVLVPIANGTEPMEAVITIDVLRRAGAEVAVASVEPGSVQVAGAWGVKLAADALLSDLADAEFDLISLPVSNLLRSPVASSGGMPGSSNLRDCKLLENMVKQQAGKGKLYAAICAAPAVALGSWESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMVMRPQHGVEFSIKEFNSTSWNVGEAHQILVPIANGTEEMEATMIIDILRRAKANVVVASLEETLEVVASRKVKMIADVLFDDALTQQYDLILLPGGLGGSQAYANSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPAMWSKLSDQSECKNRIVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAIELAKTMVFV >LPERR06G14170.1 pep chromosome:Lperr_V1.4:6:12768063:12770534:1 gene:LPERR06G14170 transcript:LPERR06G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGSSFLRTRREREWGNEDQTLAKPQPPSPAPAMRRRRSDREITHGDALSAPRRDGRDRSPVSGRPPHRADRGPRSFAGGGGGLTACGYPATARPASGTFLFFINFVEGTVINFFEEVVKNQQAVKKRVV >LPERR06G14180.1 pep chromosome:Lperr_V1.4:6:12770755:12772649:1 gene:LPERR06G14180 transcript:LPERR06G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSVALLLLAVVVVAADAAAAAAKNGSERCDLFEGRWAADESYPLYSASRCPFVPDVFNCRRNGRPDVAYLNLRWFPSSCRLPRFDGVELLRMWRGKTVLFVGDSLSMNQWVSLSCMLHAAVAANATGGATPRVSFTSGEPVSSVRFLDYDVSVVLYYSRFLVDVSDEPGLGRVLRLDSMGGDASAWLGADVLVFNTWHWWTYRGASQVWDYVQDGNNTYRDMERLDAFSKGLSTWARWVDANIDASRTQDGEVAAPPSPADGGCYDQTRPLQEATSSDGGGGGLLPEQVVVRGVVGSMATAVSLLDITRMSQLRIDAHPSVYGGPGRDGMDCTHWCIAGLPDAWNHIMYAMLLATPHRT >LPERR06G14190.1 pep chromosome:Lperr_V1.4:6:12774558:12778179:-1 gene:LPERR06G14190 transcript:LPERR06G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTRRGTAAAAACWLAVVAAVLAVSSAAAAGKKYRAVFNFGDSLVDAGNLVTEGIPDYLATARPPYGQTYFGYPTGRCSDGRLLIDFIAQDLGLPLLPPSKAKNATFAQGANFAITGATALDTEFFEKRGLGKTVWNSGSLFTQIQWLRDIKPSLCHNDQECKDFFAKSLFVVGEFGGNDYNAPLFAGKDLGEAYNLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKRALEKLRAKHPGVRIIYGDYFTPVVQFLLHPEKQPPRACCGAPGTGPYNFNLTAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFADQPIVL >LPERR06G14200.1 pep chromosome:Lperr_V1.4:6:12783828:12784542:-1 gene:LPERR06G14200 transcript:LPERR06G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTLNYVKILLKIEDQLGPDKPTMTHDERVDGIFHSTIEVDLVNWVPKGFRDILDKHGDMEGSKWFPT >LPERR06G14210.1 pep chromosome:Lperr_V1.4:6:12794861:12795068:-1 gene:LPERR06G14210 transcript:LPERR06G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNPISRAILVRPTTISRSDSEDHCQVSLPLACLERSEAKLDISSPQVDSQGYGVPPESLGDIV >LPERR06G14220.1 pep chromosome:Lperr_V1.4:6:12795234:12795608:-1 gene:LPERR06G14220 transcript:LPERR06G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLKGTQEFSLEASHDDYTQQLELLLKQLGIHNKPVHHGEQVICGFRKDWRMKIYIQGQEDEHQGHVLKSVHLRASKEAALQDASCEAFMRLCKIYSHEIAGTPFFLHPCVKVVTVSAIFER >LPERR06G14230.1 pep chromosome:Lperr_V1.4:6:12811462:12813124:1 gene:LPERR06G14230 transcript:LPERR06G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHENETHDDQTHDDQAHNEQAHDHQAHDEPHTNPEPNVNMVQQPAVFCGAERTVGISSTYVQSTISNHPQAIPGKKRKQKQPGMLLGSSSKEKSETPYLTKVVLSQPSRHGLQKQPDAEGGSTEAEISDYMFLD >LPERR06G14250.1 pep chromosome:Lperr_V1.4:6:12817934:12821303:-1 gene:LPERR06G14250 transcript:LPERR06G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIASCRHTKPPTAAMAASGTSRRRLAVGVLAVVWLAVVTAPAGCSAARSRSKKTYEAIFSFGDSLSDAGNLIADGVPKALTTARPPYGMTFFGKPTGRCSNGRLTVDFLAEHFGLPLPPASKAHNVDFSKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDSECKDYFGRSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIVNGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >LPERR06G14260.1 pep chromosome:Lperr_V1.4:6:12837323:12837640:-1 gene:LPERR06G14260 transcript:LPERR06G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMVMMLFLACILDAAAARMMMVQRGDEVAVNGGVLQQRQWEEELVGGRRPRMAVFTRRDAVAVAPPPSSSGGDDTTDVHGDRKVPSGPDPIHHGSSPSSASP >LPERR06G14270.1 pep chromosome:Lperr_V1.4:6:12861215:12861546:-1 gene:LPERR06G14270 transcript:LPERR06G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVMRQNKEDCIMGSHGCEPFLSPGNLFIVGFNDLFDLFNLDKLDPETSKKNGYEGWIARSQSRQRDYPPKL >LPERR06G14280.1 pep chromosome:Lperr_V1.4:6:12867229:12867504:-1 gene:LPERR06G14280 transcript:LPERR06G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFALCFCICMILFLSVASSPVLLISDDHRALLSRRGLQDAIFASGSPTAAATTGATTTTAWPRPESATDSWYDWTKRVSPGGPNPQHH >LPERR06G14290.1 pep chromosome:Lperr_V1.4:6:12879633:12879977:-1 gene:LPERR06G14290 transcript:LPERR06G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLHCDKENVPPPTAAAAAARRHGVAVKKLKLKRLGKARRRVPLRDITNLFLAATADATEWRQPLEGSSEHAEAEFPPVPAPSPAPATEQNGVVGGVALKPGRRSLRKDFR >LPERR06G14300.1 pep chromosome:Lperr_V1.4:6:12882611:12882967:-1 gene:LPERR06G14300 transcript:LPERR06G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVEFHGDKENMPPTAVVAAARRHGVAVKKLKLKRFGMARRRVPLRDITNLFLAAAAAAADATELGKQLEGSSEHAEAESPPVPAPAASPATASAQNWLGRGAALKPARCSLRKEFR >LPERR06G14310.1 pep chromosome:Lperr_V1.4:6:12885383:12886141:1 gene:LPERR06G14310 transcript:LPERR06G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGSASSTLQYTGIGAFIAIVGVVVLAVIYYSRSGSRTPNAAALPTQQNQQQQRGLGPDDVSVLPTFTYHATASPGGRRCGGLIGRSSSPAAAECCAVCLEELGEGAVVRMLPSCKHYFHATCVDVWLLSRASCPVCRGSPGQEKVRLGLASLSPPLPQLLRCPPSPPKEGSAANGGHSASRSQSPMRSPPSVRLDDLAGAGIEAADRSSPAMSPSPTRARTPESGARVSRSPSPVTTTATTTDLNAIEV >LPERR06G14320.1 pep chromosome:Lperr_V1.4:6:12889626:12890605:1 gene:LPERR06G14320 transcript:LPERR06G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSDVSSGGGADGGGGVPGTASSNFTLLYIIIAVLVGVILYMAIRYGRSVMSEWRQLHVTGGGGEPRAALLGLSSDDIESLPTFTYRASGGGASPALVGGGGSRKGKGKAVVVECVVCLQELADGDVLRRCGLSPERPTTAASRVLADILASRSPPPPTSPSPVQAAISYVASSRSPSPATYHRSPNDRWSTSPATQMPEVVVVRSKSPSPSPVGLSRQASAATARGVGAATSASSAQSQEGGGSRSKSPSPVPH >LPERR06G14330.1 pep chromosome:Lperr_V1.4:6:12899179:12900144:-1 gene:LPERR06G14330 transcript:LPERR06G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGVFIIRRHRHHQWGSAAADHPIFIFLDPDLAWQPRPLARHPCGDGDRRRHFSLATTHR >LPERR06G14340.1 pep chromosome:Lperr_V1.4:6:12902974:12913239:1 gene:LPERR06G14340 transcript:LPERR06G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVFDIVHPIFLCLQCLIIDEADRILEKNFEEDMKQIFKRLPRQGRQTVLFSATQTKEVGDFAKLTFGSNEERQRTLVYVGVDDHEPKATVEGLKQGYCVIPSERRFLVLYAFLKKSLSEKKKVMVFFSSCSSVKFHAQLLNFIQIECSEISRVNVLVHSLSFTRQRTEYIHRVGRTARGDNGKGNAILFLLPKELQLLIHLKAANVSPSEYVFTEEVVPKLQPYLENIVGGNYILNQSAKEAYRSYLLAYKSHSMKDIFDIHQLDLSVIFAKEPPKVNLDLESSASKHRKKRKLDVGRRHGIGPLSPYGRKRGDDRKQFARF >LPERR06G14350.1 pep chromosome:Lperr_V1.4:6:12914120:12914824:-1 gene:LPERR06G14350 transcript:LPERR06G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLAHTQAAENEMSSPARVESPWVTMRAMRHRGGAAAADRGQRSALMVGSYPVLLLLVILAAFVRYVWIVLALYSAALLLLSCASRRLAMAQAAAASRGGVGVAAVTAAEEMEGAAAPGGVLGETLASIPAFAYDATAAHGGEAAPQCAVCLEALRGGETARRXXCSVCLSMVQEGETVRRLPACAHTFHVACIDMWLGSHATCPVCRSHVERKHKGGLLPPMPPEPPLPPV >LPERR06G14360.1 pep chromosome:Lperr_V1.4:6:12916405:12923923:-1 gene:LPERR06G14360 transcript:LPERR06G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVKAKALAEKKMRDKDFAGAKRMIMKAQQLYKDVDNNISQMLTVCDIHCASGMKVNGEIDWYGILQVPVTADDALIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASARIGSGRVPYQQSRRTAPARPNTTPVNLHNVHQPQQHKPSTPSGSQTFWTICPSCGMRYQYYLSILKKALRCQNCLKPFVAHDLNEQAVPSGVNQRSAGVWKNSGAPQNFPGPQANVTGQQAQNSANPGVDANRGADGNKRKFAQATGNSSKPSSAAGLKRGRRAVVESSESSASDTSTDSEEEIIEDGPAANNVGPGQHPRRSSRQKQEVKYNEASDDDDTDNHGNGEDGFVSSPNLKRLRKGSMFHGGASNETKLDDDTIKPSNNGGTNNVNSHNNTEDKERSTAFAEKIQRETMPSGGSNVEDEVVCVDTEFFDFDELRHVNQFKANQIWACYDSQGCMPRYYARITKVKTVPKFLIHFVWLEFDPKNKTEMAWSCADLPVSCGLFKNGTSDTAKETSMFSQSICYEKSKTRNCYEIYPRKGEVWALFKEWDIGWSSDADKHKKYDYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFMQSKEVAPYVISQDDTLRFSHCVPHHLTSGTEREGIPEGAIELDPASLPLNFQEAFASIVPEISSVKVGLGAEHIGSSGGNNRHKGSMGVGERQHATCANTGTATRTTKEEKNEHNARSAVEGADADEEPDDFAQADVLYPETEFFDFSEIRSFQKFEPGQIWALYSDVDKFPNYYACMKKVDSLNNELQVRWLNACPQNEEEKRLVKEDLTFACGTFRISNGTDTYNGTEYLSHLVHAKPTYRRGEYEIIPHLREIWAVFKNWRTGWTEQDYKKCDYELVEILGHTETSIQGRLLRKVDGYRAVFMPDSTVRTIRNDEYPKFSHQIPCFHLTNERGGKLRGCLELDPLSVPEMFLFSESI >LPERR06G14370.1 pep chromosome:Lperr_V1.4:6:12927767:12928463:1 gene:LPERR06G14370 transcript:LPERR06G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSDIFARVPFHVAHTVLDIDQERLDYDSKKLQSFVNEKSFVISEKGALADRISPGIVKSLVSLMAKSKGLGSSAFRQ >LPERR06G14380.1 pep chromosome:Lperr_V1.4:6:12931228:12931986:1 gene:LPERR06G14380 transcript:LPERR06G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWLDLPFTLLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYRHTIATRSAAAGDGAGPPAAEEEGEASPPVLTSLLESGGGGAARGAAASLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANIIVMVLWLSPDAAADSVSAATKSFLRLSDDEDDEGSGSGGGAGAGGEDKCCVCLAAMREQGQALRDLPRCGHRFHAKCIGKWLTAHPTCPVCRATAAPPPTAAGDDHADDVISPV >LPERR06G14390.1 pep chromosome:Lperr_V1.4:6:12936741:12937274:1 gene:LPERR06G14390 transcript:LPERR06G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQTTVPWVIEMMEAAAIFLAAIFMGALAAVGLHRNPTSAVALLGGLPAVVFVVIGCRVCSAALRNRSRAAGHDDVESSRQGQRAFIGIPAAAIARIEDGGDGGGEEECAVCLCAVEDVDDADQKALRVPGCRHVFHRECLARWLRFHWTCPICRHFVRYLPSSPATASQHHAAS >LPERR06G14400.1 pep chromosome:Lperr_V1.4:6:12937478:12941925:1 gene:LPERR06G14400 transcript:LPERR06G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHDVYSDWRCYIFAAAVSLSAGLLTCTAGVIGYRKGHRGVSILVLAGVPAFFLTACAMCVAFASFRSWRTSRRAGVEQLEIARQPSSPRAAAPETPPPQLPEVSGGSEVFYSADGVSPRPATGTVVEVECAVCLGEMEEELGDAAVVAATRRLPACAHAFHAACIERWLREHPTCPVCRRGVHGCQRDRPPLILTLRQYTSVPGARVGAIIPHHGGAGVRPREQHAAAAGLGAAAIAGLPTYRYEKRRCGGGGGEDECAVCLGEVRPREVVKRLPACTHLFHRECIDVWLDSHVTCPVCRSPVAVDAVVLVPAMDVAAVRPVCCSCSSPSSRVLAVDAAAAGPNPTTLPAQLQQQPSAAAAALPREPPAAGLRRGRLGGGASALVVAVLPVYAWEKEKGGGDGDECAICLGKVRRGQVVKRLPVCTHVFHARCIDRWLTSSQATCPVCRTPVDSAAALQLQAAGVGGDQLPQCYAEHVPTHWGRN >LPERR06G14410.1 pep chromosome:Lperr_V1.4:6:12952331:12952864:-1 gene:LPERR06G14410 transcript:LPERR06G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAVGPCCGGQASDPPPRGLVVVSVVFLSIFFATFLILMSLAFCCCRRWRQRDAVSAYGVGGGGGPAGDSGDCAEPFPVEALPPAYAYVGSSDDGKHGGGGRECAVCLGAVRDGEMVRRLPACEHVYHGECIDGWLAAHRTCPLCRCELDPCKMEAGAPPAPAQEDDLVDQLLV >LPERR06G14420.1 pep chromosome:Lperr_V1.4:6:12972624:12973375:-1 gene:LPERR06G14420 transcript:LPERR06G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWMTPRQTAHSVQLAPLPLCLTSRSYMNAGTVEATVIGCRQSSSSCSEPAVAPTWHRRASRLYSEKRQKPAAADAVTEKKKRRLSGDISRCMVKCEIGDTEIRDWLVEGRCKEFSIWQTITSEHRESG >LPERR06G14430.1 pep chromosome:Lperr_V1.4:6:12973548:12976524:-1 gene:LPERR06G14430 transcript:LPERR06G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPLPLLLLLLLAVAGAAGAAAAALGVSGGGQLWRRWTGRAAPRRRGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGVDLSQSSSSQMNLWNGE >LPERR06G14430.2 pep chromosome:Lperr_V1.4:6:12973895:12976524:-1 gene:LPERR06G14430 transcript:LPERR06G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPLPLLLLLLLAVAGAAGAAAAALGVSGGGQLWRRWTGRAAPRRRGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGVDLSQTVNLTTPF >LPERR06G14440.1 pep chromosome:Lperr_V1.4:6:12979045:12985672:1 gene:LPERR06G14440 transcript:LPERR06G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKISMPVDVNDRTAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKIPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >LPERR06G14450.1 pep chromosome:Lperr_V1.4:6:12988281:12990563:1 gene:LPERR06G14450 transcript:LPERR06G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYVLPPFQGDDMCILPTEVAAVEQAVRDGMAHRVDWTGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLISTQKPDLFERAEEEERGEVVLEVSDMDEEDGDEDVVAKSKSVEELELVDVNADERGSSLEKFDVEFTDLRSNSLEELGKDADELELKVEDKLSKRLGDKGAEDVDANRMDLDEPETADVDMKGKTFGESELGFMSMEAVSVTHEVMLPNDEDAEEEAADEDGDTAMAAVDKNAGSLAETLVMTHDDFVAIPEEDEEEADGDEDKDATGLSLGIGSGNDYDSSDEEDANVENLGEGDSCNEEAEESEEDAFGRYRGEEMNWGMGDEKGHGSDFVNLQFDTLNKSDVEIRNEVSYDDGFSGKIGSLHGMPSTNLLQAMSSIPATYNGSENAPDLSSGEFLAMGVDAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDHMPGQEQFDQRNQNKRMRNSNNSSISPGSAVFNAHFAEPFHNLMSKASMFYEQKERELQDVLVEKQYLANLLQQKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNQASFDEYRKKFPCNKPRYCDVPGGGGLVLSVKELEKKRLEEEQQKLAIVNEMIESFQHEWFSKLDEWAHSIHFLWSKTEQLIGELNLFREKRKAKVTALATEEVKVTTPATEETMVTTPATEEVIVSSPATEEAKVSSLATEEAKVSSLATEEAKVSSPATEEAKVSSPATEEAKVSSPATEEAKVSTPATEE >LPERR06G14460.1 pep chromosome:Lperr_V1.4:6:12996450:12997012:-1 gene:LPERR06G14460 transcript:LPERR06G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGLQLSGGGAAVQEDAFVDGEFLMDLLDDTPSTAAEQQQRLLLLEVDVDVDDVAADDRLSRVMRGGGELDDMMSDLDGGGGSASAMQASFEYWARAELPTPPAMGHDMGGGGWCGVDAGGEYEFREPCGYYAYGYNESSHVEQPYSPLWEIENE >LPERR06G14470.1 pep chromosome:Lperr_V1.4:6:13032247:13037657:-1 gene:LPERR06G14470 transcript:LPERR06G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIIMKSFMSQANKVQGVIPYEQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQINFKTRLDKSKLQAAFCSCNLYLILAVQAPFDTASIPWVEWS >LPERR06G14470.2 pep chromosome:Lperr_V1.4:6:13032245:13037485:-1 gene:LPERR06G14470 transcript:LPERR06G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGETEVLAREIFRCDPDREARASCFVSRCGVGPPPHQSRLLLIKGAPVSRCMLPHNCGVMAAKIRIIMKSFMSQANKVQGVIPYEQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQINFKTRLDKTSIPWVEWS >LPERR06G14480.1 pep chromosome:Lperr_V1.4:6:13041859:13042344:-1 gene:LPERR06G14480 transcript:LPERR06G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEDHRSGAEVHTGHELCERKARELLVELGLPDGLLPLPSLQEVGYNRAAGFVWLRQSQSGGATHTFDTIRKQVWYAGEVTAFVEKGRMHGLTGVKSKEILIWVSLSEIVVSPSGTKIVFRTPAGLGRAFPVTAFQLNPPPPAEKKEEEEAAAAATN >LPERR06G14490.1 pep chromosome:Lperr_V1.4:6:13046657:13047313:-1 gene:LPERR06G14490 transcript:LPERR06G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLAAIRVTGREAAWADEDESHAVACYAVAVACSVSVVVLFFCLLLAVVTVARACAITGAVVMLFGLILCFPPPASAAASARGWPSNFATPPPPAAHREFRSEIAIPGCDYCRMGNDAAMPTFVYEAGHGREGSGSESFLFCAVCLEEVEGGETVRRLPACGHLFHVDCVDVWLHAHRTCPLCRCELMSPDRNAIAKQPSSAPATSSPDDDALPPV >LPERR06G14500.1 pep chromosome:Lperr_V1.4:6:13050640:13051062:-1 gene:LPERR06G14500 transcript:LPERR06G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIRILPTLWLTINYKTYPFLLYHGYFYMSKFMCAVLNAVCWQLWCTRNDMIFRDRVLNSPLIVFFHVLALLSQWWVIWKTGDAENFDRGLQKLKEAVEALRDRNGIG >LPERR06G14510.1 pep chromosome:Lperr_V1.4:6:13052158:13053972:1 gene:LPERR06G14510 transcript:LPERR06G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEIGGDDGVRRRRRYWRWSKADFFPEPSFASWRSYGGALAATVPRLADRVGSRSSEAVEAGTLLAQSENPLRRCLSWIDLALLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDIAAFLAAGNILLEAVVGAAGLGRSWTSYLTALLGKSDTDALRIHVPALAEGFNLLDPIAVVVLITTSAVAMSGARLTSTLNSVASVVGIAIIAFVLAAGFSHFDASNLTTPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDIPLGLITSMSSITVVYCLMSLALVGMQHYSEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPGTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVSGATSPAQLRTFLAFLALVVLSSIGLSVYYNSGYGSRWPGYVGFGGGWAVGAAGLAVFAKQQRVPKVYGVPLMPWLPAMSVATNVFLMGSLGTAAYVRFGICTAVMLAYYVLFGVHATYDVAHSEDAAADSLEHGKIAPAPAPPPPAPA >LPERR06G14520.1 pep chromosome:Lperr_V1.4:6:13054642:13057550:-1 gene:LPERR06G14520 transcript:LPERR06G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNVIAVVLFAMLLSLPRQLVEADEVSCSDVISTVSPCLGFLQGDEDHPSGECCDGLSGLVAAAATTEDRQAACECLKSAAGQFTAVEAAPTRDLPADCGLSLPYTLSPDVDCSQIE >LPERR06G14530.1 pep chromosome:Lperr_V1.4:6:13070429:13071183:-1 gene:LPERR06G14530 transcript:LPERR06G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESVRRGVTPFLPSSFLSKQLAIIFTLSTPQHSQKPVRTPLKSPPNSFLLSFGRRRNKLTAASQECSDELTAPVRALDATQPPRRSVASPLRPLLATSRRAVGSASSPRVRSHRRRPVSSTDGGDRRRSPFPLSTAVDCRSPLLRLWIDRSGRRRVVDVFPPKPECIAAASRLRCSSVPEDHWVSFPSPT >LPERR06G14540.1 pep chromosome:Lperr_V1.4:6:13078698:13079102:1 gene:LPERR06G14540 transcript:LPERR06G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARHDAALIAAVLLLLLVVSSVSGREVARAPPPALTPADNAAAARVPQGSSVAPAAEAPDIGKNGAARWRRTAAGRRGGRGGGGGGGNGAWAFSAMLPRGFVPPSGSSACHNDMPATVADANFFMCGGEGTP >LPERR06G14550.1 pep chromosome:Lperr_V1.4:6:13083236:13089441:1 gene:LPERR06G14550 transcript:LPERR06G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFLKTIADWYRNNFTGAIFLVAIITAGVICMYCLEVANHRQSDASSRSKLWVSMPHHRLNQHLELVLTCMVYWDNDDAILQGNHITVGQRERNSVTRDANAIAPRQHGTK >LPERR06G14560.1 pep chromosome:Lperr_V1.4:6:13090523:13097697:1 gene:LPERR06G14560 transcript:LPERR06G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAVPGERPEAAAARAGSDAPVFVSPAIDFYSHHPVQPPPMQVVPVVVGGGGGGGLDSSSDGSTNGQPNRRRRGSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGSSPFAPCDVALKPGLHLKPSSRAAILGSNSGQQSLSSLWP >LPERR06G14560.2 pep chromosome:Lperr_V1.4:6:13097604:13100415:1 gene:LPERR06G14560 transcript:LPERR06G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPHHSTHHPTLLRRSYPNFCAITPLPLRPTRHLTPAFATAPCHAGDDPSPPDPTTTSAAAPSPLRVGIVGFGNFGQFIAGGIQRRGHAVLATSRSDYSHYCARRGILFFPTADALCEAGLDVLLICSSILSTESVARAVPFHKLRPDAIVADVLSVKQFPRNLLLQILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAQEGDQAAKCQQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLAQLKLKSTPINTKGYETLLKLTENTMDNLERAFAKVKQMLYDRLHNLLRKQIVERVPTP >LPERR06G14570.1 pep chromosome:Lperr_V1.4:6:13103040:13103775:-1 gene:LPERR06G14570 transcript:LPERR06G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMQMNIDCNGCEDNVRKALLRLHGVDYVEVDRVRGKVTVTGSASQKKVLRVARRSGRLAVLWPSAYDTGHHHNHQVYYPQPQPAYYHHHHQAAITPAVSAAASRAPHHHYSSVQKMNGGGGGGGKVMSSYNYHVHGYLDSDLHGYNGHHHHHAGVSYFSDDNPHACAVM >LPERR06G14580.1 pep chromosome:Lperr_V1.4:6:13112352:13122876:1 gene:LPERR06G14580 transcript:LPERR06G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPADAALAALSASMAASGEPEAPPLTDLSIYLSIYLSLCSGVSPAEVPPRLLANGARRASSIVFLPAAAIDIGGPTCSRLSDLNPDRAAGRLIPSASPPVLVSGHRRVLPIIGRPERTAMAALHPWPINESMTTSS >LPERR06G14580.2 pep chromosome:Lperr_V1.4:6:13112523:13122876:1 gene:LPERR06G14580 transcript:LPERR06G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAALAALSASMAASGVSPAEVPPRLLANGARRASSIVFLPAAAIDIGGPTCSRLSDLNPDRAAGRLIPSASPPVLVSGHRRVLPIIGRPERTAMAALHPWPINESMTTSS >LPERR06G14580.3 pep chromosome:Lperr_V1.4:6:13112523:13116549:1 gene:LPERR06G14580 transcript:LPERR06G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAALAALSASMAASGVSPAEVPPRLLANGARRASSIVFLPAAAIDIGGPTCSRLSDLNPDRAAGRLIPSASPPVLVSGHRRVLPIIGRPERTAMAALHPWPINESMTTSS >LPERR06G14580.4 pep chromosome:Lperr_V1.4:6:13112523:13113641:1 gene:LPERR06G14580 transcript:LPERR06G14580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAALAALSASMAASGVSPAEVPPRLLANGARRASSIVFLPAAAIDIGGPTCSRLSDLNPDRAAGRLIPSASPPVLVSGHRRVLPIIGRPERTAMAALHPWPINESMTTSS >LPERR06G14590.1 pep chromosome:Lperr_V1.4:6:13120024:13122610:-1 gene:LPERR06G14590 transcript:LPERR06G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSATDWSGLPADILGLVFDLLECTDLRSAAVCSAWRKVFSDACRLNVCPRRQTPCLLYCTEVAGASAVGMYSLLEKREYTIPLPDPPISNRTWIGSSHGWLITADEKSDLILLNPITGEQISLPPDGTIPRVEDTPYAFDFLEYCDTVYKKAMLSSDPSSGDCIVMLIHHPYSQISFARVGEDHWNWIPIGISYADCIYREGWFYTVNFAGAVDAFNLNGPSVIHKRILKDMLKLMNEQMYIVQSPGGDILVIDRTTIIPQGPEIEETEMYTSEIEVYKADFGEHKHVKLTSIGDYALFIGHTTSSCLPVKDYHMLMPNHVYITDDDYLWLLGFRDKRQDVGIYSLENNSLSNVVSPEPWKSWLPPIWMMPNLVKKGSRINKMGETSKS >LPERR06G14600.1 pep chromosome:Lperr_V1.4:6:13126083:13127309:-1 gene:LPERR06G14600 transcript:LPERR06G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAPDWSELHDDILGSVFNLLECPDLLRCAAVCTAWRSVFSDARSLKICPQRQTPCLLYCTEVAGTSAVGMYSLLEKREYTIPLPDPPVSNRTWIGSSHGWLITADEKSDLILLNPITGEQIALPPVTTMEHVKPIFNEDGILDKYEMLYYDGKIPRVEDTPYAFDLPRYHDIVYYKAMLSSDPSSGDCIVVLIHQPYSQISFARVGEDHWNWIPIGIHYSDCIYHEGWFYTVISSGGVDAFKLNGPSVIHKRVLKDVQQRNEKMYIVQSPWGDLLLINRITIIPHDVNPEIEDTEMHTSDIEIYKVDIGEQKLVKLTGIGYNALFLGHNTCCCLPVKDYHMLMPNCVYMTDDDYLWLFGRHERRDVGIYSLKNNSLSNVVSLEPWKSWLPPIWMTPNLVKTGMVQ >LPERR06G14610.1 pep chromosome:Lperr_V1.4:6:13127800:13129727:1 gene:LPERR06G14610 transcript:LPERR06G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKQFVEEKIQGGRSWLTMATAAASPGWPDLPMDILLEILRLLECTDLMRCAATGTRAYCDLRRRGIAGSRQTPCLIYRPEATGLNAIGMYCLSEKRPYVIPIPDPPISEWHWFGSSDGWLMTADCRSDIILLNPITGRQIALPPAITMEHVKPVMNEEGILQMYEVSFYDARNPSLEHTEPGTYSLEEYHDDYIAVLVHGPYDQLSFAKVGGNTWNWLAVDFFLVDCIYHDGWFYAGRNSCLNLHGPCVVHKTIFPRIQQYNMNQEYIVRAPWGDLLQIYRTMDGIRGEQPDNQVVRTLGFRVYKISLDEQKLVMMTGIGDHALFVGQNASVCLSVKDHPTLMLNHVYFTDDDFETLFCDKSSRRDVGVCNIENYTVTRVVCPELWMYSLPPIWLTPSLIG >LPERR06G14620.1 pep chromosome:Lperr_V1.4:6:13143939:13146173:-1 gene:LPERR06G14620 transcript:LPERR06G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEVVEIFEHDHDKIRSPENSVPPAAMVLDLNEGFGKGSEEGEIGEDGEEDDDDDDDDDDGGSTSEVAGGRSSSNNSSTNHNSGSNKDHDMNSSSSKADDGGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFHMRRGGDHRFHHHDMFFQRAAAGAVAGVPFSSRLSHENGGGFFASRNSGLPAEASRLYGLFQRRQPASTMQTFDFKNSNSFRNQEWSFNQHTAARVVAAGAVNDNGPAKGLIHEMIFRKDGKPMTSHLFDVRDAIACTRVTSATTAAAAAGGGKDGAFDWVGSSSSRPLSRTMSTGDYHLRWRGGAAVAGGNNTTSSDPVVASEALGSLLERAKSAKAMEAMRVETPAKMMTPADLQLSLSPNAGDQRASKKRKNIGSLLSEQEVDSDNKQQPLSLSLSLRGGSDDNGGDGGGECGGEDAGRLEEETTGSSSSSKKAALGLSTLDLTMSIKALE >LPERR06G14650.1 pep chromosome:Lperr_V1.4:6:13202757:13205209:-1 gene:LPERR06G14650 transcript:LPERR06G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKPPPAMPTDRYELGRLLGEGNFAKVYHGRHRATGEEVAVKVMEREKLVKLGATQQIKREISVMRRLRHPNVVRIHEVMANRRRVYVVMEYVRGGALYRHLVRRGGGGGVGLREPDARRFFQQLVSAVAYCHARGVFHRDIKPENLLVDEQGNLKVADFGLSALVAGNDNDGDTAAQQRALQTVCGTPLYVPPEVFDRRGYDGAKADVWACGVVLYLLVAGRKPFPDHEFLKLYRMIRLSQFQCPQSFSPDLVRLVRRLLQPDPDRRITIPEIMETRWFKRGFKEVSYYVDNNDRLRRFDGLVDDEPELYDSDSEAHESSSSTSSESGTPRGGGGGGMHTSASAPALSDLDRSGGGGDNASLPPLTPRPRMPRPKSLNAFDIIASSSSFDLSGLFEERGEKVRFVSAATAAEIIAKLEEIAAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTPELVMVQVCKKAGDTAEYRRFCNNELKSGLRGLVVDALPPPPVESCSHGGVA >LPERR06G14660.1 pep chromosome:Lperr_V1.4:6:13213282:13218330:1 gene:LPERR06G14660 transcript:LPERR06G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAIKAAKVRWPWVFEQVDASMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRTPSVRKSSVADGLSIQEILENWLKLKPTIMSEWDEDRDSLVDLFGSIRDDWIENDLPGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIKFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLQPSSASVLFSWLNLHPGQSGWKCMETSGGELHWLMVVSCGQVNKKRLGTGRWWKKSKFDICLGFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTQKEREKAEGISRIQVIDLPGFSQKLK >LPERR06G14660.2 pep chromosome:Lperr_V1.4:6:13213282:13218330:1 gene:LPERR06G14660 transcript:LPERR06G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAIKAAKVRWPWVFEQVDASMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRTPSVRKSSVADGLSIQEILENWLKLKPTIMSEWDEDRDSLVDLFGSIRDDWIENDLPGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIKFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTQKEREKAEGISRIQVIDLPGFSQKLK >LPERR06G14670.1 pep chromosome:Lperr_V1.4:6:13231062:13233915:-1 gene:LPERR06G14670 transcript:LPERR06G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSSTDSEATLDLIPPAVIDADLDEEETMSAMSPMLNPQGSDPPLDVVPLNAIPFTQVVASNLCKKPIREEVPIPEWMKELDNYKSGDWKAFRETRVNGHKDWFYTHCKYQREFRSKPHVKLFMRTTLIDGTNMFNGRKLQKKRTMDSGGEGSGGSRPTSGKKSNTGTIKSKKTLSTGDQPTLPPGFV >LPERR06G14680.1 pep chromosome:Lperr_V1.4:6:13242612:13244364:-1 gene:LPERR06G14680 transcript:LPERR06G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTCVRRRNTADGPAARRDRQTGSTGRSSDQGQQRRGAAAAVTIAIARFREEGGDLWGEGKGICKEMRRGFAGKKK >LPERR06G14690.1 pep chromosome:Lperr_V1.4:6:13256634:13259419:1 gene:LPERR06G14690 transcript:LPERR06G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSHLLLLLLLVATSAADSVSSSSCSGDAAVVAAAFRYVRNFRQPPDGGGGGSPAPCRPVRELRLPSRNLTGAVAWAALANLSALAVLDLSGNALQGAIPGGFWRAPSLRRVDLSGNQLGGALRVEPSARLESLNVSGNRFTGVAGVDGLAALRVLDVSSNRIRAVPQGLRRLAQVTRLDLSRNAMQGRFPSDLPPLGAIRFLNVSCNNFSGVVDADAVEKFGRSAFAHAGNASLVFSENSTAPRRSPPPSPPSHLPHKSSSRKNDTRTAPARRTRKRKHLSVVTVAVVCGVVSVAMLLCLVGCVACGVLRCRQKRGKEAEKKEEDEEEVVAVAAAKGASASPVVLFERPLMELTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVDGAMAGVAEDGDTTAAASAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGQPDMDDNTGGDIWEAAEDKRSISDWSTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRIADFGHPSDATPEDDVYSFGVLMLELMTGQAGWDEASVSWARGIIRDQKGLDIVDPRIRDEAAIGPEGEREMVECLRVGYLCTAQSPDKRPTMQQVVGVLKDIRVAPASSSST >LPERR06G14700.1 pep chromosome:Lperr_V1.4:6:13263762:13266234:-1 gene:LPERR06G14700 transcript:LPERR06G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGAGLLLCRCSLAGLLPSQLTAPASVSAARERRSCCRWRSRAQASQIERRLPHGGSRRPPPPSLLATPASFPSPLSAPASVSAPSGSGVPKLQLPPAALASPWRPDRAAAALVWLDQAKECQHAF >LPERR06G14700.2 pep chromosome:Lperr_V1.4:6:13265146:13266234:-1 gene:LPERR06G14700 transcript:LPERR06G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGAGLLLCRCSLAGLLPSQLTAPASVSAARERRSCCRWRSRAQASQIERRLPHGGSRRPPPPSLLATPASFPSPLSAPASVSAPSGSGVPKLQLPPAALASPWRPDRAAAALVWLGGCGDENYNDMMEMTRRW >LPERR06G14710.1 pep chromosome:Lperr_V1.4:6:13272357:13273686:-1 gene:LPERR06G14710 transcript:LPERR06G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRALFLLSLVCVATQAKEAAKDASYGGGSAAAPAASASGGSSGTLDVSKLGGKGDGKTDSTKAFDEAWAKACAGTGKQTILVPKGDYVTGPLNFTGPCKGDITIQLDGNLLGSTDLSLFKANWIEILRVDNLVITGKGKLDGQGPSVWSKNSCAKKYDCKILPNTLVLDFVNNGLVEGISLINAKFFHMNVFKCKDVTIKDVTITAPEDSPNTDGIHMGDSSKISIVNTVIGTGDDCISIGPGSDAINITGVTCGPGHGISVGSLGRYKDEKDVTGVTVKNCVLKKTTNGVRIKSYEDAASTLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSEKLPCTGVQMNDVKVEYSGTNNKTMSVCSNAKGTATGCLKELACF >LPERR06G14720.1 pep chromosome:Lperr_V1.4:6:13276499:13279909:-1 gene:LPERR06G14720 transcript:LPERR06G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCGGAGQTAAAAWQGRIGATNIGRLRHGKSSSSSSSAVARGVTAWHLRVFAGVVGVMGCFLLVASLMMSAVHQVQFRNGAISRGFRGLQELKQNSVKTEEAEQIMHPRLLQMATSAITKNESDSDSVNFALWEEPYKQARKWKPCAAKHSLPDEVPGENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFINYMKSDVHIVKDLPPHLQSLDLESIGSQITDMDISKEATPSEFIKIALPILQQNRVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRTAAMRTEMDKQLFGSNMVDDASLAVTGDAGPNRFLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPALATRLRNTSVSAEEQRSLGRCPLTPEEAGLVLAALGYGRDTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSPAELAPFRGFSSRLAALDFVACASSDVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFAGRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >LPERR06G14730.1 pep chromosome:Lperr_V1.4:6:13282756:13289664:1 gene:LPERR06G14730 transcript:LPERR06G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSTRRHTHPHSLLSLLRFSSNSGSGPTPPPPPPVKPVSYAPKPQPPPEEAPAPAVAEEAAPSDGPSSQPPPPPRRSPPQRQWTREEMRFVKDEVPAVTPVSYPTRVAPLPEDRPAEEAPPADEGLQGEGERIEMEATRARRSFFSMQVEEEDLPYPTLIPVDKRPQKVAIDLVDAIREIKTSANEKKRNFLETVEAHVILGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAADEARSSGADVVGGDELIEEIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSDESLRENIGAFVHALLLAKPVGLKKTSKYVGYMKKFTLSSTMGPGFHVTIPSLSAAADHYNKVQVS >LPERR06G14730.2 pep chromosome:Lperr_V1.4:6:13282756:13289664:1 gene:LPERR06G14730 transcript:LPERR06G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSTRRHTHPHSLLSLLRFSSNSGSGPTPPPPPPVKPVSYAPKPQPPPEEAPAPAVAEEAAPSDGPSSQPPPPPRRSPPQRQWTREEMRFVKDEVPAVTPVSYPTRVAPLPEDRPAEEAPPADEGLQGEGERIEMEATRARRSFFSMQVEEEDLPYPTLIPVDKRPQKVAIDLVDAIREIKMVRGAITLPHGTGKTVRVAVFAEGPAADEARSSGADVVGGDELIEEIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSDESLRENIGAFVHALLLAKPVGLKKTSKYVGYMKKFTLSSTMGPGFHVTIPSLSAAADHYNKVQVS >LPERR06G14770.1 pep chromosome:Lperr_V1.4:6:13316096:13324101:1 gene:LPERR06G14770 transcript:LPERR06G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVPGGPHLAGAGADAVDAMQVDPPRASADEKHGPTIMGGGDPVTGHIISTTIGGKNNEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHARLPNGRSFPPLFNFKQELNTGFPDKVLYGVPYDLMLILEVIVQCLAKRSPNSRIDGGCIVKLWRVTVC >LPERR06G14780.1 pep chromosome:Lperr_V1.4:6:13325546:13330786:-1 gene:LPERR06G14780 transcript:LPERR06G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAATGAVTRRRLGATAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRITGNMNMEYLPMGGSIKMIEESLKLAYGEDSQFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSHIYIPTPTWANHHNIWRDAQVPQRTFTYYHPESRGLDFSGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKTLWLKEVKGMADRIIGMRKALKQNLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVAYLANAIHECHAVII >LPERR06G14780.2 pep chromosome:Lperr_V1.4:6:13325546:13330786:-1 gene:LPERR06G14780 transcript:LPERR06G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAATGAVTRRRLGATAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRITGNMNMEYLPMGGSIKMIEESLKLAYGEDSQFIKDKRIAAVQALSGTESRGLDFSGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKTLWLKEVKGMADRIIGMRKALKQNLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVAYLANAIHECHAVII >LPERR06G14790.1 pep chromosome:Lperr_V1.4:6:13331825:13333473:-1 gene:LPERR06G14790 transcript:LPERR06G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATASRRLVLILCILALPCISGIAVAAAAAAGDADAFLHCLETAAVPPQLVHTPGSPSYAATLVSSIRNLRFVAPATPLPLAIVAAAEAGHAQATRFAVLDLAALRNVRVDADRAVAWVGSGATLGELYYAVGAASRTLAFPAGICPTVGVGGHISGGGFGSLMRRYGLAADNVLDAVVVDADGRLLNSTTMGEDLFWAIRGGGGESFGVVLSWKLRLVCVPETVTVFTIRRRRNESASDLITKWQHISPSLPKNLYLRVVVQSKHAQFESLYLGRCGRLVRVMESRFPELGMTESDCEEITWIQSTVYFAFYSSSKPLELLLDRGTKPDRYFKAKSDYVQEPIPIHIWENTWTWLEDHDAGLLILDPYGGEMARVSPSATPFPHREGNLYNIQYYSFWFEHGEETLEKHLSWVRGLYDEMEPYVSKNPRTGYANYRDIDLGRNEIEGNVTSYTKGKVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAQQGWSSI >LPERR06G14800.1 pep chromosome:Lperr_V1.4:6:13341641:13343248:-1 gene:LPERR06G14800 transcript:LPERR06G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPMSFYFALLATCICFLHRVPMDAAAAAAPANQTAGFLDCLAVSLPPGVVYTHASRSYQSVLESSIKNLLFDTPSTPTPVAIVEATDATHVQAAVRCGARHGVTVRSRSGGHDYEGLSYRSVLDASRGASFAVVDMAGGELRAVHVDVSGRTAWVGSGATLGEVYYAIAKSSSRLGFPGSVGPTVGVGGFLSGGGFGLMLRKHGLAADLVLDAKLVNAKGDLLDRAAMGEDLFWAIRGGGGGNFGIVVSWKIRLVPVPETVTVFTVHRPRNQYSATDLLTKWQTVSPSLPNDVFLRVVVQNQEAQFESLYLGPHAGLVAAMTTNFPELGVKAKDCIEMTWIQSVLYFAFYGTGKPVEMLLDRGPTKPDRSFKAKSDYVHEPIPSHVWENTWSWLLKDGAGLLILDPYGGKMAGFSPAATPFPHRQALYNIQYYGFWSESGTAAAEKHMGWVRGIYREMEPYVSKNPRGAYVNYRDLDLGVNYDGDGVTSYEKARVWGEAYFKGNFERLAKVKAKVDSDNNFKNEQSIPPFPN >LPERR06G14810.1 pep chromosome:Lperr_V1.4:6:13350917:13352483:1 gene:LPERR06G14810 transcript:LPERR06G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRRSLAIVLAVCCCYAAAVSPLSSADSTGDFLKCLAAGGLPSQLVVPWGSSSSVFTSIRTVQPICVVTATNASHVQAAVLCGRRYNIRIRVRSGGHDYEGLSYRSVRPEAFAVVDLASLRAVRINRRAATAWVDSGATVGELSYAVAKADPGLAFPAGLCPTIGIGGHFSGGGIGMMTRKYGLSVDNVLDAVVVDANGRLLDKKAMGRDHFWAIRGGGGGNFGIVLSWKVRLVAIPRTVSVFNIRKTIDQGAVDLVTKWQMLAPALPDELTIRAVVQSKQALFQALHLGTCNQLLPVMSSKFPELGVTRADCREMSWLESTLYINSGGTVTTPVEDLLNRTTSLGVFTKNKSDYVKKAISKASWEKIFPWFDAAGAGIIIMEPQGGRVAAIADGDTPYPHRVGVLYNIQYMSFWMDTAAVPDWIRNFYRFMEPHVSSNPRAAYVNYRDLDIGENAVVLGGVTSYESSRVWGEKYFGAANFRRLALTKGKVDASNYFRNEQSVPPLVTTK >LPERR06G14820.1 pep chromosome:Lperr_V1.4:6:13353925:13355538:-1 gene:LPERR06G14820 transcript:LPERR06G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTSRALALVLLLCAVSPCCLAAVDAGGKAKGPTPSKDDFLSCLAKDIPPKLLYAKGSPSYSSVLVSTIRNLRYLSFKTVNPVYIVTPTEIAHIKNTVLCGRRYNIRIRVRSGGHDYEGLSYRSESPEPFAIVDLNLMRSVRVDGKSRTAWVESGAQLGDLYYEISKASPKLAFPAGVCPTIGVGGHFSGGGFGMLLRKYGVAADNVIDAKLVDANGKTQDRKSMGEDHFWAIRGGGGESFGIVVSWKVNLLPVPPTVTVFQIPKTLNEGAIDLLTKWQELAPALPDDLMIRVMAQGQKAVFEALYLGTCTTLVPLMKTRFPELAMNASHCNEMPWVQSIAYIHLGKNATVKDILNRTSSIRAFGKYKSDYVSKPIAKPVWETIYRDWFSKPGAGIMIMDPYGATISALNETTTPFPHRKNVLYNIQYITFWFGDGAPSVMPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNKVPAGSNVTSYIAGKAWGERYFKGNFEKLAITKGKVDPTDYFRNEQSIPPLLTMVA >LPERR06G14830.1 pep chromosome:Lperr_V1.4:6:13369921:13371501:-1 gene:LPERR06G14830 transcript:LPERR06G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFIRNLAVVIIISFFSSLYLSVPSLASSDGFVHCLLQKIPDELVLTQSSSSFTDVLVSSIHNPRFVNNATARPLCIVTPTDASHVQAAVRCGRAEGIHLRARSGGHDYEGLSYRSARDGEVFAVVDLGARLRAVSVSVDDATAWVESGATLGELYYAVAKTSPVLAFPAGVCPTVGVGGHFSGGGISIMSRKYGLSVDNILDAKLVNAHGELVDRAAMGEDHFWAIRGGGGESFGVVVSWKIRLVEVPPTVAVFAIVKTVDEGAADVISRWQHVTPRLPNELSIRVKVRGQRATFQSLYLGPCASLVPIMSSIFPELGMTSADCREMSWLDSVAFIQFMDLTTPVEALLNRTTSLSTYTKAKSDYVRRAIPKDVWKSIFSWFAMNGSDQMLLEPMGGFMDGVPAAATPYPHRAGVLYNIQYITFWFGDDTAAVANRWINDLYAFMEPYVTSNPREAYVNFRDLDIGENAVSNDGVSTFESGKVWGEKYFAGNFERLAAVKAAVDRTDYFRNEQSIPPLPVEIK >LPERR06G14840.1 pep chromosome:Lperr_V1.4:6:13371524:13391621:-1 gene:LPERR06G14840 transcript:LPERR06G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTCLAFVLAVCCCYAAAIGLPTTADSTGEDFLRCLAASGVPSKLVVTQDSPSFTSTLTSSVRNPRFLEPGTVRPLCIVTPTNSSHVQDAVVCGRRHNIRIRVRSGGHDYEGLSYRSVRPEAFAVFDLAALRAVRVDRVAATAWVDSGATVGELYYVVAKADPELAFPAGLCPTIGIGGHFSGGGIGMMMRKYGLSSDNVLDATVVDANGRLLDKKAMGEDLFWAIRGGGGESFGIVLSWKVMLVAVPRTVTVSLIVKSMEQGAVDLVTKWQTLVPVLPDELTIRVFVQDKQARFQTLYLGTCDKLLPLMSSQFPELGMTRADCQKMSWVQSMLYLNGGTGSTPLEDLLNKTTSLTSFSKYKSDYVNQAISKASWEKIFPWFDGADAGLIILEPQGGRVGSIGDDDTPYPHRAGVLYNIQYISFWPTNATAAVPDWIRNVYGFMEPFVSCNPRAAYVNYRDLDLGENEVTAGGVTSYESGRVWGEKYFGVANFRRLALTKGMVDPGDYFRNEQSVPPLVTSNSINPRQTKEIEMATFFRNLALAFTVSFLSCHFLSVPSTASPDGFVQCLLQKIPGELVLTQSSSSFTDVLVSTIHNPTFFNNATARPLCIVTPTDASHVQAAVRCGRAEGVRLRARSGGHDYEGLSFRSARGGEVFAVVDVGARLRAVNVSVDDATAWVESGATLGDLYYAVAKASPELAFPAGVCPTIGVGGHFTGGGISIMSREYGLSVDNILDAKLVNAHGALVDRAAMGEDYFWAIRGGGGESFGIVVSWKIHLVKVPPTVAVFGITKTVDEGAADVVATWQHVTQRLPNELSIRVTVRGQSATFQSLYLGSCDQLLALMSSKFPEMEMTSADCHEMSWLQSVAFIQFMDLTTPVEALLNRTVSQRVATKPKSDFVRRAIPKNVWTNIFSWFAMNGSGMMLLEPMGGFMDGVPAAATPYPHRAGVLYNIQYIAFWLGDDTAAAAKRWVNDLYAFMEPYVSSNPREAYVNFRDLDIGENAVANDGVSTFESGKVWGEKYFAGNFERLAAVKAAVDPTDYFRNEQSIPPLLGQSKSK >LPERR06G14850.1 pep chromosome:Lperr_V1.4:6:13394474:13411282:-1 gene:LPERR06G14850 transcript:LPERR06G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCALFLLTPQPPPPLVAVERRPPLASRAGGHLPTTNHCRLRRREGCPWPCRCASSSSSSSSSPSSSSRRTGPQERPPKPRQQKQRPARADAIDPVGFLAKHGISDRAFAQFLRERFELMGMHRHRQHRVDFMEWAPGARYCSLVGDFNQWSITDNCAREGHLGHDDFGYWTIILEDKLKEGQEPDEYYFQEYNYVDDYDKGDNGVDVEELIRRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELDDIPDAETRYNEWKATQKDDSASSSPCYDIIDNGQEFDISNVVTDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPTISHKDKYRVYFNTSDGALERIPAWATYVLPDAEGKQSYAVHWEPPPEEIYKWRYGRPNVKGSLRIYECHVGISGFEQKISSFQEFTSNVLPHIKDSGYNAIQLIGVVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFRYDDVDVLHFLLYYNQYIDKDALIYLILANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMKVLVSNNSNMLSYVENHNQSISGRKSFAEIVLQKGKFSNSCVDDDWIFRASSLLNRIEFPMSSNDYSFRLANRQWELLDKDVHKHIFYFDKDLMSLDGKERLISRGSPNVHHCDDSSMLYSVGVDEAGEYQLILNTDETKYGGRGELKNNQYMRRTSDNRVGGCRNSLELTLPSRSAQVGRCKYMVSRTLWNW >LPERR06G14860.1 pep chromosome:Lperr_V1.4:6:13413260:13414843:-1 gene:LPERR06G14860 transcript:LPERR06G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKTLLLVIIFCISSCSYIPNPTIASDSSSDFIRCLTKKSVPHWLIHSRRSSSYTPILKSSIRNPKFLNITASTTPLCIVTAKRTSHIQAAVVCGRRHRVRIRTRSGGHDYEGLSYRAEGRRERFAVVDLSGMRSVRVDVAGRTAWVQSGATLGELYHAIWSAAPGLGFAAGVCPTVGVGGHFSGGGFGLLQRKYGLAVDHVVNATVVDARGRLLDRGAMGEDLFWAIRGGGGGSFGVVVSWHIRLVPVPPTVTVFDAVRAPEHGAVELLAKWQEIAPRMPEDIMVRVIIDIEPRRVTFEAMYLGTCDELLPLMRNQFPDLAMVRSDCNEMKWIESIPYIHLGRNATVADILNRSSISRVNTKSGSDYVRKPIPINIWKKIFTNLQQVSNFGQFQMFIDPYGAKISSIDESATPFPHREGVLYNIQYITYWNGDANGTVALKWSRDLYKFMEPYVSKNPREAYANYRDLDIGRNKVVNGISSYHHGKVWGEKYFRHNFERLAAVKAKVDPDDFFRNEQSIPPLFE >LPERR06G14870.1 pep chromosome:Lperr_V1.4:6:13420645:13422201:-1 gene:LPERR06G14870 transcript:LPERR06G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSLALAFFFICSVASISSTLAATDSFLQCLSASIPSQLLYTQSSPSFTSVLDAGIRNPKFLTNTTKPVWIITPTNASHVQAAVVCGRQNNVRLRIRSGGHDYEGLSYRSERPESFAVLDLVKIRSVRVDTASNTAWVDSGATLGEMYYAIGKAGSRVAFPGGLCPTVGVGGHFSGGGFGMMLRKYGLAADNVVDAVLVDAKGRLLDRNAMGSDVFWAIRGGAGESFGVVLSWKVNLVAVPPTVTVFNVPVTVRQGAVDVLTRWQDVAPVLPDDLFIRVVVQGQTANFQSLYLGTCDALLPLMQSRFPELGVNRTHCKEMTWIQSVPYIYLGASSTVEDILNRTISMDTSNKAKSDYVRKSITKDVWTTIFGSWLARPNAGLMILDPYGGKISSVAENATPFPHRGGVLYNIQYMNFWAATGAGDAQKAWIRDFYAFMAPYVSSSPREAYANYRDNELGVNVVGAGGVSSYDTGKVWGEKYFKGNYQRLAMAKGQIDPDDYFRNEQSIPPLVSGK >LPERR06G14880.1 pep chromosome:Lperr_V1.4:6:13425824:13428337:-1 gene:LPERR06G14880 transcript:LPERR06G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >LPERR06G14890.1 pep chromosome:Lperr_V1.4:6:13446466:13447245:-1 gene:LPERR06G14890 transcript:LPERR06G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEDVLALYDACWFDRLVLLSPSVLSAAAVAPDSPPAQREEERQPEMKRSPSGMLRHRRTRSDDAATAFESALEPLRIPNNHHHRARLETILSGKDGLTAAPQPLPERRRPAARRPGGRRRQRRGRSMSELEFEEVKGLQDLGFTFSEDNVDAELASIVPGLRRRRSEEDDAGKAPAVGAAVAGEEEEQEAGRRRAGSAPAASSAPRRPYLSEAWDDEEEEVRRMLRNWRIPAAGGDGGDLKEHLRLWAHTVASAVR >LPERR06G14900.1 pep chromosome:Lperr_V1.4:6:13467664:13473125:-1 gene:LPERR06G14900 transcript:LPERR06G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAASGPGKGEKIVLKDDVSAVKKGGCCSS >LPERR06G14910.1 pep chromosome:Lperr_V1.4:6:13481786:13483103:1 gene:LPERR06G14910 transcript:LPERR06G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPEIMSFSSEESPSSPLIDGNELVVQLVPRDVSDGILGKFADTSEFDFDYDKSGLWSPLVLKPEVLLLAQTPAGRRRRRHHRRRWKRKKMFCYCFW >LPERR06G14920.1 pep chromosome:Lperr_V1.4:6:13483727:13487942:1 gene:LPERR06G14920 transcript:LPERR06G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDRPCSLNGTLVWSTNTASKSVVSMNLTGSGNLVLDHANVEIWRSFDHPTDTLVISQTLRTSSTNWTEGKSYLTVQADGMYAYAGANTPLAYYRSPTGGNVATNRSAYVAEAEAPDYNIQLPVNPFGQVFVRLDWDGHMRLYQWFDYSWGHSDILHINDPCAYPLSCGEYGICSNGQCKCPDVALGQSGLFEPINAREVNRGCLLTSSLTCGSTEKAGFLTVPNVTQFNFINSWTTNEEHCKLSCLYDCSCWASFFQHKNTSSGFCFLASDIFSMISVTAESYSSSYSYFGFVKIQESTHKSLLSKEKRAIVLLLLRRLIVLRRKRAEPLEDEYNIDQFPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKNVAIKRLDGVSQGKMEFLAEVQTIGSINHKHLPENILLDDMFTAKISDFGLAKLIDREQSSVMTRLRGTVGYLAPEWLTSEITQKVDVYSFGVVIIEILCGRRNLDYSQPEESRHLVSMLQEKAKINQLMDLIDPCFIDMELHMDDVLRMMHLALWCLQVDNNRRPSMTMVVKILEGTTDVETELDFDLVNIDPMVVANRAAQRNTVTLQTDSVLSGPR >LPERR06G14920.2 pep chromosome:Lperr_V1.4:6:13483765:13487942:1 gene:LPERR06G14920 transcript:LPERR06G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLRTSSTNWTEGKSYLTVQADGMYAYAGANTPLAYYRSPTGGNVATNRSAYVAEAEAPDYNIQLPVNPFGQVFVRLDWDGHMRLYQWFDYSWGHSDILHINDPCAYPLSCGEYGICSNGQCKCPDVALGQSGLFEPINAREVNRGCLLTSSLTCGSTEKAGFLTVPNVTQFNFINSWTTNEEHCKLSCLYDCSCWASFFQHKNTSSGFCFLASDIFSMISVTAESYSSSYSYFGFVKIQESTHKSLLSKEKRAIVLLLLRRLIVLRRKRAEPLEDEYNIDQFPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKNVAIKRLDGVSQGKMEFLAEVQTIGSINHKHLPENILLDDMFTAKISDFGLAKLIDREQSSVMTRLRGTVGYLAPEWLTSEITQKVDVYSFGVVIIEILCGRRNLDYSQPEESRHLVSMLQEKAKINQLMDLIDPCFIDMELHMDDVLRMMHLALWCLQVDNNRRPSMTMVVKILEGTTDVETELDFDLVNIDPMVVANRAAQRNTVTLQTDSVLSGPR >LPERR06G14930.1 pep chromosome:Lperr_V1.4:6:13494456:13498408:1 gene:LPERR06G14930 transcript:LPERR06G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCRPPPAERPDPIGRSASPSPCSSYQPSPQASYNPSPASSSFPSSGSSSHITLGGNNLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIRTDWENASVQPPWASANYTSLPNSTPPSPGHQIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >LPERR06G14940.1 pep chromosome:Lperr_V1.4:6:13499779:13510134:-1 gene:LPERR06G14940 transcript:LPERR06G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEKVTGDGEEVPSPLKLMAKPVEPAKTKKVLFVDDLGKSRPGGIVVEEEGRQNGSDEHGQAGRMEGSQLETPEGEKEPLIALILSAIGTNVGEGGRRAGTLGKEKKTRVYRRVTREKGGRLSAVESMADVMAAADRLYPPIESYDLEPPQFGDAAAAGGGEARVCEPPVMWDEEPEASPSPGPVIEETHTFEQEAKIRHARNNMVYNTSVSDIYERSGEMTTKELAENIYYDYPLRDQTGIWVPPSVPPMTEHDHEEWQKGFGSNSGYFPEEDMWDIDEENKVMTMWDVLAEMVAAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKDDTVADASVGPEHPLLEAEPTTWLPDSASPSCMLCGTRFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSDPQRVCDVCGVRLECIQPYLMNKISRACQVPTNDLTDLSTLRSWLNLPWSHTMEYEIYKAVNSIYGYFKVGNLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRSDGSWSPPSAISTCGVGYGVQAGGELADYIIVLRNTDAIKTFSGNTHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSENARFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVEN >LPERR06G14940.2 pep chromosome:Lperr_V1.4:6:13499779:13505287:-1 gene:LPERR06G14940 transcript:LPERR06G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLYPPIESYDLEPPQFGDAAAAGGGEARVCEPPVMWDEEPEASPSPGPVIEETHTFEQEAKIRHARNNMVYNTSVSDIYERSGEMTTKELAENIYYDYPLRDQTGIWVPPSVPPMTEHDHEEWQKGFGSNSGYFPEEDMWDIDEENKVMTMWDVLAEMVAAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKDDTVADASVGPEHPLLEAEPTTWLPDSASPSCMLCGTRFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSDPQRVCDVCGVRLECIQPYLMNKISRACQVPTNDLTDLSTLRSWLNLPWSHTMEYEIYKAVNSIYGYFKVGNLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRSDGSWSPPSAISTCGVGYGVQAGGELADYIIVLRNTDAIKTFSGNTHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSENARFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVEN >LPERR06G14950.1 pep chromosome:Lperr_V1.4:6:13512504:13513863:1 gene:LPERR06G14950 transcript:LPERR06G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYTNGSVVEVVSMSMEEGSKKDKTEQQQVHDDHNDGNDDVVVCGMPVSATFLKMLLAEFLATYFLMFAGLGVITVEQKKGGAVTFPGVAVVWGMAVMVLVYAVGHISGAHLNPAVTLGFAVAGRFPWRRAPAYALTQTVAATAASLMLRLMFGGRHEPVPAALPGGSDVQSLVVEFVVTFYLMFVIMAVSGASMNPARSIGPALLGSKYTALWVYILGPFAGAAAGAWAYNLVRLTGGEVAKKGGD >LPERR06G14960.1 pep chromosome:Lperr_V1.4:6:13526678:13528037:-1 gene:LPERR06G14960 transcript:LPERR06G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALNSSLSRREHDDDSAAPQARWLGRREHGEGASRSDGGPPALGSDDGSRTERGGKGLGALRWEDAMVGVRLDLETAAPQRRRGRSDDNRILHCRAQGGLIWLLHPQGDDDCDGRSGSVTMMVTNAMAVAGGG >LPERR06G14970.1 pep chromosome:Lperr_V1.4:6:13528223:13532017:-1 gene:LPERR06G14970 transcript:LPERR06G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFTSSVSLTVMYDGRPVFNGKEFRSSAVSMKPKVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGREIVTYESPGPNMGIHRMVMVLYQQLGRGTVFAPQVRQNFNMRSFARRYNLGKPVAAIYFNCQRPTGTGGRRPT >LPERR06G14980.1 pep chromosome:Lperr_V1.4:6:13548817:13550597:-1 gene:LPERR06G14980 transcript:LPERR06G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAGGGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFIVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSAAANGGGGGGGAAVKRRDTSVDNGDEDMTMVATEVVRLKQEQRTIDDRVAAMWRRVQETERRPKQMLTFLLKVVGDCDRLHRLVGAGGNGGNGSAAAVDDNLFAVDDASPTAGGEKRARLLLDGDNTPGGGAFGPDAVDFAGFYTGADMFTDVAAVDAAGAGAGCSFAFGVNTGY >LPERR06G14990.1 pep chromosome:Lperr_V1.4:6:13554560:13555410:1 gene:LPERR06G14990 transcript:LPERR06G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYVERISESMIERRRNESSAARCEQEEVTELLQRVEEDGVAQGSELYFIATDLFRTPMTWYMGWLQLL >LPERR06G15000.1 pep chromosome:Lperr_V1.4:6:13560435:13561272:-1 gene:LPERR06G15000 transcript:LPERR06G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCKILLITALLVGIASQSSATRSIQGDHHVAEKKFGGSGYGGGGGGGGGYGGGGGGGGGYGGSGGSGGGGYGGGGYTPTPAPMTGFTGSCDFWKSHPDQIISCIGSLGSIVGSFGDVCSAFFGTKLQTLQDALCNTRKDCYGDLLREGAAAYINSMAAKKAKFAYSTNQVKECILVGLTSKAAAIHQAAMLKKANLACHYT >LPERR06G15010.1 pep chromosome:Lperr_V1.4:6:13582146:13583636:1 gene:LPERR06G15010 transcript:LPERR06G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTELASTSPRPREAAARVVEKRGRGGGAGGSRKAAYRGVRMRAWGKWVSEIREPRKKSRIWLGTSS >LPERR06G15020.1 pep chromosome:Lperr_V1.4:6:13583699:13584481:1 gene:LPERR06G15020 transcript:LPERR06G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQQQQDQVCSPASSGNSASPPESPAARRGGEKRGRNNGGDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPAAAASLPRPASASPRDVQAAAALAAAMAPIDDIDVPTVACCAPSTVTSPVNAVAGKDSDCDEELEEIFEVPPIDDEFDVAAAGLVFGGSSDTAFHDDDLVNAAEMWYDQPAAWRQDAAGGIAVHEGDGLWFERPPEQVWADGIVASGFGTLLWNM >LPERR06G15030.1 pep chromosome:Lperr_V1.4:6:13587986:13588742:-1 gene:LPERR06G15030 transcript:LPERR06G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDQAAVFLLCFFLLAGSWCCASAAVLLLTRTGTQYVVGGKEKWRTPDTLDMYAKWAAAVHFYVGDTIEFVYKNDSVVKTDKFGFYHCNVTGTTANDGSVLFLLDEPGFAYFTSSNVAHCKKGQRLMLTIESAPAPAPSPASPVVRPPAAKVIMTPPPSKSPSPSPAPQEPAATTSAAPATMTATAMARALVLAVSATAVAVMGLVLGEW >LPERR06G15040.1 pep chromosome:Lperr_V1.4:6:13590366:13591133:1 gene:LPERR06G15040 transcript:LPERR06G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATAAAAMASAEEQVTRRVASRIIRALQHQLRLLHRAGAEFYVLGATGNVYTVTLATAPACTCPDPSVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVSTPTASSAAGGEILAGTRAREMFHRLWSARAATKADEEGRRRREESATEGRIEGGAACPVCLEEMSAAVVMSCGTCRNAVHAECFARWKRSRGRRAATCVVCRARWRQPASRDKEGERQYINLSAFMNGGDGGGDVEMGADDGGVCGAG >LPERR06G15050.1 pep chromosome:Lperr_V1.4:6:13595483:13596142:-1 gene:LPERR06G15050 transcript:LPERR06G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASSNVGSVETKRRRTSSDALQRTVSDVSYELHHHVGAKGTTIIDDDDQNNHLHLPAVAEVEDAKCECCGMSEDCTPEYIRGVRARFSGRWVCGLCAEAITEEAAKNHGGDGMDAAVRAHMGVCKRFNGFGRTHPVLYQAEAMREILRKRSKLGPRSRSSINPREVHHGAAKAAAAASAAGAGAGGGGIARSSSCMPFITDEFSNRVNIN >LPERR06G15060.1 pep chromosome:Lperr_V1.4:6:13598700:13602098:-1 gene:LPERR06G15060 transcript:LPERR06G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGRKERAGSYDDGIVEKVGENPDAISKPEEESTGETVFEKDNTDSHDDDTRNTDRSEADEGHVSNSDANTEAHSNEDETATSHSGEKSDAESNPSDAESKGEVHSTGDDMPQSNTAQEETSAETNGIPQEEVVHGDESANEDQSNVKSNGSNEEETEKKEVMDSQNASEALSDDAKSGTDDEHSSGTLPDETGNVPSGQNENSQNNASENHGDSASNTSDASSEAVHIETGSENEGAARSSDTASGDDDKGSVNEWSDSNEQKPDEGNSTTEVSNDQAANMEAEISQEASSAEAANGSSEEAKPAENQNDGNTESSNNGEQVDIKMETSASTNGEQNESQVGDGSSGSNGSNDSGPEQTSKPETQ >LPERR06G15070.1 pep chromosome:Lperr_V1.4:6:13618986:13619650:-1 gene:LPERR06G15070 transcript:LPERR06G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVPGWYNYDAADIARVFEILNSADQILSDGDGGVAAAATPSPALWAQSFGRSPAVRQLRITDSPFPVDAAADGGDGGVVDMEAEEFINKFYEQLRTQQSLAAATPEYYAGGGYSSRPVTAGFAY >LPERR06G15080.1 pep chromosome:Lperr_V1.4:6:13634420:13642978:1 gene:LPERR06G15080 transcript:LPERR06G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAFAASDLETNFPEGRMISAHIILKDKRFPKVRLFQNHHPLHHRLHYLLYHAMRDTIFMMSSNSNKDNAPTQSLLSIVNVILDELVERKNGEIPYHLFCLLRKVILEIERRISTQAEHIRNIIPVVIVAWMQQNNLMKAREEKYKSRIRVLEALASGTSGQTHVKSSATNGKAHVSVDVVREVKMEKDKIENRNQLADKDVASLMKDKEQDVTRLTKDKEDMARLLKDKEDIIRLMKEKEEMVWMMKEKENMVSLKNGRGENKHQPTDKDVTDSIKYRDEIIMLMKEKEDSHDKVMKLNRELDALRSSYQEIHVLLESKKKVALQLLMDKENIDYTVSQLKEELARERSSHQTHIQELETRALQANTKLEQRIKEMELMLEEYKTRVRDFEELLESRSQTWEQKEIRLNQFVGLEIQNIQDLRLSSVSIRHEVLHYQKRWSEELCYLGRSLKLLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQMSTTIDYVGDNGELILANHIKQGKEGKKMFKFNKVLGPSASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRGRRDTIMYEPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTIHIQGVDLKTGATLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHIPYRNSKLTQILQNSLGGHAKTLMFVQINPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEINRLQLQSHTPRHSREIMGLSKLSMGEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTLFPEHERMSITHKKQHMAPSTPQDRVHKVATRVQKTTSPKTPQSPTLWPKLRDPPPPRSPIEELQNQLRNHS >LPERR06G15080.2 pep chromosome:Lperr_V1.4:6:13634420:13643189:1 gene:LPERR06G15080 transcript:LPERR06G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAFAASDLETGPVSSVVACLLALRDHFVSHDVEGFRCSLPEKGMVHSIEFPRRENDLSTHNSEGQKNHHPLHHRLHYLLYHAMRDTIFMMSSNSNKDNAPTQSLLSIVNVILDELVERKNGEIPYHLFCLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSGQTHVKSSATNGKAHVSVDVVREVKMEKDKIENRNQLADKDVASLMKDKEQDVTRLTKDKEDMARLLKDKEDIIRLMKEKEEMVWMMKEKENMVSLKNGRGENKHQPTDKDVTDSIKYRDEIIMLMKEKEDSHDKVMKLNRELDALRSSYQEIHVLLESKKKVALQLLMDKENIDYTVSQLKEELARERSSHQTHIQELETRALQANTKLEQRIKEMELMLEEYKTRVRDFEELLESRSQTWEQKEIRLNQFVGLEIQNIQDLRLSSVSIRHEVLHYQKRWSEELCYLGRSLKLLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQMSTTIDYVGDNGELILANHIKQGKEGKKMFKFNKVLGPSASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRGRRDTIMYEPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTIHIQGVDLKTGATLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHIPYRNSKLTQILQNSLGGHAKTLMFVQINPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEINRLQLQSHTPRVRTAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIMGSRAGSDVDNFSDISDRHSEAGSMQSADDIQHSREIMGLSKLSMGEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTLFPEHERMSITHKKQHMAPSTPQDRVHKVATRVQKTTSPKTPQSPTLWPKLRDPPPPRSPRP >LPERR06G15080.3 pep chromosome:Lperr_V1.4:6:13634420:13643189:1 gene:LPERR06G15080 transcript:LPERR06G15080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAFAASDLETGPVSSVVACLLALRDHFVSHDVEGFRCSLPEKGMVHSIEFPRRENDLSTHNSEGQKNHHPLHHRLHYLLYHAMRDTIFMMSSNSNKDNAPTQSLLSIVNVILDELVERKNGEIPYHLFCLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSGQTHVKSSATNGKAHVSVDVVREVKMEKDKIENRNQLADKDVASLMKDKEQDVTRLTKDKEDMARLLKDKEDIIRLMKEKEEMVWMMKEKENMVSLKNGRGENKHQPTDKDVTDSIKYRDEIIMLMKEKEDSHDKVMKLNRELDALRSSYQEIHVLLESKKKVALQLLMDKENIDYTVSQLKEELARERSSHQTHIQELETRALQANTKLEQRIKEMELMLEEYKTRVRDFEELLESRSQTWEQKEIRLNQHEVLHYQKRWSEELCYLGRSLKLLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQMSTTIDYVGDNGELILANHIKQGKEGKKMFKFNKVLGPSASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRGRRDTIMYEPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTIHIQGVDLKTGATLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHIPYRNSKLTQILQNSLGGHAKTLMFVQINPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEINRLQLQSHTPRVRTAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIMGSRAGSDVDNFSDISDRHSEAGSMQSADDIQHSREIMGLSKLSMGEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTLFPEHERMSITHKKQHMAPSTPQDRVHKVATRVQKTTSPKTPQSPTLWPKLRDPPPPRSPRP >LPERR06G15080.4 pep chromosome:Lperr_V1.4:6:13634420:13642676:1 gene:LPERR06G15080 transcript:LPERR06G15080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAFAASDLETGPVSSVVACLLALRDHFVSHDVEGFRCSLPEKGMVHSIEFPRRENDLSTHNSEGQKNHHPLHHRLHYLLYHAMRDTIFMMSSNSNKDNAPTQSLLSIVNVILDELVERKNGEIPYHLFCLLRKVILEIERRISTQAEHIRNIIPVVIVAWMQQNNLMKAREEKYKSRIRVLEALASGTSGQTHVKSSATNGKAHVSVDVVREVKMEKDKIENRNQLADKDVASLMKDKEQDVTRLTKDKEDMARLLKDKEDIIRLMKEKEEMVWMMKEKENMVSLKNGRGENKHQPTDKDVTDSIKYRDEIIMLMKEKEDSHDKVMKLNRELDALRSSYQEIHVLLESKKKVALQLLMDKENIDYTVSQLKEELARERSSHQTHIQELETRALQANTKLEQRIKEMELMLEEYKTRVRDFEELLESRSQTWEQKEIRLNQHEVLHYQKRWSEELCYLGRSLKLLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQMSTTIDYVGDNGELILANHIKQGKEGKKMFKFNKVLGPSASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRGRRDTIMYEPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTIHIQGVDLKTGATLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHIPYRNSKLTQILQNSLGGHAKTLMFVQINPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEINRLQLQSHTPRVRTAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIMGSRAGSDVDNFSDISDRHSEAGSMQSADDIQHSREIMGLSKLSMGEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTLFPEHERMSITHKKQHMAPSTPQDRVHKVATRVQKTTSPKTPQSPTLWPKLRDPPPPRSPNTY >LPERR06G15090.1 pep chromosome:Lperr_V1.4:6:13643508:13656331:-1 gene:LPERR06G15090 transcript:LPERR06G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWESGRRMSRSIGRGMGMESWGVDEAFMPPLQSGSRGGRRSGRGGTADDDEEALRWAAIERLPTYSRMRTAILADADAEQQPSAGDNGGSKNYKEVDVRRLGVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLTVQAKCHVGNRALPTLLNTARNIAEAALGLVGVRPGRQATITILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRRSGEVTYNGFGLDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEARIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVPTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTEMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWISPLMYGYNALAVNEFYAPRWMNKFVLDNNGIPKRLGIAMLEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLVYLNLLGRIHDLLVLSLLALGKPQAVISEETAKEVESNGDARHAVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGISRMMSTGSSEAGPKRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVMDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKEKYNPATWMLEVSSIGAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTKYSQSTIGQFKACLWKQWLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKMGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFIQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEEIISVPGQSNQTIRYYVTHHFGYHRKFMAVVAPVLVLFPVFFAFMYAICIKKLNFQNR >LPERR06G15100.1 pep chromosome:Lperr_V1.4:6:13677061:13681889:1 gene:LPERR06G15100 transcript:LPERR06G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKAATAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKERLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >LPERR06G15110.1 pep chromosome:Lperr_V1.4:6:13680892:13684107:-1 gene:LPERR06G15110 transcript:LPERR06G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAESPDYAAGGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYAPPRALAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERYRLQTINRSAAVPNRDSERISCSSNWDNSVHLPVWVLDTERNAIEGRIEEWTAQFESCGADIRSLSLSLQKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVTTQRMSSEFSWHYIPGAGDDEESWARGLTPPLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEHSPQITAKPLSSTHDGPYSTGDHTSIMQPMRLDPPTVIATDTQNSSDSHTLFWIGTSNLAVASTLQVGDALAEVDCILNCDSTSKFCSISSENSCLELPIVGSKDDRFSLMRNLPKAVSFAKRSLIAGKKLLICCQNGEDISVCVALAIITRLYDCDGCFDYGNSFVRRDVTKLEMRKRLVFVCKYAVNARPSRGNLRQVYGFLCNEKEQFSCSDMV >LPERR06G15120.1 pep chromosome:Lperr_V1.4:6:13698579:13704814:1 gene:LPERR06G15120 transcript:LPERR06G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEMAARNGGGGAYYDAPAPYYGGGAGGGEDVDDDGKPRRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWLVGPVTLMIFAIITYYTSGLLADCYRAGDPATGKRNYTYMDAVAAYLGGWQVWTCGVFQYVNLVGTAIGYTITASISAAAVHKANCFHKNGHDADCGVYDTVYMVVFGIVQIFFSQLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGRTGKTTLTGVEVGVDVTSAQKIWLALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAAKRWPNSGFITREHPVLTSGKSGRTRFSVNMFRMTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIHKYTSRWVALQTLSFLCFLVSLAAAVASIEGVSESLKHYVPFKTKS >LPERR06G15130.1 pep chromosome:Lperr_V1.4:6:13712412:13716896:1 gene:LPERR06G15130 transcript:LPERR06G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGINCGGAAMDVHLPRRHGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITYYTCGLLADCYRVGDPATGKRNYTYTLAVKSFLGGWHVWFCAFCQYVNMFGTGIGYTITASISAAQNTSAYIIGFGVVQAVFCQLPNFHKLWWLSIIAAVMSFSYAAIAVGLSLAQTIAGPLGSTTATGTVVGVDVDAAQKVWLTFQALGNVAFAYSYAIILIEIQDTLRQPQPPAAENETMRGATAMGISTTTAFYMLCGCLGYSAFGNSAPGNILTGFGFYEPYWLVDLANACIVVHLVGGFQVFVQPLFAAVEATVARRWPGIFLGGGRAFQVVWRTAFVGVITLLAMLMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVARFGAKWVALQSLNFVCFLVTVAACAASVQGVLDSLKTYVPFKTRL >LPERR06G15140.1 pep chromosome:Lperr_V1.4:6:13718249:13722007:-1 gene:LPERR06G15140 transcript:LPERR06G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSKREVAPPPHTISSSSTGAPMPFSAPYPYGGHMFPIPPPPGWLASALSQAMLASSTPNSSAAACPPHTATTGNVHPDVEEWYVSDTFIFRRDFRCFPTFNKLKTLLLNEWCMAVNSSGLIYFLQHSPVLEKLTLQLQKYTLFNTGGMNKTSKEKFLASKHLKIVEIKYCEDEILQKSLQVLSACGIPSEKISIQWMSSWTSRKLSIMQYACLH >LPERR06G15150.1 pep chromosome:Lperr_V1.4:6:13722578:13722967:-1 gene:LPERR06G15150 transcript:LPERR06G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKKLKASATTDDDGEDRISALPDALLQHALSFLPSHDAVCTCVLARHWRHQWESVPALRISVFPGCRGAQHLNDFVNHLLILRNRSPLDQYNIECYDYGDSFKVFRNIGLWIWYVVVLCKVRALRV >LPERR06G15160.1 pep chromosome:Lperr_V1.4:6:13733527:13736869:-1 gene:LPERR06G15160 transcript:LPERR06G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWQKKGKAVAITHDDGEDRISALPDALLQHALSFLPSLDAVRTCVLARRWRHQWKSVPALRISVFPGCRGSQHLNDFITNLLIFRNRSHLDECEIECDDDGDSFEAFRNIKQWIRYAVLCQVRALSVHISGKYEFIRLPDAPLVAQRLKRIQLSYVELWGSFLDFSSCRELELKMAGCSIQVKHILSESLKYLEIYGCDFWQNHTRISCPNLISLEIADFHLNTPVLMSMPSLVSAFIRLGEHCDDECYRDYYGECDSGGCNHDTITDNDSVLLNGLSDANHLELISVARVFIFRRDFKYYPTFNKLKTLLLNEWCMSADSSALVYFLQHSPVLEKLTLQLWKNPDTMFDSGIMYTNPKEKLLASKHLKLVEIKYCFDEMLEKVLLILSTCGITSEKISIQRISLWASEGFSFEQRAR >LPERR06G15170.1 pep chromosome:Lperr_V1.4:6:13737837:13738642:-1 gene:LPERR06G15170 transcript:LPERR06G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLATAPLLLVAAAVLAATAAAYAPAPTASKGPGGFVVTGRVYCDPCRAGFETNVSKSIPGATVAVECRHYGDGAEKLKAEATTDEYGWYKVEIDQDHQEEICEVVLAKSGDPACSETEKFRDRSRVPLTSNNGLKQNGIRYANPIAFFRKEPLADCGYLLQQYDLKDAPETP >LPERR06G15180.1 pep chromosome:Lperr_V1.4:6:13761433:13771055:1 gene:LPERR06G15180 transcript:LPERR06G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTYPLLLLTALLLLAGASSAAAGDRDTLVAIRKAWGNPRHLAAWDPAAAAAGDHCGWVGVTCSDSGDGKSVVTELALANMNLTGTVPAAVCELTNLARLDLSSNQLAGAFPSAALYRCAQLSFLDLSGNGLDGNLPRDIANLSPATMEHLNLSSNHFSGEVPPSVASLRGLKSLVLDTNRFTGAYPAAEIGANLSSLERLTLAINAFDPAPVPPELGRLTKLNYLWMGEMNITGEIPAALGSLTELTLFDMSSNKLVGDIPAWVWNHQKLEFLYLFNNELTGELPRNVSAVNLVEIDLSSNQLRGEISEDFGNMKNLSLLFLYFNKLTGMIPASIGLLPKLMDIRLFDNNLSGELPPELGAHSRLGNIEISNNNFSGKLPETLCANKVLFDIVAFNNSFSGKLPANLGDCVRMNNLMLYNNRFSGDFPEKIWSFQKLTTVMIQNNSFTGALPAEISPNITRIDMGDNMFSGSIPTSAIKLLVFKAGNNQLTGELPADMSKLTNLTELSVPGNRISGSIPASIKLLQKLNSLNLSGNRISGSIPSATFGTFHTLNYLDLSGNELTGDIPSDLGGLNFNSLNMSSNQLIGEVPLPLQSAAYDRSFLGNHLCARPGSGTNLPPCAGGNGDGSGHDELSKGLIILFAVLASIVLVGSAGIAWLLLRRRKDNQHVTDWKMTPFTPLDFAESDVLNNIREENVIGSGGSGKVYRIHLASRDVSGGRIVAVKKIWNARKLDAKLDKEFEAEVNVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHLDRDGAPAPLDWPTRLAIAVDAARGLCYMHHDCSPPIVHRDVKSSNILLDPEFQAKIADFGLARMLLKSGEPESVSAIGGTFGYMAPEYVYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPYNDVVDEDIRDHANLQDIMSVFMLGVFCTGENSLTRPSMKEVLHHLIRCDRMSSQGLEVCQLDYVDGAAPLLETKKGSRRMSSESSRWDDDNDDGDSGNFVVHVVYSIELSLYCQAIKPSGEVLGKMSSAHCSRTNQVTVAEAVCCRRCQWRKVQSVSSQAVGQSPYACSMARECHLRPCCRRSGSSMRPNMRGNKWMILQDPSYRRVLKVLSSLLLFTYLILQSNCETISRDAEKAVLLSLERSWGRPVTVNWSSFIYKDHCSWPGINCTDGFVTGISFPRHGLNSLPTAICSLMRLSHIDLCCNSISGSFPTVLYSCSNLRYLDLSYNSLVDSLPSNIDQLSPSLAYLDLASNNLSGNSPSSIGQFKPALPISLNIIGEIPDAMSKVNNIEYFDLSVNCLIESLHFLRPVTLQLHANLLSGQINGPIEAANLVEIDISSNNLSAQIPESIGKLEQLTRLFLSSNQFNGSIPHSISLFPKLTNLQLSNNFLSGIQPQELEKHSLLYSLDSGGLPKGLCSDGTIAYISMSDNMLSGQLPASLLRCSSLNYVALSNNNFSGTIPNGLTEVQIQES >LPERR06G15190.1 pep chromosome:Lperr_V1.4:6:13771283:13772614:1 gene:LPERR06G15190 transcript:LPERR06G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEQFQQSFLSNPELCSSNHFADYPVHNERYLKKRLLIFFLFLVHHDVKSSNIILDFEFKAKIANFSLAHTISKAGEPESISAMVRTFGYMDPEFGSSRKINEKVDGYSFGVVLLELTTGRYANGADGHENSAQWAWGNKIIIKTFNEGGIAGPITVNNNDAVQKLSEGCQIRKIKTKMKKDALECFKSSQFTFKSGVGTDGSSE >LPERR06G15200.1 pep chromosome:Lperr_V1.4:6:13799464:13801197:-1 gene:LPERR06G15200 transcript:LPERR06G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTSLPSVPEVAPTRDGDKGDAGGRHVYVSLPQCADGGHGDVAGHCRRVVHQGKCGGDTDDDDGVGVGGMLMLLPAAGETVREAAALWRLSCPIALTALLLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGANNPRLLGLTLFRSILFLLCCSIPLSLLWLNMSKILLFLGQDAEITTLAQEFLLFSLPDLLSFSLIHPIRVYLRSQGITAPLAAAAAAAVAFHLPANYILVVRLRLGAPGVAAAASASNFVLLGVLAAYVFRRGDVALREAAIPTSEWLAGWRTMASLAAPSCVSVCLEWWWYEVMILLCGLLPEPKPAVASMGVLMQTTALVYVFPSSLGLGVSTRVGNELGANRPRRARAAARVAVAGAGAMGLAAMAFAGGVRHAWGRMFTADADILRLTAAALPVVGLCELGNCPQTVGCGVVRGTARPARAAHVNLGAFYLVGMPVAVVLAFGLGVGFVGLWVGLLAAQVCCAGMMLCVVGSTDWEAQARRAQALTSSSDGVGNDDIEVGSPAAKGWPEKGKQGEKRGYVPLISNDEAEHEKGQVL >LPERR06G15210.1 pep chromosome:Lperr_V1.4:6:13823223:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEDQTMGLKWFLKLMQV >LPERR06G15210.2 pep chromosome:Lperr_V1.4:6:13823634:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEELEPNNGIEVVSKAHAGVNSEASQKASLRHDPELNPWLV >LPERR06G15210.3 pep chromosome:Lperr_V1.4:6:13823634:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEELEPNNGIEVVSKAHAGLV >LPERR06G15210.4 pep chromosome:Lperr_V1.4:6:13823634:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEELEVLLLPNNGIEVVSKAHAGVNSEASQKASLRHDPELNPWLV >LPERR06G15210.5 pep chromosome:Lperr_V1.4:6:13823639:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEELEG >LPERR06G15210.6 pep chromosome:Lperr_V1.4:6:13823736:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEECELGGIPEGIIEA >LPERR06G15210.7 pep chromosome:Lperr_V1.4:6:13823637:13829548:-1 gene:LPERR06G15210 transcript:LPERR06G15210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNAAAVPPKQYGITKPLSLAGPADVDLQKTAELEKFLVEAGLYESQEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILGQTDEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWCWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMVEQFQFGNKICQEIDMNKANWSALFESFQFFEAYKNYLQVDIIAEDEEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHQQANKNDVEGGTANRSPDGQPKRKHDTAGAYDSESGRSVKRASISPSISPVHQRTSSPLSGNTADASGASGGSQVSLANGNLEQANCLNSPLASEKSLDSVASGSKCVPGEVVCSGDAAKECDNCIPNIKNCTNTTVAVCTSLKRVAEKVVSELVGSESLGGNNSAELLERAEKDMESVLAENVHFSGNGVVQSGLPEELEVLLLPNNGIEVVSKAHAGVNSEASQKASLRHDPELNPCAFSFSIALFSLYPAVWYLFVTSSQG >LPERR06G15220.1 pep chromosome:Lperr_V1.4:6:13846599:13849224:1 gene:LPERR06G15220 transcript:LPERR06G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRRIEGSRDAADKVSEQLSAASSSSSSLITDDGHGGGEVTSTSPRYGGDDGGGGGGGGDCSFSSSESESDEEEMAELAAGGGPLYELAAPLLAHLPIRTGLSNYYQGKSQSFTSLCNAKCVEDLAKKTTPYITRLKLRRGHGVAADRLSNSRRAPGPCSKTMARKTPRCSSDRLLSSARSTSLFTSAAADHLHIRARKSCHDAT >LPERR06G15230.1 pep chromosome:Lperr_V1.4:6:13847875:13850962:-1 gene:LPERR06G15230 transcript:LPERR06G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQFLLLLVLPLLAAADAEPVLRTVTDAPGGVASDSSDELYCDGWRLSVETGNAGPWRAIPSRCLGFVRAYMEGERYASDSAVAAADSLAFAEQALALASREVGGARPAWVFDIDETLLSNAPYYAVNGWGSQEFNETSFDEWVDVAEAPALPSSLKLYKELQGLGIHIILLTGRSEFQRNVTQVNLSFAGYHSWEKLILRQSPDIGKTAVQYKSERRAALEAEGFKILGNSGDQWSDLLGLPMATRSFKLPNPMWSAAADVKRLVLLALDSKRSEEHLGVFLAIVLLQGPGARREFDNRSAATPWPLRSFNLVM >LPERR06G15240.1 pep chromosome:Lperr_V1.4:6:13855972:13858200:1 gene:LPERR06G15240 transcript:LPERR06G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAAPLLDAGGDGGGVDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLTAVYGVVEASAVAALGPMVGAVVDRLAYLHTLRLWLLVQSLSFAVAGVSVTVLLLFDGGVIAFPAFVALVVVTNVAGALAALSTLAGTILIEREWVVVIAGGKPETELTRINSVIRRIDLSCKLLAPVLSGFIISFVSMEASAASLAAWNLAAVWVQYLLFVSVYSGFPSLSSPTRVPSIAGAGADESPSSISSIIPCWESWVVYGRQEVFLPGLALSFLYFTVLSFGSLMTAALDWKGIPAYVISLARGVSAVVGIAATWAYPAARARLSTIRTGLWSVWAQWCCLLACVASVWVAAGGERVSLSAWMLMGGVAASRLGLWMFDLAVMQLMQDGVAEQERCVVGGVQSSLQSMFDLLTYVMGIIVSDPRDFGELIVLSFFLVTCAAVMYTLHVYRVRKHLFHLDRILPKINWWVSY >LPERR06G15250.1 pep chromosome:Lperr_V1.4:6:13870705:13871561:-1 gene:LPERR06G15250 transcript:LPERR06G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKESKRGRQKKKKKRKQSFSRYTFAKKYSMYKETLKIARSRTCKRIFQCVVEEEYERGMHA >LPERR06G15260.1 pep chromosome:Lperr_V1.4:6:13871926:13877484:-1 gene:LPERR06G15260 transcript:LPERR06G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTEASGGYHLIGSRIEEHRKYMSESGCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGVAEEERDGELVVSKIFYQTQPRQCAAAPGAGESSAAAAAPAAAGGGGVDHRIGRRTTATTERDRAVAAAAAAAAAAAAPMGSSSNVSAAAVGYHGGAGGIDEFSFAQFRSSFEEAGMGSSSSDHQSGRAADDEGVLHPGHHQHHHQHQHHDGGGGHHYVGQQQCVAATFHVVSSPANPISTLISPPAAHQGTVMLRQPDHQPPSYYHHQEDERPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHG >LPERR06G15270.1 pep chromosome:Lperr_V1.4:6:13889077:13890121:1 gene:LPERR06G15270 transcript:LPERR06G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKREGVYDVDFINPYVVHPTNVKDKPEETERNILRFFRKQAHKTRIFFPYVFTVLVMFISKEPGEWKVPLRVIPNKSIGGIGSLKKINTRLSKKH >LPERR06G15280.1 pep chromosome:Lperr_V1.4:6:13893103:13897771:-1 gene:LPERR06G15280 transcript:LPERR06G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGGAAVAAEGAYPVVLNVYDLTPINNYLHWCGLGIFHSAVEVHGSEYSYGAHDHPTSGVFEVEPKCCPGFMYRCSIFIGRTNLNPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSMRLTRKPIPGWVNRLAKLGAFCNCLLPESMRLESTGTKHLADGRFSDDSHTSSNEHLEDDDLEDKHLLSQSSVSEDAIVKEVHR >LPERR06G15290.1 pep chromosome:Lperr_V1.4:6:13902067:13904259:1 gene:LPERR06G15290 transcript:LPERR06G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALQAAPPSLQVATITAARSETPPSEHAARRDDPAQEVVPRSLLCWSMVVAMELVCPLYIELSCEVNELKAKFAAQQEESDRKLEAERRERLQLELKIQEEQHKERELRREKMAEMLKDQLTTWAQ >LPERR06G15300.1 pep chromosome:Lperr_V1.4:6:13917729:13920941:1 gene:LPERR06G15300 transcript:LPERR06G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAATINIFFFLLLLLLMHLHGQHCQAAAAADAVFHGGERGRGIMMGVRKAMFVFGSSLVDNGNNNHINGSGVRADYPPYGVDFPLGATGRFSNGRNAVDALGELLRLPALIPPFSDPATRGVAALHGVDFASGGSGILDLTGHNTGEVLSLRQQITNFAAVTLPDLRAQLQRSTARTTTGHKMKGQDFFDWYYLPKSLFVIGTGGNDYLLNYFNPRSSEPTRPPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPVVRAFLNVTSEDCIEPMNNAALLFNSGLRSLIRHANGGKRSRMSGARFVYVNSYKVISDMIHHPAKYGIRDTSRACCEVSSGGVLCQKGGPICRDRTKYAFFDGLHPTEVVNARLARKAYGSNSPDEVYPMNVKKLSML >LPERR06G15310.1 pep chromosome:Lperr_V1.4:6:13934977:13939569:1 gene:LPERR06G15310 transcript:LPERR06G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPLDTVVEKKVAGGGDTTAELVLDGGFVVPDSNAFGNTFRDYDAESERKETVEEFYRVNHINQTYDFVSRMREAYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDDDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYWNPMYNTKFGVYSEGCGLENVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMALIEKYFPAKLRW >LPERR06G15320.1 pep chromosome:Lperr_V1.4:6:13944905:13953717:1 gene:LPERR06G15320 transcript:LPERR06G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRRAGSLLRPLSITLLVLILVAFCSAVAAAGVEEEAEARAGEAAAAVARGEGGGAEEAGAAAEAGGEVVSDAASGAAGGNATTGKEGSLAEMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >LPERR06G15330.1 pep chromosome:Lperr_V1.4:6:13958471:13963677:1 gene:LPERR06G15330 transcript:LPERR06G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSDENGVAGGDVSEPLLNKEAAGARPYSELYDAGVLSRLTFSWLNPLLRLGRSKSLDLADIPLIASEDGSAPLDAGTIFTVLATLRVMSEPVRMLPEIMTKMIQYRVSLDRIENLLGEEEIRDDDVMRKTTILDDSSDGTRIIHVQDGSFSWSGSELTLKNVHLSIRQGEKVAVCGPVGSGKSSLLCALLGEIPRTSGSVELYGTVAYVSQNSWIQSGTVRDNILFGKPFNKEQYDKAIKACALDKEIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYSEADVYLLDDPFSAVDAHTAAVLFYDCVMTALSKKTVVLVTHQVEFLTGTDRIVVMEDGHVRQQGVYAELLESGTTFEKLVSAHQSSITALDNSSQQIQAEEQLVSDDDMSLSTSQVARQASDIEVSARGPSAAQLTEEEEKEIGDLGWKPYKDYINVSKGILPLTVMWINQVLFTSFQMMVTFWLAMAVQMNVSNALLVGVYSGLSILSCCFAYFRTLSAAKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGISELVTTILVMGAVTWQVLIVAIPVTIVVAYVQRHYVASARDLARINGTTKAPVMNYAAESILGVVTIRAFAATDRFIQNNLQLIDTDATLFFHTVAAQEWVLLRVEALQCLTILTGALLLVLSPPGAISPGFAGLSLSFALSLTAVQVFLTRFYSYMENYIISVERIKQYMHLPSEPPAIIPENRPPTSWPQEGQIELQDLKKENAVTYTYKQVRYRPDMPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPTGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEMWEALERCQLHTTIRSTPALLDTVVSDDGNNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQRVIRQQFSSCTVVTIAHRVPTVTDSDRVMVLSYGKLVEYDTPAKLLEDKQSAFAKLVAEYWANSKRNTT >LPERR06G15330.2 pep chromosome:Lperr_V1.4:6:13958471:13963677:1 gene:LPERR06G15330 transcript:LPERR06G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSDENGVAGGDVSEPLLNKEAAGARPYSELYDAGVLSRLTFSWLNPLLRLGRSKSLDLADIPLIASEDGSAPLDAGTIFTVLATLRVMSEPVRMLPEIMTKMIQYRVSLDRIENLLGEEEIRDDDVMRKTTILDDSSDGTRIIHVQDGSFSWSGSELTLKNVHLSIRQGEKVAVCGPVGSGKSSLLCALLGEIPRTSGSVELYGTVAYVSQNSWIQSGTVRDNILFGKPFNKEQYDKAIKACALDKEIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYSEADVYLLDDPFSAVDAHTAAVLFYDCVMTALSKKTVVLVTHQVEFLTGTDRIVVMEDGHVRQQGVYAELLESGTTFEKLVSAHQSSITALDNSSQQIQAEEQLVSDDDMSLSTSQVARQASDIEVSARGPSAAQLTEEEEKEIGDLGWKPYKDYINVSKGILPLTVMWINQVLFTSFQMMVTFWLAMAVQMNVSNALLVGVYSGLSILSCCFAYFRTLSAAKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGISELVTTILVMGAVTWQVLIVAIPVTIVVAYVQRHYVASARDLARINGTTKAPVMNYAAESILGVVTIRAFAATDRFIQNNLQLIDTDATLFFHTVAAQEWVLLRVEALQCLTILTGALLLVLSPPGAISPGFAGLSLSFALSLTAVQVFLTRFYSYMENYIISVERIKQYMHLPSEPPAIIPENRPPTSWPQEGQIELQDLKVRYRPDMPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPTGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEMWEALERCQLHTTIRSTPALLDTVVSDDGNNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQRVIRQQFSSCTVVTIAHRVPTVTDSDRVMVLSYGKLVEYDTPAKLLEDKQSAFAKLVAEYWANSKRNTT >LPERR06G15340.1 pep chromosome:Lperr_V1.4:6:13967208:13967813:1 gene:LPERR06G15340 transcript:LPERR06G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALREGLTEPERAALDPSVLAHHTFPPSSTSRTCTSLVTQRVSAPVRAVWPIVRSFGNPQRYKHFVRTCALASGDGASVGSVREVTVVSGLPASTSTERLELLDDDRHLISFRVLAGQHRLRNYRSVTSVTELAGEGSGRARPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVAEESAAATAGAALPASS >LPERR06G15350.1 pep chromosome:Lperr_V1.4:6:13978178:13980486:-1 gene:LPERR06G15350 transcript:LPERR06G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPSYHQMALDAMSCCFVAGAGGAEVAPFLCPNDVAFGFDFGDELACAVPLRAAMSEEEVNVAAAGGGAESCNTVHSVFPAVADAGSSVVTIAHQPSRIGGETPCAGGGWIYGGRVAPFHDPYYPFAGDGVGLSNTFAAAAAPAAASELSLRLGGGAKCSSPSSMANASPDVSCSGLTHASSGCGGFGYHQAAALFHGAHGEDAGAGELRQVYHQAQSPPHFSQAVSRSGVLAHIAQELLNGFVSCLLQDVAAASANAIDGVGGEAMTLPEKDHPYGDGGARWAAEAHRLRKLLHQMDEKCNQCLDEMQSTASKFNSIIRGGGGGMSAPFAGRAVAATYGRVRRRVMAKLVTAAAAQQRLSPASAAAMEEKERNWESSFIQKHWAMQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEDMYEELKKTSGGSDGVAEMEHLSSKDVIT >LPERR06G15360.1 pep chromosome:Lperr_V1.4:6:14006530:14008478:1 gene:LPERR06G15360 transcript:LPERR06G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGAGTGRSTASSPIPGGSGGGGGCAAAAAWSGAAAREQADSVERGDGTGTGGTCGSSSVERGGGSTTEIAEHVNIKKSNLLHFRCRGIIIGQVVDRPLLGLHCCFIF >LPERR06G15370.1 pep chromosome:Lperr_V1.4:6:14008683:14011204:-1 gene:LPERR06G15370 transcript:LPERR06G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNIEVALTDIAVKSPEAICNFIWRLTPIVVVRAIDWDELSSNKLTKEITTRNFTGSFPKAKGYTNLSRKLMERRGKQCNKDQIKFITWSWLESKATGLGRGPSTGSIITIDGWWDDVERERPGSRAFRSSPLVYVDLHFAVFRGRLVVGNHSAIAGDGGDGEDTNDGDGAAAEEGG >LPERR06G15380.1 pep chromosome:Lperr_V1.4:6:14031923:14041637:-1 gene:LPERR06G15380 transcript:LPERR06G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQTYGGQQSYGQIPGSGSSGFSSSAATGGADGSRFGARVGQGATGQYGGPYASVYGTQQAGGLGGKGPASSNLPTHQAPLPEPSKFSSGSVGSSMARPNDDYMAVRGYGQKLDQYGTDYTLERRMYGDTANLGRRDGLTDLDRRYPEHISGGHQIHDRMEQGSSMRHQQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQEIGAYGRVEADHRNLSILGTAPYGGQQSSSLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYAPGKGLLHSSDSDYRDSILSRVHPGISMVDDRAGYRRELDLRDEERRRDLLLEREKERERERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSTSVRAEKPLRRLSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLAIAPDFSKIVLNWTKETLNLSLHTPVSLEHGIHEVDDSTDGAVITSGKASSTKISEVIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRERSLFAIGGPWNAAIDGGDPSVDCSCLIQTAIRHVKELVQVDLSNCTQWNRFLEVHYNRVGKDGLFSHKEITVLFVPNLSECLPSMDLWKNNWIAYRKSKTEKEQRIVKKEKSPADASKQKQGELSQGKSSDVDQKEQDAGHSATENVKVDSGMDGKDGKENPAEQEKQNLDKVEEKNVNKVEEQAEKKSGGAEGNMSGDASVEHAIENKKPMKKKVVKKVVKVVRKRPAGETSAGKSSQEDKSSIAETASMAGEEQVQQKSEDVVKDAEAKKPVKKKIITRRVIKRKVSVSARDSTAPAETSKEDMEVQPEKNAEVLTDAVVSQAMLEEGSKAPAEDTSKQNKEQEPEEKGQSITVDQKPNGDKDNQQEVLKQKDLKQDGKTGKKDKTKDDKEKKSRDQKTDSKQKSLTDTKDKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLHRRYVTKRNQRKRQREEDLKKEDKKSSEKRLKTNDETVSESTPHNQRESVETIKVGKKITDHSSAGHDEESNKDETKMSAGHSAAAQDELVKEGKEKMNAEHSAAPHKELIKEGNEKSTEQSEAVHEPGADEIMEDEEPDYEEDPEEVELYEDDEEMDEATAEELVEQNEDNFNDKAKQEVTTEVDGDKNTEERESENNATVHEKSASGGDKQSVAEKSASGEGKQSVSEKGEKAGKEVKPITSQKGDSAKDEVVDKELMQVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >LPERR06G15390.1 pep chromosome:Lperr_V1.4:6:14046921:14050847:-1 gene:LPERR06G15390 transcript:LPERR06G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPISSSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKLMSNLLYYYGMCNMVKDSLLQRYFSKGVQGCSIVPESDIVQASRSFLDQRQSMNVWRFIHTINERHDLTESLKQLQCRTLIFVGQNSQFHAEAVHMTSKLDEGYSALIEVQGCGSVVTEEQPRAMLMPLECFLMGYGLCRPNQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >LPERR06G15390.2 pep chromosome:Lperr_V1.4:6:14046921:14050847:-1 gene:LPERR06G15390 transcript:LPERR06G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPISSSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFAVCISSNTKYRERVLGLILVSPLCRTPSWTEWFHNKLMSNLLYYYGMCNMVKDSLLQRYFSKGVQGCSIVPESDIVQASRSFLDQRQSMNVWRFIHTINERHDLTESLKQLQCRTLIFVGQNSQFHAEAVHMTSKLDEGYSALIEVQGCGSVVTEEQPRAMLMPLECFLMGYGLCRPNQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >LPERR06G15390.3 pep chromosome:Lperr_V1.4:6:14046921:14050847:-1 gene:LPERR06G15390 transcript:LPERR06G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPISSSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKLMSNLLYYYGMCNMVKDSLLQRYFSKFLDQRQSMNVWRFIHTINERHDLTESLKQLQCRTLIFVGQNSQFHAEAVHMTSKLDEGYSALIEVQGCGSVVTEEQPRAMLMPLECFLMGYGLCRPNQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >LPERR06G15400.1 pep chromosome:Lperr_V1.4:6:14059980:14067084:1 gene:LPERR06G15400 transcript:LPERR06G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRSAIAWMNPEDGDDRPEEAAAAAGAGDAAGASSSSSVAAQGQHQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDNASRRELERQDAPITKHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDNSRSVGAGATYASTSSSRALLPNGGCSDKSSCLNSDILFPPGGYPSLRLPVVSSHDVNLVARCRRVYAHAHDYHINSISMNSDGVTFISVDDLRVLLWNLEINSQSFNIIDVKPANMEDLTEVITCAEFHPTQCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPARTLTSLARGVRRGGENQGVDANGNSLDFSTKLLHLAWHPMENSIACAAANSLYMYYA >LPERR06G15400.2 pep chromosome:Lperr_V1.4:6:14059616:14067084:1 gene:LPERR06G15400 transcript:LPERR06G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEDGDDRPEEAAAAAGAGDAAGASSSSSVAAQGQHQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDNASRRELERQDAPITKHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDNSRSVGAGATYASTSSSRALLPNGGCSDKSSCLNSDILFPPGGYPSLRLPVVSSHDVNLVARCRRVYAHAHDYHINSISMNSDGVTFISVDDLRVLLWNLEINSQSFNIIDVKPANMEDLTEVITCAEFHPTQCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPARTLTSLARGVRRGGENQGVDANGNSLDFSTKLLHLAWHPMENSIACAAANSLYMYYA >LPERR06G15410.1 pep chromosome:Lperr_V1.4:6:14087624:14094598:1 gene:LPERR06G15410 transcript:LPERR06G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLAPDRGADPDSALRLRARSAAAAADAGDDAPAPQQQQQRRQEEVLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSGRSLADWPLLILTLPIFPLASLMAEKLAERKLISEHVAVLLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIMWLKLVSFAHTNYDIRMLSKSIDKGVTYGISIDPENIKCPTFQRLAYFMLAPTLCYQYINPIVKNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMLNILAELLCFGDREFYKDWWNAKTVEEPVHKWIIRHIYFPCIRNGLSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIVFQIPLVFLTKYLQDKFNNTMVGNMIFWFFFSILGQPIFVLLYYHDVMNRQQAQTNR >LPERR06G15410.2 pep chromosome:Lperr_V1.4:6:14087624:14094719:1 gene:LPERR06G15410 transcript:LPERR06G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLAPDRGADPDSALRLRARSAAAAADAGDDAPAPQQQQQRRQEEVLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSGRSLADWPLLILTLPIFPLASLMAEKLAERKLISEHVAVLLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIMWLKLVSFAHTNYDIRMLSKSIDKGVTYGISIDPENIKCPTFQRLAYFMLAPTLCYQYINPIVKNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMLNILAELLCFGDREFYKDWWNAKTVEEPVHKWIIRHIYFPCIRNGLSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIVFQIPLVFLTKYLQDKFNNTMVGNMIFWFFFSILGQPIFVLLYYHDVMNRQQAQTNR >LPERR06G15420.1 pep chromosome:Lperr_V1.4:6:14110216:14110611:-1 gene:LPERR06G15420 transcript:LPERR06G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSAYFSERRSKSTTTTAAGTGDLRCHSAYYVTSTYSAPPLPLPPLTMDDAGKAKKKKKAVAATWHSSSSASRGATGMWAGLGNPEIQRQRRVAGYRVYDVEGKVKVSLKSSMRWIKGKCTRVVDGWW >LPERR06G15430.1 pep chromosome:Lperr_V1.4:6:14122423:14125871:1 gene:LPERR06G15430 transcript:LPERR06G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSASMGVMNPLMAKLTTLVGYEYKKLKGLRKQVSFLRDELTTMNAFLEKMELMDDEELDSLAKDWRSRVREMAYDMEDCIDYFMHHLDHSDAGLIHKLARRLKTLRMRHRIANQIDELKARVIEANERRVRYKLDDCNKYGAACTEPIDPRITALYQNAAGSLVGIDGPSQELVQLLAVDRDTDQRLLKVVSVVGFGGLGKTTLAKHVYDKVGHQFDCMAFVSVSQTPDIIRLLISIQSKLHIVDPSQTSWDIISCAFPDNGKGSRVIVTTRLKDVAKLACGKGGHIYKIQPLNNEDSKKLFFDRVFQPEDSRVPQYEEISAGILKKCGGLPLAIVT >LPERR06G15440.1 pep chromosome:Lperr_V1.4:6:14125901:14127577:1 gene:LPERR06G15440 transcript:LPERR06G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKSIRDSLGAPFDKNRSLEGMRKILNLSYKNLPLCLRTCLLYIGKYPEDYEIRRDELVTEWIAEGIIGNSEGQDWEATGNSYFNELINRGLIQPESIGYGGEVLSCKVHDMMLDLILIKCAEDNFISVAHTCKDYMYLAMRHEQSRNKVRRLSLQCKATKSVCTIEGSVISASLASARSVSVFGECPRGLPFIMLSKCIRVVRIELEGRGDQVDLTVISQMLQLRYLRVETPGCKIELPRKICGLVHLVTLLIFSHKAISQLPSDIISLPRLSLLSLVVPWATRIPSGLNKLKRSLRSLSILFNPPDVVGMEALGELTNLRDLSISVNRWRDDEILSLYALGSSIGKLQELKSLEIHVPPAILEDFDPLGSLTAFPRNIERLVLHGWCFSRVPRWINGTLRNLHHLLLEVTETSSDEVDLLGEIPPLVDLELRVGLKTSAAISFDGTSTGESLFPALLKLKLRVGEDAASRLHFQAGVMPKFRSLHMWVRNCESGIRRTPEGMQHLLSLKSICVEIYLREEELQWNYPWDAMERAFRDITEVHPNRPSFKIVKQV >LPERR06G15450.1 pep chromosome:Lperr_V1.4:6:14133805:14136371:1 gene:LPERR06G15450 transcript:LPERR06G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFCSVARAPPPLRWGLARRRGVRCCCATPPRGTVSSSKLVLEVKERLEREHPGLPTGKNGRDDEEMILWFLKDRKFSVDETVSKLTKAIKWRQDFRISELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPYVENGDLQFLIDVFYYYYPKRLGQESTKAIETELTCIDEVLDLNLNPQDHLEIISQSHKNAFGATITGCWMDEGPPTPAVV >LPERR06G15460.1 pep chromosome:Lperr_V1.4:6:14140704:14145446:1 gene:LPERR06G15460 transcript:LPERR06G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGCSPDVVTYNSLIDGHGKCGELEEVERLVDDMRRSGCRADVVTYNALINCFCKFERMERAYSYFGDMKREGVKANVVTFSTFVDAFCKEGLVQEAMKLFAQMRVRGMTLNEFTYTCLIDGTCKAGRLDDGIVLLNEMVHQGVPLNVVTYTVLVDGLCKEGKVVEAEDVLRMMEKAGVRANELLYTTLIHGYFMKKNSEKALGLLGEMKNKGLELDVSLYGALIRGLCNVQKLEEAKNLLSKMDESGLKPNYIIYTTMMDAYFKSGKVSEAIALFHKILDSGFQPNVIAYCALIDGLCKAGLVDEALSHFNKMRDLGLDPNVRAYTALVDGLCKNGCLNKAEQLFNEMVGKGMSLDKVVYTALLDAYLKQGNLQDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMQEAREVFSEMIGHGIAPDKAVYNCLISKYQKLGNLEEAIRLQNEMERVLPSCTDGDTPSDVHPANHQPPTSTCSDVSRAMSGDTV >LPERR06G15470.1 pep chromosome:Lperr_V1.4:6:14144959:14147489:-1 gene:LPERR06G15470 transcript:LPERR06G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLAAAAPASATWLLSWSCLAGGAVMLLLVYFYAPSWGVRRVPGPPALPVVGHLPLLAVHGPDLFGVLAKKYGPIFRFNLGRQPLVIVAEAELCKEVGIRQFKSIANRSLPAPIAGSPLHQKGLFFTRDARWSAMRNTIISLYQPSHLAGLIPTMHACITRAADAISAANTANHGDVDFDFSDLSLKLATEVIGQAAFGVDFALTTPSATPAAAADGEAAAFIREHVHSTTSLKMDLTASLSVVLGLVAPALQGPARRLLSRAKQSPNNGAVVEERESGGGGGEGGRRRRDFLSALLDARDGGEKMRALLTPDYVGALTYEHLLAGSATTAFTLASAVYLVAGHPEVQAKLLDEVDRFGDAVPTADDLDHKFPYLDWVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWMAPGVLARDARQFPEPGEFKPERFDAGGEEERRRHAYAQVPFGLGPRACPGRRFALQEVKLAMVQLHRRFVFRRSPRMESPPELQFGMVLSFRRGVKLTVVERHVG >LPERR06G15480.1 pep chromosome:Lperr_V1.4:6:14148526:14152047:1 gene:LPERR06G15480 transcript:LPERR06G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPTAVKQEEVEVVVLEDGDEPVAPVMPLPAAMGVGVRAPPFLVKTFEMVEDPETDAVVSWGAARNSFVVWDPHAFAAVLLPQNFKHSNFSSFLRQLNTYGFRKVSADRWEFANEDFLGGQHHLLANIRRRRGGVVSMAATAAATRAVTGGGEAEVARLRRDKEALARELARLRREQRDAMAQLLDMERRVRSTERRQEQCTAFFARALRSPDVLDTIARRRHAAEGRKRPRMLRDGVAFEALALDATAALSDEGAVTTDMIWYELLGEEQAEIDDLVASAAEVEPWEEMDEEEVEDLVQQIDCLASPSSS >LPERR06G15490.1 pep chromosome:Lperr_V1.4:6:14150720:14152276:-1 gene:LPERR06G15490 transcript:LPERR06G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAAGGGSSRPPVSLSVESPSAVFGRGDLAAADRTVSRRHVSLRLLGGGGGGDEPPRVAFEVVGRNPVVVRTGEGGGGSSRVYRRGEAGELRDGDALALSLRAPPPSSFWAVQRQRRSEGGEVDAEVMDAVARRERRTRERKERERRAAEEEEEEEAMEVTADEEDEAEAASGGDLDAEAGDPELDLANIDPVQEFGFLSTGHEFDNYTKGRIRPPKDWNWFLEEVQKGSDDEDDVVSKGLGKLKGRGTNKKNEVHRVDEDWTGESEDEKDTLSRGPSVKRSKYATRSKEPKKPRKEKPEIKEESKNVGDELDEEDEEDEEDETLGGFIVNEEDEAMEEASEEEEDEFDDDDDDE >LPERR06G15500.1 pep chromosome:Lperr_V1.4:6:14162026:14169316:1 gene:LPERR06G15500 transcript:LPERR06G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGGGRRRDRLRWSKLYTFSCFRTPATSGDAAGGPSAHGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVGVHKGDGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFRGIIRCEDPNPNLYTFVGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDMIIYILFSVLVLISLISSIGFAVRIKFDLPKWWYLQPEKANKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKHMASGNDDQDIQVQDVWENNEDEIQLVEGVTFSVGRTRKSSVKGFSFEDDRLMQGNWTNEPNSSTILLFFRILALCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRENFTASNGPTEREFKVLNLLEFNSKRKRMSVILKDEDGQILLLCKGADSIIFERLAKNGRVFEADTTKHLNDYGEAGLRTLALSYRVLDESEYSAWNAEFLRAKTSIGPDRELQLEHVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTGDQVAQDAKKAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >LPERR06G15500.2 pep chromosome:Lperr_V1.4:6:14162026:14169316:1 gene:LPERR06G15500 transcript:LPERR06G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGGGRRRDRLRWSKLYTFSCFRTPATSGDAAGGPSAHGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVGVHKGDGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFRGIIRCEDPNPNLYTFVGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDMIIYILFSVLVLISLISSIGFAVRIKFDLPKWWYLQPEKANKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKHMASGNDDQDIQVQDVWENNEDEIQLVEGVTFSVGRTRKSSVKGFSFEDDRLMQGNWTNEPNSSTILLFFRILALCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRENFTASNGPTEREFKVLNLLEFNSKRKRMSVILKDEDGQILLLCKGADSIIFERLAKNGRVFEADTTKHLNDYGEAGLRTLALSYRVLDESEYSAWNAEFLRAKTSIGPDRELQLEHVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTGDQVAQDAKKALLSSLTTDQAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >LPERR06G15500.3 pep chromosome:Lperr_V1.4:6:14162026:14169316:1 gene:LPERR06G15500 transcript:LPERR06G15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGGGRRRDRLRWSKLYTFSCFRTPATSGDAAGGPSAHGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVGVHKGDGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFRGIIRCEDPNPNLYTFVGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDMIIYILFSVLVLISLISSIGFAVRIKFDLPKWWYLQPEKANKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKHMASGNDDQDIQVQDVWENNEDEIQLVEGVTFSVGRTRKSSVKGFSFEDDRLMQGNWTNEPNSSTILLFFRILALCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRENFTASNGPTEREFKVLNLLEFNSKRKRMSVILKDEDGQILLLCKGADSIIFERLAKNGRVFEADTTKHLNDYGEAGLRTLALSYRVLDESEYSAWNAEFLRAKTSIGPDRELQLEHVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTGDQVAQDAKKAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >LPERR06G15510.1 pep chromosome:Lperr_V1.4:6:14171301:14175853:1 gene:LPERR06G15510 transcript:LPERR06G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQDGMNGYEEEEEEEEVEEVEEEVEEEEEDGRTAGAEGADGSGKIFVGGVAWETTEESFTKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLGGKQVEIKKAEPKKPGGGDSSSSGRHSHGSGGSHRSSHRGSGGGSGGGNSGSSSNSGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNAAFGSGYGSGYGGPMYGGPYGAYGAYGGAYGGGGAYGAPGGYGTGGYGAYGGAGGMGGGGSASGRGSNRYHPYGK >LPERR06G15520.1 pep chromosome:Lperr_V1.4:6:14176606:14179582:1 gene:LPERR06G15520 transcript:LPERR06G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEEMEVSSLSPWYVSYLRQIAGWVQSEDVGVGGELRRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGAAASGGGGMFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPATPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHREEEAEVADEAAAALLEDGAMAASGGWDGGEGRDGCGGEKRDAMHIVGIHAHAAAHRHSHAHGHGACDGGGAVHDGHAHGHGHGHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >LPERR06G15520.2 pep chromosome:Lperr_V1.4:6:14176608:14179582:1 gene:LPERR06G15520 transcript:LPERR06G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEEMEVSSFVGVGGELRRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGAAASGGGGMFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPATPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHREEEAEVADEAAAALLEDGAMAASGGWDGGEGRDGCGGEKRDAMHIVGIHAHAAAHRHSHAHGHGACDGGGAVHDGHAHGHGHGHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >LPERR06G15530.1 pep chromosome:Lperr_V1.4:6:14194228:14195058:1 gene:LPERR06G15530 transcript:LPERR06G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVIPAVWSAVHGWFTPAVLFVVLNIVIGTIAVTSKVASATAAAGGEGEGSGGDWARGGSGGGGGGGGGERRGLSRVPSMALDRIRSFNLSSRFVASAPEPTVDGVVDLGIHGEAEAVAEVEMEAAVKEVVGEIEREEHAHIERSRSEAAEADVPRLPLRLRKSASDRSAFAHFEAEKAAAAAAEKEEETVAAVEARRPATTREAPRVWADDDGGGSETESGDEAEDAAGEVDARADDFINKFRHQLKLQRIDSYLRHRDMLRRSHAAAAVGSD >LPERR06G15540.1 pep chromosome:Lperr_V1.4:6:14204406:14207188:1 gene:LPERR06G15540 transcript:LPERR06G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINYRSPFPSNPTSWAGPVNPLHISSYLGHRIQSNGPRPSQRLPKQVGRLVSSLLRPLLARLLATDRRGGDEGDGEERLRSGEMVAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRSRSLAKEIATAAIASVFLKPVGTNKT >LPERR06G15550.1 pep chromosome:Lperr_V1.4:6:14211909:14216979:1 gene:LPERR06G15550 transcript:LPERR06G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLFLVCCCTVMRILPQCATAAKARHFRWEVSNMFWSPDCEEKVLIGINGQFPGPTIRAKAGDTIVVELKNGLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYTQMVGLSSKPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAVAGGGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVDPFLVDDIDIYSGDSYSVLLTTNQNPSANYWISVGVRGRQPKTSPALAILNYRPNRASNLPPSPPPPTPAWDDFARSKSFTHRILGLAGNTPPPPAKSDRRIELLNTQNRMDGHIKWSINNVSMVLPSTPYLGSLKMGLKSAMPPSSESPSDTFGRGYDVTRPPANPNTTAGGNLYVIAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGEGMFDEKLASAAFNLRNPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVGELPKAAVSCGATATALMAGNGGGHV >LPERR06G15560.1 pep chromosome:Lperr_V1.4:6:14234579:14239310:1 gene:LPERR06G15560 transcript:LPERR06G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANKHTGGGGKPLAATAAAVVMLLLAVGVATGATVEVMWDVEYILWAPDCQQRVMIGINGKFPGPNITVRAGDFGTPWADGTASISQCAINPGETFVYEFVADKQGTYFYHGHFGMQRAAELYGSLIVLDSPEPPEPFSHQYDGGELPMMLLSDWYHQNVYAQAAGLDGKDKHFQWVGEPQTILINGRGQFECTLGPARKDFEKKLNEQVETCVNEQKLCNDEERCLRRSECGPYCPKSQCAPVQFSIEKGKTYRLRIASTTSLSALNVKIQGHKMKMVEADGNHVEPFEGDDIDLYSSESYSVLLTANQNPSANYWISVGVRGRHPKTLPALAILGYTTTNAATPAIPALSTGDPPVTPAWDDIERSKKFTYGIKARRDTNQPPPPTADPQIVLLNTQNLMDGHYKWSINNASLTLPATPYLAAFRHGVEAHEFDASTEPPENFPTGYDVMKPPANNATTVSDRVFRLRQHGAVVDVVIQNANMLKEEVSETHPWHLHCHDFWVLGYGDGLFDGDKTKLNLADPPLRNTAMVFPHGWTVLRFIANNTGAWAFYCHMPTSSRTSTWGWVSSSSKERRGCASLMFPWRLWRAASLQGRQPCRSPRRRRWLRRRSPRHELLRMPIPVSFLW >LPERR06G15570.1 pep chromosome:Lperr_V1.4:6:14239979:14244319:-1 gene:LPERR06G15570 transcript:LPERR06G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHGRFTALQPGVGVVLLFLINRTSPTRPPPRHPPPLSRTLPTSPPTPRLASPPMAIAGGRLLLLAAVVLLSAAATPARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDPNSPDARIVGFHVIPSSIKHEYGAWDDKNPSVQTCNANNKITPGSHTPQDVVPETYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKFVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >LPERR06G15580.1 pep chromosome:Lperr_V1.4:6:14249399:14254543:-1 gene:LPERR06G15580 transcript:LPERR06G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPVKTLDQYYSREATH >LPERR06G15590.1 pep chromosome:Lperr_V1.4:6:14259266:14259886:1 gene:LPERR06G15590 transcript:LPERR06G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLSLCSGGKKKAAARGQFVKLVFPGGHVELLDRPVAAAEVMARHPRFCVARPDVFRDPAAAGAVAAPDAVLALGRKYYVVPTSTVRRLLRHAHAASSSGGGVSLERHLAGGGRSKSWLRFLASGGGGEEEDKRVKSRRRREGFSHGGGGEDEAAAEVEVREKGKKVLSGGSPGPGGRRRRVASSPANSASFSWQPSLHSITEE >LPERR06G15600.1 pep chromosome:Lperr_V1.4:6:14268230:14274014:-1 gene:LPERR06G15600 transcript:LPERR06G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLATGAGGVGAAAVAAAGGLIAAASLAMAAPKNSHTKPPAVPGLPIIGNLHQLKDKKPHQAFAKWSEIYGPIYSIRTGASPVVVLNSTEVAKEAMIAKFSSISTRKLPKAISVLTRKSMVALSDYGDFHKTMKRNLMLSMLGFSAQKQFRNTRDRMVSNMSSIFHKLVTDDPHAPLNFREAFTDELFGLSLTQSLGEDVSSIYVEEFGRDVSKEEIFHFLVHDLMMCAIEADWRDYFPYLSWIPNKSFKTRVFTVEANRNAVMRALIKKQKERIARGEARISYLDFLLEENTPLTDEQMLLLLGEAIMAAADTVLERLYQEIREVCGDRMVTEDNISQLPYLNAVFQETLRRHSPVPMLLPRFVHEDTKLAGYDVPAGSEMMINVYACNMNEEEWDSPEEWSPERFMGGTFEVADKYKTMAFGAGKRTCAGSLQATNIACTAIARFVQEFTWRLRDGDEGKEDTAQFTAYKLHPLYVHLMPRGRVM >LPERR06G15610.1 pep chromosome:Lperr_V1.4:6:14289903:14293832:-1 gene:LPERR06G15610 transcript:LPERR06G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLATGAGGVGAAAAAVGGLVAAAAIAVAPRNQSGNAPPAVPGLPIVGNLHQLKEKKPHQTFAKWSETYGPVYSIKTGASSIVVVNSTEVATEAIVSKFSSISTRKLPKTLSVISRDKNMVALSDYGDFHKTAKRNLMMSTLGFSAQKQFRDTRDKMVNNMLRAFHRMVTDEPKAALNFRNVFRDELYRLSLIESLGEDVSSIYVEEFGREISKLEMYNATVEDMMKCAIEVDWRDFFPYLSWVPNKSFESTVFNTVSRKDAVMRALIKKQMERIARGESRISYLDFLMEENTQLTAEELTLLVWELLVESSDTTFVSSEWAMYEIAKNPDKQERLYQEIREVCGDETVTEEHLPRMPYLNAVFHETLRRHSPVTLLPPRMVNEDITIAGYDVPPGTQEWDSPEEWLPERFLDGSYQLANMYKTLAFGGGRRVCAGARQATTIACISIARFVQEFEWRLRKGDEDKVDTVQLTTYKLQPLHVHLTPRARK >LPERR06G15620.1 pep chromosome:Lperr_V1.4:6:14304302:14355763:-1 gene:LPERR06G15620 transcript:LPERR06G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFASGGGVGAAAAAVGGLFAAAALAGKAAGVIAPPGRKINAPPPVVPGLPIIGNLHQLKEKKPHETFTKWSEIYGPIYTIRTGSSSVVVLNSKEVAKEAMIAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSVLGTSAQKQFRVTRDMMINNMLSTFHELVADDPHAPLIFRDVFKDELFRLSLIQSLGEDVNSVYVDEFGRNISKEEIYNATVSDMMMCAIEVDWRDFFPYLSWVPNKGFETRVSTTEARRSAVMRALIKQQKERIARGEARIAYLDFLLEENTLTDEQLTMLVWETVIEAADTTLVTAEWAMYELAKNPDKQARTDDTLPATRISAFLPTKLTLERLYQEIRGVCGQEAVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKKDWESPEEWEPERFVGGGRFEVADMYKTMAFGAGRRVCAGALQATTIASVSIARFVQEFQWRLSEGEEGKVDTVQLTAYKLHPLHAMVAKYSSISTRKLPTAISVLSHDKSIIALSDYGDFHKMSKRYIMMSMLGFSAQKQYRDTKERMINNVISTLHQLVRDDPHSPLNFRDVFKNELFGLSLMQSFGEDVCSVYVDEFGREISKKEIFNITIDDMMMCAIEVDWRDFFPYLGWVPNKSFETKVLTTETRRTAVMRALVKRQKERIARGEERLYQEIREVCGDETVTEEHLPWLPYLNAVFHETLRRHSPVPLIPPRFIHEDTNLAGYDVPACTEMIINLYACNMNGKEWESPEEWTPERFLGGKSGMGSMFKTMAFGAGRRVCAGALQATYVACAVIGRLVQQFEWRLREGDEDKVDTMQLMASKLHPLHVNLTPRGREKKSNHMRWLSRLSTAVPGLPIIGNLHQLTEKKPHKTFKNWSETYGPIYTIKTGASSILVLNSTEVAKEAMVAKFSSISTRKLSTAISVLSHDKNMVALSDYGDFHRMAKRYIMMSMLAVPGLPIIGNLHQLTEKKPHKTFRNWSETYGPIYTIKTGASSILVLNSTEVAKEAMVAKYSSISNRKLSTAISVLSHNKNMVALSDYGDYHKMTKRYIMMSMLGFSAQKHFRDTRDRMINNILCTLHQLITDAPHSPLNFRDVFKDELFSLSLIQSLGEDLSSIYVDEFGREITRKEMFNTTVDDLMMCALEVDWRDFFPYLGWVPNKSFEKKINTIDARRTAVVRAMMKRQKERIARGEARICYLDFLLAENTLTDEQLTMLVWEALIAAADTTLVTTEWAMYELAKNTDKQARIHIYHERLYQEIRDVCGDEPVTEEHLPQLSYLNAVFHESLRLHSPVPLLPPRFVHEDTKLAGFDVPAGTEILVNVYACNMNEKEWDSPEEWLPERFLDGSFQLADMYKTLAFGGGKRVCTGARQATTIASVSIARFVQEFQWRLMEGDEDKVDTMQLTAFKLHPLHRRGSVCLIIFFAMESLLAASAAAAVGGLVAAAMAAPKNSHNAPPAVPGLPIIGNLHQLKEKKPHYAFAKWSETYGPIYSIKAGASSIVVLNSTEVAKEAMIEKFPSISTHKLPKAITVLSRKSMIAVSDYGDYHRTAKRNIMLSMLGFSAQKQFRDIRDRMVSNVLSTFHKLVTGDPHSPLNFRDIYNNELFSLSLTQSLGEDVSSVYVEEFGRDISKEEIFHILVHDMMMCAIEVDWRDYFPYFSWVPNKGFEKRVFTAEARRNAVMRALIMKQMERIARGEARTSYMDFLVKENTQLTDEQLMILLSESILAGADTVLVTTEWAMYELAKTPDKQGRLYREIREVCGDEAVTEEHLLRLPYLNAVFHETLRRHSAVPLLPPRFVHEDTKLAGFDVPAGTEMMINLYACNMNKEEWDTPEEWAPERFLGGGTFTMEDRYKTMAFGAGKRTCAGSLQATTITCVSIARFVQEFAWRLKEGDEGKEDTMQFTAYKNHPLFVHLTPRGRM >LPERR06G15620.2 pep chromosome:Lperr_V1.4:6:14304302:14355763:-1 gene:LPERR06G15620 transcript:LPERR06G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFASGGGVGAAAAAVGGLFAAAALAGKAAGVIAPPGRKINAPPPVVPGLPIIGNLHQLKEKKPHETFTKWSEIYGPIYTIRTGSSSVVVLNSKEVAKEAMIAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSVLGTSAQKQFRVTRDMMINNMLSTFHELVADDPHAPLIFRDVFKDELFRLSLIQSLGEDVNSVYVDEFGRNISKEEIYNATVSDMMMCAIEVDWRDFFPYLSWVPNKGFETRVSTTEARRSAVMRALIKQQKERIARGEARIAYLDFLLEENTLTDEQLTMLVWETVIEAADTTLVTAEWAMYELAKNPDKQARTDDTLPATRISAFLPTKLTLERLYQEIRGVCGQEAVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKKDWESPEEWEPERFVGGGRFEVADMYKTMAFGAGRRVCAGALQATTIASVSIARFVQEFQWRLSEGEEGKVDTVQLTAYKLHPLHAMVAKYSSISTRKLPTAISVLSHDKSIIALSDYGDFHKMSKRYIMMSMLGFSAQKQYRDTKERMINNVISTLHQLVRDDPHSPLNFRDVFKNELFGLSLMQSFGEDVCSVYVDEFGREISKKEIFNITIDDMMMCAIEVDWRDFFPYLGWVPNKSFETKVLTTETRRTAVMRALVKRQKERIARGEERLYQEIREVCGDETVTEEHLPWLPYLNAVFHETLRRHSPVPLIPPRFIHEDTNLAGYDVPACTEMIINLYACNMNGKEWESPEEWTPERFLGGKSGMGSMFKTMAFGAGRRVCAGALQATYVACAVIGRLVQQFEWRLREGDEDKVDTMQLMASKLHPLHVNLTPRGREKKSNHMRWLSRLSTAVPGLPIIGNLHQLTEKKPHKTFKNWSETYGPIYTIKTGASSILVLNSTEVAKEAMVAKFSSISTRKLSTAISVLSHDKNMVALSDYGDFHRMAKRYIMMSMLGFSAQKHFRDTRDRMTNNILSTLHQLITDAPHSPLNFRDMIVNVYACNMNEKEWDSPEEWLPERFLDGSFQLPNMYKTLAFGGGRRVCAGARQATTIASVSIARFVQEFEWRLREGDEDKVDTVQLTAYKLHPLHKQFRDIRDRMVSNVLSTFHKLVTGDPHSPLNFRDIYNNELFSLSLTQSLGEDVSSVYVEEFGRDISKEEIFHILVHDMMMCAIEVDWRDYFPYFSWVPNKGFEKRVFTAEARRNAVMRALIMKQMERIARGEARTSYMDFLVKENTQLTDEQLMILLSESILAGADTVLVTTEWAMYELAKTPDKQGRLYREIREVCGDEAVTEEHLLRLPYLNAVFHETLRRHSAVPLLPPRFVHEDTKLAGFDVPAGTEMMINLYACNMNKEEWDTPEEWAPERFLGGGTFTMEDRYKTMAFGAGKRTCAGSLQATTITCVSIARFVQEFAWRLKEGDEGKEDTMQFTAYKNHPLFVHLTPRGRM >LPERR06G15620.3 pep chromosome:Lperr_V1.4:6:14335347:14355763:-1 gene:LPERR06G15620 transcript:LPERR06G15620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFASGGGVGAAAAAVGGLFAAAALAGKAAGVIAPPGRKINAPPPVVPGLPIIGNLHQLKEKKPHETFTKWSEIYGPIYTIRTGSSSVVVLNSKEVAKEAMIAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSVLGTSAQKQFRVTRDMMINNMLSTFHELVADDPHAPLIFRDVFKDELFRLSLIQSLGEDVNSVYVDEFGRNISKEEIYNATVSDMMMCAIEVDWRDFFPYLSWVPNKGFETRVSTTEARRSAVMRALIKQQKERIARGEARIAYLDFLLEENTLTDEQLTMLVWETVIEAADTTLVTAEWAMYELAKNPDKQARTDDTLPATRISAFLPTKLTLERLYQEIRGVCGQEAVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKKDWESPEEWEPERFVGGGRFEVADMYKTMAFGAGRRVCAGALQATTIASVSIARFVQEFQWRLSEGEEGKVDTVQLTAYKLHPLHAMVAKYSSISTRKLPTAISVLSHDKSIIALSDYGDFHKMSKRYIMMSMLGFSAQKQYRDTKERMINNVISTLHQLVRDDPHSPLNFRDVFKNELFGLSLMQSFGEDVCSVYVDEFGREISKKEIFNITIDDMMMCAIEVDWRDFFPYLGWVPNKSFETKVLTTETRRTAVMRALVKRQKERIARGEERLYQEIREVCGDETVTEEHLPWLPYLNAVFHETLRRHSPVPLIPPRFIHEDTNLAGYDVPACTEMIINLYACNMNGKEWESPEEWTPERFLGGKSGMGSMFKTMAFGAGRRVCAGALQATYVACAVIGRLVQQFEWRLREGDEDKVDTMQLMASKLHPLHVNLTPRGREKKSNHMRWLSRLSTAVPGLPIIGNLHQLTEKKPHKTFKNWSETYGPIYTIKTGASSILVLNSTEVAKEAMVAKFSSISTRKLSTAISVLSHDKNMVALSDYGDFHRMAKRYIMMSMLGFSAQKHFRDTRDRMTNNILSTLHQLITDAPHSPLNFRDMIVNVYACNMNEKEWDSPEEWLPERFLDGSFQLPNMYKTLAFGGGRRVCAGARQATTIASVSIARFVQEFEWRLREGDEDKVDTVQLTAYKLHPLHVYLTPRGQKI >LPERR06G15630.1 pep chromosome:Lperr_V1.4:6:14369436:14372172:1 gene:LPERR06G15630 transcript:LPERR06G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARVPGCVYLCLWAPAAVAGIPPSHLFCLDAWIGGGGGGRALELFDAYRGALCAAVSGCVPGWAYKDGAACLALPEPDLAASASLQLQQLFYQESGTKMAVFMGCESGEIEVGLSSTSSTTPAAVANEMQQSILEELLQMPPSSPSSSLLSMSVGSPEYSSLVRSMATPVAAAVEPTPVNDDDAVIAQAMLAVISAPPPPPPLFPPRRRRAHSSPSRRPTAFKAYNAALSPRRRRHPAAPMGQRMIKRGISLLFALHTETRRRELAAAAAPPPPPMPTSSSQLHHVISERRRRERINDSFQSLRALLPPGSKKDKAAVLASTTAYMDKLMSQVSELEEKNRQLEAQLARRSGDPRHDTIVGESSSSSSERIQVDVAIAGSSTSSSTTSDRPPREVGIRVTVRAECHVSEVVVALLTRLREMGRFTVVSVDARQGSSSLAQVSLTLHATAGDVSDETSLKEAMAKAVGDVVTPPPVAPPGASP >LPERR06G15640.1 pep chromosome:Lperr_V1.4:6:14373069:14376523:-1 gene:LPERR06G15640 transcript:LPERR06G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSAATPAAEPLRRDRILSSKLYFDVPGSKVPVVYSPAYDISFLGIEKLHPFDSSKWGRICKLLTKEGHLEKNRVVEPLEASKEDLLVVHSESYLSSLKSSLKVASIVEVPPVAFIPSWLVQQKLLYPFRKQVVSYDLVLAAVGGSILSAKLALERGWAINVGGGFHHCSAEVGGGFCAYADISLCIQYAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPFDHVAKRYIDQKVELVSGTKTDDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPEGVVIRDEKVFRFAKDQNIPLLMLTSGKWKRIL >LPERR06G15650.1 pep chromosome:Lperr_V1.4:6:14377512:14382457:1 gene:LPERR06G15650 transcript:LPERR06G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRWRPRSRAIPFLPLILLLLLAPLFYSVSRLQLSWAPPPERGGQCLPPPSAPEGRQCLPAPTAPKRPDRLVLGPAAGQGRPDRLQCQGLKAVNKIGLSSERNYSGDQVTFVTVFTTYNSDPAEAGKVSSDVVTVGKHSYSKVGRSMAILKTFISFIQVSMPTSNVIILTDPNSKFSLNHGSAAILPIEGNYTRGNLMLQRIRSYIAFLEQRLEELETMEDVYHIIFTDSDIAVVTDLGDIFKIYPNCHLALTFRNNKGQPLNSGFVAVRGTRDGVSKAIEFFKEVLEAYQLNYMKASRMLGDQLALAWVVKSHLPSAFRNFSKHEAFTGEVNGASILFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSELSDMLCLILKSVDFAQKNFGFFQEL >LPERR06G15650.2 pep chromosome:Lperr_V1.4:6:14377512:14382457:1 gene:LPERR06G15650 transcript:LPERR06G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRWRPRSRAIPFLPLILLLLLAPLFYSVSRLQLSWAPPPERGGQCLPPPSAPEGRQCLPAPTAPKRPDRLVLGPAAGQGRPDRLQCQGLKAVNKIGLSSERNYSGDQVTFVTVFTTYNSDPAEAGKVSSDVVTVGKHSYSKVGRSMAILKTFISFIQVSMPTSNVIILTDPNSKFSLNHGSAAILPIEGNYTRGNLMLQRIRSYIAFLEQRLEELETMEDVYHIIFTDSDIAVVTDLGDIFKIYPNCHLALTFRNNKGQPLNSGFVAVRGTRDGVSKAIEFFKEVLEAYQLNYMKASRMLGDQLALAWVVKSHLPSAFRNFSKHEAFTGEVNGASILFLPCAVYNWTPPEGAGQFHGMPLDVKLILLKRTSDSFKNSEGAVAEHNAESI >LPERR06G15660.1 pep chromosome:Lperr_V1.4:6:14382253:14394901:-1 gene:LPERR06G15660 transcript:LPERR06G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYAHIEQWIDFSATEVDANIGRWLYPRLGFVPHVPVHEEHAIASLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYFGFVRILTKSFTSEFPHVERYLWTMVNQPNFKKVIGEVKQAESVPPVQKKAAAPKEPKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEELLDAKCFNVRMSGKCHANDLHTIGPRFSFHPLPRAAINPQLPTPANPLSDLYSAGVFAAAADLVSRLLSVLHSGSGNKNAFKALIAAEYTGVKVELVKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYGHIEQWIDFSATEVDANIARWLYPRLGFGGPHVPVLEEFAITSLKRSLGALNTHLAANTYLVGHSVTLADIVMTCNLYLGFVRILTKSFTSEFPHVERYLWTMAQKERVNAMIEDQEPFEGEELLDAKCFK >LPERR06G15660.2 pep chromosome:Lperr_V1.4:6:14382253:14394444:-1 gene:LPERR06G15660 transcript:LPERR06G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYAHIEQWIDFSATEVDANIGRWLYPRLGFVPHVPVHEEHAIASLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYFGFVRILTKSFTSEFPHVERYLWTMVNQPNFKKVIGEVKQAESVPPVQKKAAAPKEPKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEELLDAKCFNVRMSGKCHANDLHTIGPRFSFHPLPRAAINPQLPTPANPLSDLYSAGVFAAAADLVSRLLSVLHSGSGNKNAFKALIAAEYTGVKVELVKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYGHIEQWIDFSATEVDANIARWLYPRLGFGGPHVPVLEEFAITSLKRSLGALNTHLAANTYLVGHSVTLADIVMTCNLYLGFVRILTKSFTSEFPHVERYLWTMAQKERVNAMIEDQEPFEGEELLDAKCFK >LPERR06G15660.3 pep chromosome:Lperr_V1.4:6:14384247:14394444:-1 gene:LPERR06G15660 transcript:LPERR06G15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYAHIEQWIDFSATEVDANIGRWLYPRLGFVPHVPVHEEHAIASLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYFGFVRILTKSFTSEFPHVERYLWTMVNQPNFKKVIGEVKQAESVPPVQKKAAAPKEPKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEELLDAKCFNVRMSGKCHANDLHTIGPRFSFHPLPRAAINPQLPTPANPLSDLYSAGVFAAAADLVSRLLSI >LPERR06G15660.4 pep chromosome:Lperr_V1.4:6:14382253:14394444:-1 gene:LPERR06G15660 transcript:LPERR06G15660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYAHIEQWIDFSATEVDANIGRWLYPRLGFVPHVPVHEEHAIASLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYFGFVRILTKSFTSEFPHVERYLWTMVNQPNFKKVIGEVKQAESVPPVQKKAAAPKEPKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKAQKERVNAMIEDQEPFEGEELLDAKCFK >LPERR06G15660.5 pep chromosome:Lperr_V1.4:6:14391686:14394444:-1 gene:LPERR06G15660 transcript:LPERR06G15660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYAHIEQWIDFSATEVDANIGRWLYPRLGFVPHVPVHEEHAIASLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYFGFVRILTKSFTSEFPHVERYLWTMVNQPNFKKVIGEVKQAESVPPVQKKAAAPKEPKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEELLDAKCFK >LPERR06G15660.6 pep chromosome:Lperr_V1.4:6:14382253:14384234:-1 gene:LPERR06G15660 transcript:LPERR06G15660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYTGVKVELVKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNSLCGSSLIEYGHIEQWIDFSATEVDANIARWLYPRLGFGGPHVPVLEEFAITSLKRSLGALNTHLAANTYLVGHSVTLADIVMTCNLYLGFVRILTKSFTSEFPHVERYLWTMAQKERVNAMIEDQEPFEGEELLDAKCFK >LPERR06G15670.1 pep chromosome:Lperr_V1.4:6:14389974:14390327:1 gene:LPERR06G15670 transcript:LPERR06G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSASTTDAIAMSKLNKEGMLPSHTGLARKTGVDFAQKDLDSFKNFGGVVAEHNAESI >LPERR06G15680.1 pep chromosome:Lperr_V1.4:6:14409468:14411842:1 gene:LPERR06G15680 transcript:LPERR06G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYVSQLSSALPLMEGDHHHHHHHQDHHQGHFQGFSLPKDPPILFPFVITSSSSSPSDTSTLSYGSDHHLRQQQQHAMLEPQHMIRGSPFATAPFPTVESIRDDMIERSQFDPYDTEKLQVSCGSANKVIAGAKWSATPAAKMKITRKESDPPTVKKPRRRAQAYKDHHGAMAQAFGVIRMCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKVRRAMMASGSPAAAVPAAAQPQTKVKKEKRADVDRSLPFKKRCKVVQVQQNQIAPSTTTKAAVAAVTEETAESATVDVAATAPAPTRGGALVDSIGLSWSKIPAATTATASCSFRPSPPVALAAAVQDEITDAAMLLMTLSCGLVRS >LPERR06G15690.1 pep chromosome:Lperr_V1.4:6:14430312:14432524:-1 gene:LPERR06G15690 transcript:LPERR06G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRLATFLIVTSFLSTVTYLGAPVDGTLTTSSTNVFSLDVLSKIHTDYDTTMEASSDFGHIVAATPNGVFRPTFPADISALIHLSLSQPTPFAVAPRGKGHSSRGQALASNGIVIDMPALGHGDHHLDHRINVLVDGMYVDAGGEQLWIDVLHETLKFGFAPRVWTDYLHITVGGTLSNAGIGGQAFRHGPQISNVHELDVVTGTGEMISCSAEVNPDLFFAVLGGLGQFGIITRARIRLEPAPKRVKWVRLAYSDVHLFTKDQELLISNQASRSGFDYVEGRVQLNRTLMENRRSSSFFSASDLARLTDLAIKTGSITIYYIEGAMYYDDNTVTSVDQKLVAVLEELSFVPGMVFIRDASYVEFLDRVGREKQKLWSAGAWDVPHPWLNLFVPKSRILDFDAGVFKGILRHANPVGLILIYPMNKDKWDERMTAVTPPGDEEVFYAVGLLRSAAGDAGAGGENGLEQLERENAAALDFCDREGIGCRQYLPHHASREGWMRHFGAAKWPRVAELKARFDPRAILSPGQGIFSPVTMAAASAAGERHSASAFIAS >LPERR06G15700.1 pep chromosome:Lperr_V1.4:6:14436545:14438612:-1 gene:LPERR06G15700 transcript:LPERR06G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANNITACINFLALICAIPVVATGIWFASKQGAECARLARWPVAILGALLLLVALAGFVGAYWNRQGLLAAYLFAMAALITLLLALLVFAFAVTRGSGAYPAAAGRGYDDYRLEGYSAWLRDYVAGDGRRWEGIRACLAASDTCRKLALESVFFIAPEQFYQSHLTPLQSGCCKPPTVCGFAYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWGN >LPERR06G15710.1 pep chromosome:Lperr_V1.4:6:14453510:14459377:1 gene:LPERR06G15710 transcript:LPERR06G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVALLLLLVAAAAVTSPANAAVTYDHKSLVINGKRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVKFVKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKPYVDWAAKMAVATNAGVPWIMCKQDDAPDPVINTCNGFYCDSFTPNSKNKPSMWTEAWSGWFTAFGGTVPSRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPAIVAAGDPTVQNIGNYEKAYVFRSSGGACAAFLSNYHTSSPATVSFDGRRYTLPAWSTTVLPDCKTAVYNTATVTAASVPAKMNPVGGFTWQSYGEATNTLDESAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHSVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGKRDLSKQKWTYQIGLKGEKLGVHSVSGSSSVEWGGAAGKQPVTWHKAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYSGTYDEKKCQSNCGDVSQRWYHVPRSWLNPSGNLVVLLEEYGGDLSGVTLMTRTT >LPERR06G15720.1 pep chromosome:Lperr_V1.4:6:14460609:14462057:1 gene:LPERR06G15720 transcript:LPERR06G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLPLFRHLLASPAPNAAAFSSFSSGDAHLPSSPPPAAGLLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSAGLLDPALVRSLAARVLSAPSGKYDFWARRGAHHLLDGLPQGKGVSVDVPGESLDEFYEPPPWLKEAAARTRPVLPWLPLDWRSVKASGVCSGGGDLDRAGLESLVLEQDEDSEMHEVECVPSAPSPSLGAQSVQRALALQKEILMAESILVSQRVAKDLQQLCVESRNAEAVLSVVQPWKADDDTVRVLLSSLMLEGDGMHEKGPALMLCSLFLPKLFDLQRPVSSVLLSAALDLCKRHPAAALEAVLLPLIFGKDGLNVPQCDVLTRIIKDCMHPLHVTAFCHRLLSGDETERRLVCMPEHRGNIGSNLVWTESLFALFYSILNQDICLTPSTTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWQESRIHSVLLERAAEKTDTFLTKAILAKLRTAN >LPERR06G15730.1 pep chromosome:Lperr_V1.4:6:14463305:14464390:-1 gene:LPERR06G15730 transcript:LPERR06G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDELHGEKRLETLSLLHDACAQWGFFWLENHGIEEDLMHKIKGLVNKHYDQSMEKNFYNSEMANNLGAGNVVSNVDWECSFMYRHQPEPNTHDIPELVRTTIPKYAEEVIKLADQLGELMSENLGLEKDYLKKAFFNPSVGIKVAKYPRCSHPELVMGLRGHTDAGGIIILLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQIEVISNGIYKSICHQVVPNKNGSRLSIAAFYNPGPDAIVFPAPKLTYPSQYRFKDYLDFYSTSKFIDKVSRFQTTKMIFK >LPERR06G15740.1 pep chromosome:Lperr_V1.4:6:14466096:14467154:1 gene:LPERR06G15740 transcript:LPERR06G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHSRDKEEESDLAVVGEVDVDVAIAGESAATSPVMMDKERQIPVDPVSLRHLGMVADEDSPLSAPSVMTELVVRSSSPQLPPLRRPTFVAASLPCSANSSPVHAAGAGAAATAPTPSPTATIRALARQHSVALAHYVVGGAASPAPALSRSASRAEGRSMVPHDDDDDGEDTKANAGAGEEDGDEGGFNCGALCMFIPGFNSSKKKPAAAAVAAVSSMRRQHSIRGQQQRRASSVSRLASLERFECGSWSPPPPPPAQEMSSCGGGEEDTETPVKMAFVFESGEPRGILKKSASTSRQQEQSARPSSASQRHVRFSTAAAAASCPTSPCVTPRLARARAEFNAFLEAQSA >LPERR06G15750.1 pep chromosome:Lperr_V1.4:6:14470040:14477006:1 gene:LPERR06G15750 transcript:LPERR06G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYTAATRAALAALERNVLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQHLIQFAHALEEMPIAIETDKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMLGLYCERAQIQDGQTILDVGCGWGSLSLYIAKKYRKCTITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYNALLKKISGWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQNDVSIVNHWLVSGTHYARTSEEWLKRMDSNITSIMPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGGEWMVAHFLFQKK >LPERR06G15750.2 pep chromosome:Lperr_V1.4:6:14470040:14472889:1 gene:LPERR06G15750 transcript:LPERR06G15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYTAATRAALAALERNVLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQHLIQFAHALEEMPIAIETDKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMLGLYCERAQIQDGQTILDVGCGWGSLSLYIAKKYRKCTITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFESRQRGFCNSLFVQHMKNYNALLKKISGWMKEDSLLFVHYFCHKTFAYHFEKTYGKESATKWIAYWRTFFISVAELFGYNNGGEWMVAHFLFQKK >LPERR06G15750.3 pep chromosome:Lperr_V1.4:6:14470040:14472889:1 gene:LPERR06G15750 transcript:LPERR06G15750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYTAATRAALAALERNVLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQHLIQFAHALEEMPIAIETDKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMLGLYCERAQIQDGQTILDVGCGWGSLSLYIAKKYRKCTITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYNALLKKISGWMKEDSLLFVHYFCHKTFAYHFEKTYGKESATKWIAYWRTFFISVAELFGYNNGGEWMVAHFLFQKK >LPERR06G15760.1 pep chromosome:Lperr_V1.4:6:14471115:14477299:-1 gene:LPERR06G15760 transcript:LPERR06G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSAASSSQAAASAAEAAASSAGAIVVAVAVRGDGRASRRAARWAAANLAPGAARVALVHVIPPLSFVPTPSGERIPVEKMDAETVEMYAQDRRARAHDEVFLPLRRLFARTTVETVILEAESVTAALVAYAADSGVRNLVVGSTSLNWILRLRDVPSTVLKAMPCSCNVFVVSRHKLTIKFANQSRTGKSSSCVRTQSISHRSFSRIQKNWLLDKQSLHDQPEDGTLKSSGDASSDAGSHTCSSRSTSTNAGKSSESHGRGFFGSLGRKTPGRDVNGDFGAIGRLKEVPYVALSSIDESQPVDEVAKLRKELQDTLMMYDKACEDLVHAKKKIKVLSSECSEEAKKVQDALQKEVLLKQKVADEKTKHLEAITEVEMAKTLFAQEAYSKHKAEIVADMVTVEKTKVMDALLSTGKSCRRYSRREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVLQQDSSDKIDEFLREVEILSQLHHPNLLLLLGFCPEIGCLVYEYMENGSLEDQLINNKGHQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIVILQLLTGKRPHGLILSAEEAIRKGSISDFLDRSQIDWPIAEAEILAKLAVQCTALKCRDRPSLESEVLPELENILSRVTASPTLRSPNAAVPTHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLPYLSIIPNHSLRVAIQQWKSQFLL >LPERR06G15760.2 pep chromosome:Lperr_V1.4:6:14471799:14477299:-1 gene:LPERR06G15760 transcript:LPERR06G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSAASSSQAAASAAEAAASSAGAIVVAVAVRGDGRASRRAARWAAANLAPGAARVALVHVIPPLSFVPTPSGERIPVEKMDAETVEMYAQDRRARAHDEVFLPLRRLFARTTVETVILEAESVTAALVAYAADSGVRNLVVGSTSLNWILRLRDVPSTVLKAMPCSCNVFVVSRHKLTIKFANQSRTGKSSSCVRTQSISHRSFSRIQKNWLLDKQSLHDQPEDGTLKSSGDASSDAGSHTCSSRSTSTNAGKSSESHGRGFFGSLGRKTPGRDVNGDFGAIGRLKEVPYVALSSIDESQPVDEVAKLRKELQDTLMMYDKACEDLVHAKKKIKVLSSECSEEAKKVQDALQKEVLLKQKVADEKTKHLEAITEVEMAKTLFAQEAYSKHKAEIVADMVTVEKTKVMDALLSTGKSCRRYSRREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVLQQDSSDKIDEFLREVEILSQLHHPNLLLLLGFCPEIGCLVYEYMENGSLEDQLINNKGHQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIVILQLLTGKRPHGLILSAEEAIRKGSISDFLDRSQIDWPIAEAEILAKLAVQCTALKCRDRPSLESEVLPELENILSRVTASPTLRSPNAAVPTHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTGDD >LPERR06G15770.1 pep chromosome:Lperr_V1.4:6:14487040:14491341:-1 gene:LPERR06G15770 transcript:LPERR06G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASCGHPKLSVTAHTSRSAAARRLQIGGVRPACADHVRAGSQRRRPRSRPPSLAAPSLPPSLLRVIVKSGDGERYAKLNKPEEDLSS >LPERR06G15780.1 pep chromosome:Lperr_V1.4:6:14496921:14505136:-1 gene:LPERR06G15780 transcript:LPERR06G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGTSEPSPASPPGVAGLDADAVEIGEHISAALSKYTVESGAPPRGSGICLPQIVEESHAGGDRGDCGVEQAAALSRYTVESGVPHPGCWDPPPSISSREKPPRTVTPAMAQTSTGSTCTGTGMIAATL >LPERR06G15780.2 pep chromosome:Lperr_V1.4:6:14503668:14505136:-1 gene:LPERR06G15780 transcript:LPERR06G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGTSEPSPASPPGVAGLDADAVEIGEHISAALSKYTVESGAPPRGSGICLPQIVEERSETQ >LPERR06G15780.3 pep chromosome:Lperr_V1.4:6:14503615:14505136:-1 gene:LPERR06G15780 transcript:LPERR06G15780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGTSEPSPASPPGVAGLDADAVEIGEHISAALSKYTVESGAPPRGSGICLPQIVEESLELYK >LPERR06G15780.4 pep chromosome:Lperr_V1.4:6:14496921:14501004:-1 gene:LPERR06G15780 transcript:LPERR06G15780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLSSLPRGGSGAQAAAECGGTSGDRGDCGVEQAAALSRYTVESGVPHPGCWDPPPSISSREKPPRTVTPAMAQTSTGSTCTGTGMIAATL >LPERR06G15790.1 pep chromosome:Lperr_V1.4:6:14496940:14498569:1 gene:LPERR06G15790 transcript:LPERR06G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPVHVLPVLVCAMAGVTVLGGFYSFLYRESNERTKSSRKEEWQQNIGVMAIVFGSSFLLQLLAATPSTEASGNNASLLLALSTFLCGTTLTLLTTLPKEEGHRISVLINWRLTFVCVILCVLTSLGLLSPFPRDPYPRHDAAAVGLLVMLVLVVAVSSVYGHLLPKVGLGKKASRFTAGITVVAAGCLIGAAPELSGDAPAPTTTKRAAFFVLCVTIVGLFVTVLSTINAESIDPSTVRKAEGQSSSRLAL >LPERR06G15800.1 pep chromosome:Lperr_V1.4:6:14500995:14501285:1 gene:LPERR06G15800 transcript:LPERR06G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRPRVRRAVAVALEEPRRRTREMRWMSNESVSQPCWKLPGSNGFLFSTWWVVVRKRYPIRGSILPRNPDPTDYSRAATVPMTPTAVQHLHGSL >LPERR06G15810.1 pep chromosome:Lperr_V1.4:6:14501874:14502794:1 gene:LPERR06G15810 transcript:LPERR06G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSWLTALGFVFTNFNSGSAEVSWLTALGFAFLTFNSAMAMYRSDGDVSFVATSYIDLLLPFVCLCLLDKSPSGGSPAKGNFKAVVWVLMTLLTIVFSYKITPIMPLQLVLAVAGLTALVGFYAFSFLPGSPAAERETRPAVMNLLGFAILAFNSGMAIHRSLCTLTMVACIPN >LPERR06G15820.1 pep chromosome:Lperr_V1.4:6:14506290:14509149:1 gene:LPERR06G15820 transcript:LPERR06G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIATGARVGGVPRAEGGIQGTGRRAGDSGSEDARHVFDELLQQGRGASIYSLNRALTDVARDSPVEAVSCFNRMVRAGADKVTPTLHTYGILIGCCCRVGRSELGLAALGNVIKKGFRVNAIIFTPLLKGLCTEKRMNDAMDIVLRRMTELDCMPNVFSYSILLKGLCHENRSHEAIELLHMMADDGGDCPPNVVSYNTVIDGFFKEGDLDKAYSTYHEMLEQKILPNVVTYNSIISALCKAQAMDKAMEEFKRMVKNGIMPDCITYNSIVHGYCSTGQSNEAIGILKKMCDDGVKPNVVTYSSLMDCLCKNQRITEAREIFDSMVKKGIKPNVVTCAILLHGYANQGALVKMHDLLDLMVRNGIQPNCHVFTILIGAYIKHGQVDEALLVFSKMRQQGLNPDVVSYGTVIDGLCKSGRVDDAVLTFEQMIDEGVNPNIVVYGSLIYGLCTCDKWEKAEELIFEMLERGISPDTTLFTSIIANLCIEQRFIESEKLFDLMERLGAKPNVITYTTLVDGYCLVGKMDKAMKLLAGMVTAGVKPNIVTYNTLINGYCKISRMDEAFSLFREMVRKGVSPDNITYNIILQGLFQTGRTCAAKELYVRIIKRGMHLEVSTCNIVLQGLCKNNLTDDALRIFQKLRLMDVKLETRTFNIMIRALLKDGRNDEAKDLFAALSAIGLVPNDWTYRLMAENLIARGFLEELDGLFLSMEENGCPVNSRMLNCIVRKLLQRVCCLDCRQAIMLSLLSVDARKKNKIGLFSANES >LPERR06G15830.1 pep chromosome:Lperr_V1.4:6:14516162:14523551:-1 gene:LPERR06G15830 transcript:LPERR06G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEDDEELMDVDEMDYRPVAAAAGPVRRKEKKGMDFSRWREFVAEDAPPKRRQAKPLPPTKQSAQKIDVGVVGGATREKGLGGTSMQQLEIGNSKEESGGAALMSDVVPRNLVKQADARDDVRNVGGAGDLEVRGEGMVLDSGEPSLAAEINAENMARLSGMSAGEIAEAQAEILNRMDPAMVEMLKRRGREKSGSRKDGAKAKGGDISGPGKISRAMPGEWLSSGEHSGHSWKAWSERVERIRLCRFTLEGDILGFQSCQEQQDGKKARVESVGERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLAHQLLASILNRALQNLHKMDLIDNIKELNFDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINIMLSYEMNEAYFDFSEKVVDQGKDICTAPVFRSKPDQNGGFLGGGFWKYNTKPSNILPHYGENDEEEGDDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILVALARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHYSQIKGVNLLKVLSRYNRQTCFNFVNNGVFQQAMWHWYRKAYTLEDWIRSGKENCKLTSAMIVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPSFQKLSESNVLAEFTSIATECYLVLGALAQRLPLLHSVEQLGKQDTGVSGTHVETWSWGHAVPMVDLALSWLRLNDIPYMCSLISGQSKNMLEGSYLTLVISSVLGMLDSILERISPDGAPDSCLPWIPDFVPKIGLGVITNGFFSVLDNGALGEGEQHKSFNGASLVQALCHMRFQGNLSCSIDRVIESATTNHTEHLKESETGIAGRILGQVLQNIEMFGRGGPAPGVGFGWGACCGGFWSLNFLLAQLDSHIVLELMKILSLGTEGLFTVNKSMNLDSMTDPVAITSERVSSVLSVSLIAGPGQISTLEKAFDILFHPSILKFLKSSVHCVDSHMKLGKTFERDITEDEYLDFSSALNSHFRSRWLAIKKKHSDKYTRNNSGIDAPKIQETLETIQEETELAEAVNPPCNMLAVEWAHQRLPLPVHWILSAICCIDDPKGNLSTSTSNAVDVSKAGLIFLLGLEAISAAPCLLAPLVWKMHALSSSIRSSMDLLQEDRSRDIFYALQELYGLHLDKLCKKNDRGHSAKKEGSVATVEEEKAISTEVLRFQEKIHGSYTTFVESLVEQFAAVSYGDILFGRQVAIYLHRSVEPAIRLAAWNALSNAYVLELLPPLDKCIGGIQGYLEPLEDDEGILESYAKSWTSGALDKASQRDAMSFIVARHHLSGFVFQCRGSGKVRNKLVKSLIRCYAQKRHHEGMLKGFVLQGIAQDSQCNGEVSRRFEILKDACEMNSSLLAEIQRLKTSLNG >LPERR06G15840.1 pep chromosome:Lperr_V1.4:6:14528006:14534586:1 gene:LPERR06G15840 transcript:LPERR06G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECRFLAEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >LPERR06G15850.1 pep chromosome:Lperr_V1.4:6:14535235:14538062:1 gene:LPERR06G15850 transcript:LPERR06G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVLITRALERSTPSLQYCRFGKKENGSSLSVEDVLNSPDGTFTCGFYSISPNASTFSIWVSNSSEKTVVWSANPLHPVYTWESKVELKSDGTMVLKDYGGQIVWTNNVSSSDSRDAQAQLLDTGNLIVKGKGGNTLWQSFDSPTDTLLPTQSITAATKLVSKNRLLVPGHYSFHFDDQYLLSLFDDEKNISFIYWPNPSSTIWQKLRNPFNSSTNGILDSWGHFLGSDNATFTGADWGLGTVRRLTLDHDGNLRLYSLDKVDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACACAPGYEVIDPSDRSKGCSPRVNLSCDGQTVKFVRLRNTDFLGYDLSVHRFVSLSFCKKKCLDDCRCKGFAYWEGSGDCYPKSVLLGGVTLSNFVSTGNMYIKLPDGVEVPRSSIPHSQPFGPKYGPNCNTTNDIFIADFLDKFASGQTVSKFLYFYGFLSAIFLAEVIFVILGWFILRREAKQFRGVWPAEGGYAMIASHFRRYTYRELVLATRKFKDELGRGASGIVYKGVLKDNRAVAVKKLVDVNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGAHRILVSEFVENGSLDKFLFGSEDSQNFLGWKQRFNIALGVAKGLAYLHHECSEWVIHCDMKPENILLGENMEPKITDFGLAKLLNRDGSNINISRIRGTRGYLAPEWVYSLPITAKVDVYSFGVVLLELLKGARVSELEKNDDEDVKTALGRVTRLCSEQLKSDGGDQSWIANLIDTRLNGQFNYAQARMMMELAVSCLEEDGVRRPTMECVMQKLVSVDDVSSTPVIEGSEELHSTGTSLLSY >LPERR06G15860.1 pep chromosome:Lperr_V1.4:6:14539736:14541147:-1 gene:LPERR06G15860 transcript:LPERR06G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELCKGNYSVENCAFCTGLWHPMSGGFCGHKREKRKNRPS >LPERR06G15860.2 pep chromosome:Lperr_V1.4:6:14539739:14541140:-1 gene:LPERR06G15860 transcript:LPERR06G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGTLWLSGRRGGRTCRRTTRTPSSVAIPALRMTTTATETLTSSPPALIWHWTTLTLIWSM >LPERR06G15870.1 pep chromosome:Lperr_V1.4:6:14542734:14543131:-1 gene:LPERR06G15870 transcript:LPERR06G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAALEHYNADANNMVKYELVKAIISGAIFTCRAGYGHVNFIARPASMASGSSSRSQEEQLFFAEVRGERLCPDVPVVSRRRDWPENMKLPEITSPSPRNYCFLCSDKIKHPKDGTSYHAGHCYEVPE >LPERR06G15880.1 pep chromosome:Lperr_V1.4:6:14543262:14543471:-1 gene:LPERR06G15880 transcript:LPERR06G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQMRKEQAAPAPVRVRYCGVMDDLEQMEDEPPPSPLSPSRAPLPSSPSPDHKTTNEKYRFRRPGGA >LPERR06G15890.1 pep chromosome:Lperr_V1.4:6:14545602:14548561:-1 gene:LPERR06G15890 transcript:LPERR06G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSPSLEDDSSDEAQAHSAGDLDSHLAPLDLELEREWAPSLGGVPVIDSHLAPLDLELEREWAPSLGELEMERERLPEEVSHLPPDLEEFDLVLELQRLDTEEAARESGDTAYEWTSEDEELYHNNLADLFVELSMKAFESVKNESDESDEEDIYEKQTIRFAEFALKHHNQSTEVNYELVKGVESFRVVDLDGTYAHVNFIAKSKQEGSNEELFFSEVCLSEPSKIPTCFCSLEGREQIGGYGGNKDKHTWDQHKDCEHCYACGDAVKHPTKNVATYDAGHYTIVDYY >LPERR06G15900.1 pep chromosome:Lperr_V1.4:6:14558030:14564313:1 gene:LPERR06G15900 transcript:LPERR06G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSTSLLLQTLIHMLLHVSALDFLSPGSSLSVEHSTDVLYSPDHTFTCGFYNISPNASTFSIWFSNSSEKTVAWSANILHPNICLSDCKCNGFAYWRRIGDCYPKAILLGGVSQQHGTGSIYVKLRQVLEVSEPIPQSRFDGPKYTPDYTKTNKYFIADFLDVLKGGQSQSKYLYFYGFLSAIFLAEVTFILFGCLILLRESRQLRGVWPAESGYEMITNHFRRYTYRELVTATRKFQDEIGRGASGAVYKGILTDRREVAVKMLVDIHQGEDEFKHELSVIGRIYHMNLVRVWGFCSDDPHRILVSEYVENGSLDKILFGGQGSQALLEWKKRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENLEPKIADFGLAKLLNRGGPKLNVSRIQGTRGYLAPDLPITAKVDVYSFGVVLLELLKGARVSDIETNEDEVEMVLGRIIRILAENLKSDEDGQSWIAEFIDNRLDGRFNDLQAGAMMKLAVSCLEEDRGRRPTMECVVQTLVSVEKPVEQITSLLFGKEIYHLTTCGKFS >LPERR06G15910.1 pep chromosome:Lperr_V1.4:6:14569807:14573472:1 gene:LPERR06G15910 transcript:LPERR06G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFTSNSQSSPSLSSPLGVLQPAARTPVTAHGTARPGGAAGVRKQLNQLTSRQQEVSAASRLRSKLAAREPTFCPMADERITKPLATLPSAGADVLPHNNASPPSVTVENGAGRASRRPPSTTMVSPPPTAAAATSSLPQDEEGGSPAERRKKEEEELHNAKAKANLEAGGIAVVFAFAVLTAFLCLPSEAKHPSNTRFTVALLLAFATFVAGNCLMFLSMNMIGRRRLAVSAAHRGAARCLPVLSAALSTATLVSLLALLPGRIYLFVVGLAILAAVAIPLAAAHWYVTRRGRHGGDTATAAARGEYKEEMEAAWKTTTGVTNTAFGGLVGVLSGASKISGAAAAAAATYVAIFFMFSAAIIGMFAMTVSKRVLGVTNRKFQSLIVGAIRLTNAFLLCLLAAAALAASYVVLGLAMLAAFTPLVVTSVIFLLLRHCVPDRRHGGLTELEEARMKATEDVASKVTAATLGAIMSVLGGSLGEEDHRKAAWGMLDAVMVVLTSAFVSGFGFMLLAAVPAGSAARGRLAPVAKVLAWSSMAMFLATAVAVYGVESWRI >LPERR06G15910.2 pep chromosome:Lperr_V1.4:6:14569043:14573472:1 gene:LPERR06G15910 transcript:LPERR06G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADERITKPLATLPSAGADVLPHNNASPPSVTVENGAGRASRRPPSTTMVSPPPTAAAATSSLPQDEEGGSPAERRKKEEEELHNAKAKANLEAGGIAVVFAFAVLTAFLCLPSEAKHPSNTRFTVALLLAFATFVAGNCLMFLSMNMIGRRRLAVSAAHRGAARCLPVLSAALSTATLVSLLALLPGRIYLFVVGLAILAAVAIPLAAAHWYVTRRGRHGGDTATAAARGEYKEEMEAAWKTTTGVTNTAFGGLVGVLSGASKISGAAAAAAATYVAIFFMFSAAIIGMFAMTVSKRVLGVTNRKFQSLIVGAIRLTNAFLLCLLAAAALAASYVVLGLAMLAAFTPLVVTSVIFLLLRHCVPDRRHGGLTELEEARMKATEDVASKVTAATLGAIMSVLGGSLGEEDHRKAAWGMLDAVMVVLTSAFVSGFGFMLLAAVPAGSAARGRLAPVAKVLAWSSMAMFLATAVAVYGVESWRI >LPERR06G15910.3 pep chromosome:Lperr_V1.4:6:14569043:14573472:1 gene:LPERR06G15910 transcript:LPERR06G15910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADERITKPLATLPSAGADVLPHNNASPPSVTVENGAGRASRRPPSTTMVSPPPTAAAATSSLPQDEEGGSPAERRKKEEEELHNAKAKANLEAGGIAVVFAFAVLTAFLCLPSEAKHPSNTRFTVALLLAFATFVAGNCLMFLSMNMIGRRRLAVSAAHRGAARCLPVLSAALSTATLVSLLALLPGRIYLFVVGLAILAAVAIPLAAAHWYVTRRGRHGGDTATAAARGEYKEEMEAAWKTTTGVTNTAFGGLVGVLSGASKISGAAAAAAATYVAIFFMFSAAIIGMFAMTVSKRVLGVTNRKFQSLIVGAIRLTNAFLLCLLAAAALAASYVVLGLAMLAAFTPLVVTSVIFLLLRHCVPDRRHGGLTELEEARMKATEDVASKVTAATLGAIMSVLGGSLGEEDHRKAAWGMLDAVMVVLTSAFVSGFGFMLLAAVPAGSAARGRLAPVAKVLAWSSMAMFLATAVAVYGVESWRI >LPERR06G15920.1 pep chromosome:Lperr_V1.4:6:14575572:14583612:1 gene:LPERR06G15920 transcript:LPERR06G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAWYFLSPDAFGAHNLRYIIPMMLGFTCFISGICLMLLSMNLHDLPTKLVSESHRKASKYLSCLCRTLPVVTLLSPLVFSKYKVYRYVGISLLVLMMIPLTVVPWYIGRMSEGGDKDAAHEQHKEQLEAIFKLISAISNSASGGLVSLAVNYNATGGSGQTKIAVLVAAFFIFTTTISGMLSMEIRLKVQEIKSPKLQELIIKLMWLAIVVMLLSLTGVAEVFAIVEFYIFAAFAPLVFAAFVYLFLKYCIRRPAGAAKGSSVNEVQIKWKTERGIKFAMWSITAIIGIFGGFLHGDDKIEHMKACIIFLTSTFLSSSVLTLLTMNTANSINNSFASAITVLDWTAGTTLASAIIAFVIAAILKIQ >LPERR06G15920.2 pep chromosome:Lperr_V1.4:6:14575572:14577805:1 gene:LPERR06G15920 transcript:LPERR06G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGVEPATEAAGGKAIPQPNEQGRSAAAPSPTPLELEKKVAAAKANPPLPPSSPPKTQP >LPERR06G15920.3 pep chromosome:Lperr_V1.4:6:14575572:14577523:1 gene:LPERR06G15920 transcript:LPERR06G15920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGVEPATEAAGGKAIPQPNEQGRSAAAPSPTPLELEKKVAAAKANPPLPPSSPPKTQP >LPERR06G15930.1 pep chromosome:Lperr_V1.4:6:14589992:14596278:1 gene:LPERR06G15930 transcript:LPERR06G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVLNYASSPFILTLETNCKSLSTGRKEDLLASSSSSQFPIPLVHIACLSDVILLTRVMATKSSKLEEQQREPLIGGGRPAGDGAPRAANTDNGDGGFSWLTALGFLFLTFNSGMAIYRSDGDVAAVAFVGFSYVDLVLLFVCLRLFERSEPNSSARGNLKVAVWILTTLLTVVFSYKVAAIMPVPVKVLVWAMAGATVLGGFYAFFVHRESKEAHVDCANYLIQTALLAFGNGTVVINITQLKNFVVMTADPDNKSI >LPERR06G15930.2 pep chromosome:Lperr_V1.4:6:14589932:14596278:1 gene:LPERR06G15930 transcript:LPERR06G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVLNYASSPFILTLETNCKSLSTGRKEDLLASSSSSQFPIPLVHIACLSDVILLTRVMATKSSKLEEQQREPLIGGGRPAGDGAPRAANTDNGDGGFSWLTALGFLFLTFNSGMAIYRSDGDVAAVAFVGFSYVDLVLLFVCLRLFERSEPNSSARGNLKVAVWILTTLLTVVFSYKVAAIMPVPVKVLVWAMAGATVLGGFYAFFVHRESKEAHVDCANYLIQTALLAFGNGTVVINITQLKNFVVMTADPDNKSI >LPERR06G15940.1 pep chromosome:Lperr_V1.4:6:14601469:14608489:-1 gene:LPERR06G15940 transcript:LPERR06G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEDGTERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFIEFLGLVILTIQAKTPSLMPPVCTKAAGAAATTPCEPVSGAKKAMLFAGLYLTALGIGGIKGSLPSHGAEQFDEHSPRGRKGRSTFFNYFVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILVSIPVFVAGSKLYRNKVPTGSPLTTIAKVVLAAIFARRGGGAQSGGSNGAVIDRAPSPTGSTDMKDYCKESTGADIAASEPSGELAFLNRAVTSSWQCTVQELEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGGLTVPPASLPVFPVTFIILLAPVYDHVIVPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDVTTSPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRRGQRAWLQGGNLNHYHLERFYWLMCVLSTVNYLFFLFLAIRYKYRNAGVVKG >LPERR06G15950.1 pep chromosome:Lperr_V1.4:6:14638414:14640397:1 gene:LPERR06G15950 transcript:LPERR06G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSIGVYKAVFAVLGVLMVGTLVYTCATDGSPFRPELLTPWMVATLIDFYVNVTAISTWVIYKEVNWISSVFWVVLLYCFGSAATCAYVVAKLFEIKTSGPSQDPLDLLFLRMKIF >LPERR06G15950.2 pep chromosome:Lperr_V1.4:6:14638560:14640397:1 gene:LPERR06G15950 transcript:LPERR06G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSIGVYKAVFAVLGVLMVGTLVYTCATDGSPFRPELLTPWMVATLIDFYVNVTAISTWVIYKEVNWISSVFWVVLLYCFGSAATCAYVVAKLFEIKTSGPSQDPLDLLFLRMKIF >LPERR06G15960.1 pep chromosome:Lperr_V1.4:6:14644846:14650747:1 gene:LPERR06G15960 transcript:LPERR06G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPATPRALFFRGPSTAPPASPARDFFLLAFRQWLSEKCRDFGGGWRFSRLLVFTEVVLFKQAVELWKIECDDTATADTTCTAVSAAEKVANSRMRKEANSSNSMEKTNLELHSGTSQKAGDFVRRSQHGEF >LPERR06G15960.2 pep chromosome:Lperr_V1.4:6:14644846:14651065:1 gene:LPERR06G15960 transcript:LPERR06G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCACLSFPPACACTFTRKCTRLIFYLYFYFGSSNVALKYGDCYSNHNCNILAKITRKVCVKFPIPERAYALSRPET >LPERR06G15960.3 pep chromosome:Lperr_V1.4:6:14644846:14645356:1 gene:LPERR06G15960 transcript:LPERR06G15960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPATPRALFFRGPSTAPPASPARDFFLLAFRQWLSEKCRDFGGGWRFSRLLVFTEVVLFKQAVSLFITSSHAWCQHICMIEKLNAQLY >LPERR06G15970.1 pep chromosome:Lperr_V1.4:6:14644928:14645287:-1 gene:LPERR06G15970 transcript:LPERR06G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTACLNRTTSVKTSNRENLHPPPKSLHFSDSHWRKARRKKSLAGLARAHKIPGGYEETTRNSMETRRDGIQMRGRSAPGGAVEGPRKKSARGVAGRRSIPPRRRSEDWTASLGYLA >LPERR06G15980.1 pep chromosome:Lperr_V1.4:6:14645791:14649988:-1 gene:LPERR06G15980 transcript:LPERR06G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFASFLILLLATFSAAETATNTATDHLALMDFRSMITGDPSQALGSWGNQSIPMCQWHGVTCGARGVRRGRVIALDLPELNLEGIIASSLGNLTHLRQLDLRANSFHGIIPSELGRLAQLEHLNLSSNSIGGEIPPALAFCKHLQVVSLRHNNFQGVIPTAIGDLSELRVVDLMHNMLKGLIPQELASLRNLEVLILGYNNLTGDIPVELCNLKSLVLLDLKPNMLTGHIPVEIGRLTNLTELYLGHNHLTGPVPSSLGGLKKLQILYLDNNQLSGPIPSSLGNLSSLTVLDVQSNGLTGNIPESLGNLNLLNVLSLTSNNLTGRIPDTLGKLYSLTEFYLNGNELEGLIPTSVYNLSSLLFFSVGQNKLSGSLHRDVGNKFPKLKRYDVFNNTFHGTIPLSLCNISTLEILQLAINSFSGVIPKCLGINLKNLFALVLTENQLEARNDEDWGFISSLTNCTKLQYIILSSNKLEGMLPKSVANLSTSLGTFSITKNRVSGNIPDGIGNLIGLVTLHLGENLLNGTIPSSFGNLQNLVELHMALNKLSGMIPPILGNLTMLNRLSLDENMLSGPVPSSLGSCPLEFLNLEYNQLVGPIPKEIFLISTLSDFASFQGNKLTGILPPEVGDLINLNRLDISGNRISGPIPTSLAKCRSLQYLNMQENLFEGTIPSSIEQLKGLLVLDLSKNNLSGQIPEFLARMQGIASLNISFNNFEGQVPEHGIFMNASAIFIEGNNGLCGGIPQLNLPPCVNHAPKKRSHKLVIAISLGSTCIILVCSLFILWKLKDHVRNTRKIQSLPNGPHVRVSYADLARATSGFASENLLGTGSFGSVYKGTMMNGSQEVSIAVKVLSLQQRGASQSFIAECETLRCIRHRNLVKILTVCSSIDSSGFDFKALVFEFMPNGNLDEWLHNHLLEDGKPGVLNLSQRIDIAIDVACALEYLHYHKPVPIVHCDLKPSNILLDTEKVAHLGDFGIARFLHQDDTSLPEVSSGWATRRGTVGYAAPEYGQGNEVSVHGDTYSYGILLLEMFTGKRPTDGEFEHDFNLHRCVEHALRDQATCVVDQDLLSATEDGNEKTSMSDSDTGIRMASITAILKIGILCSKELPTDRMKISDAMKELLGIREKYHTYL >LPERR06G15990.1 pep chromosome:Lperr_V1.4:6:14689690:14693062:1 gene:LPERR06G15990 transcript:LPERR06G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNSAVGPVLSAARVHSSRVVELDLESLGLNGQIHPCIANLTFFTRIHLPNNQLRGEIPTELGQLNRLQYLNLSSNNLRGKIPHSLASCHQLRILDLGSNTLQGEIPQNLRQFSNLQVLHLSHNMLTGGIPEGLGMLRNLSELRLTGNSLTGSIPLSLGSSSSLVSVFLSNNSLTGPIPSLLANCSSLEVLSLQNNHLSGEIPPALFNTTSLTVLALGGNNFVGSIPNPTNTGSPLQYLIVQSNNLTGTIPSTIGNFSSLLWLLLGDNSFEGSIPTSIGTIPNLQMLDMTYNILSATVPASVYNISALTYLGMGMNSLTGKIPYNIGYTLPSIQTLIMQGNRIQGSLPKTVAGLSKNLEELFFEENKISGNIPEEIEHLTNLSVLNMDANLLTGNLPVSIGNLQNLFSLSLSQNKLSGQIPRSISNLNQLSELYLQENDLNGPIPEALGRCKNLETLNLSYNSFNGSIPREIFTLSSLSKGECVHLETIHMERNLLDGELPDSFNNLRGVIVLDVSQNNLSGEIPHFFNSFNNLRLLNMSFNNFEGQVPTGGIFQNASEVFIQGNQKLCASTPLLQPIWLVQGNMDQYREAFLSLKNKLLLSKFSNSINLEHQRASFLSVRHYIRNTRHRNLVRVTTACSTFDPIGHEFKALILEYMPNGNLENWLHPKLITYESNEPSSLGSRIKIAADIAAALDYLHNHCVPPLVHCDLKPSNVLLDDAMGARLGDFGLKYGFGNKISTEGDVYSYGIVILEMLTGEHPTDGMFNDGWSFRKFVEKAFPQNIAKIIDSTMIPNLEDEDHGNLTMVRILSSNMQLAELSLSCTVEIPKDRPTMQDVYAEVVAIKETFLELHG >LPERR06G16000.1 pep chromosome:Lperr_V1.4:6:14695903:14697074:1 gene:LPERR06G16000 transcript:LPERR06G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPTVVLFTIQLLLLTFAIIGGGLLQVRPVAAATASGETVIGGGTIHAPGSATSGPAATRPPPPVKSGGVVDVPVPGH >LPERR06G16010.1 pep chromosome:Lperr_V1.4:6:14704550:14708536:-1 gene:LPERR06G16010 transcript:LPERR06G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGSLNSLVLAAIVFACYLSTTSSQVPTAPVDNVSDTDIQALLCLKLHLSHNTRALDSWRNDSLHCQWPGVTCSKSHTNRVTELNLESFNLHGQIPPCIGNLTFLTRVHLPFNGLSGNIPSEFGRLNRLAYLNLASNGLNGTIPHTLSLCSNLEIIDLSNNSLDGEIPSSLSKCSNLKEINFYDNKLHGVIPEEFGTLTNLQIIDLSYNSLDGEIPSSLSKCSNMQVIYLCNNKLSGVIPEGLGTLSNLSVLYLYHNHLSGNIPFLLGSNLFLNEVVLTNNSLTGGIPPLLANSSSLQLLDLTNNHLGGEIPFALFNSSSLQHIILAVNNFVGSIPPISNISSPLVDLVLSQNNISGNIPSSIENFSSLQQLLLSQNKFEGTIPSSLSRLPKLQILDLMYNNLSGTVPTSLYNMTTLTYLGMGMNNLIGEIPYTIGYTLPSIESLVLQGNKFHGQIPASLGNARNLQVINLRDNAFHGIVPSFGNLHNLTQLNLGMNQLEAGDWSFLSSLTTCSHLVSLCIDVNILNGSLPSSIARLPKSLEELLLSGNQISGAIPQEIDKLENLQVLHMEQNLLTGNLPDSLGNLPNLFVLRLSQNKLSGEIPLSIGDLSQLSELYLQENNLSGQIPSSLGRCKNLEALNLSCNSFDGNIPKDLITLSSLSEWLDLSHNQLDGEIPIEIDALINLDILNISNNQLSGKIPSTLGECVHLNSLRMEQNHLDGGIPDSFKNLRGLTELNLAQNNLSGMIPEFIESFGSMKLLNLSFNDFEGLIPTGGIFRNASVVFIQGNKKLCGRYPFLELPLCNEKASKKKHTKKVVSIGLLITLSKKRTKVKQASNPSCKELKKLTYADLVKATNGFALANLVGTGKYGSVYKGRFELEEQAVAIKVFKLDQLGAPKSFRAECESLRNTRHRNLVRVITACSTCDSTGREFKALILEYMDKGTLENWLYPKLNKCGFEKPLCLGYRIKIAVDIASALDYLHNYCIPPIVHCDLKPNNILLNDVMGACLGDFGLAKFLYSNNSSKINSITSLSGPRGSIGYIAPEYGFGSKVSVEGDVYSYGIIILEMLTGKRPTDQMFTDGQNLHKFVENAFFSRKIGEILDPNIVPNFDNAENNLGLENHASIGMMDCIIQLAKLGLSCSIERPKDRPGMQDVYAEVITIKEAFSALRV >LPERR06G16020.1 pep chromosome:Lperr_V1.4:6:14721955:14736431:1 gene:LPERR06G16020 transcript:LPERR06G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLITIIIQFALLFFVLAGRLLVLPANAATGSTGSTGSSGSSGNSYNMVPRLITIIIQFVLLFFVLAGRLLVLLANAATGSTGSTGSSGSSGNSEYLHPPSQSIRP >LPERR06G16030.1 pep chromosome:Lperr_V1.4:6:14780098:14780394:1 gene:LPERR06G16030 transcript:LPERR06G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPFGAQNNGLLAKPSRKPSIADAKDQRKPAILASPPWMPTVVAAEALHRCHGRHCEIYRRCHRGNPRGRAERRGRGDDADGKRGSKNCEEEECLT >LPERR06G16040.1 pep chromosome:Lperr_V1.4:6:14780800:14781347:1 gene:LPERR06G16040 transcript:LPERR06G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRRSLAASVTVNLDTEPYLDPKAYRGRHLPSHRRSAFIDDALAVLAACENPRALNLPQMEELEAMEAYVRGIGRRRPPLPVRVARRGVPRPLRRQVSLLRQHLRGGDPCAATTLRVLRAHNVAVHMADKFRLQLQGPALTEPGLELDVPHCYSYAPSYKKAASGDIYS >LPERR06G16050.1 pep chromosome:Lperr_V1.4:6:14814153:14815731:1 gene:LPERR06G16050 transcript:LPERR06G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLITIIQFVLLVLVLAGGLFVAPAAAATGSAGSSGSSGSSGNSEYSHHRPISITAPSLHSIHARDEAAPALLLAPAAVHRTAATTDSAINAEAACTLPHALRYRYIALYLAQYCTCV >LPERR06G16060.1 pep chromosome:Lperr_V1.4:6:14822144:14825171:1 gene:LPERR06G16060 transcript:LPERR06G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITSTSRLGIIILFLLQILVVAGGLLDIDKPKPFFGLDSLLPGRKSSTILVLTPIDDRGGTGPYNHW >LPERR06G16070.1 pep chromosome:Lperr_V1.4:6:14835589:14840840:-1 gene:LPERR06G16070 transcript:LPERR06G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYFEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSVQFQERPPEAELPEQDEDQEDPDERHHADSDTEMDDVKPLDDSGRRSSIQNVRVKRESAETDAADQDGNKVAAEHAKGTEFMADGVGSSKQTVPNDASAMAIEEFGALKVEPDSSNKLPDQPSVHQKP >LPERR06G16080.1 pep chromosome:Lperr_V1.4:6:14849012:14852597:1 gene:LPERR06G16080 transcript:LPERR06G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTPTASTASSNSSDRSVLLSFKSLITEDPSEALTSWGNRSLHHCRWQGVTCGKRGHRRGRVIAIDLNSLRLVGIISPSISKLTYLRKLHLPQNQFTGSIPHELGLLNDLKFLNLSINSLEGEIPKSLSQCSRLQTISLWYNNLQGRIPSNLSLCSDLRTIEVFANYLEGEIPREFGSLQNLETLNLYNNNLTGSIPPAIGNLKMLNFIAISDNGLTGSIPSEIGNLQNLQFMDFGNNKLSGLIPASLGNLSSLNWLSLENNSLTGIIPPSLGRLPYLSTFILARNKLVGNIPLSLGNLSFLTELNFARNNLTGTIPHSLGNIYGLNILKLAENMLTGTLPSSLGNLINLVYIGLQFNNLVGEIPVSLFNLSSLQKLDVQNNKFSGSLQNYFGNKFPLLEGLALNGNKFHGPIPLSISNCSMLEIIQLDNNSFSGTIPSNLGNLKRLSKLRLDYNKLEANHNADWDFLNALTNCTQLQILQLSFNRLRGVLPNSLSNLSTSLERLEILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLDQNRLSGEIPTSLGNLTQLNELYLSVNALTGKIPAALGNCPLGILGLAYNKLSGDIPKEIFLSSRLRSASFLSNMLVGPMPSELGLLKNLQGLDISENKLTGEIPISIGGCQSLQFFYVSQNFLHGSIPSVMNKLTGLQELDLSSNNISGIIPVFLGRFIGLTYLNLSFNNLIGEVPDVGIFRNATAFSIVGNVALCGGVPELSLPTCTNQQTRKHKFPKLAVIMSMAITCLSLAIGVSLISILRRKQRSNNRQLYTRAFRNQLPRVSYTELSMGTDGFSSSNLIGEGRFGSVYKGNMNFEQYSVVAVKILKLQERGASHSFLAECEALRFLRHRNLVKILTACSSIDPRGQDFKALIFEYLPNGSLDKWLHTYTDEQSDQRFLNIFQKLSIAIDVGSALEYLHDYKPVPIVHCDLKPSNILLDSDMMARVGDFGLARFINQDNNASQFSSSWATFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPIDEYFENSDLHRFVEAALPDNVEDIVDQYLIVLPREDRERAQDTLQNKEAALSCLTSILRVGILCSKQLPTERVHIRDAVRELHKIKEKFLPSRDHL >LPERR06G16090.1 pep chromosome:Lperr_V1.4:6:14858210:14860372:1 gene:LPERR06G16090 transcript:LPERR06G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSFVLYAAVLLLSAVASSEANIGDYDEHWQKRKLLSDAAAEATYKHDPLEVANSLNLAIHRHALARSNTLSEEKEIEGLRREMMQTKKQKYRGPCKATNPIDRCWRCRKDWATDRKRLARCAQGFGRNTTGGLKGKFYIVTDGTDDDVVNPRPGTLRWGVIQTEPLWIIFSKNMIIKLKEELMINNDKTIDGRGAQVRITNGAQVTVQHSNNVIIHNIHIHDIVLGKGGMIRDSPEHFGFRTQSDGDGVTIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKQITKQLGAAEAEWKNWVWHSEEDLFMEGAFFTTSGGDIQTKFNKKDLIKPKPGPYVTRLTRFAGSIPCRPGKAC >LPERR06G16100.1 pep chromosome:Lperr_V1.4:6:14863402:14864278:-1 gene:LPERR06G16100 transcript:LPERR06G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSERMKFPTGEHAFAGKRRAVIHRPCTQIDPDVTLLPSPDRSQTPGESRRLRIRRRRGRRVGVRPRLLAEIKQSSATRSSTREYGTEESAVVWNGAGDESRCLRTAAPARDARNCEEETAELTKDSRRRPAVEGNESPARRTVTGTWAERERRREGRSGDGAASWRRTAAGRVGKVSLPAEGSRVAAAARKESRPTKGVVAAVAKGVRKETRSGRRRRRMGGGRAMAAGRRRAAGRNGGGVQLRSLEN >LPERR06G16110.1 pep chromosome:Lperr_V1.4:6:14863472:14865490:1 gene:LPERR06G16110 transcript:LPERR06G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPILLLLLPLLVSFLTPLATAATTPFVGLDSFLAAAATRDPSAGNDTFPTLPAAVLRQLAAPSPLLPSRLLSLSAQVPVTVRLAGDSFPSTAGRLLESFVNSAVSSSQFLSSRRPHRLALSHRIHLDVAAASPHLASLAGAAVRKHLDSSPAPFHTTALSSVPYSLVDDLVAEDYRKLVESGSTPSVFIYLLNLGQQPRPYAYTAASSAADAQSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPKSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQFFHIHGHERDPVGLDWSLIEQSIRDGDLAYNGQSLKFDMHRIRYSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLDELHRVAGVPENDDYDKVVPVFVFDLDYDKLLLLDRYHQAVAFRDMVIAVRTRSSQTVSDYSCNGRHVITMTRSLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTSLNYTITSAIDVLESMAAHGGERILRRKRHVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYFLRSSNHDLYAIHTLVYQASQELEASLVCFKDPPFPWLSVSMSGIFVFGFFYVYSKRDKLFRSKRKQF >LPERR06G16120.1 pep chromosome:Lperr_V1.4:6:14870133:14870659:-1 gene:LPERR06G16120 transcript:LPERR06G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPVPSIRVTLLCLAPVAAVPLYGSLSKMFRPSTTNDPEEVAPEKMTMSSGNRDLLMDSVAHRISSVASDETNLKKAEKPLTPGIQDSKVHSVVHPIPGTKPPGWPPKKLVADTQKTAPSLKKKGTARQQRDEM >LPERR06G16130.1 pep chromosome:Lperr_V1.4:6:14874001:14877442:1 gene:LPERR06G16130 transcript:LPERR06G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGFSSLGLLRLLYILKFFCLLPLVISSNETENDRQALLCFKSQLTGSAEVLSSWSNGSIEFCSWHGVTCSTKLPRRVIALDLSSKRITGPISPCIANLTNLTILQLPNNSFHGRIPSELSFLSELSILNISMNSLEGNIPSELSSCSKLQEINLSNNNLQGNIPSAFGDLTELQKLNLASNRLSGDIPQSLGSNLSLTYVNLGSNALTGRIPETLASSISLQVLVLMSNSLSGELPKALFNSSSLIYLYLQQNSFVGSIPPITAISPQMKYLDLKENHLTGTIPSSIGNLTSLIYLRLTENNLVGRIPDTLGHVPTLETLEVHVNNLSGPVPPSIFNVSSLTYLGMANNSLIGRLPSNIGYTLPNIQELILLNNKFSNSIPNSLLNATHLQTLSLANNSLTGAIPIFGSLRNLEFLDMAYNMLEASDWSFISSLSNCSKLTSLMLDGNNLRGILLSSIGNLSSSLKELWLRNNQISGPIPPGIGNLKSLNILYMDYNYLTGNIPPTIGYLHNMVILSFAQNKLSGQIPGTIGNLVQLYELNLDGNNFSGSIPASIRNCTRLRALNLAQNSLHGSVPIHIFKIFSLSEVLNLSFNYLSGGIPQEVGNLINLRKFSISNNRLSGNVPSTLGQCVFLESLELQSNSLVGIIPESLVRLEGIQKLDISHNKLSGKIPEFLASFKSIVTLNLSFNDFYGPVPHGGAFVDANVISIEGNDRLCVSTPMKGIPFCSALVDRGRVRRSLVLALKVAIPVVFVAITISCLLMIRLRKRMQLNSRKTMQLNTHVRLFSKNMKRITYEDIVKATKGFSSANLIGSGSFGTVYKGNLEKQQNQVAIKIFNLGTHGAHRSFVAECEALRNVRHRNLIKIVTVCSSVDSTGTDFRALVFEYMQNGNLDMWLHPKEQEHSQRNFLTLSQRVNIASDIAFALDYLHNHCASPLIHCDLKPSNVLLGLDMVAYISDFGLARFLRTRSNSDQDSSTSMSCLKGSVGYIPPEYGMSEKRSRKGDVYSFGVLILEMVTGISPVDEIFSDGTSLRDLVAKEFPKNIEKVVDSTMLQHEIDVAEVVQSCIIPLVGIGLSCSMTSPKDRCEMGQVCSEILRIKHALSKIDDE >LPERR06G16140.1 pep chromosome:Lperr_V1.4:6:14880443:14880758:-1 gene:LPERR06G16140 transcript:LPERR06G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVASTIPVTASCGDPPAAAPAPVPSSDLPAAPAAKSPEPSPGPVIDPSKEERSGVEHPLPGGSD >LPERR06G16150.1 pep chromosome:Lperr_V1.4:6:14881681:14885620:1 gene:LPERR06G16150 transcript:LPERR06G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGITGTISPCIANLTSLTTLQLSNNSFHGSIPSELGLLSELKNLNLSMNSLEGNIPPELSSCSQLEILDLHSNSLQGEIPDSLNKCIHLQEINLSRNNLQGGIPSAFEKLPKLQTLVLARNRLTGDIPPFLGSSSSLRYVDLGYNALTGSIPESLANSSSLQVLRLMSNSLSGELPKSLFNTSSLIAICLQQNSFIGSIPTVTAKSSPIKYLNIRNNYISGTIPSSLANLSSLLSLRLAVNNLVGNIPESLGHIQTLEKLALSVNNLSGPVPPSLFNISSLTFLGMANNSLMGRLPSDIGYTLPKIQALILSTNKFAGPIPASLLNAYHLEMLYLGNNSFIGFIPFFGSLPNLKELDVSYNMLEPGNWSFMTSLSNCSRLTKLMLDGNSLQGNLPSSIGNLSSNLEALWLRDNKFFGPIQSEIGNLKNLNRLYVDYNDFTGNIPPTIGNMNKLVFLSFAQNKLSGHIPDVFGNLLQLTDLKLDANNFSGRIPESIGQCTQLQILNLAHNSLVGNIPRKIFKISSLSEEMDLSHNYLSGEIPEEVGNLIHLSRLSLSKNKLSGKIPSSLGQCVVLEYLEIHSNCFVGGIPQSFVNLINLKKMDVSRNNLSGKIPEFLAALSLLERLNLSFNSFDGVLPMGGVFDMDAAVSIEGNDHLCTSILTEGIPFCSVSVDRKRKLRILVLVLEILIPSIIVVIIILSYIVRMYRRKGMQANPFEQISEHMKKITYQDIVKATDRFNSKNLIGSGSFGTVYKGKLDPQEDEVAIKIFKLDIYGAQRSFDVECEALRNIRHRNLVKIITLCSSVDPSGADFKALVFQYMANGNLDTWLHPKAHESIKRKTLTFNQRINIALDIAFALDYLHNQCASQLVHCDLKPSNILLDLDMIAYVSDFGLARFLNIMSYAYEGSSKSLNGLKGSIGYIPPEYGISEAISTNGDVYSFGVLLLEMITGSRPTDEKFNDSTSLREHVARAFPNNTDEIVDPRMLQGEISITTVMQKCIIPLVRISLCCSAASPKDRWEMGQVSAEILKIKHIYSSIHETKHQQNEYGISEVISTNGDVYSFGMLLLEMITESSPTDKKFNDGTILREHVAGAFPDNTYEIVDPRVKSTEQQSASFRWSERIGLCCSAASPKDRWEMGQVSAEILKIKHMYSSIYDTKHQQNSF >LPERR06G16150.2 pep chromosome:Lperr_V1.4:6:14881681:14885455:1 gene:LPERR06G16150 transcript:LPERR06G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGITGTISPCIANLTSLTTLQLSNNSFHGSIPSELGLLSELKNLNLSMNSLEGNIPPELSSCSQLEILDLHSNSLQGEIPDSLNKCIHLQEINLSRNNLQGGIPSAFEKLPKLQTLVLARNRLTGDIPPFLGSSSSLRYVDLGYNALTGSIPESLANSSSLQVLRLMSNSLSGELPKSLFNTSSLIAICLQQNSFIGSIPTVTAKSSPIKYLNIRNNYISGTIPSSLANLSSLLSLRLAVNNLVGNIPESLGHIQTLEKLALSVNNLSGPVPPSLFNISSLTFLGMANNSLMGRLPSDIGYTLPKIQALILSTNKFAGPIPASLLNAYHLEMLYLGNNSFIGFIPFFGSLPNLKELDVSYNMLEPGNWSFMTSLSNCSRLTKLMLDGNSLQGNLPSSIGNLSSNLEALWLRDNKFFGPIQSEIGNLKNLNRLYVDYNDFTGNIPPTIGNMNKLVFLSFAQNKLSGHIPDVFGNLLQLTDLKLDANNFSGRIPESIGQCTQLQILNLAHNSLVGNIPRKIFKISSLSEEMDLSHNYLSGEIPEEVGNLIHLSRLSLSKNKLSGKIPSSLGQCVVLEYLEIHSNCFVGGIPQSFVNLINLKKMDVSRNNLSGKIPEFLAALSLLERLNLSFNSFDGVLPMGGVFDMDAAVSIEGNDHLCTSILTEGIPFCSVSVDRKRKLRILVLVLEILIPSIIVVIIILSYIVRMYRRKGMQANPFEQISEHMKKITYQDIVKATDRFNSKNLIGSGSFGTVYKGKLDPQEDEVAIKIFKLDIYGAQRSFDVECEALRNIRHRNLVKIITLCSSVDPSGADFKALVFQYMANGNLDTWLHPKAHESIKRKTLTFNQRINIALDIAFALDYLHNQCASQLVHCDLKPSNILLDLDMIAYVSDFGLARFLNIMSYAYEGSSKSLNGLKGSIGYIPPEYGISEAISTNGDVYSFGVLLLEMITGSRPTDEKFNDSTSLREHVARAFPNNTDEIVDPRMLQGEISITTVMQKCIIPLVRISLCCSAASPKDRWEMGQVSAEILKIKHIYSSIHETKHQQNEYGISEVISTNGDVYSFGMLLLEMITESSPTDKKFNDGTILREHVAGAFPDNTYEIVDPRVKSTEQQ >LPERR06G16160.1 pep chromosome:Lperr_V1.4:6:14900780:14903213:-1 gene:LPERR06G16160 transcript:LPERR06G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITSTVAAIPAAVAGGSIPPPADGDESTAAAAGAVKPPAAPVTPPAGGDPSRHGSVKDPGNPPPGPHGARHGGITDAGNPPPGHAIKDPGCPPPGPHDGARGLIEYWRLSMKRFRSCSTSTADWLPSDLIEFVPIALRVL >LPERR06G16170.1 pep chromosome:Lperr_V1.4:6:14905631:14910321:1 gene:LPERR06G16170 transcript:LPERR06G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLGVLSSGCLVWLCLSITFPSLPFAISDEYENDLQALLCFKSQLSGPTGVLTSWSNATMVFCSWHGITCSSRSPRRVTAIDLTSEGISGSISPCIANLTSLTKLQLSNNSFHGSIPSELGLLSQLNNLNLSMNSLEGNIPSELSSCSQLELLDLSSNSIQGEIPASLSQCSHLKEINLSKNKLQGRIPSTFVNLHKLQIVVLASNRLTGDIPTSLGSSLLLKYVDLESNVLTGGIPESLVNSSSLQVLVLTRNTLSGEIPKALFNSSSLIAIYLNENNFVGSIQPVTATSLPLKYLYLGGNKLSGTVPSSLGNLSSLLDLSLTRNNLIGTIPDSLGHIPTLSLLNLNANNLSGDVPSSILNLSSLKTIAMANNSLTGELPSNLGYTLPNIETLILSNNRFTGSIPPTLLNASQLSVLQLSNDSLSGVFPFFGSLANLEELDLSYNKLEATDWSFISSLSNCTKLTELLIDGNNLKGKLPHSIGNLSSNLESLCLRDNNINGHIPPEIGNLKSLERLELDYNIFTGNIPPAIGNLHSLVVLSLAQNKLSGAIPDTIGNLVKLTDLKLDGNNFSGEIPATLEHCSQLNILNLAHNSLDGRIPNLIFKISSLSQELDLSHNYLFGRIPEEVGNLINLKKLSISNNRLSGNIPPTLGQCVVLESLEMQNNLFVGSIPKSFESLVGIQKMDISQNNLSGRIPEFLGNFSLLYDLNLSFNSFDGEIPAGGIFHNASAVSMEGNNGLCARTSIEGIPLCTAQVHMKRNTSLVLVLGIAIPIISIAIISLSFIVLLWRKRIQVKPNMQQCNEQKWKNITYEDIAKATNMFSSCNLIGSGSFAVVYKGKLCPHEGEVAIKIFNLGTNGAHKSFIAECETLRNVRHRNLVKIMTLCSSVDATGADFKALVFQYMPNGNLHTWLHPKVHELSQRKVLTIRQRVNIALDVAFALDYVHNQCATPLIHCDLKPSNILLDQDMVACVSDFGLAKFVESILTADEGTSTSLVCPKGSIGYIPPEYGMSKDISTKGDVYSFGILVLEIITGSSPTDEKFNGSTTLHEFVSRGFPNNIYKIIDPKMLEDGVDVTDMMEKCIIPLIKIGLSCSVPLPKERPEMGQVATMILEIKQAC >LPERR06G16180.1 pep chromosome:Lperr_V1.4:6:14906269:14910175:-1 gene:LPERR06G16180 transcript:LPERR06G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCPSFQGWLADGRRRQRRAPEDGSPMEGHSRQISNSSSVFRWKRPVVTGAGKIRRENGIRPNREERFPNEEGQVLEEMNYSPRILGCPRFVREVRLAMQGDMEPEMPSDVKSIAVTRRGDRELQVIPCQLQNTMVALLHEVSTPVGPESWDLKQRRA >LPERR06G16190.1 pep chromosome:Lperr_V1.4:6:14913688:14914112:1 gene:LPERR06G16190 transcript:LPERR06G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAEEFVMLRITLLQEGWVHELTSGDKRCMGSYMGPVTDTTSLTSVSMAIMEAEKILRKPVLKEKHQPGGSLVQFVAPSPPLFERGQSSRGLKGRRQTEGLAGQRRKSTRQAD >LPERR06G16200.1 pep chromosome:Lperr_V1.4:6:14914827:14915285:1 gene:LPERR06G16200 transcript:LPERR06G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAAAVERGGRDVAGLHAERLRIQELQEKAFFVFAEAETARDTSRVAHDITVARVELARECETTSKLSNEINALRATLTSRDEEVRASQGCYDKARLVLHELNNWAISTIQALVHAFGSIKVQGRSLPPNGSTVSEKL >LPERR06G16210.1 pep chromosome:Lperr_V1.4:6:14915364:14915594:1 gene:LPERR06G16210 transcript:LPERR06G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLRRKGCMHIGSSARAAPGDVTSLLTANSGVSSLRKDADDFTEKVWPVLGHDVAVTAMASATQGKKKDAPKV >LPERR06G16220.1 pep chromosome:Lperr_V1.4:6:14919528:14923022:-1 gene:LPERR06G16220 transcript:LPERR06G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSDSQRWNFVFLLHLSSIIIAASPLLRLVVADQAENDRQALLCFKSHVSDPSGALASWRQRQTNTTTSPQHCSWEGVSCTTQRRVTVVAALSLPSIGLAAGSELPPCLTNLTSLETLQLSNNGFRGVVPAELGELSMLTYLNLSGNSLSGIIPSTLSSCTKLQVLDLSNNSLEGEIPVTLSNCLDLQDINLSNNKLQGSIPTGFGTLPNLQTLVLSGNRLTGGIPPLLGSSSTLSLIHVDFGANRLTGEMPASLASSSSLQVLRLMGNSFSGELPLALFNTSSLVAICLQKNNFSGGIPPVTAITRPPVKYLSIRENSLSGQIPPSLGNLTSLVYLMLHKNNLVGSIPESLARISTLERVSLNGNHLSGLVPPSLFNMSSLTYLGFGNNSLIGKLPSNIGNTLPSIQTLVLTSNRFDGTIPASLTNASNLQQLHLADNRLTGLIPLFGSLPNLKALDISTNMLEAGEWSFLASLSNCTSLEILTVHSNNLQGNLPRYIGNLSNSLQVLSLTQNKLSGPIPLEIGNLKNLTVLSMDYNLFRDSIPATIVNLRKLVVLSIAQNKLSGQLPDAMGNLVQLNELSLDGNNFSGHIPPSIGHCSHLEKLNLSHYSLFGNIPRELFKISSLSQYLDLSYNHLSGEIPQEAGNLINLGILSISNNRLSGNIPSTLGQCVLLESLQMQSNSLVGSIPESFMNLVGLKILDVSQNNLSGHIPGSLKSLSNLLVLNISFNNFDGPIPTGGAFSNSSILSLQGNERLCTNVPELALPLCPISVNHKRKHNSLVLKVVIPVVFVAFITLSCLVTILRRRRRKLLMSHYVQSNQSTRKITFQDIAKATNQFSSANLIGSGSFGTVYKGYLELEDNIVAIKIFNLDIFGANKSFDAECGTLKNIRHRNLVKVITLCSTFDSTGTEFKAIVFKYMPNGSLEMWLHPKVGEQGLRRTLTLIQRINIALDIALALDCLHNQCACPIIHCDLKPSNVLLDLDMTACIGDFGLAKFLSTNAQHNTSASLTRLKGSIGYIAPEYGVSVDISTKGDIYSFGVLLLEMITGNRPTDEKFYDGTTMHEFVYKGFPNNIYEIVDPVLLHDESNATHVMQNCIIPLVKIGLSCSMTSPKARWEMGRVCVRY >LPERR06G16230.1 pep chromosome:Lperr_V1.4:6:14928934:14929806:-1 gene:LPERR06G16230 transcript:LPERR06G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIGAGKATPPAASDGDPATAAAAAEPPVAPVSPQQQLPTLALEPTGLSIELTIRAVVRPVSPTSESMPPPVKMSADQRRPTTDPSVPIGDPGCDPPPPPAVKTSADERRPTHDLDPGRAPPPAGSVKTLVDQRITTPDPRDPTPVPGNKSPPSQVASQADRSKL >LPERR06G16240.1 pep chromosome:Lperr_V1.4:6:14946727:14950774:1 gene:LPERR06G16240 transcript:LPERR06G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWIIRVPTVSWIRLDAGAIEHLCITVLLLQKKFSSPLKLSHRVVMACMGALSSGLVWLCLCTIFLSLPLALSDDHENDRQALLCFKSQLSGPTGVLASWNNASMEFCNWHGINCSTQSPRRVTKIVLASEGISGSISPCVANLTSLTRLQLSNNSFYGSIPSELGLLRQLNNLNLSMNSLEEVNLSKNKLEGRIPSAFGSLSKLKIIILSSNRLTGDIPASSGNSLSLKYVDLSSNVLTGSIPESLVNSSSLRVLVLTSNTLSGEIPKALFNSSSLIAVYLDENNFAGSIPLVAASSLPLQYLYLGGNKLSGKIPSSLGNLSSLLDLSLTRNNLIGSIPDSLGHITTLYLLNLNVNNLTGNVPSSIFNLSSLTIVAMINNSLTGELPSNIGYTLPNIETLTLSGNRFKGSIPPTLVNASHLRVLQLSNNSLHGLVPLFGSLPNLENLILSYNNLEADNWSFISSLSNCSKLTKLLIEGSNLKEIGNLKSLEMFEIDYNLLTGNIPPAIGNLQNLVILSLAHNKLSGQIPNTIGNLVKLTDLKLDRNNFSGGIPAALEHCTQLNVLNLAYNSLTGRIPNRIFKISSLSQEFDLSHNYLFGGIPDEVGNLINLKKLSISNNRLSGNISSNLGQCVVLESLQIQSNLFVGSIPKSFESLVGIQKMDISQNNLSGKIPEFLGNFSLLYDLNLSFNNFDGEVPASVIFRNASVISMEGNNGLCARTSIEGIPLCSTQVHRKRKLKSMGLILVIVIPTISVAIISLSFVVFLRKRIQVKPTLPQFNEHRLKNIAYEDIAKATNMFSSDNLIGSGSFSMVYKGNLQLRKDEVAIKIFSLGAYGAHKSFIAECETLRNVRHRNLVKVITLCCSVDANGADFKALVFPYMQNGNLDTWLHPKCHKLSRRNILSISQRVSIALDVAFALDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFVYSRLTAEEGTSTSLTCLKGSIGYIPPEYGMGKDISTKGDVYSFGILLLEIMTGSRPTDEKYNSSTTLHEFVDAAFPDNIYEVLDPAMLQNELVAIDMMEKCIISLVKIGLSCSVPLPKERPEMGQVASMILEIKHAASNMHVRSS >LPERR06G16250.1 pep chromosome:Lperr_V1.4:6:14954827:14958709:-1 gene:LPERR06G16250 transcript:LPERR06G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGERRAVLSWYGTKRHELWRCPSKSVEQSLWEFSTENVVVKPNKGFGIAPVRAFHPRSTIVSERLLPRDGGILPERLLLARRSLRSSGRFPKAEGMLPWSLLLPRRPSSDGILPWKLLFDKSSLIREVMLAMQGEMEPVMPLDARSMAITRRGICVLQVTPFQLQGSNDVLLHEAKTSVGPEIWDLKQRRAC >LPERR06G16250.2 pep chromosome:Lperr_V1.4:6:14955097:14955594:-1 gene:LPERR06G16250 transcript:LPERR06G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGIEPLKLLYWRKMTVSEEVLSRASGSSPLRMLWSSQSFGIAPVRAFHPRSTIVSERLLPRDGGILPERLLLARRSLRSSGRFPKAEGMLPWSLLLPSCEHEESSDGMLPSREFMLRLRLLS >LPERR06G16250.3 pep chromosome:Lperr_V1.4:6:14953317:14958641:-1 gene:LPERR06G16250 transcript:LPERR06G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVSGSTRRLGLSAAPRILRLLIPSQSRVRLQQAAVLRLLRQPQAAAPVAPRQLPPFGSSSSHHR >LPERR06G16260.1 pep chromosome:Lperr_V1.4:6:14954120:14958756:1 gene:LPERR06G16260 transcript:LPERR06G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVFSTGVVCLHLFAFFCCLPLALADETETDQQALLCFKSQISGPTEVLASWSNTSLEPCNWNGVTCSTQIPRRVIAIDLASNGITGSISPCIANITSLIRLDLSNNSFHGKIPSELGLLSQLSNLSLSMNSLEGNIPSELSSCSQLQSLTLQDNSLNGEIPPSLGQCIRLQKINLGSNKLQGSIPSAFGNLPELRKLLLANNSLSGSIPPSLGSSLSLTIVDLGWNALTGAIPKPLLNNSSLQQLWLDHNILSGELPEALLNTSSLTVIFLQYNSFNGSMPPVTAISPQVEYLDLGYNNLTGTIPSSLGNLSSLIYLRLTENDLHGSIPESLSQIPTLETLALNVNNLSGAVPPHLYNMSSLKFLGLTNNSLTGRIPPNIGYTLPNIETLVLSANKFEGSIPSSLLNATRLQFLSLADNKLTGNMPFFGSTKLEVLDLAYNMLEAGDWGFVSSLSNCFGLTKLMLDGNNFQGSLPNSVGNLSSSLQSLWLRDNKIAGPIPSEIGNLKSLTKLYMDYNYLTGNIPLSIGNLSKLGVLSFAQNRLTGQIPYNIGNLVQLNSLKLDRNNLSGSIPESIAHCTQLVILNLAHNSLDGGIPEKILTISTLSQELDLSHNYLSGSIPEEVGNLFGLTKLRISNNRLSGNIPSSLGKCLSLEYLEMQSNFFVGNIPQSFLNLVSIKEMDISQNKLSGKIPQFLASLGSLHNLNLSFNNLEGTVPSGGIFGKVGVVSMEGNDGLCVDTPTGGFPLCSRRHRPLVLVLKVVIPSVAVIFTLLCLTRILLRNKIQTEPPVNQLTEHLQITYEDIVKATNRFSLANLIGSGSFGMVYKGSLEIHGNPVAIKIFNLDMYGAHKSFVAECETLRNVRHRNLVKIITLCSSLDANGEDFKALVFPYLPNGNLDLWLHPKTHDHSQRKVLTLSQRINIALDVAFALDYLHNQCALPVIHCDLKPSNILLDLDMVAYVGDFGLARFVYSRSNAHQDRSTSLGCLKGSIGYIPPEYGMSEDISTKGDVYSFGILLLEMITGSSPTEEKFNGGTTLHEFVDRALPNNIHEVVDPTMLQDVSSVADVMESCVLPLVKIGLSCSMTLPRERPEMGQVSNTILRIKHAASGLLV >LPERR06G16270.1 pep chromosome:Lperr_V1.4:6:14959978:14961138:-1 gene:LPERR06G16270 transcript:LPERR06G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNLREAFDRVIEKRASSSAKAQEVIDQIVREVEQAITKMQMINTDSMGSADHSSVLAELKAKLNELAPLNQLEGCQKELNVALSKYLKVLEKSFNPDISKAYRNVDFEANTINSIIANHFYRQGLFDLGDSFVRECGESDGAYLKLPFQEMYSILEAMQARNLQPALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALQYARTHLVPFASLHKSEIQRLMACLLWADRLDQSPYAEFMSSTHWEKLAEELIHQFCSLLGQSSESPLGIAISAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >LPERR06G16280.1 pep chromosome:Lperr_V1.4:6:14964389:14971698:-1 gene:LPERR06G16280 transcript:LPERR06G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGSPAGGGGSSAAAASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAWSCPIPNPPEWPALLQLPRPEYRAMQDSSLFTGFPDASCRKAQSCSATIPFTGANETLSNIVMQNLFTSSPPSNISDYANISGLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPTTFGAINIQKEMLCIQGLPLWRNSSTIINAETFNGYRKGKTEEGINEIPMAYDFQDSDEKRFNVLALYNSTYQNVSYVPMPFGLLRIPRSLNAISNAYLQFLRGPGVKMLLAFTKEMPKQQTRLTFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSLIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASETGYPGMRWSDLNDHANGMRDVLIIVILEWLVLLPVAYYLDHAASVGHRSSLLSLIKNLLKKSPTWRRVSVNEVANEAVHVEMVKQDIIKERETVDQILQQQGSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECMGILGPNGAGKSSFISMMIGLTRPTSGNALVRDFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRMKSLSGSALDHAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKNLWDAVKKAKQDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLAMKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >LPERR06G16280.2 pep chromosome:Lperr_V1.4:6:14964391:14971698:-1 gene:LPERR06G16280 transcript:LPERR06G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGSPAGGGGSSAAAASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAWSCPIPNPPEWPALLQLPRPEYRAMQDSSLFTGFPDASCRKAQSCSATIPFTGANETLSNIVMQNLFTSSPPSNISDYANISGLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPTTFGAINIQKEMLCIQGLPLWRNSSTIINAETFNGYRKGKTEEGINEIPMAYDFQDSDEKRFNVLALYNSTYQNVSYVPMPFGLLRIPRSLNAISNAYLQFLRGPGVKMLLAFTKEMPKQQTRLTFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSLIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASETGYPGMRWSDLNDHANGMRDVLIIVILEWLVLLPVAYYLDHAASVGHRSSLLSLIKNLLKKSPTWRRVSVNEVANEAVHVEMVKQDIIKERETVDQILQQQGSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECMGILGPNGAGKSSFISMMIGLTRPTSGNALVRDFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRMKSLSGSALDHAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKNLWDAVKKAKQDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLAMKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >LPERR06G16290.1 pep chromosome:Lperr_V1.4:6:14978447:14981851:1 gene:LPERR06G16290 transcript:LPERR06G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGGGSAARASVLRYPLRSASRGKAAADAPPTSASAARRTKPSLDVSKSVCGLDLSSVKDNPAKPPRRHSIQTKPGASPRPTPTGTITPVSLVRSRKSDSQGRFDTPTSEVSMSTARRKFSTLSSISYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMREELKSYVVRHGLATELEELVKDILQVYNIVDDFEKLKITINSSEQPKKSDKAAQSATNVSPKGNLKPRSLNSETVQIKEAAKKGNIQKKPDAKVRGSYNRNIVKNPPGKEAISKNTGKKAKKQAKGQQEDCNGCSETLPVGTDQEPVDVAKEIANEDKENMGDSEMPMDVGIAQEV >LPERR06G16300.1 pep chromosome:Lperr_V1.4:6:14987498:14992465:1 gene:LPERR06G16300 transcript:LPERR06G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVSVLVLFLLLCASTMAAAQPLMSSQAKTLLWVRRQLAFPPALDALVNAADICALPRTPSLAVSCAGGQLTELAVAGDRRAPLPSNFSSDALFTTLTRFPSLSVLSLVSLGVWGDLPGNKLRRLQALRVLNLTGNHLSGAIPNQLSRMFSLQSIVLSGNRLAGAVPSLSALAFLDELDLGHNRGLGPEFPVVGNTLVRLVLAGNNFSGEIPARVVSSLGQLEFLDVSSNRLQGWIPSSIFALPAIRHIDLSRNRLAGQIPASTSCGDALVFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDAAAASPQRPSTYCNPGALAAVLPPPSSKESGGGNGRDGQVGMVLGIVGGVVAGALLIAAVMVAVLRRARRQHPEVTSVLPKSTPAAKKAPADGGKAQAKVAQRIVTPADKRHASQAARVNTLEVPAYRVYTLEEIQEATNNFGSSNLITNSPVAKHYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIISKLRHRHLVSIIGHCIVEDQENPNIASSVCLVSECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHEVTAPGIVQNDISIENILLDKTLTSKISNFNLPMISTSKNGKILSETPFAISEDTDLGSVKSTEQGDKDDIYQFGLILLEVITGRPTESQADLDSLKTQLSEAIAEDPDLLKDMVDPTIRGTFAVESLSTVAEIALNCTAGDPSSRPSIEDVLWNLQYSMQVQDGWASSESLSLSTKSQG >LPERR06G16310.1 pep chromosome:Lperr_V1.4:6:14992133:14995922:-1 gene:LPERR06G16310 transcript:LPERR06G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTSSSLADQPPASSSAAAPDQPPPPAAGVVVAAADQTAEEAAVGAPGVADQSTAAVVSTAALYVGDLEGSVGEDQLVALFSQVVPVASAHVCRDIAATRAMEVLNFTIVNGKPIRVMFSNRDPTLRKSGHANIFIKNLEPSIDNKNLWLLMSTVILKGHGFVQFEREESANDAINGLNGMLVNGTNIFVSLFMRRQERENVGDADNFTHVYVKNLPKNFTNDDLQSEFAPFGAITSAVVMRYDNGLSKSFGFVNFEKSDSAKNVISNLDGKSIDGMVLYVRRAQKKSERQAELKQNMTWNLYDDINDEHLRRLFECFGEIASCKVMLDSHGRSKGYGFVSFAALEDANNAISKMNGKMVGKKPLYVGIHQSKEERKAFLAAHFARAKALATMAPTLGPNMVPHPFNFGHGVPALAPPPPVGYGFQPNFVPGIGLHAPNMMMPYNMQRHPSQRNGARHGGMHRQMHYPQMFHHPNANQGFRQHLTNRRSGLVNQAMLHYGFSTPMPPIQQDFKHFQRTDEFHAQSIPRNKLAASLASANPEQQREILGEFLFPLVEQLEKDCATKVTGMLLELDKSEVLNLIESPDTLRETVAAAMKVLELEAKAALGSGDAVPAAPSSSKAA >LPERR06G16320.1 pep chromosome:Lperr_V1.4:6:14999969:15002407:-1 gene:LPERR06G16320 transcript:LPERR06G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISQPSSSSAPLPPATGGAKGSASGEGLRLYYQQNIQDLLHHIRCKENDLSRLEAQRNDLNSQVRMIREELQLLQEPCSHVGEVVKVMGKSKALVKVHLEGKFIVDVDKSIDVTKLTPSTRVALRNGSYMIHIVLPSKVDPLVNLMKVEKVPDSTYDMVGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMKSGSGSGDSEVQRTMLELLNQLDGFEATNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRRMNLMRGIDLQKIAGKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >LPERR06G16330.1 pep chromosome:Lperr_V1.4:6:15003877:15007521:-1 gene:LPERR06G16330 transcript:LPERR06G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMLFMLAAAFQPAASALSADGKALLSLLPAGAPSPVLPSWDPSSPTPCSWQGVTCSPQSRVVSLSLPNTFINLSTLPPQLATLSSLQLLNLSTCNISGEIPSSFSSSLSSLRVLDLSSNSLYGEIPSEIGQLSSLQYLLLNSNRLTGSIPRAIAGLVNLQVLCVQDNLLNGTIPSSLGTLASLQQFRVGGNPSLSGPIPPSLGALVNLTVFGAAATALSGEIPPEFGNLVSLQTLALYDTGVSGPIPAELGGCGELRNLYLHMNRLTGPIPPELGKLQKLTSLLLWGNALTGRIPPELSNCSSLVVLDLSGNRLAGEVPGELGRLAALEQLHLSDNQLTGRIPPEISNCSSLTALQLDKNGLAGGIPPQLGELKALQVLFLWGNSLSGEIPPELGNCTELYALDLSKNRLAGEIPDEVFALQKLSKLLLLGNSLSGKLPPSVAGCSSLVRLRLGENQLAGEIPREIGKLQNLVFLDLYSNKFTGVLPGELANITVLELLDVHNNSFTGNIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSALTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYIDNPNLCESYDGRTCSSDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILVNRSRTLAGKKAMSLSVAGGDDFSHPWMFTPFQKLNFSVDNILECLIDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLKDNQSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPGKSFLPLHVDYTEMLLLEMLSSKITMLMCSITQEIYLDIHMFLISEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEPVVGDCLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPTERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >LPERR06G16340.1 pep chromosome:Lperr_V1.4:6:15034920:15038493:1 gene:LPERR06G16340 transcript:LPERR06G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSTAQHCAKRHRAGDGEGGVAWPSLAAQNRPPRPPLDSRALSSALFSFPLLFFFFFFSPPPSLTLPERARKNISAWPGISSPPRAESGGGTASNEGGRCSSRRTAAFGLYGFTQFTKSGFVEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMDVHLEICDLSSISEVKSFATKFSLTDKPLHVLVNNAGLLEHKRVTTPDGLELNFAVNVAATYSLTELVMPLLEKAAPDARVITVASGGMYTEPLNKDLQFSESNFDGTQQYARNKRVQVALTEWWAEKYSKKGIGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVIWLALQPKEKLAPGAFYFDRAEAPKHLKFSGTAASHGEIGSIVDSLGSICGV >LPERR06G16350.1 pep chromosome:Lperr_V1.4:6:15040284:15042084:1 gene:LPERR06G16350 transcript:LPERR06G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADANPQSGAGGGDMEAGGESVVNLDKFFEDVEAVKEDMKGLEALYKRLQSANEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKAKLEGLDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDLMDDFQGLRSRMAAEYKETVARRYYTVTGEKAEDSTIENLISSGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKEIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACIAVLAGIVLIAVLVLPVLVNLRILTLK >LPERR06G16360.1 pep chromosome:Lperr_V1.4:6:15042417:15043956:-1 gene:LPERR06G16360 transcript:LPERR06G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRPPAGAVAMLLLVLAVAFRAAAAATAVDVGVNWGSQLSHPLLPSSVVQMLKENGIFKVKLFDADPWPVGALVDSGIEVMLGIPNDMLEIMNSYGNAKDWVKENVTSYGDKLKIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGIGGKVKATVPLNADVYVSPDNKPSSGAFRPDIERLMTDMVNFLHDQNSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPNLKVVVGEVGWPTDGDKNANIKLAKRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSIMPGNFERHWGIFTYDGKPKFPMDLTGHGDDKLLAAVPGVEYLPKQWCVFDDQAKDKSKLPGNIEYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACEFDGLAKITSKNASARGCVFPVQVVSAARRATAAPAPAVVGFAAALVALVIVLV >LPERR06G16370.1 pep chromosome:Lperr_V1.4:6:15046340:15047893:1 gene:LPERR06G16370 transcript:LPERR06G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTNHAVNGDGERRDEAGRRDHVVIFPFMAKGHTLPLLHFATALSVHHKSLRVTVLTTPANLAFARARVPESVRLVALPFPSLPPLPAGVESTDALPCPSLYPAFLRATALLRDPFAAFMASLSSSPPPLVLVSDFFLGFXFAAFMASLSSSPPPLVLVSDFFLGFTHAVAAAAGVRRVVFHGMSCFSMAICKSLVVNPHASAGVGGGEPFHVPRLPEHVTITAEEVPPTVANFADPDDPMTRFMNEHVEFTDVRSWGVLVNSFFAVDGDYVASLESFYEDGARAWLVGPLFLAAAAAAGEDEESDPDGCLDWLDERAPGSVVYVSFGTQAYVTDEQLDEIAHGLVQSGHPFLWVVRSDTWSPPVDVGPDVGRIVRGWIPQRSVLAHEAVGAFVSHCGWNSTMESLAAGKPLLAWPMMAEQVLNAKHVVDIIGAGVKVNAAVDSGVVGRSEVEEKVRMLMDAGGEAGKRIRARVAWAQKAAKSAVSAGGTSRVALEKLLEELQKSYGAVVSKAE >LPERR06G16380.1 pep chromosome:Lperr_V1.4:6:15058366:15064513:1 gene:LPERR06G16380 transcript:LPERR06G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSGLAARDLQMAMEKQDMPWYTTRRTPAPAATAWVKPRKKSETSTSGGGEDWSDAMNAAKGSRSSVVARRNDQHNRGDRSSCLFASSVVG >LPERR06G16390.1 pep chromosome:Lperr_V1.4:6:15061209:15062672:-1 gene:LPERR06G16390 transcript:LPERR06G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANHATNGAATVNGELSDSGYRNHVIIFPFMAKSHTLPLLHFATLLSAHHSGLHVTVLTTPANLAFARAHLPDSVRLVALPFPSLPSLPAGVESTDALPSMSLYLTFLRATTLLRDPFAAFMASLQSSPPPLVLVSDFFLGFTHAVAAGAGVRRVVYHGMSCFSMAICKSLAASPPRQQDGVGEPFHVSRMPGHVTITEEEVPSALAKLADADDPMTRFLIEDVGVSDVRSWGVLVNSFAAVDGDFVAAVESFYDDGARAWLVGPLLPAADDTSERDEEGCLAWLDERAPGSVVYVSFGTQAHVTDEQLDELARGLVQSGHPFLWAVRSDTWSPTVEVGPDVGHIVRGWVPQRSVLAHESVGGFVSHCGWNSTLESLVAGKPVLAWPMIAEQHLNAKYVVDVVGTGERVNSGGSGAVVGRTEVEEKIRRLMDADGEAGQRMRARAAWAQQAARSAVSDGGTSLVAVETLVEELQKSYGVVDKSK >LPERR06G16400.1 pep chromosome:Lperr_V1.4:6:15065649:15070903:-1 gene:LPERR06G16400 transcript:LPERR06G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGSAGCFPGPYPTPSGEEKNQDERQGGQCLLNGMDLLKDVTESKRFLPTGLQGIDALLGGGLRQGQLTELTGQSSSGKTQVCLCSASHVAARQLGHVMYLDTSNSFSPSRIARIVDGFPFSLVKLPKNVRLERVMTSIVCKSVFDIFDLFEVLHQLELSLKNKVKCGNSNICLLIIDSISALLAPINGGKYPRGRSMMMSVAMLLKKLADEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLAISRARGSNICTATVLKHTLLASGRVMKFPVPS >LPERR06G16400.2 pep chromosome:Lperr_V1.4:6:15065649:15070903:-1 gene:LPERR06G16400 transcript:LPERR06G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGSAGCFPGPYPTPSGEEKNQDERQGGQCLLNGMDLLKDVTESKRFLPTGLQGIDALLGGGLRQGQLTELTGQSSSGKTQVCLCSASHVAARQLGHVMYLDTSNSFSPSRIARIVDGFPFSLVKLPKNVRLERVMTSIVKCGNSNICLLIIDSISALLAPINGGKYPRGRSMMMSVAMLLKKLADEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLAISRARGSNICTATVLKHTLLASGRVMKFPVPS >LPERR06G16400.3 pep chromosome:Lperr_V1.4:6:15065649:15070903:-1 gene:LPERR06G16400 transcript:LPERR06G16400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGSAGCFPGPYPTPSGEEKNQDERQGGQCLLNGMDLLKDVTESKRFLPTGLQGYSHLESSTLFLEEACAKVCLCSASHVAARQLGHVMYLDTSNSFSPSRIARIVDGFPFSLVKLPKNVRLERVMTSIVCKSVFDIFDLFEVLHQLELSLKNKVKCGNSNICLLIIDSISALLAPINGGKYPRGRSMMMSVAMLLKKLADEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLAISRARGSNICTATVLKHTLLASGRVMKFPVPS >LPERR06G16410.1 pep chromosome:Lperr_V1.4:6:15072534:15075959:1 gene:LPERR06G16410 transcript:LPERR06G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLVPIAPPPKVPSGATGKELVAVNGTGKTSGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEIAEFELRREERVKAAEERTAKKRLKRQKKKQRKKEKRAKGSNGGEEPNRGESSGGDEDSEDEDKP >LPERR06G16420.1 pep chromosome:Lperr_V1.4:6:15080650:15081664:1 gene:LPERR06G16420 transcript:LPERR06G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGNTRFLVALLVVVSMSCAVDFCHGARDTVSRRPDGYVPTVPSYPVVPMPVAPYCSRWRGYAGACRGRHPSDPPLRTLPHAYPKPQNGEIPQP >LPERR06G16430.1 pep chromosome:Lperr_V1.4:6:15085454:15085946:1 gene:LPERR06G16430 transcript:LPERR06G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTERTRLLAALLIIVSVVIMSFSVDVCHGSREGAGTFPRSDQADERQGLDPYSLKSLHRIPVRASQRCPSPFLPMPCRPTPRTSSSPSPPPAPPAPEKGEIPPP >LPERR06G16440.1 pep chromosome:Lperr_V1.4:6:15094721:15095805:1 gene:LPERR06G16440 transcript:LPERR06G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTERTRLLAALLVIVSAVIMSFSVDVCHGAREGGTFSRPDQAEQPGYYGRRSTDPYYPEPFKPGRIVCIRSPCPGPYLPRHYPYRSTPPKSSSPSPPPPAPEKGEISPP >LPERR06G16450.1 pep chromosome:Lperr_V1.4:6:15099405:15100345:1 gene:LPERR06G16450 transcript:LPERR06G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTDRTRLLAVLLVIVSAVIMSFSVDVCHGAREGGTVARTDQGDLPGYYGRRSTDPYYGRRGEPLDPNRGICYRGNCPGPYRPRPYPYRSTPPTSSSPSSSPPPPLEKGEIPPP >LPERR06G16460.1 pep chromosome:Lperr_V1.4:6:15109372:15111078:1 gene:LPERR06G16460 transcript:LPERR06G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTERTHLLAALLVIISAVIMSFSVDVCHGARDGAGTFSWTEPAERPGYNGRRDPGPYSYGPLYPGRPVCISGHCPTPYFPRPYPYPRTPPSPAPEKGEIPPP >LPERR06G16470.1 pep chromosome:Lperr_V1.4:6:15112879:15114662:-1 gene:LPERR06G16470 transcript:LPERR06G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASEWSGVANGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIQNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHKAAERWLHHMQQAVDTTESIDAGTKTKMMNFFRHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >LPERR06G16480.1 pep chromosome:Lperr_V1.4:6:15119298:15125267:1 gene:LPERR06G16480 transcript:LPERR06G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESNGHRAGGEENGGGGGSFKMPEIRYTKLFINGRFVDAVSGKTFETRDPRTGDVIASVAEGDKDDVDLAVKAAREAFDHGKWPRMSGSERGKIISKYADLVEQHAEELAALESLDAGKPVGVTRVVDVGNSLHSLRYFAGAADKIHGETLRMSRQMHGYTLREPLGVAGLIVPWNFPAVMFFSKVSPALAAGCTMVVKPAEQTPLSALFLAHLAKQAGIPDGVINVVTGFGPTAGAAISSHMDIDVVAFTGSTEVGRLIMEAAAKSNLKQVALELGGKSPLIIFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKIADAMKNWVVGDPFDPRVNQGPQVDKVQYERVLRYIEQGKTEGATVLTGGKPCGKKGYYIEPTIFTNVKDDMIIAKEEIFGPVMCLMKFKTMEEAIARANDTRYGLAAGVVTRDMDVANTMARSIRAGVVWVNCYFAMDSSCPFGGRKMSGFGKDDGMHALDKFLAVKAVVTPVHASPWF >LPERR06G16490.1 pep chromosome:Lperr_V1.4:6:15124403:15124873:-1 gene:LPERR06G16490 transcript:LPERR06G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRMSGNIVQDWEPVVLRRTKPKAADLKSTKAVNQAMRTGAPVETVRKAAAGTNKTSSAAAAAPARKLDESTEPAGLGRVGAEVRAAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAPAAAAK >LPERR06G16500.1 pep chromosome:Lperr_V1.4:6:15127020:15130690:1 gene:LPERR06G16500 transcript:LPERR06G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNRKGRGGGGPPRPRVVDGSGSGGGGSMASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSTGHAPLRKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSKYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALKFCQENPEVAWDITLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLQQWGSVVMVFSGLSLQILLKWKKKKGRDHKG >LPERR06G16500.2 pep chromosome:Lperr_V1.4:6:15127020:15130625:1 gene:LPERR06G16500 transcript:LPERR06G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNRKGRGGGGPPRPRVVDGSGSGGGGSMASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSTGHAPLRKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSKYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALKFCQENPEVAWDITLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLQQWGSVVMVFSGLSLQILLKWKKKKDLYLYGVLVSLTDDD >LPERR06G16500.3 pep chromosome:Lperr_V1.4:6:15127020:15130983:1 gene:LPERR06G16500 transcript:LPERR06G16500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNRKGRGGGGPPRPRVVDGSGSGGGGSMASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSTGHAPLRKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSKYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALKFCQENPEVAWDITLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLQQWGSVVMVFSGLSLQILLKWKKKKGRDHKG >LPERR06G16510.1 pep chromosome:Lperr_V1.4:6:15130780:15132030:-1 gene:LPERR06G16510 transcript:LPERR06G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPMSNSSRRVAAPCQHFLFVTDPMQSHVASTRRLAERVAAAAPNARVTFSTAVSAHRVMFPHLKSPDGEVLHGVVSYIPYSDGFDAGFNPELHGANADAYWPRARAVGSETLRDVVERLTGRGRPVTRVVYTALVGWVAGVARARGMPAALFWAKPATVFAVYHRYFHGHAAELLLSGLPPLRRDALPSFASMASPGKRHHLTLAVLRDLFAALDDDDEDHGTVVLVDTFDELERDALRAVPRLDLVAVSPVAAWDEPPRRGLLLLPGEAKACIEWLDTKPARSVVYASFGSVLSVSKRQEEEMRRGLDATGRPYLLVAHGGGGGGERAMVVEWCDQRRVLSHPAVGCFVTHCRWDSTVESLAGGVPMVAVPRWADQTTVAALVEESAGVGVRARVDGEGVVSRGELLRCVGW >LPERR06G16520.1 pep chromosome:Lperr_V1.4:6:15134450:15135859:1 gene:LPERR06G16520 transcript:LPERR06G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENSPPSPHFLFVVSGIQGHINPARRLATSLMTSSTPAAVRVTFSVPVSAHRLMFPSLTSPDEETVDDAGVTYIPHSDGFDDGYNQEVHGTSTYKSRTQAAGTETLAAIVAALAARGRPATRIVYTFLVVWVPAVARELGIPAAIYWIQPAAVFAVYYHYFHGHDAALAACATSDGDDDHAVVRLPGMPPLRSDELPSPVSITSPAHRHYLLLDMLRDLFRDIDELRPTVLVNTFDALEHDALRAVPELEILAVGPVVPDDGESSQSNTDIFRRDGDANASSYMDWLDTKPARSVIYVSFGTILTMSERQVEEMRRGLEATGRPYLWVSRHDAAAVSEKGMVVEWCDQVRVLSHEAVGCFVTHCGWNSALESVTRGVPMVAVPQWTDQPTVAWLVGERMGAGVRARVDGEGVVERGELRRCVELAMSTDGDGDGVRAQAEQWRKRAEEAVAVGGSSERNLRAFALGP >LPERR06G16530.1 pep chromosome:Lperr_V1.4:6:15140387:15141805:-1 gene:LPERR06G16530 transcript:LPERR06G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPHFLVLTFPLQGHIAPALRLARRLLAVAPDALVTFSTAAAAHRRMFADADDGQGRGSDEDDRLELLPFSDGTENGFAKGGGASELNDYMASFHVSGRRSVGDHLDALAARGRPVSRVVYTLLLPWAADVARERGVPSALYWIQPVAVLAIYYHYFHGHAAAIDDHRHDHSFPVDLPGLPTLTIGDIPSFLTDATDPNDYFHGVFATFRDLFDTLDREKPKATVLVNVFRELEETTLAAVGAYDVLTIGPVLPSGDEDQAGLFKQENNKYMEWLDARPAGSVVYVAFGSITVMAKGQIDELLPGLDETGRPYICVVRKDNKADLAVDGDVSAAASENGMVVEWCDQVRVLSHPAVGCFVTHCGWNSVLESVAAGVPMVSVPQMSDQRMNARLVERDWRVGVRAELDDGVLRAAELRRRVDEVMGEGEAAAEVRRTAAAWKRAVEEALGKGGSSDRNLMAFVESARSGGN >LPERR06G16540.1 pep chromosome:Lperr_V1.4:6:15144553:15152156:1 gene:LPERR06G16540 transcript:LPERR06G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHAPGSISTTCFLNPSFSTTATSASKTLAPTPGPVTLRRFAAMASAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDIRYKAFLDEWETNPSVKCILVESSSPRAFSAGMDIKGVAAEIQKDNNTPLVQKRTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGLYLGMTGKRISSPADALFIGLGTHYVPSANLVSLKESLLSASFTDDPHRDVESLLTKYKNEPESGPQLEKFLPYIISSFGPDKSAAESVEELKKSSQSSDAAVAEWANDALAGLTKGAPFSLCLTQKHFSRVASAYGNDEHYLSKLAGVMKLEYRIALRSSVRNDFVEGNPKWKPATLEHVDMGEVESVFEPLGAEAELNV >LPERR06G16540.2 pep chromosome:Lperr_V1.4:6:15144553:15152156:1 gene:LPERR06G16540 transcript:LPERR06G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHAPGSISTTCFLNPSFSTTATSASKTLAPTPGPVTLRRFAAMASAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDIRYKAFLDEWETNPSVKCILVESSSPRAFSAGGDVKRLANDCTMAEIIERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGLYLGMTGKRISSPADALFIGLGTHYVPSANLVSLKESLLSASFTDDPHRDVESLLTKYKNEPESGPQLEKFLPYIISSFGPDKSAAESVEELKKSSQSSDAAVAEWANDALAGLTKGAPFSLCLTQKHFSRVASAYGNDEHYLSKLAGVMKLEYRIALRSSVRNDFVEGNPKWKPATLEHVDMGEVESVFEPLGAEAELNV >LPERR06G16550.1 pep chromosome:Lperr_V1.4:6:15154302:15155444:1 gene:LPERR06G16550 transcript:LPERR06G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDYKELIPGLPEEVARECLIRVGFDQLPVVRRISRQWKAEVESPAYNRMRKSERLTRPAIALVQARPELAVGAGLAAEKDSSPGNSYRMVLMDPAEGKWTPLPELPGISGGSLPLFCQVTAVDGGGAEGRKRLVVVGGWDPETWAPTDSVFVYDFLTGAWRRGAAMPGPRRSFFACAAVGGKVFVAGGHDEEKNALRSAVAYDPDADEWVSLPDMAEERDEPRGLSVAGKFLVVGGYTTTAQGRFVGSGESFDPASNTWSPVQEGLVDDGACPRTCCVAPGDGERMYMVRDGHLVARRHGESAAWRAVAPVPEDARTATVVAAVGDGVVVVIGSDCHGGEQAVYTLREEGGNKPASWARSLAPAEFAGHVQAACVLEI >LPERR06G16560.1 pep chromosome:Lperr_V1.4:6:15164120:15165467:-1 gene:LPERR06G16560 transcript:LPERR06G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRQKTMRFYDEKAKPGVGDTVQQVREVGVRALRRAEHGRRQLGHLEQEKQKDKRRGHRPVVYSWPAPCSVPGGLPVMPTMSGAFELRPSNFFERNPLINQAAWELPKLLM >LPERR06G16570.1 pep chromosome:Lperr_V1.4:6:15168861:15171573:1 gene:LPERR06G16570 transcript:LPERR06G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPFSPSAHKGPEARPTFPSHLIRCHQTLPRHPADCASLASHAATPLPPPSPHGRTSFFLLDKDLPHWRARLRFHQTVGPFTSTAGAATPPDPSTPPGDGASPGRGRRRAPATGYAGRARVGRRRHVGALTFPAEAASPPDLSLQLATGPVAGHRVIAYRPPAPSHLCGYGCNYFVFKILRATHKQSSA >LPERR06G16580.1 pep chromosome:Lperr_V1.4:6:15170544:15173919:-1 gene:LPERR06G16580 transcript:LPERR06G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVFAIILFVSAAMATITISSNAHPLDPLSAAEIAAVRTAVLASPLVPARPLYFHYVGLDEPDKPDVLSYAASPTTNLPRRAFVIARAGGQTHEFTVNIATTTSSNASASVLSHAIHRGPGFPMFADIDQISAGALPAQYPPFVESVRRRGLDVDSCLVFDNATANIYARPVEGVVMVVDLDRMVIIGYRDRAAYVVPKAEGTDYRAERVGPAAAPGVVVQPEGRGFRIEDGHFVSAEALSPRRAMTKEIEEMGIQAVDPLLRSRCGQTNRSRA >LPERR06G16580.2 pep chromosome:Lperr_V1.4:6:15173133:15173919:-1 gene:LPERR06G16580 transcript:LPERR06G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVFAIILFVSAAMATITISSNAHPLDPLSAAEIAAVRTAVLASPLVPARPLYFHYVGLDEPDKPDVLSYAASPTTNLPRRAFVIARAGGQTHEFTVNIATTTSSNASASVLSHAIHRGPGFPMFADIDQISAGALPAQYPPFVESVRRRGLDVDSCLVFDNATANIYARPVEGVVMVVDLDRMVIIGYRDRAAYVVPKAEGTDYRAERVGPAAAPGVVVQPEGRGFRIEDGHFVR >LPERR06G16590.1 pep chromosome:Lperr_V1.4:6:15174612:15174905:-1 gene:LPERR06G16590 transcript:LPERR06G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVVDEAPQSALCRSIGGDNPCSSRRIMWAIVRKLVVPPPTMHMDAMDDEAAPPTMHMDAMDDEAAPKACKQRRWEVGIGAMPYRDVSPSGEEK >LPERR06G16600.1 pep chromosome:Lperr_V1.4:6:15177698:15179200:-1 gene:LPERR06G16600 transcript:LPERR06G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLLRSSASLLRAAPARSASSSSSSSSSSSSSAARPSLRRALSAPPRILRSPFELSVCAESLLPLHSATAAARMTSMLAAPGRGLGWLTEDGL >LPERR06G16600.2 pep chromosome:Lperr_V1.4:6:15178371:15179200:-1 gene:LPERR06G16600 transcript:LPERR06G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLLRSSASLLRAAPARSASSSSSSSSSSSSSAARPSLRRALSAPPRILRSPFELSVCAESLLPLHSATAAARMTSMLAAPGRGLGWLTEGQDETR >LPERR06G16610.1 pep chromosome:Lperr_V1.4:6:15178809:15191592:1 gene:LPERR06G16610 transcript:LPERR06G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGADSARRRDGRAAEDEEEEEEEEEEDADLAGAARRREADERSSDLAAAAAIVGWIPLRWGEVSSPPVRLVVSPDRVAEFPASPSPPAAPLAGMRVKVVSRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDDVSCMAKNPNYLKAIFSGSMDGAYISLAYIRLWDIASRKTICQFPGHQGAVRGLATSTDGDFLVSCGVDCTVRLWKVPMLKMVDPNDAIGDASEWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDWFFEEERTLEEKVHLASHHLVGAAALWHRRWESSLPSSIWSCVTTTWPRFAEQIKAKFGAPPAIFTTRHVTNDGRAERNAKSTTSATRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRLFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGSLRRTMVEAENRKEVGGHTVPL >LPERR06G16610.2 pep chromosome:Lperr_V1.4:6:15178809:15191592:1 gene:LPERR06G16610 transcript:LPERR06G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGADSARRRDGRAAEDEEEEEEEEEEDADLAGAARRREADERSSDLAAAAAIVGWIPLRWGEVSSPPVRLVVSPDRVAEFPASPSPPAAPLAGMRVKVVSRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDDVSCMAKNPNYLKAIFSGSMDGAYISLAYIRLWDIASRKTICQFPGHQGAVRGLATSTDGDFLVSCGVDCTVRLWKVPMLKMVDPNDAIGDASEWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRLFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGSLRRTMVEAENRKEVGGHTVPL >LPERR06G16610.3 pep chromosome:Lperr_V1.4:6:15178809:15191592:1 gene:LPERR06G16610 transcript:LPERR06G16610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGADSARRRDGRAAEDEEEEEEEEEEDADLAGAARRREADERSSDLAAAAAIVGWIPLRWGEVSSPPVRLVVSPDRVAEFPASPSPPAAPLAGMRVKVVSRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDDVSCMAKNPNYLKAIFSGSMDGAYISLAYIRLWDIASRKTICQFPGHQGAVRGLATSTDGDFLVSCGVDCTVRLWKVPMLKMVDPNDAIGDASEWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVRLFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGSLRRTMVEAENRKEVGGHTVPL >LPERR06G16610.4 pep chromosome:Lperr_V1.4:6:15178809:15191592:1 gene:LPERR06G16610 transcript:LPERR06G16610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGADSARRRDGRAAEDEEEEEEEEEEDADLAGAARRREADERSSDLAAAAAIVGWIPLRWGEVSSPPVVVSRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDDVSKTICQFPGHQGAVRGLATSTDGDFLVSCGVDCTVRLWKVPMLKMVDPNDAIGDASEWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRLFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGSLRRTMVEAENRKEVGGHTVPL >LPERR06G16610.5 pep chromosome:Lperr_V1.4:6:15178809:15191592:1 gene:LPERR06G16610 transcript:LPERR06G16610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGADSARRRDGRAAEDEEEEEEEEEEDADLAGAARRREADERSSDLAAAAAIVGWIPLRWGEVSSPPVRLVVSPDRVAEFPASPSPPAAPLAGMRVKVVSRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDDVSCMAKNPNYLKAIFSGSMDGVFDYGKSLCSKWDVDYQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVRLFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGSLRRTMVEAENRKEVGGHTVPL >LPERR06G16620.1 pep chromosome:Lperr_V1.4:6:15205698:15209018:-1 gene:LPERR06G16620 transcript:LPERR06G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMCGERPAPRAAPCPCRLIAAVADAASLPLTPDRHRIPAAPPPTPPCLTSTDAVSPQANDTTSPEEDGDEEFEFVDDDDMALMDI >LPERR06G16630.1 pep chromosome:Lperr_V1.4:6:15235760:15241994:-1 gene:LPERR06G16630 transcript:LPERR06G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVLAIFSITSAITAKSNPHPLDPLSPTELAAVRSAVLASGHVVPPLPISFHYVGLDEPDKPDVLSHISATVTSGGTIFLRHAFVIARAGGLSHEFRVDITNTSAPFVLSHGIHGGHGFPMFTTEEQVAVGALLPKYPPFVQSVRRRGLAITNVGCGVFSMGWFGDNDARRLAKMQCFVTSTTANFYARPLEGVTLVVDMEAMAIVSYTDRAVYTVPKAEGTDYRAGKAGPPFNGPLPAPGVVVQPEGKGFHIDGHVVRWANWEFHVGFDMRAGTVISAASVHDTDANVWRRVLYRGFVSEVFVPYMDPEEEWYFHTFMDAGEYSLGVSAVPLQRGADCPANAVYLDGYYADADGKPVKSEDVICVFERYAGDVAWRHTEVGGAGEPFAEVRPEVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKAINYTHVDQIKEDVHGTLISENTVGVYHDHYITYHMDLDIDGTENSFVRNTIVPKRNSGIRATGGALTPRRSYWTVVSEVNVNGAPADLLFVNPSKKTKIGNRVGYRLISAGATATSLLVDDDYPQRRASYTKKQVWVTPYNKSEKWVSGLYAEQSTGDDNLAAWTKRNRKIKDEDIVLWYTVGLHHIPYQEDFPVMPTMSGAFELRPSNFFEKNPLIRTSPPGNSPNCSCSVGG >LPERR06G16650.1 pep chromosome:Lperr_V1.4:6:15291013:15292842:-1 gene:LPERR06G16650 transcript:LPERR06G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTVTKVSEGPVKPSATTPTDTLPLAWVDRYPTHRGLVESVHVYLHHDDVDVETLPGVDVVVEAKKAAKKKPAAAVVRGALADALVHYYPFAGKIVEEIPGRPAVLCSGDGVYFVEAAANCTLADVNFLERPLLLTKEQLVPYPTPEQWAVEPHNTLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPIVWARDRYPDPEIKPGPLPDLPVLPLQYIAFDFPATYLANLKSDYSAAAGKKQFCSAFDIVIAKLWQCRTRAIVAAGAVDPDAEVRLCFFASVRHVFDLGEGYWGNAIFPVKVKAAAGEVARLSVVELVGVVREAKRRMAGECASWAEGKTGGRDPFQMAFDYESVYVSDWSKLGFNDVDYGYGTPSSAGPLVNCDLISSVIVMRAPAPLAAGGTRLLASCVTKEHADEFAAMMREDLV >LPERR06G16660.1 pep chromosome:Lperr_V1.4:6:15315132:15317705:1 gene:LPERR06G16660 transcript:LPERR06G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAAATVTRVAQRVVTPSAATPSGQLPLSWLDRYPTQRALIESLHVFKGRADAAESPAAAIERAIAKALVSYYPIAGRLAESDDGELVVNCTGEGVWFIEATASVSLEEVDYLEYPLMVAKDDLLPHPTYPISDHHPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGELARDAAATSPSLPPAWGRDVIPRPPVAAVGPLPIPTELRLQYLAMDISTDYIDHFKSRFLSHSGGHRCSAFEVLIAKTWQARTRAAGFSPESPIHVCFAMNARPVLGRAGGGAAAALPAGFYGNCYYIMRVTASAGEVADASVYDVVRLIREGKKRLPADFARWSSGEAGARGEDPYRITSDYRTLLVSDWSRLGFAEVDYGWGNPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDAFHKEMMRLD >LPERR06G16670.1 pep chromosome:Lperr_V1.4:6:15319198:15324788:-1 gene:LPERR06G16670 transcript:LPERR06G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKQGQPPRGAGTASTAGAPPTPLPTAIPRPTQIGYPAVFYNGNWGAQVPVSSYLIVPMSQPPAQVGATPRPNMPSPSGARPISRVSLRPPQQVLSVQTPLPGMVAMMPSPPSAMGKKMAASPKVQMLKSVPARSSGGKRPAQELLPKAQPQLFESVRSKFRETLVAALNMDSDQLCFPKSAGTVSHVGSVSENKQADVDASASQGPTAVISRDAGKDGIGLDTKSGGSKSEQDSMLSTSSASNMAIKVSDEGLDNNSCTLDELLQGHGLCWASDIVVGASPQNVRKSDIDDDVDVSIIEHESKRMKMDDRAAEGKKNLTQKAQTLAFEIEGELFTLFGGVNKKYKEKGRSLLFNLKDKSNPVLRERVLSGDITPKSLCSMTTEELASKELSDWRLAKAEELAKMVVLPNKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGADLLSQVPSKPSEDQTKSDDKTSAHTEEKEPDNTEQDGIVGTGSNLEHLGNEKTDLMQELMVDDLKDAESLPPIMSLDEFMETLDSEPPFEDDSTQTAKDDLSSIEKTDISLMPKDSSEHVDRAAASEFQADPQASSPQDKCESKLPSPNNEPGSILLPVDQLKGDLLVQSSPEKADPENTDTGSQSIPESAADCKLSSDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTPTKEWRHFVDIKGRVRLNAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADDVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSHRIPVRNDISKRQSISRKQKVVIASAVPLSSKPTKSPGPLSGSSNERHNHRQDVVTDDVPPGFGPGVVREDDDLPEYDFVTAPNAAANIAPSQAYRSQAAPRPVDHVREMVRKYGSGSAAAAAQTWDDDDDDDIPEWDPNQSNLHQTRHQIPQPPLPPPRPVHQQMHTYHQRQQQQHYQSVQQFHATQESQNTLSQAYYVQSQQQHALTHVQPAHAQSGQLGWQTTAWMAAASAATANSGVPTSNVVQLQQYCTAVTPDSSGQAYATGNQGGMAWKPQ >LPERR06G16680.1 pep chromosome:Lperr_V1.4:6:15331089:15335562:1 gene:LPERR06G16680 transcript:LPERR06G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPGSPAPAPAAAKSGLGERPDSLDVRGLAANPGSSSAAVRAGKTRAHAAIPKVNGGGKSAVADAEHETMSSSSSSPAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGRTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNRKLPKLPDIEGATVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNNDSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTKPQETIIPSSS >LPERR06G16680.2 pep chromosome:Lperr_V1.4:6:15331089:15335881:1 gene:LPERR06G16680 transcript:LPERR06G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPGSPAPAPAAAKSGLGERPDSLDVRGLAANPGSSSAAVRAGKTRAHAAIPKVNGGGKSAVADAEHETMSSSSSSPAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGRTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNRKLPKLPDIEGATVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNNDSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTKPQETIIPSSS >LPERR06G16690.1 pep chromosome:Lperr_V1.4:6:15349714:15351651:1 gene:LPERR06G16690 transcript:LPERR06G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSADSSPPVSGLDYDDTALTLALPGSSTTDASDRKRAGAASHADKPPSPKARAVGWPPVRAYRRNALREDAAAAEAKRLVKVAVDGAPYLRKVDLAAHGGYAPLLRALHAMFASCLAVHRAGEGSEGTAAMRLVDSVNGAEYVPTYEDKDGDWMLVGDVPWKMFVESCKRIRLMKSSEAVNLSPRRSFK >LPERR06G16700.1 pep chromosome:Lperr_V1.4:6:15353501:15357728:1 gene:LPERR06G16700 transcript:LPERR06G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRLGGGGGGGGGGGRPPIPGSAAGRRPGLARHASFARSPANSTKSGTEKTFESLDAAAYLPVVRSGGWADMGTRHTMEDVFICSDNFMQDFGLESFEDGPSAFYGVFDGHGGKHAADFVCNNLPRFIVEDEDFPGEIEKALSSAFLQTDAAFANACSVNSSLASGTTALAALVVGRSLMVANAGDCRAVLCCRGKAIEMSRDHKPSCNGEKVRIEASGGYVSYDGYLNGQLNVARAIGDWHMEGMKPCDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVICCKELVDEAIKRNSGDNLSVVVICFNSRPPPVLTAPRPRVQRSISAEGLRELQSFLDSLAD >LPERR06G16710.1 pep chromosome:Lperr_V1.4:6:15384960:15397765:1 gene:LPERR06G16710 transcript:LPERR06G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVRLYRYSDLGERLPAYDGRKNLYTAGTLPFDAREFVVRLSDDDDGTGVPPREREYRVAIKFAARADLHHLREFIAGRQADAPQEALQVLDVVLREVANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNEYDQDPYANEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAHMCQISGMEFNSEPVIPIYSARPDQVEKALKNVYNLALNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTPKSSTGTNGTSVKPLPAVKEKVKRVMFYC >LPERR06G16710.2 pep chromosome:Lperr_V1.4:6:15384810:15397765:1 gene:LPERR06G16710 transcript:LPERR06G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKITPEVSSRSVNRAIMAELVRLYRYSDLGERLPAYDGRKNLYTAGTLPFDAREFVVRLSDDDDGTGVPPREREYRVAIKFAARADLHHLREFIAGRQADAPQEALQVLDVVLREVANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNEYDQDPYANEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKEFNSEPVIPIYSARPDQVEKALKNVYNLALNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTPKSSTGTNGTSVKPLPAVKEKVKRVMFYC >LPERR06G16720.1 pep chromosome:Lperr_V1.4:6:15392250:15393848:-1 gene:LPERR06G16720 transcript:LPERR06G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSASHHGHGMPIRGCNVLIRALARRGSFASVMAVYYDLRARGLVADSFTYPFVLRAVGVLRLAVEGRKAHAAAVKTGFRWDAYTGSSLMEMYAMLGRVDIARKVFDEMPRGELVLWNMMIRCYIRCGRYSAAVALAGQMERSGVTPDRATLVTAVTACSRARDLSLGRRIHVYMDGVFGFNLPVANALLDMYAKNDCLEEAVKLFEHMPVRNIISWTILVSGCGLSGELDKARALFNQCREKDLILWTAMINACVQHGCYEEALTLFREMQMTQVEPDRFTVVTLLTCCANLGALDQGEWIHQYAQERKMKIDAILGTALIDMYAKCGHVEKSLEVFRQMQGRDATAWTAIICGLATNGQAGRALELFEDMQRSKVKPDSVTFIGVLSACCHGGLVDEGRKQFHAMKEVYQIEPRVEHYSCLVNLLGRAGLLDEAERLIGGIPINRDAMPLFGALLTACKAHGNVEMSERLTKRICEQDSQIADVNLLMSNVYATASRWEDVIRVRSKMAHPTVKKTAGCSVIEVKGY >LPERR06G16740.1 pep chromosome:Lperr_V1.4:6:15402601:15406910:1 gene:LPERR06G16740 transcript:LPERR06G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLSRLLILPPPAPATAAAASLRQRKSSFAALSLSQSPSERRHRVAARAVAPAAEEAAAEEEKGGGLPAAEAERLAEFLREDLPHLFDDVGIDRSAYDDRVRFRDPITRHDDIDGYLLNIRLLKLLFRPDFYLHHVKQTGPYELTTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCNHVDVWDSIQNNEYFSFEGLLDVFKQLRYYKTPDIETPNYLILKKTANYEIRRYPPFLIVEAKGDKLTGSSGFNNITGYIFGKNASSEKIPMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVTLRKAEGGIAAVQKFSGRPKEEIVIQKEEELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMRNEVLIWLDDFTLE >LPERR06G16740.2 pep chromosome:Lperr_V1.4:6:15402601:15406910:1 gene:LPERR06G16740 transcript:LPERR06G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLSRLLILPPPAPATAAAASLRQRKSSFAALSLSQSPSERRHRVAARAVAPAAEEAAAEEEKGGGLPAAEAERLAEFLREDLPHLFDDVGIDRSAYDDRVRFRDPITRHDDIDGYLLNIRLLKLLFRPDFYLHHVKQTGPYELTTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCNHVDVWDSIQNNEYFSFEGLLDVFKQLRYYKTPDIETPNYLILKKTANYEIRRYPPFLIVEAKGDKLTGSSGFNNITGYIFGKNASSEKIPMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVTLRKAEGGIAAVQKFSGRPKEEIVIQKEEELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMRNEVLIWLDDFTLE >LPERR06G16750.1 pep chromosome:Lperr_V1.4:6:15418953:15421624:1 gene:LPERR06G16750 transcript:LPERR06G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSKLTGSFTEANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARAVMFGAIDELLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSIDLAKDLLQVHPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGANDKCFECVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVDVPKISKVGNA >LPERR06G16760.1 pep chromosome:Lperr_V1.4:6:15421849:15429495:-1 gene:LPERR06G16760 transcript:LPERR06G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKSPVTCAEVSQDSRFITTTDGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAINANGKPTAGVNEVAHKIEGFHIPKEEEQQQTEGLQKR >LPERR06G16760.2 pep chromosome:Lperr_V1.4:6:15423217:15429495:-1 gene:LPERR06G16760 transcript:LPERR06G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKSPVTCAEVSQDSRFITTTDGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAINANGKPTAGVNEVAHKIEGFHIPKEEEQQQTEG >LPERR06G16770.1 pep chromosome:Lperr_V1.4:6:15433999:15434355:-1 gene:LPERR06G16770 transcript:LPERR06G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTIPYLGRRINQQQRRGGAVPIARDHRRMVLDSGCTYMVLGIAILMRELMTVSAAKTNHTDLCVLVAFLLWLLGASAWRLRCCRSLQANSPISLRQPLPLLRSCDIIYFVAASKV >LPERR06G16780.1 pep chromosome:Lperr_V1.4:6:15436706:15437053:-1 gene:LPERR06G16780 transcript:LPERR06G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAMCYDLGRINQQQRGGARRPVVIGRRRNNRRLLLDFGRVFMLLSAVLTSHLITTSSSIDAEAELYVYDVFVAFLLWLLGAALAMLSLDVAAGAQFPWRALFDAGNHLVGGF >LPERR06G16790.1 pep chromosome:Lperr_V1.4:6:15442393:15442731:-1 gene:LPERR06G16790 transcript:LPERR06G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAMHCFFLLLLLPNQQEGRRRHHHRGRLLLDSGRVFMFIAAVILVHLLTNGGALPGGGTTAEPCCVALLLWLIGATLTMLSFVAGQFPALADAAADMATEMRNYLFGDI >LPERR06G16800.1 pep chromosome:Lperr_V1.4:6:15445217:15445456:1 gene:LPERR06G16800 transcript:LPERR06G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFKVAGAGGVEPQVVHHAGAEALLAGTLEAMRLRRCHRTTPSLLGVLTQVKRLVVVESQEMYAARRRRPRRSGAP >LPERR06G16810.1 pep chromosome:Lperr_V1.4:6:15447857:15451234:1 gene:LPERR06G16810 transcript:LPERR06G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISQPSSSSAPLPPATGGAKGSASGEGLRLYYQQNIQDLLHHIRCKENDLSRLEAQRNDLNSQVRMIREELQLLQEPCSHVGEVVKVMGKSKALVHPEGKYIVDVDKSIDITKLTPSTRVALRNGSYMLHTVLPSKVDPLVNLMKVEKVPDSTYDMVGGIDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMKSGSGGGDSEVQRTMLELLNQLDGFEATNKIKVLMATNRMDILDPALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAAKMNEASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >LPERR06G16820.1 pep chromosome:Lperr_V1.4:6:15452224:15454422:1 gene:LPERR06G16820 transcript:LPERR06G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALPDPAAVGYPAFKLVLVGDGGTGKTTFVKRHVTGEFEKRYEPTIGVEVRSLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEEPALLPADVAVDLIAQQKIETEIAAAAAMPLPDEDEDNMD >LPERR06G16830.1 pep chromosome:Lperr_V1.4:6:15460290:15463134:1 gene:LPERR06G16830 transcript:LPERR06G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVSWSGVAVAVTAACVALHVAARVLDAVWWRPRRLEAHFAGQGVRGPPYRFLVGCVREMVSLMAEATASPMPASQPHNALPRVLAFYHYWRKIYGATFLIWFGPTPRLTVAEPDMVREIFITKAAAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTPAFFPDNLNRLVPHVGRSVAKLAETWRAMASAGGGEVEVDVAEWFQAVAEEAITRATFGRSYVSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDREIRRGLIRLIGRRSGGDAGEEDDENAEMKHKDDGFKDLLGLMINAGAVNKAMPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLAVCGDDAGELPTKDHLPKLKTLGMILNETLRLYPPAVATIRRAKCDVTVGGIAIPRDTELLVPIMAIHHDARFWGADAAQFNPARFAGGAARAAKHPLAFVPFGLGSRMCIGQNLAILEAKLTMAILLQRFDLKLSPNYVHAPTVLMLLYPQYGAPFIFRPRQSQPSD >LPERR06G16840.1 pep chromosome:Lperr_V1.4:6:15481839:15486799:-1 gene:LPERR06G16840 transcript:LPERR06G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKGNKVEVLQEAEVPLGSWRGAEIVLGNAESFYVRYDPSPVDTCAAVERVHRRLIRPCPPPDDSVCWAIGDILEAFDSYSWKISEMVRVLGKDYYLVRLLGSSLELRIHASELRLRKHWKDGKWTVLQKDSTRCYGGSFRGQSKSGNFGSKLGKHKQLYCTMDNNLLLKNQKAFNSDRSRGMKRKASAPSTNPTQCSEVTKRLQTPRRDGRYSLLVAGVSPRLAEKGDAVDSPCLMLGEKYMHASLIRTKNGVRKTNLAELEACKASFRRSMLMEESATWSVVLNVATFMLENV >LPERR06G16840.2 pep chromosome:Lperr_V1.4:6:15481839:15486799:-1 gene:LPERR06G16840 transcript:LPERR06G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKGNKVEVLQEAEVPLGSWRGAEIVLGNAESFYVRYDPSPVDTCAAVERVHRRLIRPCPPPDDSVCWAIGDILEAFDSYSWKISEMVRVLGKDYYLVRLLGSSLELRIHASELRLRKHWKDGKWTVLQKDSTRCYGGSFRGQSKSGNFGSKLGKHKQLYCTMDNNLLLKNQKAFNSDRSRGMKRKASAPSTNPTQCSEVTKRLQTPRRDGRYSLLVAGVSPRLAEKGDAVDSPCLMLGEKYMHASLIRTKNGVRKTNLAEVNVDTENKYLPLTSAYPSDTESTSSSVRSCSPSSSPYSSQHFYSAYQSGDICSRTDVAEAVVSERETSQHDKIIPKGDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRRPKLEACKASFRRSMLMEESATWSVVLNVATFMLENV >LPERR06G16850.1 pep chromosome:Lperr_V1.4:6:15491808:15493967:1 gene:LPERR06G16850 transcript:LPERR06G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRSGEKRKYPKLRELAEMATVVVAPLAAGAPGARVFGAPESFRCYAFRTLLRRSPRGVACALRRRPSKYKNKIQNEEAIAEDDIDGGGEDNDDALESLFKQLEEDLKNDDLSVEDDDDGISEEDMARFEQELAEAIGDIGDVDESAGDSSSVSESYGNDEKTDEIERPALKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLFLSDSLPDEAPSKPEIKEIESSPPSPVVDNADVTETKSQSELPVHVMCAEWSAQRRLKKVQLETLERVYSRTKRPTNTMVSSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETIAS >LPERR06G16860.1 pep chromosome:Lperr_V1.4:6:15503878:15507900:1 gene:LPERR06G16860 transcript:LPERR06G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSIDAYIGMEESEDEIGRVPEFGLALPGMAPAPSTSGRSVQDAAAAAAGTSSSPAAQAGSSSRRRGRSTADKEHRRLKRLLRNRMSAQQARERKKAYMSELEARVKELERSNSELEERLSTLQNENQMLRQVLKNTTVNRRGPDSSSGGKS >LPERR06G16870.1 pep chromosome:Lperr_V1.4:6:15509500:15512625:1 gene:LPERR06G16870 transcript:LPERR06G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESPEIMPVECPDQEPASSKSDDVPPVALSSRLPIPSGELSLYRAAVALRLVLLAAFFHYRVTHPVAAAADGHALWLTSVSCELWLAASWLISHLPKLSPANRATYPDMLAAVPSGLAGVDVFVSAAGTLATANAVLSVLAADYPAGGMSCYVHDDGADVAVFESLFEAARFARRWVPFCRRHGVVPRAPELYFARGVDYLRDRAAPSFVKDRRAMKREYEELKVRMNCLAAKASKAAEEEEGWVMSDGAAWPGNNPRDHPAMIQVMLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNALLRVSAVLSNSAYVLNLDSDQCVNNSSVLREAMCFLMDPVAGNRTCFVQFALRDADDGDSVFSDIEMKCLDGIQGPVYLGSGCCFSRKALYGFEPAAADDGDDDTEMAANWWTFCFGRGKRMSWLRRSMSAVPLLDSDSEEDDSDDDEQEAAAAAAGRRRSRRLRAYRASLERHFGQSPAFIASAFEDQGRRRGDGGEQDAAVAGTGSLLLKEAIHVVSCAYEQSTRWGKDIGWMYVGGDGDGGNGVATGFRMHARGWSSAYCSPTRPAFRSFTKASPSEHLAGASRGAVAAMAILLSRRHCPIWAGWAGGGGRIRLLQRVGYVGRVAYPLASIPLTVYCFLPAVCLLTGKSTFPADVTYYDGVLLILLLSSVAASVALELRWSRVSLHAWWRGEKMWVVTATSACLAAVFQGVLAACTGMEIGFSTETTPAASPPAGEEEEAVAWSVRWTKLVVLPASVAAANLAGVVGAVAYGVDHGYDSWGPLGAKLVLAGWVVAHLQGFLRGVIVARRERGRAPPTIAVLWSVLFVSVVSLLWVHLASFSASPPPPTAQQPIL >LPERR06G16880.1 pep chromosome:Lperr_V1.4:6:15518625:15523914:1 gene:LPERR06G16880 transcript:LPERR06G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKAVGAGGDGAWTTVSRSGRSYHAAPATSGCGGVEPSVKVGDVAEGMAGLEIGDGDGGRRLDKYDIPVEVSGEGVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYAMPIALAGRDLMACAQTGSGKTAAFCLPVVSGLVAAGGSGIGPRERSSFNRAAAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLEAIKYLVMDEADRMLDMGFEPQIRKVVDRMNMPRKSVRQTMLFSATFPPEIQRLASDFMSNYVFITVGRVGSSTDLIMQKVEFLSDGEKRGYLHNLLQKQSISVADSKMQQPLTLVFVETKREADSLRYWLFEQGFPATAIHGDRTQQERESALKSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKAGSATAFFTDRDHSLAKGLLELMTEAKQDVPDWLVQYAERPYYGGSSYGGRNRRPSGTGNRFGDRDFRRGSDYNDYSGGGDSYSGSGGYYGGRGSGYSGGGGGAGYSGGGGGYSGGDSYKPRDPPPPRYYSPYPMGTSNISASGWD >LPERR06G16890.1 pep chromosome:Lperr_V1.4:6:15525347:15528147:-1 gene:LPERR06G16890 transcript:LPERR06G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSASMFVLTLIHLLLHISALDYLSPGSSLSEEHSSDVLYSPDGSFTCGFYNISPNSSIFSVWFSHSVEKTVVWSANLDRPVYTWGSKLKLNIDGNMVLQDYSGQIVWTNNVSSSNVQEAQLLESGNLIAKGQATNPQRKSRPAACNGAVAARKEQATNIVVLPRNRLVDDQHILTLFDDEKDISFIYWPNPFINVYEKKRISFNTTMLGVLDSSGHFLGSDNASFKAADWGPGIFWRLTLDYDGNLRIYSLNKTDGTWLITWMAFTMRCLRGLCGFNGICVYTPNPACACAPGHEFSDPRDLTKGCKPRFRINCDGKQKLKFQRLPTTEFLGYDQATYKHVSLSFCKNICMSDCSCKGSSYWQGYGNCWPKSSLVAGITVQSLPGSTYLKLPEAFEIPESSVPRSRSQPSGPQYGPHCSTDNQYFIAKFSDVSRSGHSQSRYLYFYGFLSAIFLVEVIFVALGWWFIMRKEGRQLTGVWPAEAGYEMITNHFRRYTYKELQRATRKFKDELGRGASGVVYKGILKDKRAVAVKKLADVTQCEEEFQHELSVISKIYHMNLVRVWGFCSDGPRRMLVSEYVENGSLVKMLFGNERSQILLEWKQRFKIACGVAKELEPKITDFGLAKLLNRRGSNKNVSQIHGTRGYITPEWVYSLPITAKVDVYSFGVVLPELLKGTRVSEWVKTEDGDDEVLQQAIRMLAENVKLQEGSKESWITDFIDSRLNDQFNYLQARTMVKLAVSCI >LPERR06G16900.1 pep chromosome:Lperr_V1.4:6:15531612:15534038:-1 gene:LPERR06G16900 transcript:LPERR06G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHYAAMFSLTLIHLLLHISALDFLSPGSSLSVEHSSDVLYSPDGSFTCGFYHISPNSSIFSVWFSHSVEKTVVWSANLDRPVYTWGSKLKLNIDGNMVLQDYSGQIVWTNNVSSSNVQEARLLESGNLIVKGQGDIILWQSFASPSDTLLPNQIINGTIKLVSSTSSNRLVVPGHYSFHFDDQHLLTLFDDEKDVSFIYWPNPFINIWAKQRIPFNTTMTGVLDSLGHFLGSDNASFMAADWGPEITRRLTLDYDGNLRLYSLNKNGTWLVTWMAFTQLCFVRGLCGINGVCMYTPKPACACAPGHEIIDPSDWSKGCKPKFRITCDGMRFLKLPTTEFLGYDQGTYKQVSLSDCKNICMSDCSCKGFSYWRGKGDCYPKSSLVGGVTVQTLPGSTYLKLPEALQVPESSIPSSQPSGHQYGLNCSAENQYFIAKFSDISSGQSESRFLYFYGFLSAIFLVEVIFVVLGCWFILRMEGRQLTGVRPAEAGYDMITNHFRRYTYNELHRATRKFKDELGRGASGVVYKGILKDKREVAVKKLAGIEQCEEEFQHELSVISKIYHMNLVRVWGFCSDGSHRMLVSEYVENGSLDKILFRSEESQILLGWKDRFKVALGVAKGLAYLHHECLEWVIHCDVKPENILLDENLEPKITDFGLAKLLNRGGNNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKIEDEEDEVEKVLQRAVRMLAVNVKLQEGREQSWIIDFIDCRLNGRFSYLQARTMIKLAISCIEEDRGKRPTMENVAQMLLSVDEESIVA >LPERR06G16910.1 pep chromosome:Lperr_V1.4:6:15537987:15539958:1 gene:LPERR06G16910 transcript:LPERR06G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAIEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTENFDELMAAAAEEREAAAAAAAEGEEQG >LPERR06G16920.1 pep chromosome:Lperr_V1.4:6:15540640:15543020:-1 gene:LPERR06G16920 transcript:LPERR06G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSIPQLPELRFPWDLQEEKTLSLSLHSSASPHGGLFASVGLKVSTAAPAVAPSPTEHDFKIPFADHCIKYVSSAVGYQVPGTEAEPVNEEEVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAMAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKIMREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >LPERR06G16930.1 pep chromosome:Lperr_V1.4:6:15548515:15550438:1 gene:LPERR06G16930 transcript:LPERR06G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLTPSLTCASWLAAAGRLASPPQHTQVQPHRQITPLPNPLNSIPPWTPPSGAKVLLSVLSLTTGEPMTYRRRPKKSTGLQQPADGIRYGVNTKVSSGIQMQPCRCTPNQRGLFDME >LPERR06G16940.1 pep chromosome:Lperr_V1.4:6:15553414:15557787:1 gene:LPERR06G16940 transcript:LPERR06G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKPPSPGSAAAGNVGHSGAYHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSSASAEPLFVEAKLRQQQHQMRPSHSAPRRAVPKIAYLVSGSAGDGVALRRTLRALYHPANTYVVHLDLESPAAERADLAAAVRSDPVYSRFRNVRVVTRANLVTYRGPTMVANTLHAAAILLRDAGDWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTSVKGSFTVERVQDLRPGPGADRLKKLVTGLLTQEGFDDKHCL >LPERR06G16950.1 pep chromosome:Lperr_V1.4:6:15558261:15560951:-1 gene:LPERR06G16950 transcript:LPERR06G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVKHHVEGFPCEDDFEVKKTRLRWTPESGERIAAYGAGEVVASACEEYEAGDIVAGVLGWEDYTLFSPSPAVLMSKLSTSNDLPLSHHLSALGTSGMTAYGGLYEVCRPERGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDEAFNYKEEPDMKSALKRYFPDGIDIYFDNVGGETLEAALASMNTYGRVALCGVISEYTDPGRRATPDLLEVIYKRIIIRGFFAWDFMTRFGEFTGIIGDWIRQGKVQVIEDVSDGMESVPSAFTALFHGNNIGKKLVKL >LPERR06G16960.1 pep chromosome:Lperr_V1.4:6:15566126:15569803:-1 gene:LPERR06G16960 transcript:LPERR06G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPSVAAPHAIARLASTSPALRVGRKSGGVSIVHAVGAAPRPVVGLGLRSGGGRGRMVIAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKEPQAPPVARWEPSVEGYLRFLVDSRLVFETLEAIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEELAEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNQVASSWTREEKDHCLEETEKSFSYSGDLLRHIFT >LPERR06G16970.1 pep chromosome:Lperr_V1.4:6:15577479:15578135:-1 gene:LPERR06G16970 transcript:LPERR06G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFVDGDVVRNSTSGGALGVHAASRKIGKTPAARQQQRKPVIIYMVSPKIIHVEAHEFMSLVQRLTGPEAAASGDKKTSPSPSSSSPRRTGTTPPPVRVKARALNRPAGGAAVSVSVTATRQHQQQQLAPPSSSSATAPSPSGFLFHDLSPLRGGAFKVESAAAAGQLVSPASMSWLHHVAGGDHFFSPVGAPASLGSPSAFLDIFGPLPSQHQ >LPERR06G16980.1 pep chromosome:Lperr_V1.4:6:15582894:15586248:-1 gene:LPERR06G16980 transcript:LPERR06G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRPPPPVKASDTPPETKPKLAPPSRPTAAAASPSPASSPGPRPPPVPILPDSILNAFPPPEREIYKLVFSGGDRGMTQTEIRTRTRMQPNTLSRHLRSLTSATKGVLKVVNSVHKRAEKMYMDARIDPSPEITGGTWYRDGQLDSDAVAAARRRCRDQIDRLGGVATADAIFAGIGRDCPSTAYSIEQIRDILRTMALDMELEEVRSTGVGEFSDLRAGRVCYRRGGAPQGGMMEGIPCGVCPRVDECSPDGVISPKTCVYYKKWLQMDF >LPERR06G16990.1 pep chromosome:Lperr_V1.4:6:15596415:15601769:-1 gene:LPERR06G16990 transcript:LPERR06G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATADERGLSVAGAGDDSEEETSPIEEVRLTVPAGDDDPTLPVWTFRMWSIGLFSCVLMSFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRRAFRAPVFLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYKRSISFIAAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKDELPNGSQRISRSKFFLVALICSFAWYVVPGYLFPALTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSAISSFLFSPLISPFFATANIFIGFVLFLYVLIPIAYWGLDLYNAKTFPIFSSHLFMSNGTAYDITTIVNDKFQLDVDAYNQHGRINLSVFFALAYGLSFATIASTITHVGLFYGKEIYHRFRASQTEKPDVHTRLMKKYDDIPGWWFYSLMALSITVALILCTVLKHQVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAIGLIMPGYPIANVCFKVYGSMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSVKDICQDSLPADSPWTCANDRVSFDASVIWGLVGPLRIFGPEGNYGALNWFFLVGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATSVNYNSWLLTSTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLVYFSLSMENRSIDWWGTAGEHCPLASCPTAKGVDLGPTSVCHVF >LPERR06G16990.2 pep chromosome:Lperr_V1.4:6:15596415:15601769:-1 gene:LPERR06G16990 transcript:LPERR06G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATADERGLSVAGAGDDSEEETSPIEEVRLTVPAGDDDPTLPVWTFRMWSIGLFSCVLMSFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRRAFRAPVFLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYKRSISFIAAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRRYFILTHLNVCTRALHEKDELPNGSQRISRSKFFLVALICSFAWYVVPGYLFPALTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSAISSFLFSPLISPFFATANIFIGFVLFLYVLIPIAYWGLDLYNAKTFPIFSSHLFMSNGTAYDITTIVNDKFQLDVDAYNQHGRINLSVFFALAYGLSFATIASTITHVGLFYGKEIYHRFRASQTEKPDVHTRLMKKYDDIPGWWFYSLMALSITVALILCTVLKHQVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAIGLIMPGYPIANVCFKVYGSMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSVKDICQDSLPADSPWTCANDRVSFDASVIWGLVGPLRIFGPEGNYGALNWFFLVGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATSVNYNSWLLTSTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLVYFSLSMENRSIDWWGTAGEHCPLASCPTAKGVDLGPTSVCHVF >LPERR06G17000.1 pep chromosome:Lperr_V1.4:6:15618658:15622664:-1 gene:LPERR06G17000 transcript:LPERR06G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGGGEERQAKRARVAADVAGAGDEEAAPAMTPEEADFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDQLLPSNELSVSSKDGRGDSASEDKPSNPSSSLVNGCAIPELDEIEYMESMYMKGTVAALRSLKEIRSRSSTVSAFSLPPLQGNSSPEEQPELWNKIPVIEQAAK >LPERR06G17000.2 pep chromosome:Lperr_V1.4:6:15618658:15622664:-1 gene:LPERR06G17000 transcript:LPERR06G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGGGEERQAKRARVAADVAGAGDEEAAPAMTPEEADFMRLLEAELDKFNSFFVEKEEEYIIRQKFDCEIVMVEQELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDQLLPSNELSVSSKDGRGDSASEDKPSNPSSSLVNGCAIPELDEIEYMESMYMKGTVAALRSLKEIRSRSSTVSAFSLPPLQGNSSPEEQPELWNKIPVIEQAAK >LPERR06G17010.1 pep chromosome:Lperr_V1.4:6:15640100:15641003:-1 gene:LPERR06G17010 transcript:LPERR06G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVLRNATGELAPAPAVAARDGRGGSSSSVATANGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDASTVSSSAAAVAAAASSSSDQPTPSDSTITTTSTSTAGSPSPPPPPAAMDDEERIALQMIEELLGRSGPGSPSHGW >LPERR06G17020.1 pep chromosome:Lperr_V1.4:6:15641886:15644507:1 gene:LPERR06G17020 transcript:LPERR06G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWGGLSVNCGERHNHLLCQYAGTKTEAWRDEVKCTTDMELMQMRNQSAGLLQLLKPLFKGTLSTEQLDCNNCSNQEANHPSMAHPSARQSHIAAAALFTSVKRFHILQVNGGVEAERASDGYRKRMAMIRSVRASPQELKFKKFNFGLD >LPERR06G17030.1 pep chromosome:Lperr_V1.4:6:15654301:15657610:1 gene:LPERR06G17030 transcript:LPERR06G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGEAPEGFRKWWEGLEKTTGLGPGGTKLYATVDIGKARVGRTRVIDDEPVNPRWDERFHIYCAHFAEHVVFSIKVSLPVNAALIGRAYLPVKDLLSGDAVERKLDILDSDKKKLPHSPTIHVRLQFNDVAVDGKGRWWGRGVGGADYPGVPCTYFKQHESCRVTLYQDAHAPDMFAPRIALAGGEVYRQGRCWEDVFDAIADARHLVYITGWSVFTDITLVRDPARGRPGGDATLGELLKRKAKEGVRVLMLVWNDVSSIQALHAIGIKLSVAQTHDEDTFAYFEDSDVHCVLCPRNADASAGNSFIMGSKVSFLATHHQKTVIVDHDMSGSSDTRRIVSFVGGLDLCDGRYDTQSHSLFGTLDGAHHKDFHQPSIAGAELSHGGPREPWHDIHSKLEGSVAWDVLYNFEQRWRKQGGDKDLLVNLSAFEHLITPPSPIKFPNDDHESWNVQVFRSIDGGAVEGFPTSPEAAARLDLVSGKNNVIDRSIQDAYIHAIRRAKNFIYIENQYFIGSSYGWRSDGTIKIEDVEAVNLIPRELSLKIMSKIAAGERFTVYVVVPMWPEGHPNKEAMQAILDWQRRTMEMMYYDIDVALKAKKSDAHPKDYLTFFCLGNREVKRNGEYMPAHHPDEGSDYAKSQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNINGHVARGQIHGFRMSLWYEHLGILHDDFLHPESLECVQRVNKMADEHWELYASEELHKDLPGHLLTYPVAVAKDGAVSAIPGAEFFPDTEAKVLGNLASALYIPYLTS >LPERR06G17040.1 pep chromosome:Lperr_V1.4:6:15661240:15665340:1 gene:LPERR06G17040 transcript:LPERR06G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLEATILEADHISNPTRATGAAPGVFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDDEPVNPRWYEAFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRDLLSGDPIERNLDVLDANRKRISHGPTIRVRLQFNDVAGDRRGWGRGVGGARCPGVPFTFFSQRPGCRVTLYQDAHVPDSFAPRIPLAGGELYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLVRDGARQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHEMPRGGGGRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHNDFHQPNLDGAAIGKGGPREPWHDIHSKLEGPVAWDVLYNFEQRWRKQGGDNDLLVDLRAMADLIIPPSPVMFPDDREAWNVQLFRSIDGGACFGFPTTPEAAARAGLVSGKNNILDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIKPESIEALHLIPREISLKIVSKIEAGERFAVYVVLPMWPEGAPASGSVQAILDWQRRTMEMMYYDIAVALEAKRIDADPRDYLTFFCLGNREVKLGGEYEPAGRPLDGTDYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNIKGQVARGQVHGFRMSLWYEHLGMLHDDFLHPESLGSVQRVNKMADKYWDLYASEELNDDLPGHLLTYPVRVTKEGTVTELPGAKFFPDTQAPVIGTKGNLPPFLTT >LPERR06G17050.1 pep chromosome:Lperr_V1.4:6:15670929:15676057:1 gene:LPERR06G17050 transcript:LPERR06G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMLALHETEAEREARIRDMFAFFDVDGRGQLDYAEIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVLHSIKDIWSQGGVLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKRKGENKSEVGASERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPSASITYLVYEAMKKNLSLD >LPERR06G17050.2 pep chromosome:Lperr_V1.4:6:15670929:15678195:1 gene:LPERR06G17050 transcript:LPERR06G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMLALHETEAEREARIRDMFAFFDVDGRGQLDYAEIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVLHSIKDIWSQGGVLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKRKGENKSEVGASERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPSAKQRGGVLSAAIVVYLLLSEYQWS >LPERR06G17050.3 pep chromosome:Lperr_V1.4:6:15670929:15678195:1 gene:LPERR06G17050 transcript:LPERR06G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMLALHETEAEREARIRDMFAFFDVDGRGQLDYAEIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVLHSIKDIWSQGGVLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKRKGENKSEVGASERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPSAKYQWS >LPERR06G17050.4 pep chromosome:Lperr_V1.4:6:15670929:15677937:1 gene:LPERR06G17050 transcript:LPERR06G17050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMLALHETEAEREARIRDMFAFFDVDGRGQLDYAEIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVLHSIKDIWSQGGVLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKRKGENKSEVGASERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPSASITYLVYEAMKKNLSLD >LPERR06G17060.1 pep chromosome:Lperr_V1.4:6:15686037:15686846:1 gene:LPERR06G17060 transcript:LPERR06G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSSSSSAGASHAGLALAATAMALSGSLVLFSLCRTTKPHHDADAAPSRLRPCLSSSEKRKREKARRGSQKRVRFAADVVDNNASSRAAPAPARDSPSCRNTAAAAMPANREALYRGMLRGRSMLRVACSY >LPERR06G17070.1 pep chromosome:Lperr_V1.4:6:15707531:15708787:1 gene:LPERR06G17070 transcript:LPERR06G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSRPPSIVHLPRCIGSGPAPPPPHRLTVEACHLPKMAAPCATALAPPWVDDDDVTEISIHDVIAWAAEEAIQLIKQIPPPPPPPPPPTPPSTPDMGQQQSLPTRTSTPPILPGAHDIGKLLRMYERLIHDAETQSVDTLMGSRRLVLCHMTVWESYEPTRSALLALGCTTTPGIEEMLVECIGRGSVDIDGDRHRDIQPRLLAAFGVRPESVPANPSERGYVGCVMFAAMEMRNVVWRRVRRLRRAERRNLRREEEKWVIRRKELEKAAASGLGGIILNN >LPERR06G17080.1 pep chromosome:Lperr_V1.4:6:15709904:15710398:1 gene:LPERR06G17080 transcript:LPERR06G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEAYEPTLPFLLGLSRDATPPISDEAALTECINRGNANNGRRDIQPRLLVAFGVNPESLSPRNDPISRHLVAGVMCAVSETRGCVWRRVRQVKRHGRWPAVGNLKEWEKMAMMEEEEEDEMREEEEEEALGLNGDFEYFNNNGGTRSNCITAATHTWSHHYC >LPERR06G17090.1 pep chromosome:Lperr_V1.4:6:15713782:15715380:1 gene:LPERR06G17090 transcript:LPERR06G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSLAGSAAVVRPGTMAARAPSRPPSVVVHLPRCTGSGPAPHRVIMTAEACHLPKTATALAPPWVDDDDVTEISIHDVLAWSAEEAIQLIKQTPPPPPPPSTLALPDNTGQRQSLSTPPTSLPGAHDMGKLLRLYERHIQHAETQAIDALMGTRRLVLIHMTAWESYEPTRSALLGLGCTTNPGIEEVLVECIRRGSIDVDDGRRRDIQPRLLAAFGVKPESMPANLSERSYVGAVMFAAMEMRNVVWRRVRRLRRAERRKLRQEEEEGATRKKEEKEAVRSSGLGSLVLDN >LPERR06G17100.1 pep chromosome:Lperr_V1.4:6:15720299:15722773:1 gene:LPERR06G17100 transcript:LPERR06G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGLSLTGETAHYECVRPDPGHQSSHSTQKTCSFPQPETEGDPLFPVFAPILPTIITAALATLSPWLDNPRRIVVQVEALVAAAATLLLLQLILCSFRRQSSSTLIQGGAWVWYTMSFPLSSPVKNVLYPVWAVALFLVAGSTNVITSYDLDDRNQWKRNLLELLQYAFYTAINTKPLLPYSTTTSTALSAIPTILILNFIIFLTNALKVFKCWRVGTDVPTKRVAEWMKNDVATHKDRSYVFNPATLEGYKYTVRCGIRRIIANTTAKAVYHGLECAEAGLLETHDFVFKGLLSTEDDHERAFQIVEVELDFYHDYLLTKYSVIWKREKLLFFMLVTRIIFICIILGHVTQNSLAVVAPAAVIKVQTKASDTIITVFVLSAIILVEVLQAAFYLASDWAKLSLTIISDKCFSKHLKFLIEYPNNPYEKFQRYFGSGLGRKRGPVKISNTVSSLQRNGVLRQFSWALDCRSQIEIMLSCELAHEANIQVIDGGSEQEEEMSCHHEVAVNLSSVVI >LPERR06G17110.1 pep chromosome:Lperr_V1.4:6:15727871:15730990:-1 gene:LPERR06G17110 transcript:LPERR06G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSAEERERDRALGLPLPETEETNMVDFMTESPAMLYPSGDDVDEDNNDETDVDSSSSDGGDDDQGHRGGGARARVSAGHRGGGSVLLRRRVGGEIPLKKGPWTPDEDKRLRDYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWTNHLKPELKKEPFSKEEVDQIISLHYLMGNKWSRIAKAMTGRTDNEIKNFWNTRCKRIQKSGEPLYPKDSLSFQVKEESNCRSPDESRGMKRTNADLQGSGLHNEMVVFKSYDYNRAENIFPTNFVAPNSLSIDTINSFKHQEFVGIVPPVCNGNEQISDDTEKIGCTTNFNSGIQHQSIPFGTVSEHPTLVDNFSTSGTIQSPMNVELPSLQFPNYDLSNNAWLGHPIEQVDSVLQSPASMRSGSLSPKNTGPLDALVYGGQGLGDCTELQRSFDVFVPPVSYDQVINSNTFSMSSSSLVLGDDLLKSSLDPFAGSNSNADNLFFDSHPPPLVDTISWRHGPSLEASLFPEEGLHSSEQLAKNMFNPFVDGDYFDETNLRDFPNE >LPERR06G17120.1 pep chromosome:Lperr_V1.4:6:15760994:15765181:1 gene:LPERR06G17120 transcript:LPERR06G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVLEPSPVMFGGVRSRKRARVTAVPPPCAFAVAEEEEGLTAAKRQKQREAPSLDPLPDECLFEILRRVKGSRSRCASAAVSRRWLTLLGGIRSSEINRSPAAAVPDLNQEFVADEEEEDDEFDVPIGCSSERSLEGNEATDVGLTAAAVGDALRGSLQSLVIRGTHPTRGVTDAGLAAAGRCCPSLISLALWHVPQVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAVAQGCPELKTVTIEACPGVADEGFKAIGRCCAKLQAVNIKNCARVGDQGVSGLVCSAAASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGMQKLRCLNVSSCPGVTDLALSSIVKYCPSLKQISLKKCSQVSDGRLKDFAESAKVLESLQIEECNKVTLVGILAFLLNCSPKFRALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGILPLIKSSESGLVHVDLNGCENVTDATVSALVKAHGSSLARLSLEGCSKITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >LPERR06G17130.1 pep chromosome:Lperr_V1.4:6:15767965:15773456:-1 gene:LPERR06G17130 transcript:LPERR06G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARKKRVGRYEVGRTIGQGTFAKVKFAVDSDTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLNSHGNLKVSDFGLSTLAQKGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKRITIEQIREDTWFKKTYVAIKSGEDETVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAVLQEPVHQDGKYNLETDRSFCEIRIAADGDMLALRDS >LPERR06G17130.2 pep chromosome:Lperr_V1.4:6:15767982:15773456:-1 gene:LPERR06G17130 transcript:LPERR06G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARKKRVGRYEVGRTIGQGTFAKVKFAVDSDTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLNSHGNLKVSDFGLSTLAQKGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKRITIEQIREDTWFKKTYVAIKSGEDETVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCTKMESIIWRPIEVSAKSALLRTATC >LPERR06G17140.1 pep chromosome:Lperr_V1.4:6:15797272:15800290:1 gene:LPERR06G17140 transcript:LPERR06G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLGPCGPTNPPVGRRVATGSYRPSIKASTLPPGPTRQPPVGPWAHPASPPAAPAHSPPATVAPVTCAYKQNARLPLRINILPPHPVSDTPHTITHTPTAPDIFFPSREEAKSRADFLLKKKRAEQIEARRCCCVARRRRCSAAPPPPPPPRRSMSAWCSCPRRRRHAVPRVVRWQPDRRDHAGASRAFAAAAVHLRRGGYRGGGGISRYGRAVAAAADEHGPGRRRHRRRRRRREEEEIGRRGERRGKGGKGGVLQEDDGGGADERAGAGELREVPAGGGGRRGEGGGGVRARRRVQPRRRRLARALRGARLGHHPRRRPRRRLLLPRRPRRPRRLPRSGFVRRLPVGRRRGRSRRRQPQRRAAAVNGGGSASFHHGGVLSEHIS >LPERR06G17150.1 pep chromosome:Lperr_V1.4:6:15798296:15803197:-1 gene:LPERR06G17150 transcript:LPERR06G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEVADAMGTIGIDNGPNKKLSNDDLEERGEKHDVQADGAHSGESEVINPTEEVGGEATSHPEDIKPRVSKGSQNSPKVTTKSQRQSPRSGDKSQTRKSSPSPSYPKAPIARVSDPDFVDSSSSNGGVDIKKKSEKSNFRPVAKESSSLEDSKEKKKPQKASNQRSVKNDEEESNCEKGKPQRVGSTPSYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKQLRKSLNFKANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSASTEGSTIPSSRPARLSLDERASQNGVKKVPSANTVRKPQRKSLPKLPSEQTVPELIENNKPTTDLIREPIRAQVTPDDQFGGGRIQSQATASRARDDSAWRWLAAGEESRREVPEGVRDGEGKSIRWMT >LPERR06G17150.2 pep chromosome:Lperr_V1.4:6:15799030:15803197:-1 gene:LPERR06G17150 transcript:LPERR06G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEVADAMGTIGIDNGPNKKLSNDDLEERGEKHDVQADGAHSGESEVINPTEEVGGEATSHPEDIKPRVSKGSQNSPKVTTKSQRQSPRSGDKSQTRKSSPSPSYPKAPIARVSDPDFVDSSSSNGGVDIKKKSEKSNFRPVAKESSSLEDSKEKKKPQKASNQRSVKNDEEESNCEKGKPQRVGSTPSYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKQLRKSLNFKANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSASTEGSTIPSSRPARLSLDERASQNGVKKVPSANTVRKPQRKSLPKLPSEQTVPELIENNKPTTDLIREPIRAQVTPDDQFGGGRIQSQATASRARDDSAWRWLAAGEESRREVPPVSRDVFAQDAAVMEGG >LPERR06G17160.1 pep chromosome:Lperr_V1.4:6:15808241:15813096:1 gene:LPERR06G17160 transcript:LPERR06G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRRWQSPAATAAAAAAEEEGADNNQIDQHESLEVTHQMQSQSTELITPYTAVNNKASLLLDGDFRNQNGAGGLAEIENIIKQKHFSRQFAVAWSLNLAKDETEHLIKIMRSRTPDLCNEDQGVPRSSSFETNLFSDKWSTPAKQIDIRSPCGTDVIGSSNVLDVASPIEVAKAYMEAQTSSSVQESQKRKFRALRHGVETENSSSIFHAKVATDSPVCWPSSIVPNYTNYRTPQSNKGKILPPTSSRTTVFPQSNKHIGNRDAYINSSGRPQLSTPFSGGSKALLENKNSLIGGVLGAQTSTYSKGANEDTIGATTPLFTKEGSAYKIIGSNLQEPHVKGIIESGSALGCVSMVDNLPHSESFALSVHPKSTKTAHKILQHLERTIPSPTTKALELRWSSAKRTTPHVVTNSQHKGPDSTTTDIRINDSGSAQQEILDANKVVAPPISPNAVESSPKIQNSDSKSEIAEIPSSQHTSKSDSASTSAAEVSDKSTGNGFSFTFPVPKTSISLPDPPPTPTLLLPPSIPSADTDIPKFTFGSPSTTGKLVFSFDVPSSSGRAEEAVPTFKFGSDTKRELSFDVVGKDAVCF >LPERR06G17170.1 pep chromosome:Lperr_V1.4:6:15819618:15821832:1 gene:LPERR06G17170 transcript:LPERR06G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGFVCPSSSEAASTSGCETTRLRLLSGSCSGSPPPDCGRAMTVTTTTTMSRSGRARWMLQALFFCVLLAIPSHDGPRAVGAFDGGYGINYGRIANNIPSPDKVVKLLRASKIRNAKIYDADHSVLDAFRGSGLNLVIAVNNGLLKDFAANESSSLDWLNDNVQPYLPQTRIVGITVGNEVLGGDPSLAEPLVEAVKNVYNGLKKLHLEDKIELFTPHSQAVFANSYPPSACVFKEDVMVYMKPLLDFFSKIGSPFYVNVYPFLAYTYDPQHIDINYALFKPNPGIVDPNTSLHYDNMFEAQLDAAYAALQAAGYNDMEVRVAETGWASSGDQTETGASVENARTYNFNLRKRLFLRKGTPLRPKIPVKAYIFALFNENSKSGAGSEKHYGLFNADGRIAYDIGYEGLLPSSASSYFLSLTEMYARF >LPERR06G17180.1 pep chromosome:Lperr_V1.4:6:15836508:15841842:1 gene:LPERR06G17180 transcript:LPERR06G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLAAVVSSMPAGHANGVSGDARRRGSSKKVHPAPPTMMPDAADAADIAVGGGGMGKNGGLDGWWRRKRPEWCSVAGVAGVARRHPAAALFACGLLLFMGVEYTIPMVPPAAPPLDLGFAATAALHAGIAARPWLNSLLAALNTVFVAMQAAYIVWAILGEGRPRAAVAALMMFTCRGALGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAEDMRREGRRRMARLYDALNLLQGVRLLACRGHYTIDLAVGVGAGILFDTLAGRYLDAGASPPVSRCCSSCHKALLSQ >LPERR06G17190.1 pep chromosome:Lperr_V1.4:6:15838695:15843562:-1 gene:LPERR06G17190 transcript:LPERR06G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELARLLDQVDAPLKETFQNVHQGYPTETLLRFLKAREWHVSKARDMLVDSLNWRIKYEIDSILETPIIPADLYRSIRDTHLVGLSGYSKEGRPVFAIGVGLSTYDNTLAHYYVQSHIQINEYRDRIILPTVSKKFGRPISTCIKVLDMTGLKLSALNHMKILTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSSLPHFCQQEGSRSSKHSPKDIDSCFSFDHPFHQELYHYIEEQGLNQEHIKQESLHVNIPEQDPEDAKIVQVIEAEFHKLGVQQNALWQLLQHRLTGGEAPASRYLPASVSKRMPAPTPTARSMV >LPERR06G17190.2 pep chromosome:Lperr_V1.4:6:15838695:15843562:-1 gene:LPERR06G17190 transcript:LPERR06G17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELARLLDQVDAPLKETFQNVHQGYPTETLLRFLKAREWHVSKARDMTPIIPADLYRSIRDTHLVGLSGYSKEGRPVFAIGVGLSTYDNTLAHYYVQSHIQINEYRDRIILPTVSKKFGRPISTCIKVLDMTGLKLSALNHMKILTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSSLPHFCQQEGSRSSKHSPKDIDSCFSFDHPFHQELYHYIEEQGLNQEHIKQESLHVNIPEQDPEDAKIVQVIEAEFHKLGVQQNALWQLLQHRLTGGEAPASRYLPASVSKRMPAPTPTARSMV >LPERR06G17190.3 pep chromosome:Lperr_V1.4:6:15838695:15843562:-1 gene:LPERR06G17190 transcript:LPERR06G17190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELARLLDQGYPTETLLRFLKAREWHVSKARDMLVDSLNWRIKYEIDSILETPIIPADLYRSIRDTHLVGLSGYSKEGRPVFAIGVGLSTYDNTLAHYYVQSHIQINEYRDRIILPTVSKKFGRPISTCIKVLDMTGLKLSALNHMKILTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSSLPHFCQQEGSRSSKHSPKDIDSCFSFDHPFHQELYHYIEEQGLNQEHIKQESLHVNIPEQDPEDAKIVQVIEAEFHKLGVQQNALWQLLQHRLTGGEAPASRYLPASVSKRMPAPTPTARSMV >LPERR06G17200.1 pep chromosome:Lperr_V1.4:6:15848935:15865119:1 gene:LPERR06G17200 transcript:LPERR06G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMALAYRLLAYLCLRKRISLMPFSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >LPERR06G17200.2 pep chromosome:Lperr_V1.4:6:15848935:15865119:1 gene:LPERR06G17200 transcript:LPERR06G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMALAYRLLAYLCLRKRISLMPFSGPRYVVGCRSKVDKEKLIAGTRVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >LPERR06G17200.3 pep chromosome:Lperr_V1.4:6:15848935:15859467:1 gene:LPERR06G17200 transcript:LPERR06G17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMALAYRLLAYLCLRKRISLMPFSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPLEVDPVVYNMLHEDPGNASYSAVGGLSDQIRKLRESIELPLMTPELFLRVGIKPPQGVQYGPSGTGKTLLARAISSNIYANFLKVWIVLVLYSVLFMAILYFMADLRLTIPCFCLTLLAILLIVFTSQGTGELLGAAILSVKRAGVMVSLVLMLFLLTAHTEIHTVAQVRVVHALRFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGSIDLDGGMREVWILPSSMALAYRLLAYLCLRKRISLMPLGMRHPTSPIGEGATRATTADGATTRGREGDWWPVAPRGDVERGKRARLQVRAMGGDADAGGGGVGQR >LPERR06G17200.4 pep chromosome:Lperr_V1.4:6:15848935:15859467:1 gene:LPERR06G17200 transcript:LPERR06G17200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMALAYRLLAYLCLRKRISLMPFSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPLEVDPVVYNMLHEDPGNASYSAVGGLSDQIRKLRESIELPLMTPELFLRGLSHHRLYSVLFMAILYFMADLRLTIPCFCLTLLAILLIVFTSQGTGELLGAAILSVKRAGVMVSLVLMLFLLTAHTEIHTVAQVRVVHALRFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGSIDLDGGMREVWILPSSMALAYRLLAYLCLRKRISLMPLGMRHPTSPIGEGATRATTADGATTRGREGDWWPVAPRGDVERGKRARLQVRAMGGDADAGGGGVGQR >LPERR06G17200.5 pep chromosome:Lperr_V1.4:6:15859674:15865119:1 gene:LPERR06G17200 transcript:LPERR06G17200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDDAAAAARRAAATEYRKKLLNCRELEARVRTARDSLKNANKEFAKTEDDLKSFQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >LPERR06G17200.6 pep chromosome:Lperr_V1.4:6:15848935:15859467:1 gene:LPERR06G17200 transcript:LPERR06G17200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTAHTEIHTVAQVRVVHALRFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGSIDLDGGMREVWILPSSMALAYRLLAYLCLRKRISLMPLGMRHPTSPIGEGATRATTADGATTRGREGDWWPVAPRGDVERGKRARLQVRAMGGDADAGGGGVGQR >LPERR06G17200.7 pep chromosome:Lperr_V1.4:6:15848935:15859467:1 gene:LPERR06G17200 transcript:LPERR06G17200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEEMMEMAIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKNTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLLVVLRRLARSSPARRTIITTIHQPSSRMFHMFDKLLLLAEGHAIYHGCARGCMPHFAALGFVPGIPMNPAEFLLDLATGNLDGISSPADLLLSVASLESSLEFRSNVIKYLQMKHMAVGEEATAMSGGNEVGRQLRLAVRMRKERCGGISWAQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTSNEAQLRDQLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQAQYHGHLTYNCGSRGGCQRLQSSPSFGSIDLDGGMREVWILPSSMALAYRLLAYLCLRKRISLMPLGMRHPTSPIGEGATRATTADGATTRGREGDWWPVAPRGDVERGKRARLQVRAMGGDADAGGGGVGQR >LPERR06G17210.1 pep chromosome:Lperr_V1.4:6:15865927:15872485:1 gene:LPERR06G17210 transcript:LPERR06G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAAMKLQVRVVEARGLPAMHVNGTSDPFVKLQLGKRRAKTAVARKSLSPVWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVKVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLRISLSTRTHVSDEPQNVANPASDDIASSSDRSTENKDGALSTTNSYIDLSACANALDRESQGSVEPLADGVVDQQQRSSMELVVTEPVPAADGDTMTNSSSVVEVLSRYFFGNKPVDVLKTKPVDVVTSSVSDAESVDQFQEPKVCSEDHESPENGTSSESSLDELLKTMESKDQGSEMPGNLPGGVLVDESYLAAPAELNSLLFSTNSDFWPAVSELQGTSGFQIEPWKLDNNDTCLQRTLTYTKAASKLVKAVKATEVQKYLKVAGNSFAVHSVVSTPDVPCGNCFKIEILYCIKPGPVLSSEEQTSHLTVSWRVNFVQSTMIKGMIESGAKQGMAEGFAQFSEILSQKIKIAEADDANSNKEKILSSLHAQKESGWRLIVRFLGNFTFIFSVIIALYVIAHLQLSKPNAMHGLEYFGIDLPDSIGEVVVCAILILQGQNIFNIIKRFVNAWRQKGSDHGVKAHGDGWLLTVALIEGTGIIVADSTELFDMYAVFTCNTKRKTSSVKFQTSDPKWNEVYEFDAMDDPPSRMDVAIHDANGPFDQAPIGHTEVNFLKSNLSDLTDIWLPLDGKCDQTNNPKIHLRIFLNNSRGTEVVINYLAKMGKEVGKKINLRSAQTNAAFRKLFILPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVIPAALSIGSPSLTIILRKGRGLEAKHGAKGTDPHGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQKGDMIEKETDVKELQLDEGGTLFTHEDVKMSEIFSSVLSVDVESVMEMFSGGPLEHKMMQKAGCVDYSPTEWELVNRNIYQRQTSYKFDKNLSRYGGEATTTQQKYALVNQDGWTIEEVMTLQGVLLGDYFSIQLKYNMVNEPSKPNTCSVQVLLGIAWLKTTKQQKKITKSVISNSSVRLKELFGEVEKDLTSKNVKPGLGGGT >LPERR06G17210.2 pep chromosome:Lperr_V1.4:6:15865927:15872485:1 gene:LPERR06G17210 transcript:LPERR06G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAAMKLQVRVVEARGLPAMHVNGTSDPFVKLQLGKRRAKTAVARKSLSPVWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVKVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLRISLSTRTHVSDEPQNVANPASDDIASSSDRSTENKDGALSTTNSYIDLSACANALDRESQGSVEPLADGVVDQQQRSSMELVVTEPVPAADGDTMTNSSSVVEVLSRYFFGNKPVDVLKTKPVDVVTSSVSDAESVDQFQEPKVCSEDHESPENGTSSESSLDELLKTMESKDQGSEMPGNLPGGVLVDESYLAAPAELNSLLFSTNSDFWPAVSELQGTSGFQIEPWKLDNNDTCLQRTLTYTKAASKLVKAVKATEVQKYLKVAGNSFAVHSVVSTPDVPCGNCFKIEILYCIKPGPVLSSEEQTSHLTVSWRVNFVQSTMIKGMIESGAKQGMAEGFAQFSEILSQKIKIAEADDANSNKEKILSSLHAQKESGWRLIVRFLGNFTFIFSVIIALYVIAHLQLSKPNAMHGLEYFGIDLPDSIGEVVVCAILILQGQNIFNIIKRFVNAWRQKGSDHGVKAHGDGWLLTVALIEGTGIIVADSTELFDMYAVFTCNTKRKTSSVKFQTSDPKWNEVYEFDAMDDPPSRMDVAIHDANGPFDQAPIGHTEVNFLKSNLSDLTDIWLPLDGKCDQTNNPKIHLRIFLNNSRGTEVVINYLAKMGKEVGKKINLRSAQTNAAFRKLFILPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVIPAALSIGSPSLTIILRKGRGLEAKHGAKGTDPHGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQKGDMIEKETDVKELQLDEGGTLFTHEDVKMSEIFSSVLSVDVESVMEMFSGGPLEHKMMQKAGCVDYSPTEWELVNRNIYQRQTSYKFDKNLSRYGGEATTTQQKYALVNQDGWTIEEVMTLQGVLLGDYFSIQLKYNMVNEPSKPNTCSVQVLLGIAWLKTTKQQKKITKSVISNSSVRLKELFGEVEKDLTSKNGAS >LPERR06G17210.3 pep chromosome:Lperr_V1.4:6:15865927:15871807:1 gene:LPERR06G17210 transcript:LPERR06G17210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAAMKLQVRVVEARGLPAMHVNGTSDPFVKLQLGKRRAKTAVARKSLSPVWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVKVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLRISLSTRTHVSDEPQNVANPASDDIASSSDRSTENKDGALSTTNSYIDLSACANALDRESQGSVEPLADGVVDQQQRSSMELVVTEPVPAADGDTMTNSSSVVEVLSRYFFGNKPVDVLKTKPVDVVTSSVSDAESVDQFQEPKVCSEDHESPENGTSSESSLDELLKTMESKDQGSEMPGNLPGGVLVDESYLAAPAELNSLLFSTNSDFWPAVSELQGTSGFQIEPWKLDNNDTCLQRTLTYTKAASKLVKAVKATEVQKYLKVAGNSFAVHSVVSTPDVPCGNCFKIEILYCIKPGPVLSSEEQTSHLTVSWRVNFVQSTMIKGMIESGAKQGMAEGFAQFSEILSQKIKIAEADDANSNKEKILSSLHAQKESGWRLIVRFLGNFTFIFSVIIALYVIAHLQLSKPNAMHGLEYFGIDLPDSIGEVVVCAILILQGQNIFNIIKRFVNAWRQKGSDHGVKAHGDGWLLTVALIEGTGIIVADSTELFDMYAVFTCNTKRKTSSVKFQTSDPKWNEVYEFDAMDDPPSRMDVAIHDANGPFDQAPIGHTEVNFLKSNLSDLTDIWLPLDGKCDQTNNPKIHLRIFLNNSRGTEVVINYLAKMGKEVGKKINLRSAQTNAAFRKLFILPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVIPAALSIGSPSLTIILRKGRGLEAKHGAKGTDPHGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQKGDMIEKETDVKELQLDEGGTLFTHEDVKMSEIFSSVLSVDVESVMEMFSGGPLEHKMMQKAGCVDYSPTEWELVNRNIYQRQTSYKFDKNLSRYGGEATTTQQKYALVNQDGWTIEEVMTLQGVLLGDYFSIQLKYNMVNEPSKPNTCSVQVLLGIAWLKTTKQQKKITKSVISNSSVRLKELFGEVEKDLTSKNDPSTPAEGGFRQTVLVCLRWLGFSFVKTDEA >LPERR06G17220.1 pep chromosome:Lperr_V1.4:6:15875951:15884081:-1 gene:LPERR06G17220 transcript:LPERR06G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGGIGLPSPATEDETMARRRSRRVSFAEITAVHVFDRDEDFETPPEVIVPSPPPSLSPGKPAAAEEGEEETEGEEEEFVRPPFRFLNNDLDSSSPGSAVGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDCSVNSVGSLRTPNSATAGPLKGKAGSGSGKISCNSRDGLTDMSLIADNSDRFDYAKLSPTLNNLLEQGKNWEKNSSIVNGISSSKLDTIGSHEEPILIRSPVFASTETTQEDNAMTVDVNDKSQENCNNVSTIVHVEANKNVQPPAMLSPPHTSLTSNADVQPLPSFVPMNNAEQQHQQNHVTDSEAIPLTPKTIGQTSPILQGSISSLRSKRRQLFSPVTLATSNVVNQEACSLNSEFVQHSKRIAALKDRLKSSLSESPATHNVPAQLMFDQPSHECSFIQDLDRSGNKRSSNENGLAGQERPQKISKPPRSPCVTLSSSMIEENQSDAHVNQQSINADSNKRTKKISKPPRSPATSLKQLRLPCIALSSCMAEENQSDADVNQQSINIDWNKVASMVSNASQVFSTSVSKVKPQQLDMIEDMLGKFQRARNFKRLSSAVRMQDCGSDKQKRSAEVRSLIDKFWNEKGKQQINLMKREKLQNTVHACQNGVQECCYLKSKISDLKGATLHATTLITASDRQEGLALVTEKMHALDMIKQKMERSRSSLESFSNTKGDISCDEFTRADTQQLETRNRCRAIHQQARLWVLHDLVKRENKCDIVLNYCSLLIQRIVLNISDMSGIFVNNSLSGTKIDQKFPNLNAPVAFNFVFKAEENQKVSDLRSLQKKTTETSLLLGNLIDVLEEIKIAKIEFLNLTAAAFNMDSQTCELAFSLCFMNFKSGKRISFTIDMTDLNCAVYPSEPSELLIKVSEAQTTVAQPNLDKFMSSLRDLQPGRLMILRLCQMASQLIREMAS >LPERR06G17220.2 pep chromosome:Lperr_V1.4:6:15875951:15884081:-1 gene:LPERR06G17220 transcript:LPERR06G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGGIGLPSPATEDETMARRRSRRVSFAEITAVHVFDRDEDFETPPEVIVPSPPPSLSPGKPAAAEEGEEETEGEEEEFVRPPFRFLNNDLDSSSPGSAVGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDCSVNSVGSLRTPNSATAGPLKGKAGSGSGKISCNSRDGLTDMSLIADNSDRFDYAKLSPTLNNLLEQVKDVHEPIFPKNSTGAVTPDHNSALTASKGKNWEKNSSIVNGISSSKLDTIGSHEEPILIRSPVFASTETTQEDNAMTVDVNDKSQENCNNVSTIVHVEANKNVQPPAMLSPPHTSLTSNADVQPLPSFVPMNNAEQQHQQNHVTDSEAIPLTPKTIGQTSPILQGSISSLRSKRRQLFSPVTLATSNVVNQEACSLNSEFVQHSKRIAALKDRLKSSLSESPATHNVPAQLMFDQPSHECSFIQDLDRSGNKRSSNENGLAGQERPQKISKPPRSPCVTLSSSMIEENQSDAHVNQQSINADSNKRTKKISKPPRSPATSLKQLRLPCIALSSCMAEENQSDADVNQQSINIDWNKVASMVSNASQVFSTSVSKVKPQQLDMIEDMLGKFQRARNFKRLSSAVRMQDCGSDKQKRSAEVRSLIDKFWNEKGKQQINLMKREKLQNTVHACQNGVQECCYLKSKISDLKGATLHATTLITASDRQEGLALVTEKMHALDMIKQKMERSRSSLESFSNTKGDISCDEFTRADTQQLETRNRCRAIHQQARLWVLHDLVKRENKCDIVLNYCSLLIQRIVLNISDMSGIFVNNSLSGTKIDQKFPNLNAPVAFNFVFKAEENQKVSDLRSLQKKTTETSLLLGNLIDVLEEIKIAKIEFLNLTAAAFNMDSQTCELAFSLCFMNFKSGKRISFTIDMTDLNCAVYPSEPSELLIKVSEAQTTVAQPNLDKFMSSLRDLQPGRLMILRLCQMASQLIREMAS >LPERR06G17230.1 pep chromosome:Lperr_V1.4:6:15884391:15890244:-1 gene:LPERR06G17230 transcript:LPERR06G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDASASPSPSRSPSPSPSARSPSGSPSGAGAGAALMDVDGGYDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEMPIVAPPRVVRFEVGTRAEPTSTYATTDFLLGLAANPALVRSVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSYSTSVGGTQLVDPTAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHTYTGPQDSTIVDAMKKCDPQAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSIEVDKHLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPVYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIALRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMISASND >LPERR06G17230.2 pep chromosome:Lperr_V1.4:6:15884933:15890244:-1 gene:LPERR06G17230 transcript:LPERR06G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDASASPSPSRSPSPSPSARSPSGSPSGAGAGAALMDVDGGYDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEMPIVAPPRVVRFEVGTRAEPTSTYATTDFLLGLAANPALVRSVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSYSTSVGGTQLVDPTAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHTYTGPQDSTIVDAMKKCDPQAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSIEVDKHLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPVYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIALRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >LPERR06G17240.1 pep chromosome:Lperr_V1.4:6:15893805:15898744:1 gene:LPERR06G17240 transcript:LPERR06G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTPPHHGTTASRFLPISSSPLQPRARGGTLRFRRANPPSHLIYAPTDRTGRFSSLCSRLDWIRFDPRRRSGRRRPAMSGMFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKGFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVPTGQQSTRPSAQSSKTEDDELAALQAEMAM >LPERR06G17240.2 pep chromosome:Lperr_V1.4:6:15893781:15898744:1 gene:LPERR06G17240 transcript:LPERR06G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKGFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVPTGQQSTRPSAQSSKTEDDELAALQAEMAM >LPERR06G17240.3 pep chromosome:Lperr_V1.4:6:15893781:15897358:1 gene:LPERR06G17240 transcript:LPERR06G17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKGFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVPTGQQSTRPSAQSSKTEDDELAALQAEMAM >LPERR06G17250.1 pep chromosome:Lperr_V1.4:6:15911171:15918316:1 gene:LPERR06G17250 transcript:LPERR06G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLASISVENVEPNRQALRELLFTAPGAVQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGGAGGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIAEYTVAALRRTVPPAVPGVVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWAGKKENVAAAQAAFLARCKANSEATLGKYGGAAAGDAATSESLYVKGYTY >LPERR06G17260.1 pep chromosome:Lperr_V1.4:6:15916562:15920385:-1 gene:LPERR06G17260 transcript:LPERR06G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYSYSSYDYGNTSSGYNSRYPPYPANTASSQSARYAPSTENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGHLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAAERKEAEFALSALMEIPSQYKATLDLQLLGRRQRIPPRIPLPPPTRTAYSRSTSFDQHSGVYSRTSSFGPQTSSFQQSDSFKQRQPVATSAPDTYASESSLEGRLLCAICMDKSKDLAFGCGHQTCYECGNNLVRCPMCQKHITTRIRLY >LPERR06G17260.2 pep chromosome:Lperr_V1.4:6:15915469:15920385:-1 gene:LPERR06G17260 transcript:LPERR06G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYSYSSYDYGNTSSGYNSRYPPYPANTASSQSARYAPSTENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGHLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAAERKEAEFALSALMEIPSQYKATLDLQLLGCVLFAWINQRILHLVVDIRLAMNPLTYKLSEVAASPAAAPPYLPRVASELALQRARKAACAAATFSFLPAHFLMVLCCSARPKEKVSVHGFSTSSLFIAFRFCDASSSLCPPERNTTPGTAGGTVRRSAATVYSAITSAPTYTTP >LPERR06G17260.3 pep chromosome:Lperr_V1.4:6:15915469:15915981:-1 gene:LPERR06G17260 transcript:LPERR06G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRTNLDAIRTTHVDRADWAETLLYCHRAQTEAPTPLTYKLSEVAASPAAAPPYLPRVASELALQRARKAACAAATFSFLPAHFLMVLCCSARPKEKVSVHGFSTSSLFIAFRFCDASSSLCPPERNTTPGTAGGTVRRSAATVYSAITSAPTYTTP >LPERR06G17270.1 pep chromosome:Lperr_V1.4:6:15937548:15939667:1 gene:LPERR06G17270 transcript:LPERR06G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDPGTVTNVAPWILTVAASTLDRSFPAHVVFNRTRAEGQSLSATWLRGKGFYTMVNAADAAAPGHSPADAQVCSMGSLDSEKVTGKIVVCMRGGSPRVEKGEALSRAGGVGMILVNDEASGHEVIADAHLIPAVHISHADGVALLAYINSTKDPKGFITKAKTTLGTTPAPVMAAFSSQGPNIVNPEILKPDVTAPGVSVIAAWSGAAGPSSMPYDHRSVAFNTQTGTSMACPHVSGIVGLVKTLHPDWSPSAIKSAIMTTALDPGLVYDMAAKDYLNFLCSLGYNASSMAIFNEGPYRCPDDPLDPLDFNYPSITVLDLAASQPPAAARRWVRNVGPAPATYTAAVVREPDGVEVTVTPATLTFATTGEVRDFWVRFAVKDAAKAADYAFGAIVWSDGTHNVRSPLVVKTTLE >LPERR06G17280.1 pep chromosome:Lperr_V1.4:6:15940086:15955210:1 gene:LPERR06G17280 transcript:LPERR06G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSTAPVQNDSVPHNQQIERISDNVVSSSGGNISNNNFATRQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSEKKELGDLLADIESSSGLEIGEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGEPGKLSALGPTPGEQYQDSNKTDPSTPVPTSESPIRDKAASGFFKTLSSHDDCLSSGHEPLTPDSSCPASSPLESPRASKRIRVGSGLDHHGNDEFAFSQNVLEPSSCSDFRQESSVLSSGSPHFDSLESLNADENGFTNGSGSDV >LPERR06G17290.1 pep chromosome:Lperr_V1.4:6:15946703:15947029:-1 gene:LPERR06G17290 transcript:LPERR06G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLALATEAKETLATPTSKAALRATVQVSGFSGAVSGISWRNNQPSRVTASAREVRYSWRRDVRDLLERLFRNLWSLLGMVGDIWPWSCFRKWQEQEIKIRHHFC >LPERR06G17300.1 pep chromosome:Lperr_V1.4:6:15947105:15947299:-1 gene:LPERR06G17300 transcript:LPERR06G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGWPQEASNGGGTAWQSGAQARFISMASASASGDRPGEADAVWCDPRHAVEGSGDYFCDANL >LPERR06G17310.1 pep chromosome:Lperr_V1.4:6:15950752:15953244:-1 gene:LPERR06G17310 transcript:LPERR06G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVALLSSHLAFAADPDRAAAKLAGLGRFVHGRGGGESDSMGGILGRHDTMKKSSNCSKLEIKMVEAIQKRAAHGTSLKSFNSIIMKFPKIDDSLRKCKTIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEFCDINEDMGMKFNEFIVFMCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQAINETATGERSSGRIAMRRFEEMDWDKNGMVTFKEFLFAFTRWVGIDDNEDDDE >LPERR06G17310.2 pep chromosome:Lperr_V1.4:6:15950752:15952352:-1 gene:LPERR06G17310 transcript:LPERR06G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILGRHDTMKKSSNCSKLEIKMVEAIQKRAAHGTSLKSFNSIIMKFPKIDDSLRKCKTIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEFCDINEDMGMKFNEFIVFMCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQAINETATGERSSGRIAMRRFEEMDWDKNGMVTFKEFLFAFTRWVGIDDNEDDDE >LPERR06G17320.1 pep chromosome:Lperr_V1.4:6:15954227:15958504:-1 gene:LPERR06G17320 transcript:LPERR06G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRVQSRSVFMGTRVYSCGKKLTNGRDQTLKCSHYVPAVIPDNTPLPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSKLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSLCSNKLDKYYNLGAFKVDAGTNESLLYEIINGLRAAGSDVGSSSAAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTETDTNTAESHLEDKSNRQNEECCSYTSSNRESWGRCSSLGATSDRSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWNRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >LPERR06G17330.1 pep chromosome:Lperr_V1.4:6:15965100:15971084:1 gene:LPERR06G17330 transcript:LPERR06G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGSYRSAERRRRDAFAAAAEDAGSATRGRAAAAAAGGSGGQLRRSRSLSRFPPPSPSLDDAATASSRFVNKFRGGGRGGGGGLPEISLDDLADEFFRARAESEDDDEDEEVGEARGRLRFPAPAEKGGGRRSSTARYARETESSRQRGRSVSRPPAERRGGAAAVHANGGAVVGRQRYASVDRRASMDRHRWCDSDNDLDNSHWYGSRGINTKSSSNSMQNSFHKSAKANQSMRKSTSQKDFLHSRDSSSSHSSLTDDEFRESFHSRNQKGIQAVYTLEKDRQSNNEDENALYDVMRKEVRQAVQEIRTQLEKAVTKSEHSEKATSADAQPTQVITELRRSYTSKLEESEKRKQELLAQLAAEEQRGHELTKIVKDLLPTAKKNMNSERQPRYRRRSNDRARMSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKMETPIVLPKVVSPVESDGVVLPWLQWETSNDLQTSPCKSKTQGANTACSTSSPTKSSRGSWSPGDHHVCSMGSKDRLLTRFEEAASRQSSCPDNTQSSTFHIDDYMHLRSSHDLLFERWRQKHRINDGGLTLCCRSTIM >LPERR06G17340.1 pep chromosome:Lperr_V1.4:6:15984120:15987517:1 gene:LPERR06G17340 transcript:LPERR06G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCSSAISAFPSSSSGVGGGRLNYSARVMFTHMACSGSSRSLSSNFSRSLISWISLKALATSLIHSNRFSFSASAFLLALSAMGASFSVDYFRRNASYAFSIDQSDSLSLLFSSQPLLYVEEDYFGP >LPERR06G17350.1 pep chromosome:Lperr_V1.4:6:15989219:15994362:1 gene:LPERR06G17350 transcript:LPERR06G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGGKEAAAAAEEEVGRKVMGPMFPRLHVNDPVERGGPRAPPRNKMALYEQFSVPSSSSRGGGASTVTSTSNSQVYGCDRPLFRPLNVPSNGPGHSTEKNNSHTMNRELSGSRKESGQSAVLSSQNKGMDYYASRSIAECASQKRVEKNMKSSLGKNLADDDEFTVPSVFESRFPQYSTQERTGVQDESTPLVAANLHKSPSTVSKASTKCYNTVSKHLERINVSDVKVRSPPKDKDIEPEKTSKNVEVEKSSFQPSKDMFGTKHAQIYPKMDKPGIIHDSDETHVGYSGHQAAIRNVSFMKFQDPSVRRNAIFSEPSSENTDIHYDLPRVGLMEAGPKRKRLLEQHNEEKNNDVSDSSVECISGLEISPDKIVGAIGTKHFWKARRAIMNQQRVFALQVFELHKLVKVQKLIAASPHVLIEGYPCLGNALLGSKNKLEEENLKAQPLVLATDDDLQPSLQQSELSKESLEESPPSPPCGSGHPDQAATDGVPKSSQRATPAASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSLLAMFYGNCTPLTLPSTAGDFMNSAYGAPIPHQPQHMGAPGPPAMPMNYFPPFGMPVMNPAAPAFVVEQGRHPSMPQPYGSFEQRSWVSCNMSHPSGVWGFHASRDSEAQASSASSPFDRFKCGGSGSVSAFPVASAQDSQPQPSSGSRDNQTNVIKVVPHNNSRTASQSAARIFRSIQMERKRDG >LPERR06G17360.1 pep chromosome:Lperr_V1.4:6:15994938:15996790:-1 gene:LPERR06G17360 transcript:LPERR06G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECLSSSSNDAKHAIATVSILLLLLGSIRHARAQQETDDEMEFSYRRGDDDGPERWGQIRRDWATCSYGRRQSPIHLSSAGDYSHRRRIPGRIVRSYRPAAAALVNRGHDIMVRFEGEAGGVVVDGVAYRLRQMHWHSPSEHAVDGRRYDLELHMLHQSETNGRYAVVAQLFEVGRHHRDATLDTLEPYIRRVAKKRKGHEVEIEDEVDPRWPVGGSGVYYRYKGSFTTPPCTEGITWAVARNVRRVSREQVELLREAVHDGARRNARPLQEANGRAVGVYYCWPNS >LPERR06G17370.1 pep chromosome:Lperr_V1.4:6:16012582:16013867:1 gene:LPERR06G17370 transcript:LPERR06G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLHSSSSSDTDNNNSGSGVCKNNGAGGEVSAAAVAAAPSARDLLLACADFLQRGDLPAARRLAEIIAPFLRFAHLTANQAILESIDAAARRVHIVDLDAVHGVQWPPLLQAIADRAAADPSLSPPEVRITGAGADRDTLLRTGNRLRAFARSIHLPFHFTPLLLSSSSSGAASSTTQLELHPDETLAVNCVMFLHNLGGINELAAFLKWVKSLSPTVLTIAETESSVDRVSGGGVDPVNSDELRRKVRTAMEHYEAVFEALEATVAPGSRERMEVEEEVLGREIEAAVGSRWWLARGGIERWGAAARAAGFDARPLSAFAVSQARLLLRLHYPSEGYLVQESRGACFLGWQTRPLLSVSAWQPSS >LPERR06G17380.1 pep chromosome:Lperr_V1.4:6:16030605:16036320:-1 gene:LPERR06G17380 transcript:LPERR06G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESIAHTPTWVVAVVCFVISLKHNQQKTLYSALERVKEELMLLGFISFVLSLSQGFIVNICISENATRSMLPCKKESYRHAEEGARICKKKGEVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKIRRWKHWENGIHREMQHKLRQIDDTPMHVVRNHQGEFVSERTKGFCMKLAVVSWIIAFLKQFHNSVSKSDYIALRSAFGLRHYPKHPFFDFHKYMVRALEHDFKRVVGISWYLWLFVILFLLLNINGWHTYFWLAFLPLFLLLVVGAKLEHIITRLAQEAAASLADGTEGVPIMKPSKEHFWFCRPEIVLHLIHFILFQNSFEIGFFFWVLVTYGFDSCIMEKKVYAITRLVIGVLIQVICSYVTLPLYAIVTHMDGGIKLQGIGSGVEESVTGWITDAKKKGRSDLSLLTAPAWRYNPAAGATIAGDTEVEVTRARDGNERFGGSPPPDLHEIVAVAVADDNRQHRR >LPERR06G17380.2 pep chromosome:Lperr_V1.4:6:16030605:16036320:-1 gene:LPERR06G17380 transcript:LPERR06G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESIAHTPTWVVAVVCFVISLKHNQQKTLYSALERVKEELMLLGFISFVLSLSQGFIVNICISENATRSMLPCKKESYRHAEEGARICKKKGEVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKIRRWKHWENGIHREMQHKLRQIDDTPMHVVRNHQGEFVSERTKGFCMKLAVVSWIIAFLKQFHNSVSKSDYIALRSAFGLRHYPKHPFFDFHKYMVRALEHDFKRVVGIRMAHLLLLLLVVGAKLEHIITRLAQEAAASLADGTEGVPIMKPSKEHFWFCRPEIVLHLIHFILFQNSFEIGFFFWVLVTYGFDSCIMEKKVYAITRLVIGVLIQVICSYVTLPLYAIVTHMDGGIKLQGIGSGVEESVTGWITDAKKKGRSDLSLLTAPAWRYNPAAGATIAGDTEVEVTRARDGNERFGGSPPPDLHEIVAVAVADDNRQHRR >LPERR06G17380.3 pep chromosome:Lperr_V1.4:6:16030605:16036320:-1 gene:LPERR06G17380 transcript:LPERR06G17380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESIAHTPTWVVAVVCFVISLKHNQQKTLYSALERVKEELMLLGFISFVLSLSQGFIVNICISENATRSMLPCKKESYRHAEEGARICKKKGEVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKIRRWKHWENGIHREMQHKLRQIDDTPMHVVRNHQGEFVSERTKGFCMKLAVVSWIIAFLKQFHNSVSKSDYIALRSAFGLRHYPKHPFFDFHKYMVRALEHDFKRVVGIRLLLLVVGAKLEHIITRLAQEAAASLADGTEGVPIMKPSKEHFWFCRPEIVLHLIHFILFQNSFEIGFFFWVLVTYGFDSCIMEKKVYAITRLVIGVLIQVICSYVTLPLYAIVTHMDGGIKLQGIGSGVEESVTGWITDAKKKGRSDLSLLTAPAWRYNPAAGATIAGDTEVEVTRARDGNERFGGSPPPDLHEIVAVAVADDNRQHRR >LPERR06G17380.4 pep chromosome:Lperr_V1.4:6:16030605:16036320:-1 gene:LPERR06G17380 transcript:LPERR06G17380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESIAHTPTWVVAVVCFVISLKHNQQKTLYSALERVKEELMLLGFISFVLSLSQGFIVNICISENATRSMLPCKKESYRHAEEGARICKKKGEVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKIRRWKHWENGIHREMQHKLRQIDDTPMHVVRNHQGEFVSERTKGFCMKLAVVSWIIAFLKQFHNSVSKSDYIALRSAFGLRHYPKHPFFDFHKYMVRALEHDFKRVVGIRMAHLLLLLLVVGAKLEHIITRLAQEAAASLADGTEGVPIMKPSKEHFWFCRPEIVLHLIHFILFQNSFEIGFFFWVLVTYGFDSCIMEKKVYAITRLMDGGIKLQGIGSGVEESVTGWITDAKKKGRSDLSLLTAPAWRYNPAAGATIAGDTEVEVTRARDGNERFGGSPPPDLHEIVAVAVADDNRQHRR >LPERR06G17390.1 pep chromosome:Lperr_V1.4:6:16038331:16044048:1 gene:LPERR06G17390 transcript:LPERR06G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAARSCASHGGGGGGGGCFLLAAAAFLAAFVLVGASAHDAGDAATASHVMLRLEDIFPDSSSSSCETPPQDHEHAASSSSSSSSSHTRMTVVHRHGPCSHLSTADTQHRKPPPSHEDILAADQNRVKSIQHRVAATTSRAGKQPSFPTTSSSVKLPASSGLALGTGNYVATVGIGTPATRQTVVVDTGSDTTWVQCRPCVASCYAQREALFDPARSSTYSNVSCAAAACSDLDVRGCSAGHCLYGVQYGDGSFSVGFFAMDTLSLSSYDSIKGFKFGCGESNDGLFGEASGLLGLGRGKTSLPMQAYAKYGGVFSHCLPARPTSTGYLNFGPTSPPPATQTTPMLTTNGPTFYYVGMTGIHVAGRLLPIPPSVFSSSSSGGGGGGTIVDSGTVITRLPPAAYAALRSAFVAAMAARGYRRAAAAAALLDTCYDFSGMGGVVAIPKVVLLFQGGAYLDVDASGIMYAVRPPSQVCLGFAANDGDGDVGIVGNTQLKTFGVVYDLGKSMVGFSPGAC >LPERR06G17400.1 pep chromosome:Lperr_V1.4:6:16044742:16052387:1 gene:LPERR06G17400 transcript:LPERR06G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDHASNSRARLLQQLASAKSELSRLLCALGELSVSGIPEKTTGTIKEQLASITPFLEKLCREKDKRVREFADVRLQIQTIRGEIAGSLQVGAHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDLVSSVHDFCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIELKEEKLKRLEKIQALASQLTDLWNLMDTSVEERQLFHHVTCSMSSTLDEVTVPGALDLDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHIAIDTSAARHRILTVIDSSIFEPSELLADMESQILKAKEEALSRKDILEKVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARLLVSKIPAIVDTLMTKTRAWEQDHGMLFNYDGVHLVAMLDEYKILRQEKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKTPGPRANGTPNRRLSALQNGGRSASRDGRRDSGRPAAPVNYVAICKEDAGGNNLGASSP >LPERR06G17410.1 pep chromosome:Lperr_V1.4:6:16049914:16051825:-1 gene:LPERR06G17410 transcript:LPERR06G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGGGGRGCHNERGSADHKRRWSSLRLYLCGDEISGAAEEDNDDDDDGTVSVKSFETCAMPHEPQAAAATTVARPANDVDDHPEEQGSLSIPVKDASPPTAEPATFSQDEAATMIQSALRGFMARKHLQKLKCSENVCCTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAASSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRTCGNAAASGAGAATKKRAARSDQAEFNVGWSWLERWMATRQASSEAASADDCISKNAAADSGSVVAGRRVIVVRRRSDLAGGGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRAGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEQQQQRDQAAVEAYDGNQPPTDY >LPERR06G17420.1 pep chromosome:Lperr_V1.4:6:16058239:16060098:-1 gene:LPERR06G17420 transcript:LPERR06G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLPPNSFSPTHDAVFRLLDAGDLPSAARLAASARPSSPVSLAAVLLRHPPPRLGYCIHALAARAGLLADRYLANALLAFYVRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGLPRRALASLRAMLADDDGDGVSPNAHALSAAVKACAVLRDRKAGACLHGSILVRGFDDDDIVLSALVDMYGHAAVPGDARKVFEEMCAPDGICYTSLISAFVRNDRFEEAVRWFRSMLMVNGVRPDGCTFGSMMTALGNLKRGNQGRQAHAQVVTRGLCGNVIVESSTLDMYAKCGMMLEARKVFDRMQVRNAVSWCALLGGYCQNGEYEKVVALFREMDKEDNDWYSLGTVLRACAGLSSVKPGKQIHCRFLRMGGWRNVVVESALVDLYAKCGAVEYAYKVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGLKPDYISFIAVLFACSHTGMVEQGRNYFNSMSKDYGIAPGIEHYNCIVDLLSRVELLEEAEDLINKSPFKDDSSLWAAILGASATHSNPDVAERVAKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWIDVNRSRFHVSNVNEGASELVVTSEEMDSSG >LPERR06G17430.1 pep chromosome:Lperr_V1.4:6:16060745:16061877:-1 gene:LPERR06G17430 transcript:LPERR06G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQQAVPAAGHDNNCEVHDTTSPKIGGAPLAVAEEKALHNNGDFNSKEEEIIKEKESITIDIIADEGNKGAHDNDITQEVEAKLAIKTSAATATTDVKEFGEEESRRGVKAKKAAEKAASKAAIVPVDDDEPDEEVAVVVAAPVANSEHQGKVEMVEEAVAASEKETTNGEQQALEDKKENACEKNKAHDE >LPERR06G17440.1 pep chromosome:Lperr_V1.4:6:16074348:16076997:1 gene:LPERR06G17440 transcript:LPERR06G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLSRHTNSMTSRPLVTLPFLMMMTTSWWPQATCSRQVFDVTNFGAVADGLTDNSKAFERAWLKACESPGRPAVVVPKGEYLLHPVVFRGPCRGYVEMRVAGVVRAPAGLDAFRGYHEWINFAGIDGLLLTGGGTFDGRGASSWHLNDCPRKPDCIPPPSSIKLGRVRNATITGVTSLDSKFFHVTIVGSHDVEISHVSIRAPRDSPNTDGVHIQGSSNVRVTDTAVGTGDDCVSVGPGSADVTVSGVSCGPGHGISVGSLGRSPGEAGVRRLRVSNCTIAGTANGVRIKTWRGGGPTPAAAASSSAAAAAAVSGLVFEDIVMRRVRNPIIIDQEYCPYVSCHHQSERRWSPSVVRISDVKFRNIRGVSATQVAVKLSCSAARPCRGLELRDIDLRYVRRGVATVSRCANVAGGVAGGTLVPPSCI >LPERR06G17450.1 pep chromosome:Lperr_V1.4:6:16083054:16085479:1 gene:LPERR06G17450 transcript:LPERR06G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRNHATRVIVFFLVLAAVCANHSKKSEEKESSYAAPAASGPGCSFDIVKLGANGNGRADSTKAVMEAWKSACEGAGKQTIVIPKGDFVTGPLDFTGPCKGDVTIQLDGNLLGSNDLKKYKGNWIEVRKVDNLVITGKGTLDGQGPGVWSKNSCSKNYNCKILPNTLVLNTVTNGLVSGITLLNAKFFHMNMFRCKDVTIKNVNITAPEESPNTDGIHMGDSTKISIIDTVIGTGDDCISIGPGSDGINITGVTCGPGHGISVGSLGRYKDEKNVNDITVKNCVLKNTTNGVRIKSYEDALSPVTVTKLKYENIKMDDVANPIIIDQKYCPNKICTSKGNSKVSVKDVTFKNITGTSSTPEAVRLLCSDKLPCSGVQMHDVKVEYGGSDNKTMAICNNAQGTATGCLKELACL >LPERR06G17460.1 pep chromosome:Lperr_V1.4:6:16086733:16107282:1 gene:LPERR06G17460 transcript:LPERR06G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVVSIHFSFYNDDEIKRISVKQITKVDRLDAKNCPVPFGLLDPAMGPTSDTDICKSCNQHSIRCPGHFGHIELARPLFNPLLFMSVKNLLHVTCFHCHKFRLNREQVDRYTNELELLVRGDIAHAKNLEDLGAKVLLEEDDGTEVTSCDKSACSERVNKTWTSIQLKEVLSIFVKLMKKRQKKCAHCDKKNPKISHPIYGWLIKDTASSSVRANVIADAKLSGDGRVHDSRETGVSGLDEELTSPAISSRGSTNETRRISDDTIKEMVASSGKKHLLTTEVESILKDLWKNEARFCMLLCDFQQNTLNASEKSRGYEMFFLKNLFVAPNRFRPSISASLGMMEHPQNVLLGKVQEANLALQQSIAASNHMEVLRRWMDLQRSVNVLYDSTKSEKNENGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAVNNGADIHPGATHYRDNNNMYKLQAAPHKRRAIAKLLPASRGSTISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRNGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSCVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPMWTGKQVITTILNHVTKGHPPFTVVKKGRIEKEYLNPKRCDGAKETGDPSKHGAKDKSGDPPKHEAEEKLTKYEAEEKLRKDRSEEVLYVHGNELIKGMIDKAQFGEYGIVHTVYELYGPEAAGVLLSSFSRLFTMVLQGERSASVKGVNPLCPSTGWRASPQGCSSGQLASGDNDDTIFGGERGGAAREGVGCSSLHGFTCGVDDLLLRQESDMLRKETLGKSEETSKKVYRGFTSPKKDVKAEVGNKDDKSKNKIPGTNKEDSKPSKEDNEAEDGDEDQMELQMKVEKVIRRNGERATVVLDRRMCSALNAITSEVNKKLFPHGLQKPFPANCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDYTVRDVDGSIIQFCYGEDGVDVHKTSFLDKFRELAENRRAILDKLDSHNDKNMLLDPNAYIFELPEKLIGDAMKYLYPENDSKINEDDPGRNDNDPKKDENDPKGNENDPEVNVDDPKTNEKGSPKNENDSKRNEEGRDDIEELMKLLKVKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTADDAEQIVAKLRRVRVADVLERIEVCTIPFHNNNGRVSTLYKLQMKLYPKNLYPEKSELTVNECQETLRTVFIDAMDLAISKHLDLLHKINDIQAVKSDNMESQLSDGGKESENGPTDEDNDVSDCENEDDLGADAEKWKQQERDEMEYDDDAEKEEGLDIDSESEEDTKCKPKSEYDRAEFGEELEESEEGHVIDSSTKGKTSKARQETARLQDEMKEAEDEKAQVAIKLKKNIKWTIHYESNGLNFEVHYALQEQPHILLAQIAQRTAKSIFVKAYKNIDHCETNGNKKEEDKQEEQEKKQEEEQEKKQEEEQGEEQEKKQEKEEEKKQDKEEEQDKEKEKEEEERIILQTAGVNFEVFWNLVDYVDINQIRSNDIHAMLKTYGVEAARATIIDEVKGVFGAYGIKVDMRHLNLIADFMTFDGGYRPMSRQGVGQFSTSPFGKMTFETATKFIVEAALHGESDTLEGSSASVCLGKPVKMGTGSFGLLQNFCLEKPVAM >LPERR06G17460.2 pep chromosome:Lperr_V1.4:6:16086733:16107135:1 gene:LPERR06G17460 transcript:LPERR06G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVVSIHFSFYNDDEIKRISVKQITKVDRLDAKNCPVPFGLLDPAMGPTSDTDICKSCNQHSIRCPGHFGHIELARPLFNPLLFMSVKNLLHVTCFHCHKFRLNREQVDRYTNELELLVRGDIAHAKNLEDLGAKVLLEEDDGTEVTSCDKSACSERVNKTWTSIQLKEVLSIFVKLMKKRQKKCAHCDKKNPKISHPIYGWLIKDTASSSVRANVIADAKLSGDGRVHDSRETGVSGLDEELTSPAISSRGSTNETRRISDDTIKEMVASSGKKHLLTTEVESILKDLWKNEARFCMLLCDFQQNTLNASEKSRGYEMFFLKNLFVAPNRFRPSISASLGMMEHPQNVLLGKVQEANLALQQSIAASNHMEVLRRWMDLQRSVNVLYDSTKSEKNENGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAVNNGADIHPGATHYRDNNNMYKLQAAPHKRRAIAKLLPASRGSTISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRNGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSCVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPMWTGKQVITTILNHVTKGHPPFTVVKKGRIEKEYLNPKRCDGAKETGDPSKHGAKDKSGDPPKHEAEEKLTKYEAEEKLRKDRSEEVLYVHGNELIKGMIDKAQFGEYGIVHTVYELYGPEAAGVLLSSFSRLFTMVLQGERSASVKGVNPLCPSTGWRASPQGCSSGQLASGDNDDTIFGGERGGAAREGVGCSSLHGFTCGVDDLLLRQESDMLRKETLGKSEETSKKVYRGFTSPKKDVKAEVGNKDDKSKNKIPGTNKEDSKPSKEDNEAEDGDEDQMELQMKVEKVIRRNGERATVVLDRRMCSALNAITSEVNKKLFPHGLQKPFPANCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDYTVRDVDGSIIQFCYGEDGVDVHKTSFLDKFRELAENRRAILDKLDSHNDKNMLLDPNAYIFELPEKLIGDAMKYLYPENDSKINEDDPGRNDNDPKKDENDPKGNENDPEVNVDDPKTNEKGSPKNENDSKRNEEGRDDIEELMKLLKVKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTADDAEQIVAKLRRVRVADVLERIEVCTIPFHNNNGRVSTLYKLQMKLYPKNLYPEKSELTVNECQETLRTVFIDAMDLAISKHLDLLHKINDIQAVKSDNMESQLSDGGKESENGPTDEDNDVSDCENEDDLGADAEKWKQQERDEMEYDDDAEKEEGLDIDSESEEDTKCKPKSEYDRAEFGEELEESEEGHVIDSSTKGKTSKARQETARLQDEMKEAEDEKAQVAIKLKKNIKWTIHYESNGLNFEVHYALQEQPHILLAQGEEQEKKQEKEEEKKQDKEEEQDKEKEKEEEERIILQTAGVNFEVFWNLVDYVDINQIRSNDIHAMLKTYGVEAARATIIDEVKGVFGAYGIKVDMRHLNLIADFMTFDGGYRPMSRQGVGQFSTSPFGKMTFETATKFIVEAALHGESDTLEGSSASVCLGKPVKMGTGSFGLLQNFCLEKPVAM >LPERR06G17460.3 pep chromosome:Lperr_V1.4:6:16086733:16107282:1 gene:LPERR06G17460 transcript:LPERR06G17460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVVSIHFSFYNDDEIKRISVKQITKVDRLDAKNCPVPFGLLDPAMGPTSDTDICKSCNQHSIRCPGHFGHIELARPLFNPLLFMSVKNLLHVTCFHCHKFRLNREQVDRYTNELELLVRGDIAHAKNLEDLGAKVLLEEDDGTEVTSCDKSACSERVNKTWTSIQLKEVLSIFVKLMKKRQKKCAHCDKKNPKISHPIYGWLIKDTASSSVRANVIADAKLSGDGRVHDSRETGVSGLDEELTSPAISSRGSTNETRRISDDTIKEMVASSGKKHLLTTEVESILKDLWKNEARFCMLLCDFQQNTLNASEKSRGYEMFFLKNLFVAPNRFRPSISASLGMMEHPQNVLLGKVQEANLALQQSIAASNHMEVLRRWMDLQRSVNVLYDSTKSEKNENGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAVNNGADIHPGATHYRDNNNMYKLQAAPHKRRAIAKLLPASRGSTISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRNGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSCVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPMWTGKQVITTILNHVTKGHPPFTVVKKGRIEKEYLNPKRCDGAKETGDPSKHGAKDKSGDPPKHEAEEKLTKYEAEEKLRKDRSEEVLYVHGNELIKGMIDKAQFGEYGIVHTVYELYGPEAAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLRQESDMLRKETLGKSEETSKKVYRGFTSPKKDVKAEVGNKDDKSKNKIPGTNKEDSKPSKEDNEAEDGDEDQMELQMKVEKVIRRNGERATVVLDRRMCSALNAITSEVNKKLFPHGLQKPFPANCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDYTVRDVDGSIIQFCYGEDGVDVHKTSFLDKFRELAENRRAILDKLDSHNDKNMLLDPNAYIFELPEKLIGDAMKYLYPENDSKINEDDPGRNDNDPKKDENDPKGNENDPEVNVDDPKTNEKGSPKNENDSKRNEEGRDDIEELMKLLKVKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTADDAEQIVAKLRRVRVADVLERIEVCTIPFHNNNGRVSTLYKLQMKLYPKNLYPEKSELTVNECQETLRTVFIDAMDLAISKHLDLLHKINDIQAVKSDNMESQLSDGGKESENGPTDEDNDVSDCENEDDLGADAEKWKQQERDEMEYDDDAEKEEGLDIDSESEEDTKCKPKSEYDRAEFGEELEESEEGHVIDSSTKGKTSKARQETARLQDEMKEAEDEKAQVAIKLKKNIKWTIHYESNGLNFEVHYALQEQPHILLAQIAQRTAKSIFVKAYKNIDHCETNGNKKEEDKQEEQEKKQEEEQEKKQEEEQGEEQEKKQEKEEEKKQDKEEEQDKEKEKEEEERIILQTAGVNFEVFWNLVDYVDINQIRSNDIHAMLKTYGVEAARATIIDEVKGVFGAYGIKVDMRHLNLIADFMTFDGGYRPMSRQGVGQFSTSPFGKMTFETATKFIVEAALHGESDTLEGSSASVCLGKPVKMGTGSFGLLQNFCLEKPVAM >LPERR06G17470.1 pep chromosome:Lperr_V1.4:6:16133977:16137639:1 gene:LPERR06G17470 transcript:LPERR06G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSPTFSSNPSISSSSSSSSMGDPYTNFLRGYHRSHPLHFPPPPPPPPYAASSSSFSGLFSSYLHHPPPPPPSSPPIREALPLLSLTPSNTHLIPSHQLDDLRRHDQDHKQEEKITNEENPHKNIATSRSNDNCQETAADQTAAAGEVTVALHIGLPSSSAAAAASAGSGGSGHDHHQQEAAGEGARISGREMQEEEEEKEGGDQEGEEEETMTTLPLGCASIGIGKLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHSACGIDSFDADELDDEPSSDLDHGTSGGAS >LPERR06G17480.1 pep chromosome:Lperr_V1.4:6:16155020:16155199:-1 gene:LPERR06G17480 transcript:LPERR06G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAFAAALVAAAAASATAVLASDEPAAAPGPAGTSGAAAVAAAPLALVVSFLVYYLH >LPERR06G17490.1 pep chromosome:Lperr_V1.4:6:16160054:16165477:1 gene:LPERR06G17490 transcript:LPERR06G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVLKGEKRGIAGEESRRKGFFLSKLKEAMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSVKEPIIAGHTEVAVAQVEVKTLVAQPAEIAGPSEGVMVNTKGKEGPNRCSTCRKRVGLTGFNCRCGNMYCALHRYSDKHNCQFDYRTAARDAIAKANPVVKAEKLDKI >LPERR06G17500.1 pep chromosome:Lperr_V1.4:6:16165054:16170428:-1 gene:LPERR06G17500 transcript:LPERR06G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAPTMTTLALMAFAVSAAALAAAKECTNIPTQLSSHTVRARMQASPAPAAEWRWRENSHHDHFNPTDESAWMDLMPLTPPSSSSEFDWAMLYRSLKGAATVAGDEEDGGGGGEFQFLEEVSLHEVRLDEEGDGMYGRAQRTNREYLVMLEVDRLVWSFRTEAGLPAPGKPYGGWEAPDVELRGHFVGHYMSAAAKMWASTHNGTLAGKMAAVVDALHECQAAAGTGYLSAFPAELFDRFEAIQPVWAPYYTIHKAGNHLHLCLMAMRLTCSCKCLIRNSPLQIMQGLLDQYTVAGNGKALGMVVAMADYFAGRVRSVIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDVVNSSHTYATGGTSVSEFWRDPKHLAESLTTETEESCATYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEEKGAKPGLYIIQYIPSTFNWRTTGLTVTQQVKPLSSSDQYLQVSLSISAAQTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGTFLTVSKQWDSGDHLLLQFPINLSTEAVKDDRPQVASLKAILFGPFLLAGLTTGDWDARTGGATAAPSDWITPVPSSHNSQLVTLTQQSAGGKTMLLSTVNDTSLAMLERPEATGGTDAAIRATFRVVPPSQQQLLRRGANGTGVGAGITATAATIEPFGLPGTAISNGLAVVRAAAPGGKGTTLFNVVPGLDGKAGTVSLELGSKPGCFVIAGNAGGKVQVGCRKSGGGGAAAGFEKAASFVQSEPLRRYHPISFFAGGVRRSFLLEPLFTLRDEFYTIYFNLAA >LPERR06G17510.1 pep chromosome:Lperr_V1.4:6:16173150:16191797:-1 gene:LPERR06G17510 transcript:LPERR06G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITQEGQQTNLEYLLYLDPDRLTWTFRHYLSAAAHMWASTHNGELKGKMTKVVDILYSCQKKMGSGYLAAYPESTFDLYDQLAETWSPYYTIHKIMQGLLDQYKLAGNPKGLEIVVNMTDYFSIRVKKLILEYSIQRHWEAINEETGGFNDVMYQLYEITKDQKHLTMAHLFDKPCFLGPLGLHDDDMSGLHVNTHVPVLIGAQKRYELFGDQLYKEIATFFFDTVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYANHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPLSGLPPNNPGGWGNPNATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAVGLIVKQQAKPLSSPDSYFEVSFSISSKGDARPANLNVRIPSWTSADGAIATLNGQKLDLTSADDRPEYAHIQAVLFGPHLLAGLTHGNQTIKSSNESNSGLTPGTWEVNATDIAAATGWVTPVPHSLSSQLVTLTHRAGAFVLSLVTLTHRAGAFVLSVSIADGGALTMQESPPAAAAGTDACCRALEDARNVLDRMPERSVVSWTTLISGYSQSEMHVEALELFIQMLRAGCNPNEFTLATVLTSCSGPQSIHQVKQIHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQQGLDEEALDLFRQLYSEGMQCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMYSKCGKLFYSRRIFDNMPERSVVSWNAMLMGYGRHGLGHEVVRVFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGLDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSECFHPSRKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKLKSELILRKHGLFLPENTINVSDQMCMVKKDQKHLTMAHLFDKPCFLGPLGLHDDDMSGLHVNTHVPVLIGAQKRYELFGDQLYKEIATFFFDAVNSSYTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYADHYERLLINGILGNPRGKEPGVMIYFFPMGPGRSKSISGMPLSGLPPQ >LPERR06G17510.2 pep chromosome:Lperr_V1.4:6:16176717:16194418:-1 gene:LPERR06G17510 transcript:LPERR06G17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAQRRIRHAHFKPQVLGVSTAAHARCSAECPRRARRAHACRARAPETGGGVGGAPLLAPKLRRAAPAASWMVALPGTSATFHDYNAAITACIERRAPRDGRQVHARMITARYRRAVYLGTRLVTMYVHCGALEDARNVLDRMQERSVVSWTIMISGYSQSEMHVEALELFIQMLRAGKLFNPFLLSRESFDIRSNIDQTDQLFYLAGCNPNEFTLATVLTSCSGPQSINQVKQIHSLLVNTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQEGLDEEALDLFRQLYSEGMHCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMHRLGHEVVRLFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGIDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAADMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSEHFHPSRKDIDAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRFMQGRYPLGTKIDFIYLHMETAPVEITELFLSAVQKDQKHLTMAHLFDKPCFLGPLGLHDDDMSGLHVNTHVPVLIGAQKRYELFGDQLYKEIATFFFDTVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYANHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPLSGLPPNNPGGWGNPNATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAVGLIVKQQAKPLSSPDSYFEVSFSISSKGDARPANLNVRIPSWTSADGAIATLNGQKLDLTSADDRPEYAHIQAVLFGPHLLAGLTHGNQTIKSSNESNSGLTPGTWEVNATDIAAATGWVTPVPHSLSSQLVTLTHRAGAFVLSLVTLTHRAGAFVLSVSIADGGALTMQESPPAAAAGTDACCRALEDARNVLDRMPERSVVSWTTLISGYSQSEMHVEALELFIQMLRAGCNPNEFTLATVLTSCSGPQSIHQVKQIHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQQGLDEEALDLFRQLYSEGMQCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMYSKCGKLFYSRRIFDNMPERSVVSWNAMLMGYGRHGLGHEVVRVFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGLDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSECFHPSRKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKVYAREISLRDKNRFHLLTHGNCTCGDYW >LPERR06G17510.3 pep chromosome:Lperr_V1.4:6:16176717:16194418:-1 gene:LPERR06G17510 transcript:LPERR06G17510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAQRRIRHAHFKPQVLGVSTAAHARCSAECPRRARRAHACRARAPETGGGVGGAPLLAPKLRRAAPAASWMVALPGTSATFHDYNAAITACIERRAPRDGRQVHARMITARYRRAVYLGTRLVTMYVHCGALEDARNVLDRMQERSVVSWTIMISGYSQSEMHVEALELFIQMLRAGKLFNPFLLSRESFDIRSNIDQTDQLFYLAGCNPNEFTLATVLTSCSGPQSINQVKQIHSLLVNTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQEGLDEEALDLFRQLYSEGMHCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMHRLGHEVVRLFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGIDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAADMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSEHFHPSRKDIDAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRFMQGRYPLGTKIDFIYLHMETAPVEITELFLSAVQKDQKHLTMAHLFDKPCFLGPLGLHDDDMSGLHVNTHVPVLIGAQKRYELFGDQLYKEIATFFFDTVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYANHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPLSGLPPNNPGGWGNPNATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAVGLIVKQQAKPLSSPDSYFEVSFSISSKGDARPANLNVRIPSWTSADGAIATLNGQKLDLTSADDRPEYAHIQAVLFGPHLLAGLTHGNQTIKSSNESNSGLTPGTWEVNATDIAAATGWVTPVPHSLSSQLLVTLTHRAGAFVLSVSIADGGALTMQESPPAAAAGTDACCRALEDARNVLDRMPERSVVSWTTLISGYSQSEMHVEALELFIQMLRAGCNPNEFTLATVLTSCSGPQSIHQVKQIHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQQGLDEEALDLFRQLYSEGMQCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMYSKCGKLFYSRRIFDNMPERSVVSWNAMLMGYGRHGLGHEVVRVFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGLDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSECFHPSRKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKVYAREISLRDKNRFHLLTHGNCTCGDYW >LPERR06G17510.4 pep chromosome:Lperr_V1.4:6:16176717:16191797:-1 gene:LPERR06G17510 transcript:LPERR06G17510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAITQEGQQTNLEYLLYLDPDRLTWTFRHYLSAAAHMWASTHNGELKGKMTKVVDILYSCQKKMGSGYLAAYPESTFDLYDQLAETWSPYYTIHKIMQGLLDQYKLAGNPKGLEIVVNMTDYFSIRVKKLILEYSIQRHWEAINEETGGFNDVMYQLYEITKDQKHLTMAHLFDKPCFLGPLGLHDDDMSGLHVNTHVPVLIGAQKRYELFGDQLYKEIATFFFDTVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYANHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPLSGLPPNNPGGWGNPNATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAVGLIVKQQAKPLSSPDSYFEVSFSISSKGDARPANLNVRIPSWTSADGAIATLNGQKLDLTSADDRPEYAHIQAVLFGPHLLAGLTHGNQTIKSSNESNSGLTPGTWEVNATDIAAATGWVTPVPHSLSSQLVTLTHRAGAFVLSLVTLTHRAGAFVLSVSIADGGALTMQESPPAAAAGTDACCRALEDARNVLDRMPERSVVSWTTLISGYSQSEMHVEALELFIQMLRAGCNPNEFTLATVLTSCSGPQSIHQVKQIHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQQGLDEEALDLFRQLYSEGMQCNHVTFTALVTALSGLASLDYGKQVHALILRKKLPFFIALQNSLIDMYSKCGKLFYSRRIFDNMPERSVVSWNAMLMGYGRHGLGHEVVRVFKDLHKELKPDSVTLLAVLSGCSHGGLVDEGLDMFDIMVKEQSTLLHTGHYGCVIDLLGRSGQLEKALNLVENMPFESTPSIWGSLLGACRVHANVHVGQLVAQKLLEIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLEKTVTKEPGQSWIILDKIIHTFHSSECFHPSRKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLSHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKVYAREISLRDKNRFHLLTHGNCTCGDYW >LPERR06G17520.1 pep chromosome:Lperr_V1.4:6:16202684:16204887:1 gene:LPERR06G17520 transcript:LPERR06G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREVIGRLDRERDGLVNQLEDLSGGKQHAKEKALQLESSLEEGVSNDLIFQLVTEMTAEYFAPREDIILQNETPADFYIIVTGSMFLREKKEISAIAAVTKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDNYGHTALIRVINFAPYIK >LPERR06G17520.2 pep chromosome:Lperr_V1.4:6:16202708:16204889:1 gene:LPERR06G17520 transcript:LPERR06G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEYFAPREDIILQNETPADFYIIVTGSMFLREKKEISAIAAVTKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDNYGHTALIRVINFAPYIK >LPERR06G17520.3 pep chromosome:Lperr_V1.4:6:16202708:16205203:1 gene:LPERR06G17520 transcript:LPERR06G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEYFAPREDIILQNETPADFYIIVTGSMFLREKKEISAIAAVTKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDNYGHTALVH >LPERR06G17530.1 pep chromosome:Lperr_V1.4:6:16208149:16210705:1 gene:LPERR06G17530 transcript:LPERR06G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSFVSAVAAEDFKYISGVSTIIVANIQEVKDRVSQIELLFCSELFAYLQGRSKLLQAQLVDAAKAAEDEWRKKETSLVTRLEELSIGKRHVEGKALQIGGSLEEMKGKLVDAEQLLARHEIEKKQLLRKLEEEMGKREVISRLERERDGLVNQLEDLSSGKWHTEEKALQLESSLEEMKKKLAEAERSVARHVAEKSQLLRRLEEEEMGKSEVIDQLEREIAEKAADVSRERDAHQRLLQQVELKDKDLLLEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVEKIDHNESSKSPVDNVVDRKTFESPPSKRKLKDLVDTKNERTQGVSKTGDEKNGPASCAKAPGAQHATSVRSPFSNSRLCLPSRPTNPPPKNTTRNSKAEASSSFTRPNQHWRETRAHKEPGVVDPHDDFLDTPLEAAKNMIRNPTTPEEAQALAALPPQDMDFNNSDDETQDINIATQSPKNMPVPKQQSTITIQPPSKGFKYTEPVRKKADRENLKGVECKQCKKFFDAVLPDGRANGDGADSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >LPERR06G17540.1 pep chromosome:Lperr_V1.4:6:16212563:16218251:-1 gene:LPERR06G17540 transcript:LPERR06G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSTPPELTAAGGEIQPLIPASSAARSSSSGGGGSFTALLGLPTSQAMELLLPAAAAASASAAPTFPSDPNLVDRAARFSAFASPSPSSPSPTPPPPPSSSSKRKATDPSSKGKAAKKGKTAASGGGEDGKDGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQVWHGDLAHPLQPASQWEKRADTNPPVFSNSSSSLFGYDLASSGAPAQTGKLKTEL >LPERR06G17550.1 pep chromosome:Lperr_V1.4:6:16220770:16222393:-1 gene:LPERR06G17550 transcript:LPERR06G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIIKAAGNLLTPTTLPLLLLILTILYALRRRRRSSGLRLPPSPFALPILGHLHLLAPLPHQALHRLAQKHGPILFLRLGSVPCVAACSPDAAREVLKTHEPAFLDRPKPAAVHRLTYGGQDFSFSAYGPYWRFMKRACVRELLAGRTLDRLRPAGGGEAGVDVDAKLMGLTGDIVSRMVMGKRWTGDDNDTEEMRSVVAETAELTGTFNLQDYIGLFRYWDVQGLGKRIDVVHRRFDAMMERVLTARDAQRRLRRQQQRQAAAADGEEEEEKDVLDMLFDMHEDESAEMRLTRDNIKAFMLDIFAAGTDTTTITVEWALSELINNPFILHKLQSELDTVVGNTRLVDESDIPNLPYLQAVTKETLRLHPTGPLVVRRSLEPTTVCGYDVPAGATVFVNVWAIGRDPAWWGPDPLAFRPDRFMAEDATDVRGQHFHLLPFGSGRRICPGASLALLVVQAALAAMVQCFEWRPVGGAPVDMEEGPGLTLPRKRPLVCTVSPRLHPMPPLER >LPERR06G17560.1 pep chromosome:Lperr_V1.4:6:16231422:16234581:-1 gene:LPERR06G17560 transcript:LPERR06G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAMTTTMAGGHHEAHHEDFQLKDTNPLLGEQWPKGAAGPARPNAGGGIAGWLGIEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDPRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMIGRDDYVGKVVFDLAEVPTRVPPDSPLAPQWYRLEERRRGGDGMMKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPATRGRAPEVFVKAQVGNQILKTSVSPPATTASPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRVVLPLSLFEKRLDHRPFVQSRWFDLEKFGIGIGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKTRDGRGATDAYCVAKYGQKWVRTRTMLGTSAPTWNEQYTWEVFDPCTVITIGVFDNNHLGNNNGNGNGGTTTTTPPARDARIGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKMSWAEAVNRDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAIVLYVTPFRIVALVAGLYMLRHPRFRSRLPAVPSNFFRRLPSRADSML >LPERR06G17570.1 pep chromosome:Lperr_V1.4:6:16239879:16244467:-1 gene:LPERR06G17570 transcript:LPERR06G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRPDGELQPYVDEAVSHYGLLMGHKARFASADPLHLLSGLWKGTVEQSFLWIGSFRPSGLIKSTQQAARREEESIDADLQALLRSLSDAADDLRLRTLHTLRQMLTVRQAALCFVAVDDYFGRLRALSLFWSTSRQPPAG >LPERR06G17580.1 pep chromosome:Lperr_V1.4:6:16248320:16250325:-1 gene:LPERR06G17580 transcript:LPERR06G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGSEAALPSPGWPDRAVAAPGPPDLAATALGAARRRRAAAMTVDILQLAAPASSVSVASSSPLPSAAAVEPSLWGEENCCPMMNDLIVFDHAIMVAAREAILLTCD >LPERR06G17580.2 pep chromosome:Lperr_V1.4:6:16249360:16250522:-1 gene:LPERR06G17580 transcript:LPERR06G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGSEAALPSPGWPDRAVAAPGPPDLAATALGAARRRRAAAMTVDILQLAAPASTVAQ >LPERR06G17590.1 pep chromosome:Lperr_V1.4:6:16268336:16270080:1 gene:LPERR06G17590 transcript:LPERR06G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFYAGHEAKMTHPIDETRESKSPLDMEGHGTHASSTAAGSMVLGANFVGYANGTAQGMAVGAHIATYKVCWVRDNGDRTCPSTDILAGMDEAIADGVDVLSASLGGYRTNLFSEPVSVGAFRAIQKGIVVSAVAGNDGPDTSTSNNLGPWMITVGASTIDRRFPTHVVLGNNQTYVGTSLYSGQNTASSFVPLVSGNEAGSSICEKGKLKRNIVTGKIVLCDSYNSSTLAKEAAVREAGGRGLILFSRNGEFLQSVPNLFLAVTLTVEDFNAAIYTKNQQRSRLQGTSMACPHVSGIAAMLKVAHPTWSPAAIKSGMMTTAYNVDNGGHPIKSAIDGKEAGPFDLGSGHVHPNNALDPGLVYNATTDDYITFLCGLGYSRQNIETITGDSKTADCSKRPRQPVGDLNYPAFSMVFGRSGGLVTQRRSVTNVGANTNAVYSVAHVAPPGTTLVVTPQKLIFSAQDKTLNYSVTLSSRDAADSPKWGEIVWSDDKNHTVWSPIVATWK >LPERR06G17600.1 pep chromosome:Lperr_V1.4:6:16272479:16279342:1 gene:LPERR06G17600 transcript:LPERR06G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDATPAAAPETPAAVPATASPVLRPRRVAFEHGLLPIPKLVFPEGTLTQTLAHTKDRLLAGGGAVRVDAAALGEALQIPREQAALALGTLAAVLPGEGDPALGEDGSGDADLRDVLLFLYIQSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGERDESMVLTAETFEHLGFLLQFSEGTPLSQVATFFANSDPYMPAAPVPAAQVHDWILQNIAASFENTAEKSTPKENNQHNSSDPDVTMAEAVTNTRTQNSSPSGTAVPNNQVHYRNTTFVEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAATKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLVQVGVDPNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEEQGPTKYNPFTLPEIYWASQRKKHVSLEDIQKNIRELELDDNRKKELANALHAQFKDWLYASGNIRQLYCLQGE >LPERR06G17610.1 pep chromosome:Lperr_V1.4:6:16280257:16281580:-1 gene:LPERR06G17610 transcript:LPERR06G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRGDEIPRLLDDEGRRGAQPRRRDPRERATKPHESAVSAGEVHLAAHPNHVLNRPAAPQGGVVGRILIVSLESELERGEFYFLIPASSVPDAKRRSSSAAAAHARSKSEGSAVTGRLSSVGSETSTTTAKKEKK >LPERR06G17620.1 pep chromosome:Lperr_V1.4:6:16326797:16331288:-1 gene:LPERR06G17620 transcript:LPERR06G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTAPSSSPSSSPFASRRCRLSSSFSAAFRVRSPRCVLGSEQVRVAVEAEEGKARAAEPRRPAAVWTPRAPAQEARLAALRTDGRDSRMKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSSGRTMSLTRGTWPLCCPATEMPPEGIFEEVIVTNFIMPPKH >LPERR06G17630.1 pep chromosome:Lperr_V1.4:6:16338717:16343075:1 gene:LPERR06G17630 transcript:LPERR06G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEGRGGEDDPVTPDLSQPSEDRRQLRSRYLAVKSRISDDKDDMASADSVKFRNIFTQVEDLHKLVQRPREQIADAEALLDIATSLVASVRTQSALGITPSDFVSGMLKKFGKQGRDDDEAASLSWADVGLYTSRVFMAVAGCGTMVGPMNTEVQPRKVRVCRKRAKPSGRACPEQLDDSSDAAKKTDTDRNMSVMFNVLRKKKHARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEGHHLVYPRNAPSASDITSGKVVYNHFVFRFDFKDWKIMTEMVVDGEELMQHRSSPLSTDGTTTTIGENSHPETETETPAVPAHNTSIRKLCRNRGLVTHDVQDEAAATTTGKAMEEKKNGH >LPERR06G17640.1 pep chromosome:Lperr_V1.4:6:16342305:16348824:-1 gene:LPERR06G17640 transcript:LPERR06G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVSGSSRGGIDGGRELVAALMGNPGLRAASERLRDAPERRISSGPEEEAEAPRHVYVFQREFATVDPARVQLVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGVEQMLELLGDDNSPFDVCIFPSIALQNVHLIGGFDDASTKVETSSGAVSPASFDMNSRCPDEVVRRIRVSVSSYDPTWQGRLLETYDTHSDAFHIAAACWMPDWAEIASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWEDTFPKYKPRVFHRTSDGRWSRTSGCPFGSSCHFLHNFPGGYQAVTKLTNLGGPAVAAPPGRMPLGLGAPNGPPTSSLKTRMCNKYNTAEGCKWGNKCHFAHGERELGKPMMLDNSMPHPMGSMPNGQFEPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRVTGAKLAIRENESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNQGRGSHAGGPGNNFKTKLCENFNKGSCTFGDRCHFAHGESELRKSTAA >LPERR06G17650.1 pep chromosome:Lperr_V1.4:6:16346568:16351846:1 gene:LPERR06G17650 transcript:LPERR06G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWAYVRVMAGTILGGALGFYVMHRVETSYKERMEERLRRYEARMVAKAKMEAQGLQDEQQHKDQAQLLPDS >LPERR06G17660.1 pep chromosome:Lperr_V1.4:6:16352661:16358538:1 gene:LPERR06G17660 transcript:LPERR06G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPIPQGLSFLRSVGWFEDRKADAAAAAAKQRKSPKLKLQTDREVYRPGDLVTATVEICGLTDDAAPAGSDEDAPSLLVDAVSFEIKGIEKLDSQWFSAPKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASVQTKTYIVRVELPKILPPSYRGTSIRYIYYVRSTLFGRSIVLGNGDQNIAPVNTTVQLEARVPLQICVSQKSSNLLNEEVNFPLPTEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPESSLRSSVSINSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDEHVGGVVSPQRKINHLLSDHQPSNGQFSLDPARSNDDSGLPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVYLFTLV >LPERR06G17670.1 pep chromosome:Lperr_V1.4:6:16361823:16362305:-1 gene:LPERR06G17670 transcript:LPERR06G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGSSSDVMVQHKERPKIKIIHIIAPEIIKTDVANFRDLVQRLTGKQNEAAAAAGGEEEEEKKEETIITKKRQRPAVEKNDQLVQEENCNKKIKCEVKVEEGGFGYDHLDQNDLWMDLNPGGFLNFLEEDGYMAADLFQSPLGSMSRMDFVGEMYAS >LPERR06G17680.1 pep chromosome:Lperr_V1.4:6:16369997:16373989:1 gene:LPERR06G17680 transcript:LPERR06G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGDGEWVFMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFSSDRMWLNGNEISLSGGRFQSCLREIRKRARDVEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNHDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTIVPNRVLKMEEAIKSRNFESFARIISLVEKWNHSEGTPQVAYTFDAGPNAVLVAPNRKNAALLLQKLIYYFPPQDNDLSSYMVGDKSILSDAGLNSIEDVEALPAPAEMKTLNQKFKGDVSYFICSRLGAGPKVVTDESLALLDPVAGLPKGV >LPERR06G17690.1 pep chromosome:Lperr_V1.4:6:16375607:16380452:1 gene:LPERR06G17690 transcript:LPERR06G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQERDLERLDTMLTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYGAVDVLDSFEYMALKAKIDRQATLLPVTHARVTSPLKEENHTYGREDELDKLRGLLLLPSDSSASGPSNSCVPVISIIGVGGVGKTSLAQLAFRDEQIRANFGLRIWVCVSDIYDETRLTRDILESVTNENYRSVTVFDELRNILREKISQNNFLLVLDDVWYDESRTDWENESVWYEVLSTLNTGLEGSKILVTTRSNKASELLHAGASLPLGGLNRDDYWMLFKSYAFGEKHPGLFKELKEIGMQIAERLNGLPLSAKVIGRLLNVDLDSSHWKKVLASDISDDVMKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPKRLTDMWISQGFVQKEDGSDNDMNIEDIANGYFNDLVQRSFFERTLLNLPTEYVMHDLMNDLARNVSKDEYARIESEKQKVIPPNIRHLSISANLLDGMNKAEMKNLRTLLVWSKSWPCLELSLPNNVFKKSKYIRVLDLTGCCLEMLPTSVKKLKHLRYLAFRVPAKPLPTSLVQLYHLEVLFTRGHSCRGSECVQLPRNMKKNLLKLRKAYLFNLGGGTISGFGEQTILHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVENQQQAVDAHLDRKQHVQHLQLEWSDLPRPVTPELDSDVLEALRPHRDLDRLNITGYKGARSPSWFATNWMRALTYVVLDNCVGWVQLPPLGQLPLLKDLVLRNMLAVRQIDQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPYLARLHIAKCPKLQESPPLNARPKIEVKITSDSIPSSCLFDSLITSASYLVLIVNCCSFLSSLSADQLSHVEELNVKNCTDPMPACGFVGLSSLKMLRISDCSALLVSVSAEADEDHDTCFFPRSLCHLEIVNCNIQSSLLPRYLQGLVNLSTLMIFSCDSLDLLSLSYGPHHLTALDTIIIKECHYLASMDGFENLIALRKLVVAECNSFCSLPADLNAVRYLNTLIIYGCPEMEFLPQNGIPASVQKILLSRLHPELDKQLQRKDGTEWHKIYHVPEKKLERYFAHCGH >LPERR06G17690.2 pep chromosome:Lperr_V1.4:6:16375946:16380450:1 gene:LPERR06G17690 transcript:LPERR06G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQERDLERLDTMLTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYGAVDVLDSFEYMALKAKIDRQATVSRFTSSFIYLGKRLVGTDKFRRKLTDMLEKLDEVKTTADTLFKLVGFDSATAKLLPVTHARVTSPLKEENHTYGREDELDKLRGLLLLPSDSSASGPSNSCVPVISIIGVGGVGKTSLAQLAFRDEQIRANFGLRIWVCVSDIYDETRLTRDILESVTNENYRSVTVFDELRNILREKISQNNFLLVLDDVWYDESRTDWENESVWYEVLSTLNTGLEGSKILVTTRSNKASELLHAGASLPLGGLNRDDYWMLFKSYAFGEKHPGLFKELKEIGMQIAERLNGLPLSAKVIGRLLNVDLDSSHWKKVLASDISDDVMKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPKRLTDMWISQGFVQKEDGSDNDMNIEDIANGYFNDLVQRSFFERTLLNLPTEYVMHDLMNDLARNVSKDEYARIESEKQKVIPPNIRHLSISANLLDGMNKAEMKNLRTLLVWSKSWPCLELSLPNNVFKKSKYIRVLDLTGCCLEMLPTSVKKLKHLRYLAFRVPAKPLPTSLVQLYHLEVLFTRGHSCRGSECVQLPRNMKKNLLKLRKAYLFNLGGGTISGFGEQTILHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVENQQQAVDAHLDRKQHVQHLQLEWSDLPRPVTPELDSDVLEALRPHRDLDRLNITGYKGARSPSWFATNWMRALTYVVLDNCVGWVQLPPLGQLPLLKDLVLRNMLAVRQIDQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPYLARLHIAKCPKLQESPPLNARPKIEVKITSDSIPSSCLFDSLITSASYLVLIVNCCSFLSSLSADQLSHVEELNVKNCTDPMPACGFVGLSSLKMLRISDCSALLVSVSAEADEDHDTCFFPRSLCHLEIVNCNIQSSLLPRYLQGLVNLSTLMIFSCDSLDLLSLSYGPHHLTALDTIIIKECHYLASMDGFENLIALRKLVVAECNSFCSLPADLNAVRYLNTLIIYGCPEMEFLPQNGIPASVQKILLSRLHPELDKQLQRKDGTEWHKIYHVPEKKLERYFAHCGH >LPERR06G17700.1 pep chromosome:Lperr_V1.4:6:16380772:16381170:1 gene:LPERR06G17700 transcript:LPERR06G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPNTATVLLFLAAAAAVIMSCDAAAGDCKLSDITVTTARTGKVVAGQPEYEVTMANGCDCPQNGVWVRCAGGGVQSVEPVDESKIRAESGDGRCIVNDGMPVARGSPVTFTYAWSQQQDFPVDEATPRC >LPERR06G17710.1 pep chromosome:Lperr_V1.4:6:16381892:16384881:-1 gene:LPERR06G17710 transcript:LPERR06G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWTPSYYFVLPWFAICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPILFWLGNISG >LPERR06G17720.1 pep chromosome:Lperr_V1.4:6:16386456:16386725:1 gene:LPERR06G17720 transcript:LPERR06G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKAASASPPRWSRRARAAISAAVLHRRRRRIGLPLPLRRVDFVRILYENVVFYLLWVIESVVVLAKLCFFFLRFGFRL >LPERR06G17730.1 pep chromosome:Lperr_V1.4:6:16387414:16397058:-1 gene:LPERR06G17730 transcript:LPERR06G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELQGFLTITSLENVKNKNEATNAQLVYKSQISRLKLQWSSNADNNSNEEYDVLNALRPHPGLEELVVDGYPGCTSPSWLESNWLSRLKQISIHDCTRWKFLPPLGELKSLRELYIDKMNSLDCIGTSFYGDAGFPSLKRLGLTQLPELAYWSSVDYAFPVLLDLFISRCPMLKKLPPVFPPPVKMEVLPPIILTWHTDHRLNTCITQDVSLASLLDMLHPRHTGSMETTDLTFDGAGILNDGLRDPRPSLPSLGGPDICGCCGFQSAFMSLTEMEISGYSNETLFPDFGCFPALQNLIIKDCPELKELPENGNLATLTKVLIECCDTLVSLRNLRDLYFLSKLVVRNCTKLMALPEMVSFFSLSVMIIYNCPELVNLPEEGLPVTLNFLYLNGCHPLLEEQFYMKSGIEWEKYASLPSCFYPDKLMKDIQEIIEEIISEKDSTVSSQSSLLHLIDSASSSSEIYPYGPATHWWGGKLTWLMAPIASSPRRWGGRNGGGGGGRRVPTSRGRHRTPAAGALTASPAGAGGEQGSGRQVILGDGLRRGVRTSVLSVSVLKAVNSVEDSDLILSLIRVILEYMATVSAASIVGWVVSPVIKRMVSLVQSYLSSRYNWKSDMVSDLKNLEVALLDILLVVGAAERQHVVDTNQILLMHQMKEAVCDAEDVLDEFDYMLLKEKVEQKGMLKRIASSSLSIECDTVEMPCPPVSLNCLRSLKQLHIYRCDWISYFDGSEALVSLEEMTIRCCYDLEFIPDLGDMPCLQKLDLYDCPQVMRLSKSGHQTALKELNIGSCIALSSLEELCDLVSLAKLSISDCPDLLRLPDMDGFYLLRVLEIYRCYNLRSLPQSGLPVSLERLSLFGCCQVLEEQFQRKEGPDWDKVAALQGGLADGMKQQT >LPERR06G17730.2 pep chromosome:Lperr_V1.4:6:16391367:16397058:-1 gene:LPERR06G17730 transcript:LPERR06G17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELQGFLTITSLENVKNKNEATNAQLVYKSQISRLKLQWSSNADNNSNEEYDVLNALRPHPGLEELVVDGYPGCTSPSWLESNWLSRLKQISIHDCTRWKFLPPLGELKSLRELYIDKMNSLDCIGTSFYGDAGFPSLKRLGLTQLPELAYWSSVDYAFPVLLDLFISRCPMLKKLPPVFPPPVKMEVLPPIILTWHTDHRLNTCITQDVSLASLLDMLHPRHTGSMETTDLTFDGAGILNDGLRDPRPSLPSLGGPDICGCCGFQSAFMSLTEMEISGYSNETLFPDFGCFPALQNLIIKDCPELKELPENGNLATLTKVLIECCDTLVSLRNLRDLYFLSKLVVRNCTKLMALPEMVSFFSLSVMIIYNCPELVNLPEEGLPVTLNFLYLNGCHPLLEEQFYMKSGIEWEKYASLPSCFYPDKLMKDIQEIIEEIISEKDSTVSSQSSLLHLIDSASSSSEIYPYGPATHWWGGKLTWLMAPIASSPRRWGGRNGGGGGGRRVPTSRGRHRTPAAGALTASPAGAGGEQGSGRQVILGDGLRRGVRTSVLSVSVLKAVNSVEGSQKKGYS >LPERR06G17730.3 pep chromosome:Lperr_V1.4:6:16387414:16391362:-1 gene:LPERR06G17730 transcript:LPERR06G17730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAASIVGWVVSPVIKRMVSLVQSYLSSRYNWKSDMVSDLKNLEVALLDILLVVGAAERQHVVDTNQILLMHQMKEAVCDAEDVLDEFDYMLLKEKVEQKGMLKRIASSSLSIGKCFISFDKFRSELCKVLKSVQRVRSSAEIFVQVMALESANTFQSLQPVPTRATGSLLHEDVIVGREKEVGELVDQLVNKFDECSLSYGIRFSTEVHTIVGVGGIGKTTLAQLIYNDERITYTFDLKIWVCISNNFDKIRITKEIIACTTDGENAELTALNFSMLQDELKRRLSYKRFLLVLDDVWYDERYGDHINKQMWMELLAPIRYSRKRPGQRKIVSGSKILVTTRTELVARMLDSRSSFFLEGLGRNDSWLLFSQCAFGSRNPEDYPELKRIGDQIVQKLKGSALALKVIGGHLNGKYSDTEWDDVLHENLLTPNDMLTILRLSYESLPEHLKQCFAYCSLFPKDYLIDPNRLIRMWIAQGFVHQQGSNGRNLEDIGRGYFNDLLTRSFFQVLRRGDQTYYTMHDSMNDLALHVSQGECFRVDHGSSIVLPYYVRHLCLYVEQLGNLVINDHLGRLWALVVLNKSWFCSKVCMSNDILNKLRSVRVLDISGCCFNSLPEAIGDLIHLRYLDIRRTYYPLPATMSRLNHLQALFVQYHSCCSSGSSRSNKRKHPSNSRGQGSTSGGNFVLPESITRLSKLEHVNVERGYTVTLSSMHQSTCVEGSGEFLVDKDGPSLLQLKDLNMIRGDLTIRYLENVKSTEEAAKAQLDLKEHITKLFLEWGSYDSAHDINKGFEVFEVLKPHSSLCELTISGYPGVRSPSWLEFGWLRRLEFVCLRDCERWDILPPLGNLPLLRGLEIRRMDELKTLGPGFFGSSGFPSLQSLLLERLPKLEWSVVQNDQMFPNLRHLSVAGCPRLTEYPTYPRTLRHIAVLDRERIQVKTFIDRVELSRSFCCVVSSFFHVLHSHHLEFIENLEIYVNHFIDMSRTVFTNLKSLKGLKIYGVNRANTCSLVTSLWDENGNTVLPLALRLLVLQRCYLQPSSFSMLLNNLSSLVTLQLSECDTVEMPCPPVSLNCLRSLKQLHIYRCDWISYFDGSEALVSLEEMTIRCCYDLEFIPDLGDMPCLQKLDLYDCPQVMRLSKSGHQTALKELNIGSCIALSSLEELCDLVSLAKLSISDCPDLLRLPDMDGFYLLRVLEIYRCYNLRSLPQSGLPVSLERLSLFGCCQVLEEQFQRKEGPDWDKVAALQGGLADGMKQQT >LPERR06G17740.1 pep chromosome:Lperr_V1.4:6:16397410:16398913:-1 gene:LPERR06G17740 transcript:LPERR06G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKANVEKGNIRSFASSSISTAKRLVGHDKFRSKLGKMLKSLSRVKECAEMLVRVIGPENSSSHILPEPLQWRITSSISIGEFVVGRQKERDELVNQLLEQADGPMSKSKRERSASPEVITIVGNGGIGKTTLAQLIYNDKRIEDNFYMRTWICVSHVFDKVRITKEIPTSIDKSIDLTNFNFSMLQELKKKITMKKFLLVLDDVWYDEKVGGPINADRWRELLAPLWHGVEVSKILVTTRMDIVANTLGCATPFYLSGLESEDGWELFRRYAFNTRDPKEHPERKSIGERIVQKLNGSALAVKAVGGHLCSNFNEEEWNRVLNRGLSNEKDIMTILHLSYECLPEHLQQCFSFCGLFPKGHYLEPDLLVDMWIAHQFIQDCGCTYGSLRSTGKKYFDELLSRSFFQALRYGGTAHYVMHDLMNDLAVHISNDLTLMDLKIFLQQFNICLYLLKELTYFVSGFVWKKMFSRSLRA >LPERR06G17740.2 pep chromosome:Lperr_V1.4:6:16397086:16398913:-1 gene:LPERR06G17740 transcript:LPERR06G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKANVEKGNIRSFASSSISTAKRLVGHDKFRSKLGKMLKSLSRVKECAEMLVRVIGPENSSSHILPEPLQWRITSSISIGEFVVGRQKERDELVNQLLEQADGPMSKSKRERSASPEVITIVGNGGIGKTTLAQLIYNDKRIEDNFYMRTWICVSHVFDKVRITKEIPTSIDKSIDLTNFNFSMLQELKKKITMKKFLLVLDDVWYDEKVGGPINADRWRELLAPLWHGVEVSKILVTTRMDIVANTLGCATPFYLSGLESEDGWELFRRYAFNTRDPKEHPERKSIGERIVQKLNGSALAVKAVGGHLCSNFNEEEWNRVLNRGLSNEKDIMTILHLSYECLPEHLQQCFSFCGLFPKGHYLEPDLLVDMWIAHQFIQDCGCTYGSLRSTGKKYFDELLSRSFFQALRYGGTAHYVMHDLMNDLAVHISNGESYRFDVDGSEDISPTVQHLSIPAQRVDLLRVWVCLEEDVLKEFKSLRLLDLTGCCLEHWPDFLLGGIYHVLRQPQNSVLKRL >LPERR06G17750.1 pep chromosome:Lperr_V1.4:6:16398965:16400668:-1 gene:LPERR06G17750 transcript:LPERR06G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGRRIATAVYRASSNMATIPLATGVGWVVTPVIKLMFEKVQSYISTQYKWQSNLEDDLNKLESILTEILLVVGTAERQRTLDGNQQSLLR >LPERR06G17750.2 pep chromosome:Lperr_V1.4:6:16398965:16400542:-1 gene:LPERR06G17750 transcript:LPERR06G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQIDEGSQSLLSSVRPLDPAIFSLWASVSCKGGLIDKETGVVILPIRIATAVYRASSNMATIPLATGVGWVVTPVIKLMFEKVQSYISTQYKWQSNLEDDLNKLESILTEILLVVGTAERQRTLDGNQQSLLR >LPERR06G17760.1 pep chromosome:Lperr_V1.4:6:16413697:16418085:1 gene:LPERR06G17760 transcript:LPERR06G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVLVFLLLLLLSGVSLCGCIRLGNGGYEDWRMGSATYIKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLPDDYGGWCNFPKEHFELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGGASFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVASGRGRTVTAYSVAPADWMFAQTFEGKQFVE >LPERR06G17770.1 pep chromosome:Lperr_V1.4:6:16417636:16428077:-1 gene:LPERR06G17770 transcript:LPERR06G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNETLDLNEQPHENQVGTLNYVLLQKDSKSICRTKVCDLPVKVPSIWPIVKFVPTKVYQQSDFLKFSLLPDPEDDRQNFEWGKFMRFLWDNRRVAVVKFSSFTLHILPPQSHERLNLSHAVIMYETGQNDPGGRKQMAGISEGHMNIAMTNASRRSYKSDFQSGLMSQKSCLKEEICDSRPTPKELNASRVTELRKSVPESSPCESVEDGPRIVDAVVKERTTSPAKTFVSTDPSYLRTLSQTHAGWIFGAIAELIDNSRDARASRLNISIKSLFSKKAEKKVPVLSVIDDGHGMTYDEMMRMISFGHKRQDKYHQDQIGRFGIGFKTGAMKLGKDAIVLTQTSSSRSVAFLSQSFNENKDNLEIPVVTYCKEGQYMEVDSSVQSKTTAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYVWNLDRWGADFTLDWISGKPSENPVHPGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKIFVQGSSVKTRPLAKTLNKTSVMSGEIMDKPIQLTLGRSTVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGKKADEYWDTNFDTLELRKGGERYKADHEWVQCYSCRKWRTLNAGFDTKSLPDEWFCYMPPFNGKCEVPEQQMARGVIVVGEKRSGYDGRNRATQQEEAPKVNANKARSDISVSQTCTQGEDVKNVKIIPTVGNNRNKSSNETLMMDKNNDNDEDDSERGSSQTKPGTPRPVLKRLRRPADAKPARIVCHMTCPSI >LPERR06G17780.1 pep chromosome:Lperr_V1.4:6:16435498:16442570:1 gene:LPERR06G17780 transcript:LPERR06G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYRSAAVESEGEPEADAPVNEAKDVEEEKSGNGEDGTEEAADTVDSVKDSAKTKGMDAEEEVVEEEDGAAADEAKDISVTSNGGGNEVNEMEVEKLENGDGHMKADGEKGKAAKVEGASDGENKENGDEKQLVLAVAGEDEEDPVLSKLASNSFMFDYTGGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVMCIACLLTSCINRTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVATSTVTERITSESQVGIHAPGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTGSVIKKDKTPKSSGSAKRKRTPTLEDDRMVPYKSDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >LPERR06G17780.2 pep chromosome:Lperr_V1.4:6:16435498:16441678:1 gene:LPERR06G17780 transcript:LPERR06G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYRSAAVESEGEPEADAPVNEAKDVEEEKSGNGEDGTEEAADTVDSVKDSAKTKGMDAEEEVVEEEDGAAADEAKDISVTSNGGGNEVNEMEVEKLENGDGHMKADGEKGKAAKVEGASDGENKENGDEKQLVLAVAGEDEEDPVLSKLASNSFMFDYTGGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVMCIACLLTSCINRTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVATSTVTERITSESQVGIHAPGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTGSVIKKDKTPKSSGSAKRKRTPTLEDDRMVPYKSDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >LPERR06G17790.1 pep chromosome:Lperr_V1.4:6:16443208:16444061:-1 gene:LPERR06G17790 transcript:LPERR06G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRAPWLTLLLLAAGALLLRLSLAAAPNDVQDEMAMRGDLEDIKRQRSVLGWGIWSGGGGGGGGGGGGGDSGDDGDDGDDGDNGGRGGGKGRGRGKGQGIDRGNGHNGGKGINSGGRGRDKVKGSSGGGGNIIGGGGGGGGGGGGNGSSNSGQGGDGGGGGGGENGGEGGGGGDTGGGGSGGDGANDVDKVRPSPSYCGGHGCKHDDGEDKGKGQRKIAAGSQGGRVVTKPKGKP >LPERR06G17800.1 pep chromosome:Lperr_V1.4:6:16453007:16461431:-1 gene:LPERR06G17800 transcript:LPERR06G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKEAARVSVPNGATGHYLLGLIYRYTGRVAAAAEQFIQALTLDPLLWVAYEELCILGVAEDANECFSEATALRLQQEHTSTSTLEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGNTHVKSTALHVQNGGSSNLSQFDTPSPAATQSGIAPPPLFRNLHAYQKTTGGNAPSRPKANAANLTLRRKYLDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSDISQFGGNVTDHSSGKLRVNSSTPSKLCSTALRSVQVRKGKPQATENFDEGNRFQTIDEMWTDNVAATSSANTVDGRYSEQDKSERVLSQDSKFAIGIRDLLALLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPEALDELERLKEIAPNESSMYALMGKIYKQLNILDKAIFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDDDDDDDDI >LPERR06G17800.2 pep chromosome:Lperr_V1.4:6:16453007:16461431:-1 gene:LPERR06G17800 transcript:LPERR06G17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKEAARVSVPNGATGHYLLGLIYRYTGRVAAAAEQFIQALTLDPLLWVAYEELCILGVAEDANECFSEATALRLQQEHTSTSTLEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGNTHVKSTALHVQNGGSSNLSQFDTPSPAATQSGIAPPPLFRNLHAYQKTTGGNAPSRPKANAANLTLRRKYLDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSDISQFGGNVTDHSSGKLRVNSSTPSKLCSTALRSVQVRKGKPQATENFDEGNRFQTIDEMWTDNVAATSSANTVDGRYSEQDKSERVLSQDSKFAIGIRDLLALLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPEALDELERLKEIAPNESSMYALMGKIYKQLNILDKAIFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDDDDDDDDI >LPERR06G17800.3 pep chromosome:Lperr_V1.4:6:16453007:16461431:-1 gene:LPERR06G17800 transcript:LPERR06G17800.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKEAARVSVPNGATGHYLLGLIYRYTGRVAAAAEQFIQALTLDPLLWVAYEELCILGVAEDANECFSEATALRLQQEHTSTSTLEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGNTHVKSTALHVQNGGSSNLSQFDTPSPAATQSGIAPPPLFRNLHAYQKTTGGNAPSRPKANAANLTLRRKYLDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSDISQFGGNVTDHSSGNRFQTIDEMWTDNVAATSSANTVDGRYSEQDKSERVLSQDSKFAIGIRDLLALLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPEALDELERLKEIAPNESSMYALMGKIYKQLNILDKAIFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDDDDDDDDI >LPERR06G17810.1 pep chromosome:Lperr_V1.4:6:16466325:16469441:1 gene:LPERR06G17810 transcript:LPERR06G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVVGDAEFFADLDLDALLASFSAADSGVSGLFAPSPHDAAAAAAAGSPESVSSRMSPTREGALLDIERFLMEEGPEAGAEVEEGVGVEDFFDALIVDGGEGEREEEEGKGSEAGGSTDGDSGKENEVATPDAEKEEVEEIDGDDPISKKKRRQLRNRDSAMKSRERKKMYVKDLETKSKYLEAECRRLSYALQCCTAENMALRQSLLKDRPVGAATAMQESAVLTETLPLVSLLWLVSIVCLLLMPGLPNRNPVARSSAGRDLVMVTGKKTSGEQLETLELLGRRCKGSRARIKIYTGPFHAAAAAC >LPERR06G17820.1 pep chromosome:Lperr_V1.4:6:16470805:16479844:1 gene:LPERR06G17820 transcript:LPERR06G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGDADAASPAGRVLARALDKVIKHSSWRKHSSLVSASKSALDLLSISSPTSSSASPIPGLAAAAADASIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVSSTAASESSISKLFAAVLACGGLGDEALELSALRVLVAFARCPAVSVSGDCLGQVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPASDLPAEADDGSGIGEDEGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVENAGPFWKSNEMYLEAIKKNLFLSLLKNSALSAMSVFQLLCSIFIGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKISKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTQNGEGSGADYDMQSEPGSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGVDFLVRSKKIGHSPEDVASFLKNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEAYLSALYDQIVNKEIKMSADSSTSQIKQPNSISKLLGLDNIISFVNWGQAEDKALGANDLLIKHIQEKFKANCRKSESVFYIVSDATILRFMMEACWAPMMAAFTVTLDQSDDKASASQCLKGLRFAVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNQKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVTKSSVSALVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADNTKNIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNSIAFIRFCAVKLADEGFGCQEKCSDESRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKKSAVGVLFDILKDHGRLFSQPFWTNILESVVYPLFSSERSSSNDQTSIPSIPEDDFSNLETQTLAVKCLVDLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMHLIEGVGSELSKEEWKEILLRFKESTEHTFLVFSKIVRMMQDIEIPDRFESYSETDQYSDHENYGNDEEEANMETASYAIVKLKNHMALLLLIVQGIIKLYEEHRKYLCSDHISILLEMISAIATHASEVSSESSLLLKFHKACSLLEVSEPAIVHFENESYQTYLKLLQVLFRDYPSMSEEMDIESQILRVCEKILRIYLRCAQHELSDEPSHRNPSLHCVVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTVK >LPERR06G17820.2 pep chromosome:Lperr_V1.4:6:16470805:16479844:1 gene:LPERR06G17820 transcript:LPERR06G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGDADAASPAGRVLARALDKVIKHSSWRKHSSLVSASKSALDLLSISSPTSSSASPIPGLAAAAADASIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVSSTAASESSISKLFAAVLACGGLGDEALELSALRVLVAFARCPAVSVSGDCLGQVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPASDLPAEADDGSGIGEDEGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVENAGPFWKSNEMYLEAIKKNLFLSLLKNSALSAMSVFQLLCSIFIGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKISKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTQNGEGSGADYDMQSEPGSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGVDFLVRSKKIGHSPEDVASFLKNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEAYLSALYDQIVNKEIKMSADSSTSQIKQPNSISKLLGLDNIISFVNWGQAEDKALGANDLLIKHIQEKFKANCRKSESVFYIVSDATILRFMMEACWAPMMAAFTVTLDQSDDKASASQCLKGLRFAVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNQKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVTKSSVSALVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADNTKNIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNSIAFIRFCAVKLADEGFGCQEKCSDESRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKKSAVGVLFDILKDHGRLFSQPFWTNILESVVYPLFSSERSSSNDQTSIPSIPEDDFSNLETQTLAVKCLVDLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMHLIEGVGSELSKEEWKEILLRFKESTEHTFLVFSKIVRMMQDIEIPDRFESYSETDQYSDHENYGNDEEEANMETASYAIVKLKNHMALLLLIVQGIIKLYEEHRKYLCSDHISILLEMISAIATHASEVSSESSLLLKFHKACSLLEVSEPAIVHFENESYQTYLKLLQVLFRDYPSMSEEMDIESQILRVCEKILRIYLRCAQHELSDEPSHRNPSLHCVVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTSRGKGISLGGIHLRQIRSN >LPERR06G17820.3 pep chromosome:Lperr_V1.4:6:16470805:16482788:1 gene:LPERR06G17820 transcript:LPERR06G17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGGDADAASPAGRVLARALDKVIKHSSWRKHSSLVSASKSALDLLSISSPTSSSASPIPGLAAAAADASIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVSSTAASESSISKLFAAVLACGGLGDEALELSALRVLVAFARCPAVSVSGDCLGQVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPASDLPAEADDGSGIGEDEGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVENAGPFWKSNEMYLEAIKKNLFLSLLKNSALSAMSVFQLLCSIFIGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKISKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTQNGEGSGADYDMQSEPGSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGVDFLVRSKKIGHSPEDVASFLKNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEAYLSALYDQIVNKEIKMSADSSTSQIKQPNSISKLLGLDNIISFVNWGQAEDKALGANDLLIKHIQEKFKANCRKSESVFYIVSDATILRFMMEACWAPMMAAFTVTLDQSDDKASASQCLKGLRFAVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNQKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVTKSSVSALVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADNTKNIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNSIAFIRFCAVKLADEGFGCQEKCSDESRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKKSAVGVLFDILKDHGRLFSQPFWTNILESVVYPLFSSERSSSNDQTSIPSIPEDDFSNLETQTLAVKCLVDLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMHLIEGVGSELSKEEWKEILLRFKESTEHTFLVFSKIVRMMQDIEIPDRFESYSETDQYSDHENYGNDEEEANMETASYAIVKLKNHMALLLLIVQGIIKLYEEHRKYLCSDHISILLEMISAIATHASEVSSESSLLLKFHKACSLLEVSEPAIVHFENESYQTYLKLLQVLFRDYPSMSEEMDIESQILRVCEKILRIYLRCAQHELSDEPSHRNPSLHCVVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTSRGKGISLGGIHLRQIRSN >LPERR06G17820.4 pep chromosome:Lperr_V1.4:6:16479448:16480390:1 gene:LPERR06G17820 transcript:LPERR06G17820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQTLDRSSARVASHSHAFSLPLPTEQFDIHIYKDQGSRAVAEGVELLFPPKGADKILEFST >LPERR06G17830.1 pep chromosome:Lperr_V1.4:6:16476024:16482777:-1 gene:LPERR06G17830 transcript:LPERR06G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDQPYSPGYVPGHILPLSNQNAANVVRMSSTPSATALDPCSCAIHTKQHSRTNVLLIHGYLILINVNVKLLRWKGQGKGVRVRSHSCAASVKCLCIPHLLPGILIAGGLYMDQTGCRKWSPESSPQR >LPERR06G17840.1 pep chromosome:Lperr_V1.4:6:16488435:16489991:-1 gene:LPERR06G17840 transcript:LPERR06G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTVCVTGAGGFVASWLVRRLLSSSSGGGYLVHGTVRDPSDAKNDHLREMNGAAERLRLFKADVLDKASVAAAVAGCGGVFHVASPVPAGKPRDPDAEVLGPAVAGTRNVVEAAGEAGVRRVVVVSSAAAVILDPAFPRDAVLGEDAWSDDHYCRSIENWYCLSKTLAEREAWRYAVENSEMDVVTVCPPLVLGPLLQSTVNTSSSILINLLKGDQEKAAKDKRRNVVDVRDVADALVLTYENPAASGRYICSAYNLKVSEMADIVRRFYPDINYPKFVGEEDERLLSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >LPERR06G17850.1 pep chromosome:Lperr_V1.4:6:16491237:16494296:-1 gene:LPERR06G17850 transcript:LPERR06G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARQTVCVTGAGGFIASWHIKLLLSGGSYAVRGTVRDPGDAKNAHLKALEGAEERLQLFKADLLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACYEAKVKRVVMVSSIAAVFNNPNWPKDKAFNEDSWSDEELCRKNQDWYYLSKTLAEREAFAYAAKTGLDIVTVCPSLVIGPLMQSTVNSSSKVLINYFKGDRDTVENRLRNVVDVRDVANALLLTYENPGASGRYICSSAPIKVSDMIDILKTLYPTYPYPKNFVDVEEKITYTTEKLQKLGWTFRPMEETLRDSVESYRSFGILD >LPERR06G17860.1 pep chromosome:Lperr_V1.4:6:16494988:16497074:-1 gene:LPERR06G17860 transcript:LPERR06G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGRTKTVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMALDGAAERLRLFKADLLDYGSMAAAIAGCDDVFHVDILAPSVTGTMNVLKACSEAKIRKVVVVSSVSAVMLNPNWPEGKAMDEDCWSDVEYCRTTENWYTLAKTLAEIEAFDYAKRSGLDLVILCPSLVIGPLIQPTVNASSTVILGCLKGDHEVKLKLRNFVDVRDVADALLLVYETPGVSGRYICNSHTIRMSHIIDLLKSWYPGYKFAEKFVEVSDEPSFDSGKLEKLGWKIKPFEETLRDSVESYRAAGVLD >LPERR06G17870.1 pep chromosome:Lperr_V1.4:6:16503819:16504671:1 gene:LPERR06G17870 transcript:LPERR06G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSNKWWQAAALDFPPPPPPPQPQPAAAAVTSPPEINQQQQPAMAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHTHIIEVPPGADIAASVADYARRRGRGILSVTGTVLPPPAPPGASGLSVLLAAGQGQVVGGAVVGPLIAAGHVTLFAATFSNAVYERLPLPDDDGVDVKPDLAAGGGGGAATSTPPPELQQQQLALPPPPPSHPHQSMAASYAWASGV >LPERR06G17880.1 pep chromosome:Lperr_V1.4:6:16516813:16517133:-1 gene:LPERR06G17880 transcript:LPERR06G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQAICGNGKPSSGRRRLRRRRARGVVRLRLRLGLLLRLRVRLSGLLGLLVRSLEELRCCPSAGGRRISGSCSTAAAAGRVHDQSSFYADAIADCLEFIKSRSS >LPERR06G17890.1 pep chromosome:Lperr_V1.4:6:16526901:16532044:1 gene:LPERR06G17890 transcript:LPERR06G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERKGTKEMKVPLATRYSALWLKFWGRDTMTFLVLSVSVVLLGELCSCAQVYVVYMGKGLQGSSDDRHDILMLHHQMLTAVHDGSPEKAEASHVYTYSNNFQGFAAKLNKQQAMKLADMPGVISVFPNTKRSLHTTHSWDFMGLSLDAVAEVPELSSKNQENVIIGFIDTEIMECLQFPQDGEENASGERQTHHQISPVTGYQTEEDGPNKSVIKFMSPRDSSGHGSHTASLAAGRFVKNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFGDAISDGVDIISVSLGPDYPQGGYFTDAISIGSFHAMSNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFVSYIRLANGTFIMGESLSTYHMQTSVRTISASEANAGYFTPHQSSLCMDGSLNKTKARGKILICHHSRSSLDSRISKSLIVKKAGAVGMILIDEMEDHVANHFALPATVVGKATGDKILSYIGSTRHASTVILPAKTILGSRDAPWVAAFSSKGPNSLTPEILKDDRHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLDNKRNAIATDPNGRTATPFDLGSGYDDRSLHLITRDNSTCTDKARSSAATLNYPSITIPNLKKRYSVTRTMTNVGCQGRTYRAMVSAPRGISVTVTPNVLVFENYGLKKTFTVNFHVNVPHQDYVFGSLLWHGKDAHLMMPLVVKGQTAAKA >LPERR06G17890.2 pep chromosome:Lperr_V1.4:6:16526901:16531962:1 gene:LPERR06G17890 transcript:LPERR06G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERKGTKEMKVPLATRYSALWLKFWGRDTMTFLVLSVSVVLLGELCSCAQVYVVYMGKGLQGSSDDRHDILMLHHQMLTAVHDGSPEKAEASHVYTYSNNFQGFAAKLNKQQAMKLADMPGVISVFPNTKRSLHTTHSWDFMGLSLDAVAEVPELSSKNQENVIIGFIDTEIMECLQFPQDGEENASGERQTHHQISPVTGYQTEEDGPNKSVIKFMSPRDSSGHGSHTASLAAGRFVKNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFGDAISDGVDIISVSLGPDYPQGGYFTDAISIGSFHAMSNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFVSYIRLANGTFIMGESLSTYHMQTSVRTISASEANAGYFTPHQSSLCMDGSLNKTKARGKILICHHSRSSLDSRISKSLIVKKAGAVGMILIDEMEDHVANHFALPATVVGKATGDKILSYIGSTRLCAEFYIYFQKGHASTVILPAKTILGSRDAPWVAAFSSKGPNSLTPEILKPDIAAPGLNILGAWSPAKDDRHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLDNKRNAIATDPNGRTATPFDLGSGFANPIKALNPGIIFDAHPEDYKSFLCAIGYDDRSLHLITRDNSTCTDKARSSAATLNYPSITIPNLKKRYSVTRTMTNVGCQGRTYRAMVSAPRGISVTVTPNVLVFENYGLKKTFTVNFHVNVPHQDYVFGSLLWHGKDAHLMMPLVVKGQTAAKA >LPERR06G17890.3 pep chromosome:Lperr_V1.4:6:16526901:16531962:1 gene:LPERR06G17890 transcript:LPERR06G17890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERKGTKEMKVPLATRYSALWLKFWGRDTMTFLVLSVSVVLLGELCSCAQVYVVYMGKGLQGSSDDRHDILMLHHQMLTAVHDGSPEKAEASHVYTYSNNFQGFAAKLNKQQAMKLADMPGVISVFPNTKRSLHTTHSWDFMGLSLDAVAEVPELSSKNQENVIIGFIDTEIMECLQFPQDGEENASGERQTHHQISPVTGYQTEEDGPNKSVIKFMSPRDSSGHGSHTASLAAGRFVKNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFGDAISDGVDIISVSLGPDYPQGGYFTDAISIGSFHAMSNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFVSYIRLANGTFIMGESLSTYHMQTSVRTISASEANAGYFTPHQSSLCMDGSLNKTKARGKILICHHSRSSLDSRISKSLIVKKAGAVGMILIDEMEDHVANHFALPATVVGKATGDKILSYIGSTRHASTVILPAKTILGSRDAPWVAAFSSKGPNSLTPEILKPDIAAPGLNILGAWSPAKDDRHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLDNKRNAIATDPNGRTATPFDLGSGFANPIKALNPGIIFDAHPEDYKSFLCAIGYDDRSLHLITRDNSTCTDKARSSAATLNYPSITIPNLKKRYSVTRTMTNVGCQGRTYRAMVSAPRGISVTVTPNVLVFENYGLKKTFTVNFHVNVPHQDYVFGSLLWHGKDAHLMMPLVVKGQTAAKA >LPERR06G17900.1 pep chromosome:Lperr_V1.4:6:16538444:16541538:1 gene:LPERR06G17900 transcript:LPERR06G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKADAAAGGGIAAVPTPALLCFDLKPFLAALTVLTLITAAWQLRSYPSVLPSPFPSSSSLCPNLAAAAAFSPPRPLAVHARTSNATVSSSPPPPPAADPNRRVFRAVGSAAALFVQFGAYRGGPYTFAIVGLASKPIHVYGKPWFRCYWLPNTNSSSPSPATARPIPAANTYHMLPDWGYGRVYTVVVVNCTFAQIPNSDNAGGKLLLHAYYGQSPSKFERIVVLEESPGASPLAAFTLSPRPKYDYLYCGSSLYGNLSASRDVRAQAEYDGWYHNQFLVVNDCLHRHRHSAKWTFFFDVDEYIYLPHGRTLEEVLGELEPYTQFTIEQNPMSSRLCARGNEETEKDYENQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENIIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPIPPKGKLIWSEKTPWYYDDSMKRLADEVREFERKTIGDVWV >LPERR06G17900.2 pep chromosome:Lperr_V1.4:6:16538444:16540901:1 gene:LPERR06G17900 transcript:LPERR06G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKADAAAGGGIAAVPTPALLCFDLKPFLAALTVLTLITAAWQLRSYPSVLPSPFPSSSSLCPNLAAAAAFSPPRPLAVHARTSNATVSSSPPPPPAADPNRRVFRAVGSAAALFVQFGAYRGGPYTFAIVGLASKPIHVYGKPWFRCYWLPNTNSSSPSPATARPIPAANTYHMLPDWGYGRVYTVVVVNCTFAQIPNSDNAGGKLLLHAYYGQSPSKFERIVVLEESPGASPLAAFTLSPRPKYDYLYCGSSLYGNLSASRDVRAQAEYDGWYHNQFLVVNDCLHRHRHSAKWTFFFDVDEYIYLPHGRTLEEVLGELEPYTQFTIEQNPMSSRLCARGNEETEKDYENQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENIIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPIPPKGKLIWSEKTPWYYDDSMKRLADEVREFERKTIGDVWV >LPERR06G17910.1 pep chromosome:Lperr_V1.4:6:16551089:16555533:1 gene:LPERR06G17910 transcript:LPERR06G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASSSSLHLLVQVANSLKRFTRTRPPPSYTMVMVGMTESSLDGGGGGGAVAAAHEEHEHEQTEEDHLGPAWLRPLLSTSFFVACTAHPELSKNECNLFCLGCTGDALCAYCLPSHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGSGSDYDSFSPKKLRKTGYELGRFDRGIRWSDDEGSKSNTAPITPTTPPINRCRPSRRKGIPHRAPFYG >LPERR06G17910.2 pep chromosome:Lperr_V1.4:6:16550567:16555533:1 gene:LPERR06G17910 transcript:LPERR06G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMTESSLDGGGGGGAVAAAHEEHEHEQTEEDHLGPAWLRPLLSTSFFVACTAHPELSKNECNLFCLGCTGDALCAYCLPSHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGSGSDYDSFSPKKLRKTGYELGRFDRGIRWSDDEGSKSNTAPITPTTPPINRCRPSRRKGIPHRAPFYG >LPERR06G17910.3 pep chromosome:Lperr_V1.4:6:16550645:16555531:1 gene:LPERR06G17910 transcript:LPERR06G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPNRLTSASQLHRFISVMVGMTESSLDGGGGGGAVAAAHEEHEHEQTEEDHLGPAWLRPLLSTSFFVACTAHPELSKNECNLFCLGCTGDALCAYCLPSHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGSGSDYDSFSPKKLRKTGYELGRFDRGIRWSDDEGSKSNTAPITPTTPPINRCRPSRRKGIPHRAPFYG >LPERR06G17920.1 pep chromosome:Lperr_V1.4:6:16562839:16563423:1 gene:LPERR06G17920 transcript:LPERR06G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSALLRHQPAAAPPPAQVIAADGSLTEVSAAASRPISVSDVLAAGGDDYNAGRHFLCSSDALYFDADVPALDGGELLQPGQIYFLLPVAMLGRPLSGADMAALAVRASEALVARERRSRHRGGKKFRVMPASGCDGGDGEINEKLNERTLGDSPACCTPASAGNNSPASAPVKRLLSTIEEDAE >LPERR06G17930.1 pep chromosome:Lperr_V1.4:6:16568461:16578034:-1 gene:LPERR06G17930 transcript:LPERR06G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPPLAAAAASAPPPRLAPGLSLAAAAAVRRSSLARRHSSVVLHPSALAAPANPLRCTHRRAVSPRLRRRTEALGAAAWSSAIGGGVGEERDGCLSCCRVGRRRGRPGLARFSPCALPHASGLSLHSGLSGAKVRHRHILHAAGPDEPHVASPTWSEPALDKPYEDQAIGREELEGFLNTPLPSHPKLVRGQLNNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPESEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAVDKIKPVKRERQAIRPPVEHKWSLPGVSQDAKPPAIFQHELIQSFSINMFCKIPVSQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPNAPLPAAIVACVPKKVHIDGVGETYFEIHPEGITDSIKAGLEEHIYPEPELEVPKELITQSQLEDLKLQCKPSFASLSEEENVVKIFDNETGITQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVFIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRNSSGDDQVSESANSDLTGRKHIDVRNHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPGKVHKAVDACKGVLRGLHSNRIVERELDREISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEEITDDELDTGLHGMGPVGGRGLSTMTRPTT >LPERR06G17940.1 pep chromosome:Lperr_V1.4:6:16580948:16581622:1 gene:LPERR06G17940 transcript:LPERR06G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSALAAFSAAAGKRLLLSSPVALSFASGRRIPLASPLRLRAAGILSAAPRRAAAATIAVGDRLPDATLSYFDSPDGEMKTVTVRDLTAGKKVILFAVPGAFTPTCTQKHVPGFVAMAGELRAKGVDAVACVSVNDAFVMRAWKESLGIAGDEVLLLSDGNGELTRAMGVELDLSDKPAGLGVRSRRYALLADDGVVKVLNLEEGGAFTNSSAEDMLKAL >LPERR06G17950.1 pep chromosome:Lperr_V1.4:6:16589809:16596146:1 gene:LPERR06G17950 transcript:LPERR06G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAAAGQMAAAAAMWEQVKAPVIVPLLRLSVAACLAMSVMLFVEKVYMTVVLVAVKLFARRPDRRYRCDPIVSGAGAVDGDGGSDPELAGDAAFPMVLIQIPMYNEREVYKLSIGAACGLSWPSDRFIVQVLDDSTDPVIKEMVQVECKKWENKGVRIKYAIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLTRTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTVLNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKMKLPGKTFRRPRMRIGDRVNTLELAFSAYLCFCGCYDIAFNKGYYALFLYLQSITFFIIGVGYVGTIVPS >LPERR06G17960.1 pep chromosome:Lperr_V1.4:6:16596898:16602820:-1 gene:LPERR06G17960 transcript:LPERR06G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSPPVDPESPAPPPGSSPDCKGGGGSGRKRRLPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCLVLRADDNGEGGTFALYSLLCRHARAALLPPGRSGDDDGGQNQFLDKKSTASGGNAAARGSASAAGAVRRMLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKHQHKYVEVPIACFVLVCLFALQHYGTHRVGFLFAPIVITWLLCISMIGVYNIVHWEPHVYRALSPYYMYKFLKKTQRGGWMSLGGILLCITGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLSFIFMAIMCIWHYGTIKRYEFDLQNKVSIDWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPTPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFILSGAAAAAISEAPSSEKEAEERMSVIPSGSIRMMEDDGGGGGTQETLAVVGGSSHGGGGREIVSPSPSPTAAVVAAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHCYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLEECVNRRDARLGKRIHARMVSTGFDPGDYIVTKLLIFYVKIGELGVARKVFDGMPQRSVVAWNAMISGCARGGEEAEAVEMFGAMRAVGIRPDQFTFASVLCACARLAALEHGRRVHAVVVKSSVAGGDGNVFVDSAVIDMYLKCSSLEDARRAFAAAPARNVTMWTALISGHGQHGRAGDALALFDEMTRVDRLRPNAVTFLTVLTACAHAGLVEEGLRHLSSMSPVHGVAPRGEHYAAVVDMLARAGRLDDAYDLINKLPDCRDHSVVWGALLGAGRKHGGGDVRIIELAARRFFRLQPGNAGKYVVLANTYAARGMWGSVAGAHEAMRRLGIRKDPAWSAVEVHGERHTFLAGDTYHGEFSAIYEVCNSLASAVTEQSVDSAIMDGDGVASHCS >LPERR06G17970.1 pep chromosome:Lperr_V1.4:6:16620222:16623590:-1 gene:LPERR06G17970 transcript:LPERR06G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPFRVQQGFLPVGLLSAQSSRAELYQRFNDVVSSWPTCKGLSSVQLFRHEKGWYNSISPFVGTMVADACFAARPTDIIIATFPKSGTTWIKALLFAMAHRRENLANGACHPFNSLGPHECINFLKYQLYTENKIPNLEELSNPRLFATHMPFELLPRSMSSATYWSAHLVYPDQVLFFGYEEMKRDPTSHLLSLAEFIGLPFNSKEKDNGVVDAIIKLCSFENMNSLEATKGGKTWTMIGAIPNSAFFRRGEARDWTNHLPPKMVEWIDAITKAKFAGDSSIIQLTMFYLLTVKLVENSVDDLVRNFKTGLQMSNLLFSFSSINDAMSFISMKSSAPSKAEQDTDVKTNEELYQHFTNLVSSWPSCQGMSYLQLFRHEKGCYNSISPMVGAMVANTCFSALSSDIIVATLPKSGTTWLKALLYATVNRREHPANATDHPLNSLGPHECINFLEYQLYTENKIPNLDKLLNPRMFATHVPFKLLPRAVEKSGCKIIYVCRDPKDNMISLLHFMNNYYSRNGREPLTVEAVVDYFCSGLSPFGPYWDHVLSYWHAHKAHPERVLFFKYEEMKKDGDTTPSEEEANGVVDDIVKLCSFDNMTGLEATKDGKTWLIIGAMPNRAFFRRGEAGDWANHLSPEMAQRIDAITEAKFAGSGLIDL >LPERR06G17980.1 pep chromosome:Lperr_V1.4:6:16626804:16628135:-1 gene:LPERR06G17980 transcript:LPERR06G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVVVLAAAAEAEPRLPAAEQEAVYAVLEAINPGFPWRASFPDDLCLAGPHGITCDADDGGDTSHVVALSLGYVSDFSANPRCDSSSSAAAANLLTSGLLAAASFPRLRTLFVYGCFVVAGDGGGGEVTRPWILPPTLQDLVLVNNPALTGRLDITGVDLPLLRRLVISTSGLSGDLPATAFPRLEQLVLSGSKFTGRISNTLVAGAGAGGVKILDLSSNRLAGGIPPVIGGLKSLIKLDLSGNNLAGAIPSELGRLTSLELLDLSHNRLTGGVPAAALGGMTAMREIYLSGNRRLGGRVPAAEMFAGMKGIAAVGLSDAGLTGSIPANLGESLRNVSYLGLDGNLIDGEVPPAMAKMTGRVRLHGNRGICVSPEFLAAAVASGSQVAGVPSCNATESNVTRRNVVLPVALASSAATATAAALMVGISYCVVVIVFLLVLC >LPERR06G17990.1 pep chromosome:Lperr_V1.4:6:16638045:16638245:-1 gene:LPERR06G17990 transcript:LPERR06G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAATATAAKAALLFLLVINIVSVLAVAARPLEGDGWLESGIGMVTEMLRAAKSGPSGRTHCC >LPERR06G18000.1 pep chromosome:Lperr_V1.4:6:16641244:16644866:1 gene:LPERR06G18000 transcript:LPERR06G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTCREARGLDLTRCRGGAGDRGHGDGSPPSGGVDPKMAAVFFIILRTIL >LPERR06G18000.2 pep chromosome:Lperr_V1.4:6:16641258:16644866:1 gene:LPERR06G18000 transcript:LPERR06G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINCCHGISICFAISNHLVMLSFLYISADDLEQSSCQHAALQSFFQQDAVLRRWQRARSGASLGGIVPSSPIQYTAGFDSLRGKSQTEVRGGEEMVAEEQAAVARSRARSGRGVAACIGARAGRRAAWI >LPERR06G18030.1 pep chromosome:Lperr_V1.4:6:16680891:16683643:1 gene:LPERR06G18030 transcript:LPERR06G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDFGVGEEREQACTAPCSRSHTASAAGIRRLQVDWMHCTTYKTKSEGKSSAKPAERRLKF >LPERR06G18040.1 pep chromosome:Lperr_V1.4:6:16682785:16683072:-1 gene:LPERR06G18040 transcript:LPERR06G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLADRISARILRRRRRRSDKLTRTRSSMPPDWLTAVHKSRRGARPQEVKSCAVHPINLQPIQ >LPERR06G18050.1 pep chromosome:Lperr_V1.4:6:16687267:16689969:-1 gene:LPERR06G18050 transcript:LPERR06G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAVELNTADLIRQCATAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGDDISNAAEDLIVAVLTALECLPVEKAQITSCGVLHTVNHLLGHKNIDINKKAGALCHKWNGVPKCSSDVHEMVAREHDPDQVKLSESRLQSQNDRHDGSNEAAIVGDKPKNEVMVCSSVPLPNHSQTNDHSDPLVLIPANSDGKAILGDGNPSVASVASHSGLENVPVIAESSATNDVKIDAAHVSPSDVTTEAKSSGTNNPEYPLVSNKIDVQDQNVSTSLDIKKGESFSEDMPHSEKNTVEGLNLSPALQDSSDDESTGKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAVDKKFTADKGDTSRALAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPVPEPDNDNKSSTTAEDSRSSSSMKEDGSGITDDSGTFSRKHTRNMKLGGIDLNENQCAEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVYASSQKTGNALFDLNVSESDNATGEPLSGAILPLISDLVPKDASATVGVNTSLELDLNCPCDDEEAAITTSNVPLFWNRQQCNGDWSHASSSSSSRQPAVRNFDLNDNTPIVDSFSRAVDESSVKPSGRDVADHSAVTILGKRIVLGQKEHSHQSEHNFLGPSVESRDPARSMQSFAHTPSDYGVVSYPSQPALSFPPPFYAPGTVPYMVDAKGTPVIPQLPGFGIPTMPSLGVGTSHPPFSSRGIPPSSSEMSYFHPSMDVNYGRSYEGGRREGASYWPVSYQGQTMFMDDRGKISQGGSSGVPVLKRKEPDSGWDLYPRR >LPERR06G18060.1 pep chromosome:Lperr_V1.4:6:16689989:16692076:-1 gene:LPERR06G18060 transcript:LPERR06G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHRSVPSFPNSPPPSLLPSPIRARCDPPPASSPPLPPRRRRFRRAPPQRESPPPRARSCRVVGEQQLVVRAENPRAAAGAGTATARRPPRFGGRCYIHIEPDNTQFSTQADI >LPERR06G18070.1 pep chromosome:Lperr_V1.4:6:16700488:16700877:-1 gene:LPERR06G18070 transcript:LPERR06G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPNGVAISADRTHLIVALTGPCKLLRYWIRGPNAGMSEPFTDLPGYPDNVRPDGRGGYWVALHRERFELPFGLDSHRVAMRISAGGKVVQEMRGPKSVRPTEIMERKDGKIYMGSVELPYVEVIKST >LPERR06G18080.1 pep chromosome:Lperr_V1.4:6:16701065:16701373:-1 gene:LPERR06G18080 transcript:LPERR06G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPIDARRSQHVDHGGSLVGPESVAFDGKGRGPYSGVSDGRIMRWDGPKTGWSTYTYSPSYIKNKCAESTLHLKSGNLYVADAYMGLMRVGPGGHGGGDGG >LPERR06G18090.1 pep chromosome:Lperr_V1.4:6:16704263:16706449:-1 gene:LPERR06G18090 transcript:LPERR06G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPWDEPLDVSSDDSLSSDSDGEAGEGKRENAFGLPNSSKSAAAAADGALIRRAEMYQEYMKHIPVPAHRGSVIPCTTWLGLGRSVKQLYQQPLHYLTNILLKEWDQQRVGSDDEHQPLDAIIHPVKAEALIWLNEEFGSGNPNKLLWIPYDASCMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKEDQTESYEERERTRAAVTEGEKLIGVRNPQPRQIEKEKKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >LPERR06G18100.1 pep chromosome:Lperr_V1.4:6:16708352:16711219:-1 gene:LPERR06G18100 transcript:LPERR06G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASVRLPPLLQQGSDKTSLPCIPKQRASVRRNFTTRASSNPGGASVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLAPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNSIAGVKNVVTETGAGQWGSALSFASSLFDLNCEVWQVRASYDQKPYRRLMMETWGAKVHPSPSTVTESGRNILADDPASPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGDTPDIVIGCTGGGSNFGGLVFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAISIQQTECFDAALKFARTEGIIPAPEPTHAIAAAIREALECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDDKLQEALAAVPKI >LPERR06G18110.1 pep chromosome:Lperr_V1.4:6:16716823:16717020:-1 gene:LPERR06G18110 transcript:LPERR06G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPRPPSMTVPSLPAHACRLLAPTVNDDTHACSTLVTPTPLRASDGHRQLRYPLRIRVCSSTL >LPERR06G18120.1 pep chromosome:Lperr_V1.4:6:16728630:16728845:1 gene:LPERR06G18120 transcript:LPERR06G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSCFGSGGSGGYRDIDEPAYEQLRRPSSRKVRPSDEDRLWYVGERDVDRKAAEFIAKFHASARFVEA >LPERR06G18130.1 pep chromosome:Lperr_V1.4:6:16734344:16737202:-1 gene:LPERR06G18130 transcript:LPERR06G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGCFRRRPKLAAPLLSPTCLRRLSVPGEFAAALAGDEVLVVGPLGKVWRVEMCREAADGDGEEEACWWLGGGGWAEMAAAYGLGEGWSVVLRLERRGVASLRAFDPDCCLASFCTPHPGIKSKDRPRFIKLLQQEDLEKMKIPGKFVHKHLTEAFTNSQQNAIIVCPLGKFWRVELEREQPDVLLQDGWPAFLVAHDLSEGNILLFRYEGNMVFMVQVFLENGCPKEYKTTTLYLTDGSKGPSNALQQSVTKVAVSPAKKKRRQRNEGICLEGSNRKSRASPISVKKVKVEPQKKYASIVSQNSFTKEMTAYSIRSLLALGGMFCSQIGLLEACSITLKISMQKKGSWRVAFKTANTYGYINGPGWRKFCLENEVKEGDRCTFNAIKRTLWHVSIVHC >LPERR06G18140.1 pep chromosome:Lperr_V1.4:6:16754458:16756156:1 gene:LPERR06G18140 transcript:LPERR06G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGEVDLVSGGGGVAAAGKHEMGMWMTPTPYLFLGFALMMGLIAVALLVLVCTRRKHHHGGAGDEESSAAAVKVMVPLDREPKVVVIMAGDTAPSFLASAKPLFASFLPTPPPPPPAAAAAEVMFPFIFRYELEFSYICNRPTARGRKEAAEQILPHIGLSLPLTPWRTGVGLLGFQKWYMSLNTIRKRFCPIIRRYDNFYFTLSVIWVWGYHVAVI >LPERR06G18150.1 pep chromosome:Lperr_V1.4:6:16764229:16765367:-1 gene:LPERR06G18150 transcript:LPERR06G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGRTARAVCLLCLVLFLLAQDAHSRKLLLQEKQNRGHGVGNGTTTTQEPSSTENGGSTVASNNGQLQFDSAKWAEFHTDYIYTQDVKNP >LPERR06G18160.1 pep chromosome:Lperr_V1.4:6:16769601:16770020:1 gene:LPERR06G18160 transcript:LPERR06G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSFGGALDLPAASFFFPSSVSSSSPPQLVAAVHGDQLFGGGGGAVVGMSGRDERKERRLASNRESARRSRMRRRRQLDELSSVVAELRAANHGLAVELXGRRRRRRRGGGKGGRQPRRGGEFGANGLNRPIVFSIR >LPERR06G18170.1 pep chromosome:Lperr_V1.4:6:16772817:16773812:-1 gene:LPERR06G18170 transcript:LPERR06G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIADELFLDVDDDPHPAGIFAGGGSSYLTFEEVVEEEHHHRRPHSLLLHDSHSAALGFDRHETLAAAAGVAPGSPFSFDSDPDPDIDLELRLSSRSPPFWDCLEDDLASDAAFEWEEIAHAPSSSAAAASPSAALVAGGGVEDGDVFGFLDEREILGAMEGLDSGDDDDDTGFSDEPFDFGDEGDELGDIFRSVGWEVLPVPLDEDDGFEVLPGHIADAAAGGAPPAARAAVERLQVVAIGGEEEEEAEKGCAVCKDGIARGELATRLPCAHFYHGVCIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSAAGGGSATAQLGTPMQI >LPERR06G18180.1 pep chromosome:Lperr_V1.4:6:16775293:16779137:1 gene:LPERR06G18180 transcript:LPERR06G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNESRNGRQQIVQKEIRDETTPLLPVKAEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIFVALLTEASIDMLVRCSHQGKITSYGWLMGEAFGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHFWNSRAIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEEA >LPERR06G18190.1 pep chromosome:Lperr_V1.4:6:16784755:16789161:1 gene:LPERR06G18190 transcript:LPERR06G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPSPPSYGVEAPPSPAAAAAADGAVVELTGVTRRAGVEARRLPTKRGTEVVAVHVRQPGARLTVLYSHGNAADLGQMYELFIELSTHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLVETYGATEQNIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADDIVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYQDYIKHLKKFVIAIERSPPAKAESTESSGLSDPSGTGSESMGSSRRSTSAKNKSRSSIDHRHSIDRWEKPRGSIDRRDKTRKSMDHHDKPRASVDHPDRPRKSIDRFGGMMRSVASVKLCNIDCFKVTYASGN >LPERR06G18190.2 pep chromosome:Lperr_V1.4:6:16784755:16789161:1 gene:LPERR06G18190 transcript:LPERR06G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPSPPSYGVEAPPSPAAAAAADGAVVELTGVTRRAGVEARRLPTKRGTEVVAVHVRQPGARLTVLYSHGNAADLGQMYELFIELSTHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLVETYGATEQNIILYGQSVGSGPTLDLASPDDIVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYQDYIKHLKKFVIAIERSPPAKAESTESSGLSDPSGTGSESMGSSRRSTSAKNKSRSSIDHRHSIDRWEKPRGSIDRRDKTRKSMDHHDKPRASVDHPDRPRKSIDRFGGMMRSVASVKLCNIDCFKVTYASGN >LPERR06G18210.1 pep chromosome:Lperr_V1.4:6:16793594:16795626:1 gene:LPERR06G18210 transcript:LPERR06G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIPKSRFRQLVMQPLNCVIFLLGLAILSVTFGPFFTIAHRELMVTNSEKGPENKLDHGVKETITNGKITSNVFAGRKMAFGVAVLEDHPKDARSKPSSGEISNYSFNSRVPSSLKDSSSSRMKEGPSMDNIKLEGSTSEQILNIPNPQHIRILPFEPYNRYPNLGSKKEQKDSTACGNSYRTNEDLKEKMLESRDEVLRLLNRDYHANPHKRPPVHN >LPERR06G18210.2 pep chromosome:Lperr_V1.4:6:16793468:16795626:1 gene:LPERR06G18210 transcript:LPERR06G18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRYIATLNFDQWSGRDHGVKETITNGKITSNVFAGRKMAFGVAVLEDHPKDARSKPSSGEISNYSFNSRVPSSLKDSSSSRMKEGPSMDNIKLEGSTSEQILNIPNPQHIRILPFEPYNRYPNLGSKKEQKDSTACGNSYRTNEDLKEKMLESRDEVLRLLNRDYHANPHKRPPVHN >LPERR06G18220.1 pep chromosome:Lperr_V1.4:6:16805525:16809026:-1 gene:LPERR06G18220 transcript:LPERR06G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHSLTTTTTRAPLLLRLLSARRRPAVAARTPPRRFRCCCCSTSSAPAQPHRPGPGGAGRRLRGELHSRAMSNSTNSTTILAEAVVAGSGGDVELLPFVNDKHGGVIVEMTNPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATPHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINIGAVREVKEETGIDAEFVEVLAFRQSHKAYFDKSDLFFVCILRPLSFDITKQESEIEAAQWMPVEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPVLIKSAFTDKKSFFYMNRRDLDKASESSNKKQND >LPERR06G18230.1 pep chromosome:Lperr_V1.4:6:16812938:16817894:-1 gene:LPERR06G18230 transcript:LPERR06G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAAALVGLLLALAAVAGADTDGGDVSALGNLYTSWNNPGQLTGWSADGGDPCGAAWMGVSCVGSAVTSIKLSGMGLNGTLGYQLSNLLALKTMNLARNNFSGNLPFSISNMVSLNYLNLSHNLLFQEIGEMFGNLKSLSEIDVSFNNLSGNLPISLGSLSSISSLYMQNNRLSGTVNVLSNLSLTTLLGGNSFLNVPLSPTPIITPPPQGQPDYPPGPTTAPNIPEIPIDQGSDKKQRLQTGLLIGIVVGSMTAACGVLFALVLCLHNVRKSKDGGSSESKDIAGSFAVNIASNREIWVNTQQDAPVASSVLPPVGKMTPDRVYVTNGSVAKKLKFPVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLTGYCVEHGQRLLVYEHIGNGTLHDILHFSDEMSKNLTWNNRVRIALGTARALEYLHEVCLPPVVHKNLKSSNILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALSKMPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDLGLSYRNPDREGGTADTI >LPERR06G18240.1 pep chromosome:Lperr_V1.4:6:16823803:16827610:-1 gene:LPERR06G18240 transcript:LPERR06G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAGRAPPAAEARSLVESFCAVTSATPQEAAFFLESHNWALDSAVRSFYDSADGAADPAPRHPPPPPPAPPRADDGADSEDGDEDYVVRGGDEDQDDEDYVGEEDDDDDDDGADLAAEAAAEVASDERRRPLKRLKRGHNARGGNGGGASGKGKGKGKGNVRTLSDLGGGRDSAGSEESEDDEWAPPPELYTGGEKSGMVVRDRSKRKNRADEIFKEAKRKGAKQGPFEARRKSRSFTGTGRLLTGESAEPDAPQPPEDIVHNVYFWTNGFTVNHGPLRSFDDPANASFLKSIKNSDCPSELEPADKRSKVNVNLIRKDEKYPEPVKQAAPFQGGGKTLGTPSDNSTSPDASTSAVAASSTETASKTVTVTVDDSLPSTSLQIRFVDGSRMVARFNTSHTIADVRAFIDATRPEGSDYTLQAGFPPKPLDDMTKTIEEAGIANSVIIQKV >LPERR06G18250.1 pep chromosome:Lperr_V1.4:6:16829098:16830964:-1 gene:LPERR06G18250 transcript:LPERR06G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSSASEDPLLPSSAATAAKGGIGRRGAMLQRCVSRADDELQWFRSCLRWVCMDHSGPAGAAMSWILFLLLAVAVPAAAHFLLAFRATRRPFSAVVQLSLTAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKVWWYTTSGDRVPFVANDVLSNVLACSVEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGNLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASVLLTTRHDSVDDFLNTSELAISSIVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDDEAEPGSNQNSMLEEDPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >LPERR06G18260.1 pep chromosome:Lperr_V1.4:6:16835356:16838440:-1 gene:LPERR06G18260 transcript:LPERR06G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSWRNQSSMYDVGDRCSPSPHPLGMCQERAAAFGYPCEEYKVTTQDGYILSLKRIPHGRSDSNNSTEGRPPAYWDWSFDELASYDLPAVLQFAYDYTGKKLHYIGHSLAVYWLGFHEFNPVGPVAHKVLSQICDDPEINCFDLFSAVAVIRHGGVRRFDYGNTKDNMRHYNQPFPPVYNLSSIPTHIPIFLTHGGEDFLGDVPDTRHLLRTLVRKHDSDNIEVLYVPDYAHADFVMAYNAPELIYRPMFEFFKRH >LPERR06G18270.1 pep chromosome:Lperr_V1.4:6:16847326:16848300:1 gene:LPERR06G18270 transcript:LPERR06G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEGTVVDSEVGKIFFTPFIEGDAIVEAAVQRKMRPPPLLAKKRPRRSDAEMTTVAAAEEEGNEEEGEETTYCGVRKRFGNKYVVEIHNSILKDQVWLGTYDKAEMGAWVYGTAARVLRGSMAETNFPRPAAAPELTEEMRAMLAYFDAARRRRHQHGTAEESASEDADPLLMLPAAPVISDAVAVEAATVAVPSATLTPDSAAASVTKPIPVAYVFDELLSSLFPSGLPYATQSQVAPVLPSPPRSADNYLYALIPPGFSHPYVEEPVLAPVQPPPSPPVASQSSFQSDIPPGGVPTNYAVHEAPDFDPDTLFSDDEEELV >LPERR06G18280.1 pep chromosome:Lperr_V1.4:6:16874580:16875215:1 gene:LPERR06G18280 transcript:LPERR06G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMKKLKKTFVVYCQKSAELAVEAWGNSPPPVEEELLLVEDETRELMIVPMVQGEGTVVDSEVGEILFIPFIEGDVIVEPAVQRKMRPAPLLAKKRPRRSDAKKTPVAEGDEEEGEEMTYCGVRKRFGNKYVVEIHNSILKERVWLGTYDKAEMGAWVYDTAARVLRRSMAETNFPSPRAGARADGGYARDARILRRSSPQAPPTRGDG >LPERR06G18290.1 pep chromosome:Lperr_V1.4:6:16883700:16889449:1 gene:LPERR06G18290 transcript:LPERR06G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAIGSPRRRPGTRTGRRPPLLCVTQAPLVRQPPSLHSPALACIPPPFVPDGDDLPLPCQRRRPGPPEKASAWAPSTAGMLVPSLPHCPGDGADPGRRPRCHPRFIHGRHAGSPPFHRAGSPSPLPSIRPIRRIWVGRLKMIQQTK >LPERR06G18300.1 pep chromosome:Lperr_V1.4:6:16892352:16893218:1 gene:LPERR06G18300 transcript:LPERR06G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSLAEQLKAYSQAAAAQAKKKYWGARRRPWGKYSAEIRNPLVGNREYQRVWLGTFKTAEEAAWAYDAAARVIHGDDHAKTNFPASSPTPKPDQIQDPNLRSMLLYFDLARFLRSNRHTAGATTPVLPPPPPPAPAIAVPPPATVESEISPVVEEVIAAPAPAPEQVSEPETEKEKEKEKGEVAGSEVAPVIDDLFLEAPIMSPEWGDIDLDYSSLLDDVPVYFQPSMFDDEPFEQQRKKPKLVGDYTPTDSYEKMMIDIIGGFDDYMASSSSSQHPAPGDGQAPQ >LPERR06G18310.1 pep chromosome:Lperr_V1.4:6:16904100:16908051:1 gene:LPERR06G18310 transcript:LPERR06G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMDSWQVGWPACLSASRVSRSCFLSLSSLLGKERELATELAVAVAVVASFRSIPLQAYIWPVPKFGTWEGDNVGYTVYFEKVRENKGATAPPLYRPFNPNDPADDNFAGRMINPSAAAAAAAPPSSSSSSSTRPATSSGHREPQRRQQPPPNVHHHHQNQNNHRRTGSNSSVSSDPGGANLSKFAPPPQYHPRPSPQHDHGHYNGGGGGARRSPAPAPQMAPAPRARSTTASPLNSNTPGRQRATPAVPKFGVWDEQNGAAAAQGFTVQFDNVKRNREVAKGAGPAGVVPRSPPPEMSMDPPKNSRDHSLVSKMFGCFLPTNRH >LPERR06G18320.1 pep chromosome:Lperr_V1.4:6:16932518:16934488:1 gene:LPERR06G18320 transcript:LPERR06G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHPVLPGRVDAPQRAVRRRRPKATRRWIRRAELAQILPRPPRAHPNLPALALSDGSMDGCPSSSSSSSSSSDSSSSWPSSGSSWRASSSSSSSLAARRLLHHQIWGLYRQSKSLNEA >LPERR06G18330.1 pep chromosome:Lperr_V1.4:6:16933018:16938957:-1 gene:LPERR06G18330 transcript:LPERR06G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPAPDRRKERRLSSGSVATPPYTGGDVSRSGELGRMFDVSAAPSPASSRRSSGPLPRPIPSPAFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQPFGAHFHNAARCVFTSVQLYERHGCCFRWKQRHSEARTANFYISDRITGRRFYVRAGESAKITWMIKPKTISFDGERKGASRELKSWMASNDLSCDGIVRVKEGFIREGDTASVIGVLKKHHTYDIIDASSGAITTGCQLTRCMFPVLVEGLILIGDEDPDEDITGKRNRHYWWWRSRRAAKEEEEDEDARHELPLEGHEEDESDDDDDEEEDEGHPSMDPSDKASAGRFG >LPERR06G18330.2 pep chromosome:Lperr_V1.4:6:16933018:16938957:-1 gene:LPERR06G18330 transcript:LPERR06G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPAPDRRKERRLSSGSVATPPYTGGDVSRSGELGRMFDVSAAPSPASSRRSSGPLPRPIPSPAFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQPFGAHFHNAARCVFTSVQLYERHGCCFRWKQRHSEARTANFYISDRITGRRFYVRAGESAKITWMIKPKTISFDGERKGASRELKSWMASNDLSCDGIVRVKEGFIREGDTASVIGVLKKHHTYDIIDASSGAITTGCQLTRCMFPVLVEGLILIGDEDPDEDNRHYWWWRSRRAAKEEEEDEDARHELPLEGHEEDESDDDDDEEEDEGHPSMDPSDKASAGRFG >LPERR06G18330.3 pep chromosome:Lperr_V1.4:6:16935418:16938957:-1 gene:LPERR06G18330 transcript:LPERR06G18330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPAPDRRKERRLSSGSVATPPYTGGDVSRSGELGRMFDVSAAPSPASSRRSSGPLPRPIPSPAFFRRLPDTVFDQSDMPIGELVKITGFDLKNDRDTQQVTCGHQPFGAHFHNAARCVFTSVQLYERHGCCFRWKQRHSEARTANFYISDRITGRRFYVRAGESAKITWMIKPKTISFDGERKGASRELKSWMASNDLSCDGIVRVKEGFIREGDTASVIGVLKKHHTYDIIDASSGAITTGCQLTRCMFPVLVEGLILIGDEDPDEDITGKRVF >LPERR06G18340.1 pep chromosome:Lperr_V1.4:6:16943618:16945176:1 gene:LPERR06G18340 transcript:LPERR06G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPGGEGSQGGGAGGATASPRRPFASSPSTSASASGKHYPYWPPDADEMEKKRWDSMESWSMLLDTAAMGPASSSSGGGGDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRLWSSFTKIFRMGCITNNLSIPVHA >LPERR06G18350.1 pep chromosome:Lperr_V1.4:6:16945546:16949312:-1 gene:LPERR06G18350 transcript:LPERR06G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLAAAAALLVLLLAVFAVADAREAWTPAAKMAKAASVPAVIVFGDSTVDTGNNNVIGTTLKSNFPPYGRDLGGVGPTGRFCNGRLPPDFISESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLAVIPLWKEVEYFKEYQRRLRRHAGHAAARRIVRDALYIVSIGTNDFLENYFLFVTGRFKQFTVDEFEDFLVAQAADFLTAIHRLGARRVTFAGLSAIGCLPLERTLNIVRGGCIEEYNKVARDYNVKVNAMMSKLRSSLPGLKLAYIPVYEDMLNLINNPSTLGLENVEQGCCATGLFEMGYLCNEKSPLTCPDADKYFFWDSFHPTEKVNRFFANSTLQICLRELLS >LPERR06G18360.1 pep chromosome:Lperr_V1.4:6:16953641:16953988:1 gene:LPERR06G18360 transcript:LPERR06G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRTWVIVVALALAMAAVVEAQEEVSVAAATAAISMGAAIDAKKPKCKAGETTGAPCRVGAVHDPENQEEEGLFNMRARAPAVAPSTDGDGDDDDDYSDPDLSHDDDLVVLGH >LPERR06G18370.1 pep chromosome:Lperr_V1.4:6:16965638:16965889:1 gene:LPERR06G18370 transcript:LPERR06G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRLRMIFTLLAVTCLVVATTVADARMLKRMEKDVESPAVDLPAIFGRDAGAGGLQWLRSVSLDMLAGIKDSGPSPGAGH >LPERR06G18380.1 pep chromosome:Lperr_V1.4:6:16969635:16970560:-1 gene:LPERR06G18380 transcript:LPERR06G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEAECDRIRGPWSPEEDEALRRLVDRHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDATILRAHARLGNRWAAIARLLHGRTDNAVKNHWNCSLKRKLAVATAASASPTPDVAVVERPCKRMSPSPDSPSSGSGSGSSDRSDLSHGGASGSGGFGQIFRPVARAGAFEPVVDCAISRRQEEDPLTSLSLSLPGTTMDQRFNHDSAHSHFQELPSSPSPPPPAPPVAIAPTTAAQYPFTPEFAAAMQEMIRAEVHKYMASVGVRAGCGDADVHMPQLMAGVMRAAAERMMH >LPERR06G18390.1 pep chromosome:Lperr_V1.4:6:16979660:16988950:1 gene:LPERR06G18390 transcript:LPERR06G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHTHHRRGGYHHPYDHHFPGGSGSGGGAAAASRSRYEYGAAYDPHPHQYHHLSDHHSHHPPRVHHHHHHYQPPSAPSPPPPPPPLPPPPPPPPPLPQHRHEPPPHYGFPPRGLPDAYSPPAYREPSPHHHYLGHPRHGGEDFLPADEIRRVVGGHHQHHHHHPQLQQRLPWEEAEEERRRYGAAQPLWLSPPAGPRKRQRCAVHDSDLESSSSSGAPPRRQRQQSHPDDSFVDRNAAHPGYMGHEGFSIHSDNKVSRKIQMPAQMTLPGSPRGTGTGYTRRAPQKVAPMRVSVWHRIEENPAMYEPSSPKHVPKEVHISPCKSNNVAPTSKELASVVSVDCRGKSADGDDGDSNAGKKKTPVKKNEKVLASVLVKPSVEPKEKEVAGKKMLKKLDKIQKNAADSNIRGLVRTPCPGAGAKKVKKIVIKKIVRKINGKGNLISSPVISEKKDKIDANACEKEEGEITTSFEKDAISAHDPIAVSDTAGFVNDVNAQKEESNIFTDPSGRHAASAIESTATCGSGSAHPGNEDSRIPMNPDDGINGAVVVEPTEVLDKSGTEHPRKEHDMGSIGKGVSDAFADKTNYTQEEVKTPQDEMNVAVASKSVRVSDAWKLPVCDDSSMEESKVPKDVGGTNAVCVDGVDSDRGTTELNEIEGARRECGILIGRNEENTSLGNSCAGSPNSADICMTAEQATWKKEDIILTGLSEKSIGLLGDSVGTHRTTELGVSKNAPNEGDNMLSHPSEKDFLSLNSRGSLNNTEVSEKEDLQEKEDRTPMEAVIACTSSGNEDMQLNEGRKPMELSGTNAFSGSENICGNIIPMGSSEINTSLGNHVNTSNTKDASVNEDTQKKESHMPIESCKSNTLEIMHYKEAPSTEEVIMGMSFRTLAEVSSNERCSGATGNSANALGFHLACAAKDNQMKDLLNNRTVLNEINTPLDAEDSSAFDLPSSRNVESTYALSLYDPMEDSTSDCILNIGLGMNVTSKAVELMDVHRVHMRSEDDSFIHSRDSLSVSGNCEQSVPTALTLGSNIYFSRVETDDQPEESHELVEGQRGLNVATARILDSPGKKEVLTGEGLISKGIQNWLSLPPSVNDMEMSGQVLNYDFIVSKGRLGLDQSMDDTTSMSQDHDTTQDMDQCGSEDASFSQDHGISLSGSDLLAPKESNMDVEDQSEIALTGLHPTSSVNVLVHYGYQTVDIPIDNLNKPISSALESSGVMDTDQVSSQVCVDPDHANDSNVENPGVKSNAKQDLLSSWIEAIVSEAKKEHRPCNSTPLSVGLPDKLLEPKDGNRKTVLETVVPSAVKSQISFTSSTLPKVAPKQVTLPSSSRELTRANQIVRHRTWHRGNIASSTSSFHASQPLGLPPKLPPKKSDKAQNSYIRKGNALIRNPSNGNHPHSSSSQDTQNKLNKPVVRRSMNFVRKADTKDLVANVPVERPKTPPLPLHTKSISCPTSLLEPLSQNSQKHHGHETEKEDPTGHQKLDVDNPGIKSTQKSEPSDGSKVVYVRPKSNQLVAAQRQHPVDLVNSSMDKVLALQAPIASDLYLKKRKNQIVLSSCSPSDSQNTKEMLPAENTNSNEKKDLMITCSINGIPGGKDRPQKAALQTTNSVGHFSHVWTLNGQQPQRKGFIGNSHMNTFPRILPWKRKIFCKNFRSSHTTLSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKCSQKVNKEAISALAEVERKKREKRKRQSLHDKGRNDHQYTETVSGNQLRNNHQASSDLKKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRQAKKQQYCQFFTRFGECKKPRGKCPYIHDQAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNFNAPVCEDFLKGYCADGDECHKKHSFVCPIFEATGECPQRSRCKLHHPKNKVKSKKSRPDFLQNSSWGRYFDTSIGHESETRKVSLDENESEKPQRVFSDEDLIDFIGLADDADEDVAASDTSDDIPLMELDSGGLSEQTDNLDALIKPLRIMRTARV >LPERR06G18400.1 pep chromosome:Lperr_V1.4:6:16989412:16991478:-1 gene:LPERR06G18400 transcript:LPERR06G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLLSPPPAPRPPLPTPRRPSQSSIFPPPPRTVAPRVAAAFPTGRWRGGRGASSRLAAASAPPDPMPSPAEEDEAESAKLAQVSKRLEKTARYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFVATSIGIVAAFISVFWAFGYIRLSERLKRTANEPAKAPPRADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALTTSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAAPAPQPA >LPERR06G18410.1 pep chromosome:Lperr_V1.4:6:16992279:16994966:1 gene:LPERR06G18410 transcript:LPERR06G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPAIFSSASAVSVRPRRGGRAGAGAVRCELAASAPSAAPGSSLAGARWAQRTVVIPPQRRGCHLITPKIVNEIRGELSEFKCGLAHLFLHHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLTIPITDGRLNMGTWQGIWLCEHRDYATPRKIVITLNGI >LPERR06G18410.2 pep chromosome:Lperr_V1.4:6:16992279:16995053:1 gene:LPERR06G18410 transcript:LPERR06G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPAIFSSASAVSVRPRRGGRAGAGAVRCELAASAPSAAPGSSLAGARWAQRTVVIPPQRRGCHLITPKIVNEIRGELSEFKCGLAHLFLHHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLTIPITDGRLNMGTWQGIWLCEHRDYATPRKIVITLNGI >LPERR06G18420.1 pep chromosome:Lperr_V1.4:6:17002339:17005595:-1 gene:LPERR06G18420 transcript:LPERR06G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMERWCVVWLVLVSVCRGVDGLNADGVLLMSFKNAVTADPLGVLAGWSYSDESPCAWNGVVCNGFPQPDAAAAWVNVTSSSPDGGSNGNSTAAVSPSLAGVNASLAAAATVSRVISLVLPNAQLSGELPADIGRVEHLRHLDLSGNGLNGTFPWTLLNATELRVLSLAGNRISGDLPENAYYSRSLQELNLSGNYLAGRLPAGICRLPSLAVLGLANNHLSGELPIGGLGGLEVVDLSSNSFNGSLPSDFGGTKLRFLNVSSNKLTGALPTELSTVVPTNLTVDFSRNNFSGGVPQAGAFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPTRPSPGGAATAQSQQQAAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHIRKKRQQRNRRPEQGTPLQQQKSSRVIGGGGGGGGIKTLDIAGVKEEKASTSTGCCIGGGKNDSSDDCSVSSSEEESDSSDDEHEDLKKRSLSFIGGGRSSTPQHHHHSKKNDAAASPAPAPATLVTVDGGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGVDKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGASSPLHLSLEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAIHRNTGASARLFGSKRSMHSTSSLPDLSQMPGAGASPCGSSSAATSAAATASAPPPYQAPECLKNLRPNAKWDVYSFGMVLLELISGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEAADGKEDTLLACFKLAFACCAMAPSKRPAMRDAVMVLERIPSSSSAATASISATSTGVAAIP >LPERR06G18430.1 pep chromosome:Lperr_V1.4:6:17010493:17011149:-1 gene:LPERR06G18430 transcript:LPERR06G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWPFRWFKSIKHSNQCLETKLKDFMMKPSCVRGHTVGASFVTTLLFCENHMQLGQTLVDTKLQEIGISGSCPNATG >LPERR06G18440.1 pep chromosome:Lperr_V1.4:6:17014220:17018289:-1 gene:LPERR06G18440 transcript:LPERR06G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVVLMILFAAVPQALLAAGAQPDHPRLPTCSATAAAAPDAAAPTQELGNGGGGGSRIVDITHAMRPELPVMGSAAGVGPLLRLKKSMANGSRSNLSELRMSAHTGTHVDAPGHMWQRHFDAGFDVDTLDLELLNGPALLVDVPRDTNITAEVMKSLNIPRGVRRVLFRTLNTDKRLMWQKESDLSFVGFTEGGAQWLVDHTDIKLVGVDYLSVSSYDYLISGHVVFSKSREIVIVESLKLDDVEAGMYMLHCLPLRLVGAEGSPVRCILIK >LPERR06G18450.1 pep chromosome:Lperr_V1.4:6:17019129:17029498:-1 gene:LPERR06G18450 transcript:LPERR06G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAADRHPAAAEQEEARPPSSSAAAAEEEDEEEEEEGDVCRICRNPGDDEHPLRYPCACSGSIKFVHQECLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNIDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITFDTAVLFKTTIASCGANLEAISTSSPMLAKMMPFTETAISLANDTLKSALNAVKNLSSDSHNEGVIGHVIEVVTQSLKINATGLTVMQATGKSSLIKGTTIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPTIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRQEENGGQENWNGRADNNNVRGNANDGNEVAEESDVDDQGDSEYGFVLRIVLLLVMAWMTLLMFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRMKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPALGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPRSSETGAAPVSDDEEENEQALIPRDQEGESDIRHLVIGKIKECCTTKSKGKTAQEKDARQNTRVTGCRKLRDTEFFTRQDPYVCIEYATTKVRTRTCTDGGRNPTFDEKFQIPLVEGLRELSVAVWNSNTLSHDDFIGSGRVQLQKVLTRGYDDSSWSLQTRHMRSAGEVTLIMHFDVSAVTSYSTYSVPPVPVPAMPYAAPPPSYAPAPAGYPAVPPYQAYPTSHAPAPYAAPAYGYPPLQVREAEKAAYPPTTYPPQPYPPQPQGQPYPPQPQGQPYPPQPHGQPYPPQPKEQTYPPQPQGQPYPPQPYGQPYPPPPEGQSTYPPAPYPATYPPAPY >LPERR06G18460.1 pep chromosome:Lperr_V1.4:6:17030683:17033200:-1 gene:LPERR06G18460 transcript:LPERR06G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRAFYGVGSIALAMHGDDDDEVEEEEELLEEGSGRIFGLTVPAGGDFVRPAVVTQQLFPMTTATAVVVPEATEQRLAMEQCHLAAAVTAGAEQWARPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGACFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIRCCGKEAVTNFDTQAYEDELNLQSWNGELDLELSLGCSGSERAGDPAADEVLHPAPSNQRTSLTFNLPEVYEEETRPCHRQRSIWLRPSLAPAMPNGGVVMRPDQHHHYHHHPSSRNMLLMSQVISSSGGGGMHGAAAAAELHMRPPPHHCWPNNGGSSNNNNNWLPYASARPRLPLPGRAAADDDDANVSNAADAASSGFPVGQASSSSSTATGR >LPERR06G18470.1 pep chromosome:Lperr_V1.4:6:17036352:17041182:-1 gene:LPERR06G18470 transcript:LPERR06G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLGLKQFHLETGQWSLGLRAVWRKKKQKNRRGKNGAGLAAGGECGGGGAEKLGSPPLASSPEATCNGRRIEEREHRTAGGEARKGGTRTYKTSRQIVMA >LPERR06G18480.1 pep chromosome:Lperr_V1.4:6:17041309:17044578:1 gene:LPERR06G18480 transcript:LPERR06G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGRGGEEEEEGEDQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGLSVGSGGGGGECSGVCEQAAASSSSCGSSDAVTVDEDGDLVLDRRRRKRERSDHVLTIQHGITSSLKSVGLQVWKAALLLADFVLHKSFTSSELNGVTAIEIGAGTGLVGLALARVAKTIFITDRGTNILDNCLENVRVNSSMLKFDEAKAYVRELDWKMSWPPPVVTCDPSDPSSRYVWSTSEIKTAEKATTLFAADVIYSDDLTDLFFSTAKKLMSRGAKKVLYLTLEKRYNFSLDELDVVANGYKHFRSFFTVQDESGGLNNNTYRPCFVGEQMDLAEIPQYIKEYDRGKDLEMWKIMYNPNPE >LPERR06G18490.1 pep chromosome:Lperr_V1.4:6:17046867:17051384:1 gene:LPERR06G18490 transcript:LPERR06G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRSDSQSADSFEYMLLERDPDLYRTVFSGPSQISPWIDPTVLSLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFRKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDGLRYGADLARGIIDLHSRGMLILNLKPCNFLLDEHNNAVLGDFGIPPLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLTGTQPWRGKSPDEIYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPLITDILRAFESAKDVDYDNTDQESSENLRVDRPTLPSCTNWSLFKDKLQVGDKVRSRKLKNSCSPTTMEVPDGTIVGMEDNGERDGYILVRVHGLHDPVKVRSSVVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRNGTVHVGLIGMDTLWKGEYSDLQMAEAYCVGQFVRLKANVSSPRFEWQRKRGGELATGRISQILPNGCLVVKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGITRPRSRKVASVSDQSDHQQVQQQEVQNNASAAWLPPPVANMLFRDGPTPSG >LPERR06G18490.2 pep chromosome:Lperr_V1.4:6:17046867:17051566:1 gene:LPERR06G18490 transcript:LPERR06G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRSDSQSADSFEYMLLERDPDLYRTVFSGPSQISPWIDPTVLSLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFRKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDGLRYGADLARGIIDLHSRGMLILNLKPCNFLLDEHNNAVLGDFGIPPLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLTGTQPWRGKSPDEIYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPLITDILRAFESAKDVDYDNTDQESSENLRVDRPTLPSCTNWSLFKDKLQVGDKVRSRKLKNSCSPTTMEVPDGTIVGMEDNGERDGYILVRVHGLHDPVKVRSSVVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRNGTVHVGLIGMDTLWKGEYSDLQMAEAYCVGQFVRLKANVSSPRFEWQRKRGGELATGRISQILPNGCLVVKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGITRPRSRKVASVSDQSDHQQVQQQEVQNNASAAWLPPPVANMLFRDGPTPSG >LPERR06G18500.1 pep chromosome:Lperr_V1.4:6:17050975:17053515:-1 gene:LPERR06G18500 transcript:LPERR06G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGGGGQTVGRSSFSRAAASHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDSDAPHHLLLLRTFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAPVSSSKEDGRQRAMGAGTSGDGRASDEALRIAWQYKKYFGEERTSHAEHRDNQEFSNDFDLRKPLERHLLNTQNIECVSTQEGDSLSILQDRCSTFLSKLPRKDGGNDWDMVSFIRSLKAMVHASNSVAVITFPNSVLSSSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTVSLKLRKRRSLVLERLNQAPVDGSGGPSLAASGSCSSSSQGSQLDF >LPERR06G18510.1 pep chromosome:Lperr_V1.4:6:17058190:17081010:1 gene:LPERR06G18510 transcript:LPERR06G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVLKATTHMATLFFQVHNYTASANTLAAQASCTTQKMADPSHTYLYLGVALVSLLILLLSKRRRSPEALRLPPGPWQLPVIGSLHHLAGQLPHRAMRDLARRYGPVMMLRLGEVPTVVVSSRDAAREVMKTHDTAFATRPLSSTMRVFSNGGRDIAFAPYGDYWRQVRRIAVTELLSARRVLSFRPIREEEVAAMLRAAGLAVDLQSAVSALVSDTTTRAVMGDRWKDRDVFLRELDCSLRLAAGFNLPDLWPSSRLAGRLSGVVREAEKCRDKVFGILDGIIDQRLQKAESGAGVDGEDLIDVLLRIRREGGLQFPLYMDAIKAIIFDIFIAGSDTSATTLGWAIAELIRNPKALQKATAEVRHAFASDGVVSEAALGELHYLNLGNRETFRLHPPAPLVVPRECREPCQQVLGYDVPQGTQVLVNAWALGRDKRYWPDHSREEFWPERFNDGEAAAGVDFRGVNFELLPFGAGRRMCPGMAFGLANVQLPLASLLFHFDWEVLGLADPADLDMTEAFGITVRRKSDLLLRPILHMLLPSLDSSGPPILHTAAILAPLPLATAHRADTSSDDPYEIDVLPMCVASD >LPERR06G18510.2 pep chromosome:Lperr_V1.4:6:17080960:17083675:1 gene:LPERR06G18510 transcript:LPERR06G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGYFFYLGLALVSLLVLLLATRRGRRSAAAAHDDGALRLPPGPWQLPVIGSLHHIAGKLPHRAMRDLARRHGPVMLLRLGEVPTLVVSSRDAAREVMKTHDTAFASRPLSATVRALTSGGKDIIFAPYGEYWRQLRKIAVTELLTARRVLSFRPIREEEVAAMLRAVARAASAGRAVEMRAALSALVADTTVRAVMGDRCKDRDVFLRKLDRSIELSAGLNPADLWPSSRLAGCLSGAVRQAEECRDTVFAILDGIIQEHLDKSGSGGAAGEDLLDVLLRIQKEGRLQIPLDMDAIKSVIFDIFGAGSETSATTMEWTIAELIRNPMAMKKATAEVRQAFAKEGVVSEARLSELRYLHLVIKETFRLHAPVPLLLPRECQEACQVLGYDVPRGTQVLVNVWALGRDEQYWPGGSPEEFRPERFDAGEVTATVDFRGADFELLPFGAGRRMCPGMAFGLANMELALASLLFHFDWEAPGVTNPSKFDMTEGFGMTARRKANLLLHPILRVPLPGI >LPERR06G18510.3 pep chromosome:Lperr_V1.4:6:17055747:17058291:1 gene:LPERR06G18510 transcript:LPERR06G18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSDMYLYLGLALASLLVVLLATRRRSRRSSSAAAALRLPPGPWQLPVIGSLHHVMKGRLPPHQAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRTHDAAFATRPLSATMRVVSNGGRDIAFAPHGAYWRHLRKVAVVELLSARRVLSFRSIREEEVAAMLRAVASASAASAAATVDLQAAMSALVSDSTARAVMGDRCKDRDVFLRAVDRAGELAAGLNTADLWPSSWLAGRLSGAVREAEKCRATLFGVLDGIIQDHLEKTGSGCDGGASTGEDIIDVLLRIQKEGGLQFPLDMDAVKSVVIDVFSGGSETSATTLGWAIAELIRNPKVMAKVTAEVRQAFAASGTVSESALGELRYLHLVIRETLRLHPPLPLLLPRECQEPCRVLGYDVPRGTQVLVNAWALGRDEKYWGGSPEEFRPDRFGEDEATATVDFKGTDFEFLPFGAGRRICPGMTFGLANVELPLASLLFHFDWEAPGMADPTEFDMTEAFSITARRKGNLLLRPVLCVPVPGV >LPERR06G18520.1 pep chromosome:Lperr_V1.4:6:17059213:17060646:-1 gene:LPERR06G18520 transcript:LPERR06G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLARRHGPVMILRLGEVPTLVVSYPDAAREVMKTHDMACASSPPRLRTGARAVEMRAALSALVLESTARAVLGERCENHDAFVRELNRSTYTWPSSRLAARLSSALREGEEGLDLVFGVLDRIIQEHLEKTSTDCVNGAAPREDFLDVPLRIHREGGMPCSLDMDAIKYVIIWPQRYAGNDAVVAVAELLRNPKVMLKATMEVSDLADPAELEMTEMFGVNLSRKADLLLRPVLHVPVPGV >LPERR06G18530.1 pep chromosome:Lperr_V1.4:6:17088450:17091699:-1 gene:LPERR06G18530 transcript:LPERR06G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSHTYLYLGIALVSLFVVLLSKRRRSPEALRLPPGPWQLPVLGSLHHLAGQLPHRVMRDLARRYGPVMMLRLGEVPTVVVSSRDAAREVMKTHDSAFATRPLSATMRVLTKGRDIALAPYGDYWRQVRRIAAMELLSARRVLSFRPIREGEVAAMLRAVEDAAAHRRAVDMQAVLSALVSDTTTRAVMGDRWKDRDVFLREHDRALQLSAGFNLPDLWPSSRLAGCLSGVVREAEKCRDKVFGILDGVIDQHLQKAESGADVDGEDLIDVLLRIRKEGGLQFPLDMDAIKAIIFGIFAAGSETSATTLGWAIAELIRNPKAMQKATAEVRRAFASDGVVSDAAVGELHYLNLGNRETLRLHPPAPLLVPRECREPCQQVLGYDVPQGTQVLVNVWALGRDERYWPNYSPEEFHPERFIDGEAGSMVDFKGFNFELLPFGAGRRMCPGMAFGLANLELPLASLLFHFDWEVPGLADPADLQGRPWASADGATALGLQKLGASKICIPH >LPERR06G18550.1 pep chromosome:Lperr_V1.4:6:17106557:17121665:1 gene:LPERR06G18550 transcript:LPERR06G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSHICLYLAVALVTLLVVLISKRRRSPEAAHGPGGALRLPPGPWQLPVIGSLHHLAGQLPHRAMRDLARRYGPVMMLRLGEVPTLVVSSRDAAREVMKTHDTAFSTRPLTATRRVLTNGGKDIALAPYGDYWRQVRRIAVTELLSARRVLSFRSIREEEVAATLRAVAKAASAGRAVEMRAALSALVSDSTARAVMGDRCKDRDVFLRAVDRAVELCCGFNLPDLWPSSSRLTGCLSGVVREAEECRDTVFGILDGIIQEHLQKTESGVGVAAEDLIDVLLRIRRVGSSSRSTWTPLKQSSFAGSETSSTTLGWAIAELIRNPKAMQKATSEVRRAFASYGAVSEVALGELHYLNLVIRETFRLHPPATLLLPRECREPCQVLGYDVPQGTQVFVNVWAIGRDERYWPDYSPEEFLPERFNDGEAAAAVDFRGANFELLPFGAGRRMCAGMAFGLANVELPLASLLFHFDWEVSGLADPTDLDMTETFGVTVRRKSNLLLRPILRMPVAKRRQMAADTSHGYFYVGFALLSLFVIQIARRRRSPASHGDGGGLRLPPGPWQLPVIGSLHHLVGKLPHRAMRDLARRYGPVMMLRLGEVPTLVISSRDAAREVMKTHDVAFATRPLSATMRVLSSGGRDIVFAPYGDYWRQVRKIAVVELLSARRVQSFRSIREEEVAALLRTVATMRAAITALVSDITARVVFGNRCDDRDEFLVQLDRTIELAAGFNPADLWPSSRIASRLSGFVRRAEECRNKVFEILDGIIQEHLEMTEKDGAAGEDLIDVLLRIQKEGGLQFPLTMGDIKAIIFDIFNAGSETSGTTLAWAMAELLRNPTAMKKATDERMRSPTSINYLRLVIRETLRLHPPLPLLLPRECRKACQVLGYDVPRGTQVLVNAWALGHDERYWPGGSPEEFRPERFDDGEPAASVDFRGADFEVLPFGSGRRMCPGMAFGLANVEFPLASLLFHFDWEVPSVGDPANLDMAEAFGITARRKANLDLRPHLNTSHGYVYVGLALLSLLVVLIARRRRSPAAHGDGGGLRMPPGPWQLPVIGSLHHLVGKLPHSAMRDLARRHGPVMTLRLGEVPTLVVSSRDAAREVMKTHDVAFATRPLSTTIHALSSDGRDLAFAPYGDYWRQVRKIAVTELLTVRRVHSFRPIREEEVAAMLRAVAAAAADDGRRTVEMRAAISALVSDITARAVFGNRCDKRDEFLVLVDRTVELAVGFNPADLWPSSRLAHRLTGFVRRAEECRSKVFEILDCIIREHLEMTDKDGAGGEDLIDVLLRIQKEGGLQFPLGMDDIKAIIFDIFSAGSETSGTTLAWAMAELLRNPTALEKATTEVRRAFAATGARTRSSTSVTCISIVIRETLQLHPPLPLLLPRECREACRVLGYDVPRGTQVLVNAWALGRDEHYWSGSSPEQFQPERFGDGEPAASVDFRGANFELLPFGSGRRMCPGMAFGLANVEFPLASLLFHFDWEVPSVTDPAKLDMTEAFGTTARRKANLDLCPTRATRVFGCIFGAGGDTRDQTPARRRTY >LPERR06G18560.1 pep chromosome:Lperr_V1.4:6:17122319:17123910:-1 gene:LPERR06G18560 transcript:LPERR06G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGSHGYVYVGLALVSLLVVLLAKRRRSPATHGDGGQRLPPGPWQLPIIGTLHHLVGQLPHHAMRDLARRHGPPRRLTPWTCAPRSPRSRPTSRPPPCSATGARTATEYLAALDRNLELAARIEHLEKTDNHDSVAGEDLIDILLRIEKEGELQFPLDMKAVIFILRTLTTCRSLARTQDILSAGSETSGTTLTWAMAELIQNPTAMRKATAEVRRAFATTGAVSEDALGDLHYLQLVIHETLRLHLPLPLLLPREQGRTQYRDMGCSAMMCLAVHSCWSTPGHSVVMTDKPGGSLEEFRPERFDDGEPAASVDFKGADFELLSFGSSRRICPGIMFGLVNVEFPLASLIFHFDWEVSGVDDPTKLDMTETFGITAY >LPERR06G18570.1 pep chromosome:Lperr_V1.4:6:17125585:17125773:-1 gene:LPERR06G18570 transcript:LPERR06G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTTPGRHFVQSLFSPDYPEAQTYWGDAPLLTQPTQPTEPPVGSTPPHQVHDRHPPTL >LPERR06G18580.1 pep chromosome:Lperr_V1.4:6:17126651:17127135:-1 gene:LPERR06G18580 transcript:LPERR06G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFGMFQPHPPLLGWPLADSLHSCDRYTRKGFEVSYDTMIKQKLASTVADWADATDNVFPDTAPDDANGYAMYLQWYRSVARWRCFPPQDDTDSRQEPTIETTTVVAPRVAFNEMC >LPERR06G18590.1 pep chromosome:Lperr_V1.4:6:17128901:17129101:-1 gene:LPERR06G18590 transcript:LPERR06G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRRGVWRQGTYRRVVWRQGPPPSGTRPLPPNGMAVGPVVCAAAPLDANAAVTRARCYRQAAWR >LPERR06G18600.1 pep chromosome:Lperr_V1.4:6:17131628:17133576:-1 gene:LPERR06G18600 transcript:LPERR06G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADVLVCLLVIPLLAIVPLIFFGSVARRRAGEGGGHRLPPSPWSLPVIGHLHHLAGALPHHAMRDLARRHGPLMLLRLGELRVAVASSPDAAREVMKTRDIDFATRPMSRMTRLVFPAGTEGIIFAPYGEEWRELRKICTVELLSARRVHSFRAVREEEVRRLLNSIAAAAEAASPAKAVNLSEMLSAYAADSSVRAIIGSRLKERDKYLTMLERGLKLFARHTLPDLYPSSRLAMLVSRMPGRMMRHREEATAFNDAIIKEHQEKINGAGGDEDNLLDVLLRIQREGDLQFPLSTERIRTTVGDMFAGGSETAATALQWIMAELMRNPRVMRKVQDEIRQVLVGQQKVTEDSLSNMSYMHLVIKEALRLHPPVPLLLPRECRNTCQVFGFDVPKGTMVLVNAWAISRDPKYWDKAEEFIPERFEHTDIDFKGTNFEYTPFGAGRRMCPGIAFGLANVELTLANLLYHFDWQLPDGMEAADLDMTEEMVDSMYI >LPERR06G18610.1 pep chromosome:Lperr_V1.4:6:17134459:17136943:-1 gene:LPERR06G18610 transcript:LPERR06G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPALYLLFLAALIILPIAILIRSSRHRRRAGILLPPSPWALPVIGHLHHLVGKLPHHKLRDLARRHGPLMLLRLGELPVVVASSADAAREIMKAHDLAFASRPIGPATRLVLPDGEEGIVFAPYGDGWRQLRKICTLELLSPRRVLSLRAVREQEVHRLLLAVASPEKTATNGLINLSRMISSYVADSSVRAIIGSRFKDREAFFRLMESGLKLFSSPSLPDLFPSSRLAMLISRAPGRMKQNRKETMAFMETIIEEHRAAREASMEVEKEDLVDVLLRVQRDGELHFSLTTDNIKAAIADLFIAGSDTAATTLQWAMSELLNSPKVMQKAQNEVRQVLNGQGRISEESLSTLHYLHLVIKETLRLHPPAPLLLPRECREPCQILGFDVPKGTMVLVNIFAIGRDPNYWDAPNEFTPERFEENNIDFKGINFEYIPFGAGRRICPGMTFGLANVELALASLLYHFDWDLPDGMQPGDLDMAEALAVTARRETDLLVVPVVRVPIVC >LPERR06G18630.1 pep chromosome:Lperr_V1.4:6:17164289:17168448:-1 gene:LPERR06G18630 transcript:LPERR06G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVAGSRKRGLSNLLDEAFLDDPFPLPSRLAKRGRCSSAAASVADLAVNLDYNPVEALQRVFPHADPQHLQNCYEVSDNVLDAAIKRYKDQLQYSSGDKGFAVTDLSSMNIPSNGSQWAELVVKEMSSALDLIDAKNRALKILDLFQKATGQCTLSDEKMREEHKIQKLMLEGLLEQNSFMKRAFLKQHNLLNDCEKISQERLQSVDQYTKENDELKIFALALQFSNDYKAEQRSMEQHYLFLATVLILSLVFVKLRPSPRGNNNGINPPPGPWQLPLIGSLHHLAGALPHRALRGLSRRHGEFMLLRLGEIPVVVASSARAAREVMRAHDAAFATRPRTATLRALSRDGLGVAFAPSRGEHWRCLRRLCVTELLGARRVRRLRRSREAEAASLVAAVATSDSASAPVNVSALVAAYATDAVVRAVVGDRIGERDAFLARLEEGVKVAAGFTLADVFPSSRLARALSGTARRVEAHNREMVRLMDGVIEEHRQRRAAAAAGEEDEDEEDLLDVLLRLQKEGGLPIPLDMGTIRAVIIDLFGAGSETTGTTLQWAMAELMRNPAALRKAQSEVRGVLAGKTRVDEDAIPQLRYLHLVIKETLRLHVAVPLLLPRECQEPCRVLGYDVPDRAMVLVNAWAICRDAATWGADAEEFRPERFAGGEVDFWGNDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGVAPGELDMAEGLGITARRKNDLWLHATVRVPVPSSTQTQAKMID >LPERR06G18640.1 pep chromosome:Lperr_V1.4:6:17169401:17172567:-1 gene:LPERR06G18640 transcript:LPERR06G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELLPPSSPPSPHHHHHHHHHPAKRSRCSPHRAFDDAHRREALLHQLFSFFPDMDPQVLEKTLDASGNDLDSAIKSLNDLRLESTEAILSATGCISENGLPAAVYPSVEGIVNNGGVGTATEHPPAADSCQTSNSGSEWVELFVREMTNATDMDDARARASRALEVLEKSIVERAGADAAQNLQKENMVLKEQLTAVLRENAILKRAVAIQHERQKEFDDRTQEVQSLKQLVLQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHYNPDVF >LPERR06G18650.1 pep chromosome:Lperr_V1.4:6:17175316:17183799:-1 gene:LPERR06G18650 transcript:LPERR06G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSWSASSSAAAARKKAPSPPKHRHDGTSGLPLGMDWSPPPKRWGVEYVFQEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASVTPDNFLKSVVFYRIHVGIQSPEGVSSSHSVLRRFSDFLKLYSDLKQAFPRKGIPAAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSHFQDWNQRLSEAGSSAKSSTDSSPRPDEHGSGILAESSQMNSALARGASLTGATGNGVLGDSILDQPKERVSSMLNHRKENHVFLEHGVRNGSLDTYKGVISEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGHVDLPSGIDGHSQAEQFTGLDMQLLYDMDAQVILPADQRQKLTRLLITMERRQATAKTDMEDLIARVNQEVAVKEYLATKVKDLEVELEATKQKAREILQQAILAEREKITQMQWDMDELRRKYSEMESNLKTEQNEKTRVQSEKTTASGENEMLLEELETKRKEVESLQQHLGEVEAKSKSDIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSARARLSREKILHECKLLRERLQECTAKFLADEQDNMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDGKSSVTMSIEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGVVPKKTVLNWLLDR >LPERR06G18650.2 pep chromosome:Lperr_V1.4:6:17175316:17183799:-1 gene:LPERR06G18650 transcript:LPERR06G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSWSASSSAAAARKKAPSPPKHRHDGTSGLPLGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASVTPDNFLKSVVFYRIHVGIQSPEGVSSSHSVLRRFSDFLKLYSDLKQAFPRKGIPAAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSHFQDWNQRLSEAGSSAKSSTDSSPRPDEHGSGILAESSQMNSALARGASLTGATGNGVLGDSILDQPKERVSSMLNHRKENHVFLEHGVRNGSLDTYKGVISEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGHVDLPSGIDGHSQAEQFTGLDMQLLYDMDAQVILPADQRQKLTRLLITMERRQATAKTDMEDLIARVNQEVAVKEYLATKVKDLEVELEATKQKAREILQQAILAEREKITQMQWDMDELRRKYSEMESNLKTEQNEKTRVQSEKTTASGENEMLLEELETKRKEVESLQQHLGEVEAKSKSDIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSARARLSREKILHECKLLRERLQECTAKFLADEQDNMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDGKSSVTMSIEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGVVPKKTVLNWLLDR >LPERR06G18660.1 pep chromosome:Lperr_V1.4:6:17186015:17190604:-1 gene:LPERR06G18660 transcript:LPERR06G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETVSQALQASVDRDCLSGWGGYVLVVTPTEIQERG >LPERR06G18660.2 pep chromosome:Lperr_V1.4:6:17186736:17190604:-1 gene:LPERR06G18660 transcript:LPERR06G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETVSQALQASVDRDCLSGWGGYVLVVTPTEIQERVIKGRMD >LPERR06G18670.1 pep chromosome:Lperr_V1.4:6:17191901:17194941:1 gene:LPERR06G18670 transcript:LPERR06G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMGNIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKPVDEDDLSRRLAELKARDMFNPDFSQAAMSHCHEDHYSNLLDS >LPERR06G18680.1 pep chromosome:Lperr_V1.4:6:17195785:17197880:1 gene:LPERR06G18680 transcript:LPERR06G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKDNASAAGKKDKPMPFSTNLATVDSPASRPKPKSFASSSSSSYMADIDLPPSSSDDDDHHLAAKRKSASSSARATRHPKKKDKREANAAKEAELAKQEALRDDRGDAFTVTIGDRVPSSSSATSAGDNIRDIVLDGFSVSARGNELLNNASLRISHGRRYGLVGPNGKGKSTLLKHLSWRKLPVPRTIDMHLVEQEIEGDDRSTLEVVVAADEELAALRAEQASLEASNDDDNNERLAEVYEKLNLRDSDAARARASKILTGLGRCRQDRPNRSVVDGDWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCGEIIHLHDKKLHVYRGNFDDFESGYEQKRKEVNRKFEKFDKKMKKADKTGSKAVQEKVKSQAVSKANKEAAKSKGKGKNVANDDDDSKPVDLPQKWTDYKVEFHFPEPTLLTPPLLQLIDVGFNYPNSPDFKLSGVDVGIDMGTHVAIVGANGAGKSTLLNLLAGDLAPTEGEVRRSQKLRIGRYSQHFVDLLKMEENAVQYLLKSFTLSKAEAVRAKLGKFGLTGNNHLIPINKLSGGQKARVVFTSISMSRPQYLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDWRLISRVCDDEQKSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >LPERR06G18690.1 pep chromosome:Lperr_V1.4:6:17205092:17205871:1 gene:LPERR06G18690 transcript:LPERR06G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKLRPFLVTLILLLSTTVAPILAEYDPECDCDKPKHPKPSPSSHPPKTKPSYPSPKPKNPKPNPPKTKPSYPSPPKSPSYRPPPVISPPVTRPPPVTYPPITYPPPVISPPVTYPPPVITPPVTYPTPPVTTPPVTYPTPPVTTPPVTYPTPPVTTPCPPPPPPPATTARCPVDSLKIGACVDLLGGLIHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIKLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >LPERR06G18700.1 pep chromosome:Lperr_V1.4:6:17206863:17216752:-1 gene:LPERR06G18700 transcript:LPERR06G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPKVEAVRRAAHCVGRRIVRCVVADDAKVVVAAAGRVGFERAMVGRTIVAARRKGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFVDSLSGKKMGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASTLSRESCEALHRSIHEVVKYAVEVDADCDRFPEEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGLRSSKSVVANPEQVAENDDAKEIETDPEDADNLNTRKRVATSKVVRGKQNRGAAGAGSRKRKNGVGKQKSSEDGNEDDKETAEPNKVSTNSKGENADPVRRSSRNTSKPRQSH >LPERR06G18700.2 pep chromosome:Lperr_V1.4:6:17206863:17210460:-1 gene:LPERR06G18700 transcript:LPERR06G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEAHCVGRRIVRCVVADDAKVVVAAAGRVGFERAMVGRTIVAARRKGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFVDSLSGKKMGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASTLSRESCEALHRSIHEVVKYAVEVDADCDRFPEEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGLRSSKSVVANPEQVAENDDAKEIETDPEDADNLNTRKRVATSKVVRGKQNRGAAGAGSRKRKNGVGKQKSSEDGNEDDKETAEPNKVSTNSKGENADPVRRSSRNTSKPRQSH >LPERR06G18700.3 pep chromosome:Lperr_V1.4:6:17206863:17210460:-1 gene:LPERR06G18700 transcript:LPERR06G18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEAHCVGRRIVRCVVADDAKVVVAAAGRVGFERAMVGRTIVAARRKGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKYKRQLDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFVDSLSGKKMGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASTLSRESCEALHRSIHEVVKYAVEVDADCDRFPEEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGLRSSKSVVANPEQVAENDDAKEIETDPEDADNLNTRKRVATSKVVRGKQNRGAAGAGSRKRKNGVGKQKSSEDGNEDDKETAEPNKVSTNSKGENADPVRRSSRNTSKPRQSH >LPERR06G18710.1 pep chromosome:Lperr_V1.4:6:17225675:17228974:-1 gene:LPERR06G18710 transcript:LPERR06G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESAYQELAPEPALVAMKGGGEGKKKRKQKKGGGGGEKKECRLVSYHELPDYMKENEFILNYYRSEWPILNALLSLFSWHNETINIWTHLLGFVVFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAASLMQTVNPAAGAAMAQTAAAAATSTRWPFFVFLAGAMFCLLSSAACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVVYLSAITAAGVGTVYALMSPKLSAARYRAHRALLFVGMGLSGVVPAVHAVAVNWHEPRRNVTLAYEGAMAASYLVGTAFYLTRVPERWLPGKFDLCGHSHQIFHALVIAGALAHYGAAIVFIQARDEMGCPAM >LPERR06G18720.1 pep chromosome:Lperr_V1.4:6:17232017:17239656:-1 gene:LPERR06G18720 transcript:LPERR06G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLEAILDAGKGAAASAAAAAAAAAPRGDNRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEVANRLLKRRLETERPRLDTTADMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNIDRSYSEPVEALASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVQMSRAIGEEISCGKPVWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCFGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKYHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNYLSRISTLGPRYPAFASNDQIKAPIKGRRHLTVIAVDSVSKEDLIRIVRNSIEAVRTENLSGSTGFVLSTSLTIAEIHSLLMSAGMVPTDFDAFICNSGSDLYYPSCTGDTPSNSRVTFALDRTYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELPNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTPANRIHTVRRYPLQDVVALDSSNITGIEGFGTDGMRSALKQLRIQTQ >LPERR06G18730.1 pep chromosome:Lperr_V1.4:6:17244557:17249107:-1 gene:LPERR06G18730 transcript:LPERR06G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFSVSRTNPSTTTNHKPPASAACLAKGGQLEAQAEQKIKYGGYACKTNRRFIVPRILPLLSLSRTIPARDQHCPASVMGWKLPLLLLHVLVCLVNGVSCGRTSSYVRSEFPSTDIPLESEYFAVPSGYNAPQQVHITQGDYDGKAVIVSWVTVSEPGTSEVFYGKNEHHYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYSTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLSVGDLSYADNYQHNDGDRWDSWGRLVERSTAYQPWIWNTGNHEIEYRPDLGETSTFKAYLHRCQTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWIWLRNELRHVDREKTPWLIVLMHAPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHAPADNVVFHNQYWASNTRRRRLKKKHFPLDRIEDLISML >LPERR06G18730.2 pep chromosome:Lperr_V1.4:6:17244559:17247955:-1 gene:LPERR06G18730 transcript:LPERR06G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKLPLLLLHVLVCLVNGVSCGRTSSYVRSEFPSTDIPLESEYFAVPSGYNAPQQVHITQGDYDGKAVIVSWVTVSEPGTSEVFYGKNEHHYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYSTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLSVGDLSYADNYQHNDGDRWDSWGRLVERSTAYQPWIWNTGNHEIEYRPDLGETSTFKAYLHRCQTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWIWLRNELRHVDREKTPWLIVLMHAPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHAPADNVVFHNQYWASNTRRRRLKKKHFPLDRIEDLISML >LPERR06G18740.1 pep chromosome:Lperr_V1.4:6:17249969:17254071:1 gene:LPERR06G18740 transcript:LPERR06G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLVILAVVAACAGAASAYQPGSAEGHTIAGRIKIDAASAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLREEQYYETREPFSIMSLLKSPMGMMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >LPERR06G18750.1 pep chromosome:Lperr_V1.4:6:17261952:17270371:1 gene:LPERR06G18750 transcript:LPERR06G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPDLATQVLVPVAAVVGIAFAVGQWVLVSKVKMTAGNKGPGAGAAGKDGASEYLIEEEEGLNEHNVVQKCNEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYSFTIFNFGVQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >LPERR06G18760.1 pep chromosome:Lperr_V1.4:6:17271324:17278470:1 gene:LPERR06G18760 transcript:LPERR06G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTSSSAPSTAPAADGEEGPVPAVAGDDAQKNDVYTAAAYGDLEKLQRLVEGEGASVTDPDGLGYYALQWAALNNRVVAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNNNFRKLSKLGLAPVLWCIIIVLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIIANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEAFAMIITGCAAIIRIARDPASPASFIPWLSYSAFNHTGALSFFIVDLFLFFGVAVLTVVQASQIAKNITTNEMANSMRYNYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIERLDHASHTDEEMGMIQMTSTVSQNGEDPSHHGNGTGHSCADSHANSKSHSQVSSSQCCDHNKKTDRTPLGLGLGLGRNSASRQLFLY >LPERR06G18760.2 pep chromosome:Lperr_V1.4:6:17271324:17278477:1 gene:LPERR06G18760 transcript:LPERR06G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTSSSAPSTAPAADGEEGPVPAVAGDDAQKNDVYTAAAYGDLEKLQRLVEGEGASVTDPDGLGYYALQWAALNNRVVAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNNNFRKLSKLGLAPVLWCIIIVLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIIANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEAFAMIITGCAAIIRIARDPASPASFIPWLSYSAFNHTGALSFFIVDLFLFFGVAVLTVVQASQIAKNITTNEMANSMRYNYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIERLDHASHTDEEMGMIQMTSTVSQNDYSCIEN >LPERR06G18770.1 pep chromosome:Lperr_V1.4:6:17278767:17287856:1 gene:LPERR06G18770 transcript:LPERR06G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCTKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKMLKAMYLYGPSKEPSQTVNLLGTYHWETIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFQTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSILSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGTKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGSLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLVSSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYTSGKHKNLRKSPCMFQKIQKKVRNKPIDPPKKPEKAPFFLPTVPSLSGEILFEPAANNSAFTDYLKGLSPSSLDMELRVLQLIDDDEPENMEQRPELHSILLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQEKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >LPERR06G18770.2 pep chromosome:Lperr_V1.4:6:17278579:17287856:1 gene:LPERR06G18770 transcript:LPERR06G18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCTKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKMLKAMYLYGPSKEPSQTVNLLGTYHWETIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFQTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSILSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGTKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGSLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLVSSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYTSGKHKNLRKSPCMFQKIQKKVRNKPIDPPKKPEKAPFFLPTVPSLSGEILFEPAANNSAFTDYLKGLSPSSLDMELRVLQLIDDDEPENMEQRPELHSILLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQEKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >LPERR06G18770.3 pep chromosome:Lperr_V1.4:6:17278767:17287856:1 gene:LPERR06G18770 transcript:LPERR06G18770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCTKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKMLKAMYLYGPSKEPSQTVNLLGTYHWETIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFQTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSILSLHFFANEPILMSSASDNSIKDQQSRELSQRHVSKRAKKLRLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGTKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGSLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLVSSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYTSGKHKNLRKSPCMFQKIQKKVRNKPIDPPKKPEKAPFFLPTVPSLSGEILFEPAANNSAFTDYLKGLSPSSLDMELRVLQLIDDDEPENMEQRPELHSILLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQEKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >LPERR06G18780.1 pep chromosome:Lperr_V1.4:6:17289945:17292493:-1 gene:LPERR06G18780 transcript:LPERR06G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGRARVLLFFLVAAAATVAADQIFTSSGAPFGRNSREPRYHVEFHPIDAPFSPENGQESVPMTSHEGKHYTCFLPVEETKTMKSIIPQNATNVIVESERRIKPKDPDELLEILKDQCFYRHEAWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSESADEDDSLADTPKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDDSLPKEAQISIIPDPDELHNYAAYST >LPERR06G18790.1 pep chromosome:Lperr_V1.4:6:17293088:17293467:1 gene:LPERR06G18790 transcript:LPERR06G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTAAAGGVHVHGHGLQLQHPHPHPPRVGVRPPSLLSNKAQEMLMLQHKSSSSNSNAILTEKPPRSSSTPRVVVNIGGETEPAPRRAARAWQPAAKRDHPAEADCFVAGAGDGGRRHEED >LPERR06G18800.1 pep chromosome:Lperr_V1.4:6:17293667:17306368:1 gene:LPERR06G18800 transcript:LPERR06G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKEFSFPAEEEQVLKLWSELDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVLDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKADYKTMDIKFMESVWWVFGQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRMVPDPAIMVSFPIVGDADNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDNSTGAVYVVAESRLGQLPTKAKASAKKQAPSSSKGATAEVVQGGLDTEAYDLLAKFPGSSLVGLKYTPLFDFFLELQQTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKITEFRGRHVKEADKDIINADKGRLVSRGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDDITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFLVQNAKRLETEGLSAFSPMDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVATKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEKNGEISFFGHCLKLDDIKVTRQFKCPANVTENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKSVGNGMNSLDEILRSQDQYVRDALGNTLIPMVVAPPNVVVLGEESHSVHDMSFVICIARCTPVVTHHATDNNDHLEALKVYMSSRSVSRLKDEFQAGNGKITVDYIEGFPPIDLQLGKHVFLSVADFYLAHRS >LPERR06G18800.2 pep chromosome:Lperr_V1.4:6:17293670:17306368:1 gene:LPERR06G18800 transcript:LPERR06G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKEFSFPAEEEQVLKLWSELDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVLDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKADYKTMDIKFMESVWWVFGQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRMVPDPAIMVSFPIVGDADNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDNSTGAVYVVAESRLGQLPTKAKASAKKQAPSSSKGATAEVVQGGLDTEAYDLLAKFPGSSLVGLKYTPLFDFFLELQQTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKITEFRGRHVKEADKDIINADKGRLVSRGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDDITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFLVQNAKRLETEGLSAFSPMDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVATKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKYYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEKNGEISFFGHCLKLDDIKVTRQFKCPANVTENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKSVGNGMNSLDEILRSQDQYVRDALGNTLIPMVVAPPNVVVLGEESHSVHDMSFVICIARCTPVVTHHATDNNDHLEALKVYMSSRSVSRLKDEFQAGNGKITVDYIEGFPPIDLQLGKHVFLSVADFYLAHRS >LPERR06G18810.1 pep chromosome:Lperr_V1.4:6:17307034:17307738:-1 gene:LPERR06G18810 transcript:LPERR06G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMDWYAWLAKTGLAPAVAYEYGLLFNENELERSDAALFDHDLLKSMGISLAKHRLQILSLAKKDFSSSAAGDQADSSASSAYSRLVRKAGRCIARCARRLVARRGGEGRRRGSSSSVTVVPRICSGDDAVRVGAVQAKTGTAVGRRRSVKKMVLMITDGGVADAAAAARGGRFSGPQKASLMFHDVYDEDADADEEQCGDDGEEEEEERCSDGGGGGEIKWDSMFQDLKPT >LPERR06G18820.1 pep chromosome:Lperr_V1.4:6:17312963:17338250:-1 gene:LPERR06G18820 transcript:LPERR06G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGERREDENPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLPKKDFFSSNAVYALVSSKVSVFDEENYDEDEEPPNDNNLPGDDIVQNCSSASAEQLDMAPNDSLAAEKVASTLSEPEESIECEAFQKQKGMITEEQLESKTATSLPVLCIEDGSVILRFSEIFGAQEPVRKAKTDRHKRPVNKELQITNVLDIVEEDEEVFLRSTIQNLSVLKHIKTNDDFVESDSDESTSDVALRLKHACLSEQPMKDKDIPTALQSPVFPDFFPIEHEDWENDIVWGNSPTTAVQPCLTSCVMSEESLDDHDDDQAKGYGSECCNVQSKFHSSSVIADPFGCTEMPDSTNYRSPEYSYSALRKGTAQENDSLDEPNNITEPVKISTVQHLNKLSLLNKELLEGSWLDNIVWDPSDDAPKTKVIYDLKDEHMLFEILDEKNGDHLRSHARAMIVSRPPMTSAMENVDHNSQAITSSGKFNISNDKFYSNKKMSQQARSHAKKRASMGLKVVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLAAKFQGKECSLGPMTAIVMTLGGKGVKFLVNAEETPLTVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPSDQTVASLRSNSDGLGTMLAIDPAEKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYLLNRILVYVYREFRAREKPGIVPRIRADELPIQPPVTDAVVRKRLKHCADLRRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEDQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQVQSLSAMDGDENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDMRSDKMDGMRGLKMRRCHTQTQTNEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMNKSSAYASALTPKESTPREAKEVGNSAEGSLPSKLRAKTVFDANDDILLVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAILIRSHPHDLANNSQIKTSNKRLVAKVSSDALEAEGPESIEKTKPVPVKFKCGAPEKSLDRNMSLSASLVSDKRMMDATDLKSTGKVNKIKISNKIKYEDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQKPAELMNVQEPRKTRKIIELSSFETRDREDDNGFSGQPIQMNSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARMYDARREEELQKAKKKNKKKKKHEFRDDDLLDARPYKNDRRVPERGRAAKRRTPADITEYTPSAKRHRGGEVELSNILETIVDLLRANSVSYIFRKPVTRKEAPDYLDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIVVNAHLYNDNRHPHIPPLADQLLVLCDGLLEENEELLDDAEYAIEDYIKPAFARELELELPPSVGAAMASSSRRRALLVLLFVFASSFVASAAAAVSRTNSSSFVLAAAQTRRKDPLAGLRYYTGGWNISNKHYLASVGFSASPVFVVAAVWFVSLALAVFIAFCCRCCCCRRSGDNSNGNGGYSYSRAIFAVSLAFLLLFTAAAIIGCIVLYDGQGKFHGSTTATLRYVVNQSDSAVATLREFTGFLETTKAAAAAEKFTLPADLQGKIDGVARRVDASADELAARTASNSRKIRTALETIRKVLIVVAAVMLVVAILGLVFSLCGFKSLVYTATGDTCVAMSEWVQHPQDHTALDDILPCVDAAATSDALRRSKEVNFQLVSLLNNLLSTVANANPPTTSPPSPPAIYNQSGPPVPLLCNPYNPNLTDRPCAGGEIPSADAPEAWRRYVCRATAEGVCVTMGRITPAMYDRMVAAANASAGLVRHGPALADMADCSYVRRAFERVTAAHCPGMRRNSGGVWRALLAVSVAGISSGKMSLISQNPLQKRRLERIEADDDSGEELGSPIAVGAEDGNETKSEKRKKEKKRKKAKALEALEEKANKEREEMKKLESSLFGALYAPPQFGTEVEAVDLDNDAPSFFMDRSAGGGEDDLPVYEDELSSEDEVKEGMVGRERKPAWVDDEEVTEVDISNVARLRKLRKEVDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPNTGFSDNVSDEEGGVDDILRNTDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVYKAAFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRRCIHKGTDEGSLAGISLCTSHDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKESNGMRLVHVPSLTVFQNWPGPRFNLHYPRCLDFSPGSGFLSVGQAGGKKEGSDRCGCRDAGRGDSWACLVFFAWILVSATFILSGTFLLLHKCITDMAATANANAGLVRHGPTLADMADCSYVRRAFERVMVAHCPGMRQNSGGVWRALLAVAWAAHSWERRRCGEVRRFQVSPYRFPIEEKALLKMSPRRSYMRGDSGGLAAAR >LPERR06G18820.2 pep chromosome:Lperr_V1.4:6:17312963:17338250:-1 gene:LPERR06G18820 transcript:LPERR06G18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGERREDENPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLPKKDFFSSNAVYALVSSKVSVFDEENYDEDEEPPNDNNLPGDDIVQNCSSASAEQLDMAPNDSLAAEKVASTLSEPEESIECEAFQKQKGMITEEQLESKTATSLPVLCIEDGSVILRFSEIFGAQEPVRKAKTDRHKRPVNKELQITNVLDIVEEDEEVFLRSTIQNLSVLKHIKTNDDFVESDSDESTSDVALRLKHACLSEQPMKDKDIPTALQSPVFPDFFPIEHEDWENDIVWGNSPTTAVQPCLTSCVMSEESLDDHDDDQAKGYGSECCNVQSKFHSSSVIADPFGCTEMPDSTNYRSPEYSYSALRKGTAQENDSLDEPNNITEPVKISTVQHLNKLSLLNKELLEGSWLDNIVWDPSDDAPKTKVIYDLKDEHMLFEILDEKNGDHLRSHARAMIVSRPPMTSAMENVDHNSQAITSSGKFNISNDKFYSNKKMSQQARSHAKKRASMGLKVVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLAAKFQGKECSLGPMTAIVMTLGGKGVKFLVNAEETPLTVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPSDQTVASLRSNSDGLGTMLAIDPAEKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYLLNRILVYVYREFRAREKPGIVPRIRADELPIQPPVTDAVRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEDQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQVQSLSAMDGDENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDMRSDKMDGMRGLKMRRCHTQTQTNEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMNKSSAYASALTPKESTPREAKEVGNSAEGSLPSKLRAKTVFDANDDILLVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAILIRSHPHDLANNSQIKTSNKRLVAKVSSDALEAEGPESIEKTKPVPVKFKCGAPEKSLDRNMSLSASLVSDKRMMDATDLKSTGKVNKIKISNKIKYEDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQKPAELMNVQEPRKTRKIIELSSFETRDREDDNGFSGQPIQMNSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARMYDARREEELQKAKKKNKKKKKHEFRDDDLLDARPYKNDRRVPERGRAAKRRTPADITEYTPSAKRHRGGEVELSNILETIVDLLRANSVSYIFRKPVTRKEAPDYLDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIVVNAHLYNDNRHPHIPPLADQLLVLCDGLLEENEELLDDAEYAIEDYIKPAFARELELELPPSVGAAMASSSRRRALLVLLFVFASSFVASAAAAVSRTNSSSFVLAAAQTRRKDPLAGLRYYTGGWNISNKHYLASVGFSASPVFVVAAVWFVSLALAVFIAFCCRCCCCRRSGDNSNGNGGYSYSRAIFAVSLAFLLLFTAAAIIGCIVLYDGQGKFHGSTTATLRYVVNQSDSAVATLREFTGFLETTKAAAAAEKFTLPADLQGKIDGVARRVDASADELAARTASNSRKIRTALETIRKVLIVVAAVMLVVAILGLVFSLCGFKSLVYTATGDTCVAMSEWVQHPQDHTALDDILPCVDAAATSDALRRSKEVNFQLVSLLNNLLSTVANANPPTTSPPSPPAIYNQSGPPVPLLCNPYNPNLTDRPCAGGEIPSADAPEAWRRYVCRATAEGVCVTMGRITPAMYDRMVAAANASAGLVRHGPALADMADCSYVRRAFERVTAAHCPGMRRNSGGVWRALLAVSVAGISSGKMSLISQNPLQKRRLERIEADDDSGEELGSPIAVGAEDGNETKSEKRKKEKKRKKAKALEALEEKANKEREEMKKLESSLFGALYAPPQFGTEVEAVDLDNDAPSFFMDRSAGGGEDDLPVYEDELSSEDEVKEGMVGRERKPAWVDDEEVTEVDISNVARLRKLRKEVDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPNTGFSDNVSDEEGGVDDILRNTDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVYKAAFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRRCIHKGTDEGSLAGISLCTSHDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKESNGMRLVHVPSLTVFQNWPGPRFNLHYPRCLDFSPGSGFLSVGQAGGKKEGSDRCGCRDAGRGDSWACLVFFAWILVSATFILSGTFLLLHKCITDMAATANANAGLVRHGPTLADMADCSYVRRAFERVMVAHCPGMRQNSGGVWRALLAVAWAAHSWERRRCGEVRRFQVSPYRFPIEEKALLKMSPRRSYMRGDSGGLAAAR >LPERR06G18820.3 pep chromosome:Lperr_V1.4:6:17323898:17338250:-1 gene:LPERR06G18820 transcript:LPERR06G18820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGERREDENPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLPKKDFFSSNAVYALVSSKVSVFDEENYDEDEEPPNDNNLPGDDIVQNCSSASAEQLDMAPNDSLAAEKVASTLSEPEESIECEAFQKQKGMITEEQLESKTATSLPVLCIEDGSVILRFSEIFGAQEPVRKAKTDRHKRPVNKELQITNVLDIVEEDEEVFLRSTIQNLSVLKHIKTNDDFVESDSDESTSDVALRLKHACLSEQPMKDKDIPTALQSPVFPDFFPIEHEDWENDIVWGNSPTTAVQPCLTSCVMSEESLDDHDDDQAKGYGSECCNVQSKFHSSSVIADPFGCTEMPDSTNYRSPEYSYSALRKGTAQENDSLDEPNNITEPVKISTVQHLNKLSLLNKELLEGSWLDNIVWDPSDDAPKTKVIYDLKDEHMLFEILDEKNGDHLRSHARAMIVSRPPMTSAMENVDHNSQAITSSGKFNISNDKFYSNKKMSQQARSHAKKRASMGLKVVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLAAKFQGKECSLGPMTAIVMTLGGKGVKFLVNAEETPLTVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPSDQTVASLRSNSDGLGTMLAIDPAEKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYLLNRILVYVYREFRAREKPGIVPRIRADELPIQPPVTDAVVRKRLKHCADLRRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEDQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQVQSLSAMDGDENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDMRSDKMDGMRGLKMRRCHTQTQTNEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMNKSSAYASALTPKESTPREAKEVGNSAEGSLPSKLRAKTVFDANDDILLVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAILIRSHPHDLANNSQIKTSNKRLVAKVSSDALEAEGPESIEKTKPVPVKFKCGAPEKSLDRNMSLSASLVSDKRMMDATDLKSTGKVNKIKISNKIKYEDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQKPAELMNVQEPRKTRKIIELSSFETRDREDDNGFSGQPIQMNSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARMYDARREEELQKAKKKNKKKKKHEFRDDDLLDARPYKNDRRVPERGRAAKRRTPADITEYTPSAKRHRGGEVELSNILETIVDLLRANSVSYIFRKPVTRKEAPDYLDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIVVNAHLYNDNRHPHIPPLADQLLVLCDGLLEENEELLDDAEYAIED >LPERR06G18820.4 pep chromosome:Lperr_V1.4:6:17323898:17338250:-1 gene:LPERR06G18820 transcript:LPERR06G18820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGERREDENPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLPKKDFFSSNAVYALVSSKVSVFDEENYDEDEEPPNDNNLPGDDIVQNCSSASAEQLDMAPNDSLAAEKVASTLSEPEESIECEAFQKQKGMITEEQLESKTATSLPVLCIEDGSVILRFSEIFGAQEPVRKAKTDRHKRPVNKELQITNVLDIVEEDEEVFLRSTIQNLSVLKHIKTNDDFVESDSDESTSDVALRLKHACLSEQPMKDKDIPTALQSPVFPDFFPIEHEDWENDIVWGNSPTTAVQPCLTSCVMSEESLDDHDDDQAKGYGSECCNVQSKFHSSSVIADPFGCTEMPDSTNYRSPEYSYSALRKGTAQENDSLDEPNNITEPVKISTVQHLNKLSLLNKELLEGSWLDNIVWDPSDDAPKTKVIYDLKDEHMLFEILDEKNGDHLRSHARAMIVSRPPMTSAMENVDHNSQAITSSGKFNISNDKFYSNKKMSQQARSHAKKRASMGLKVVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLAAKFQGKECSLGPMTAIVMTLGGKGVKFLVNAEETPLTVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPSDQTVASLRSNSDGLGTMLAIDPAEKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYLLNRILVYVYREFRAREKPGIVPRIRADELPIQPPVTDAVRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEDQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQVQSLSAMDGDENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDMRSDKMDGMRGLKMRRCHTQTQTNEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMNKSSAYASALTPKESTPREAKEVGNSAEGSLPSKLRAKTVFDANDDILLVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAILIRSHPHDLANNSQIKTSNKRLVAKVSSDALEAEGPESIEKTKPVPVKFKCGAPEKSLDRNMSLSASLVSDKRMMDATDLKSTGKVNKIKISNKIKYEDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQKPAELMNVQEPRKTRKIIELSSFETRDREDDNGFSGQPIQMNSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARMYDARREEELQKAKKKNKKKKKHEFRDDDLLDARPYKNDRRVPERGRAAKRRTPADITEYTPSAKRHRGGEVELSNILETIVDLLRANSVSYIFRKPVTRKEAPDYLDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIVVNAHLYNDNRHPHIPPLADQLLVLCDGLLEENEELLDDAEYAIED >LPERR06G18830.1 pep chromosome:Lperr_V1.4:6:17339995:17344705:-1 gene:LPERR06G18830 transcript:LPERR06G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLSMSMASNALCTAASSPAAAGSQSRVSIRSRSTSLHDTGAVIPSILYRVIWASNTLKASGTYCKFTVEITDGYFRSKDNNSKESQAGIMRSNLDGLDIGIACATLQRRA >LPERR06G18830.2 pep chromosome:Lperr_V1.4:6:17339995:17344705:-1 gene:LPERR06G18830 transcript:LPERR06G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLSMSMASNALCTAASSPAAAGSQSRVSMLTHLDIWKLACGLLLGFGQQSLHYQGEKGFLISSSRSRSTSLHDTGAVIPSILYRVIWASNTLKASGTYCKFTVEITDGYFRSKDNNSKESQAGIMRSNLDGLDIGIACATLQRRA >LPERR06G18840.1 pep chromosome:Lperr_V1.4:6:17346483:17349136:-1 gene:LPERR06G18840 transcript:LPERR06G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVRAAAAVSCSSGASLQLPRAQAPLRLRLPGRRFPARRTAIAAAIAVEPESKVQQSNNSEGEVFACPVCYEPLIRKGPSGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGILLDVSCGSGLFTRKFAKSGSYSGVIALDFSENMLRQCYEFIQQDDTLVNTNLALVRADISRLPFASCSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQFVGPVNTSYNYFTEAELEDLCKSCGLVNYSSNVQRSFIMFSGQKP >LPERR06G18850.1 pep chromosome:Lperr_V1.4:6:17356087:17356425:1 gene:LPERR06G18850 transcript:LPERR06G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSSSPTSPSSLRHKLRTTVCCCFGSPSAAAERRSGGEKLRWRRRVAAGEFGYDPLSYALNFDEGGDDDDYGDANADAAAFRYKNFASRLPPSPVVAPARRSTAIAIS >LPERR06G18860.1 pep chromosome:Lperr_V1.4:6:17366375:17372513:1 gene:LPERR06G18860 transcript:LPERR06G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAGGGGGGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALGELLARLADAYGGATGPHFAVKYQLPDEGLDALISVSSTEDLDNMIEEYDKLAGASPKLRVFIFPIVSDAGGEDAEGGSGGGFDAGLRYLEAVNGIVRKDSVASLSSTQNSDGGPPPPVASGGGGGGGTGGGSPSALSPTSTCSNDAARSAFSVVAPAPLPLVDVFSNAAAVAPVPAKPQEGPAEVRAPQANPNPEAARYRQPLSQLPPLPPMFMNDHREAMHGLNQAVPGNGARLEDCNMCLKALPHAHSDPVVNDYGSEMHGGPAPETVPVYMSLRPEDVARYIMPERTVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQLPPQQLASTYGFSQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQPPPPQLQQQQPSGQGMPQYPVKQNSPNNQLTGEGSLSGNSRHREDGPARRDNVSPVAPAAVPAYMANVDRMMDSLRVSPSEASSGSTEQRKQVMPPENGVPQNAIPEHSQGFPDTRARDVIQSNTNTFFDVSEPKAVLPTEPAPSPSMASSYLHNVQHANVNHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANAAVNPSSERKDVPHEVVAPPNATAQVPAAALANHVPNVDQIQESSLQGQQFSNDDPWKVVTNTHALPPRPKRVASRENISPKDPLSHNSLLNCKGPDLNITAEDNAFHQQSDQKDAHTEHARFVKGDDMTSPDLVSVEDSIAKPNTKSSEPQPPVLNDGVAVPSKVDAEAHANEIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASQKVQP >LPERR06G18870.1 pep chromosome:Lperr_V1.4:6:17373721:17377110:1 gene:LPERR06G18870 transcript:LPERR06G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLRSGLPLLRDNLAQGSRGFASQVAKPKGKDIKVPQALYGGTGNYASALFLAAAKANVLDKVETELRDVVAASKKSPVFSQFIRDLSVPKETRVKAITEIFAQAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTMSHKGEVKVVVRTVIPLPEKEEKELKETLLDILGKDKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >LPERR06G18880.1 pep chromosome:Lperr_V1.4:6:17377537:17379533:-1 gene:LPERR06G18880 transcript:LPERR06G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSENNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRKPKPSTPEDLFGGTATLSVECRVGCTAPVAVTEGDL >LPERR06G18880.2 pep chromosome:Lperr_V1.4:6:17377537:17379455:-1 gene:LPERR06G18880 transcript:LPERR06G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSENNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRKPKPSTPEDLFGGTATLSVECRVGCTAPVAVTEGDL >LPERR06G18890.1 pep chromosome:Lperr_V1.4:6:17383860:17389419:-1 gene:LPERR06G18890 transcript:LPERR06G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDAEASAAVAAAADIICSLRGADLAGWTPPWGKAVVASKEEEEELAAWPTAARGKRSRSSRRHSPPSGSGSAATKGRWARGSPPSPLDYSGGSGSGASSSGGEDGGFTSPPATTMTTTAAAAAVAPMAVAPTPSPAKVGPSGRRQLILPTPPPRPAGQRPRKKMRLPEIQQLVRTLAVENDGLREEMVALQRACTALSKENCKLETRLEQSSKQNAMKYEGQQARPQPDQHAAKQDSQNGFVLPDLNIPVQDMADGSAP >LPERR06G18900.1 pep chromosome:Lperr_V1.4:6:17394231:17396268:-1 gene:LPERR06G18900 transcript:LPERR06G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRRKHSPVLQVRCHAIIGDQHESISNQFQEICKDIPKKLGAFYRFCRPHTIFGTIIGITSVSLLPMRNYDDFTMKTLWGFLEALSSALCMNVYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMSIAIGIRSKSTPLICALLISFFLGSAYSIEAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQKHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDVDGDRHFGVQSLSVRLGPQRVYWLCINILLTAYGAAILAGASSTKLCQMIITVFGHGLLAIALWQRAQHCEIESKAWITSFYMFIWKLFYAEYFLIPFVQ >LPERR06G18910.1 pep chromosome:Lperr_V1.4:6:17399427:17401759:1 gene:LPERR06G18910 transcript:LPERR06G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSISLARLALPTMLQGAGGSASRKPHPGALAFPAKSFFGAPLAASAAAVASPAAAVSPLRRRPSSATAVVAAGKKGYKMRTHKASAKRFRVTGTGKIVRRRAGKQHLLGKKNTKRRKRLSKMVQVNKSDYNNVMGALPYLKANKNAE >LPERR06G18920.1 pep chromosome:Lperr_V1.4:6:17403174:17407438:-1 gene:LPERR06G18920 transcript:LPERR06G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSLLLLFLLLAAASSAAEANPITPGFPPLQLHALRHRRSTAKSSGGRYAVSAAVVTAAAAKPFTAHYFPQELDHFTFKPNSSAVFYQKYLVNDTFWRRSSGPIFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSNSSVEKLGYLTSTQALADYAILITSLKQNLSVVASPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDYKSESVNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPTGADIVDKAFAAASLYYNYTGDQTCFELEDGSDPHGLNGWGWQNYGVRPRPNWITTEYGGNKIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIAIVTEKGAHHLDFRSATKDDPGWVVEQRRQEVEIIKGWIDQYNEDIMSK >LPERR06G18920.2 pep chromosome:Lperr_V1.4:6:17403174:17407438:-1 gene:LPERR06G18920 transcript:LPERR06G18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSLLLLFLLLAAASSAAEANPITPGFPPLQLHALRHRRSTAKSSGGRYAVSAAVVTAAAAKPFTAHYFPQELDHFTFKPNSSAVFYQKYLVNDTFWRRSSGPIFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSNSSVEKLGYLTSTQALADYAILITSLKQNLSVVASPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDYKSESVNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPTGADIVDKAFAAASLYYNYTGDQTCFELEDGSDPHGLNGWGWQMVMPMTRSNESMFPPFTFTYEEKSDDCFQNYGVRPRPNWITTEYGGNKIDLVLKRFGSNIIFSNGMRDPWSRGGYAYKILPVLKNISSSIIAIVTEKGAHHLDFRSATKDDPGWVVEQRRQEVEIIKGWIDQYNEDIMSK >LPERR06G18920.3 pep chromosome:Lperr_V1.4:6:17403174:17407438:-1 gene:LPERR06G18920 transcript:LPERR06G18920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSLLLLFLLLAAASSAAEANPITPGFPPLQLHALRHRRSTAKSSGGRYAVSAAVVTAAAAKPFTAHYFPQELDHFTFKPNSSAVFYQKYLVNDTFWRRSSGPIFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSNSSVEKLGYLTSTQALADYAILITSLKQNLSVVASPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDYKSESVNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPTGADIVDKAFAAASLYYNYTGDQTCFELEDGSDPHGLNGWGWQACTEMVMPMTRSNESMFPPFTFTYEEKSDDCFQNYGVRPRPNWITTEYGGNKIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIAIVTEKGAHHLDFRSATKDDPGWVVEQRRQEVEIIKGWIDQYNEDIMSK >LPERR06G18930.1 pep chromosome:Lperr_V1.4:6:17424545:17424727:-1 gene:LPERR06G18930 transcript:LPERR06G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIKTVEVIMAWMQLTLQLFATLKQSGNSLPGRLQPSSVRGCLEAHSWQSHGVEGREL >LPERR06G18940.1 pep chromosome:Lperr_V1.4:6:17443260:17444012:-1 gene:LPERR06G18940 transcript:LPERR06G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVQRCVRVGFLLLRWLVASGNAKSEPPPSMDFSPFGPCFAVHLTSLFLLTLLQNPPVLASPRRSHAPNPKP >LPERR06G18950.1 pep chromosome:Lperr_V1.4:6:17445989:17448513:-1 gene:LPERR06G18950 transcript:LPERR06G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFHVAAIALDFRFFGSSPATSWVSHHIGMEFTALPAADSAAPDVAGGLAPQEVTGCSYFLLGHATMNDSSILLICHVNYVADVQLFLPEERHKKTR >LPERR06G18960.1 pep chromosome:Lperr_V1.4:6:17457466:17459348:-1 gene:LPERR06G18960 transcript:LPERR06G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEGRGRGRRRIVDYLNDGEELGVFEAAAARSLLPRFRWARAVRRIGRKGKGKEVEVEEGEIAVEKKGELAAAAALAVASTSACETESHTRTPDLGVGVSLLFLLAKTSDEFNKMVKVRAEMETLLREIKDEFRQSGGVGDESKSRCNVDSAASSCLTDTNENERGIAPHMEDQATSSNNNMEELGVCCEKSTDQYECFPRMDVLEEEFHTELELLQANYGSDVQLFLPEEHDAEPLDEITECREEDGYSDDSGEMGEEVVEDEDYDDDDESEYNGVNAVELERRLHELLHQRNQERIEELEMALKRAEKKLVEKEMEVSMWKDTAKLALRQDNMVIAKPACFMCAIQMFKAIWLPF >LPERR06G18970.1 pep chromosome:Lperr_V1.4:6:17470295:17471806:-1 gene:LPERR06G18970 transcript:LPERR06G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAILSPFSPPALSIDQLCGEELVLAAAAMDSWISQPSLSLDLNVGLPSTARKAPAAAPIKVLVEENFLSVKKDHEVEALEAELRRASEENKKLSEMLHAVVTKYTELQSQVNDMMTATAANNNAGGEQRHSSSTSDGGSVSPSRNKRVRSADDSIDDASHHHHRRASPPFVTVGAAGPAPAAYVSAAADQMECTSAAAFAKRIVREDCKPKVSKRYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTLLVATYEGEHNHAQPPHHDAKNSNSSSSTKQPQTQTPPQQQQQQRQAAAVATEVAARKNLAEQMAVTLTRDPGFKAALVTALSGRILDLSPSRN >LPERR06G18980.1 pep chromosome:Lperr_V1.4:6:17490005:17497868:1 gene:LPERR06G18980 transcript:LPERR06G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRRGFRLTELALQEERNRLSTSSVSDVALARSENDPARYSDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERITFEVSAGQPSLISDDTDVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALLESEKTEAEIKDAEAEDNNKKKSEGGKQGGSVKKVKKDKVVGGATGKTNKSETSMVSVAPRVKPELTLPVMPIEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPSKTSSPQGENGPSQGERISASDDGKKSYTLFQGHSGPVYSAAFSPFGDYLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKALKTDDSKVGSTNRLRMLKALPTKSTPVYTLRFSRRNLLFAAGALSLGS >LPERR06G18980.2 pep chromosome:Lperr_V1.4:6:17489985:17497868:1 gene:LPERR06G18980 transcript:LPERR06G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRRGFRLTELALQEERNRLSTSSVSDVALARSENDPARYSDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERITFEVSAGQPSLISDDTDVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALLESEKTEAEIKDAEAEDNNKKKSEGGKQGGSVKKVKKDKVVGGATGKTNKSETSMVSVAPRVKPELTLPVMPIEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPSKTSSPQGENGPSQGERISASDDGKKSYTLFQGHSGPVYSAAFSPFGDYLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKALKTDDSKVGSTNRLRMLKALPTKSTPVYTLRFSRRNLLFAAGALSLGS >LPERR06G18980.3 pep chromosome:Lperr_V1.4:6:17490005:17497868:1 gene:LPERR06G18980 transcript:LPERR06G18980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRRGFRLTELALQEERNRLSTSSVSDVALARSENDPARYSDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERITFEVSAGQPSLISDDTDVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALLESEKTEAEIKDAEAEDNNKKKSEGGKQGGSVKKVKKDKVVGGATGKTNKSETSMVSVAPRVKPELTLPVMPIEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPSKTSSPQGENGPSQGERISASDDGKKSYTLFQGHSGPVYSAAFSPFGDYLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKALKTDDSFPGEIFYLRLVLSRLAPKLL >LPERR06G18990.1 pep chromosome:Lperr_V1.4:6:17506090:17508196:1 gene:LPERR06G18990 transcript:LPERR06G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGRRSSAVASLRHAGISSQPPSHLTHRRPRRIGRRRFPPLLGDPPPSSLSSCPDPLPTGQPNLVEVYCIMSCWVVAKSCCVASTPAPMSFTERADKLDCWMEEKLKE >LPERR06G18990.2 pep chromosome:Lperr_V1.4:6:17506090:17508405:1 gene:LPERR06G18990 transcript:LPERR06G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGRRSSAVASLRHAGISSQPPSHLTHRRPRRIGRRRFPPLLGDPPPSSLSSCPDPLPTMTGTA >LPERR06G18990.3 pep chromosome:Lperr_V1.4:6:17506090:17508405:1 gene:LPERR06G18990 transcript:LPERR06G18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLSLRPRGVSPPVYIFHDLSVKLLDWKSCACLAYTVRLFLYVYVIYIPQLDLPVSTIIKQLPESIFFN >LPERR06G19000.1 pep chromosome:Lperr_V1.4:6:17513218:17519605:1 gene:LPERR06G19000 transcript:LPERR06G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPYLLDIPACRGATTEEISQGIHDEEDGKIADEKKWNTYRIDYFEQMPLQKAPEDVYW >LPERR06G19010.1 pep chromosome:Lperr_V1.4:6:17521501:17524538:1 gene:LPERR06G19010 transcript:LPERR06G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLAIALLLCLSVAAAACGTNTSLAGYESDLRMSQHQLRGRVEVLDGCSFRVTGLDLLAGSPSARWWRADGAGLDALARGAPAAADPLNRTFLSESLVFRLLPGVTWPLVPLLAAFDPLSSSLFGFVLLNNTNSDEINSTAPTMFDSCKQLSPRMRVRWTVDAAANSIDVGVEAAVGSEYYIAFGWSSPAATNQSMAGADIAVTGFTEDGLPFADDYFITNYTDCTSLSSSSSAAAADGVCPDTIFAPGAGDDSGEKVNNTRLVYGHRRDGVSFVRFRRPLISPDKAYDVAVNATSANTTVIWSIGLLRPPDSLQPYYLPLITGSSSGFATLNLTPSPSTSQCVGPIDADDKEDQERITAERNTPLVVTVGQSLHYPNPPNPSEVFYINKKEAPVLKVERGVPVTFSVEAGHGAPLYITSDAIGGNATARNGTEVVYAGGERAEGVAAAPAELVWMPDRNTPDVVYYQSLYEPKMGWKIHVVDGGLSDMYNNSVLLDDQQRKSGYLAVAFGSAMVNSYAYVGWIDGNGTGHVTSYWIDGKDGASIHETSENLTHTRCRSENGEIVFEFTRPLSPSSCSGRIECMNIIDPTTPLKVIWAMGSQWSSGRLDVKNMHSITSSRAVRILLLAGTAEAEEDLRPVLAVHGFMMFVSWGLLLPGGVMAARYLKHMKGDIWFQAHSYLQCSGMVVMFLGFLFAIAELRGFSFKSTHAKIGILGVLLAFLQPINAYLRPHLGEKGEILSRNRVIWEYLHVFTGRSALVVGAIALFTGLQHLGHRYGSKNIKGLTCGLILWAVGVSLVVVYLEFMAVKRRRDVGTDGLSGKWVLGNTDEDDSVDLLQSSKMEPDSIEPMEVQLEPLKG >LPERR06G19020.1 pep chromosome:Lperr_V1.4:6:17526269:17530109:-1 gene:LPERR06G19020 transcript:LPERR06G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNIAAAEAETDVESRPVLVPGGNKARSGPAAARKPSPKPLRKTEPAARSPEKPAAAAAVAAKEEEACAKKNAASPAAGAVKGSPSPAARKAHAFDLNLSLNASCSSDASVDSLRGGRSSGGRLERKLSRSAAVPPRRGKTPVKAAAAAKNAAADVEVVTPATLDAAQGKKRCGWVTPTTDPCYVIFHDEEWGVPVHDDKRLFELLVLSGALAELTWPEILKRRQLFREIFLDFDPVATSKINEKKLVAPGSVANSLLSEQKLRAIVDEFGSFDQYCWGFLNYKPIVSKFRYPRQIPVKSPKADMISKDMVRRGFRGVGPTVIYSFMQVAGLTNDHLVSCFRFKECNEAPTLCTSDTNKVNAEADLNADELRTKICSKEMAAKAELSRTIGCALQRARESGTISIKQGSRQAGVD >LPERR06G19030.1 pep chromosome:Lperr_V1.4:6:17533436:17537604:-1 gene:LPERR06G19030 transcript:LPERR06G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSARGRLLPLLLAAAAALWGAPAAAATGTCKAWLVQSIPTDMPQLRRVPGVLSTADVLQWLSGNATKNLDILAQYWQFLAQPKNPKSGDYGYSESDMVRFGADEGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESANLAAGRPNVQNLTLLFGDWWGSGVLHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFVDCPQIAKTVEIYFQNLWKLSTLNATAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPETLDILFETPGYKRSTQEHHLSYLSFAPPELSFDKFQADEQGWVDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCIGKVEIKYYMVPGYNETGPALAQGAATGNHYPDFARVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYNPAIVLQLQDVFDADWYSPYTVPVKPLEASA >LPERR06G19050.1 pep chromosome:Lperr_V1.4:6:17545650:17547193:-1 gene:LPERR06G19050 transcript:LPERR06G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKVLVREVDMEKDLPAVEELERRCQVGLSGDMAAVHDHAVDDDVDGDGKNTSKKKTKTKKKKTGMSLCVEQIGDPLARVRHAPDHVMLVAEYGDDEEKKNIVGVIKACVKTVSRGGKQHKPFVKVAYLLGLRVSPSHRRLGIGTALVRRAEEWCSARGAEHATMATTASNAASLALFTGRNFGYAPFRHPEFIGRPVHAGHRLPIPSRHRVFHLPPELAAAAYSRILPPHAAEFLPSDLPSLLSHKLTLGTFVAVLGESFAILSVWDSTRSMSMRVDGAPAMLRAALAAARAIDRGAPWLRVPSIRDIFRPFGAYLMYGLRMYGPDGPALLRSLCHHAHNVARRNPACAVVAADLSPDDPAAAAVPRWRRFSCDEDVWCIKRLNAGAGDGGDGDDWEAEAAAGSVLFVDPREF >LPERR06G19060.1 pep chromosome:Lperr_V1.4:6:17574633:17577898:1 gene:LPERR06G19060 transcript:LPERR06G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHEALLLLVALVLASTARGFYLPGVAPRDFRKKDELAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTVLSHEGAKDFREKIDDDYRINMILDNLPLVVPIKRMDDHEAPTVYQHGVHVGVKGQYSGSNEEKHFIHNHLTFLVKYHRDVNTDLARIVAFEVKPYSIKHEYDGDWKENETQLKTCDPHSRRLVIDSDSPQEVEASKEIIFTYDVDFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLDTQEEAQEETGWKLVHGDVFRPPPHADLLCVYVGTGVQFFGMMLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVIAGYAAARLYRAFRGSEWKKVTLRTATMFPGTAFAVFFILNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFAGSYIGFKNPPMEDPVRTNKIPRAIPEQPWYMNPAVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILLVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFIRLIYSSVKID >LPERR06G19070.1 pep chromosome:Lperr_V1.4:6:17577833:17578054:-1 gene:LPERR06G19070 transcript:LPERR06G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASSMADDPLKSGASASTSHPSSPLRRYSPTTLAAGGLLVGAVAYFMFKGKQGQGREGDQPVRRP >LPERR06G19080.1 pep chromosome:Lperr_V1.4:6:17578732:17582278:-1 gene:LPERR06G19080 transcript:LPERR06G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDSAKQPLLLHHQRGNPPHVASLSSPSLPSAPPGGIAGAGGGRRFPGGLDVPNLKKRGGGTRSWISVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRMESSFYGDQSLLGYNSVGAGTSVSAPVSPVSSPSESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFLFCGFLWFFKYKRLMPL >LPERR06G19090.1 pep chromosome:Lperr_V1.4:6:17586151:17586948:-1 gene:LPERR06G19090 transcript:LPERR06G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCLSSSSSPASASAARRRRSGRGRMEVRCRSMAAAGGAAAAAEEHYRTLRLPPGATKGEVKKAFRRLALMYHPDVSKESDGGVHFQRINVAYQMVMDNMKEAEERLEYWRLKYGLSDEDLDKYRNYINEDDDEWFDV >LPERR06G19100.1 pep chromosome:Lperr_V1.4:6:17590097:17591775:-1 gene:LPERR06G19100 transcript:LPERR06G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPEEILESGVDYMLAFGCLGAIEYEASFAQQSMEVAASQQWRNGRGAALATGNGVQYKNTADAAVDAVREDLRQCEESKTVKRGIHTASISAASPLIDLAGSAGYKSFIDESCWTPLDVDYPLRSAQFNPSLAGRFICSAAFPTIHDITGLYATKTEEELVRVLPAVYKLGELGFRFKYGMEQILDASVGCAVRLGYIDAAKLKSSSSHSKDESMACSAFRTDLKDKMDDLFLAVVLVCNLFCTFLNICHVSHGVFHVSDNRNVRLVSMHRAS >LPERR06G19110.1 pep chromosome:Lperr_V1.4:6:17596984:17597202:-1 gene:LPERR06G19110 transcript:LPERR06G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGHPHTQSTAAARSDAEEFTPASPRRWYAQHSSRATARGRDEGRSRRERPLPIAVRHTGPYAEPSFVDT >LPERR06G19120.1 pep chromosome:Lperr_V1.4:6:17599525:17604200:-1 gene:LPERR06G19120 transcript:LPERR06G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAEAVMRRPVCVTGGAGFIGSWLVKKLLDAGYTVHATLRNIGDEKKAGLLRRLVPGEAPPERLRLFEADLYDAATFAPAIAGCDFVFLVATPFVHDATSSKYKNTAEAALDAARLILRQCEESKTVKRVIYVGSMAASSPLKEDSTGGFKDSIDESCWTPLAVDYPHRNAQFDEYILSKLLSEKELLAYNDGGAGESSPAFEVVTVPCAVVAGGTVEGNKTVGLDCAVSPVSRNEGSFGALRLLQRLMGSVPLVHVDDVCDALVFAMDQPSLAGRFLCAAAYPTLADIVGHFAGKYPHLDLLKETETLPSVQAHSDKLGELGFKYKYRMEEILDGSVECAVRAQQAAAMAEGVRVCVTGGAGFIASWLVKKLLERGCTVHATLRSIGDEEKVGMLRRLVPGSAERRLRLFEADLCDAATFAPAIAGCQFVFLIATPFALDASNSKYKNTADAAVDAVRVILQQCEESKTVKRVIHTASISTASPLINVAGAAGYKSFIDESCWTPLDIDYPLRSPHFDKYVLSKMLSEKELLSYNDGKHPAFEVVTLPCGLVAGDTVLGGVPETMESAVSPVSGKEVFFFLPRLLQAMLGSMPLVHVDDVCDALVFAIDQPSIAGRFFCSAVYPTIHDITDYYAIKYPHLDVLKETEKAERVKPAADKLGEKGFRYKYGMVQILDSSVDCAVRLGCIDAAKLRPQEG >LPERR06G19130.1 pep chromosome:Lperr_V1.4:6:17606550:17609926:1 gene:LPERR06G19130 transcript:LPERR06G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGVCGCHCPKLTGLNACQAQLTFGPTDAKNTRIWPAPADAKARIFLQPSRNDTSRPARTRGGRILLLDVIKCFLFYGESPCSRLVFEYAEAEAAAADTSVALPEDQEDGGRGEEGDGGVEGAEGRGGGRRLRARVAAARMGILPWPGEEGDGEE >LPERR06G19140.1 pep chromosome:Lperr_V1.4:6:17607393:17611828:-1 gene:LPERR06G19140 transcript:LPERR06G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRLPSSASRRGRFVGARGAAVGPFRGGPRRPPPAAAFGWEEAARLERVIDEEVGRRLMEMEVERRLIAEGMRRELEFSHGLHSVFPHDPFSGPPPPPPLLPEMPPMGLHPHPPQPLFEEFGHWEGGFRPRGRHGGVAPAPFRFRQRTLLGGAGRRSPPRPKPKHKLELREIETGESSAEISGIKRKIDAIPSTTGPRKAQKPAQDWSCALCQVSATSEAALNEHLEGKKHKAKLVHCGVSNIIKDGKSSLKETTTNKDDAGPNDAPKKVCILVDGAMHEVVQRSNYLWCDRCKVRCDNNVTMSDHLRGKKHSGLNKVWTSINAVRMNMKKEISASTCEETVNENEPTEIPVEIKDESTGMPAEADESSHVKIHLEKVKAESTDMSTEVDQSDPVENETPGEIMEEGMNISTDENVQHEHTLEACCRVAVSNFLVLLYIRPDIQVFLLRGK >LPERR06G19140.2 pep chromosome:Lperr_V1.4:6:17607393:17611828:-1 gene:LPERR06G19140 transcript:LPERR06G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRLPSSASRRGRFVGARGAAVGPFRGGPRRPPPAAAFGWEEAARLERVIDEEVGRRLMEMEVERRLIAEGMRRELEFSHGLHSVFPHDPFSGPPPPPPLLPEMPPMGLHPHPPQPLFEEFGHWEGGFRPRGRHGGVAPAPFRFRQRTLLGGAGRRSPPRPKPKHKLELREIETGESSAEVPSETKPSETKPETKISGIKRKIDAIPSTTGPRKAQKPAQDWSCALCQVSATSEAALNEHLEGKKHKAKLVHCGVSNIIKDGKSSLKETTTNKDDAGPNDAPKKVCILVDGAMHEVVQRSNYLWCDRCKVRCDNNVTMSDHLRGKKHSGLNKVWTSINAVRMNMKKEISASTCEETVNENEPTEIPVEIKDESTGMPAEADESSHVKIHLEKVKAESTDMSTEVDQSDPVENETPGEIMEEGMNISTDENVQHEHTLEACCRVAVSNFLVLLYIRPDIQVFLLRGK >LPERR06G19150.1 pep chromosome:Lperr_V1.4:6:17613668:17618136:-1 gene:LPERR06G19150 transcript:LPERR06G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHTALLDLDNDTAFFGVFDGHGGKLVAKFCAKYLHREVLRNEAYSAGDLGTAVQKAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPNCGSTACVAIVKNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNTNASTAGQSASDTQPAGDTQQSLDCGEQLVMF >LPERR06G19150.2 pep chromosome:Lperr_V1.4:6:17613890:17618136:-1 gene:LPERR06G19150 transcript:LPERR06G19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHTALLDLDNDTAFFGVFDGHGGKLVAKFCAKYLHREVLRNEAYSAGDLGTAVQKAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPNCGSTACVAIVKNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNTNASTAGQSASDTQPAGDTQQSVIQ >LPERR06G19160.1 pep chromosome:Lperr_V1.4:6:17622522:17623439:1 gene:LPERR06G19160 transcript:LPERR06G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTFLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVIVLKTPPEPPGIDGERPYYILA >LPERR06G19170.1 pep chromosome:Lperr_V1.4:6:17625556:17638019:1 gene:LPERR06G19170 transcript:LPERR06G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLFPISPSCVPPPRPRLRRLSPPPPMAAVAPPTMTAPVTILPSVSVALPLLPPPVTDDFHWLDLFAFLNSPADSYQIPLEEMEMEAGLEEELQREREIEVERERERARKAQHRRLRQRQVKAETEAWARAADEYRELEREMLDRKLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKMAVIVMHKMMGLLMSSKDGGSSVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSASKTESENDQALEKEQAKCRKRVKSLVRRRKMTEAQKIVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQSPESSPDIRPAFKHILRQPIVENGRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVPPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKALDTLGSTKWRVNRRVHDAVETIWSRGGGIAGLVDKGNIPLPERPESDDPDEIQKWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNIDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEGKVAFVENQLPDIFDSANNPVDGNCWWMNAEDPFQCLAACMDLCDALKSSSPHRVVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLDVVREDSMKDPATNPTASVARILVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENQPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKDFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >LPERR06G19180.1 pep chromosome:Lperr_V1.4:6:17637189:17639165:-1 gene:LPERR06G19180 transcript:LPERR06G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATAAMCRRASYTLLGPPAELLRVEVSEAVARVAATTASTGDPFVDMLHANFNKPPPPPPPPPAKRLTENSSPTFTSSGDPCLDFFFHVVPDTPPSTVTTLLSAAWAAEPDTALRLACNLRGVRGNGKSDREGFYSSALWMHDHHPNTLALNSPTIAEFGYLKDLPELLHRIIHGGVSTYKPTGRSKSRNLPRGLWRHLRHKPGSPPPRYPNTREARVAASLKRHQKISDQAAVSRWRKRVDDAARAVEMYARNPSYRFLHERTADLFAELISQDLKKVEDGTVWDLSLASKWCPSLNSCYDQSTLLCEAISRRLFPKGSCPDLHENLPDEHYAYRARERLRKSALVPLRRVLHVPEVYISARRWGDVVYTRVTSVAMKNYTDLFLKHDKERFSLYLGKVKSGKVKIASGALLPHEILEEIEYYGDEEEGSEVANLQWKSMVDDLLALGKLTNCLAVCDVSGSMTGQPMDVCVALGLLLSELCDEPWRHRVITFSHRPQLHRIEGETLYEKTRFIREMDWQMNTDFQAVFDKLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRPWETDYEAITRKFTEAGYGDAIPEIVFWNLRDTGSVPVTTGQKGVALVSGFSKNMVKLFLKGGHDTLTPRAAMERAISGKEYEKLVVFD >LPERR06G19190.1 pep chromosome:Lperr_V1.4:6:17641931:17644144:1 gene:LPERR06G19190 transcript:LPERR06G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLSVFNFGNSLVRALASASDSASSGGGVQEGVVHAPRPAGGAPPGDPFVDQLDANFNKPPPPPPPAKRLTENASPTYGSSGDPCLDFFFRVVPDTPSSTVTSLLSAAWDAEPDTALRLACNLRGVRGNGKSDREGFYSSALWMHDHHPNTLALNSPTIAEFGYLKDLPELLHRIIHGGVSTRTRTPHTRPPYFYNPRRRTERDPATRKARIKASQEENKIISEKAKAERRKKRAEAAARAVEMYSRDPKYRFLHEKTVDLFADLIDGKVNNLSLASKWCPSLDKCFDRSTLMCEAIGRRLFPTGSSPDLPENLPDEYYAYRVRERLRKTALVPLRRALHLPEVYMSARRWGDVVYSRVASVAMKNYTEIFLEHDRDRFTLYLDEVKSGKAKIAAGALLPHEIIASIETHDTKSESNEVANLQWKRMVDDLLSLGKLSNCLAVCDVSGSMCGRPMDVCVALGLLLSELCDEPWRHRVITFSHRPQLHRIEGETLLEKTRFIQMMDWQMNTDFQKVFDKLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRPWETDYEAITRKFTEAGYGDEIPEIVFWNLRDSSSVPVTAAEKGVALVSDFSKNMVKLFLKGDYHNMTPRAVMERAISGKEYEKLVVFD >LPERR06G19200.1 pep chromosome:Lperr_V1.4:6:17644968:17647130:-1 gene:LPERR06G19200 transcript:LPERR06G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTETVAPPMRRRRQGGMCCGHAAWEEEEEVVTLSLSSSSAAAAAAEAKERRGLPRLKRFEELPDYLKDNEFIRGHYRCEWSVRDALRSAFAWHNETLNVWTHLVGFFLFLWLAVAGGTERVAAAAGVDAAAPGILTFLVTSAANASWETNSTILAAKDLPALVTGGGHALAQWPRTVFLVGAMTCLAVSATAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGRAAAQAAYLSAITLLGLLVVAALLAPARSSPRLRHIRAGLFVSMGLSGVVPALHALWLNWGHHECYLALSLELVMGLVYATGAGFYVARVPERWRPGMFDCVGHSHQIFHVLVLAGALTHYAATAILINWREAEAAAAAAFL >LPERR06G19210.1 pep chromosome:Lperr_V1.4:6:17654922:17655215:-1 gene:LPERR06G19210 transcript:LPERR06G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVMMERYAGEEHVNVGSGEEVTVREVAEAVREVVGFQGRVVWDVTRPDGVARRLVDSGKMRKIGWEPKVALRDGIRELYRFYLRHECGVDHA >LPERR06G19220.1 pep chromosome:Lperr_V1.4:6:17656624:17657278:-1 gene:LPERR06G19220 transcript:LPERR06G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAAVSCTSPATAAWSARPCTLGFTNIIVRTRAELDLTCQPAVDAFFATERPRYVILAAAKVRGVHASSASPTEYLTTNLRITVNVVDAARRCSAVRKLLLLASSTVYPHNAPQPTPESALLTGPPASGSEWYAIPKIVGIKMCQAYRAEFGLDAIAVAPNNIYGPRHPFPSSDDAHVIPALIRRFHRAKASGDAEVAVWGTGKAV >LPERR06G19230.1 pep chromosome:Lperr_V1.4:6:17662772:17664385:1 gene:LPERR06G19230 transcript:LPERR06G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAAADPPASFLADKGAKVFVAGHRGLVGSAIQRRLLSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVILAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVCKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALVRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGYQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >LPERR06G19240.1 pep chromosome:Lperr_V1.4:6:17666061:17668188:1 gene:LPERR06G19240 transcript:LPERR06G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGAGRWRRPEVEERLTQPQRLLRQLSDVDSGRLRRLIRSGNLAPCFDAAEDADGLGEEDCPICFYFYPSLNRSKCCGKGICTATYAVEYRGSRTKREKKLDQEEEQNASESKKKIQSKSQIADELVQP >LPERR06G19250.1 pep chromosome:Lperr_V1.4:6:17668781:17671194:1 gene:LPERR06G19250 transcript:LPERR06G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAAVLTLLLSIAAIAMARPVRRSDLGLGLGANAGLGLDIGLGGSGSASSSGQGSGYGAWSGQNGGSYTASGHRSGTGSGFGYGSGSTYGASNGGSASGCGSSTPTCSGSGSSSVGLGTSINVGVGIGSNGGGGTNSGVGLGTVSSGGVGSNVGPSGGCSTCGFGTGSGSGAVGGSYSGSTSSSSSTSSTSSSSNSIGGSESNGASYSNTGASSGSGSSTGAGYGVSSSNSMSESGSDSSNSMGMSYGSSGAGSFEGSSSDSNSKSSSVSSATSGASSSSSAFGASGSGSNSISGSGSNSASGSSSGSFSASGSGSKSGIGSGSSSTSWSNMMYGNGALSDTNSGSDSSSGSVTSTASANAGSDSSAWSNSNSGASSPLSSGTGAASRAGSSSSSWSNTGSNIGTIPGVVAGSSSWSSSTSGSTSSTIGGQGVGDGYGSRTQTGFRYGPGRWIWSGLWIWSWSRKLG >LPERR06G19260.1 pep chromosome:Lperr_V1.4:6:17680135:17686108:1 gene:LPERR06G19260 transcript:LPERR06G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGWAAMGSSWCVHLIVLLALRSLTYQLWFSYGNMLFFTRRRRVVDQGVDFHQIDTEWDWDNMVIMQTLIAAALVSSPIFPATSDLWLLDPRGWAIALLLHVAFSEPAFYWAHRALHRDPLFSQYHSKHHTFPVTQALTAGFITPLESLILTVAAWVPLAGAFLAGYGSVSLVYGHILLFDYLRSMGYSNVEVISHKKFEDYPSLRYLIYTPSYLSLHHREKDCNFCLFMPLFDALGGTLNSKAWKLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFAAHPVLLPLWPVAFGFMVLQWFCSKTFTVSFYKLRGRLHQTWSVPRYGFQYFIPSAKKGINELIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVTEVFLTGATSKLGRAIALYLCRKKIKVLMLTLSTERFMNIQKEAPAEFQQYLVQVTKYQAAQQCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWNAALKHGLTPA >LPERR06G19260.2 pep chromosome:Lperr_V1.4:6:17680135:17687000:1 gene:LPERR06G19260 transcript:LPERR06G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGWAAMGSSWCVHLIVLLALRSLTYQLWFSYGNMLFFTRRRRVVDQGVDFHQIDTEWDWDNMVIMQTLIAAALVSSPIFPATSDLWLLDPRGWAIALLLHVAFSEPAFYWAHRALHRDPLFSQYHSKHHTFPVTQALTAGFITPLESLILTVAAWVPLAGAFLAGYGSVSLVYGHILLFDYLRSMGYSNVEVISHKKFEDYPSLRYLIYTPSYLSLHHREKDCNFCLFMPLFDALGGTLNSKAWKLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFAAHPVLLPLWPVAFGFMVLQWFCSKTFTVSFYKLRGRLHQTWSVPRYGFQYFIPSAKKGINELIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVTEVFLTGATSKLGRAIALYLCRKKIKVLMLTLSTERFMNIQKEAPAEFQQYLVQVTKYQAAQQCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWNAALKHGLTPA >LPERR06G19270.1 pep chromosome:Lperr_V1.4:6:17687447:17690255:1 gene:LPERR06G19270 transcript:LPERR06G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNAAVLVLSVVVLGGGIWLSHRATTTDCERFLERPAIALGVLLLALSLAGLAGALCRKSCLLWLYLLALFVLIVLLFAFTVFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVEDSGNWAKIRSCLQDGKVCGKLAARRETLGQFVAANLSPVESGCCKPPTGCNFTYQSETIWDKPPGFNSTTTDNPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIAMINIIFLIFLIIVYSVGCCAFRNNRRDNSNAYPAWK >LPERR06G19280.1 pep chromosome:Lperr_V1.4:6:17691921:17693123:-1 gene:LPERR06G19280 transcript:LPERR06G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISSSTKAPFEQAFLKNLLLILQDRSSSTPFHAMSLHDRKLAVKSSADIAMAAARGGGARWPKAILPPATTVANSCKAQRCRRIIVRSKRLCGGNYKRKSSMVVVRRRTMALRKVVPGGDAIDMDEASLLREAMDYVVHLQAQVDVLRRVSEVVQAQSTSDLRCMAMVVIRDPGFVASIMLCKAGEESMDQDFDWFFDRSVREL >LPERR06G19290.1 pep chromosome:Lperr_V1.4:6:17707766:17708799:1 gene:LPERR06G19290 transcript:LPERR06G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAMGDERRCSTVTTALLVLVACNLTLTLSTLSPPPPSAHDAARVEPASYLASVASSVLAAYVIPRHGRRLSVVISWTRSAMTALYVELLTTAMASLLLTLRAILSATASSGGGEALAVSASVAIVAWLGPALFAHSDIACRMGLVVAAVEEGIAGRAAVERAEALVTTTGGRARGVAVAFASSLVERAAXXXACYACAAFYYQCRARHERGKDTSGDVKVQNWAA >LPERR06G19300.1 pep chromosome:Lperr_V1.4:6:17709350:17714509:-1 gene:LPERR06G19300 transcript:LPERR06G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGISSGPKKKTGLISRNDGSAQPFSLEATRRIPPAAPPLLPRLLLSSPSSLSPAGSANPPPPPVARAPPRFAEQVLAARLVLPSRNLTANFKMFPPPGNNSLALAAPRPGMELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQVISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >LPERR06G19310.1 pep chromosome:Lperr_V1.4:6:17715527:17721010:-1 gene:LPERR06G19310 transcript:LPERR06G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRGEQQQPQGGQAPAGGGGEPCAACGYAATKKCSGCKRVRSQGCQSKHWQSGHKFKCKQMKKSSPAEKLSSGGEEDRNKSSVFGPISLVPVRRKLNKVIFPYDDFLKLCNWSDLDYLPCYKRHEDWCFLCELQCHIQRASESIHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCITCGMVSNRYENMMDLTVEIHGDADSLEECLDQFTKVEWLDGDNKYKCDGCSDYVKAHKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPVPLIAVEELMKQQQQLKVFPSNGQNHLIQEDVPLEGLPSLKPSEDQQIDFESSNKSLHTMDRESDLDLHISFDREKITNNDIMHPSVSTVSHALDENTSGGSGLSLEDSSHCTTMRSVQVGNSTCDTSLVHSSVEQCEEHASGVDSADYMEIDTEAGVKVERWSRSVSGDSVGVVDVTLVPALENGLARSPIPGFLDKPSRINSSFAEGCQIGDNGAGSSQELNGHCIGDLSCPEQGVTTNGNYSIRNGDTLSSSNSLHVGEQSLDVTFNGFSPIPYKEPSGSNTDLNNTSNGKLSEDNHNNQGSMPLFPRGFLLRPCSREKPGKRDDGLPFSNGTPSYLSSKCKSSNISSSWNGEGGTSFLSPSFHTKHCKESAAVDIPASSVHDVKISYNNSLEPKSIGSAVSSDLIKESDCATFGTVYKLAGNVNRHPDENGHALLGAKNSSYQGENGSNGILDMNGISNQMDAESTMLASENGIGSKMTDQVKFLSDTLEHDGLRRRLSSKLPDQNGIDAQ >LPERR06G19320.1 pep chromosome:Lperr_V1.4:6:17725275:17731132:1 gene:LPERR06G19320 transcript:LPERR06G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLSRRATTSPFFSASALLRRGGGSPAVPESLLRPAAAIGFPRGYKDYGGEGENVDEFEKRVFGSSGGSDNNNLFGKLDGYEKPRGGFNNWGSMGGFRDRGNSSSVLEELGAGFNSLDDGMDDRLDEASRTFHMTEEVEDEDYDFRPDVTFRRGSTYNVRDLDLTRPAAAKNPTRPQFQTTTEEVLKKADFRNIRFLSNFLTEAGIIIKRSQTRISAKAQRKVAREIKTARALGLLPFTTMGQRPFIPGRSVEVNLSEEEYGYDFVDQKEGKPDENENVDDVVPGVETA >LPERR06G19330.1 pep chromosome:Lperr_V1.4:6:17733284:17737301:1 gene:LPERR06G19330 transcript:LPERR06G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGGPVDIREIAAKATLRDVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHNRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKVPLVLDSSSQNNRELALVPVNDSEVTSRLRDDSCSRNGTRGAHRGANAHSNGRVGFVSEGHVLSNHTGSDGPLVIPGVLLKDVVSDLPVHLLGHGNIAYRFWEASQGGKRISKIWCAWVGQDGSHGLDGCTSNEQSEFAIVNLSYTYELGRKLSSDDQDLPISAGSFFVFDDAGHRGKRRKKSFSDQEASSEESNGQSSSAHDNSQAIITGSPTCTTHNLQVGILSSKSMRRELRKQERLASEKACDICGRPMLAEKDVATLLNCRTGNLACSSRNLSGAFHLFHTSCLVHWTILCQYEMLADKIANKGKRRGRKAKNAPKKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKTPEVLENCSTGLHFTAEHIENSEEHEVMPLKPLAFYAADV >LPERR06G19340.1 pep chromosome:Lperr_V1.4:6:17749470:17756427:1 gene:LPERR06G19340 transcript:LPERR06G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYLAEPEDEVASSMWPENIGDKHQKQFKMENLGKGQDAFKDVKFQAKPSTADVNHLMELTNSEKGISQMQYFVKHWEYKRANTVRLLKEQIGLLSQQRKEIEQKKQQILEEQRFQDESYNAVKRQVPILDELYKDEWKRPSRKNDDLSHNQELKIEAEYNSISYWKERAMQLEKTLEASFQRERSLEEKLEENIKNLQSHTPAEEFSDMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKDVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVMRREKMADVRVREAIQKAKETELGKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDDVPLEVTGDVLRIRQILTNLISNAVKFTHEGRVGINLLVLDKQLPGCKIEGGKFHTKAHSAPVAAAEHFCASPRKCTNDTLGGSNLEDACQSGIPSNDSFVEHHEGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLNVVSKENEGSTFSFVLPCKIPVKEDHSDDSDDMPSSGDFTTSDIEGSFVFKPQARSYLLSPGVSVMNNTKLVSGNLLFYDPPNILDDRKQFSNGFVLTEDHSTNSATTARQSNGPIFRSTNGERHDNAMVVDLNRQAERVSSSQGDTASVSGPIHVEREPCEVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGMEAIRAVQKCQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDASVKPEHDQIIADSAISSDCAHTKKQVKRVPIIAMTANSFAESAEECLAAGMDSYISKPVNFQNIKECLQKYLPPQ >LPERR06G19350.1 pep chromosome:Lperr_V1.4:6:17768041:17768583:1 gene:LPERR06G19350 transcript:LPERR06G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEFASSPSPAPHSAWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGDQTGRSAANGDSGEGEKGSAAGASRPAVGFREHVVVIMAGDERPTFLAMPATSRPAVELGEIPVASSCGDGGCSSGVEKKVEESCEVSSPESQLTEDDGDADADAASRRHERSSNTRQQESSQ >LPERR06G19360.1 pep chromosome:Lperr_V1.4:6:17771901:17773603:1 gene:LPERR06G19360 transcript:LPERR06G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGSKKVEQECVSASMNSSTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKISDGHQIAVKKLKATNNSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGGGGDQRMIVYDYMPNLSLLSHLHGQFAGDLHLNWRRRMSIAVGSAEGLVYLHHDASPRIIHRDIKASNVLLDSDFSPLVADFGFAKLVPDGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLEIVSGRKPIERMPSGAKRTVTEWAEPLIARGRLADLVDPRLRGEFDGEELARVVEAAAICVRGEPERRPDMRAVVRILRGEGGGGVGKAAVRMKSIKYADHLMEMDRSSVCYGGEGDEEEEDLDGEEVEEYSLMDDKSSMNFGVFGAMPVVQTMRDPYVKRFGGGDGIKI >LPERR06G19370.1 pep chromosome:Lperr_V1.4:6:17775192:17776156:-1 gene:LPERR06G19370 transcript:LPERR06G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSAAAAAVAAEAMLFGIGAGAGDDAEAEEEKAAVDLNVEAKDNMKLDYLFSDLDPYLFPRFPHADSVVPNGGAAPAAIELDFTCGLGAAKQSYSSYTATDLAHSGSSSEVGVVPEAICIGIGGGGIDLDFTRPKPQHQPYMTSYTANPPTHAQVSSVEVGLVPERATPAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDHDADADDGEPDADEASVPGSSYMLDFGYGVVPSF >LPERR06G19380.1 pep chromosome:Lperr_V1.4:6:17786242:17789330:-1 gene:LPERR06G19380 transcript:LPERR06G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLCHLLAAPPSTSPAAAPAGHLSPPSRASPLRRFLFARGVSAAPSARWVAAASASAAGGRPTVLVTEKLGEAGLELLRRFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMSRNVAQADASLKAGRWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFGNMKKGVRIVNVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHENVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKIVYSSARDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDLRVDGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGTMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFVKL >LPERR06G19390.1 pep chromosome:Lperr_V1.4:6:17794949:17796103:-1 gene:LPERR06G19390 transcript:LPERR06G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSSSMSKQQVLDAGAGDEVGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTVSPAKPVATAAAMSLSLPFLFAFAFDPVSRRLQCQALDPFSRRWLLLPPVPGGAATPPAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAAMGTARGYMAAGEVGGRVVVAGEDGEAEVFDPEEGRWAPAAARRGAAVARYDAAAAGGKLYVTEGWAWPFERAPRGAVYDAAADAWSEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEGRDEWRMVAGTGVPPEVRRPHVVSGEVEEFAGGRRRIYVVGAGLDVAIGTVSPSPAIHGGDDERVDWEVVKGPAEFAGLAPCNAQVLYA >LPERR06G19400.1 pep chromosome:Lperr_V1.4:6:17811666:17815350:1 gene:LPERR06G19400 transcript:LPERR06G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPTPLLLLTVLILAPALAAAQPRGFGGVAAPAPGYARYVVDAAETAAEDVYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPAEFPPLATAGGFVRTLAMADPAPESDAPAQTFTSEDGVPNVRARVLGGGTSINAGFYSRAHPAWFRGHGEGTEAMNWDMRLVNASYEWVERELTFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDASGRRRSAADLLAFARPGRLRVATRAMVTRIIMNPIDPAARRGKLPQPAVAAVGVVYQDRLLQQHHALLRPGGEVILSAGALGSPQLLLLSGIGPASDLTSQGIPVSADVPDVGKHMFDNPRNSISIIPSVPIDHSLIQVVGIPSTNGTESYLEAASYIVPLAPVLRRTGPFYSPYSPLYVTVVTIMEKVPGPLSEGSLWLASSNPLESPAVRFNYLSRPEDLARCVTGMRRVAKVLESSTMDIFRSAAGSVSEGRRGSARRDFRIVGAALPVDWSTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRSLRVVDGSTFRETPGTNPQATIMMMGRYMGMKMIEERHRRQVITSTDSSSNA >LPERR06G19400.2 pep chromosome:Lperr_V1.4:6:17811666:17815348:1 gene:LPERR06G19400 transcript:LPERR06G19400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPTPLLLLTVLILAPALAAAQPRGFGGVAAPAPGYARYVVDAAETAAEDVYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPAEFPPLATAGGFVRTLAMADPAPESDAPAQTFTSEDGVPNVRARVLGGGTSINAGFYSRAHPAWFRGHGEGTEAMNWDMRLVNASYEWVERELTFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDASGRRRSAADLLAFARPGRLRVATRAMVTRIIMNPIDPAARRGKLPQPAVAAVGVVYQDRLLQQHHALLRPGGEVILSAGALGSPQLLLLSGIGPASDLTSQGIPVSADVPDVGKHMFDNPRNSISIIPSVPIDHSLIQVVGIPSTNGTESYLEAASYIVPLAPVLRRTGPFYSPYSPLYVTVVTIMEKVPGPLSEGSLWLASSNPLESPAVRFNYLSRPEDLARCVTGMRRVAKVLESSTMDIFRSAAGSVSEGRRGSARRDFRIVGAALPVDWSTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRSLRVVDGSTFRETPGTNPQATIMMMGRYMGMKMIEERHRRQVITSTDSSSNA >LPERR06G19410.1 pep chromosome:Lperr_V1.4:6:17834015:17848333:1 gene:LPERR06G19410 transcript:LPERR06G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYMATTTARRAASEVKTEGVLRGACALLAAAAALLVGLNTQTETVILIRKKATVKDVQALWVLAMATAATAGYHLLQLLRCFFFSRFFTDGGDAKPPCWGRRTLAWICFLLDKGCAYMTFATTVAAAQACVVALDGAHALQWNKLCNIYTRFCEQVAGSLVCGVLAAIAAALLSAVSARNLFRLYPSAPPPFFSG >LPERR06G19410.2 pep chromosome:Lperr_V1.4:6:17834166:17848333:1 gene:LPERR06G19410 transcript:LPERR06G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYICFRVLAMATAATAGYHLLQLLRCFFFSRFFTDGGDAKPPCWGRRTLAWICFLLDKGCAYMTFATTVAAAQACVVALDGAHALQWNKLCNIYTRFCEQVAGSLVCGVLAAIAAALLSAVSARNLFRLYPSAPPPFFSG >LPERR06G19410.3 pep chromosome:Lperr_V1.4:6:17834015:17837761:1 gene:LPERR06G19410 transcript:LPERR06G19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYMATTTARRAASEVKTEGVLRGACALLAAAAALLVGLNTQTETVILIRKKATVKDVQALWVLAMATAATAGYHLLQLLRCFFFSRFFTDGGDAKPPCWGRRTLAWICFLLDKGCAYMTFATTVAAAQACVVALDGAHALQWNKLCNIYTRFCEQVAGSLVCGVLAAIAAALLSAVSARNLFRLYPSAPPPFFSG >LPERR06G19410.4 pep chromosome:Lperr_V1.4:6:17834015:17848333:1 gene:LPERR06G19410 transcript:LPERR06G19410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYMATTTARRAASEVKTEGVLRGACALLAAAAALLVGLNTQTETVILIRKKATVKDVQALWVLAMATAATAGYHLLQLLRCFFFSRFFTDGGDAKPPCWGVRGGAGRRARSAVEQVAGSLVCGMLAAVAAASSRNLFRLYPSAPPPSFAG >LPERR06G19420.1 pep chromosome:Lperr_V1.4:6:17839536:17843638:-1 gene:LPERR06G19420 transcript:LPERR06G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVILLLFVGHDGPIVATADDYIWSLCGITSKYTVRSPYQSNLDLLSAALSRNASSSLLFAKGSVGVAPDTVYGVALCRGDFSSNASACGDCVADVFQQAQRTQCPLAKSVFIVFNTGCQLRFSDKDILNRTTATKTDANADIDASAMILMNTQNITQPMLPGWDAGNAESVGIITNIIKVLVLETAKAAVSNNSTSSSASAYYATGRMDMSATFPTLYSMAQCTPNLRPDDCWGCLQAITNLTTTYLAGRQGGRILDIWCNFRYETYTFYRGEPTRRIGSSGAVLPPPTPPPGDQHRRSRRSKVVVISTVVALVSSVCCAIFCFGLVRKYKKGKVSLQGNMNMPTDEALTWGIDASSSEFTLFDLSQVLDATNNFAEENMLGKGGFGPVYKGLFSDGSEIAVKRLASHSGQGFNEFRNEIQLIAKLQHTNLVKLLGCCYQGEEKILIYEYLPNKSLDFFIFDEQRRVTLNWNNRLAIIEGIGQGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSKDTEENTNRIIGTYGYMAPEYASEGLFSVKSDVFSFGVLILEIVTGKRNSGFHQCGDLFNLLGYMMRCINIALLCVQENAVDRPTMSDVVVMLSSENIALSEANHPAYFHIRVAKEEASTSIESSGLNNTTMSILYGR >LPERR06G19420.2 pep chromosome:Lperr_V1.4:6:17840398:17843638:-1 gene:LPERR06G19420 transcript:LPERR06G19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVILLLFVGHDGPIVATADDYIWSLCGITSKYTVRSPYQSNLDLLSAALSRNASSSLLFAKGSVGVAPDTVYGVALCRGDFSSNASACGDCVADVFQQAQRTQCPLAKSVFIVFNTGCQLRFSDKDILNRTTATKTDANADIDASAMILMNTQNITQPMLPGWDAGNAESVGIITNIIKVLVLETAKAAVSNNSTSSSASAYYATGRMDMSATFPTLYSMAQCTPNLRPDDCWGCLQAITNLTTTYLAGRQGGRILDIWCNFRYETYTFYRGEPTRRIGSSGAVLPPPTPPPGDQHRRSRRSKVVVISTVVALVSSVCCAIFCFGLVRKYKKGKVSLQGNMNMPTDEALTWGIDASSSEFTLFDLSQVLDATNNFAEENMLGKGGFGPVYKGLFSDGSEIAVKRLASHSGQGFNEFRNEIQLIAKLQHTNLMSKEELH >LPERR06G19420.3 pep chromosome:Lperr_V1.4:6:17839536:17840272:-1 gene:LPERR06G19420 transcript:LPERR06G19420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARIFSSKDTEENTNRIIGTYGYMAPEYASEGLFSVKSDVFSFGVLILEIVTGKRNSGFHQCGDLFNLLGYMMRCINIALLCVQENAVDRPTMSDVVVMLSSENIALSEANHPAYFHIRVAKEEASTSIESSGLNNTTMSILYGR >LPERR06G19420.4 pep chromosome:Lperr_V1.4:6:17840398:17843638:-1 gene:LPERR06G19420 transcript:LPERR06G19420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVILLLFVGHDGPIVATADDYIWSLCGITSKYTVRSPYQSNLDLLSAALSRNASSSLLFAKGSVGVAPDTVYGVALCRGDFSSNASACGDCVADVFQQAQRTQCPLAKSVFIVFNTGCQLRFSDKDILNRTTATKTDANADIDASAMILMNTQNITQPMLPGWDAGNAESVGIITNIIKVLVLETAKAAVSNNSTSSSASAYYATGRMDMSATFPTLYSMAQCTPNLRPDDCWGCLQAITNLTTTYLAGRQGGRILDIWCNFRYETYTFYRGEPTRRIGSSGAVLPPPTPPPGDQHRRSRRSKVVVISTVVALVSSVCCAIFCFGLVRKYKKGKVSLQGNMNMPTDEALTWGIDASSSEFTLFDLSQVLDATNNFAEENMLGKGGFGPVYKMSKEELH >LPERR06G19430.1 pep chromosome:Lperr_V1.4:6:17851162:17857374:-1 gene:LPERR06G19430 transcript:LPERR06G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISSAAAAAAEAETVFRSKLPDIEIPSNLTLQAYCFEKLPEVADRACLIDGQTGAVYTYAEVELLSRRAAAGLRRLGVGKGDVVMNLLRNCLEFAFTFLGAARLGAATTTANPFYTPHEIHRQAAAAGARVIVTEACAVEKVRGFAAERGIPVVVVDEGEFDGCVGFREAMLDDSVEPLAADEEVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFSRDDVLLCVLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRAHGVTIAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDLESTKNTIDRGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVPMQDEIAGEVPVAFIVRIEGSAISESEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPSDDNTQLKS >LPERR06G19440.1 pep chromosome:Lperr_V1.4:6:17864712:17865632:-1 gene:LPERR06G19440 transcript:LPERR06G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSLLLLLALVLPAAAAAPPAGGGAFNVTEILGRFPEFRLFNFLLTKTHVDRDINNRSAVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYIDAAKLSKLPRGRPTVSTTLFQTTGNARRRAGFLAITPTSPTAKGGGVGAVFASAAPGALVNATFKKAVAAKPYNISVLQISNFVVPPGVITRPRMPLPPPSPASPRRSRPAAIAPSPAPVPAAANEDAPYLQSPLMDPSPDDEDAPAPAPSQGYYEVKVVHHLF >LPERR06G19450.1 pep chromosome:Lperr_V1.4:6:17870557:17873560:1 gene:LPERR06G19450 transcript:LPERR06G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYPHHWLSFSLSNNNYHHGLLEALSTSSAPPLDGAAEEAPKMEDFLGGVGGGSAAPAAAPEDQLGCGGELGSIAAGFMRRYPTPDENPGGVTIAMATDAVEADPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGAASAATRAAKFPSDPSLPPPHGAGASAAMTPSEKDYWSLLALHYQQQQQQQQQFPASAFDTYGCSSGVNVDFTMGTSSHSGSNSSSSSAMWGTAAAMGQQDSSNRQSNSYSSHHNIPYASAAAAAAMASGSAGYEGSTGNNGTWVASNTSTAPHFYNYLFGME >LPERR06G19460.1 pep chromosome:Lperr_V1.4:6:17881523:17886315:1 gene:LPERR06G19460 transcript:LPERR06G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGGDGDPLAPQSGGASPAPVAPPPRGWISGLVSGAGRIIASVLGPDSSASGSTATTFSAASDDGASPSASSSPASSRRHPRLLYGDGYDDAAHGNSPVFLPKANKLNQSANEAIMKDYAEASLAIISDIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLSGVDAGGLALPINWKTGRQANIGYSSLSPKGLLPATSSLPVQDNDFDNSAGAGGPTTISHDRIPFNHATDNVQSVFKRNYSVAINTPEDSRRVRPKINGNLLDISKFKQVEVIQNHPGYDKKLSNVPLLGTNNLMYSDIISKVGSDDDKVGMLNKPSAGDDLENYDSAVLNPSTNKDLKNSFPLKVEPLNVSVPFEQQMMDPSQQKHDHAVCDDSCSVSKLMFMEDIETSLSLPVGVKLENGSKNRRRRAPSTQRTTPTMSPAKGSRRKNNDIKVKSEIDLLEQSKFVLMEQSPNLGDIPVKRPVGRPRKAK >LPERR06G19470.1 pep chromosome:Lperr_V1.4:6:17892768:17898431:1 gene:LPERR06G19470 transcript:LPERR06G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRPRPAAAIRAREANSALLWTSGRQHCHLLLHPTSTLVLLTPPPSLSESSPPAACLATVAMDSLRLRPSLLLAARTGPPPVVAAGLRRGHFLPPFCSIQRNGKGSESLSSQRTQGPNFDPCQKFLGWKSSYHRTPHRPTASSADTSGQPPQSGVEVHDSASIWKPISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVESLSDVSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVVLVSAFAAMSFGLGWVVGSQPLFLALFISFILGTAYSINLPFLRWKRSAVVAALCILAVRADIPDIEGDRIFGIRSFSVRLGQKKVAGHAILAAILWNRSRSLDLTSKTAITSFYMFIWKLFYAEYLLIPLLDWIMDG >LPERR06G19480.1 pep chromosome:Lperr_V1.4:6:17918770:17921273:1 gene:LPERR06G19480 transcript:LPERR06G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNSAGDEYAFAAAAQFVGFDHAAAAQRAGMQHHHHIYDHGLDFATAMHHQFQPDSSAPPQLLPLPPTMSSMAPPPPMLPMPMQIPMPMPMAAPDVYPALGGGGVVKREGGGDAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAAGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAATALSQATAKDAAASPASAGKKPTGATSSYTGDNKNMGAMSAAKSPISSNTSVISCLAGEQSKQQPPALTLGAAPPSHDTSSHLAGAVLHHHQHHSHQEHLQMSSLVHINGGGSNNNNILSCSSVCSSALPSTATNGEVSDQNNNDNSHNNNGSNNNNMHLFEVDFM >LPERR06G19490.1 pep chromosome:Lperr_V1.4:6:17927751:17928644:-1 gene:LPERR06G19490 transcript:LPERR06G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRARVVAPVRRAWLAVAAARARARNGEHGILNLHQDVQTCGYEDVQVMWNMLSTEKEAAAAAAPAPGRKRAFWRLPVWPVWSPRGRGMRQREENPTAACNFAM >LPERR06G19500.1 pep chromosome:Lperr_V1.4:6:17929428:17931876:-1 gene:LPERR06G19500 transcript:LPERR06G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAIFLLVYSDIGWARNLVIPTELVVMVTWSGCIMMMRCCHPWSKWFLFLPHMGMLAVASMPWTTRQQVHADGGAFGSVRSTAAVDTSYAQHLDQRLKDRPFVTARPRLHHATVDPRGAKFHVIIGRRHRASTAS >LPERR06G19500.2 pep chromosome:Lperr_V1.4:6:17929428:17931807:-1 gene:LPERR06G19500 transcript:LPERR06G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWLAVASMPWTTRQQVHADGGAFGSVRSTAAVDTSYAQHLDQRLKDRPFVTARPRLHHATVDPRGAKFHVIIGRRHRASTAS >LPERR06G19520.1 pep chromosome:Lperr_V1.4:6:17936613:17945277:-1 gene:LPERR06G19520 transcript:LPERR06G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAMKHTFSSLLKQKSPKTSPTKPRGTMAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTAALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHAEINEREHVTKLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDHSFSSNLNKGQAVPVDCDALTRDLLDSTKCYLLDCGSEIYVWMGRETQLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKRSVQEERTTATSVASKMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSSGYKKFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYVLHDGDILFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCNFTKGVLKVREIFNFTQDDLMTEDVFVLDCHSCVFIWVGQRVDAKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSASPDSTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPESNGKDSTPAKDSPAVTLTIQEDMKEGQPEGEEGLPVYPYERLRTSSTNPVTDIDVTRRETYLSAADFRERFGMAKEAFAKLPKWKQNRLKIALQLF >LPERR06G19520.2 pep chromosome:Lperr_V1.4:6:17936613:17945277:-1 gene:LPERR06G19520 transcript:LPERR06G19520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAMKHTFSSLLKQKSPKTSPTKPRGTMAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTAALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHAEINEREHVTKLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDHSFSSNLNKGQAVPVDCDALTRDLLDSTKCYLLDCGSEIYVWMGRETQLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKRSVQEERTTATSVASKMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSSGYKKFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYVLHDGDILFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGSTPARRLRKIKKVILIYSLVILQRVREIFNFTQDDLMTEDVFVLDCHSCVFIWVGQRVDAKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSASPDSTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPESNGKDSTPAKDSPAVTLTIQEDMKEGQPEGEEGLPVYPYERLRTSSTNPVTDIDVTRRETYLSAADFRERFGMAKEAFAKLPKWKQNRLKIALQLF >LPERR06G19520.3 pep chromosome:Lperr_V1.4:6:17935668:17945277:-1 gene:LPERR06G19520 transcript:LPERR06G19520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAMKHTFSSLLKQKSPKTSPTKPRGTMAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTAALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHAEINEREHVTKLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDHSFSSNLNKGQAVPVDCDALTRDLLDSTKCYLLDCGSEIYVWMGRETQLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKRSVQEERTTATSVASKMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSSGYKKFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYVLHDGDILFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCNFTKGVLKVREIFNFTQDDLMTEDVFVLDCHSCVFIWVGQRVDAKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSASPDSTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPESNGKDSTPAKDSPAVTLTIQEDMKEGQPEGEEGLPVYPYERLRTSSTNPVTDIDVTRRETYLSAADFRERFGMAKEAFAKLPKWKQNRLKIALQLF >LPERR06G19520.4 pep chromosome:Lperr_V1.4:6:17936615:17943627:-1 gene:LPERR06G19520 transcript:LPERR06G19520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTAALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHAEINEREHVTKLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDHSFSSNLNKGQAVPVDCDALTRDLLDSTKCYLLDCGSEIYVWMGRETQLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKRSVQEERTTATSVASKMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSSGYKKFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYVLHDGDILFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCNFTKGVLKVREIFNFTQDDLMTEDVFVLDCHSCVFIWVGQRVDAKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSASPDSTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPESNGKDSTPAKDSPAVTLTIQEDMKEGQPEGEEGLPVYPYERLRTSSTNPVTDIDVTRRETYLSAADFRERFGMAKEAFAKLPKWKQNRLKIALQLF >LPERR06G19520.5 pep chromosome:Lperr_V1.4:6:17936613:17945277:-1 gene:LPERR06G19520 transcript:LPERR06G19520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAMKHTFSSLLKQKSPKTSPTKPRGTMAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTAALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHAEINEREHVTKLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDHSFSSNLNKGQAVPVDCDALTRDLLDSTKCYLLDCGSEIYVWMGRETQLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKRSVQEERTTATSVASKMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSSGYKKFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYVLHDGDILFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCNFTKGVLKVREIFNFTQDDLMTEDVFVLDCHSCVFIWVGQRVDAKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSASPDSTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPESNGKDSTPAKDSPAVTLTIQEDMKEGQPEGEEGLPVYPYERLRTSSTNPVTDIDVTRREVNTGTCNLIFYFLSLLLYPDAIHCTWQTYLSAADFRERFGMAKEAFAKLPKWKQNRLKIALQLF >LPERR06G19530.1 pep chromosome:Lperr_V1.4:6:17947316:17949344:1 gene:LPERR06G19530 transcript:LPERR06G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPPPPRHRLRPLPPVPPPLLLLLPLSPRALRPPPRLAPITIPNSPNSSSSSAAAAAAIDVPAAGVTTSLSAGEFPPTTRVVRRVRRRVGGLLLLVGSAAAVRGGDVPVHRRGVRLRIQRPAGLRLHAVAMGAAWLPPTQVRAYRFNATDFLGRLRGKRLMLVGDSMNRNQFESLLCILREALPDKSRMFETHGYRITKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQRNSNPILQIDRIDKTASRWRKVDVLVFNTGHWWTHGKTARGKNYYKEGNTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCNGETEPTFRGSVIGSYPLKMRIAEEVIRKMKFPVRLLNVTKLTNFRKDGHPSVYGKAAVATGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLDPKPRSWKQY >LPERR06G19540.1 pep chromosome:Lperr_V1.4:6:17949547:17953574:1 gene:LPERR06G19540 transcript:LPERR06G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHADGCWSDLPADVLITILETLFDIRDLVRAGAVCQSWNASSSYVRGHNHLLSCPRTPCLLYTATTTDPNAATLYSVTDHRSYTVTLPGPHLHRRWLGASHGWLATTDDDANLHLVNPVTGQQISDLPHVTTVEPVRRLLDHHGNVVPASYVVYPYDWTLRVQKDVNEPMTMTARELSEYLYVRVFLSSDPSDGGDCVVALLHCPDGQISFARLGDTHWTWIRTPLTGNEQYVDVTFRSVTGRMMMYGVRRDCAVHEFDLDGEPALESTVVLPALDPTGWHTNYLVDAPWLADNGDCWLLVRRYMGAANLEAYKAWLLNRSFPYDGVWNTHRINVYRVDRAAGTVAEISDLGGQHALFLGCNGSFGLAVADCPPGILPNHIYYTDNEEQYAFYTPKCARDIGIYDMNDGNFHQVQPTSPWLDWPLPAWIIPSFGCTLK >LPERR06G19550.1 pep chromosome:Lperr_V1.4:6:17964667:17965056:-1 gene:LPERR06G19550 transcript:LPERR06G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRVTLEGNGSATVRRHGGDVAAEKVGETETEVESSSSAGSCVTPTSAASAMPAATACPPAPRKPRPAKRMTTTTKRCCCGRPRRSPLIFFPVPHDLAAVFVARAPAATAAAACSPPAKKIRVHAVG >LPERR06G19560.1 pep chromosome:Lperr_V1.4:6:17983608:17987366:1 gene:LPERR06G19560 transcript:LPERR06G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYHRRNHNNSSQLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGHRDALQADAEVGRDGRVHVVIRRSASASTTGAPRSRMYGATASSGMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGNNNSKRASQMASPMAPAAAHHGGRAQGLDEQVANKFKGDTPAAYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASVPPPASAAIDGATPKGVSGTVTPMKKDGGDVVEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGAGGGGDVPGLSEAVHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >LPERR06G19570.1 pep chromosome:Lperr_V1.4:6:17991979:17992191:-1 gene:LPERR06G19570 transcript:LPERR06G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMCGKEEKVLGVQKAPGNCPYCGGGVAATDVEAKWLLCFLPLCTNNKRRFSCTACSRRLVSYPAIVHD >LPERR06G19580.1 pep chromosome:Lperr_V1.4:6:17996201:17997874:1 gene:LPERR06G19580 transcript:LPERR06G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNENLPPNVIRQLAKELKNLDESPPEGIKIIVNDDDFTTADIEGPGFFLTKIFHPNIATSGEICVNTLKKDWNPTLGLRHVLLFKLKCESLSYRFTKLSHFLTMIKSVVRCLLIEPFPESALNEQSGKLLLENYDEYARHARLSTSIHALKPKNKTKTGAISESTTLLNAVSQNTPLGPAALFASTANKAFGTNLQDQNAAPSDPALGASAVPKKDGLHAVKVPAEKKKIDARKKSLKRL >LPERR06G19590.1 pep chromosome:Lperr_V1.4:6:18001468:18008458:1 gene:LPERR06G19590 transcript:LPERR06G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVAAALAVAPRLARADTVAADVSAINGLYISLGSPKLPGWSGTGGDPCSELWQGVVCTGTSITSVTMNAANLGGQLSSLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHLFLSANQITGSIPNSLAKLKNLADMSLNDNQLNGELPDAFGSLSGLVNLHMQGNRLSGTLNVLQDLPLKDLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPSPTPTRPAPSPTPTGPPTPTPTSPNAAPSTSPPSPSAPPPSKTPSNSSDGPTTRDNISSSKKQNSSTLKIIGFVFLGVVLFIVIVLLVIFCLSKYQEKRSSYDYNRSQLARVHHTVESRINPSTVQSRDDAKKVEALDRRGREQSWASAAIPKKPAENQREHIVNLDYRDNLERTDSGLFAVAPPPPPPPLPFVPPFPVEKVIVNPVVSPEKRYISPPRTSNPTSATPFSVASLQQYTGSFREENLIRKSRLGKVYLAEFPDGKLEVMKIDNTDGKISIDDFLELVKLVSDIKHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDRESVDGTLSWNARLQIALGSGKALEYLHESFQPPIVHQSFEPANVLIDNKYSVRVAECGLEKLMASSSVTQLSDRMHALLNYESPEFRETGIVAEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSKMVDPSIQGQCTEKALSRFADIISRCIQEPQFRPPMSEVVQDLARIINATGEESE >LPERR06G19600.1 pep chromosome:Lperr_V1.4:6:18015860:18022175:1 gene:LPERR06G19600 transcript:LPERR06G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRRIHFATSASRPRSDVGYSICSLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLAWDCSAWIRTYALYLDERVECFRVLKYDVEADRLVKLPQASGKAHSRTRTLPCGDLLDQLPALQKLLLRLICCQPEGSACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGHQAEKLSAFYDHCKRLELARTFQFPTLRQPPPSFLVTMEEYIREAPRVSTASKSLESEEQNLPSDIEDEALQETEKPVEEEKQEPAEPEEEAQPAPEPVEETEPIPAVTTGDLLNLDEEVNPLIANIEKSNALALAIVAPGNENKASTSLDLFALDKSGWELALVTAPSTHTSQPVDNQLAGGFDKLLLDSLYEDEARRQQIIAED >LPERR06G19610.1 pep chromosome:Lperr_V1.4:6:18019528:18022584:-1 gene:LPERR06G19610 transcript:LPERR06G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSEPYWAPFRPGTSYYAPPRPAGAARGLLALIPSGYRRYRFCFFHGLRCRLRLFFRFCGLLFLLFHWFLRFLESFIFDITRNGGLPPRGLAAGDLPPRGLEAGPTTERHTTPTAKRFGGRRIPPDGMAVGPAVCAAAARHQWRSQGARATARPLGGRLALSDLKQAAQDQFAVAFLLPLLIPNFISSSRASFIKGGDDGGRAPLLLPETQQLVELKGGRSCCLVSWRQEVCDRYSH >LPERR06G19620.1 pep chromosome:Lperr_V1.4:6:18023318:18023554:1 gene:LPERR06G19620 transcript:LPERR06G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFANAKEPPAPRPVAVA >LPERR06G19630.1 pep chromosome:Lperr_V1.4:6:18026377:18030709:-1 gene:LPERR06G19630 transcript:LPERR06G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPARPRFSGLRGARWRADLDVLPEFASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENTPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELEDFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHSDVHYFKQARELHEELFSDDFDGQTFPDRIKLNRSDRKNTIEGSAAKIRSLEDLDPDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGLMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSCPNHSYCADIKNQADYQFKILCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDVDLKSLIDKAKLLQPFALEANLPSSPPRGKSPLTPPNYWEETWKILQMSGEKRTGGPINRMKRRGLFRRSLSNTESNVSPTKDANSEEKNLISATQSTADEHNRSCMVSGNLINSIVHMPTGERTSHVDQCTADNIRSTSDNVWEAGQHEGYHSSSIDVRDPLGVACGNLSRDSSTSLSCGTDYDHETHHADEPCASHDDKVVSEPDPLAVHNDKIDEVTIAAIQTCALVDYQQSQQNKLCFVNGKSEVKDVQNSVREVGHKEFKLGSSSNVADKELVGTLRSLGESMVENIEVIDLLFQQNVHFNSLDKSEEIVLGDIEQAKAKAALEGLKKISDLLRQI >LPERR06G19640.1 pep chromosome:Lperr_V1.4:6:18038330:18042753:1 gene:LPERR06G19640 transcript:LPERR06G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHLLNTANPSSDFEEMRELEKLRTETMMKSCQSTTSRAGPIRCPIPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVYQSLGIPIPTKGCNVRYCVRGGSVTKA >LPERR06G19640.2 pep chromosome:Lperr_V1.4:6:18038221:18042753:1 gene:LPERR06G19640 transcript:LPERR06G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHLLNTANPSSDFEEMRELEKLRTETMMKSCQSTTSRAGPIRCPIPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVYQSLGIPIPTKGCNVRYCVRGGSVTKA >LPERR06G19640.3 pep chromosome:Lperr_V1.4:6:18038725:18042753:1 gene:LPERR06G19640 transcript:LPERR06G19640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEMRELEKLRTETMMKSCQSTTSRAGPIRCPIPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVYQSLGIPIPTKGCNVRYCVRGGSVTKA >LPERR06G19640.4 pep chromosome:Lperr_V1.4:6:18038330:18040397:1 gene:LPERR06G19640 transcript:LPERR06G19640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPPVTCLRSLLRSFARSSSASASVVSLRINTLPWTEPLVSLLFSREDIYIERESGERGEPCFRFRHASFSSSSRFLGFRDLADLKSNS >LPERR06G19650.1 pep chromosome:Lperr_V1.4:6:18041789:18044819:-1 gene:LPERR06G19650 transcript:LPERR06G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPFLSSSTLNPQFLLLLPRQSHAMSFTASSSSLRPVRAVLAAAVPSLSADETAAAAGDAFRRHASPTLRRSGGGVAVVWFRNDLRLLDNEALVRAWSASEAVLPVYCVDPRIFGGGTHYFGFPKTGALRAQFLIECLGDLKSNLMKQGLDLLIRHGKPEDILPSVAKAVNAHTVYAHKETCSEELLVERLVRESLEQVVISQGGAANQKKPRNPKLQLIWGATLYHIDDLPFSVNNLPDVYTQFRKAVESKSSVRNCVKLPSSLGPPPSSGLDEIGGWGTIPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPGLRSIAKERRNFPGASYINQVVPLKFDAGPQRRDQQFNRQRRPGNMYRRQK >LPERR06G19650.2 pep chromosome:Lperr_V1.4:6:18041789:18044819:-1 gene:LPERR06G19650 transcript:LPERR06G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPFLSSSTLNPQFLLLLPRQSHAMSFTASSSSLRPVRAVLAAAVPSLSADETAAAAGDAFRRHASPTLRRSGGGVAVVWFRNDLRLLDNEALVRAWSASEAVLPVYCVDPRIFGGGTHYFGFPKTGALRAQFLIECLGDLKSNLMKQGLDLLIRHGKPEDILPSVAKAVNAHTVYAHKETCSEELLVERLVRESLEQVVISQGGAANQKKPRNPKLQLIWGATLYHIDDLPFSVNNLPDVYTQFRKSEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPGLRSIAKERRNFPGASYINQVVPLKFDAGPQRRDQQFNRQRRPGNMYRRQK >LPERR06G19660.1 pep chromosome:Lperr_V1.4:6:18045181:18051733:-1 gene:LPERR06G19660 transcript:LPERR06G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIVLVSLVCFVLIGAYVFPPRRYSQCYLFGSGVCANFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKSPKIALMFLTPGSIPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAERRLLANALADVDNQFFVLLSDSFKDPGPHGNGRYSVEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLLTQKPCLWNGSKRPCYLFARKFYPEALDNLLKLFTSYTLR >LPERR06G19670.1 pep chromosome:Lperr_V1.4:6:18053565:18059666:-1 gene:LPERR06G19670 transcript:LPERR06G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFEPKKLGVGDAITGGDLYATVLENTLMTHHVALPPGAMGKISYIAPAGQYSLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANAEGQKITYTVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >LPERR06G19680.1 pep chromosome:Lperr_V1.4:6:18060969:18061795:-1 gene:LPERR06G19680 transcript:LPERR06G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMHRIEEPRGFISHTTPKRKFEKSRCGTKGAHGSLPPERSWSQLLCAWPAAAAAATLSLDYLERRRARSSEEETKKRKGMRRETWRCAGAATLRGLRVC >LPERR06G19690.1 pep chromosome:Lperr_V1.4:6:18062675:18066108:-1 gene:LPERR06G19690 transcript:LPERR06G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELQLEAFIAGDGKLHGQGGFFSSSGEMSDLGFVDSNTLDGRRPESIRTPPRHAFSSISASPKASTSANNGPESESDSDSESLLDTERSPRLRGTRSTETKRIRRMVSNRESARRSRRRKQAQLSDLESQVEQLRGENASLFKQLAETSQHFTTAVTDNRVLKSDVEALRVKVKMAEDMVARATMSCGLGQLGLAPLLNSRKMCQALDMLAVTGLDLPRDGASFKGFNPSRQIQNSPVQSAASLDSLDNRISSEVTSCSADEICASFH >LPERR06G19690.2 pep chromosome:Lperr_V1.4:6:18064534:18066108:-1 gene:LPERR06G19690 transcript:LPERR06G19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELQLEAFIAGDGKLHGQGGFFSSSGEMSDLGFVDSNTLDGRRPESIRTPPRHAFSSISASPKASTSANNGPESESDSDSESLLDTERSPRLRGTRSTETKRIRRMVSNRESARRSRRRKQAQLSDLESQVEQLRGENASLFKQLAETSQHFTTAVTDNRVLKSDVEALRVKVKMAEDMVARATMSCGLGQLGLAPLLNSRKMCQALDMLAVTGLDLPRDGASFKGFNPSRQIQNSPVQSAASLDSLDNRISSEVTSCSADVWP >LPERR06G19710.1 pep chromosome:Lperr_V1.4:6:18072774:18076783:-1 gene:LPERR06G19710 transcript:LPERR06G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLLAAAALAALLAVGSCGTPLTFKVGEGSGSSKLVLIPNVAISEVEVKEKGAKDWVELKESSANTWTLESTADLKGPFSVRFAVKNAGYRVVDDVIPAEFKAGSDAHESLKIQATYLARRSMASSSSLLLAAATLAAILAVGSCGTAVTFKVGEGSSSNKLVLVTNVAIGEVEVKEKGAKDWVELKESSTNTWTLTGSAALKGPFSVRFAVKNAGYRVVDDVIPAEFKVGSVYKTTIQV >LPERR06G19720.1 pep chromosome:Lperr_V1.4:6:18078402:18081750:-1 gene:LPERR06G19720 transcript:LPERR06G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAAAAAVCLLLAAAAAAGAPDEATTLLTFRSSLHGPQGTPPDPLSQWVTTPGPCSSSTSTAITSLWYGVTCHPITGKVRGLRLEYLALQGPSPSLSPLASLSGLRALSLANNNLTGKFPDVSMLPALKMLYLSRNNLAGDIPAEAFGHMRGLRKLYLSDNAFTGRIPSSITSPRLLVLQVEKNRFDGGLPDFNQESLRVVDFSDNNLSGPVPAGLRRFDAKAFQGNKDLCGPPLAAACPNEPLLTSPSPSLPPSSSSSPRSLKVLMIIAIVVVIVGALLAAAGVFTAALARRRYSTTTTTTTTLPSNTDAGARMKSTPNPSITITHEDEQHHATAAATAVAPAAKRGGRRDDGKLVFVQEGRERFELEDLLRASAEVLGSGNFGASYKATPMEGQAMVVKRFKEMKGVGREDFNEHMRRLGRLSHPNLLPVVAYLYRREEKLIGVARGLAHLYDELPMLTVPHGHLKSSNVLLDAAFQPILSDYALVPVVTPHHAAQVMVAYKSPECGETGRPSKKSDVWSLGILILEVLTGKFPANYLRQGRGSATDLAGWVNSVVREEWTGEVFDKDMRGTRAGEGEMVKLLKVGLGCCESDVDRRWDLREAVTRIEELRERSGDGGAGDDNSDGEAVARHGGETASHSQESS >LPERR06G19730.1 pep chromosome:Lperr_V1.4:6:18085004:18088210:-1 gene:LPERR06G19730 transcript:LPERR06G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARANPARARAAAFSTAAAAAAEGDDHVHYKHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLANLFGKDESEYILDTNGEDYTTPSENQTTIKPSKDRGGRWERVTFKIVLSYHGSSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLQARSLPIEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKSIYIKDSINKAAPDKLRPLHVSEEAKPISGEEQSSKILEGSEYNIKPQSFDVAKVDRIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVVKLHSANENCEEGIRALCIELVANRFLRKMVRVLVATTIREAAAGAEEDALLNLMEATCRRATAPPAPPEGLCLVDVGYEDFNRQRCFIVD >LPERR06G19730.2 pep chromosome:Lperr_V1.4:6:18085004:18088210:-1 gene:LPERR06G19730 transcript:LPERR06G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARANPARARAAAFSTAAAAAAEGDDHVHYKHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLANLFGKDESEYILDTNGEDYTTPSENQTTIKPSKDRGGRWERVTFKIVLSYHGSSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLQARSLPIEGCAVVAGRTDKGDVKSIYIKDSINKAAPDKLRPLHVSEVSREFHPNFAAKWRRYLYIFPLDEEAKPISGEEQSSKILEGSEYNIKPQSFDVAKVDRIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVVKLHSANENCEEGIRALCIELVANRFLRKMVRVLVATTIREAAAGAEEDALLNLMEATCRRATAPPAPPEGLCLVDVGYEDFNRQRCFIVD >LPERR06G19730.3 pep chromosome:Lperr_V1.4:6:18085004:18088210:-1 gene:LPERR06G19730 transcript:LPERR06G19730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARANPARARAAAFSTAAAAAAEGDDHVHYKHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLANLFGKDESEYILDTNGEDYTTPSENQTTIKPSKDRGGRWERVTFKIVLSYHGSSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLQARSLPIEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKSIYIKDSINKAAPDKLRPLHVSEVSREFHPNFAAKWRRYLYIFPLDEEAKPISGEEQSSKILEGSEYNIKPQSFDVAKVDRIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVVKLHSANENCEEGIRALCIELVANRFLRKMVRVLVATTIREAAAGAEEDALLNLMEATCRRATAPPAPPEGLCLVDVGYEDFNRQRCFIVD >LPERR06G19740.1 pep chromosome:Lperr_V1.4:6:18089528:18094224:-1 gene:LPERR06G19740 transcript:LPERR06G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEHRLQSKTEADGPDGLRKCKSDSKAIASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRPGFLSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKEPTESGEPEKAKQEQIAAKIVTVPPPAPANGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLDALSSPSRDGSIKDPPDEDLYKI >LPERR06G19750.1 pep chromosome:Lperr_V1.4:6:18103204:18107658:1 gene:LPERR06G19750 transcript:LPERR06G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAGIGGGIGYRGRQENEINGFFPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVNNSSVGSNESRTVMLNHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEIISNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDLERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTRCWDANPEVRPSFAEIVIMLENAEIEVLRNVRKARFRCCIAEPMTTD >LPERR06G19760.1 pep chromosome:Lperr_V1.4:6:18103258:18103461:-1 gene:LPERR06G19760 transcript:LPERR06G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQQDGSILLLATDGVEEIGAGGRILLATEGMEEIDGRRHRRRRRRGEENEITPTGRNGSRCRGS >LPERR06G19770.1 pep chromosome:Lperr_V1.4:6:18105919:18109280:-1 gene:LPERR06G19770 transcript:LPERR06G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSSSSPSPSPLLWDWDATGCEAAAGKRGKRGRHHGVGGSSSSAAAGGGEAARCQVEGCGAELGVYKEYYRKHRVCEPHTKCLLVRVAGVDRRFCQQCSRFHAPSEFDQQKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFTWDKAPPSHANTISNSSWTSDLQLSQVMDIGKGLPKAGAESGNIHLSKSSSSNAFPTLCHDTNELLPIKGADASETASKSDGALDVKRALSLLSASSWGLTDPGNQTSSIIRFTNSNQNSRSE >LPERR06G19770.2 pep chromosome:Lperr_V1.4:6:18106076:18109280:-1 gene:LPERR06G19770 transcript:LPERR06G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSSSSPSPSPLLWDWDATGCEAAAGKRGKRGRHHGVGGSSSSAAAGGGEAARCQVEGCGAELGVYKEYYRKHRVCEPHTKCLLVRVAGVDRRFCQQCSRFHAPSEFDQQKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFTWDKAPPSHANTISNSSWTSDLQLSQVMDIGKGLPKAGAESGNIHLSKSSSSNAFPTLCHDTNELLPIKGADASETASKSDGALDVKRALSLLSASSWGLTDPGNQTSSIIRFTNSNQNSRLSSVPNEGNSNVPFQVDGQPLALEPQMFQFTMDAEFK >LPERR06G19770.3 pep chromosome:Lperr_V1.4:6:18106453:18109280:-1 gene:LPERR06G19770 transcript:LPERR06G19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSSSSPSPSPLLWDWDATGCEAAAGKRGKRGRHHGVGGSSSSAAAGGGEAARCQVEGCGAELGVYKEYYRKHRVCEPHTKCLLVRVAGVDRRFCQQCSRFHAPSEFDQQKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFTWDKAPPSHANTISNSSWTSDLQLSQVMDIGKGLPKAGAESGNIHLSKSSSSNAFPTLCHDTNELLPIKGADASETASKSDGALDVKRALSLLSASSWGLTDPGNQTSSIIRFTNSNQNSRLSSVPNEGNSNVPFQVDGQPLALEPQMFQFTMDAGNTTFPDVERIKPAYESSLFGMNQIH >LPERR06G19780.1 pep chromosome:Lperr_V1.4:6:18113625:18117188:-1 gene:LPERR06G19780 transcript:LPERR06G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTGFSEEDDCELMMRKQEWARTQDILKSKLILEDDFEWHLPSVGSNSDQTDTKGNLKYIGGVDISFSKEDPSTACAAVVVLDAGTLQVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLERMKTNTRHFYPQVLMVDGNGLLHPRACHVGVLADLPTIGVGKNLHHVDGLNQSEVRRQLEAKENCNKELILLTGQSGTTWGAAIRSCPGSSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQADIRSKVFLQKHQEMAHITRTIFASNKSKQKNILWKSTAKMAATFSSVMWKILVIAVAIAALLVPSGEGKFVCQAKCQDIPDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >LPERR06G19780.2 pep chromosome:Lperr_V1.4:6:18114438:18117188:-1 gene:LPERR06G19780 transcript:LPERR06G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTGFSEEDDCELMMRKQEWARTQDILKSKLILEDDFEWHLPSVGSNSDQTDTKGNLKYIGGVDISFSKEDPSTACAAVVVLDAGTLQVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLERMKTNTRHFYPQVLMVDGNGLLHPRACHVGVLADLPTIGVGKNLHHVDGLNQSEVRRQLEAKENCNKELILLTGQSGTTWGAAIRSCPGSSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQADIRSKVFLQKHQEMAQRLNSVKAM >LPERR06G19780.3 pep chromosome:Lperr_V1.4:6:18114933:18117188:-1 gene:LPERR06G19780 transcript:LPERR06G19780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTGFSEEDDCELMMRKQEWARTQDILKSKLILEDDFEWHLPSVGSNSDQTDTKGNLKYIGGVDISFSKEDPSTACAAVVVLDAGTLQVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLERMKTNTRHFYPQVLMVDGNGLLHPRACHVGVLADLPTIGVGKNLHHVDGLNQSEVRRQLEAKENCNKELILLTGQSGTTWGAAIRSCPGSSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQADIRSKVFLQKHQEMAQ >LPERR06G19790.1 pep chromosome:Lperr_V1.4:6:18118384:18119551:-1 gene:LPERR06G19790 transcript:LPERR06G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSFSASTAASPLSRILLNLPKPIVKVPHPPPRRNTCAAAVLRRRDAMAFVLSAAVLSRVFPAFADECPLEVAPNGLGFCDRVVGTGAAAAQGQLIRAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAVG >LPERR06G19800.1 pep chromosome:Lperr_V1.4:6:18125427:18130807:1 gene:LPERR06G19800 transcript:LPERR06G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMASKEEAGEGGRQEDLLVKEGPGENQEKTMIDSDEARNEDCSESGSATESVVLSEEPSDRSSTEEPSDSSTEEPSGSSNSGDSNSQSADSDGGSKETPKLDPKGSNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNVQWKNLISGLILRRRKSMVRAGTFPQRPKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGLLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKVRFNIALGIAEGLLYLHEGCHRHIIHRDIKASNILLTDDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDAGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLELMQLQRPKPTKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >LPERR06G19800.2 pep chromosome:Lperr_V1.4:6:18125427:18130876:1 gene:LPERR06G19800 transcript:LPERR06G19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMASKEEAGEGGRQEDLLVKEGPGENQEKTMIDSDEARNEDCSESGSATESVVLSEEPSDRSSTEEPSDSSTEEPSGSSNSGDSNSQSADSDGGSKETPKLDPKGSNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNVQWKNLISGLILRRRKSMVRAGTFPQRPKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGLLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKVRFNIALGIAEGLLYLHEGCHRHIIHRDIKASNILLTDDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDAGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLELMQLQRPKPTKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEHGGANRERSGSTVQHLEVFSVQRCIDSRSSAAATRRGRRSSATVLIGGRGLRRKSERGGRRKEERNAKTLIIGGLF >LPERR06G19810.1 pep chromosome:Lperr_V1.4:6:18131057:18136042:-1 gene:LPERR06G19810 transcript:LPERR06G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSISIPLPLAPLPLPPTSNYPPPPPPSRPLLLLSLTTPRRLTRAPVSRTRAAAASDMAETASSPTLLEFMGQAGAADDLVVLVAHIQSACKRIAALVASPGNVELSRAKGGGGEVAAGRDAPKPLDELSNEIILSSLQRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLPELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATILCVSFGAGTHGFTLDQSTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYENGTPGDLAVLLSHVQSACIRIAALVASPPPSRPNAPKLLDEMSSEIILSSVQSSEKAAVLASKNNMFPVWISNDAPYVVVTDPLDEPRNIEASMPTGTIFGIYKLEKAQLNAMQNETKLVAAGYVLYSSATFLSISFGAGTHGFTLDQSTREFVLSHPSIQIPPRGKMYSVNDARYFDWPEALKNYIDTIRQGKGQHPKKYTSRGSDGKKRILSIKPVQLQLKLPLFLGSMEDIVELESYGNVQQKVQMTLQDMRSGQVQTERDINGLNQPMQGP >LPERR06G19810.2 pep chromosome:Lperr_V1.4:6:18131057:18136042:-1 gene:LPERR06G19810 transcript:LPERR06G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSISIPLPLAPLPLPPTSNYPPPPPPSRPLLLLSLTTPRRLTRAPVSRTRAAAASDMAETASSPTLLEFMGQAGAADDLVVLVAHIQSACKRIAALVASPGNVELSRAKGGGGEVAAGRDAPKPLDELSNEIILSSLQRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLPELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATILCVSFGAGTHGFTLDQSTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYENGTPGDLAVLLSHVQSACIRIAALVASPPPSRPNAPKLLDEIFGAGTHGFTLDQSTREFVLSHPSIQIPPRGKMYSVNDARYFDWPEALKNYIDTIRQGKGQHPKKYTSRGSDGKKRILSIKPVQLQLKLPLFLGSMEDIVELESYGNVQQKVQMTLQDMRSGQVQTERDINGLNQPMQGP >LPERR06G19810.3 pep chromosome:Lperr_V1.4:6:18131057:18136042:-1 gene:LPERR06G19810 transcript:LPERR06G19810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSISIPLPLAPLPLPPTSNYPPPPPPSRPLLLLSLTTPRRLTRAPVSRTRAAAASDMAETASSPTLLEFMGQAGAADDLVVLVAHIQSACKRIAALVASPGNVELSRAKGGGGEVAAGRDAPKPLDELSNEIILSSLQRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLPELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATILCVSFGAGTHGFTLDQSTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYENGTPGDLAVLLSHVQSACIRIAALVASPPPSRPNAPKLLDEMSSEIILSSVQSSEKAAVLASKNNMFPVWISNDAPYVVVTDPLDEPRNIEASMPTGTIFGIYKLEKAQLNAMQNETKLVAAGYVLYSSATFLSISFGAGTHGFTLDQSTREFVLSHPSIQIPPRGKMYSVNDARYFDWPEALKNYIDTIRQGKGQHPKKYTSRFVCSLVADFHRTLIYGGVAMNPRDYFQLVFKANPLSFLAEQAGGRGSDGKKRILSIKPVQLQLKLPLFLGSMEDIVELESYGNVQQKVQMTLQDMRSGQVQTERDINGLNQPMQGP >LPERR06G19820.1 pep chromosome:Lperr_V1.4:6:18138177:18148083:1 gene:LPERR06G19820 transcript:LPERR06G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAEVVVAIVVAMAAVVPATARFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSHRPVILLLDRGECFFALKSWNAQQAGAAAVLIADNIDEQLLTMDTPESSPDTEYIDKITIPSALVNRAFGESLKKMAQKGDEEVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMEFVRSFRGHAQILERGGYSLFTPHYITWYCPEAFKLTQQCKSQCINHGRYCAPDPEQDFGEGYDGKDIVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKDCAEDVVKALGLSLDKVLACMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTYRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQKFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQVYIRGEDICIANSMSRFGWFITMLVVSCIVGIGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQQNENQPLRQHDSDA >LPERR06G19820.2 pep chromosome:Lperr_V1.4:6:18138177:18148067:1 gene:LPERR06G19820 transcript:LPERR06G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAEVVVAIVVAMAAVVPATARFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSHRPVILLLDRGECFFALKSWNAQQAGAAAVLIADNIDEQLLTMDTPESSPDTEYIDKITIPSALVNRAFGESLKKMAQKGDEEVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMEFVRSFRGHAQILERGGYSLFTPHYITWYCPEAFKLTQQCKSQCINHGRYCAPDPEQDFGEGYDGKDIVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKDCAEDVVKALGLSLDKVLACMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTYRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQKFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQVYIRGEDICIANSMSRFGWFITMLVVSCIVGIGIAGYVFYNRTWTRRSWPSCPNTCRLTASRMRTSPFDSMIQMLKLQHQGHWWRPGTRLLSCRFPPLLSLRPRPASPALRSSNARFTASSADSFGCEELRGEAGGGDADADAAAAAAFFSS >LPERR06G19820.3 pep chromosome:Lperr_V1.4:6:18138177:18144300:1 gene:LPERR06G19820 transcript:LPERR06G19820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAEVVVAIVVAMAAVVPATARFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSHRPVILLLDRGECFFALKSWNAQQAGAAAVLIADNIDEQLLTMDTPESSPDTEYIDKITIPSALVNRAFGESLKKMAQKGDEEVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMEFVRSFRGHAQILERGGYSLFTPHYITWYCPEAFKLTQQCKSQCINHGRYCAPDPEQDFGEGYDGKDIVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKDCAEDVVKALGLSLDKVLACMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTYRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQKFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQVYIRGEDICIANSMSRFGWFITMLVVSCIVGIGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQQNENQPLRQHDSDA >LPERR06G19820.4 pep chromosome:Lperr_V1.4:6:18145800:18148067:1 gene:LPERR06G19820 transcript:LPERR06G19820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWRPGTRLLSCRFPPLLSLRPRPASPALRSSNARFTASSADSFGCEELRGEAGGGDADADAAAAAAFFSS >LPERR06G19830.1 pep chromosome:Lperr_V1.4:6:18143336:18148318:-1 gene:LPERR06G19830 transcript:LPERR06G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSASPSIPPFAAASRGRGSPLPTPPLPPPSGEVSESASSFDPPPPPPPRPLPSSGAGRGMPRMQQQPVDKPQGQEENRFIRRQEEKKAAAAAASASASPPPASPRSSSQPKLSAEDAVKRALELLSAGDAGRGRSDNKGGKRQDNRRVPGRHPADGEDQLAMFLGDNAHGDRLEKRLGEEKMKIFNQAFEDAADNALPSPMEYAYLEAAHTNNMIEFEPEYNVNFGNPDIDEKPPMSLEDMLQKVKPFIIAYEGIQNQEEWEEAVKDVMARAPRMKEVIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSDLYKGWFSFCWLSSGMYWDMMAMISESMYDYIRELPSKQRTSDDP >LPERR06G19840.1 pep chromosome:Lperr_V1.4:6:18154153:18157007:1 gene:LPERR06G19840 transcript:LPERR06G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSSSSSNPKKQQQQQVVGGGAAVSSNDRPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSAKDAMDMQRNAASSSSGIMGRSMNDRNVHVNDALRMKMEVQRRFHEQLEVQKHLQLRVEAQGKYMQSILEKAYQALGSGDCATWPAGYKSLGNQAILDIGTSMSFPSLQDLQLYGGSHLDLQQQQPMEMRPMDHSFLEFNEISCVGSVGKIKSPSHYSSTGKNPMVWSAGADEQATKMMEAGVMVDAMITMSGGDSMGSKGFEGQNSKLDMRSPVGSERISNPVVGAKSRNISYG >LPERR06G19850.1 pep chromosome:Lperr_V1.4:6:18166899:18173413:1 gene:LPERR06G19850 transcript:LPERR06G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYSKFTQQELPACKPILTPKWVVSVFFLVGIIFVPVGVVSLLAARDVVEIVDRYDDACVPANMTDNKLAYIQNPNISKDCTRTLTITEDMKQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVRLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGLCFFLAFAFTLLYFIKPRKLGDHNYLSWNRHPAGR >LPERR06G19850.2 pep chromosome:Lperr_V1.4:6:18167029:18173413:1 gene:LPERR06G19850 transcript:LPERR06G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDESYSKFTQQELPACKPILTPKWVVSVFFLVGIIFVPVGVVSLLAARDVVEIVDRYDDACVPANMTDNKLAYIQNPNISKDCTRTLTITEDMKQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVRLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGLCFFLAFAFTLLYFIKPRKLGDHNYLSWNRHPAGR >LPERR06G19860.1 pep chromosome:Lperr_V1.4:6:18169033:18173954:-1 gene:LPERR06G19860 transcript:LPERR06G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTSPQACGILGGCREKSPLILCLRRSSSSMSLLSKLRLITVDVTGTLLAYKGQLGDYYCMAAKSAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSAFGSSAPYSVFPDAQPFMRWLRGKGLNVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGVVGVEKPDPHIYKIALEMAGNVAPEEALHIGDSMRKDYVPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVATQEWLSKNLKDEPVAAEQNYSKVKANAKKKQRPPTITYANPRKSFFPPSQVAVDRTSFFLPPKLYVL >LPERR06G19860.2 pep chromosome:Lperr_V1.4:6:18170705:18173954:-1 gene:LPERR06G19860 transcript:LPERR06G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTSPQACGILGGCREKSPLILCLRRSSSSMSLLSKLRLITVDVTGTLLAYKGQLGDYYCMAAKSAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSAFGSSAPYSVFPDAQPFMRWLRGKGLNVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGVVGVEKPDPHIYKIALEMAGNVAPEEALHIGDSMRKDYVPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVATQEWLSKNLKDEPVAAEQNV >LPERR06G19870.1 pep chromosome:Lperr_V1.4:6:18174379:18174750:-1 gene:LPERR06G19870 transcript:LPERR06G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIQCGGAGEVQQCRKREGRKRAVSEGSYAPHAAAGRGTPIMSRRATMYSSVKHAAHIRERVWPGLVGKVKGNAKWTWVVEAAGTRLSRPAKLSGCGLAWLRAKVRFWAGAMRKDERGGKAD >LPERR06G19880.1 pep chromosome:Lperr_V1.4:6:18182358:18183328:-1 gene:LPERR06G19880 transcript:LPERR06G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKHYILLVLILSIQYDGANGTSRSSSLPPFNDVVGSTPVADVVPPPPPPYCVYPPPPNPTLPAPLPPTPAIPGAAPPTSTPPIAPVIPPTAAPPATTTTNAPPPATPEKAGVWCVANPTVASAVAQTAMDYACGSGADCDMVAEPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSYAGCKYVYM >LPERR06G19890.1 pep chromosome:Lperr_V1.4:6:18190406:18191780:-1 gene:LPERR06G19890 transcript:LPERR06G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHPRRLHPLPPPPPPPSLIEASSAAAAAHAMAAAVVDYDDDMDPRVWRRLPQPLLDRVLACLPTPSFLRLRAACRRFYHLLFSSPFLHSHLLLSPPLPFFAFLLPSSSHLLLLDPNNLRWSRLPLPLAGGGVGWSSRTMLLVNPITRLIAPLPISPTARLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASLPPSGFWAPSSLLPRLSCLDPRAGMAFASGRFYCMSSSPFAVLVYDVAANVWSKMQPPMRRFLRSPALVELGGGGREGAAARVALVSAVEKSRLSVPRSVRVWTLRGGGGGWTEVARMPPEVHAQFAAAEAGRGFECAAHGDYVVLAPRGGGGGAASALVFDSRRDEWRWAPPSPYDLVGGGGGLGFRVFAYEPRLATPAIGLLDATAPVALQMHGMHG >LPERR06G19900.1 pep chromosome:Lperr_V1.4:6:18200643:18204491:-1 gene:LPERR06G19900 transcript:LPERR06G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCPRRHPSVHLLILTLFIASLLPILDGLELGGDGLYREILRDETVLRLKELGKISDGEGYLERTFLSPASIRASHVIISWMKDAGLTTWIDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKLTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILRVSDKSGTTVQDVLKLNSFEATAAALGQAKYSPESVGSYVEVHMEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKQPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQRCDDRLVDCTVEKKHAASATPCDAELTSQLERAARSTLSSMTTMTSSPAAAAVPVLMSGAGHDAMAMARLTKVGMLFVRCRRGVSHSPEESVLDDDVWAAGLALVNFVDQNAVAPATTPETATAEVAAES >LPERR06G19900.2 pep chromosome:Lperr_V1.4:6:18200643:18204491:-1 gene:LPERR06G19900 transcript:LPERR06G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCPRRHPSVHLLILTLFIASLLPILDGLELGGDGLYREILRDETVLRLKELGKLSSPNTALLYVNQISDGEGYLERTFLSPASIRASHVIISWMKDAGLTTWIDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKLTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILRVSDKSGTTVQDVLKLNSFEATAAALGQAKYSPESVGSYVEVHMEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKQPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQRCDDRLVDCTVEKKHAASATPCDAELTSQLERAARSTLSSMTTMTSSPAAAAVPVLMSGAGHDAMAMARLTKVGMLFVRCRRGVSHSPEESVLDDDVWAAGLALVNFVDQNAVAPATTPETATAEVAAES >LPERR06G19910.1 pep chromosome:Lperr_V1.4:6:18215061:18221058:-1 gene:LPERR06G19910 transcript:LPERR06G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRPAAAGGGGDEMEDVALLESYDEEMGGAPAAEEEAEAHVRVTGMTCSACTSAVEAAVSGRRGVRRVAVSLLQNRAHVVFDPALVKVEDIIEAIEDAGFDAEILPDSAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEASFLQSSEQDKILLGLTGLHTERDVNLLHDILKRMTGLRQFDVNATVSEVEIVFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAQEASKMLHLLRSSLFLSFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVEEREIDALLVQPGDTLKVLPGSKVPADGVVVWGTSHVNESMITGESAPIPKEVSSAVIGGTMNLHGVLHIQANKVGSETVLSQIIALVETAQMSKAPIQKFADYVASIFVPIVITLSIITFFVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAIVDYAFHFHFFGKLPTSKNGIEQRKEEILSRWLLQVEDFSALPGKGVQCLINGKKVLVGNRTLITENSVNIPPEAENFLVDLELNAKTGILVSYDDSFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVGKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >LPERR06G19920.1 pep chromosome:Lperr_V1.4:6:18226086:18227814:1 gene:LPERR06G19920 transcript:LPERR06G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTTTRRSMALARRLCCRLPRLLPLTAAAANPKPYLPGNPSPAPLLPSFSSPSPPHPQKLPSLTRLFSTAPSSSGDSSMVVVGSAESFTSILSKVEAEKLPAVFYYTAVWCGPCRAMAPVIAKLSNRYPKLPIYKVDIDIEGINSKLGDLKIFSVPTFHFYYQGQKTDEVVGVDVTRLEANMEKLYK >LPERR06G19930.1 pep chromosome:Lperr_V1.4:6:18239718:18243798:1 gene:LPERR06G19930 transcript:LPERR06G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPVHESRKKNEKNIFCLGCCTSICPHCAPSHRHHPLLQVRRYVYNDVVRLDDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMIQGGDLSNILYMSGEPDIACFPRFENLRVDGAGEEEDDDDRHQVMTPNSILEDPLHHHHQYYGGGGGSSNNIGRRDTTTADVVPRKKKGSGGGGGFFPQIVLSLNNRRKGAPHRSPLA >LPERR06G19940.1 pep chromosome:Lperr_V1.4:6:18285560:18289305:-1 gene:LPERR06G19940 transcript:LPERR06G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSLRASASPAVTGTVAAHPVKVSCVRSKVTCSFPSVGASSSPARSMEPMRATATQAPPVTHESSSAEKTKVGINGFGRIGRLVLRIAISRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNLSMNVVSNASCTTNCLAPLAKVVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKEASESSLKGILGYTDEDVVSNDFVGDTRQVFWSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKH >LPERR06G19950.1 pep chromosome:Lperr_V1.4:6:18295089:18295373:1 gene:LPERR06G19950 transcript:LPERR06G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNSSSSSSRTRVGCGMALGRLVRKLRRQSRMMLSTATSSRPSPAARCHQYDPLSYARNFDGGGGDVSGQLYHHYTFASRFVLSTAAPPRQ >LPERR06G19960.1 pep chromosome:Lperr_V1.4:6:18298981:18301323:-1 gene:LPERR06G19960 transcript:LPERR06G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLDAIHGIYIRVLAVLPAAGGEIWAKRGRFIRSLLAAGHCYGPLDPVSNIVVNAVFHDAASPPPPPPLDAGGDLYLPGDIYDTKAIVAPWKASSPAGNPMLEHEAIEYIWFRNCDIPGILQNSEGKKRNNNPYAAAAEAADHPQSAMVGSFLVSLSGEKLESLRQWLKPAGEGRVISDADWEKLNMMMIQVQQPIRSLKRKRSPSPETRLLHAQALPAISMVRSAYVKRERYLRAKLGELLDNYCRQHPWFDWKDKVVPESDFISI >LPERR06G19970.1 pep chromosome:Lperr_V1.4:6:18301335:18301997:-1 gene:LPERR06G19970 transcript:LPERR06G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYSGLESFMKSYFRYISDEQVRRYLHLAGFDLPLAVRLVNHDRRRRSPSPPRLLPDGGRMKAALRVAAVNSRHPAPDELAGFMKAQFPAGEMTPIMEKLQGRELLTADDVMGIRKLVARQWPPYPPQVRIDFLRPPIGLGLIGGLQDGGGGGGGEMTFPATVGEDGRVALITIGGNSSSSSLPQLGYISDVTYHSESMDTKLSKLTPAAPPLMNCNVT >LPERR06G19980.1 pep chromosome:Lperr_V1.4:6:18302040:18302333:-1 gene:LPERR06G19980 transcript:LPERR06G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSHDLAAPRPANGSFTSNHGGEDDDTAIDLLGILFNTMVKFYDEAARRLPIGEMPELVGCILDGGHCYGLPRHRQRRNPAAHRRIIIPL >LPERR06G19990.1 pep chromosome:Lperr_V1.4:6:18307352:18308107:1 gene:LPERR06G19990 transcript:LPERR06G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGGSQVYPAYPPAATVPAAPAAIAGGSQPTPPFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGMGYGGQQGHPAYLWQDPQEQQEDPPAEQHSD >LPERR06G20000.1 pep chromosome:Lperr_V1.4:6:18314710:18316767:1 gene:LPERR06G20000 transcript:LPERR06G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDKKASRQATFCKRRAGLLKKAHELAVLCDAQVGVVIFSGNGKLFDYGSPSLSLMELIHRYEITTRNTRLQETDRDDQMFMEITRLRNEKDQLEASLRRQTGEDLSSVATVDELSQLQLQLESALTKVRQRKDELMNQQLEELRHSQQTVHDQNNFLCRMISGNWQSSVSGEAPAMEALTAAAVEAEAFGHNCFFPEEEDDGLALQLWPLHN >LPERR06G20010.1 pep chromosome:Lperr_V1.4:6:18317659:18319747:1 gene:LPERR06G20010 transcript:LPERR06G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLTAAASSLLLRRSPLLRPHGLRASRRFAPQRFARHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTALDKAEPRHVEILGYLLYAAKLVAEKEGLAEGYRVVINSGPKGCLHQRQ >LPERR06G20020.1 pep chromosome:Lperr_V1.4:6:18320368:18323202:-1 gene:LPERR06G20020 transcript:LPERR06G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWACRAASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGISDHAQDHLGDVVYVELPEVGTSVSQGKNFGAVESVKATSDINAPVSGEILQVNDELSEKPGFVNGSPYDKGWIIKVKISDPNELNSLMDDEKYKKFCEEEDGTH >LPERR06G20030.1 pep chromosome:Lperr_V1.4:6:18327241:18330542:-1 gene:LPERR06G20030 transcript:LPERR06G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKESDLKGKKVFLRADLNVPLDDNQKITDDNRIRASVPTIKFLMEKGAKVILASHLGRPKGVTPKYSLKPLVPRLCELLGVDVVMANDCIGEEVQKLAANLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVANLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELANSLIEKAKSKGVSLLLPTDVVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADVTAKGVTTIIGGGDSVAAVEKAGLANKMSHISTGGGASLELLEGKTLPGVLALDDA >LPERR06G20040.1 pep chromosome:Lperr_V1.4:6:18332455:18344554:-1 gene:LPERR06G20040 transcript:LPERR06G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTIYVGGRRVDNPEQVALQLVFLTKDHDGYCLKASLICRLIDPTGVLDPSQERSLPYMTFNSALDRGCTSPLLLGTASEIAGLGYLKEDSLIVECTIAVFKELPKPVISAAAVKELAHAPEPSSDLHKHLGELLQKETATDVTFVVSGESFAAHKLILAARSPVFMAEFFGHMKEATSGSVVVDDIEPAVFKAMIHFIYTDTLPELDKEESMTTMAMHLLAAADRYGLDRLKLICESKLSGGIDVDTVATTLVLAEQHGCSLLKAKCVEFIIRSNTCKSQGLFGGVEVRSDRGCRVTRLTKDDTRWRGHRVGVVRDGLRKIGKRALGDQGDRHIKIRRVVHHRRRRIRLVDQLLPLRLLQQHNLLLYHAAIRPLIRVSDGAVPKCRLVDQSSSASSSATTPKKFKDVTLLTDKFSKGKQRDMYLVSRNDACVTEFVKDDALLIELTITILLDAPEVAAAPPSPPPLSDMQNHFGELLASKVGADVTFLTSAHRCVLVARSPVFMAEFFGDMKEKDLLCIEIKDMDANELLHFIYTHTLPEKEDGGEGDGEDQTAMAYDLLEAADRYGMERLKLICAEKLQADVDVDNVATALILAERHGCTKLKARCMQFMTMTRDTNHAVAKTEGYETLMKNCPNVMHEFIVDYFMTDKPRALDLAARTSRSPLAMNNAAAAVNLTRAARRVQLFRVNGHSATRSKPVVASCTIAVGGFLWSIDYFPCVYRTITYSNWIKLRFTLLSTSTTTAAAAADLAATFKCRLVDQSNLTAKTFGDVTLTATFTRGKPEKIYLVSRSDAAEFVRDDALLIECDITVLLLDTAPVVVVAAATPSPPRRSDLQEHFGEMLASKKGADVTFLVAGEPVAAHRCVLAARSPVFMAELFGNMKEKDSSFIEINDMDADVFRRLLYFIYTDMLPDEDDDDVARMTMSHRLLEAADRYGMEKLKLICAERLQSEINVDNVSTALVLAERHGCTKLKARCIKFMVTGDNLHAVAATEGYDCFYEV >LPERR06G20050.1 pep chromosome:Lperr_V1.4:6:18339415:18340503:1 gene:LPERR06G20050 transcript:LPERR06G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAMKKLTGVERSKEIHLKVEGHSLTMVMDNGEFIRSGPWHVGGHDWDIELRPKDPWAGRRDNPLTLLLTLRSHPRTGSGSVKASLTCCLLDPKLKPSSEKRIASHKFSKSGDYSCRAVIMARGELEASGYVSDDSYVVQCFIAVLIEQPEMVVDGLNVVSPLSELHVQIGGLLESKTGADVTFVVSSSGEAFAAHKAILASRSPVSMAELYGAMKEKSSPRIEIKDMEAPVFKAILHFVYTDTVPELDDHNGDDESTAAAAAMAQHLLAGADRYGLERLKLICEGKLAERVDVDTVSTTLALAEQHDCSHLKAKCVEFIAAGTPENLDAVLATEGYKHLEISGPSVLTDILRVSRGRKN >LPERR06G20060.1 pep chromosome:Lperr_V1.4:6:18350391:18351032:-1 gene:LPERR06G20060 transcript:LPERR06G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRQDLESLGYLKDDCLIVQCTITVLKELPEHVIAAAQVKEVPIPSSDLHKHLGELLQKETGTDITFVVSGESLAAHKNILAARSPVFMAEFFGNMKEASSGIVMVQDMEVTVFQAMLHFIYTGTVPELDQELMAMHLLAAADRYGLHRLKLICEGKLSGGIDVDTVATTLALAEQHGCSLLKANCVDFIIKTPANLDAVMATEVMANIWR >LPERR06G20070.1 pep chromosome:Lperr_V1.4:6:18351773:18353019:1 gene:LPERR06G20070 transcript:LPERR06G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEFKAYKKRQRGQEQQMDFELKTYHRKRQRRRGQQQTVELPDEIVREVLIWLPVKSLASGHYNKTRELLQHSSIGGTSLPVSLNRCSQWHIAMAYVFNPATRDALALPESNRNMRQRDMCPPIGLGFDASTGKYKVARSFYRSREYNNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLFSKIVTHCKGCLFYYIDKKNQQNTPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFLCNHLQRVCIYMSGDVMHPDWNCWHIINVMNGCYPIASLGSGRILLRRGSFVYCANLKSYSIEEEELNFNDIRYLGPNEDNLGHAWEDIWYDILPYTESLVSIIPRATLQAL >LPERR06G20080.1 pep chromosome:Lperr_V1.4:6:18357995:18358492:-1 gene:LPERR06G20080 transcript:LPERR06G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSSIQAKKVDHNFVVEGYSATKASSTSFPSNRLTMGGYNWEIHYTPSCYEEGEYWVAFKLVFLGPAIDLKPGGTTVKVNASLRCHLVVPTMSPNWDIDCEVAWLDREDKEWEEKSVAHEFLKETDSSPWVRLSSRKVVDSYEPIIGCDSFRAKCTITVLMG >LPERR06G20090.1 pep chromosome:Lperr_V1.4:6:18360708:18361088:-1 gene:LPERR06G20090 transcript:LPERR06G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSESGVRVTALLRCRPRNPRCHFDEVYWRDSSDKIHICEEKSLPEQLSLAYQEKAMM >LPERR06G20100.1 pep chromosome:Lperr_V1.4:6:18361119:18361289:-1 gene:LPERR06G20100 transcript:LPERR06G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLTEAATVRQIFKVNGYSATKASADPFPSKRLTVGGYEWEIHYNPKLYEIGTY >LPERR06G20110.1 pep chromosome:Lperr_V1.4:6:18363972:18373568:-1 gene:LPERR06G20110 transcript:LPERR06G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPRTARQLTDALTAHLSLYHAAANPNPSSSSTSSSPRAAILRWLASLAAPARAAAAASLLSPAAAGAFISMLRRLRLRGHSSFFVLHPSSAAADGEPPTVLSRVSRGLLARAAEASRGHGVVFESLVLFPSSPASSSRLDAITVAEALLADLDGFVAAMDEISGGEFLRCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAVGSGGGGGGGGRKAVRVGKGVKEKAYLAANAYWREKGYVDWWMRLEPRVRARIMGAFFAKGTAALANEIIEGTEIASSDKFSFCLGERGLFVAETSYKSTRQSFFRKNRPCSLDIPSILSGKKNPIFVKELQRLMLIQEILSFKINISNLSGDAIFFTTLMSAGTVADHVLLKLRGILMVVSTESINLELIGDGEPKTAKKKDVDKVSGGSRKGKKKSSSSKKLTASCKQIKDIGCSSSDSHDPKVLPNQQNPSVVGTTIGHASEDNPCKETAPTPKMEQPVVLGDCKNQCINNKRNRRKGKTKLSNLMRAENPGSGTLKTDAPPIATEAPNKPVDATHVPPHLPLSVKSKSCIPKADADSSIAHNGTDAKGIVNKKLENILNPPRFGSLLTTECSQSVNTSDGCSMKEQVVSHISQNEPTLQPLSSLPSRSDTVDRKSVVATDKILPPVIPANMFHGAISDNGAVMKNGGGEYYVYNRNTLGGTSYEWPSVRPPHYVSPEMQRPATTDRLHLDVGYKWPTQFEQPFLPANHQMRNPPVEQMLSSLAVPLSFDWPPVFRGYGKLSQNNALGYDPLYTPQIQSSAWSGFHTPLIHRGNIFSEKDRKYFSDSDPRNKPDVGDDTESYWFSEEESDGRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPIGIPCTYNANGVSSPPSTSICSPNESPDPLPQSIGHSMTANGMNSVSLQSPSSIQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPNISQRSSRSDIKGGHDHRSPCVPSTRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRNLFTEDKILNRAQLCLDGPEVVWPSWGNKGSSAGTLVQSIEDTVLQGHLVKISQLSRDQHPDVALPLQPPDMLSCSPNKASLSLMHNALHEEIDQFCKQVAAGNLVRKPYINWAVKRVTRCLQDLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQEWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSIEYSSVLDSSQEQLAINVLGEQGSPPRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSSSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFTAECDTPASSFNLLKKIMPNTLAVEINSWKMKRHATFEEICSNV >LPERR06G20110.2 pep chromosome:Lperr_V1.4:6:18364438:18373568:-1 gene:LPERR06G20110 transcript:LPERR06G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPRTARQLTDALTAHLSLYHAAANPNPSSSSTSSSPRAAILRWLASLAAPARAAAAASLLSPAAAGAFISMLRRLRLRGHSSFFVLHPSSAAADGEPPTVLSRVSRGLLARAAEASRGHGVVFESLVLFPSSPASSSRLDAITVAEALLADLDGFVAAMDEISGGEFLRCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAVGSGGGGGGGGRKAVRVGKGVKEKAYLAANAYWREKGYVDWWMRLEPRVRARIMGAFFAKGTAALANEIIEGTEIASSDKFSFCLGERGLFVAETSYKSTRQSFFRKNRPCSLDIPSILSGKKNPIFVKELQRLMLIQEILSFKINISNLSGDAIFFTTLMSAGTVADHVLLKLRGILMVVSTESINLELIGDGEPKTAKKKDVDKVSGGSRKGKKKSSSSKKLTASCKQIKDIGCSSSDSHDPKVLPNQQNPSVVGTTIGHASEDNPCKETAPTPKMEQPVVLGDCKNQCINNKRNRRKGKTKLSNLMRAENPGSGTLKTDAPPIATEAPNKPVDATHVPPHLPLSVKSKSCIPKADADSSIAHNGTDAKGIVNKKLENILNPPRFGSLLTTECSQSVNTSDGCSMKEQVVSHISQNEPTLQPLSSLPSRSDTVDRKSVVATDKILPPVIPANMFHGAISDNGAVMKNGGGEYYVYNRNTLGGTSYEWPSVRPPHYVSPEMQRPATTDRLHLDVGYKWPTQFEQPFLPANHQMRNPPVEQMLSSLAVPLSFDWPPVFRGYGKLSQNNALGYDPLYTPQIQSSAWSGFHTPLIHRGNIFSEKDRKYFSDSDPRNKPDVGDDTESYWFSEEESDGRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPIGIPCTYNANGVSSPPSTSICSPNESPDPLPQSIGHSMTANGMNSVSLQSPSSIQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPNISQRSSRSDIKGGHDHRSPCVPSTRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRNLFTEDKILNRAQLCLDGPEVVWPSWGNKGSSAGTLVQSIEDTVLQGHLVKISQLSRDQHPDVALPLQPPDMLSCSPNKASLSLMHNALHEEIDQFCKQVAAGNLVRKPYINWAVKRVTRCLQDLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQEWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSIEYSSVLDSSQEQLAINVLGEQGSPPRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSSSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFTAECDTPASSFNLLKKIMPTGGALN >LPERR06G20120.1 pep chromosome:Lperr_V1.4:6:18374782:18379459:1 gene:LPERR06G20120 transcript:LPERR06G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVKVMKAESTLITLTKPQFEARRSQVGGGGIVREPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNMEFLACFRRIPMPELTTEEAEST >LPERR06G20120.2 pep chromosome:Lperr_V1.4:6:18374782:18379459:1 gene:LPERR06G20120 transcript:LPERR06G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRLRFHQLRLVGVRPTCCSPSREFAAVRPQKLQLPKKKRRLDEACLERFQQYSRTYIQSWILQGKVLVAGRVVNKAGTQVSDKTVIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRVHECVSVIELIFKVMPAVVKVMKAESTLITLTKPQFEARRSQVGGGGIVREPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNMEFLACFRRIPMPELTTEEAEST >LPERR06G20130.1 pep chromosome:Lperr_V1.4:6:18378771:18381206:-1 gene:LPERR06G20130 transcript:LPERR06G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR06G20140.1 pep chromosome:Lperr_V1.4:6:18385796:18389552:-1 gene:LPERR06G20140 transcript:LPERR06G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSMWAHLFLIDAVGTEAATSPPSPSSLPEIPTQLRTGGGQASERAEEEKSAAAALLLVSLRYLYVPLHLSSLPSSAHSTLSLLPFPAPLRSTQMAPTSMSLAAKTPVPFSALPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDGGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGEEFRAILSEFTEIPVENRVPPATAAALPA >LPERR06G20140.2 pep chromosome:Lperr_V1.4:6:18385796:18389552:-1 gene:LPERR06G20140 transcript:LPERR06G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSMWAHLFLIDAVGTEAATSPPSPSSLPEIPTQLRTGGGQASERAEEEKSAAAALLLVSLRYLYVPLHLSSLPSSAHSTLSLLPFPAPLRSTQMAPTSMSLAAKTPVPFSALPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDGGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGEEFRAILSEFTEIPVENRVPPATAAALPA >LPERR06G20150.1 pep chromosome:Lperr_V1.4:6:18390128:18394868:-1 gene:LPERR06G20150 transcript:LPERR06G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALEARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRAHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRANRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEECKSLVPKVLVETMSELSSSFVSRVNLATGDVVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDVAVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLHETLKSLRTVCGVDSTAHSDTSKREIGLEKKEAQRLVDVSEEEEWSIVQGALQIITVADCLTNRTSVFEASLRATLARIGTNFSISGFGSNLDKSAGDEDAEVPMTGRSALDIAAIRLMDLPDKSRKLFTSKDPRFHALPLASQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSLEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGSEAGNEEAQFFATEWIFKVAEGATAMFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQIRELIKSDGGNQLDLPTAHLVCKIRRISSD >LPERR06G20160.1 pep chromosome:Lperr_V1.4:6:18395927:18401855:-1 gene:LPERR06G20160 transcript:LPERR06G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPNPLDAAAAVAGGDGGGGGGGGKQKEAAGVLAAPLQPPMAVPAPAGMAGGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAATSQPPPLGEQGVSMDTSPAIRNTNTSPAAPSWDNAIAQTFSASRTQGTGAVATNNCSSSNESPSATWPTSEAVEQENVLQPLRAMPDFAQVYSFLGSMFDPDTSGHLQKLKAMDPIDVETVLLLMRNLSMNLTSPNFEAHLRLLSSCNSVGDQIRSEGMENLGSPQSCHLPFIHK >LPERR06G20170.1 pep chromosome:Lperr_V1.4:6:18408773:18413272:1 gene:LPERR06G20170 transcript:LPERR06G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQPLSRLRFCQCPFGDRMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDFLTRKTKKKHWLF >LPERR06G20170.2 pep chromosome:Lperr_V1.4:6:18408773:18413272:1 gene:LPERR06G20170 transcript:LPERR06G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQPLSRLRFCQCPFGDRMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRDFLTRKTKKKHWLF >LPERR06G20170.3 pep chromosome:Lperr_V1.4:6:18408773:18413036:1 gene:LPERR06G20170 transcript:LPERR06G20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQPLSRLRFCQCPFGDRMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRGEKWDAVLLQPSHY >LPERR06G20170.4 pep chromosome:Lperr_V1.4:6:18408773:18413036:1 gene:LPERR06G20170 transcript:LPERR06G20170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQPLSRLRFCQCPFGDRMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRDFLTRKTKKKHWLF >LPERR06G20170.5 pep chromosome:Lperr_V1.4:6:18408773:18413272:1 gene:LPERR06G20170 transcript:LPERR06G20170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQVMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRDPMPWPSVCHIG >LPERR06G20170.6 pep chromosome:Lperr_V1.4:6:18408773:18413036:1 gene:LPERR06G20170 transcript:LPERR06G20170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLALKPRQQPLSRLRFCQCPFGDRMSEHQDSTSKSSSSSISSSTQESEEEVTVTIGSLLAQAKNNSGNSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFGAKICLLTSFRDTCLIEIVPRDVTPTRDFLTRKTKKKHWLF >LPERR06G20180.1 pep chromosome:Lperr_V1.4:6:18414751:18422801:1 gene:LPERR06G20180 transcript:LPERR06G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKEEFLEQFGGNYGYPDAPKGIDEMRAADFKRLEGMVYLDHAGATLYSEAQMADVMKDLTSNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEVVDLAKNHGSDSLYRISRHSDQRRGKDVLLHNSQNGSLSNIPGNNWNIFAFPSECNFSGHKFSLSLVKLIKEGKISLKQQIVFSTLIKCITYRGKWMVLIDAAKGCATEPPNLTMYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTVSFLSIASLRHGFKMIDTLTTSAIGRHTASLATYVRKKMLALKHSNDISVCTIYGQQASKVEGLKTGPTITFNLKREDGSWFGYREVEKLASLSGIHLRAGHVCWDDNDIINGKPTGSVRISFGYMSTYEDAEKFLKFLQSSFVSLPVQFNNRCMLNLNNLNLLDSWSQKAVSDVRLRSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGLGGEILTQKKVPELGSIHTLIDLELGKLFIESPTCKDKLQISLLDCLADVSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNRRLSSGNGGCKQKLLVDAMRFRPNLVISGSSPYSEDNWKRLQIGDACFTSMGGCNRCQMINLRQDSGQVFKSKEPLATLASYRRKKGKILFGVLLNYEDSKEGENEIVAEKWLQVGQQLYPSTE >LPERR06G20180.2 pep chromosome:Lperr_V1.4:6:18414751:18422801:1 gene:LPERR06G20180 transcript:LPERR06G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKEEFLEQFGGNYGYPDAPKGIDEMRAADFKRLEGMVYLDHAGATLYSEAQMADVMKDLTSNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYPTIKKYALSKGATVLAVDVEEVVDLAKNHGSDSLYRISRHSDQRRGKDVLLHNSQNGSLSNIPGNNWNIFAFPSECNFSGHKFSLSLVKLIKEGKISLKQQGKWMVLIDAAKGCATEPPNLTMYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTVSFLSIASLRHGFKMIDTLTTSAIGRHTASLATYVRKKMLALKHSNDISVCTIYGQQASKVEGLKTGPTITFNLKREDGSWFGYREVEKLASLSGIHLRAGHVCWDDNDIINGKPTGSVRISFGYMSTYEDAEKFLKFLQSSFVSLPVQFNNRCMLNLNNLNLLDSWSQKAVSDVRLRSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGLGGEILTQKKVPELGSIHTLIDLELGKLFIESPTCKDKLQISLLDCLADVSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNRRLSSGNGGCKQKLLVDAMRFRPNLVISGSSPYSEDNWKRLQIGDACFTSMGGCNRCQMINLRQDSGQVFKSKEPLATLASYRRKKGKILFGVLLNYEDSKEGENEIVAEKWLQVGQQLYPSTE >LPERR06G20180.3 pep chromosome:Lperr_V1.4:6:18414751:18422801:1 gene:LPERR06G20180 transcript:LPERR06G20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKEEFLEQFGGNYGYPDAPKGIDEMRAADFKRLEGMVYLDHAGATLYSEAQMADVMKDLTSNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEVVDLAKNHGSDSLYRISRHSDQRRGKDVLLHNSQNGSLSNIPGNNWNIFAFPSECNFSGHKFSLSLVKLIKEGKISLKQQGKWMVLIDAAKGCATEPPNLTMYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTVSFLSIASLRHGFKMIDTLTTSAIGRHTASLATYVRKKMLALKHSNDISVCTIYGQQASKVEGLKTGPTITFNLKREDGSWFGYREVEKLASLSGIHLRAGHVCWDDNDIINGKPTGSVRISFGYMSTYEDAEKFLKFLQSSFVSLPVQFNNRCMLNLNNLNLLDSWSQKAVSDVRLRSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGLGGEILTQKKVPELGSIHTLIDLELGKLFIESPTCKDKLQISLLDCLADVSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNRRLSSGNGGCKQKLLVDAMRFRPNLVISGSSPYSEDNWKRLQIGDACFTSMGGCNRCQMINLRQDSGQVFKSKEPLATLASYRRKKGKILFGVLLNYEDSKEGENEIVAEKWLQVGQQLYPSTE >LPERR06G20190.1 pep chromosome:Lperr_V1.4:6:18422584:18425731:-1 gene:LPERR06G20190 transcript:LPERR06G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAALARAVVEFLDAVLVGFFLSFFRPRPRADGSPPVSSSARRRRDPLVHEDRLGELPSDDERGFGGSEGSHADLADDCGNDEELHSEANYLKLIGTISETPAELQNFSYQINLEEYIETNALAVEKPTALDAKSPEGFLSTIRDNSPFETIKSRTLGSNDSPFPTPLVLREDMQTPGTVYTSHKESSGKRVRTRKQFVYPILRPIENKLQHMEYMELTEDSSKPPERRSLGGTDSIMKPQLTYSDIVSKGESPDFLPLLEESNSQLGTQRQLDDEAPKSNSDENLEVCSLSRWLKSSPASNESQSNNLIEKGHVFMASEANWDVENPTPRLSKAWDRHGIPNTTRKYREDQKVSWHSTPFEKRLLKVLSEEEVPPTRKLVRGRLFHHEERV >LPERR06G20200.1 pep chromosome:Lperr_V1.4:6:18438382:18441827:1 gene:LPERR06G20200 transcript:LPERR06G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNPVSLQKLTSHTVSNINKRAFIDREDHYIYASEDRNTATSDKNNTCTILRCSRSSMPSIEEIFRNWEHNRGCLLPWNSNVISTEQVARAASRQTTNTKPLKKQTDCDLTLSIGLWEEAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAVAAKKKLGSDTRISTDLNLDLTISSSWLS >LPERR06G20210.1 pep chromosome:Lperr_V1.4:6:18443417:18449587:1 gene:LPERR06G20210 transcript:LPERR06G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIAVDLHVEQCPLTAKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQAWFFNDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPLDETVAPEELEEMIRSKEAHTNAVILTSVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANRDGCFKCGAPDHMARDCDQDTEPKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDNGNHTDQQDPGSSDRRKVQRTDDRRSGLPPRGDRDRINRDRANSDENGKGDRDRGSRKYKDYHRYNKSGERSSSRYDDRGYSKHESRSKYRDGDDDDRRQSGGSRYGRDKYDGERRYREDDGHGRSDRHKRDDSNNRKRSPDAGRHRAEDGGHRETSHHRERRHRDDR >LPERR06G20220.1 pep chromosome:Lperr_V1.4:6:18458160:18466173:1 gene:LPERR06G20220 transcript:LPERR06G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCVTTCLMFLVITTVWNRSAIFAALFTITFGSIELLYLSACLAKVPHGGWLPLLLSLVTLLVMSTWHYGTAKKQQYEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLVFVSLQTIAVPKVSPEERFLVGRIGSPANRLFRCVVRYGYKEGRWDHFNFENQLLLKVVEFLRHQNAGEKEEEGFSGSGDGDGGEKEAMSVIPATSTSSGGSCCQKNDVACEIDGGEEEGEEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >LPERR06G20230.1 pep chromosome:Lperr_V1.4:6:18469534:18469920:-1 gene:LPERR06G20230 transcript:LPERR06G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADAYQPLRPETNGKISASPSSSSISCARRLGCGARVPEGHVPVCVGEEGGPVERYAVRTDILGQPAFAALLRRAAQEYGYGHPGALRIPCPVADFRRLLRISSSAAAGDHSDDDY >LPERR06G20240.1 pep chromosome:Lperr_V1.4:6:18489566:18490018:1 gene:LPERR06G20240 transcript:LPERR06G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELMRRMSFSDRTAGGGGDGGAAAAVKRGLMRRLSFSDRVGDVGAGGGGATPPRGLLRRLSFSDRVVSDNGGVPRGCVPVMVGSNGGDGVGEGGGERFVVRVETLRHPAMAALLEKAAQEFGYKQEGILHVPCDAVHFEQVLHAATAKN >LPERR06G20250.1 pep chromosome:Lperr_V1.4:6:18493410:18500619:1 gene:LPERR06G20250 transcript:LPERR06G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLHSLLLMAASTAGGGGGNSGFLLTARRRLPAAAVAAAAGGHHRIRLLHSFAASRRLTGRHEVACCVRTAAPVARPAGPVAIRSRSVHSTEGYKKSCEQRLVQLIEKLKKEGINPKQWKLGTYQRMMCPMCNGGSTEELSLSVYIRMDGKNALWNCFRANCGWKGTVQPDGISNISLAKNDTKCETDQDGEANLAANKVYRKISEEDLHLEPLCDELVTYFSERLISAETLRRNSVMQRNRGNKIVIAFTYRRDGVLVGCKYREVSKKFSQVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKEQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALKKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMNELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVREHARKLLEKRIKKPFFDARYGGSAERMSADEFEEGKQWLNETFHLIRCEDDCLPSINWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNCGPVDVVQVCVRKVRNKVIGQIGDAFLSYDRVSGEFKDADKDTVAKATAGAANAAKASHRKG >LPERR06G20260.1 pep chromosome:Lperr_V1.4:6:18501383:18503742:1 gene:LPERR06G20260 transcript:LPERR06G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVAEGAEEVVAPSPAPEKEVAAADEAVTLASVVSKNASFREESNSLDDLKDGEKKALAELRAKLEEAIVEGKLFDDGKVVEAKKKVEEIATEEKKDSAEKKTEEEETAGEKEDSEKKTAEETAGEKEDAEKKTAAAEKEKDAEEKKEEEAAAEAKKEEAGDGEKAAESSPSPAAVVDKDITLWGVPLLPSKGDDATDVILLKFLRARDFKAGAAFDMLRKTLHWRNSFSIDIGDDELPAELAGACYLDGVDREGHPVCYNALGVFADEAVYRKAVGTEEGKARFLRWRVRAMERHVAGELDFKPGGVASLLQVTDMKNSPGPAKKDLRVAMKQVLDVFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARSSKVTETLLKYIPIEAIPVKYGGLKRDNDTEFTAEDSEVTELIIKANSTESIEVEATEGDTTLTWDLTVLGWDVNYKEEFVPRDEGSYTVIVRKGKKMGSSEEAVRNSFRAGEPGKVVLTVENMTSRKKKVLFRHKAKSACAKKC >LPERR06G20270.1 pep chromosome:Lperr_V1.4:6:18504287:18506540:-1 gene:LPERR06G20270 transcript:LPERR06G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEDYEEEEEEEVAA >LPERR06G20280.1 pep chromosome:Lperr_V1.4:6:18514174:18514449:1 gene:LPERR06G20280 transcript:LPERR06G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVLVAVIALAVAVAVANAGRVLDNDDEEYYGFYAAESPREAAAPQASSGGTVGGGWSSWRRGGGIVEALWVVFRWANDVVGGRMNDR >LPERR06G20290.1 pep chromosome:Lperr_V1.4:6:18535201:18539587:-1 gene:LPERR06G20290 transcript:LPERR06G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRWRRRWRRRDKNQIPAVYSSPEKGPATADRQMKRVRGSSISIRKYINLYIDITCSSN >LPERR06G20300.1 pep chromosome:Lperr_V1.4:6:18551892:18555249:-1 gene:LPERR06G20300 transcript:LPERR06G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEPASTGRDGMMNNAGMEEENTVPDEGSLAWSGYALMVMSVLAMCAGFASEPVAALFALVLLFLGCGFLHSAMLAPSKLKML >LPERR06G20300.2 pep chromosome:Lperr_V1.4:6:18551892:18552524:-1 gene:LPERR06G20300 transcript:LPERR06G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEPASTGRDGMMNNAGMEEENTVPDEGSLAWSGYALMVMSVLAMCAGFASEPVAALFALVLLFLGCGFLHSAMLAPSKLKML >LPERR06G20310.1 pep chromosome:Lperr_V1.4:6:18570769:18573172:-1 gene:LPERR06G20310 transcript:LPERR06G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGKSTCVVKCAPAGDEERGMLSLRSGGEHLRTAVQPQQEMAEDSEDKAMIQQGQQALMNHNGDIEAGRDPSNLPVIENGESSTAIKEKNKKLKHHDLKLAFLLVTLSAVPLIDILFLRGSANKLPLKLKLAALFAFTAFVAAISLMFHTLKLMTIKPEHIIPKNQLKLSKVLLTISISSFILTCISITYSLLPKAYFFLPIALIPSILAESLGHQYSYAKVSIYLMLGSGLAGILALLFCRLLSYSNNNNEDDHRREIWRQKTILVIANTIMLSMLVPALLLIAVTILHGLVVPAAVFPVIAGAAAWLFIELCTAGDVDDGDGHKEDEKDGKKKAEMGTMYAVAVAVASVSFGAILAVFGGLLGGAVGKGELKVCTFFLTSAFIAAVSLGVVASVAPARKASVVVAATVLACCGLGTLVLAALALFYQIGA >LPERR06G20310.2 pep chromosome:Lperr_V1.4:6:18570769:18573172:-1 gene:LPERR06G20310 transcript:LPERR06G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGKSTCVVKCAPAGDEERGMLSLRSGGEHLRTAVQPQQEMAEDSEDKAMIQQGQQALMNHNGDIEAGRDPSNLPVIENGESSTAIKEKNKKLKHHDLKLAFLLVTLSAVPLIDILFLRGSANKLPLKLKLAALFAFTAFVAAISLMFHTLKLMTIKPEHIIPKNQLKLSKVLLTISISSFILTCISITYSLLPKAYFFLPIALIPSILAGAFHFISFIQCNKDVSPQPQETKILKKALKSATQLTLSLVTTSFSGFIGDLIGIHHKAESLGHQYSYAKVSIYLMLGSGLAGILALLFCRLLSYSNNNNEDDHRREIWRQKTILVIANTIMLSMLVPALLLIAVTILHGLVVPAAVFPVIAGAAAWLFIELCTAGDVDDGDGHKEDEKDGKKKAEMGTMYAVAVAVASVSFGAILAVFGGLLGGAVGKGELKVCTFFLTSAFIAAVSLGVVASVAPARKASVVVAATVLACCGLGTLVLAALALFYQIGA >LPERR06G20320.1 pep chromosome:Lperr_V1.4:6:18573032:18574091:1 gene:LPERR06G20320 transcript:LPERR06G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSYCSAKVLSSGAQAEHPPFFVSGRRTLDDTLPLSCSVDRVFLLPREAIEVGMGGIAQEGES >LPERR06G20330.1 pep chromosome:Lperr_V1.4:6:18575915:18578293:-1 gene:LPERR06G20330 transcript:LPERR06G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTADSEKATGVMDAAAQVDQIAVAGGEEEVVLIPADPRLQVQRGDAGELNQDAWKKWLGWFLIVVWVMILTNNFFVDEGGNNNHAESGNLYAMLAQFISLRSDGYVGRAMP >LPERR06G20340.1 pep chromosome:Lperr_V1.4:6:18610599:18610955:-1 gene:LPERR06G20340 transcript:LPERR06G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPAIAHRPRVPAAALRQNYIDPPNRRPAADDGARSLIIRSAIFLISTAGAIVVVHTAGNSSTIDDENSSYALIAFLLFILGIWLVVVAFVEDKFPRATRAAVAIAKELHDYLIGGN >LPERR06G20350.1 pep chromosome:Lperr_V1.4:6:18612396:18612752:-1 gene:LPERR06G20350 transcript:LPERR06G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPAIAHRPRVPAAALRQNYIVPPNRRPAADDGARSLIIRSCIFLISTAGAIVVIHTAGNFPTIDDDNPAYALIAFLLFILGICLVIVALVAEQFPRAARVSAAIARALQDYLIGGN >LPERR06G20360.1 pep chromosome:Lperr_V1.4:6:18616074:18616421:-1 gene:LPERR06G20360 transcript:LPERR06G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAIAPRVAAAALRRHYIAPPNRGPAAEDDARSLIVNSGVFLMSAAVAIVVVHATGNPSTIDDDPAYALVAFLLFLLGIWLVLIALVAEKFPRAARVAAAIARALQDYLIGGN >LPERR06G20370.1 pep chromosome:Lperr_V1.4:6:18621250:18621549:-1 gene:LPERR06G20370 transcript:LPERR06G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPANDHPRVAAAALLRHYIANSGVFLISTAGAIVVVHTAGNPSAIDNDPAYALAAFLLFLLGIWLVLIALVAEQFPRAATVAVAIARAMSDYLIGGN >LPERR06G20380.1 pep chromosome:Lperr_V1.4:6:18624712:18625062:1 gene:LPERR06G20380 transcript:LPERR06G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAIAHPRVPVAALRRRRYIAPPNRGPAARRSRQLIVNSGVFLISTAGAIVVVHTTRSPSTIDNDPAYALLSFLLFLLGIWLVIVAFVAGQFPRAARVALAIARTLQNYLIGGN >LPERR06G20390.1 pep chromosome:Lperr_V1.4:6:18626729:18629751:1 gene:LPERR06G20390 transcript:LPERR06G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHGHRRLPVDMAAGICADSCCRQQIGGRRHWLALPFNACRLTMLFTGMQKCLKLHHCCLVQVINTIGDSICHIHVQLGGHMPIQHLGKTTPTYPISISTPVTRRCWKRSLLTTTSDFPTDFQFSACRRVRGCMLRQPDEYPRPDTALSAQTRLNRNEHHSLFSSIFYIIC >LPERR06G20390.2 pep chromosome:Lperr_V1.4:6:18626729:18629751:1 gene:LPERR06G20390 transcript:LPERR06G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHGHRRLPVDMAAGICADSCCRQQIGGRRHWLALPFNACRDAKMFKTSPLLLGAGHKHNRARRAYAYSAPWEDYTNISDLAAAISTPVTRRCWKRSLLTTTSDFPTDFQFSACRRVRGCMLRQPDEYPRPDTALSAQTRLNRNEHHSLFSSIFYIIC >LPERR06G20390.3 pep chromosome:Lperr_V1.4:6:18626729:18629751:1 gene:LPERR06G20390 transcript:LPERR06G20390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHGHRRLPVDMAAGICADSCCRQQIGGRRHWLALPFNACRLTMLFTGMQKCLKLHHCCLVQVINTIGDSICHIHVQLGGHMPIQHLGKTTPTYPISISTPVTRRCWKRSLLTTTSDFPTDFQFSACRRVRGCMLRQPDVNRNEHHSLFSSIFYIIC >LPERR06G20390.4 pep chromosome:Lperr_V1.4:6:18626729:18629751:1 gene:LPERR06G20390 transcript:LPERR06G20390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHGHRRLPVDMAAGICADSCCRQQIGGRRHWLALPFNACRDAKMFKTSPLLLGAGHKHNRARRAYAYSAPWEDYTNISDLAAAISTPVTRRCWKRSLLTTTSDFPTDFQFSACRRVRGCMLRQPDVNRNEHHSLFSSIFYIIC >LPERR06G20400.1 pep chromosome:Lperr_V1.4:6:18633575:18638274:1 gene:LPERR06G20400 transcript:LPERR06G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASALKVPGKEKSRRKGAGGEQLLSDQVLSLRARLHAALALGLAKSDGGSKKWQSTDAGIQTHVLKAAAAFLGCLSNDMTRLPPIKESVSDILVALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLQIVTCLSIQLSADQMPIAVSSASAMNCMLNTLATARGSILTEIWEALEKTDAVTCVISALQNYSHDVHPLNYLMEMLSLLRIILWIWPSSRYRVWSNCILMGKLAQYCVASEIDVAVRVLRLYAALALCGNGAMTLLKNEELMTKVGQLMGKSHPCTARIEALKFYQILLRSSKGCDLLMAPHCQSFIEGMIDAMSTDDERLLITEGCRTALLILRYAGDHHQLFWSNAIDDVLYKILTSNCISSDKSHQILSHDELFSMVSTNFMDIHPFVWDILGNLAVHCKNEYLSIRKRQTSVLLALIHCVCSLATDAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLQVLPLGDDSLNILCTSLEPNTTRSITASFDSVKIVSNLMSLTGLLMLQPSHNLLNKRRAVDALSNIIKECLCNNIYITRAKVASHLQFRFDGVSCCSLFEEWEGDNIALFYGLMVLFNLLKSINFVCVHCKRNLDVGIVCSDCRDHYSEGLIRVFENALCQDLSPGPKLYISHILSLFGLCGFPSKLGGKMRKALDDNELADLELLLSDGESLNAHTVIISVRCPKLLPSAKSLGSDGKITDERERSFYRVRMSDRVDSYALKKILEYAYTDFVMVDDDNIKPVRMLAKYCHLKSLQEMLQKEQPRWNSKCPRYDLTAALEPVKYSFSFSEVIKVPLGRQALNKLVQWFYSGELPKITPDCRWRNLNSEEQLSQLQPYAELSSLSEFWFLEGMKEESLSVVTSCLNSTSTAASVEFVGFAVQMGQWEMVEAAVSSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRYSQHGGRSS >LPERR06G20410.1 pep chromosome:Lperr_V1.4:6:18640828:18642090:-1 gene:LPERR06G20410 transcript:LPERR06G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAASPAAVMPFAGGGGGGGWLGPRVSFSAGAAEDVVVVCSSSSSSPVVAAAATPEPAISKDFIDFEFSLGCSATMLPADELFADGKLLPLRKPPPAALPEPATRPPQPEATMPTASEPMKPLRSTTAAIDATAADPYVFSPKAPSCSSRWRELLGLKRAAAQTPKPSPCSAPARTPARATNSTAARSLKLLLQRNAGRSSTSSVSELASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDFPRLSLDSAVVAGDNPPRIRLVRSSHRHSTSSSTRAGRNPARRRPSPPPAPRCLSVDSPRMNSSGKIVFQGLERSSSSPCTLHGGGGGAGGKPRPRAAVDRSYSSGGRVAPVVLNVPVCSRPVFGFFKDKKDSSATAKDGMAAARTRSSLGRKTAAWSGELGRSCG >LPERR06G20420.1 pep chromosome:Lperr_V1.4:6:18649918:18650719:1 gene:LPERR06G20420 transcript:LPERR06G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDGTLGKTHGLLPHYDILNKLFRYSIGTKGGDYSTIQGFSRDLLYYCRSGKPKISIIYYIWDEMQLTANDARRAPWFPCSFLHSCSYLSSIIFVFLILPYPALSAIFSVCTVNATLIHENAVKIRKIENRQKAFLCSQHVDVSDDDTREIPPPCFEDPFGDDGAGSSAAGSSAARGSMSHFFGFDPSTAFHENSGVTDAAAQGKESSEESGDAEESDDDGA >LPERR06G20430.1 pep chromosome:Lperr_V1.4:6:18663664:18667840:1 gene:LPERR06G20430 transcript:LPERR06G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSMVESRLPPGFRFHPRDDELVIDYLTRKLDAGGGGGGAAVVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRSISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRATIAKMPTESSSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQPSSSMNVPLTSTMVDQEQNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDAFDYLTESGFTQMWNSLS >LPERR06G20440.1 pep chromosome:Lperr_V1.4:6:18671372:18677322:-1 gene:LPERR06G20440 transcript:LPERR06G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARARARPLLLLLSLCFASCSANSAGNGYRTTAFMVDKEGRRFRAELAAVAGAGGGSAAAYGADVQGLDVYASQLAKSREASRYLTAMAGSPPPARLSGTSFLRIFLLLLLLAVPLRGVAGEYTVASVSGSKNRLKARLELAGGGTAPELGPDTDTRLHVRITDADRPRWEIPQDIIPRPTPEFFLAAPSPAPHGGRVLSTASSDLVFSIHSSPSSPLFRFTVSRRSTGDVLFDTTPNLVFKDRYLELTSSLPSAAGNGDRRRSSSSLYGLGEHTKRSFRLRRNDTFTLWNADIAASNVDLNLYGSHPFYVDVRSGGNGKTGVTHGVLLLNSNGMDVVYGGSYITYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAAMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPADKLRPFVDRLHRNGQKYVVILDPGIDVNTTYGTLVRAAKEDIFVKWNGTNYLGVVWPGNVYFPDFLNPRAADFWAREIALFRRTIPVDGLWIDMNEVSNFIDPPPLTAIDDPPYKINNSGVKRPINNKTIPASAVHYGGVTEYDAHNLFGFLEARATHAALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGMFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYFYTLMHEAHATGAPIARPLFFSYAGDAETHGIDTQFLIGRGVLVSPVLEPGATTVTGYFPAGRWFSLYDFSLAVAGNSGRRVTLAAPADTVNVHVAGGNIVPMQQPELTSTRARLSVFHLAVALAGDGTADGELFLDDGESPEMAGAKGNWSLIRFAAATENGNGGVVRVRSRVEHDTYAMSKTLVIGKVVLMGIPSSPATAKGFAVYVNGVQQVNASAVAVAGGGGGAKLGVAHVEGLSLAVGKEFDLKVVMNY >LPERR06G20440.2 pep chromosome:Lperr_V1.4:6:18671372:18677322:-1 gene:LPERR06G20440 transcript:LPERR06G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARARARPLLLLLSLCFASCSANSAGNGYRTTAFMVDKEGRRFRAELAAVAGAGGGSAAAYGADVQGLDVYASLETDTRLHVRITDADRPRWEIPQDIIPRPTPEFFLAAPSPAPHGGRVLSTASSDLVFSIHSSPSSPLFRFTVSRRSTGDVLFDTTPNLVFKDRYLELTSSLPSAAGNGDRRRSSSSLYGLGEHTKRSFRLRRNDTFTLWNADIAASNVDLNLYGSHPFYVDVRSGGNGKTGVTHGVLLLNSNGMDVVYGGSYITYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAAMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPADKLRPFVDRLHRNGQKYVVILDPGIDVNTTYGTLVRAAKEDIFVKWNGTNYLGVVWPGNVYFPDFLNPRAADFWAREIALFRRTIPVDGLWIDMNEVSNFIDPPPLTAIDDPPYKINNSGVKRPINNKTIPASAVHYGGVTEYDAHNLFGFLEARATHAALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGMFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYFYTLMHEAHATGAPIARPLFFSYAGDAETHGIDTQFLIGRGVLVSPVLEPGATTVTGYFPAGRWFSLYDFSLAVAGNSGRRVTLAAPADTVNVHVAGGNIVPMQQPELTSTRARLSVFHLAVALAGDGTADGELFLDDGESPEMAGAKGNWSLIRFAAATENGNGGVVRVRSRVEHDTYAMSKTLVIGKVVLMGIPSSPATAKGFAVYVNGVQQVNASAVAVAGGGGGAKLGVAHVEGLSLAVGKEFDLKVVMNY >LPERR06G20450.1 pep chromosome:Lperr_V1.4:6:18679243:18684968:-1 gene:LPERR06G20450 transcript:LPERR06G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQISRLRQIGQSKLGLLCTFLDQNLPQIFVLRVRRVRTRKGGARYTNWFSAAVQVPSLFFERRRDGDGDDGGGVVVVAALLTCAPPSGERFSLSELKILRVLPLGEGEMSGPMQRSSQSQFITSVERNNQSNGPGTPLIDSIDVDQIVISEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALVIQSLAARLGVVTGKHLAEHCRAEYPKGTNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVSLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTIAFLINISIISVSGAVCGSDNLNPEDQMNCNDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSKYISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRTATLPLLEGDSTVRIAGCDTGAEGEGSLGHLPREDISSMQLPQQRNASDLD >LPERR06G20450.2 pep chromosome:Lperr_V1.4:6:18679243:18684968:-1 gene:LPERR06G20450 transcript:LPERR06G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQISRLRQIGQSKLGLLCTFLDQNLPQIFVLRVRRVRTRKGGARYTNWFSAAVQVPSLFFERRRDGDGDDGGGVVVVAALLTCAPPSGERFSLSELKILRVLPLGEGEMSGPMQRSSQSQFITSVERNNQSNGPGTPLIDSIDVDQIVISELLWIILIASCAALVIQSLAARLGVVTGKHLAEHCRAEYPKGTNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVSLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTIAFLINISIISVSGAVCGSDNLNPEDQMNCNDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSKYISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRTATLPLLEGDSTVRIAGCDTGAEGEGSLGHLPREDISSMQLPQQRNASDLD >LPERR06G20460.1 pep chromosome:Lperr_V1.4:6:18706802:18708883:-1 gene:LPERR06G20460 transcript:LPERR06G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSLFFKRSSTVQRQSDASNEDFSGAENITRYSYKELAKATQNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSIRSRQGVKEFLNELIAISDISHDNLVKLHGCCVEGGHRILVYNYLENNDLQHTLLGSRQSNIQFNWRTRVNICIGVARGLAFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPLDASHVSTRVAGTLCFTFRGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKTWRYYDQGHLEKIIDSSIGDDVDVDEACRFLKVGLLCTQDITKRRPTMSTVMSMLTGEMEVDNEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMAHSTPSSSQETTRTSITFTAISDRN >LPERR06G20470.1 pep chromosome:Lperr_V1.4:6:18718857:18720288:-1 gene:LPERR06G20470 transcript:LPERR06G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLDAGGSDRLSQEIFSILESNFLFGDGPSSYFSVGRVRVLSIDGGGDGGLLAAAALVRLERRLKELTGNPDARVADYFDLAAGSGAGGFLAAAMFACRMTAEAARDVVAKNRKVFSGRHGRRLFWRPESVFRKVFGEMTVRDAAKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAAVAEFPFAAGAGDLVVLSLGGNNAAAAGNRASSSSLLRIAGACQADMVDQAVSMAFGESRATNYIRIQGNGIANGGAATAEAAMEERSVESVLFRGKKLMAQTNGERLDGVAEQLVREHHRRMESKTPVVLVKPSATPRTSSSSASTLITVSTNSSDSP >LPERR06G20480.1 pep chromosome:Lperr_V1.4:6:18737967:18742332:1 gene:LPERR06G20480 transcript:LPERR06G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEGQPSESGDSAAPAQDDNVQTASGHNSRRPNLSLQIPARTLDNQIGTSARINISPSPSSTRAGLPPRPNSTRTKSSLKNIIPQQSFRARSSAQEGDRAILLIPGTSSEGQQDNTSTLRSFSFRKVINSLSAKSTHSLPVTPIAIADKASPSGNQADNLPTTDTQEVQARIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPIPAVTISSSDGIEETVDVPGDGGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGANQRASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLHSRALAISLPFSCVLGLLSSMIASTMVRYFLDCTVTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQPVNDGQRSESGSDAVNENISSRQDGQNQESGNNIV >LPERR06G20490.1 pep chromosome:Lperr_V1.4:6:18742812:18746436:1 gene:LPERR06G20490 transcript:LPERR06G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGWRVRSMVQQLGWNCRRGFSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDINERTMKKFSDDGIPTKRSPLEVSESSDVIITMLPSSAHVLDVYNGQDGLLTNGSRLRPWLYIDSSTVDPQTSRKISMDISRCTLKEKKVYAEKPIMLDAPVSGGVPAAETGKLTFMVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLADIFNCSSARCWSSDTYNPVPGVMMGVPSSRNYDGGFSSKLMTKDLDLAMSSACKVGSKCPFGSQALQIYQKLCSDGCELKDFSCAFRHYYAGKDEK >LPERR06G20490.2 pep chromosome:Lperr_V1.4:6:18742836:18746436:1 gene:LPERR06G20490 transcript:LPERR06G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGWRVRSMVQQLGWNCRRGFSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDINERTMKKFSDDGIPTKRSPLEVSESSDVIITMLPSSAHVLDVYNGQDGLLTNGSRLRPWLYIDSSTVDPQTSRKISMDISRCTLKEKKVYAEKPIMLDAPVSGGVPAAETGKLTFMVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLADIFNCSSARCWSSDTYNPVPGVMMGVPSSRNYDGGFSSKLMTKDLDLAMSSACKVGSKCPFGSQALQIYQKLCSDGCELKDFSCAFRHYYAGKDEK >LPERR06G20490.3 pep chromosome:Lperr_V1.4:6:18742840:18746436:1 gene:LPERR06G20490 transcript:LPERR06G20490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHMARNLVMAGYKVTVHDINERTMKKFSDDGIPTKRSPLEVSESSDVIITMLPSSAHVLDVYNGQDGLLTNGSRLRPWLYIDSSTVDPQTSRKISMDISRCTLKEKKVYAEKPIMLDAPVSGGVPAAETGKLTFMVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLADIFNCSSARCWSSDTYNPVPGVMMGVPSSRNYDGGFSSKLMTKDLDLAMSSACKVGSKCPFGSQALQIYQKLCSDGCELKDFSCAFRHYYAGKDEK >LPERR06G20500.1 pep chromosome:Lperr_V1.4:6:18748815:18751483:-1 gene:LPERR06G20500 transcript:LPERR06G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFIGVFLSCFVLLSSATRNGSQDRCGAMGQTTLCKKLTNGSNWGQQLDYITYSNRIYQNTGYATKTAEYGHYGFIATMDVYGFLLSPGQLSSYGSVWIITGEAGSVGEAIQIGWRVKQGDERPAFYLGCVGPSYPLTDPSHIDVECPGFQPHIDARTRPGDPIPDISQPNGAKQYITVKVFKDKATGAWLLHYGFNKDPEIIGRIPNSPCPISHAYLTQPPTSGMAVTDQTFKPTPPQPPMGSGYKPVGNGSMAASMRNIQFIDEQGRAWPAGKDLVGFSICENHAYAFSHIDDQFFYGGPFSAAMSRTHAIYSYLLVLLFVYYVFA >LPERR06G20510.1 pep chromosome:Lperr_V1.4:6:18756256:18760924:1 gene:LPERR06G20510 transcript:LPERR06G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPAKPEDAAAAEAKAAKLRDLQEHVLRNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNLGELSEPEAVKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKMDARNFHGWNYRRFLAGFMGVPEEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIKQSKGFESKQKIFSEEFELVIQALFTDPRDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNCSLSSLNSICCYSLKEGILPIVLFFNEPVKGLSSSSVRLNSDLVVGKNIQWRPLSVTDSGHSNCWVAYLEVSNLEYNSLQQFSVELKITNTSVHKESNWHLERLSDEIELFRELPDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCDEALGFYNDLIHLDPSHKRYYEDERSLVLMDQLTCDMDALKKYFSVKVLSKLAPLNHVQLCRLSLTCIGFAERLLWVQMLDLSHNNLRSIEGLEALQQLVCLNLSNNHISSFTALEPLTKIVSLKVLDLSSNEIGSHPIDTTRYICSSPFSHKVEACEAFEECRKKNINVEEFWDAILFFKHVNLIQLGFKGNAVVDKENFRTLVLTLNPSLKWLDGARVH >LPERR06G20510.2 pep chromosome:Lperr_V1.4:6:18756256:18760924:1 gene:LPERR06G20510 transcript:LPERR06G20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPAKPEDAAAAEAKAAKLRDLQEHVLRNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNLGELSEPEAVKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKMDARNFHGWNYRRFLAGFMGVPEEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIKQSKGFESKQKIFSEEFELVIQALFTDPRDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNCSLSSLNSICCYSLKEGILPIVLFFNEPVKGLSSSSVRLNSDLVVGKNIQWRPLSVTDSGHSNCWVAYLEVSNLEYNSLQQFSVEVSITNSDEIVSRSGSNYNCPVHFSFTFKLSNNDVAQDTDPIHDLISWNCSEPLPSHVNPSCISFEQLKITNTSVHKESNWHLERLSDEIELFRELPDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCDEALGFYNDLIHLDPSHKRYYEDERSLVLMDQLTCDMDALKKYFSVKVLSKLAPLNHVQLCRLSLTCIGFAERLLWVQMLDLSHNNLRSIEGLEALQQLVCLNLSNNHISSFTALEPLTKIVSLKVLDLSSNEIGSHPIDTTRYICSSPFSHKVEACEAFEECRKKNINVEEFWDAILFFKHVNLIQLGFKGNAVVDKENFRTLVLTLNPSLKWLDGARVH >LPERR06G20520.1 pep chromosome:Lperr_V1.4:6:18761611:18761940:-1 gene:LPERR06G20520 transcript:LPERR06G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDAASHRSSSGSSQNVEKVRKMLFRRMLVGVNDGRYFLGLFHCVDKQGNILLQDAVEYRIAPSQRRRDSSPAEQRGLGLILIPAACRSSCHVDCSVEESLSLLSLE >LPERR06G20530.1 pep chromosome:Lperr_V1.4:6:18763714:18769158:1 gene:LPERR06G20530 transcript:LPERR06G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLSFDFEGGLDQVPAAAGGGGGGHGRGRGRGSYRQTVCRHWLRGLCMKGDSCGFLHQFDKARMPVCRFFRDFGECREPDCSYKHSYDDVKECNMFKMGFCPNGPNCRYKHVKLPGPPPPVEEVMQKILQIRSFNKFNQHRHNNYNQQGDRPQHPQGSGLPNQNSVDNTTTTTAQPAVGQQAQTTNQQPAQQQQQQQQKPNTNGQVQTVPNGSSNQATRIATPLPQGPSRYFIVKSCNKENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSEMTAILIAAEAKREEEKAKGVSADDGTDNQDIVLFDDNEEEEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMLRGVGPMMGGRGFPPNMMGDGFGFGGGFGMPDPFGVPRGFPPFGGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLDMMMGPGRGPLMGGMGMGGPGRPNRPVGMPPFMPPPPPQNNRGMKREQRRPAGERGDRYETTSDQGSRGHDATGNSGAEGTRSQSGDRYGRSALRDDDSESDEDAAPRRSRKR >LPERR06G20540.1 pep chromosome:Lperr_V1.4:6:18771576:18777235:-1 gene:LPERR06G20540 transcript:LPERR06G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPITLVARVIAKQQRKKQKQKQKRKRERKMRLSSSSGSVLPTQASSPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKEDDLTSSLMWLRESANPGFQSLNFGGLGMSPWMQPRLDTSLLGLQPDMYQTMAAAAFQDPTKQVSPTMLQFQQPQNIGGRANPLLASQILQQVQPQFQQHQYLQNINETTIQGQTQTEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVQQHQQMQQQKNMTNYQSVSNALSPFSQLSSASQSSPMTLQTILPFSQPQSFPDTNMSSLSPSNTSTIHNNTLRPFSSEAPSHLSMPRPTAIPVADPWSSKRVAVESLLPSRPQVTSQIEQLDSTPPGIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPNLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLNSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >LPERR06G20540.2 pep chromosome:Lperr_V1.4:6:18771576:18776935:-1 gene:LPERR06G20540 transcript:LPERR06G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPTQASSPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKEDDLTSSLMWLRESANPGFQSLNFGGLGMSPWMQPRLDTSLLGLQPDMYQTMAAAAFQDPTKQVSPTMLQFQQPQNIGGRANPLLASQILQQVQPQFQQHQYLQNINETTIQGQTQTEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVQQHQQMQQQKNMTNYQSVSNALSPFSQLSSASQSSPMTLQTILPFSQPQSFPDTNMSSLSPSNTSTIHNNTLRPFSSEAPSHLSMPRPTAIPVADPWSSKRVAVESLLPSRPQVTSQIEQLDSTPPGIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPNLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLNSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >LPERR06G20540.3 pep chromosome:Lperr_V1.4:6:18771576:18776935:-1 gene:LPERR06G20540 transcript:LPERR06G20540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPTQASSPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQGQLLSQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKEDDLTSSLMWLRESANPGFQSLNFGGLGMSPWMQPRLDTSLLGLQPDMYQTMAAAAFQDPTKQVSPTMLQFQQPQNIGGRANPLLASQILQQVQPQFQQHQYLQNINETTIQGQTQTEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVQQHQQMQQQKNMTNYQSVSNALSPFSQLSSASQSSPMTLQTILPFSQPQSFPDTNMSSLSPSNTSTIHNNTLRPFSSEAPSHLSMPRPTAIPVADPWSSKRVAVESLLPSRPQVTSQIEQLDSTPPGIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPNLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLNSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >LPERR06G20550.1 pep chromosome:Lperr_V1.4:6:18796851:18798230:1 gene:LPERR06G20550 transcript:LPERR06G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSENHAGGEGGGRQGLAADDFRDVFGGPPRTVLLRSFYGETAANDGYHHSPAMAGQQLYGGGAFCRRPCGGVGDGRVPTEVGFFDDIFGAGRHVRSRSRSTAKSSSAISSDDFAACRGGGATLSSFASKLRPIAIPSRRYDSSPPSSTASTTRCDYQSTSFTCSTAAYPASRCYFATGGRTTTATNHSSAAGAGDHHRQSHNRGGGFCCFTSNPPTTSNGHSFRRSTTRRGGGRTTAAAIDADEDDTDTEAAWSNADYGYYYSPPAPSSSSAASSSVFGGGRRRRMEEVVMEVRERAPLLMDDGDDVDSVGAAAVDEAIAWAKERFWSQA >LPERR06G20560.1 pep chromosome:Lperr_V1.4:6:18798802:18804031:-1 gene:LPERR06G20560 transcript:LPERR06G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRLAALGATPGYGGASSAQAQQRLFSSAGALLGRPPPPSYQIRSKVVGCRGAAFVSSRWLHDAQYQVRQDGASRSEEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPMSDSTQVGVLTILADKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVALMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTADVSVLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVEIALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >LPERR06G20570.1 pep chromosome:Lperr_V1.4:6:18808574:18808924:1 gene:LPERR06G20570 transcript:LPERR06G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQRASFLLQVVRTSSRSRKGEGVVFSEAAPWQQQPISSPAGGGGRGSPRPAKLDTIVEEDHSSIMMQEAASASSSAASSSSSAPAAAMGYRFGGAPAAGVGGGAAQTMAKRF >LPERR06G20580.1 pep chromosome:Lperr_V1.4:6:18810826:18811143:-1 gene:LPERR06G20580 transcript:LPERR06G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANVQMSPEKVTGAVAVTGNFEHLGRGRNGGGEVAERQARAIMSTAKRALEEEAAAAGGSGGKLSMKRSMEWFLESRRKRSTVAAAAAGAAAGEYGPSSSCSN >LPERR06G20590.1 pep chromosome:Lperr_V1.4:6:18814320:18827272:-1 gene:LPERR06G20590 transcript:LPERR06G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAMTHSHIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDGRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVLFPLFTQFCDAVPDGEQSCHTSLINYNLPAEVIELVASVLDGNVSNQQQMYLLSGLSIMGFLLQSATPKLLNSGTLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYTFLLKYFETDGRFLPLLCGLSRIIDIVCQYYSEDAESKCADGSKSLLHPVVQQVVGDRPKIEETCKLRVLLLSLAEMSLKLQVSPADIRALASFFERNQDLACVEDILKMIVRALSERPVLSSFVEHLVGKLLAGVPSEKKGAKLFTLPIGQSRATSDNSKNEITEASQLFFYTISETFFNVLLGGTSPNKECSESGPSKNKNCSTSPLVPFYLPQILVCIFRYFRSCQDSSARTRILSDLLGLLDSNPTNIEALMEHSWNCWLETSTELDALKDYKSVPKGELDNVEIDEVILVRNLYALVLAYYLRAVKGGWHQLEDTANFFLLKFDQGQLSSSCLLRDILDDIVGNLLQTSSEENIFLSQPCCDNVLYLLKIIQELLFNQIGIKLLFPSNPSEESLSDNKWKEDIKSTLNVILIDESYGQSKSFPWKSCQFADEDEISDNWWNFFDKVWDLICNLNGKGPSKLQPKGPNIEVSSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKMNRFSDKATLLRDQIFPRVFFHLVMLYLCKAGLENASKSLLIARSQYGQLDDGARYHVLSHLILETIICGKSMLVTHIFGRDDSMEIGNNKEAGSILNFVQKDRVLAEATAEVKHMKELQADRLRQLEELQSKLNEHFTEETQLEKTIEDDVHFLITSALSGDDKRKIAFRLAFDEDQQIVADKWIHIFRALIDERGPWSANPFPNDAVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSCSRNESTEGCVDQSSLNTKIPEKMKHFLLKGVRGITEDNAYEPFEDTNDTSEPSQINLQENQSLNNASDSLDYRTAIQNKKEPSCNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKETEDSGCKSDLGGVERLDGGRDYVIKSPNGVLTQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTAMEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSIKDKNSVISFVDRRVAFEMAENAMERWKRREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYDNFCDPDIPSFYYGSHYSAMGIVLHYLLRLEPFSTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNLYHLGVRQDGEPLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRTKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDNFFGIGTDVISPRKIGTFLAENVKFGRQCLATMQINGDKYLILCGNWENSFQIISLTDGRIVQSIRQHKDVVSCVAVSSDGNVVATGSYDTTVMIWHAFRGRPSDHVIMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGISLSKMVASQHGRVVLYSESDLSLHMYSINGKHIASSASSGRINCMELSCCGEFMVCAGEHGQIVLHSMHCLDIVWRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLKRRSSMPRTRIKPPTTKIDEIPGFNFKRKVINRKIKVCFHAHVHKSGSSSGTRIFKLLY >LPERR06G20600.1 pep chromosome:Lperr_V1.4:6:18828554:18843390:-1 gene:LPERR06G20600 transcript:LPERR06G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITTTSISLCLVSFLLVASSLLAVRGDDPYRFYTWNITFGDIYPLGVKQQGIMINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFPPPAGDFTILAGDWFKLNHTAQMSTQVVCSVCCVNFSAPAKLKLDFMQDLQGILDSGNDLPPPDGLLINGQGWNGNRFTVDQGRTYRFRVSNVGIATSVNIRIQGHSLLLVEVEGSHTVQSTYTSIDVHLGQSYSFLVTADQPPQDYNIIVSTRFTNPVLTTTAVLHYSNSNGVLSTVPPPAAPTIEIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTMRLANSRASINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFTLGSMPDNPTGGGAYLQTAVMAANMRDYVEVIFENPENFVQSWHIDGYAFWVVGMDGGQWTPASRQSYNLRDAVARYTLQVYPQSWTALYMPLDNVGMWNVSDSTEERVLNTLWKKYENALDKAEKEKALQIFVLQFVQTFRDWGPYHVMQFVDQELGSDETVVGCSHGHPSEIILILIQEISIIASIIAESGSSPESSPNYSEQQGDLGISNEQLHVLECLAILTRSMRNCRVFSYYGGVKKVTSLLKAAVAQLKTLNSLLSADDNSSCQAVEHIKMMLNMIIYIVTIISNFMKLEPTVARVPHFLNSTKYASSKNHLTTVTPSTSESAISDTLRHWQQRAIVLVMEAGGVNLLVDLLRVTQRLNLKDQWTDLSLHFITLCTLRSTISGTRAQNHFRSIGGLEILLDGLGLPSSKFSVSKHLSIPKDERFGNVNNLQILCENGRVHKFANCICWPAFMILEFHRRRDNARSSLALDSTSGPICFLDIAEWSNYSIKLSSALCSFVIPSKDINYCPDEIACNKISLSIPSAYREQSVRWIIRVLMTVFLCIKACTSETELPNHIKILSKTLQLYMIRTFRRVLVSKPSLLTAFREEGVWDLIFSENCFYFGSSVEDIQVHSVTEIETEDAINNNMETTDSESLYLSDVNILQLEAISFLEFAATLSENKYNLPECSALLDALEHCISDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACRQAKKLQNLGSFSSYDVTIGGDGSQMKNIEMSSSEERTEHAVICIELALSLFKEYVTISSYGRILILHNPDCIEYLFNLFQEKNFHKDVLEQILALFRVKLCIFQILSFDIASIWNYNILTVYFNQLPPSSKQDHAAKLQLCSKYLESFTEAHEKEKVNSKLLVDLLVSMREIIMMDRVYYQNLFRDGGCFLHIISLLNGTFNEATGEQLVLNLLETLTLLLEGNDTSKNEDVVILVLNILQKSSTSLQHFGLLVLQQLLKQSIANRTSCFRAGLLSFLLDWFSVEERDDTVVEIAELIQIIGAHSISGKDIRKIFALLRCEKIGAKKKHTSLLLTCLSHMLKEKGPEAFFEFNGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEYGLMVQNFIMSLFTMAKLPLGIALVSTLSSITSSGQV >LPERR06G20610.1 pep chromosome:Lperr_V1.4:6:18851409:18853174:-1 gene:LPERR06G20610 transcript:LPERR06G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGEGGGGLKKGPWTQGEDKLLVEHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPLEERTILRLHALLGNKWARISSYLRGRTDNEIKNYWNTRMKRRVRAGMAVYPPEVEREIAELRAQNANLSSAPAVDGDGNVCIPPSLLLDISSSPFAFPSPATASGLGSPVINQNYPLLNQMSLLHLANNQQQPAFHHDAAGHGGGGGFVSSGLPPLPTRPAREIHSNQFEITVASGGGGGDDDGLLDSLLLLGDDGLLPPPAPRPNTAMLRVGSMPELMYREPSSRIVIHGGGGGDSDVTSQYPPGEEDPHFGGRWDFVFDDVKPSKRKAASAVEEDISGMFGINPGSIPGEWFAAGAGSSAPSPGPSSVVTDDDFSLEMQQFMSSLPLLPSEEHQWNT >LPERR06G20620.1 pep chromosome:Lperr_V1.4:6:18854165:18857757:1 gene:LPERR06G20620 transcript:LPERR06G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRGDGGGGVARRWVLLLCAGSFSLGLLFTGSMWTLPEVTEVARPNERRDKQHELTARDCNSAKANAKRDYRDILQGQDANHAVQTLDKTIANLETELSAARALQESFLNGTPVSEGYKASDTMGRRKYFMVIGINTAFSSRKRRDSIRNTWMPQGLKRRKLEEKGIIIRFVIGHSAISGGIVDRAIKAEERKHGDFMRLDHVEGYLELSGKTKTYFVTAVSLWDADFYVKVDDDVHVNIATLGQILSKHVNKPRVYIGCMKSGPVLSDKEMRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISMNKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVENIQGVHNRCGESEQSLWISSF >LPERR06G20630.1 pep chromosome:Lperr_V1.4:6:18858075:18863179:-1 gene:LPERR06G20630 transcript:LPERR06G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTTRQKPANSATNKAKPRKLVSLCLGILGQHLEDIIADISEFATFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVTDVGLASVANISNNLWAIDISRCERITPAAVSEVICHCPSLEILRCGGCPRSESTARRSVNLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWQKIDDNSKDIISMECPRISVNPQPSPFDLRGSKVPAEALASVPLDHSIIVDIDPKTWAVVAAPQRPIIPTNPNAPPELSIAEKFRLAYVEREARLAPKRARRERQQRRRAEREYLMNDIDAKSVALAAQCAASISATGAGAGAAANASMKTSPASTAGDGGRKTTLLAADSFFRSGYLIIPLTPPRKCGTPPANSPAAAADGGGGGGEEGTATAMAVAERRERAMTLESRSRARAPGRRKRRRSSAAAGCSGGDLREGERSSIRRRWWWHSMGDACAMADRARRFGEFGRGFLDFLVNGEEGGGEVGIYRRRVEGK >LPERR06G20630.2 pep chromosome:Lperr_V1.4:6:18859305:18863179:-1 gene:LPERR06G20630 transcript:LPERR06G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTTRQKPANSATNKAKPRKLVSLCLGILGQHLEDIIADISEFATFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVTDVGLASVANISNNLWAIDISRCERITPAAVSEVICHCPSLEILRCGGCPRSESTARRSVNLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWQKIDDNSKDIISMECPRISVNPQPSPFDLRGSKVPAEALASVPLDHSIIVDIDPKTWAVVAAPQRPIIPTNPNAPPELSIAEKFRLAYVEREARLAPKRARRERQQRRRAEREYLMNDIDAKSVALAAQVSKYLRKS >LPERR06G20640.1 pep chromosome:Lperr_V1.4:6:18858193:18858642:1 gene:LPERR06G20640 transcript:LPERR06G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASPMECHHHRRRMLLRSPSRRSPPLHPAAADERLRFLRPGALARLRDSKVIARSLRSATAMAVAVPSSPPPPPPSAAAAGEFAGGVPHFLGGVRGMMRYPLRKKLSAARSVVFLPPSPAVDAGEVFMDAFAAAPAPAPVAEMLAAH >LPERR06G20650.1 pep chromosome:Lperr_V1.4:6:18864169:18870155:1 gene:LPERR06G20650 transcript:LPERR06G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESSRESPAAVAFSHNSRLLGPHAAASSHPPISSIKRLLLRSDPSAAVVHVDHVGRRIALAPTQLLAMLLGYLRRLAEADLESPVSECVISVPCYLTQRQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGGSGPAYVAFVDVGHCDTQVAVVAFDGSGMKVVSHGFDADLGGRDFDEVLFEHFAEEFRGRYGIDVIGNVKASTRLRAACEKAKKVLSANAQAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCRRAMADSRIEFDRLHSVELVGSGSRVPAIARVLAGFFRREPSRTLNASECVARGCALQCAMLSPTYRVREYEVQDAVPASIGFFSVEGPISALSSKALFQRGHPLPSVKVVTLHKNNTFKLDSFYVDENELPPGTSTQIGTFQIGPFQTHTEKSEVKVRIRVNLHGLISVESAALIDDDQSDADSADSMEVESNGEMGQQGDKPRSKRLVQRQDLPIIESIYGAMSKQELLEAQEQEQQLAYQDKLVEQTKDRKNALESYVYDTRNKLSERYRSFATDSEREGISFTLQQTEDWLYEEGDDETEAVYSRKLEELKRLVDPIENRCKDEEVRDQAMKELLKCIANHRRAAKSLSTPEQDAVESECTKAEQWLRERSQFQESLPKNVDPVLWSHEINKTLEELDIFCKNIARHKGSPARADASRGSDHMHTTDRD >LPERR06G20660.1 pep chromosome:Lperr_V1.4:6:18918191:18923908:1 gene:LPERR06G20660 transcript:LPERR06G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAATAAVVVLWLAFAGGEVAAAAGDRPSEVSIGALFTYDSVIGRAAQLAIELAVDEVNADRTVLDGTKLNLISQDTNCSGFIGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFVRSTISDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVSALSDALALNRARISYKAAIPPVSNADMINDVLYRANMMESRVFVVHVNPDAGMRIFSIANKLRMMGSGYVWIVTDWLAAVLDSSRPGDLKTMSYMQGLIVLRQHCPESGTKKEFISKWNNIARNRSIASGLNSYGLYAYDSVWIVARAIDQLIDSGQKINFSADPRLHDSTNSTLRLSTLRLFDSGEQLLQQVLLTNFTGLTGQIQFDSDRNLVHPAYDILNIGDSEPHLIGYWSNYSGLSVATPESLYEKPPNRSTSAQQLSKVLWPGDTSTKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCHFIVIGDGSKNPDYDDIISMVAANNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDSLLASALPIGYQAGKFTRNYLIEELNVPASRLVPLNTINEYADALNRGPKDGGVAAIVDEMPYVEIFLSYHCNFQIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSENGQLQRIHDEWLSRSSCSSDDGEMGATRLGLGSFWGLFLVCALICVFALVLFFARVCWQYYNYSGSEEANDPREDTASTAAAAVAVADEIQRRKPKRLGSFKELIQFVDKKEAEVRKSMKRRPSDKDNQAGSSDIQSMP >LPERR06G20660.2 pep chromosome:Lperr_V1.4:6:18918191:18924202:1 gene:LPERR06G20660 transcript:LPERR06G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAATAAVVVLWLAFAGGEVAAAAGDRPSEVSIGALFTYDSVIGRAAQLAIELAVDEVNADRTVLDGTKLNLISQDTNCSGFIGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFVRSTISDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVSALSDALALNRARISYKAAIPPVSNADMINDVLYRANMMESRVFVVHVNPDAGMRIFSIANKLRMMGSGYVWIVTDWLAAVLDSSRPGDLKTMSYMQGLIVLRQHCPESGTKKEFISKWNNIARNRSIASGLNSYGLYAYDSVWIVARAIDQLIDSGQKINFSADPRLHDSTNSTLRLSTLRLFDSGEQLLQQVLLTNFTGLTGQIQFDSDRNLVHPAYDILNIGDSEPHLIGYWSNYSGLSVATPESLYEKPPNRSTSAQQLSKVLWPGDTSTKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCHFIVIGDGSKNPDYDDIISMVAANNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDSLLASALPIGYQAGKFTRNYLIEELNVPASRLVPLNTINEYADALNRGPKDGGVAAIVDEMPYVEIFLSYHCNFQIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSENGQLQRIHDEWLSRSSCSSDDGEMGATRLGLGSFWGLFLVCALICVFALVLFFARVCWQYYNYSGSEEANDPREDTASTAAAAVAVADEIQRRKPKRLGSFKELIQFVDKKEAEVRKSMKRRPSDKDNQAGSSDIQSMP >LPERR06G20670.1 pep chromosome:Lperr_V1.4:6:18924984:18928720:1 gene:LPERR06G20670 transcript:LPERR06G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMNDLLLVVSAAVLAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHDALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPPRVKGFSWIREWAVGSHLRRLRAEFADTGAVRMMANCRLSICSILICICFGAKIPDELIIEIEEVLKDVMMMTMPKLPDFLPLLTPLFKKQLTEARHLRRRQLNCLLPLVRARREFLKGGGEKNADGNVVVGGVEMMSAPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAVQEKLYGEVVGKVGTTARITEADVETMPYLQLGGYRIPADASVEFYTAWVTENPETWPDPEAWRPERFLAGGEGYDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVE >LPERR06G20680.1 pep chromosome:Lperr_V1.4:6:18932894:18940368:-1 gene:LPERR06G20680 transcript:LPERR06G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGRSQRNRILTCSWILPRERKSRKSKHHPYRPQIVTATTAAHGWAWPASKQETVPSTVGRLEAGLAEARAAIRRASRTRNCTPADGGGFVPKGAVYRDAYAFHQSYIEMEKRFKVWAYREGEPPVVHKGIDVDAGGDIEAHLIAELTAGGGGCGRHRARHPGEAHAFFLPISVANIVSYIYRRDMIDFWDPQLRLVAGYVDGLAAVYPFWNRSRGADHFFVSCHEWAPILSAAKPELRENAIRVMCNADMSDGFDPATDVALPPAITGGRVSPAAPAERDRVAPERTLLAFFSGGGVAGAVGNALLARWEGDENDDGGGGVAASRRVVEAIVAGCVPVLVGGGGYSPPFSDVLDWRRFTVAVAAERVGEIKEILAGVSDRRYAVLRRRVLQVRRHFRVNRPAKRFDVVNMVIHSIWLRRLNLTLPY >LPERR06G20690.1 pep chromosome:Lperr_V1.4:6:18941720:18947570:-1 gene:LPERR06G20690 transcript:LPERR06G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKGKQRREAEAALAAAVRREEQRRREEAAAVRGEAVAALRTDREGRYEEAIALADDLAAKHPESALVLHLAADLHHRAFLRAAVDGSVGEDSTAHLDQSRRLYEQAARLAPNCYQIAIGLAMTGLHNAKDLMPDREIKRAVEIASPTDPFETNVAFDLEPDGGGRNAMERIARARESAVNHYNSIMSQMLTDVIPRAVVDVLDIANREGTATARKRAKELVDRYDYSGRVFLTHGHISLQLVRDLDAKLDKKPFLHRILEPFNKVVHKFINSIEFSMFRAKICFLLRIYSSAEAECDRGISIENPIDPRLEDLPPGSIPGEKLEDRASYLRSELERMLQKLVLEARDYWSSLSVEKQHSFRVVGLQSLNQHYVDFYAEDHPARKTVSDALNFVKKNRSWRFWVCPYCVGKKIPGIGALLQHMHSKHPDGDFWPKLLSIVEPKLVPDTDTSQGDYFLKSATIYEDPEETYVFHFKSMDTIFRYLYLRAPTKIEQDPLSKMRGEKCSKGVLILEEIKLKLKDVPTDTSSPESNEACAEIRELWSSFLEISLLDYRVVISPFAMSFISDELFNCMTEDQEASKRSIDVAAIDAVFPSISVFPDVDEIFPKVEDAPDNNDTPVTHGQSAEETARIANYQSVGAFNKENTDKDLFVLRVIIQSLWNLRCFRDEFLRAPPAKILHINDNCISELLYGIFSAWEKKEQNEVDVLLTSLNAILCKFSDDSMFQKVNLANQFQLQDRKFFASKIMATILQALHMSETPLHFDFNSEIEECVVSPVSCGDCICRTHNLFGIMFHAQMSCKCGKCFDEKEHTTLFYRLDGGSPQTAKIESFAELPVLYDEQSCSQDNCKHCGSLKNVDFSPSITPHIFTIVLEWFGEYENKIQLSEVLVGIAHPLDIKLLCKGVISANYSLTSMEIQKEEINNELYGVWLICIVLGVTCHCVLCLVLYLNYHKYMDYMNKVSYADGRYICFVRDQDKWLICDAETVEAEDSWEQSLERFRDCMLQPEVLFFEAVK >LPERR06G20700.1 pep chromosome:Lperr_V1.4:6:18949823:18955482:1 gene:LPERR06G20700 transcript:LPERR06G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPSVVTATTPPAIAGAAVAPFRSFDAGVVEFAPSAPDGDGGLPEAGGGCDTAPPPPGVVDGDGATAAGGDSGVVVGAGPGDKAAGDGTIGETDGPGDVAGDGTIGEIDGVGDDVAGDGDGAKLGGATGEGIGGDGGVWWRSAMTTTMSFSPARQLDSLPLMKKKGPDRSNVNTVLPSSNLPPPATAAVVLHASYAA >LPERR06G20710.1 pep chromosome:Lperr_V1.4:6:18954418:18955276:-1 gene:LPERR06G20710 transcript:LPERR06G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVASFSVGLACLVSLMAAVASATQFRVGGSRGWSVPDANAEPYNSWAGRMRFQIGDQLLFVYPKETDAVVVVDQAAYDACNTTAAVAGGGRFDDGSTVFTFDRSGPFFFISGNESSCRAGEKLIVVVMADRHHTPPSPPMPSPLGSVAVAGDIIAYSVNFTYGAVAGDIAWSVSFTYGAVAGSFVTWPCSDDNAGIAAGGRGTVAVDYSRRRRGRVTAAAGFGQPAVTVGSRGGELDDAGVE >LPERR06G20720.1 pep chromosome:Lperr_V1.4:6:18957068:18958636:-1 gene:LPERR06G20720 transcript:LPERR06G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMKGIFKGLKMISQIFALQKEHEMEIGCPTDVRHVSHIGVGTSDACPSWVRISYLIAGRYCPNFEQPPRTMLPREFCPDNSGQDIPRGPKNPRRKKTSRASSFSSSASSFLSRSRSSTFTTACGDFSLRSGLQVA >LPERR06G20730.1 pep chromosome:Lperr_V1.4:6:18972977:18973740:-1 gene:LPERR06G20730 transcript:LPERR06G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGARQYITLKVHKDNASGDWLVHYGFNTYPGLIVASPNQSSQPHRSS >LPERR06G20740.1 pep chromosome:Lperr_V1.4:6:18980313:18982194:1 gene:LPERR06G20740 transcript:LPERR06G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLTALFFAFAVVVFAAAAAAEARAVQGFNNEATVAMPAASDPAATGGAGAPGLLPGGGMPFPLLPFLSFLFPGAGAAAGGGSALPFILPFLLPPLTGGSPAGGASPAAGSGGFQFPFPLPFPIPPPSSPAGGGAPPSSAPGGFQFPFPLPFPFPPLSSSSPSPAGGAPLSAGGSGFPFNLPFPFPPLSSSSPSPASGSGLPFSLPFPFPFPPHPSSPGSSPPSSSSAGLPFPFPMPSFPFPSSQPSPPSPATPTTSPPPEQAQPIKECMTPLMSMMSCADYLMTSGTAQTAGTPPAACCDGFKSIVSTAPICLCHGMNGDLNKFLPKPVDMMKMMMLPASCGAMLPLQTLAMCNSASVPPLMAPTPPAAAPAPAPASPPSA >LPERR06G20760.1 pep chromosome:Lperr_V1.4:6:18995649:18998634:1 gene:LPERR06G20760 transcript:LPERR06G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDGPSSSGSRWSRMMSTDSWRWCLGLIYIVAVAGIWIAASYIVQSVVDGGVSPFLITYICNSLFVIYIPIVEVARYFEDSINNFWTKLKGKDVTELEQSADLESINLLHTSEQEGSAASLARSPEDILASDTVFPAQVELSVADSSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTSNAIATNPLLGDILSIVSAGLYAVYITLIRKKLPDEKEGQGKVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTVTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQASQQEQETPIVSMVDDPVHLPSDGNATGVVQ >LPERR06G20760.2 pep chromosome:Lperr_V1.4:6:18996469:18998634:1 gene:LPERR06G20760 transcript:LPERR06G20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDGPSSSGSRWSRMMSTDSWRWCLGLIYIVAVAGIWIAASYIVQSVVDGGVSPFLITYICNSLFVIYIPIVEVARYFEDSINNFWTKLKGKDVTELEQSADLESINLLHTSEQEGSAASLARSPEDILASDTVFPAQVELSVADSSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTSNAIATNPLLGDILSIVSAGLYAVYITLIRKKLPDEKEGQGKVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTVTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQASQQEQETPIVSMVDDPVHLPSDGNATGVVQ >LPERR06G20770.1 pep chromosome:Lperr_V1.4:6:18998911:19000220:1 gene:LPERR06G20770 transcript:LPERR06G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPSRSRRNPRIVACSINPCASHTAAAISTQLLRPLPLRPLPIPGDYSVPHDVNDEITIAMWKSNLET >LPERR06G20770.2 pep chromosome:Lperr_V1.4:6:18998911:19001383:1 gene:LPERR06G20770 transcript:LPERR06G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPSRSRRNPRIVACSINPCASHTAAAISTQLLRPLPTLKHEYWSYMASQFFLDMKNVNLHFALKSRYERLRANVWHGLGLTKPWACIIHPYAQI >LPERR06G20780.1 pep chromosome:Lperr_V1.4:6:19001782:19004976:-1 gene:LPERR06G20780 transcript:LPERR06G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAMAYAQAQQQQPPPQYGFHPQAAPPPPQYQHHPHAAPPPFSVPPQYAPYPRAMSQAQQLYPHHLPPPHQQPPPPFAAAHPSHYMHAPPPPFDSAAAAPPPVAAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHENPDYAFIFGGEGHAYYRYKLWLSPPRPPVGNYPPGSMHMMPPPQLGPMMRGPPMHQPGYPPFYDQHQHFGVHGHGEFDAAPQSFKGLSGPLPVDVAAELHDVLGNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTDARDLDNEAFAFKSVLGSMLSRIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVSYPLPPRHVSPDPSTFSGSVQQPSKWSSGPQEEQATHHPVSVPPQPVLSAQFPVNQLPAGVYPPVGQTAFPGSLPVQTPTVLPQIAATPSITNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESDILERVSKFFKEIGEVNPSEGPMKQSEPDDYDNYERDIPARKGGACIPPPPNLLVNPETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSITARSSAPK >LPERR06G20790.1 pep chromosome:Lperr_V1.4:6:19006762:19011797:-1 gene:LPERR06G20790 transcript:LPERR06G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSAARRPIPPSLLAVDLDWLHVLDYGSQADRRRDHPDDFRGRHLRRYSPHRRNSPERDGRGHMFRDQRPHSRDRGSSHSRSPIRKSEGRHSKKPEGRKTDSSESLNSSDNEDRKKDDKFNNSDDKHGSETQLQNIRLDMEALREEKSTLETSLNGKINEASKLSSRIADLELKLTAEKNNCQRSQARFERLADLLAADILKPCSKDQGSSAAKEDLYNAYEMSPSDQRQNHKTTRKRSVALSTSEEGRNGKKRRESHDNMIPMSEKYRPEDALEPFQSGKGTETKELLSVKKNLGEGDNNEEGNIVSSGNVFTDRYDGGDDEVLVD >LPERR06G20790.2 pep chromosome:Lperr_V1.4:6:19006762:19011797:-1 gene:LPERR06G20790 transcript:LPERR06G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSAARRPIPPSLLAVDLAVKLGRRDHPDDFRGRHLRRYSPHRRNSPERDGRGHMFRDQRPHSRDRGSSHSRSPIRKSEGRHSKKPEGRKTDSSESLNSSDNEDRKKDDKFNNSDDKHGSETQLQNIRLDMEALREEKSTLETSLNGKINEASKLSSRIADLELKLTAEKNNCQRSQARFERLADLLAADILKPCSKDQGSSAAKEDLYNAYEMSPSDQRQNHKTTRKRSVALSTSEEGRNGKKRRESHDNMIPMSEKYRPEDALEPFQSGKGTETKELLSVKKNLGEGDNNEEGNIVSSGNVFTDRYDGGDDEVLVD >LPERR06G20790.3 pep chromosome:Lperr_V1.4:6:19006762:19009965:-1 gene:LPERR06G20790 transcript:LPERR06G20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVICSVIRGHTLEIGGRHSKKPEGRKTDSSESLNSSDNEDRKKDDKFNNSDDKHGSETQLQNIRLDMEALREEKSTLETSLNGKINEASKLSSRIADLELKLTAEKNNCQRSQARFERLADLLAADILKPCSKDQGSSAAKEDLYNAYEMSPSDQRQNHKTTRKRSVALSTSEEGRNGKKRRESHDNMIPMSEKYRPEDALEPFQSGKGTETKELLSVKKNLGEGDNNEEGNIVSSGNVFTDRYDGGDDEVLVD >LPERR06G20800.1 pep chromosome:Lperr_V1.4:6:19013500:19015606:-1 gene:LPERR06G20800 transcript:LPERR06G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRWREDMMALSLRGCGFGDGDDDRPEKPRRYGVTEMRSPLYAFRPAHHALQEILDSLGPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSVFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKTSGLQAKPLFSVKFDSSDIPPSGDRAFGAYIVPVKQNSERVEDVNLFVDHSDVMNLERLRGFNMRGRNNNSPLFGIGSPFFLM >LPERR06G20820.1 pep chromosome:Lperr_V1.4:6:19017459:19019400:1 gene:LPERR06G20820 transcript:LPERR06G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYLLSGKLMQSDCPARKGKMPTVWAALKKSLNCKSGDSCGVIERANSKSHGGASITAGKKSSSSSAAATAAAARLRRSGCSRSIANLRDVIHSRRQDAAAATVAGEGCGSPMSIGSNDVLNPVTHDVLLAAGGPDAAMRELRIGTPGRGGPYSPLLLRCSTTPVVSTRKSPSTMSPLRTAGAAVTDTDDDDDTPSPAPARSSCDVGVRCHRCGDRFSTLDALDSHHLSLHAVTELVEGESSRKVVEIICKAGWPKSENPPCHRVLKVHNAASSVSRFEEFRETVKSRAAKLTTTKRHPRCLADGNELLRFHATTLSCSLAGAGAGGGVCASGNGCGVCRIIRHGFSAARDVKDGVGVFTTSTSKRALDDCVAAGDGEVEAGVRKALLVCRVVAGRIHRPLDNLQEAVATAAAQPGFDSVAGKVGDYGSIEELYLLNPRALLPCFVVVCKA >LPERR06G20830.1 pep chromosome:Lperr_V1.4:6:19019879:19021548:-1 gene:LPERR06G20830 transcript:LPERR06G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAVAAGDSPVCVTGATGYVGSSLVLALLRRGYRVHATARDPAKAWQALSPAAEKEKERLRVFRADMAEDGSFDAAVAGCVALFHVAASMDLHVDNSNDIEEHVRTRVLEPATTGTINVLQSCVRAGTVRRVVFTSSISTMTSAPAAAVAEPRRRNAVVDESCLRAAADVWTTKPIGWVYILAKLMTEEAAFRFAQENGIDLVSLVLPTVAGPFLTPAVPTSIQLLLSPITGNKFSIARSHCNDLQHCDPKLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMEEEADGRYLCVGGSYPMAQIAQLLLSKAFHGTNPSVVSSKRLMDLGFRFEYDVEEIIKDSVAQCVHHGFLQDHDMCNC >LPERR06G20830.2 pep chromosome:Lperr_V1.4:6:19019879:19021548:-1 gene:LPERR06G20830 transcript:LPERR06G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAVAAGDSPVCVTGATGYVGSSLVLALLRRGYRVHATARDPAKAWQALSPAAEKEKERLRVFRADMAEDGSFDAAVAGCVALFHVAASMDLHVDNSNDIEEHVRTRVLEPATTGTINVLQSCVRAGTVRRVVFTSSISTMTSAPAAAVAEPRRRNAVVDESCLRAAADVWTTKPIGWVYILAKLMTEEAAFRFAQENGIDLVSLVLPTVAGPFLTPAVPTSIQLLLSPITGNKFSIARSHCNDLQHCDPKLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMEEEADGRLSKAFHGTNPSVVSSKRLMDLGFRFEYDVEEIIKDSVAQCVHHGFLQDHDMCNC >LPERR06G20840.1 pep chromosome:Lperr_V1.4:6:19022198:19022936:-1 gene:LPERR06G20840 transcript:LPERR06G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVAALQGEMVSLSISAPCAASTSGFWAHRLSTFSATPAGIEGPIHSSNVTLYPKENNVTSRVGHKFLEDGTKVR >LPERR06G20850.1 pep chromosome:Lperr_V1.4:6:19024903:19026833:-1 gene:LPERR06G20850 transcript:LPERR06G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALQGAMASLSISAPGSASTTGFWGNPLSTFSAAPAGVCKNFDMQMKFMIKTCPIQMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVMRLYKHNSTVLVKDLNLKSKHKKGTGDEPGEIVMIEGPIHSSNVMLFSKEKNVTSRVGHKFLEDGTKVRYLIKTGEIIDSAENWVKVFKEGSSE >LPERR06G20860.1 pep chromosome:Lperr_V1.4:6:19028997:19033723:1 gene:LPERR06G20860 transcript:LPERR06G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTLVHILVSFSACAEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMKDIGLDAYRFSISWSRIFPNGTGEPNEDGLSYYNNLIDALLDKGIEPYVTLFHWDLPQALEDRYGGCEDFVQYAFTCFKEFGDRVKHWITFNEPHNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVSHNILLAHAGAFRIYEQHFKKEQGGLIGIALDSKWYEPFSSVDEDREAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFFPQASKLVSGSLDFVGINHYTTLYAKNDRMRVRKLVMNDASTDSAVIPTGQFCITDFSACTMTAYRHGKKIGDTAASSWLHIVPWGMFKLMKHLKEKYGNPPVIITENGMDDANHRFSRLEDALQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVQWFNQVLAQKTAII >LPERR06G20870.1 pep chromosome:Lperr_V1.4:6:19035823:19036218:1 gene:LPERR06G20870 transcript:LPERR06G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRQMTGAASASASAVGFEDYLPLMAERLGEEGLMQELTSGFRLLMDPTTELITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASQFLFTS >LPERR06G20880.1 pep chromosome:Lperr_V1.4:6:19042823:19045111:1 gene:LPERR06G20880 transcript:LPERR06G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVRVAVHAGLGCEPGRAGLRPGHPATSRVAIAADGNLVLVNEVNDLVWSTNVTSGDDRATVVAVILDTGNLVLRRTNGHGESVLWQSMDHLTDTWLPGARLGFNKLTGEAQSLTAWINSGDPSPGVFTLGIDPSGTSAQYFITWNRTVTYWASGEWNGEIFAGIPEMTAHYMYNFEFVSDANGSYFSYSLQDPSIISRLVVSVSGQITQLTWVPSAGEWILIWTEPHRLCDVHAVCGKFAVCDEKSQPFCSCLAGFRAASPGDWDLGDHSLGCRRNNPLRCGTTATGDKDDDDGFLLITGVSMPKNGSILVRASGDRDCRSACLMDCSCNAYAYSDGCALWNGELLNLQRQTDESSGASNLYIRLSAMDVPSKSSSKKTITLSCAGASAALIIAILLAMAFVVVRMSSRRRTKRFTQALQRGNLVAFRYSDARKATNNFSEKLGGGSFGSVYKGNLAIAGGADIAVAVKKLEGLRNIDMGDKQFRNEVRTIGTIHHVNLVRLRGFCSGRRGERLLVYDYMPNGSLDKSLFSASGAGAAAGDVLSWAARYKIAIGAARGLLYLLKGCRDCIIHCDIKPENILLDESMTAKVADFGLAKLVGRESSRVLTTVRGTIGYLAPEWIAGVAVTSKADVYSFGMVMLELVSGRRNARWSASCSEYFPLVAARKVREGDVAVLLDERLDGEADMEEVDRACRVACWCVQDDEARRPTMEQVVQVLEGVVAVDVPPVPVSLKVFTDDAADSCFSGDDECSSQMKGSS >LPERR06G20890.1 pep chromosome:Lperr_V1.4:6:19046585:19046902:-1 gene:LPERR06G20890 transcript:LPERR06G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSKKASSFVVAASMSAVEALKDQAGLCRWDYALRSLYHRAAAAKQVTGRAVPVSLSSQNAGNGVASSPAAAAACGRAVRSKRSEEEKMQKAYHLVCWGPN >LPERR06G20900.1 pep chromosome:Lperr_V1.4:6:19049371:19049655:1 gene:LPERR06G20900 transcript:LPERR06G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRDCKRESAFRAASLLRERDAATTKAKHQQQQPSVVDGRGRNGENLAETEAVRATARAIAWEAETRKRHAAEETEIMRTEKLMHLLIWGPN >LPERR06G20910.1 pep chromosome:Lperr_V1.4:6:19051169:19052539:1 gene:LPERR06G20910 transcript:LPERR06G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSLSSRSAWFPQSPAVAVVRKGDKENRRPEVDDAAACGGGCDVEDEIGHIEAEILRLSSRLHHLRCSKQPEPEPNRESAAPTREVVAAKPRPRGRGLSLGPLDVISIFNREKQPLRTTKQPPVNRGRGFSLGPLEIAAATNPRVPAAQHQQQQRGPAGAAQILKPIKEPPVQRRRGVSLGPLEIHHGVGGKPAAAAAARGKPFPTKLNAIREEGRSSKQFAVPAKPWPSSNTKQTLDSRQGTASSRAKARSISPRPRRQSNGKATETRGGNKVVDELKPKIGASTQSGSTTATAAAAAAGKRPAGSSKMRVIPSRYSLTPGASLGCSGTQERRRKQSLPGSSGDSTQNEEIRAKVAEASNDQVSSPQTISKVAEMLPRIRTLSPFDESPRDSGCAKRVAELVGKRSFFTAAAEDGNAIPSYEARVLEVEAPEVAPMV >LPERR06G20920.1 pep chromosome:Lperr_V1.4:6:19054138:19055946:-1 gene:LPERR06G20920 transcript:LPERR06G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEAEAPDQSRSSSCHGEAEAAEALKLLASMASSSLTCNIPQFPAKWQSIKDKIQQLCSGFDSLFTIDDSESESESESNEEQEQNPALAQLLESASATVRSIQSMASQCSDGTYNGGRLRLRSDLDGFSSKLDSHMKQLKEMAASGTPSPSTAIVAVRPAADAGAGEKMFYLKDLFSRVRIGGEVQRGNALAAIRELMSEDEVCVRIVAADIDDGVALLAGFLESSDPRIQEEAAGAVALLAADGDSYRGILVKAGVIAPLVQLLDAGIAASDGDVARERAAQALRELTENSDNVWAVCAHGGLTTLLRAAGAGAVAGGGKLVAAAFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEECHKLGAVELLHAMALDDADVREEAVAMGIIQSLLQLIYPDLPYSYKAREVALAALWFFCFSSANSIDDLISSDVLGWLLFYINNGDYVVLECTLKILRHLSEVCEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGENLNRLLQLLDPAEGKLVAKDLILSAILSLAETNSGRKKIVSSENFSCLKELADTGDFDAKKVVKKLATNRFQTIFSKIWSV >LPERR06G20930.1 pep chromosome:Lperr_V1.4:6:19059614:19067452:-1 gene:LPERR06G20930 transcript:LPERR06G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAGREEDATAAPPICVHPAVGRLVLAQAHLELRKEKGNNWSSSSHLSRPVNPGRMGFFFFASRAAARFFGDARTSYPGVATTALLLAAASSGGLVAYADSGADNASEPSQEAPKKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMLEKKKKDVTFYEAECFKIDALKKAVHCRSAVGTNLDGNGDFMVKYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLVEDLVKIYPAIQDFVKITIIQSGDHILNMFDQRIAAFAESKFMRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMHQIGQTNRRVLATNEWLRVRECDGIYAIGDCSSITQRKIMDDISTIFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKTMHMLDIRDLIKDAIGDSHKESMVVDIEEFKNALSHVDSQVKSVPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSERHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >LPERR06G20930.2 pep chromosome:Lperr_V1.4:6:19059614:19064132:-1 gene:LPERR06G20930 transcript:LPERR06G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFASRAAARFFGDARTSYPGVATTALLLAAASSGGLVAYADSGADNASEPSQEAPKKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMLEKKKKDVTFYEAECFKIDALKKAVHCRSAVGTNLDGNGDFMVKYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLVEDLVKIYPAIQDFVKITIIQSGDHILNMFDQRIAAFAESKFMRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMHQIGQTNRRVLATNEWLRVRECDGIYAIGDCSSITQRKIMDDISTIFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKTMHMLDIRDLIKDAIGDSHKESMVVDIEEFKNALSHVDSQVKSVPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSERHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >LPERR06G20940.1 pep chromosome:Lperr_V1.4:6:19074376:19076366:-1 gene:LPERR06G20940 transcript:LPERR06G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESAAAGGEIEEGGSEEPAAETALQPVAGAIAGVGAEGGSGERAAEMALQPVDESTCGEEAEGGSEERSVEMALQPVVGSSGGEEAEGGGGGEHAAETALESDADASGSAVKRRRVEYGERKEIPDDVLQLIISYLPLRQVIALRVRPRAAPSVDGVVTDLGVTEVGGRADRLDCLAVVVHTMMKSSQMSDIIERAATRGVRNLHIEVHNITARDKVRFRFPILSRELERLYLSNVIISSMAFKDNRNFDEFAEIYFDSVSVSGYVFRKVMMRCPKIRILDLRRCTGLDAVDIPPGATNLISLTIVECDSLKRVDVSSVPRLHSVFYSGRFISSFYLPRARATFTNLYICYSGSILPQVFGEWSRNTLPNLSNLTICSNSLERLTELQLLMFQMKASNLADIYAFLKTFHFPNLAKLFLQLPRIRDQENTIHLQSVSEEMPEGRLDNLQVVRIMKFTGSQIEMQLVSFLLRKARFIRTLQLVSPSPNTIPLDALGSEGQDIQRALDNGVIKFGESDDDATRPCHSELFIKF >LPERR06G20950.1 pep chromosome:Lperr_V1.4:6:19078374:19079271:1 gene:LPERR06G20950 transcript:LPERR06G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYELSLSPGQLGSYGLVWLETYQDDSDQSVLDGIQRGWNDNTSGDWLLHYGFNRDPQLIGRIPKSFFTTLVGDKAADIWFAGMAEINVTLQPTPALAPMGSGYMASGNANMEASMSNIELIDERG >LPERR06G20960.1 pep chromosome:Lperr_V1.4:6:19085425:19087428:1 gene:LPERR06G20960 transcript:LPERR06G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWMVNFLCLVVLLAVVAGVTAQDYNNGGEDEEEEKPSFKAQEACNGAFLTYTFTERAKEYPRTKNATAQAYAFKATATVLNTMTDDLKAWQMFVGFQHKEILVSVGGAVLLDGTDLPANASTGVTFAGYPMADLLNSIETAGDLTQIQAQIDITGTQFGVKPPGTPMPKTIKLSNPGFRCPTPTRKESVMYVCCVKDPKFKGKKANATRYLPRQKADLTIAYDVLQAFGNNYMAQVTIDNWSPISRLDSWNLTWEWKRGEFIYNMRGAYTLNKEGPACVYSPAAGYYKDFDFTPVYSCEKRPIVVDLPPEREKDNAIGNIPFCCKNGTLLPPTMDESMSRAVFQMQVYKLPPDLNRTALYPPQNWRITGKLNPHYACGQPMRVSPMVFPDATGLMSSTPAVASWQVACNITRPKKRAAKCCVSFSAYYNDSVVPCNTCACGCGNDTATCDPDARAMLLPPEALLVPFDNRTAKARAWAKIKHRRVTNPMPCADNCGLSINWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMGAPFNNTIFMQGLPGLTYLEPITDGRTPADPRVPGKQQSVISFKRKDAPNINIAKGEGFPKRLYFDGEECALPETIPKASSARRRAAAPASLGQIVVAVVLVMVVDSLCL >LPERR06G20970.1 pep chromosome:Lperr_V1.4:6:19089993:19091152:1 gene:LPERR06G20970 transcript:LPERR06G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSLLGQSELLRYRAIRLRRAEWSRLREAANAERDRDARLAMVLILTLLLALATPAVLIWCALRRGGDADALLVTIMWRLSLLLSAYFFLCAIVLSVTRGVCAAVLDFSYGLLLAYFADHVLGPRIGMVVVYVSSLFAAGMAGYALAERRRSDGDDRSADNVPAFATEEEEEYARSLLISSALILSLVLLAPAAYFTYTFVWPYASGAAAGRSVESMMRDISFAMLTYLFFSTTFVTHHLLRGALLGEGNFYIFLITFAIILFLPLPVSSVFGDIAGIIVLWLGVISLAVFFGYSLGVYSSYKQRVDHELSYLGHVQRAELERGSGPPARTRADVAAGTMALQSPSGLLQAPVVSAEPPPKTSQ >LPERR06G20980.1 pep chromosome:Lperr_V1.4:6:19096331:19097805:1 gene:LPERR06G20980 transcript:LPERR06G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLVHLICPRSPPTAFMLESLVHRIAFGVTSNWEKVFDCFGGESLFLLGLDKDTLVIIFDSILCQFVEVCLTGASLFFFWSFKSHHGQDETAARSSLILLGLFLIGIGYSFLGMTSRWLISSNALPYYLSALLICRTIQMLFPVYVRKYTNMVNDEPLVFSFKMMSTVFCFFTSTYDAWNFGTQKFTYAERKTALLVVCIFCAWCVAHVRYEPEKIARRYEAFCSKIRRSLRATRDSINDLLHHLMQQFRG >LPERR06G20990.1 pep chromosome:Lperr_V1.4:6:19102238:19104338:-1 gene:LPERR06G20990 transcript:LPERR06G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEDDEEEEEAAAAHQFGDGVVDPNHDPEERHDEEQQPATGDTAGVGAQQSATEHTSGVEEQQPATGDTSGVGAQQPATGQTSGVAAGENIERNEQLASLERLLDEDDDAATGQPSGVNEYVASLEQLVKEDGGAPTAKGDEIDRILALPERPRRRILDILPIKSVIHMGLHSRAWKELCNRYIKDVSVEVTIPSNGDPLLKLKEREQQPEPRPIRFFSLLQGKSSIKTEDFNSCVKYTSKCSPEIIHVKQRGRFSSFRMEDTSRKLVHLSLFGVKLGTSRGAVNTDFFFPDLKEIHLQDVQINIVHDLERLVAAAACPLLRVLDLRECKTIIGIDVAGAGAHLVRLTVMDGDRVSNLIAGNRHRLRSLHYSGRYLNILTLPANGSLTDLYISFERLPTSIALDNSLRHLPDLSNLTVLTLCDNSLRAVTEANRGIQRNFRSLRELQLLMSQLEQINLADIFAFLGNCRYYPQLEKLFVQLPKHSSNQSNIGVKEQQEHFEKLDVVKMTNFKYDRSEIQLLQFLFRRANPRGLRLILDCPKPVPEERQQQLQPPPIVQRTDDSTVKSFHSQLFDEKTN >LPERR06G21000.1 pep chromosome:Lperr_V1.4:6:19106441:19108598:-1 gene:LPERR06G21000 transcript:LPERR06G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEEEEKETAVAHQFGDGVVDPNHDPVERHDEEQQPATGDTSYVAAGEAFDYLDTELAALQRRYPNEDGDAATRRTSGVVAAGEEIDREEYLASWEQLVNEDGDAPTAKVDEDDRDLPLPEKDAVAAKVEDRDLALPVKHPPAAKVDDRDCIRTRLPTRSRRRILDFLPIKSLKEKREQQPEPRPIRCFTLITGKGETAAEDFNSCVKYTRECSPEIIHIKRNPGQAERPRRTFRLQDASRQLVRLSLIGAIFGMFRGAGIADVSFPELEEIHMHAAVIKHDDDLKSLAAAAACPLLRVLDLHKCETITGIDVRDAGPNLVRLTIMDCEFVDRLFVGNHHRLRSLHYSGRGIQRNFRSLRELQLLMSQLEPLNLRDVISFLNTCRYYPQLEKLFVQLPKHSKQSNIAEHVEGKQQEHFEKLMWRANTRGLRLILDCPNPVPEERRQLLRLPEFVKITDDSTVKSFHSQLLDEKTK >LPERR06G21010.1 pep chromosome:Lperr_V1.4:6:19109415:19115261:1 gene:LPERR06G21010 transcript:LPERR06G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGLLGFAVGLPIGVAVALIVYLRFVAPCRRLQDPVIRPLRDLDSETLQTTMPDIPLWVKSPDYDRVDWINKFICDMWPFLDKAICNTIRSAIRPTFDQYVGQYGTESIEFGRLTLGALPPTFQGIKVYEMQEKELVIEPVIRWASIANVTVKAKVHSILVSAQLVDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFKLLGGDLMAIPGLHRFVQEQISKEIAKLYHWPKLIQIPILDEASGAAKKPVGILHVNVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMNNLNPEWNENFRFVVKDPETQILELRMFDWEKVKMHDKLGMQVIPLRLLNPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDNNSNILMSDGEGNVSVKRDVPPSGGLLVVSVENAEDVEGKRHTNPYALVLFRGEKKETKAIKKTRDPRWNEEFQFMVDEAPVDEKIHIEVRSRRRGLLPIRNKESLGHVDINLVDVVNNGRINQKYHLINSRNGKIHVEIRWSTV >LPERR06G21020.1 pep chromosome:Lperr_V1.4:6:19130346:19134183:1 gene:LPERR06G21020 transcript:LPERR06G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVWGNLEAAAHLRERQKQQSRAEREERVAEQGKIELCCRDCPFKFIILQAADQITEEDFYKMITFVDSAAKERERESTDNKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQAVDFPSGAGRVPPLILCRVAGVRFMADPDTDEVFAKIRLVPVRANDGAADDGGIGAGTDAAAAAAAAEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTDNGDLCVGIRRAKKGGVGCPELPPPISPAAANYGGGGFSMFLRGGDDDCSNNKMSPAARGKIRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLTDLHLNKLQSSLSPHGFHQLDHGIQPRIAAGLIIGHPTARDDISCLLTIGTPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAAPMAVRKSSSDGDAEKTVNKSNSDVSSPRSNLNGATDNMSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVSSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >LPERR06G21030.1 pep chromosome:Lperr_V1.4:6:19138823:19139353:1 gene:LPERR06G21030 transcript:LPERR06G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDMRRRWRGVRGGCMVTGSVIWEAGVSGRQEECQMLTGGECYHLSDQILCLYGRLRALQIPNHAIERYTFVVRIYMDYSTVDYSESVVETGNYEIVASLKTIL >LPERR06G21050.1 pep chromosome:Lperr_V1.4:6:19148286:19155187:1 gene:LPERR06G21050 transcript:LPERR06G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAVSLRRAAVAAAFSTAAARPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAYIAQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDREFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVLLTEEARRAATTLRVDFEKGGIHLPKDKLEHVNHLNIEIAQLGRKFSENVMNKPGFVDIYPASRIPRNMQRLFESIHRAKPRGNEEQNNPIDTTRQKGVRIVTDSGTLSTALKWVSDEEIRRQVYMVGNSEPRENVAVLDELINARDELAKTMGCKSYSDFAIRPNMAASVDVVMSFLNDLSDTVRQKADEELKSIQDFKRKICNEKSANLEPWDEDFFIGMMKSSVHSLDVSVIAAYFPLSQCLKGLNVLVESLFGAMFHQIPMGDGESWHPDVIKLSLHHPDEIVALVCNFSSSSKITARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFALDETTGDPIPEKLVKALNASRNMFPAMELQRQIFYSIMDLTLFGEQASKPMDTISTVADLKRKHTSWKYVEGTNWHARFNHLVHYGAGYYSYLYARCFATTIWQEVCQDDPLSRSTGSALRDKFLRYGGAKDPSALLKDFVDASIIRNSGGGVIPDISSLCKEVGL >LPERR06G21060.1 pep chromosome:Lperr_V1.4:6:19156950:19157225:-1 gene:LPERR06G21060 transcript:LPERR06G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHSAAYYGCGGGYDYEDVSGGGGAAKSYSFNGPSARDDPEAKRRRRVASYNVFATQGRLKSSVRSSFKWIKSKFSDIRYGGL >LPERR06G21070.1 pep chromosome:Lperr_V1.4:6:19162591:19164553:-1 gene:LPERR06G21070 transcript:LPERR06G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >LPERR06G21080.1 pep chromosome:Lperr_V1.4:6:19166719:19170113:-1 gene:LPERR06G21080 transcript:LPERR06G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNTKFYNKCKHAFKCIRMRLVPIRRKKEAVIRFMKKDIAELLTNGLDTHAFGRMDGLIVEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTERYGNFVEPFVSSEFVQKLENKSFTNEEKLQAMQGISEEFLVDFDTKAFKVKLWATPETKHDLMEKESSEKQEEMAMPLSSKQKGNGYAPSGRKSEAATPLGHKKKLEVPLKQQKDVHPAADRLHENTRRQHVDKADDKGHVEKPVQKEVQKANKKDSRPCEKELLEAVELDLNGLPKKGFGSLKVPEIECKKIVPLNVMPKKDNDVEKENSRDLDHHHRSHRRGPADSDLKALGLDNQGHESVNPLNGNTKTRMPPYSKLDGAKGKNCAEKEDSRQQVSERAAYIRPPYIKPKLNMQTVNDDPAERAASDYSKRDIQADHPSDKDAPQPVSVRRKYAKPPATVAVYDEAPVNEKVSSQTPNSHRRHTSRQNTVDDGSARRDGARQPHGGYGMGDVTRENVQRTPSSRSRHSRRRNGALYTDDYDGFKQHHQAKEDEPAIDFGNLLPRSGNGHRSHKSRNADTRSGDVDEEERMMDKLLRHYSKKGLDTEIHTTPTSKTDDVNGAQAQSQQKSAMHPPGRAISLPGESVRHGEDSKVPPARSISLQPDCPRSAHVHPKMPDFDELAARVSALRKG >LPERR06G21090.1 pep chromosome:Lperr_V1.4:6:19173418:19174605:1 gene:LPERR06G21090 transcript:LPERR06G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVIQAKLVLLGDMGAGKTSIVESTIGAAFFSQVLSMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYVRARKWVDELQRQGNPHLVMALVGNKVDLEEKRKVGTQEAMEYADRNGLFFIETSSKTSQNVTELFYELGKPY >LPERR06G21100.1 pep chromosome:Lperr_V1.4:6:19176100:19180804:1 gene:LPERR06G21100 transcript:LPERR06G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASEVGLSLSPPPPAAKFDSEAAVVEFDDDSPPPLKTVDSDDDDDDMEFDGDEEEEEEVELVFDDSDGSEDDDDEEEHFAGGGLPLEAGITAVPDGEFLAPANFAAAGRTAGFMRIAASAAVDQVNSGQEIVVLYRHTLFKRTWREIGVEPNKWRSATTLHRVRFVVPDSGDAATSLPFAGACLSPLIYDEDYADDLDALWSKLTSSSLAIETIPRLQVVVDVGILKHGDDTPERMEFMSRELEAKKDLPWQARLGGMELHLPEPVTVTSRKRKEDDVFDGDGGVVPPARRRRRVIAAGEECPVCLDELDGGAMAWPGCTVAHVFHGRCLETILKGCQICPICRRDLGQTAPSPSLKMDNASHLAAAVEVAVSAAPVWPPSSMTDSDYLEYIDYDVIDDESGDEDDEPTKVREINEPASRDDLPLIPSPFVPKGNSVGPVRFAAAGCSAGFMKISAVAGGGGDAGDGEKDVVVLYRYTRYSRTWSGRRGVEMSRRTKLNRLRFVVPSTAGEVAGSIPWVGSSLPPLIYPYYFTDELRALWSALIGAAPVSIPPDSTRVEIAVDVGILRPHDHSPERMEAMRLELEAKKGAAWIGHHVGVEMNLPEPVLGKRGAGEVDGDVDAPPAKRMRVVEEVAGEECSVCLDELETDLVAWPGCSTAHVFHGECLRMNLERSDNRPVLGKRGSGEVVEEEGDAPPAKRMRVLEEVAGEECPVCFEELDTDLVAWPGVFYCSCLPSFHGDCLRMNVERRGVTSALSAGGIWV >LPERR06G21110.1 pep chromosome:Lperr_V1.4:6:19181878:19190628:-1 gene:LPERR06G21110 transcript:LPERR06G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGGGALGRRGFAALLAAAVIALALLCLFYGAAFAPTLRSRRLPLQRRFELAQSLPADLALSSLPVCDARYSELIPCLDRGLHNQLRLRLNLTLMEHYERHCPPPPRCLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLSQMLNFPNGKLNNGGNIRNVLDVGCGVASFGAYLLPLNIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSRSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLTRRMCWQIASKEDQTVIWVKPLTNECYMKREPGTLPPMCDRDDDPDAAWNVTMKACVTPYSERVHKVKGSNLLPWPQRLTAPPPRLEELGISSNNFSEDNEIWHSRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLVGTVHNWCESFSTYPRTYDLLHSWLLFSEIENHGCSVEDLLIEMDRIMRPHGYAIIRDKVAVINYVKKLLPALRWDDWSSEICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVCIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYGNVPMEINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAEYRQKQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >LPERR06G21120.1 pep chromosome:Lperr_V1.4:6:19193182:19204854:1 gene:LPERR06G21120 transcript:LPERR06G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVGGAGGSPAMSVLASRRRMWALLFLSGFAFVSLGTILCASRDHPSPPLSTARMATTTPPLAAAATTAAPGLPGYVFDALVQYAAAAGNGTAASMPGGDVRAIAAVLRRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVVYTTTVREFPGLLDAARAAAAAECRPVQNLLYSDCRLAINDLPNHLYDVPWDVILVDGPRGYTAASPGRMAAIFTAGVMARTRAEEAAETDVLVHDYEREVERACSREFLCEENRVAETSTRSLAHFVVPGGRSAARRETFCGGAAGAGKATTTASP >LPERR06G21130.1 pep chromosome:Lperr_V1.4:6:19205301:19209898:-1 gene:LPERR06G21130 transcript:LPERR06G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPCGMDKLILDDKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKELATSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGGRGGGAMRGRGGRGMRRR >LPERR06G21140.1 pep chromosome:Lperr_V1.4:6:19211011:19211600:1 gene:LPERR06G21140 transcript:LPERR06G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVEEMDCSGIVILLFSKEIGRPGLAHALEAEPLASVKGLEYVLPWMMLSSVEETNCSDIVILLLSKEMDRSSLAWVLVVENIEIILQKVHRSQNRINHELANML >LPERR06G21150.1 pep chromosome:Lperr_V1.4:6:19211796:19216970:1 gene:LPERR06G21150 transcript:LPERR06G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFITAMQLVSLAQAGDEITQDSLKRDDLSSLNPPTMDGLDALLAKSKNHAKRVDPDSDGFPQAQSPATSQWFSSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMMGKAKAQQRLIDNLETEFSKVQREQHLPAGDFPYVEHFRDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPELLKNFRNPYE >LPERR06G21160.1 pep chromosome:Lperr_V1.4:6:19217617:19221537:1 gene:LPERR06G21160 transcript:LPERR06G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSAAAAASAAAARSSGGASGGGGADGVSSDAGAGGRAPATSTFWFLLHALCCLVSLFLGFRFSRLLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFAAGSNPPPSNPSNHSAALDDAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGQLAGWHTFNSLPFTGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLILLNDASSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLEVVIPAKRTPWPETTTELSSELVDTKPDQEDRRLSRTNRSSRSRSTTKRKEN >LPERR06G21170.1 pep chromosome:Lperr_V1.4:6:19224207:19237674:1 gene:LPERR06G21170 transcript:LPERR06G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAATCQADPRCHPRANKFSPPQAASTTTTVAFLPPPDEPVFPPSARLFSKGGGYLVGRLAMGAEELRRDDMEVEDEYSDSEAFQSVGHVLQSVRMASSLVDLNDRDNYDSLVSILDSSKKNKSCDDEALLVATLKGLSEAVSKIDIMYHRALLNNAAVADQFLIECLQMLVNSFTPPASFIDQPRWLARKKEIFSQLHESLRMISDTVPLAPRMLKDVIDKSMPKLFDNKAKMVLFVECMLGLNNDMMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDMELEDLDENEDSLGKERTKVLFGRNACAEKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTIFRVSLLRVHKSKFAQFIMFYACSLDPGICGEGFTLFLCDIFIEKEEDPISRMSAISYLGSYLSRARFISADIVVAVLKRLVEWCVAYCDLQNNMGTATKPINHQIFYAGFQVVMYVLCFRLRSIMDYPNLKSHIFNLPFGDILTHRLEPLKVCLPSIVDEFLRQAKAARLFNTSVCSEFEDVFESDSSKTIGGINRLDMFFPFDPYLLKESDRYMRPNFEYWSMPPGRKAASIHAAAAAASLLPVFSPSARRSVVRRLAMGAELRRDDMEVADEYSDSEVFQSVGHVLQSVRMAPVLDLNDKDNYDSLVSIVESKKNKRSCDDEALLVATLKGLSEAVSKIDVTYHSALLSNIFAMSIWYMYHDTRVALLELITRLAAVADQFLGQCLQMLVNNFTPPASLTQYIDKPIWLARKKEIYSQLHESLKMISDTVPLAPRMLKDIIDRSMPKLYDDKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDLELEDLVEDEDNLGQERTKILFAGNACAQKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTVFRVSLLRVHKSKFSQFIMFYACSLDPEICGEGFTIFLCDIFIKKEEDQISRMSAVSYLGSYLSRARFISADIVIAVLKRLVEWCVAYCDLQNNMGATTKPINHQIFYAGCQVVMYVLCFRLRSIMDYPNLKSQLFNLPFGYILTHRLEPLKVCLPSIVDEFLRQAKAARLFNASVRSEFEDVFESDSSKTFEGMNRLDTFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELAGLDAPEMNVGSLDDHVDIDFNSDDDLEYTMNKMSITPHRSFFHQMAKNSDTGLTMPARIRPSESPPS >LPERR06G21170.2 pep chromosome:Lperr_V1.4:6:19224207:19237674:1 gene:LPERR06G21170 transcript:LPERR06G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAATCQADPRCHPRANKFSPPQAASTTTTVAFLPPPDEPVFPPSARLFSKGGGYLVGRLAMGAEELRRDDMEVEDEYSDSEAFQSVGHVLQSVRMASSLVDLNDRDNYDSLVSILDSSKKNKSCDDEALLVATLKGLSEAVSKIDIMYHRALLNNAAVADQFLIECLQMLVNSFTPPASFIDQPRWLARKKEIFSQLHESLRMISDTVPLAPRMLKDVIDKSMPKLFDNKAKMVLFVECMLGLNNDMMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDMELEDLDENEDSLGKERTKVLFGRNACAEKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTIFRVSLLRVHKSKFAQFIMFYACSLDPGICGEGFTLFLCDIFIEKEEDPISRMSAISYLGSYLSRARFISADIVVAVLKRLVEWCVAYCDLQNNMGTATKPINHQIFYAGFQVVMYVLCFRLRSIMDYPNLKSHIFNLPFGDILTHRLEPLKVCLPSIVDEFLRQAKAARLFNTSVCSEFEDVFESDSSKTIGGINRLDMFFPFDPYLLKESDRYMRPNFEYWSMPPGRKAASIHAAAAAASLLPVRNPLPVPPLGSGGHHRAWLAPPGADSVAGFWKVFSPSARRSVVRRLAMGAELRRDDMEVADEYSDSEVFQSVGHVLQSVRMAPVLDLNDKDNYDSLVSIVESKKNKRSCDDEALLVATLKGLSEAVSKIDVTYHSALLSNIFAMSIWYMYHDTRVALLELITRLAAVADQFLGQCLQMLVNNFTPPASLTQYIDKPIWLARKKEIYSQLHESLKMISDTVPLAPRMLKDIIDRSMPKLYDDKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDLELEDLVEDEDNLGQERTKILFAGNACAQKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTVFRVSLLRVHKSKFSQFIMFYACSLDPEICGEGFTIFLCDIFIKKEEDQISRMSAVSYLGSYLSRARFISADIVIAVLKRLVEWCVAYCDLQNNMGATTKPINHQIFYAGCQVVMYVLCFRLRSIMDYPNLKSQLFNLPFGYILTHRLEPLKVCLPSIVDEFLRQAKAARLFNASVRSEFEDVFESDSSKTFEGMNRLDTFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELAGLDAPEMNVGSLDDHVDIDFNSDDDLEYTMNKMSITPHRSFFHQMAKNSDTGLTMPARIRPSESPPS >LPERR06G21170.3 pep chromosome:Lperr_V1.4:6:19224207:19237067:1 gene:LPERR06G21170 transcript:LPERR06G21170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAATCQADPRCHPRANKFSPPQAASTTTTVAFLPPPDEPVFPPSARLFSKGGGYLVGRLAMGAEELRRDDMEVEDEYSDSEAFQSVGHVLQSVRMASSLVDLNDRDNYDSLVSILDSSKKNKSCDDEALLVATLKGLSEAVSKIDIMYHRALLNNAAVADQFLIECLQMLVNSFTPPASFIDQPRWLARKKEIFSQLHESLRMISDTVPLAPRMLKDVIDKSMPKLFDNKAKMVLFVECMLGLNNDMMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDMELEDLDENEDSLGKERTKVLFGRNACAEKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTIFRVSLLRVHKSKFAQFIMFYACSLDPGICGEGFTLFLCDIFIEKEEDPISRMSAISYLGSYLSRARFISADIVVAVLKRLVEWCVAYCDLQNNMGTATKPINHQIFYAGFQVVMYVLCFRLRSIMDYPNLKSHIFNLPFGDILTHRLEPLKVCLPSIVDEFLRQAKAARLFNTSVCSEFEDVFESDSSKTIGGINRLDMFFPFDPYLLKESDSDVDDELAGLDAPEMNVGSLDDHVDIDFNSDDDLEYTMNKMSITPHRSFFHQMAKNSDTGLTMPARIRPSESPPS >LPERR06G21170.4 pep chromosome:Lperr_V1.4:6:19224207:19237674:1 gene:LPERR06G21170 transcript:LPERR06G21170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAATCQADPRCHPRANKFSPPQAASTTTTVAFLPPPDEPVFPPSARLFSKGGGYLVGRLAMGAEELRRDDMEVEDEYSDSEAFQSVGHVLQSVRMASSLVDLNDRDNYDSLVSILDSSKKNKSCDDEALLVATLKGLSEAVSKIDIMYHRALLNNAAVADQFLIECLQMLVNSFTPPASFIDQPRWLARKKEIFSQLHESLRMISDTVPLAPRMLKDVIDKSMPKLFDNKAKMVLFVECMLGLNNDMMGDLIGALLLAKVVDLLAELDVNITWEDILQEEHNKGIFDMELEDLDENEDSLGKERTKVLFGRNACAEKLDSLMVVFCEHLKSCAEHGRLRKEFDIMKTIFRVSLLRVHKSKFAQFIMFYACSLDPGICGEGFTLFLCDIFIEKEEDPISRMSAISYLGSYLSRARFISADIVVAVLKRLVEWCVAYCDLQNNMGTATKPINHQIFYAGFQVVMYVLCFRLRSIMDYPNLKSHIFNLPFGDILTHRLEPLKVCLPSIVDEFLRQAKAARLFNTSVCSEFEDVFESDSSKTIGGINRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELAGLDAPEMNVGSLDDHVDIDFNSDDDLEYTMNKMSITPHRSFFHQMAKNSDTGLTMPARIRPSESPPS >LPERR06G21180.1 pep chromosome:Lperr_V1.4:6:19242772:19250336:-1 gene:LPERR06G21180 transcript:LPERR06G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAFPLAAALLFGLVSVSHAIQGTATLYTTYNLTNSTLTSLHFSHYFHAKIHVLVRCVGGTNAVPNPCKGGTITVKIVDHCPGCNGTLDLSKEAFAAIGNPVAGKIVIDYNQVDILLVAAMLLGLVSTSHAIEGTATFYTVYTPSACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCKGGQVTVKIVDRCPSPGCTSTLDLSQEAFNVIGNPDAGRIVVDYNQV >LPERR06G21190.1 pep chromosome:Lperr_V1.4:6:19251746:19260294:-1 gene:LPERR06G21190 transcript:LPERR06G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGVLGEASSVAQLVGVDALGLVSMVAQKALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDCGYLHGLLVGARMAEEILSAQHEIDMYIRLIPLIALVDSSSSSNRRVTATEGVPSVVPSCSDHHTRYPRRVLDFVELHVQGATKPSEIGGKSVEVTVNRQEKILDMEAVLELCIHTEENYPGFRKFDFFQIVDATDDFSEERNIGKGGFGTVYKGQLLDGLTVAIKRFDVDAEIFDLKSELQLVRIQHTNLIRLLGWCIHEQERILVYEYMHKGNKTKGALLNWCRRLQIIKGLAEGLLYLHKHCWTVHRDLKPSNILLDHDMNPKIADFGSAVTMVSDVAEKRTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVLVLEIISGRKNFIMEQQGDTVGNLAWRMWKDRSLHELVDPALCDGYESSAIMRCTQVALLCAQEDPANRPTMTEVTTMLNSESILLSDPKEPTQLIHGDASANRSSTYIGHSGKTIDITITTSAPVSTRVRIVERPRGCFPAGKGAVMASGLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARRHRDARPLEQLQGALRRCYALVTACQEDCGYLHRLLVGARMAEEILSAQHEIDMYIRLIPLIALVDSSGNRRVKSVEGVASAVKDGSNNHIRFPARVLGFTEIHVQGHTKFCNAGKQPLETADLQEQKILDTKELVELCVRTEELFPGFSKFDFSQIVHATDNFSEKNNIGRGGFATVYKGQLPNGLMVAIKRLDEHATKFDFNSELQLAKIQHTNLVKLLGWCIHGKERILVYELALNGSLHHYISDKTRKSLLDWPKRLNIIKGVAEGLVYLHTHSMLWIVHRDLKPENVLLDYNMNPKISDFGSARTLSSDVAEDHTCRVVGTSGFKAPEYESQGVYSVKTDVFSFGVLVLVIISGRKNSILDKQRDTIGDLVRDTWHMWRDGRLHELVDPSLGNEYELAQIMRCAQVALLCTQEDPTIRPTMTDVVTMLSSGTTTLLDDPRKPAELLSKEVGEGSDIKSTCMDQSSQTIDITITSSAPVSTRVRIIIGQETASYQLENSSIATS >LPERR06G21190.2 pep chromosome:Lperr_V1.4:6:19251746:19260294:-1 gene:LPERR06G21190 transcript:LPERR06G21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGVLGEASSVAQLVGVDALGLVSMVAQKALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDCGYLHGLLVGARMAEEILSAQHEIDMYIRLIPLIALVDSSSSSNRRVTIVDATDDFSEERNIGKGGFGTVYKVLDGQLLDGLTVAIKRFDVDAEIFDLKSELQLVRIQHTNLIRLLGWCIHEQERILVYEYMHKGNKTKGALLNWCRRLQIIKGLAEGLLYLHKHCWTVHRDLKPSNILLDHDMNPKIADFGSAVTMVSDVAEKRTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVLVLEIISGRKNFIMEQQGDTVGNLAWRMWKDRSLHELVDPALCDGYESSAIMRCTQVALLCAQEDPANRPTMTEVTTMLNSESILLSDPKEPTQLIHGDASANRSSTYIGHSGKTIDITITTSAPVSTRVRIVERPRGCFPAGKGAVMASGLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARRHRDARPLEQLQGALRRCYALVTACQEDCGYLHRLLVGARMAEEILSAQHEIDMYIRLIPLIALVDSSGNRRVKSVEGVASAVKDGSNNHIRFPARVLGFTEIHVQGHTKFCNAGKQPLETADLQEQKILDTKELVELCVRTEELFPGFSKFDFSQIVHATDNFSEKNNIGRGGFATVYKGQLPNGLMVAIKRLDEHATKFDFNSELQLAKIQHTNLVKLLGWCIHGKERILVYELALNGSLHHYISDKTRKSLLDWPKRLNIIKGVAEGLVYLHTHSMLWIVHRDLKPENVLLDYNMNPKISDFGSARTLSSDVAEDHTCRVVGTSGFKAPEYESQGVYSVKTDVFSFGVLVLVIISGRKNSILDKQRDTIGDLVRDTWHMWRDGRLHELVDPSLGNEYELAQIMRCAQVALLCTQEDPTIRPTMTDVVTMLSSGTTTLLDDPRKPAELLSKEVGEGSDIKSTCMDQSSQTIDITITSSAPVSTRVRIIIGQETASYQLENSSIATS >LPERR06G21190.3 pep chromosome:Lperr_V1.4:6:19251746:19260294:-1 gene:LPERR06G21190 transcript:LPERR06G21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGVLGEASSVAQLVGVDALGLVSMVAQKALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALEDCGYLHRLLVGARMAEEILSAQHEIDMYIRLIPLIALVDSSGNRRVKSVEGVASAVKDGSNNHIRFPARVLGFTEIHVQGHTKFCNAGKQPLETADLQEQKILDTKELVELCVRTEELFPGFSKFDFSQIVHATDNFSEKNNIGRGGFATVYKGQLPNGLMVAIKRLDEHATKFDFNSELQLAKIQHTNLVKLLGWCIHGKERILVYELALNGSLHHYISDKTRKSLLDWPKRLNIIKGVAEGLVYLHTHSMLWIVHRDLKPENVLLDYNMNPKISDFGSARTLSSDVAEDHTCRVVGTSGFKAPEYESQGVYSVKTDVFSFGVLVLVIISGRKNSILDKQRDTIGDLVRDTWHMWRDGRLHELVDPSLGNEYELAQIMRCAQVALLCTQEDPTIRPTMTDVVTMLSSGTTTLLDDPRKPAELLSKEVGEGSDIKSTCMDQSSQTIDITITSSAPVSTRVRIIIGQETASYQLENSSIATS >LPERR06G21200.1 pep chromosome:Lperr_V1.4:6:19266200:19267750:-1 gene:LPERR06G21200 transcript:LPERR06G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHHLFTSFLLVRGKGGGRPKSSLGDSALTVLSPRDITPLRPVSVAFNATDGCVAEGHEYSTLLVQSPYYNTVRPSRLLGHDVDFLRWLELNDMTTLHHEFLSTIVVFPSLEELHIFGLFY >LPERR06G21210.1 pep chromosome:Lperr_V1.4:6:19268555:19276929:-1 gene:LPERR06G21210 transcript:LPERR06G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTAASSAAASPPPSSQHLLRLSRPLPFPHLRRRSAPHHLPPKSLNLAAKSPLLLAHRTLPFVPRAHGDHHGHHHHHHHGHGHHGHGHGHGHHHGADLHGSGGGAAVMRVAKAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNTVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGNQSAQFANLCYTKVPVHDLEVGSHILVKAGEAVPVDGEVYQGSSTVTIEHLTGEAKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVALSLIVALLGPFLFKGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSNVTNDFSGQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPVVAVESFESLPGRGVVATLTGVKARNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALSVDKKITLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNNPTWSWVDDIQQFIHRLREYISSKLMSSSSNCVADAVPL >LPERR06G21220.1 pep chromosome:Lperr_V1.4:6:19280952:19283921:1 gene:LPERR06G21220 transcript:LPERR06G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLSRPATSAAAAPSTSTPDVVAELGRILSTRRWNKGRAYKRLAPSVTAAHVADLFRAASAAAPEPATALAFFEWLARRPGFRHTAGSHAALLHLLSRRAAPAQYERLVVSMLNCSHTAEDMRVSVDAIQAIRRTGGARLALSPKCYNFALRSLARFDMTEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTMAHRYFRLLLEGGLEPETFTCNALVLGYCRTGQLRKACWLFLMMPLMGCQRNEYSYTILIQGLCEARCVREALVLFLMMKRDDCSPNVRAFTFLIRGLCKSGRIDDARLLFDAMPQNGVVPSVIAYNAMIDGYCKSGRMNDALKIKELMEKNGCYPDDWTYNTMIYGLCDGKTEEAEELLDNAVRGGFTPTAITFTNLINGYCMAEMIDDALRVKNKMMSSKCKLDIQVFGKLINSLIKKDRLKEAKQLLSEISENGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALITKMQEDGIVPNAITYTTLVQGQCDEHDFDNAFRLFEMMEQNGLTPDEHAYAVLTNALCKAGRAEEAYSFLVRKGVTLTKLHYTTLIDGFSKAGNTDFAATLIERMIHEGCTPDSYTYSVLLHALCKQKRLHEALPILDQMSVRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSAGHKPSATTYTVFINLYCKEGRLEEAEDLILKMEREGVAPDVVTYNIFIDGCGHMGYIDRAFSTLKHMVDASCEPNYWTYCLLLKHLLKGNLANARFVDTSGMWNLIEVDTIWQLLERMVKHGLNPNITTYSSLIAGFCKASRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALLYVSDMLQCGFQPRLESYKLLMLGLCNEGDFEKAKSLFSDLLELGYNHDEVAWKILNDGLLKAGYADICFQMLSVMDKRYSISSQTYTMVTNNMHEVSSSLVSELREAS >LPERR06G21230.1 pep chromosome:Lperr_V1.4:6:19287613:19297025:-1 gene:LPERR06G21230 transcript:LPERR06G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGRHGGGAPPPPAASPGQKRPRGDDPSSPSNRSSDSAAPAKNPRRAFSSSPFADFGSYMATKNSKLAAQFEADASTSAAEASGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMNNLRAFSRGLPVVKPAWVVDSLAENRLLSCSVPYQISQHSSSSQKQTKLSTFFSGRHCQGGLNDQNKGHELQSSSAQEGSQDQNSGCEKEGSLLKEEASKDSLSSDDHKASMLEEQDSDDFVDEDGNACETACSERRDNDVDGELDVAESPGIRSRCSNLCSTSSTGSHLSLDSLDKNATKSSIRTHSTLTDANFVENYFKYSRLHFIGTWRNRYRKRFSNLLGAKSSKGNSDHSGNKTIIHIDMDCFFVSVVIRNMPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLMIVPYDFDAYGEVCAVLRMLTEDVSMVMHPHPYTTKALSCDEAFLDMTECLHDNPEEVTQKIRNEIFSTTKCSASIAGNMLLARLATRSAKPNGQCFISSEKVDDYLNTLSIKALPGIGHAVSDKLKDKEIEFCGQLRNIPKEILHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLANLSKEVCLRLQGCGVQGRTITLKVKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNLVTLQRITKQLFVSLRVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLVSPSEKLKKHTSEKACLFKSGDDAETSKRRGLGSIRPSCVGETSRSSEVNLTIGRSTIVHGVELPPLSELDLEVLKNLPPEIISEMNDMYKGELHGFLGITSGAKAKESDIKPIDFPAVTENIVPGSSVKKLSEVKDANASFLRASELVDIATESVIQHDFMPTSLSQADFAVLQELPEDVKADLFNVLPLHRSGDPTCSTSDISENKFPEDGRSNDPKHPQTCVLPGNSQKWIEEFRVSSCVILNVLAEKHTDSISGQPLSSVLEPLVSYLHLCPNSGSEEWNEALACLSELLTQYIHLKVESDIEELYKCFRLLKRLSSASEFFLELHDSILPLLQDSVSQHYGGILHF >LPERR06G21230.2 pep chromosome:Lperr_V1.4:6:19287613:19297025:-1 gene:LPERR06G21230 transcript:LPERR06G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGRHGGGAPPPPAASPGQKRPRGDDPSSPSNRSSDSAAPAKNPRRAFSSSPFADFGSYMATKNSKLAAQFEADASTSAAEASGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMNNLRAFSRGLPVVKPAWVVDSLAENRLLSCSVPYQISQHSSSSQKQTKLSTFFSGRHCQGGLNDQNKGHELQSSSAQEGSQDQNSGCEKEGSLLKEEASKDSLSSDDHKASMLEEQDSDDFVDEDGNACETACSERRDNDVDGELDVAESPGIRSRCSNLCSTSSTGSHLSLDSLDKNATKSSIRTHSTLTDANFVENYFKYSRLHFIGTWRNRYRKRFSNLLGAKSSKGNSDHSGNKTIIHIDMDCFFVSVVIRNMPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFSTTKCSASIAGNMLLARLATRSAKPNGQCFISSEKVDDYLNTLSIKALPGIGHAVSDKLKDKEIEFCGQLRNIPKEILHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLANLSKEVCLRLQGCGVQGRTITLKVKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNLVTLQRITKQLFVSLRVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLVSPSEKLKKHTSEKACLFKSGDDAETSKRRGLGSIRPSCVGETSRSSEVNLTIGRSTIVHGVELPPLSELDLEVLKNLPPEIISEMNDMYKGELHGFLGITSGAKAKESDIKPIDFPAVTENIVPGSSVKKLSEVKDANASFLRASELVDIATESVIQHDFMPTSLSQADFAVLQELPEDVKADLFNVLPLHRSGDPTCSTSDISENKFPEDGRSNDPKHPQTCVLPGNSQKWIEEFRVSSCVILNVLAEKHTDSISGQPLSSVLEPLVSYLHLCPNSGSEEWNEALACLSELLTQYIHLKVESDIEELYKCFRLLKRLSSASEFFLELHDSILPLLQDSVSQHYGGILHF >LPERR06G21240.1 pep chromosome:Lperr_V1.4:6:19300001:19300567:1 gene:LPERR06G21240 transcript:LPERR06G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGPTARTNFPSATPSPPPPRPPPAAATAATSSHSSTVESWSGGAIRAAASTLARSAAPMETAEEEEEDCHSYCGSSSSVLCVDGSDDAAASRAPRPFDLNMPPPPEEVDMATVADQMGIRYDTLLRL >LPERR06G21250.1 pep chromosome:Lperr_V1.4:6:19305108:19305848:1 gene:LPERR06G21250 transcript:LPERR06G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVALLLLSGNAASAATLALYNKCEETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGWSGRVWGRQGCAFDAAGRGRCATGDCGGTLYCNGAGGAPPATLAEITLASTPTAQDFYDVSLVDGYNIPIAMTPFHGSGANCVPAGCVSDLNRVCPTGLAVRSGGGDNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDPTSILTCSAGASYVVTFCPHRR >LPERR06G21260.1 pep chromosome:Lperr_V1.4:6:19309673:19314099:-1 gene:LPERR06G21260 transcript:LPERR06G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHHELAVIVLLLVELLLVSAASCTFLEEDVILGTAAEAATAAARLGSKQGAANASTRRGDTTCIAAAAASASGGGRGRYYVGWKEEIAGMAGRPEMAAWLRVVRRRIHERPELAYEEVETSRLVREELDAMGVGFRHPVARTGVVATVGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHLLKGTVRLLFQPAEESGAGAKRMIEGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAIIHGARSAADPVLAAASTIISLQSIVSREADPLDSQVVSVAMVNDNGSDNESEFVMGGTFRAFSNASFYQVRQRIEEVITAQARVHGCEAAVDFFEDQSFYPPTVNDPRMYAHVKAVAGELLGVGAYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLAGHSDHDDRDVEFEQESS >LPERR06G21270.1 pep chromosome:Lperr_V1.4:6:19320776:19326498:-1 gene:LPERR06G21270 transcript:LPERR06G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFLCLCFAISGDSITFTVRCLFAFSASSYPSRSLRFTAFMAFSSPTLMAFSSVLLPTPILCFLGNDGSEKFAVFLGSEGSRILA >LPERR06G21280.1 pep chromosome:Lperr_V1.4:6:19330205:19330702:-1 gene:LPERR06G21280 transcript:LPERR06G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARRPAQQQPLSVDFEALSYISSLVEAFQAFDGDNDGLVTAAELRGLLSSLGLDKPEQEVREMVARADADRDGKLSVEELLDVMNAGELGLGALGALLQSAVPALESAAGPDGVLGADELARRLSVMGTASVEDCMEIIACMDGDGDGAMSVGEFRLMAQLL >LPERR06G21290.1 pep chromosome:Lperr_V1.4:6:19333851:19338665:-1 gene:LPERR06G21290 transcript:LPERR06G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLENNNSIGRLPSSKLLSCNSPVRLPSNGEMRPWSPLEAKETSVTVPSLLQLMPSHLQQSVPFTHDMLRLPLRPGKRPSRKPMRELSSCSVQQVVRETKGSRRTTTPNKDTDNLVVVMVLLLHGKLSSCMDHFHCTEERQASTMRERNICSKIRAFLQGTSASGRAILQVLEDYTHQVTNN >LPERR06G21290.2 pep chromosome:Lperr_V1.4:6:19334129:19336216:-1 gene:LPERR06G21290 transcript:LPERR06G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDGICPVKVLLLALRATIFFIASHCLDENCPVNKLLEIFKTWRGKPSLEDCSSGREPSRRLKLTSRTLMLLENNNSIGRLPSSKLLSCNSPVRLPSNGEMRPWSPLEAKETSVTVPSLLQLMPSHLQQSVPFTHDMLRLPLRPGKRPSRKPMRELSSCSVQQVVRETKGSRRTTTPNKDTDNLVVVMVLLLHGKLSSCMRKGRRRPCVKGTSAQRFERFYKALLLVAGVCVFLIGGKWSFKIMVRLGGGVGGYSLLGPRRLLLELRML >LPERR06G21290.3 pep chromosome:Lperr_V1.4:6:19334129:19336216:-1 gene:LPERR06G21290 transcript:LPERR06G21290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDGICPVKVLLLALRATIFFIASHCLDENCPVNKLLEIFKTWRGKPSLEDCSSGREPSRRLKLTSRTLMLLENNNSIGRLPSSKLLSCNSPVRLPSNGEMRPWSPLEAKETSVTVPSLLQLMPSHLQQSVPFTHDMLRLPLRPGKRPSRKPMRELSSCSVQQVVRETKGSRRTTTPNKDTDNLVVVMVLLLHGKLSSCMRKGRRRPCVKGTSAQRFERFYKALLLVAGVCVFLIGGKWSFKIMVRLGGGVGGYSLLGPRRLLLELRMVMYML >LPERR06G21290.4 pep chromosome:Lperr_V1.4:6:19334135:19336216:-1 gene:LPERR06G21290 transcript:LPERR06G21290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDGICPVKVLLLALRATIFFIASHCLDENCPVNKLLEIFKTWRGKPSLEDCSSGREPSRRLKLTSRTLMLLENNNSIGRLPSSKLLSCNSPVRLPSNGEMRPWSPLEAKETSVTVPSLLQLMPSHLQQSVPFTHDMLRLPLRPGKRPSRKPMRELSSCSVQQVVRETKGSRRTTTPNKDTDNLVVVMVLLLHGKLSSCMDHFHCTEERQASTMRERNICSKIRAFLQGTSASGSE >LPERR06G21300.1 pep chromosome:Lperr_V1.4:6:19335603:19338791:1 gene:LPERR06G21300 transcript:LPERR06G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNLPCSSSTITTTKLSVSLFGVVVLLLPFVSLTTCCTEQEESSLIGFLDGLLPGRNGSLSMSWVKGTDCCRWEGINCSSDGTVTDVSLASKGLQGRISPLLGNLTGLLQLNLSHNLLDGSLPMELLFSRSIRVLDVSFNRLDGSLPELQSSSDGFPLQVLNISSNLFTGQFSSKQWEAMKNIVALNASNNTFTGQIPSSICINAPSFAILDLCYNQFSGSISPGLGNCTRLREFKAGFNNFSGALPEELFSATALEHLSLPSNDLQGVLDGSHIVKLVRLTVLNLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCINLSYLSLRNNKFVGDLNKVNFTRLNLRIADFSINNFTGTIPESIYSCSNLIALRLAYNKFHGQLCKNLTSLLIGTNFKGEAIPQDETVDGFENLRVLTIDSCGSVGQIPPWISKLKKLEVLDLSNNMLTGGIPFWISDLPVLFYLDISNNSLTGDIPASLMNMPMLQSGKNAAQLDTKFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEIPEQICNLTNLQVLDLSNNQLTGELPAALTNMHFLSKFNVSNNELEGPVPTGRQFDTFLNTSYSGNPKLCGTMLIYKCDSVPTHASSMKRGNKKAIIALALGVFFGGIVILFLLGRFLMHIRRTSSVHQNKGSSNGDIEATSLSSVSEHLHRMIKGSILVMVPQGKGESNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSMLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDNGRPLLDWPTRLQIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQSWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWVREMRSQRKDAEVLDPALRETGYEEQMLKVLDVACKCISYNPCMRPSIQEVVSCLDSVDSADLQLQM >LPERR06G21300.2 pep chromosome:Lperr_V1.4:6:19335401:19339091:1 gene:LPERR06G21300 transcript:LPERR06G21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNLPCSSSTITTTKLSVSLFGVVVLLLPFVSLTTCCTEQEESSLIGFLDGLLPGRNGSLSMSWVKGTDCCRWEGINCSSDGTVTDVSLASKGLQGRISPLLGNLTGLLQLNLSHNLLDGSLPMELLFSRSIRVLDVSFNRLDGSLPELQSSSDGFPLQVLNISSNLFTGQFSSKQWEAMKNIVALNASNNTFTGQIPSSICINAPSFAILDLCYNQFSGSISPGLGNCTRLREFKAGFNNFSGALPEELFSATALEHLSLPSNDLQGVLDGSHIVKLVRLTVLNLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALDFSINNFTGTIPESIYSCSNLIALRLAYNKFHGQLSSRMGNLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGEAIPQDETVDGFENLRVLTIDSCGSVGQIPPWISKLKKLEVLDLSNNMLTGGIPFWISDLPVLFYLDISNNSLTGDIPASLMNMPMLQSGKNAAQLDTKFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEIPEQICNLTNLQVLDLSNNQLTGELPAALTNMHFLSKFNVSNNELEGPVPTGRQFDTFLNTSYSGNPKLCGTMLIYKCDSVPTHASSMKRGNKKAIIALALGVFFGGIVILFLLGRFLMHIRRTSSVHQNKGSSNGDIEATSLSSVSEHLHRMIKGSILVMVPQGKGESNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSMLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDNGRPLLDWPTRLQIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQSWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWVREMRSQRKDAEVLDPALRETGYEEQMLKVLDVACKCISYNPCMRPSIQEVVSCLDSVDSADLQLQM >LPERR06G21310.1 pep chromosome:Lperr_V1.4:6:19348633:19349331:1 gene:LPERR06G21310 transcript:LPERR06G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRVASVSHRAALLLLLVLLPCLTRFSLAEHTELSGEGSWRARRLLVSPAATSSQHRASQQQQQMRVDGVKRPFKQPAAAESFGRRRIF >LPERR06G21320.1 pep chromosome:Lperr_V1.4:6:19350365:19362743:1 gene:LPERR06G21320 transcript:LPERR06G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIVPVKLLAATSKTVRLTRPEMSTPVMSPTKLFDRRLAVESEIEVLPWGYQASDFPMPSTLSSQQTTSTIAGRLNGAEWTQRHARSSTFSICASSPLPLNRGSNTSACLPSIKTFFPMSLDELPSLSWNLLLQGTEITCPQSFGFPLKLFGGNASNWPPVGIGPSRSLYETLKTARKLSSLSTEGIIPVRRFQRKSRICSLARLLNSGGMPPLRELADRLRQFAGEHIVVKIKRDQIGQLGNALRIKPSLYLRLHIKKVVRELAGEVVAAGAENAQPRAVAETGGDDPTEQIHGEIKNGDLRAAHAQRRDRAMEAVVAGIQRHEAWRVFPDRGREFPLQEIARHVEQLEREAAAGNGRQWRQLLTSTTVTLDRENTTSGSSPERLFLDRLRYMSSVRLPIDGEIVPASPRPGSHSRVTAPPPPPSHPTPSHVQQSGEPCHSATMPSPAGGAASARNDSNASLSASKHAAARPQREAVVTSTRIVETTTWQLISIVA >LPERR06G21320.2 pep chromosome:Lperr_V1.4:6:19350365:19364912:1 gene:LPERR06G21320 transcript:LPERR06G21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIVPVKLLAATSKTVRLTRPEMSTPVMSPTKLFDRSFESWPIDSGNSPRLHIKKVVRELAGEVVAAGAENAQPRAVAETGGDDPTEQIHGEIKNGDLRAAHAQRRDRAMEAVVAGIQRHEAWRVFPDRGREFPLQEIARHVEQLEREAAAGNGRQWRQLLTSTTVTLDRENTTSGSSPERLFLDRLRYMSSVRLPIDGEIVPASPRPGSHSRVTAPPPPPSHPTPSHVQQSGEPCHSATMPSPAGGAASARNDSNASLSASKHAAARPQREAVGLPWTINLN >LPERR06G21320.3 pep chromosome:Lperr_V1.4:6:19351319:19351885:1 gene:LPERR06G21320 transcript:LPERR06G21320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRDTSTPPSRTVPLDMNFLMAIRTHPMKMMRATRPRQTPRTMAMRTLFPTSLDEVSSLTSVLLPQDTEIAMPHSFGFPMKLFGGNASNWPPIGIGPSRSLFATLKTARKFSLPSAGGIVPVRVFIFPNSGEIVPTMSLSLKLSVTATPDSW >LPERR06G21320.4 pep chromosome:Lperr_V1.4:6:19352069:19352452:1 gene:LPERR06G21320 transcript:LPERR06G21320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQLGIGPVRRLPERFKILRSCSFDSHDGIMPVNALCSNTITRTFLMWSPTQPASGRASPASRLVQPLRFQNIPLMLTKELTVNEKNWSSFRLPIAGETCPPMTLRPTRSAFIAVQE >LPERR06G21330.1 pep chromosome:Lperr_V1.4:6:19356167:19362727:-1 gene:LPERR06G21330 transcript:LPERR06G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVVVSTILVLVTTASLCGRAAACLEAEREALLSFLADAAPPAGDGIVAEWQGSPDCCTWDGVGCDGGGGGAVTRLWLPGRGLAGTISPSIGNLTELMYLNLSRNSLSGELPEVVFSLSNVTVVDVSYNCLSGELPDELTPLAAIARGGLSLQLLDVSSNLLEGKFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPEIAVLDLSMNLLGGVVSPGFSNCSRLRVLSAGRNNLTGELPHDLFDVKSLQHLLLPSNQIEGRLDPERIAKLTNLVTLDLYYNVLTGELPESIGQLSKLEILRLTHNNLTGPLPPSLSNWTSLRCLDLRSNTFVGDLTDIDFSGLGNLTVFDIDSNNFTGTMPLSIYSCTSMKALRISHNRIGGQVSPEIGKLKELQFLSLTLNSFVNISGMFWNLKGCTSLTALLLSYNFYGEALPDAGWVSDHIRNVRVIVMQNCMLTGVIPSWLSNLQGLNVLNLSGNRLTGPIPSWLGAMSKLYYVDLSGNLLSGQIPLSLKEMQLLTSEQAMSEFNPGYLPLMFTVKPDSRPADQQGRGYYQLLGVATTLNFSDNNITGMIPPEIGKLKTLQVLDVGSNKLTGGIPPELSSLARLQILDLRWNRLTGMIPSVLNELNFLAVFNVSYNDLEGPIPTGGQFDAFPPKSFKGNPKLCGQVISVPCSNKFQDRDGSSSKLMGKKVLIAIVLGVSFGLVALIVFLGCVVIVVRREISNGVVRDGGKSVETSLFDSMSELHSDTSKDMIFFMSDFAGKASRATKTVTFMDVLKATNNFSPANIIGSGGFGLVFRAEMEDGTKLAVKRLNGDMCLVEREFQAEVDALSATRHDNLVPLLGYCIRGRLRLLIYPYMANGSLHDWLHERRAASVGDDAPKQLDWRARLNIARGASRGVLYIHEKCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRQPVETLPPPNGQQWVLARWVEEMRSQGRHAEVLDPRLRGNGDEAQMLNVLDLACLCVHSAPFSRPAIVDVVCWLDNLQQQIRQAFPKLLLLLIFASPTSSCTEQEQSSLLDFLAQLSPGHNGGLNMLWVRGTDCYSWEGIDCRDQNTLRDISLPSKGLAGSISPSLSNLTRLLHLNLSHNSLSGGLPMDLMFLSSIAVLDVSFNHLDGSLQELQSSNPGLDLPLQVSMRFSSATWEVMKNLVALNASNNSFTGQIPASICINAPSFAVLDLSYNQFSGNVSPKIGKCSMLRVLKAGYNNLTGAIPNELFSFRALEHLSLPYNGLQGILNGSHIVKLANLTVLDLGSTGLSGNIPDSIGQLRRLQELHLDNNNMFGELPSALGNCTNLIFINLRGH >LPERR06G21330.2 pep chromosome:Lperr_V1.4:6:19356167:19362727:-1 gene:LPERR06G21330 transcript:LPERR06G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVVVSTILVLVTTASLCGRAAACLEAEREALLSFLADAAPPAGDGIVAEWQGSPDCCTWDGVGCDGGGGGAVTRLWLPGRGLAGTISPSIGNLTELMYLNLSRNSLSGELPEVVFSLSNVTVVDVSYNCLSGELPDELTPLAAIARGGLSLQLLDVSSNLLEGKFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPEIAVLDLSMNLLGGVVSPGFSNCSRLRVLSAGRNNLTGELPHDLFDVKSLQHLLLPSNQIEGRLDPERIAKLTNLVTLDLYYNVLTGELPESIGQLSKLEILRLTHNNLTGPLPPSLSNWTSLRCLDLRSNTFVGDLTDIDFSGLGNLTVFDIDSNNFTGTMPLSIYSCTSMKALRISHNRIGGQVSPEIGKLKELQFLSLTLNSFVNISGMFWNLKGCTSLTALLLSYNFYGEALPDAGWVSDHIRNVRVIVMQNCMLTGVIPSWLSNLQGLNVLNLSGNRLTGPIPSWLGAMSKLYYVDLSGNLLSGQIPLSLKEMQLLTSEQAMSEFNPGYLPLMFTVKPDSRPADQQGRGYYQLLGVATTLNFSDNNITGMIPPEIGKLKTLQVLDVGSNKLTGGIPPELSSLARLQILDLRWNRLTGMIPSVLNELNFLAVFNVSYNDLEGPIPTGGQFDAFPPKSFKGNPKLCGQVISVPCSNKFQDRDGSSSKLMGKKVLIAIVLGVSFGLVALIVFLGCVVIVVRREISNGVVRDGGKSVETSLFDSMSELHSDTSKDMIFFMSDFAGKASRATKTVTFMDVLKATNNFSPANIIGSGGFGLVFRAEMEDGTKLAVKRLNGDMCLVEREFQAEVDALSATRHDNLVPLLGYCIRGRLRLLIYPYMANGSLHDWLHERRAASVGDDAPKQLDWRARLNIARGASRGVLYIHEKCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRQPVETLPPPNGQQWVLARWVEEMRSQGRHAEVLDPRLRGNGDEAQMLNVLDLACLCVHSAPFSRPAIVDVVCWLDNLQQQIRQAFPKEQSSLLDFLAQLSPGHNGGLNMLWVRGTDCYSWEGIDCRDQNTLRDISLPSKGLAGSISPSLSNLTRLLHLNLSHNSLSGGLPMDLMFLSSIAVLDVSFNHLDGSLQELQSSNPGLDLPLQVSMRFSSATWEVMKNLVALNASNNSFTGQIPASICINAPSFAVLDLSYNQFSGNVSPKIGKCSMLRVLKAGYNNLTGAIPNELFSFRALEHLSLPYNGLQGILNGSHIVKLANLTVLDLGSTGLSGNIPDSIGQLRRLQELHLDNNNMFGELPSALGNCTNLIFINLRGH >LPERR06G21340.1 pep chromosome:Lperr_V1.4:6:19366318:19374373:-1 gene:LPERR06G21340 transcript:LPERR06G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRRGDLLVRLIGRRGVLYDGATSRRGSISRRHDCGRRLAGRVLLFILHFLRMGEAEGNIYESTMLFFGLAVVVLLSFASSTTSCTEQDKSSLIGFLDGFLPGRNGNLNMSWVKGTDCCEWEGINCSSDGTVTDVSLSSKGLQGHISPSLGNLTRLLHLNLSHNLLDGSLPMELLFSRSIKVLDISFNRLDGSLPELQSSSDGSPLQVLNISSNLFTGLFPSKQWEVMKNIVALNASNNSFTGQIPFSICINTPSFAILDLRYNQFSGSISPGLGNCSMLREFKAGYNNFNGALPDELFSATSLEHLSLPNNDLHGVLDGSHIVKLIKLIVLDLRLTGLSGNIPDSIGQLSSLEELRLDNNNMSCELPSALGNCTNMRYLTLRNNTFLGDLSKVNFTWLNLRVADFSINNFTGTIPESIYSCSNINVLRLAFNKFRGQLSPRISNLKSSCKNLASLLIGTNFKGETIPEDETVDGFENLQLITMDSCGLIGKIPPWISKLKKLMVLDLSNNMLTGEIPFWISDLLVLFYLDISNNSLTGDIPAALMNMPMLQSRKIAAKLDPKFLELPLYWTPSRQYRLLSAFPNALNLGNNSFTGVIPPEIGQLKMLDGLNVSFNRLSGGILQQICKLTNLQVLDLSSNQLTGELPAALTNMHFLSEFNVSNNELEGPVPTGGQFDTFPNSSYSGNKKLCGTYPTTSKEPPSTSQRHNLRRPLVLGITLGALIALALLACFLIARLMSRRIVVVWGFLVLVLVVSLCGLGSAACSEAEKDALLSFLAAASPRQGDGIVADWGRSPDCCTWDGVGCDGDGAVVTRLWLPWCGLAGTISPSIANLTALTHLNLSGNGLTGNFPKVLFSLPNVTVVDVSYNCFTGELPAASAVAPGGMSLQMLDVSSNLLEGDFPSAIWEHTPRLVSLNASNNSFHGSIPNLCVSCPEIAVLDLSMNLLGGAISPGLGNCSRLRVLSAGRNNLTGELPGELFDLNQLQKLLLPYNHIEGRLDPKRIAKLTNLVTLDLCYNAITGELPESIGQLMKLEELRLGQNYLTGKLPPTLSNWTSLRCLDLRSNSFVGDITGVGFSGLVNLTVFDLAANNFTGTIPPTIYECTKMKALRVSNNLMGGQVSPAIGNLKELQFFSLTVNSFVNLTGMFWNLNGCTNLTALLVSYNFYGEALPDAGWVGDNLKSVRVMVMENCALTGMIPSWLSKLQDLNILDLSSNRLTGPIPNWLGGMTKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNDITGTIPSEIRKLKTLQVLDVSYNNLSGDIPPELSSLSRLQILNLRWNNLTGTIPPALNELHFLAVFNVANNDLEGPIPTGGQFDAFPPKSFKGNPKLCGQVISVPCGDKVMAGDDTSSNSKLVGKKAMIAIVLGVCIGLVALIVFLGCIVIAIRRVVSNGAVRDGGKGVDASLFDSMSDLYGDFSKDTIMFMSEAAGETVKSLTFVDILKATKNFFPANIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHDNLVPLLGFCIRGRLRLLIYPYMANGSLHDVLHERRDGAPRALDWRARLNIARGAGRGVLYIHEKCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVETVPPHGQQRELVRWVIETRSQGRHTEVLDTRLRGRGNEAQMLYVLDLACLCVDSTPLSRPSIQDVVGWLDNVDTISRLD >LPERR06G21340.2 pep chromosome:Lperr_V1.4:6:19366318:19374373:-1 gene:LPERR06G21340 transcript:LPERR06G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRRGDLLVRLIGRRGNIYESTMLFFGLAVVVLLSFASSTTSCTEQDKSSLIGFLDGFLPGRNGNLNMSWVKGTDCCEWEGINCSSDGTVTDVSLSSKGLQGHISPSLGNLTRLLHLNLSHNLLDGSLPMELLFSRSIKVLDISFNRLDGSLPELQSSSDGSPLQVLNISSNLFTGLFPSKQWEVMKNIVALNASNNSFTGQIPFSICINTPSFAILDLRYNQFSGSISPGLGNCSMLREFKAGYNNFNGALPDELFSATSLEHLSLPNNDLHGVLDGSHIVKLIKLIVLDLRLTGLSGNIPDSIGQLSSLEELRLDNNNMSCELPSALGNCTNMRYLTLRNNTFLGDLSKVNFTWLNLRVADFSINNFTGTIPESIYSCSNINVLRLAFNKFRGQLSPRISNLKSSCKNLASLLIGTNFKGETIPEDETVDGFENLQLITMDSCGLIGKIPPWISKLKKLMVLDLSNNMLTGEIPFWISDLLVLFYLDISNNSLTGDIPAALMNMPMLQSRKIAAKLDPKFLELPLYWTPSRQYRLLSAFPNALNLGNNSFTGVIPPEIGQLKMLDGLNVSFNRLSGGILQQICKLTNLQVLDLSSNQLTGELPAALTNMHFLSEFNVSNNELEGPVPTGGQFDTFPNSSYSGNKKLCGTYPTTSKEPPSTSQRHNLRRPLVLGITLGALIALALLACFLIARLMSRRIVVVWGFLVLVLVVSLCGLGSAACSEAEKDALLSFLAAASPRQGDGIVADWGRSPDCCTWDGVGCDGDGAVVTRLWLPWCGLAGTISPSIANLTALTHLNLSGNGLTGNFPKVLFSLPNVTVVDVSYNCFTGELPAASAVAPGGMSLQMLDVSSNLLEGDFPSAIWEHTPRLVSLNASNNSFHGSIPNLCVSCPEIAVLDLSMNLLGGAISPGLGNCSRLRVLSAGRNNLTGELPGELFDLNQLQKLLLPYNHIEGRLDPKRIAKLTNLVTLDLCYNAITGELPESIGQLMKLEELRLGQNYLTGKLPPTLSNWTSLRCLDLRSNSFVGDITGVGFSGLVNLTVFDLAANNFTGTIPPTIYECTKMKALRVSNNLMGGQVSPAIGNLKELQFFSLTVNSFVNLTGMFWNLNGCTNLTALLVSYNFYGEALPDAGWVGDNLKSVRVMVMENCALTGMIPSWLSKLQDLNILDLSSNRLTGPIPNWLGGMTKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNDITGTIPSEIRKLKTLQVLDVSYNNLSGDIPPELSSLSRLQILNLRWNNLTGTIPPALNELHFLAVFNVANNDLEGPIPTGGQFDAFPPKSFKGNPKLCGQVISVPCGDKVMAGDDTSSNSKLVGKKAMIAIVLGVCIGLVALIVFLGCIVIAIRRVVSNGAVRDGGKGVDASLFDSMSDLYGDFSKDTIMFMSEAAGETVKSLTFVDILKATKNFFPANIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHDNLVPLLGFCIRGRLRLLIYPYMANGSLHDVLHERRDGAPRALDWRARLNIARGAGRGVLYIHEKCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVETVPPHGQQRELVRWVIETRSQGRHTEVLDTRLRGRGNEAQMLYVLDLACLCVDSTPLSRPSIQDVVGWLDNVDTISRLD >LPERR06G21340.3 pep chromosome:Lperr_V1.4:6:19366318:19374373:-1 gene:LPERR06G21340 transcript:LPERR06G21340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRRGDLLVRLIGRRGVLYDGATSRRGSISRRHDCGRRLAGRVMSRRIVVVWGFLVLVLVVSLCGLGSAACSEAEKDALLSFLAAASPRQGDGIVADWGRSPDCCTWDGVGCDGDGAVVTRLWLPWCGLAGTISPSIANLTALTHLNLSGNGLTGNFPKVLFSLPNVTVVDVSYNCFTGELPAASAVAPGGMSLQMLDVSSNLLEGDFPSAIWEHTPRLVSLNASNNSFHGSIPNLCVSCPEIAVLDLSMNLLGGAISPGLGNCSRLRVLSAGRNNLTGELPGELFDLNQLQKLLLPYNHIEGRLDPKRIAKLTNLVTLDLCYNAITGELPESIGQLMKLEELRLGQNYLTGKLPPTLSNWTSLRCLDLRSNSFVGDITGVGFSGLVNLTVFDLAANNFTGTIPPTIYECTKMKALRVSNNLMGGQVSPAIGNLKELQFFSLTVNSFVNLTGMFWNLNGCTNLTALLVSYNFYGEALPDAGWVGDNLKSVRVMVMENCALTGMIPSWLSKLQDLNILDLSSNRLTGPIPNWLGGMTKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNDITGTIPSEIRKLKTLQVLDVSYNNLSGDIPPELSSLSRLQILNLRWNNLTGTIPPALNELHFLAVFNVANNDLEGPIPTGGQFDAFPPKSFKGNPKLCGQVISVPCGDKVMAGDDTSSNSKLVGKKAMIAIVLGVCIGLVALIVFLGCIVIAIRRVVSNGAVRDGGKGVDASLFDSMSDLYGDFSKDTIMFMSEAAGETVKSLTFVDILKATKNFFPANIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHDNLVPLLGFCIRGRLRLLIYPYMANGSLHDVLHERRDGAPRALDWRARLNIARGAGRGVLYIHEKCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVETVPPHGQQRELVRWVIETRSQGRHTEVLDTRLRGRGNEAQMLYVLDLACLCVDSTPLSRPSIQDVVGWLDNVDTISRLD >LPERR06G21350.1 pep chromosome:Lperr_V1.4:6:19375844:19379966:-1 gene:LPERR06G21350 transcript:LPERR06G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVRAEDALAAAAAVAMASDKMRSVTLTGSIQGAMRRIGGGGGRRLGVSPGTGNRDAAASCSGDDSSNSTGKRDGSRRIRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATMILEPSTKLPNKAQELIISIASLENTVSKLEKDLNDLCYQLCRLRTDTLLAESNSRCLETLAKENDSRCLLSTLLPYQPSSNCECTGEEASTLRDLKLGESESMQETLLPGIEDQHNVPKESEEREMVSQDGLLEENQDGLALNTEAQELYVMENEGGEGQTIDALSFDHSYQTKGSINGNAWNENPNKLSEDMVRCMKDIFLRLSESSSEISPKGSSDNSISSAERLSVCTFTSISDSSLVASVMQSPSVDSNHDSIDEVRYFDPYKVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRTLVEQLSKVDPTRMNCDERLAFWINLYNALIMHAYLAYGVPENDIKLFSLMQKACYMVGGQSFSAAEIEFVILKMKTPLHRPQLSLMLALHKFRVTEEHRKYSIDDAEPLVLFALSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDNGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTTSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSRRKH >LPERR06G21350.2 pep chromosome:Lperr_V1.4:6:19375844:19382188:-1 gene:LPERR06G21350 transcript:LPERR06G21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAAAAPYPALVPPTGSIQGAMRRIGGGGGRRLGVSPGTGNRDAAASCSGDDSSNSTGKRDGSRRIRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATMILEPSTKLPNKAQELIISIASLENTVSKLEKDLNDLCYQLCRLRTDTLLAESNSRCLETLAKENDSRCLLSTLLPYQPSSNCECTGEEASTLRDLKLGESESMQETLLPGIEDQHNVPKESEEREMVSQDGLLEENQDGLALNTEAQELYVMENEGGEGQTIDALSFDHSYQTKGSINGNAWNENPNKLSEDMVRCMKDIFLRLSESSSEISPKGSSDNSISSAERLSVCTFTSISDSSLVASVMQSPSVDSNHDSIDEVRYFDPYKVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRTLVEQLSKVDPTRMNCDERLAFWINLYNALIMHAYLAYGVPENDIKLFSLMQKACYMVGGQSFSAAEIEFVILKMKTPLHRPQLSLMLALHKFRVTEEHRKYSIDDAEPLVLFALSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDNGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTTSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSRRKH >LPERR06G21360.1 pep chromosome:Lperr_V1.4:6:19382226:19382670:-1 gene:LPERR06G21360 transcript:LPERR06G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVSRMGNGGVIYVVTLLETSFRRSYVDGGGNLPMSRRTAMMPAGSRAEGGDGGFTCEP >LPERR06G21370.1 pep chromosome:Lperr_V1.4:6:19383294:19383791:-1 gene:LPERR06G21370 transcript:LPERR06G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDDTWKLAKTTVRRRSMEATEAGGAAGQIWCRRAQIRRPQGYSAWVCGGLTAPRGCAAAARCRGSYGAAGSGVLLALCGGSCCIAEAICFGWLLAYWIYGQAFGTSSGRGVEARGGVARRPGGEGPGGERRREAHTVMPNVAREPLLLSLGLGAAGGILRWI >LPERR06G21380.1 pep chromosome:Lperr_V1.4:6:19383881:19384363:-1 gene:LPERR06G21380 transcript:LPERR06G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTLVPPLPQVTRVPPSLPPNLPSPASSRSAAARARTAAAGRSSPSYPCSLGGGASSAGGRQRPDPAAARPDLVPPTTDPRQKQSGDGWLWGRRDGRERADAAAAARGRRGRLHAGGGDDVLVPRLPFPCDGVKPMAPEVARSGSAVARSRFPGSGVA >LPERR06G21390.1 pep chromosome:Lperr_V1.4:6:19385236:19390494:-1 gene:LPERR06G21390 transcript:LPERR06G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPYPEVNISSFAISVLAKAASFGTEWAVSEIKSAWNVKKELGKLESSLRSICAVLQDAECKQSTSHALQEWLDDLKDAVYDIEDVLDDEIHKGFINGTRHLLTYPFKLSHRIKEVREKLDEIAANKARFGLTEQPVQVQASISSNRETYSFITESEIIGRTEAKCEIVEKILTATESNPFSVLPIVGLGGIGKTALAQLVYSDAQITEKFEEKLWVCISDVFDIKKILDDIIQSGTGESNRQLSLEGLQSKLREFLCEKRYLLVLDDLWNDNVMEWAQLKSLLPSGESGSVVIVTTRSANVALVVKTLEPYEVAELPENECMRVFTRYAFRDGEHKDPELLKIGKSIVDKCCGVPLAAKTLGSLLCTSLDVGEWRRMKEKLWNTKRDEHGVIPALKLSYDALPPHLRACFSCLSIFPKDYNMFVDNLVLFWMALGMLDIGMESKQVISAGKKCFHDLLGRSLLQDQFVVFDETIESCKMHDLIHDLSQFVSKKELDVISHEESAFSKRVKYLVWDCKDFKIELKFPKQLNKACKARVFASINNCGIVSKSFLKDLFSTGTGKSNVFPILYLVNLQTIHLYRCDQLEGLPRDVHRLVSLAYLNFTSKQKYLLKSRFCGWSSLTFLYLTECIELTSLTEGLGSLTALQVLKIFDCPKLVSLPSAMKQLSMLDELVLNNCGELDLMEPGEALSGLGSLRSLSLVRLPKLVGFPASFQSAAYSLQYFWIDDCKGLLKLPGFIRSFTCLKTIVIRDCPELSQRCRAESGEDFPLIRHDCKGRIPVQRAPLG >LPERR06G21390.2 pep chromosome:Lperr_V1.4:6:19386599:19390494:-1 gene:LPERR06G21390 transcript:LPERR06G21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPYPEVNISSFAISVLAKAASFGTEWAVSEIKSAWNVKKELGKLESSLRSICAVLQDAECKQSTSHALQEWLDDLKDAVYDIEDVLDDEIHKGFINGTRHLLTYPFKLSHRIKEVREKLDEIAANKARFGLTEQPVQVQASISSNRETYSFITESEIIGRTEAKCEIVEKILTATESNPFSVLPIVGLGGIGKTALAQLVYSDAQITEKFEEKLWVCISDVFDIKKILDDIIQSGTGESNRQLSLEGLQSKLREFLCEKRYLLVLDDLWNDNVMEWAQLKSLLPSGESGSVVIVTTRSANVALVVKTLEPYEVAELPENECMRVFTRYAFRDGEHKDPELLKIGKSIVDKCCGVPLAAKTLGSLLCTSLDVGEWRRMKEKLWNTKRDEHGVIPALKLSYDALPPHLRACFSCLSIFPKDYNMFVDNLVLFWMALGMLDIGMESKQVISAGKKCFHDLLGRSLLQDQFVVFDETIESCKMHDLIHDLSQFVSKKELDVISHEESAFSKRVKYLVWDCKDFKIELKFPKQLNKACKARVFASINNCGIVSKSFLKDLFSTGTGKSNVFPILYLVNLQTIHLYRCDQLEGLPRDVHRLVSLAYLNFTSKQKYLLKSRFCGWSSLTFLYLTECIELTSLTEGLGSLTALQVLKIFDCPKLVSLPSAMKQLSMLDELVLNNCGELDLMEPGEALSGLGSLRSLSLVRLPKLVGFPASFQSAAYSLQYFWIDDCKGLLKLPGFIRSFTCLKTIVIRDCPELSQRCRAESGEDFPLIRHVPEIYI >LPERR06G21400.1 pep chromosome:Lperr_V1.4:6:19391601:19394124:1 gene:LPERR06G21400 transcript:LPERR06G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYLRSSSGGGSFKKLLFSISHRSNTKNNTTTNNITPSPESPRKPTWRCYSYEEIHHATGAFDPGNLVGKGGSSEVYRGELPDGRAVAVKRLMGAWACERRERDFLAELGTVGHAHHPNVCLLLGCCVDRDLYLVFHFSPLGSVSSNLHDEKKAVGMGWEVRHGIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEVMTGRKPVDGSHKSLLSWARPFLNEGKIESLVDPRIGSDYDGEQARRLAFVASLCIRSSAAWRPSMTEVLELLEGVEIRQERWAMPEEEDDEEEELWGFDDLDDEDDDVVEDEEEFNTASPCSSSSTLSNQ >LPERR06G21410.1 pep chromosome:Lperr_V1.4:6:19397585:19400912:-1 gene:LPERR06G21410 transcript:LPERR06G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFFSGGAIMPSQQRAGAPAPEYSSVSVAAAAAAAAPSPSKQQRDPRFSGCVPATVLHISRAFAAAAAADGGGDPVLSIDGVETTNVRVLGRVVSVTSRETDVSFSLDDGTGKIALVRWITDQSDTRETSYIQDGVYLKVQVNLMGFQAKKQGLARSIRPVNNFNEVVLHFVECIYVHMASVQSKMQRQLPPAVQTNEYAHVPFSGGVRDYQVHFTPQVNQGPPAAVQTNTSTYVPLSGGFRDHQAHSTQVNQGHFPPAIQANMSTHVPFSGGVREHQIHFTPNVNQVQFPPSAQTNTSTHVPYSGGVNFTSSVNQGQIPPAVHTNTYNHVSFSGGPSFQLTQALVDYSMTCKEWLWKFCSNQIYWGLPTIWLNQASSIGPLTTIMSSLCVMVDQCNHLQD >LPERR06G21420.1 pep chromosome:Lperr_V1.4:6:19409380:19413090:1 gene:LPERR06G21420 transcript:LPERR06G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRLHLMKASQEPNASIAGITIVKPRPERVQRRGPSAVEEDEDEDEEEEWVEVDPSELESTSEMQVDEDPSKSDDDMDEFEELDPTFCFMCDLEHDTIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFHSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDRDNSIELGSGGAELVITNKGEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNRTGVETMRTKIGMKSNVIRNLPKNCPY >LPERR06G21430.1 pep chromosome:Lperr_V1.4:6:19413651:19417298:1 gene:LPERR06G21430 transcript:LPERR06G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMVKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANAKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFTGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRNWWSCMFFRGFSRSSRRRRRARRERRGSGRTNNQAHLESFNLEVPTQSVDLREIRFDGIDDEYIVTGAIPSIATPGRMASFHYRDTRYGR >LPERR06G21430.2 pep chromosome:Lperr_V1.4:6:19413651:19417066:1 gene:LPERR06G21430 transcript:LPERR06G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMVKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANAKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFTGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRNWWSCMFFRGFSRSSRRRRRARRERRGSGRTNNQAHLESFNLEVPTQSVDLREIRFDGIDDEYIVTGAIPSIATPGRMASFHYRRVEISRTACAIFLESPC >LPERR06G21430.3 pep chromosome:Lperr_V1.4:6:19414772:19417066:1 gene:LPERR06G21430 transcript:LPERR06G21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMVKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANAKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFTGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRNWWSCMFFRGFSRSSRRRRRARRERRGSGRTNNQAHLESFNLEVPTQSVDLREIRFDGIDDEYIVTGAIPSIATPGRMASFHYRRVEISRTACAIFLESPC >LPERR06G21440.1 pep chromosome:Lperr_V1.4:6:19417851:19418397:-1 gene:LPERR06G21440 transcript:LPERR06G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGGLRRWKRFLPAFSTIDAAIESENPGNSRAKHRDARLEIIEKLIDAADDAAVAEKLCAVLDDVMVQSLQTLEMVATLASTDLAKDVGGLRNHESGRVRCLAAGVVCRWMASVKDELAEVSAAMEKLTRLLGPDDGTDHRAKIILEQRNRSSCGTSDSMAKRNSRLSVLRRS >LPERR06G21450.1 pep chromosome:Lperr_V1.4:6:19418659:19419810:1 gene:LPERR06G21450 transcript:LPERR06G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEKRPAADSALTDAAAAAGGGKRSRMTHSIDEYEIKSGLGKGAFGAVVRARHRATGKDVAIKSLHRAPAAAGDSRGLLREALWLARFRRHPSIVHLHGYAHDPRTGHSCLVLELLGPSLSRLLRHRRRHLAAGGAFTDDETRRVMRHLLEAVKTLHEGRIVHRDIKPGNILIAGDDEVNGDLAVVKLCDFGLAMCTAWKPPYQKSGTPGYMAPEILLGKPDYDELVDEWSLGCVMADLLTGGGVPLFQGHSETEQLLKIFRALGVPNSDTWPSYMSMPVARSLPLPRIWDRNRLREMFPEKRISPEGFEVLDGLLTCDPGKRLSAAAALRLPWFVHDGVAAVDALRRICQPVSPRKEPAAAAASFAAAFPCGAGRCAGVAG >LPERR06G21460.1 pep chromosome:Lperr_V1.4:6:19420823:19425017:-1 gene:LPERR06G21460 transcript:LPERR06G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGEEEEEEEEEEEEEEGGIGGGGDGRGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVNAAVVSEIRRCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDEIITHYMGIQFFTNANVDLGPLPGSMTKEPVRSTRFTTDNFFRPISTGPGQSNFCWEYSSIFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYIMTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVDVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTMDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVAMDKPVWREVPASWTPPSRLGHSMSVYSGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSSA >LPERR06G21470.1 pep chromosome:Lperr_V1.4:6:19426252:19429837:1 gene:LPERR06G21470 transcript:LPERR06G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGAGAGAAIPGDALLRIAAPVRETLAAAPYAPPEGSSTSIKSLLASLLPSPSSHPQSPPAPTAAEDLLLFCAAARGASPEYPALHWVPVALSDAAAAAMVEMAAAGGWGDEREMVVGMMPDVVVRLKDVVKATCVDTESEEIGVVKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYRIVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDDLVLLYKESATRSSHEVMRNHILEMLATLQKCKGQQFEEAWKKHELSLAIRAI >LPERR06G21470.2 pep chromosome:Lperr_V1.4:6:19426252:19431091:1 gene:LPERR06G21470 transcript:LPERR06G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGAGAGAAIPGDALLRIAAPVRETLAAAPYAPPEGSSTSIKSLLASLLPSPSSHPQSPPAPTAAEDLLLFCAAARGASPEYPALHWVPVALSDAAAAAMVEMAAAGGWGDEREMVVGMMPDVVVRLKDVVKATCVDTESEEIGVVKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYRIVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDDLVLLYKESATRSSHEVMRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLRSCFSELCTKNRSP >LPERR06G21470.3 pep chromosome:Lperr_V1.4:6:19426252:19431091:1 gene:LPERR06G21470 transcript:LPERR06G21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGAGAGAAIPGDALLRIAAPVRETLAAAPYAPPEGSSTSIKSLLASLLPSPSSHPQSPPAPTAAEDLLLFCAAARGASPEYPALHWVPVALSDAAAAAMVEMAAAGGWGDEREMVVGMMPDVVVRLKDVVKATCVDTESEEIGVVKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDDLVLLYKESATRSSHEVMRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLRSCFSELCTKNRSP >LPERR06G21480.1 pep chromosome:Lperr_V1.4:6:19430613:19432631:-1 gene:LPERR06G21480 transcript:LPERR06G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAFLALSVLVALHLCCCHGQTDAAGSSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGVTFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPADQFNGRFFMPFSSNSLKVQLRWFKDFMKSSFNTDEDIRKRLQSSLVLIGEIGGNDYNYALFGKTNVTEVEKLIPGVVQTIVDAAKEVLEMGASRVIIPGNFPIGCMPTYLTSKGSSQPSDYDSMGCLKELNLFAAKHNTRLQRAIADDLRPSYPSASIAYADYFNSFLAILNTADSLGFDESSTKKACCGAGGEYNYDWRRMCGAKGTAACAEPEKYVSWDGIHMTQAAYRAMSRLVYHGRYLQPQILNLGELS >LPERR06G21490.1 pep chromosome:Lperr_V1.4:6:19436356:19438500:1 gene:LPERR06G21490 transcript:LPERR06G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQGCSLPTAAHATSVCRRGREKPLVSLPKFPICPPNPSHHGAAAAASLAAVTAATPAGGGMVRLVPRPPLARLRSPPPPAFPLPLLPAPSLAATLLDAISASPSFPHLRHLHALIVRLPLPPTSLTFLLSRLLRRLAAVPLPQLPPLSYALNVFSSVSPPDPFLAAALLRFAFLTAAQSRFLLLLPFRVFSRLRRDHGAGLPFLPYAFSTLAKSAAASKSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVVKDVISWTSIVVAYSRSGDMGSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALQVFDRMTALGMVIDEVSLTGAISACAQLGVVRRAAWVQEIAERNGFGNNIVVGSGLVDMFSKCGFIDEARTVFDGMQEKNVYTYSSMIAGLASHGRASEAIDLFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMTLKPHGGVWGALLGACRIHGKGEIAKVVAEHLFKLEPEAIGNYVLLSNILASAGKWDDVSKVRKLMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTQEIKKALLKLVAKLKLEGYVPILNSIVYDVNDDEKERLLMGHSEKLALSFGLLTLGSGSTIRIIKNLRICDDCHLFIRLVSRVESVEIVVRDNMRFHHFKNGECSCGGFW >LPERR06G21500.1 pep chromosome:Lperr_V1.4:6:19439156:19440519:-1 gene:LPERR06G21500 transcript:LPERR06G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLYGLHIILSSLLEIEGTRTTRGLKSKDSIRKLPKVLLEASWRKVLHHIFVGLMEKSKQDSRESLRRTIMEHDEVFRQQVHELHRLYCVQKSLMAELGGEKHSFQRTKETQEMMQGPLSNLKNSPSTSETSQSAHHGSAQGSAPGQVPEHSFLQEYKPARCLNFFTEETSRTQEKRRHTGSSQATEEEILLVSIDDTN >LPERR06G21500.2 pep chromosome:Lperr_V1.4:6:19439166:19440519:-1 gene:LPERR06G21500 transcript:LPERR06G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLYGLHIILSSLLEIEGTRTTRGLKSKDSIRKLPKVLLEASWRKVLHHIFVGLMEKSKQDSRESLRRTIMEHDEVFRQQVHELHRLYCVQKSLMAELGGEKHSFQRTKETQEMMQGPLSNLKNSPSTSETSQSAHHGSAQGSAPGQVPEHSFLQEYKPARCLNFFTEETSRTQEVRREGGRSAGDENCSASDPSVESDLDLKLTIGSSLHAEKAPHWLFSGNRGRNPSGQHR >LPERR06G21510.1 pep chromosome:Lperr_V1.4:6:19445306:19448646:1 gene:LPERR06G21510 transcript:LPERR06G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRSAPASASVIHGRLSFSHTSLQAPNQRGKRAGWALRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLDLNHDNVDKVLDEIRPYLSGTGGGSLELVQIDQSVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTD >LPERR06G21510.2 pep chromosome:Lperr_V1.4:6:19445467:19448646:1 gene:LPERR06G21510 transcript:LPERR06G21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRSAPASASVIHGRLSFSHTSLQAPNQRGKRAGWALRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLDLNHDNVDKVLDEIRPYLSGTGGGSLELVQIDQSVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTD >LPERR06G21510.3 pep chromosome:Lperr_V1.4:6:19445849:19448646:1 gene:LPERR06G21510 transcript:LPERR06G21510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLDLNHDNVDKVLDEIRPYLSGTGGGSLELVQIDQSVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTD >LPERR06G21520.1 pep chromosome:Lperr_V1.4:6:19447784:19452571:-1 gene:LPERR06G21520 transcript:LPERR06G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSLRCFLTGRPLCTGSSTSPVLPPTRRPSARLTCRAADEKKSTWGDKLGKMAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPVAPPLGGYGYGGYGYGYGWSPFSFFAPGPSVAVGVGGGFDTFVLFIHRAECCISRESCITASGSVSSSALQPEMLDYGYNRLLVEGDDLTLVRLLRCESNHTRIPPEMLDEIIWLLDSFSVCEVQHVYREGNQVADQLCHEAYKTPLDARLWAAGSSAMVPFPVWKKLEDDRCGVVHQRVRPMRRCRRLAVLTVEGDEVDENFLRRAEREPEEEEIDSVDSSECPRVFGAGELNALLRAFCARGRVAEARALFHRYRDACPPDARTFNTLLLGFKEAGNAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALDLLDEMRKRKNCRPTLQVFTTMIYGAGIARNTVRARQLFDEMEQWGVIPDRGAYNALMGAYMRARDLESGMTVMSEMERKGIGLDDVSYNTMLCGFQRVGDLEGIWNVYSKMVGSGFIPRTRTTMLLMKVFCENGRPDLGLELWDYLMGKGCVPHRHALDVLVTGLCCRGMIFEAYRCFKEMIEMGMAPTERAFRVLEGFLKRRQEFGKVEEITQMMKATQLEEHQSDEEAA >LPERR06G21550.1 pep chromosome:Lperr_V1.4:6:19476167:19479784:1 gene:LPERR06G21550 transcript:LPERR06G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRLGLRRRQSVVMVVAVLLVATAVSSAVAQLSQSYYASTCPNVETLVRGAVTQKLKETFNAAPGTLRLFFHDCFVRGCDASVLIAGQDDEHSAGADTTLSPDALDLITRAKAAVDADAGCSNKVSCADILALAAREVVSQAGGPYYQVELGRLDGKVGTRAVVKHSLPGAAFDLDQLNKLFATNGLTQTDMIALSGGHTIGVTHCDKFVRRLYQFKGKAAANSPAMNLAFLRQMRQTCPLNYSPSTVAMLDAVTPNKFDNGYFQTLQQQKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAITKLGRVGVKTVAGNDAEIRRVCTKVN >LPERR06G21560.1 pep chromosome:Lperr_V1.4:6:19483065:19487156:-1 gene:LPERR06G21560 transcript:LPERR06G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPPPSHRLLDPPRDTCAQSARENSSRSTSTTGFLRRSSSVSKNQGNDERPNKTKLNPMKARWADNKEKPRYLREPFRSSGTKTACPSSSKTPIRKYYEEKQGRSFLAEVDNAESSNRRTEVNRLQSSNKAVVEKDMHPYGQQAKSEDLLSTLTSEDQPELNPELSDSSTSSVMPARAFGSAVRNTALRPKSRQHKGKEELCQIRPQSASAFVNRSTISRNSTNGVRPSNSAGPGVQRRSLKNLGCTSISDVLPSGCSSSNAVHNRRTEVIRNMTFDAESSSGSRGLSAQSSLGHSPAMYSGITGPRFRAAEQSASQQSTRPSSRSILESADSARTRRPSTQHTRVRIPNEREDSVFALRETVTRVQQPEWARFSLDEAPQQRSMRPFSMEFPHEIYSSSRQGSNNQTARRRSTYRTEESPADMFHGLLVERDAYRRINMEGITEVLLALDRIEQDDELSYEQLLVLETNLFLSGLGLHDQHRDLRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLNRSVYKLPNSDLEVHKAVVDDIKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLKQKNWCPVCKTSAIPSEMDKEGT >LPERR06G21560.2 pep chromosome:Lperr_V1.4:6:19483065:19485553:-1 gene:LPERR06G21560 transcript:LPERR06G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSSRSTSTTGFLRRSSSVSKNQGNDERPNKTKLNPMKARWADNKEKPRYLREPFRSSGTKTACPSSSKTPIRKYYEEKQGRSFLAEVDNAESSNRRTEVNRLQSSNKAVVEKDMHPYGQQAKSEDLLSTLTSEDQPELNPELSDSSTSSVMPARAFGSAVRNTALRPKSRQHKGKEELCQIRPQSASAFVNRSTISRNSTNGVRPSNSAGPGVQRRSLKNLGCTSISDVLPSGCSSSNAVHNRRTEVIRNMTFDAESSSGSRGLSAQSSLGHSPAMYSGITGPRFRAAEQSASQQSTRPSSRSILESADSARTRRPSTQHTRVRIPNEREDSVFALRETVTRVQQPEWARFSLDEAPQQRSMRPFSMEFPHEIYSSSRQGSNNQTARRRSTYRTEESPADMFHGLLVERDAYRRINMEGITEVLLALDRIEQDDELSYEQLLVLETNLFLSGLGLHDQHRDLRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLNRSVYKLPNSDLEVHKAVVDDIKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLKQKNWCPVCKTSAIPSEMDKEGT >LPERR06G21570.1 pep chromosome:Lperr_V1.4:6:19490209:19493816:1 gene:LPERR06G21570 transcript:LPERR06G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAGMQLAAAGLGTSAGAGKVRLFGERTGASANIKRNGKRLDVSPGVNYGLIGCNHLTSRSKQPSSAAMATRPSPRSRDGATARPRSTSGRPPSSPRSSSSDTATRRSSFASASEKPMPSFLRPTVSSSLHSSLSSSSLSSPTSKAGGVTPARRYTDKSSSAGAASRPITPKAAAAPAASRWSAVSPRQLMQKASNAIKGGGGGSSSKSRTKKDKEAIASPAATSAAGKEKATPATNSPAGKAVVASASTAQPPESPATSSLELPATSSPAAVAVKAEATPAAEPKAEEEKQPEETPEEVAVSVEEKSQQAEEEKMMPEDDAAAVKTDASPAPEETQPQTGVIAESETEVERNSEDNPTTDAIVEEAENELATKIEQDEPESSTVEETTAVVEEEIKAEEKPQEQAPKLEENAKNSETQTSVISEDPKEEEPAITDDSEAQATQEQVSEMVQNSVEQNISSEPSPMLQEARDESEPVQASSSESATQPAKEESINNNKNYNNNNNNDDKEKATMDTTTPVTTSEPSAKKEEEGSTSTSTKLAFKGSKVKTAMEKRSDEEQPKKKDVARSNDVIEETKRTLLEKRKSKVKALVGAFETVMDSPTPSPGKSS >LPERR06G21580.1 pep chromosome:Lperr_V1.4:6:19495288:19497390:-1 gene:LPERR06G21580 transcript:LPERR06G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDNDVREHLLDVDGGGGAGEVAADSGPKIRVRGLTRRSEANGGGGEEILRAVDLDVPRGVIVGVIGPSGSGKSTLLRALNRLWEPAPDAVLLDGVDIVSIDVLSLRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTDAEVQSLLSLADLDPALYTKPASELSVGQAQRVALARTLANDPEACTNTICLNFGILIDVQVLLLDEPTSALDPISTQNIEEAIVRLKKTRGMTTVMVSHSVKQIQRIADLVCLVVAGEVVEVLPPSELSQAKHPMARRFLELS >LPERR06G21590.1 pep chromosome:Lperr_V1.4:6:19503639:19505159:-1 gene:LPERR06G21590 transcript:LPERR06G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRLLLSDYDGAIVSPLPSPPLTASSSSAATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGERRNSGVDRAVVESLPVFRFGALRGQKSGLECAVCLGQFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSITGPPDPPESKTTTAAAPAKEKDASFAPAPAPSPATFRGFFSGRHSTGSVRAPGRVGPASRRSADLVAGGAAAAKVRKDRVLLMEPAAAVAEPDPEAFDRRFGHRILVSTAGGCDGETAPAAKQRWSEVRPSDLMFVRSEMLVTAGRYSCSAAMLNSGNGRSVISARSLSELAGVSRLPPIRAGKKVASREPPLARLVGGPAQAGGPGANHHPALTALAPRPLLRLAHFAAPRKAPSFRRSPCHACLESRAGEVKRESMLLLRGSRGKVCWLRNTGGRDRLRPAYGAGFDALRIFCGALR >LPERR06G21600.1 pep chromosome:Lperr_V1.4:6:19514784:19516425:1 gene:LPERR06G21600 transcript:LPERR06G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVVLGIVAMACMVAMAQGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDQSSGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNTTGEPYTLHTNVFTQGQGQREQQFRLWFDPTTAFHTYSITWNPQHIIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRGYNADACVWSNGAQRCPVGTMETAASTRRGGGWWNQQLSDMSYRRMRWVQRKFMIYNYCTDPKRYPQGVPAECKLR >LPERR06G21600.2 pep chromosome:Lperr_V1.4:6:19514645:19516425:1 gene:LPERR06G21600 transcript:LPERR06G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVVLGIVAMACMVAMAQGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDQSSGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNTTGEPYTLHTNVFTQGQGQREQQFRLWFDPTTAFHTYSITWNPQHIIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRGYNADACVWSNGAQRCPVGTMETAASTRRGGGWWNQQLSDMSYRRMRWVQRKFMIYNYCTDPKRYPQGVPAECKLR >LPERR06G21610.1 pep chromosome:Lperr_V1.4:6:19518144:19528168:1 gene:LPERR06G21610 transcript:LPERR06G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALRLWLVVVVAATAAVAGGEHNFHRDFDAVWGKGNARFRDGGRLVELTLDEQTGARLQSKERFLFGRFDLEIKLVKGESAGTITSFYICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFRNNEAYGVPFPTRQPVHVFASIWDAEEWATQGGRVKTNWSQSPFVATYRRYNVTNACVWRQDAGGIGGCTSVGGLGWMSQKMDWWSWMTLNWVRMNYMAYDYCSDRKRFPYRFPAECIIPIGRASSHLVQPSDRKPTFLAYKNHTLHNNLSPQPNELSYLIESNPIQYNSATKWLLPIRGEPLTILCSVNLMIAGAAGNFYQDVDITWGDGRGKIVGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGDSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPTHILFSVDGTPIREYRNTEAATGVAYLRTQPMRVYASMWDAEDWATQGGRVKTDWSKAPFTVSYRKFAASGCTSQDAATCAKSTNPWMYQELDSSAQDRLRQVQRDYMIYNYCADTYRFSQGFPPECSAK >LPERR06G21620.1 pep chromosome:Lperr_V1.4:6:19532657:19533699:-1 gene:LPERR06G21620 transcript:LPERR06G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMEFLVIVLLAWLGMAMANFNQEFDITWGDGRGKILNNGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGNREMQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFKNLEGKGIAFPKNQPMKLYSSLWNADDWATQGGRVKTAPFSASYRGFRADACVVAAGGRTRCGAAVGTDAAPGSGGAAAAGGWYNQELDLTRQQRMRWVQSKYMIYNYCTDPKRFPQGIPAECSM >LPERR06G21630.1 pep chromosome:Lperr_V1.4:6:19543737:19544114:1 gene:LPERR06G21630 transcript:LPERR06G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRWPARATALCLAVVVLQLAAAVTAARSLRFSSSRHHAHGHGHVHKAALPSSSPPPPPHRVVAGGRAAAAFDAAAAAVAGGGVPCNKSRKEEKSGGGEAPCSGGDDDDKRVVPTGPNPLHNR >LPERR06G21640.1 pep chromosome:Lperr_V1.4:6:19545801:19547488:-1 gene:LPERR06G21640 transcript:LPERR06G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQLDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISDDAKGQQATKVEIYASFGGLLMMLKGDPSSAANLELDQRLFLLIRKV >LPERR06G21650.1 pep chromosome:Lperr_V1.4:6:19548035:19552157:1 gene:LPERR06G21650 transcript:LPERR06G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMCRRESMFTKRVYKATYKAAYKEQPNRCPNLVLIKPYKRPIMMHKRYKDHQPNVAISNLVYSEHGKCKYRCPTRRHKAIIPTEILTAKLSVDVIHLIPLLYNLLAIAPVFEQQQVMELDEVGVHIR >LPERR06G21660.1 pep chromosome:Lperr_V1.4:6:19554517:19555980:1 gene:LPERR06G21660 transcript:LPERR06G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLNLTWGSFGSLLATAVVVRNVLPPEAHTFLRSLLSRAAAAFFSPPPRDAIIINEADSNGVPNELFDAAQLYLGARCLSTAPALLLHKPHGSDADSTSVVASLPDSHATHDTFRGVRLLWTSDHHDAILSSVVAPLQRGGLARHHHQHHQQRRFLRLEFRRCDRDVVLDAYIPYVLETAAAMRARTRERKLYTNNAGFYGGGGGAMADEHQMLWKPHAFSHPSTLDTLAVDPSLRDAIRVDLLRFVRRRDRYARAGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDIYDLELTAVNSNHELRRLLASTRPKSVIVVEDVDCSLGLFDRTRSPRSHENSSAMMLQRETISLSGVLNFVDGLWSSCVGERLVVFTTNHIDRLDPALLRPGRMDRKIELGYCKAPALRVLAKNYLAGDGDEDGDDAVADEEEELMGEAASLLEEVEVTPADVAEVFMGCDGDEGAHFALRKLVEELNRRRVGHGPV >LPERR06G21670.1 pep chromosome:Lperr_V1.4:6:19556191:19568216:-1 gene:LPERR06G21670 transcript:LPERR06G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELIDLTTTQDDVEDQNVHGKGYAVLRTTLQRPNAVAAHGQGDTAHLRPTLCEQKFIAVGDDAVEAVKSEILEFSAAKDVVGEAIRSADQEFVVAGDCTEEVMLSGDQDLAAAVADTEETMQSGTQECAAAGDKTGDAMHSGNSAQATTSSSNSEQVAISSMTEQVATTSSSMTEQCSRDTAAFLCFHPMSTASAFPRQFWKAGEYSVTAQPTINSIQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKSSPFGEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTRTGCNDILVPAVDYEFDESSHTFKRINYSYGKHFSSNLSTILGWSPFTTEDDLLNQFEDMGCHGTKVIIFNLWFNDAWEMELDFASDEELPKHFKIILCGRVVEPHHIVNDLIYCECIKYRPQVGINAEVDVITTIGYLRGAPKLDVHGFNIYHKNRLILPFRRVHSVGYVRVTKALPPAYFASTVARNDDSLAVQASTKTYHGNSRARESVLFDLCSNGESSKRRNPRPVIDQRAQKRQEINRYDDQPADTNVVQMKDERIRHLIDQKKVLKDECSKLEAAEQQLLSKAESLRNELLNWQQTCTNLTEELRFYDGIYLLQRNPYSSFSLHQDETSFTQSEELLQS >LPERR06G21670.2 pep chromosome:Lperr_V1.4:6:19556643:19568216:-1 gene:LPERR06G21670 transcript:LPERR06G21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELIDLTTTQDDVEDQNVHGKGYAVLRTTLQRPNAVAAHGQGDTAHLRPTLCEQKFIAVGDDAVEAVKSEILEFSAAKDVVGEAIRSADQEFVVAGDCTEEVMLSGDQDLAAAVADTEETMQSGTQECAAAGDKTGDAMHSGNSAQATTSSSNSEQVAISSMTEQVATTSSSMTEQCSRDTAAFLCFHPMSTASAFPRQFWKAGEYSVTAQPTINSIQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKSSPFGEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTRTGCNDILVPAVDYEFDESSHTFKRINYSYGKHFSSNLSTILGWSPFTTEDDLLNQFEDMGCHGTKVIIFNLWFNDAWEMELDFASDEELPKHFKIILCGRVVEPHHIVNDLIYCECIKYRPQVGINAEVDVITTIGYLRGAPKLDVHGFNIYHKNRLILPFRRVHSVGYVRVTKALPPAYFASTVARNDDSLAVQASTKTYHGNSRARESVLFDLCSNGESSKRRNPRPVIDQRAQKRQEINRYDDQPADTNVVQMKDERIRHLIDQKKVLKDECSKLEAAEQQLLSKAESLRNELLNWQQTCTNLTEELRFYDGIYLLQRNPYSSFSLHQGSEF >LPERR06G21670.3 pep chromosome:Lperr_V1.4:6:19556191:19568216:-1 gene:LPERR06G21670 transcript:LPERR06G21670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELIDLTTTQDDVEDQNVHGKGYAVLRTTLQRPNAVAAHGQGDTAHLRPTLCEQKFIAVGDDAVEAVKSEILEFSAAKDVVGEAIRSADQEFVVAGDCTEEVMLSGDQDLAAAVADTEETMQSGTQECAAAGDKTGDAMHSGNSAQATTSSSNSEQVAISSMTEQVATTSSSMTEQCSRDTAAFLCFHPMSTASAFPRQFWKAGEYSVTAQPTINSIQNHLRIHPKFLHSNATSHKWAFGDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTRTGCNDILVPAVDYEFDESSHTFKRINYSYGKHFSSNLSTILGWSPFTTEDDLLNQFEDMGCHGTKVIIFNLWFNDAWEMELDFASDEELPKHFKIILCGRVVEPHHIVNDLIYCECIKYRPQVGINAEVDVITTIGYLRGAPKLDVHGFNIYHKNRLILPFRRVHSVGYVRVTKALPPAYFASTVARNDDSLAVQASTKTYHGNSRARESVLFDLCSNGESSKRRNPRPVIDQRAQKRQEINRYDDQPADTNVVQMKDERIRHLIDQKKVLKDECSKLEAAEQQLLSKAESLRNELLNWQQTCTNLTEELRFYDGIYLLQRNPYSSFSLHQDETSFTQSEELLQS >LPERR06G21680.1 pep chromosome:Lperr_V1.4:6:19572932:19574072:-1 gene:LPERR06G21680 transcript:LPERR06G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSRMEGEEDLGAWLGLAIGGGEALSPVKHVKEERRRCSDDHGVHDQQSSGSNKMMKGEKGARLRMMRNNNNGDGVLSLSCEGGGTRKKLQLTKEQSTLLENSFRLHNILSHAQKHEIARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCKSLREENQQLKHELMELQRLAAAAQLYVPFPRSSAAAAMVNICPSCDKITMIGSGEMAAKSSSSYSSE >LPERR06G21690.1 pep chromosome:Lperr_V1.4:6:19581404:19583511:-1 gene:LPERR06G21690 transcript:LPERR06G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIGLLLLLLLLLPSFACMIGSLSGHCCCWLGLALLLLSLFPCCCASCLWQSTDDEDDGGGGDGEKVRLMGLAGEATSPASGGGFSANGKFSYGYASSLGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFTDTKSAIAETYTNTDSEFLKAEASQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKRLLQEASQRGSADNITCLVVRFLEQDNQHIRPTNDQAS >LPERR06G21700.1 pep chromosome:Lperr_V1.4:6:19585360:19585671:1 gene:LPERR06G21700 transcript:LPERR06G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCRCSSVVFVGNIPYDATEPELRDACEDIGPLVSLRLAAAGKPRGFTFAEYLDDETALSPLRVVLAENTRRSRRCGAGDDESAVIGVEEAALVRAAVAWRG >LPERR06G21710.1 pep chromosome:Lperr_V1.4:6:19588717:19592676:-1 gene:LPERR06G21710 transcript:LPERR06G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQQAEAETEEQQQKSWREEAISASSLRQVDLERGTNGWASPPGDLFHLRARGYFTGGGGGGGKRGKAPSSPEWLLRPAGVDWLRSHSRLDHLLSRDDIPVAAAFRRARLRKDPTAQFLLAVNLQVPGRPDAYSAAFYFAADSPIPAESLLGRFIHGDDAYRNARFKIANRIVKGPWLVRTAVGGYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAARYVETAADEAVAEAATVAGGGGGRAGAGGFRVGSAKVANDSRHHERAGAGAGKVGRSVWKPDEARKAYPRLCNGKSSGRDMTPSAGFAGTSSCQKSPKVAPPRLQRTVAEIRHGSVFVSSARHAL >LPERR06G21710.2 pep chromosome:Lperr_V1.4:6:19588717:19592676:-1 gene:LPERR06G21710 transcript:LPERR06G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQQAEAETEEQQQKSWREEAISASSLRQVDLERGTNGWASPPGDLFHLRARGYFTGGGGGGGKRGKAPSSPEWLLRPAGVDWLRSHSRLDHLLSRDDIPVAAAFRRARLRKDPTAQFLLAVNLQVPGRPDAYSAAFYFAADSPIPAESLLGRFIHGDDAYRNARFKIANRIVKGPWLVRTAVGGYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAARYVETAADEAVAEAATVAGGGGGRAGAGGFRVGSAKVANDSRHHERAGAGAGKVGRSVSCQERESGGTSSCQKSPKVAPPRLQRTVAEIRHGSVFVSSARHAL >LPERR06G21710.3 pep chromosome:Lperr_V1.4:6:19588851:19592676:-1 gene:LPERR06G21710 transcript:LPERR06G21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQQAEAETEEQQQKSWREEAISASSLRQVDLERGTNGWASPPGDLFHLRARGYFTGGGGGGGKRGKAPSSPEWLLRPAGVDWLRSHSRLDHLLSRDDIPVAAAFRRARLRKDPTAQFLLAVNLQVPGRPDAYSAAFYFAADSPIPAESLLGRFIHGDDAYRNARFKIANRIVKGPWLVRTAVGGYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAARYVETAADEAVAEAATVAGGGGGRAGAGGFRVGSAKVANDSRHHERAGAGAGKVGRSVSCQERESGVGRFGNLMRQGRHILDFAMERAQDVT >LPERR06G21710.4 pep chromosome:Lperr_V1.4:6:19589505:19592676:-1 gene:LPERR06G21710 transcript:LPERR06G21710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQQAEAETEEQQQKSWREEAISASSLRQVDLERGTNGWASPPGDLFHLRARGYFTGGGGGGGKRGKAPSSPEWLLRPAGVDWLRSHSRLDHLLSRDDIPVAAAFRRARLRKDPTAQFLLAVNLQVPGRPDAYSAAFYFAADSPIPAESLLGRFIHGDDAYRNARFKIANRIVKGPWLVRTAVGGYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAARYVETAADEAVAEAATVAGGGGGRAGAGGFRVGSAKVANDSRHHERAGAGAGKVGRSVSCQERESGGK >LPERR06G21720.1 pep chromosome:Lperr_V1.4:6:19602234:19604264:1 gene:LPERR06G21720 transcript:LPERR06G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMDQEDEEKMGMARLEAARRALRAGVETSRALTRALGRSGTKLEEIQARLPAMEASVRPIRAPRDALEGAGGNIDRAVAPAAAVLKVFDAVHGLEPPLLASSAVADDLPGYLAVMSRLEEALRFLGDNCGLASQWLADIVEYLGERSLADPRFVSDLADALSDLKSPSDCLDGGLLTAALDILEVEFRRLLVEHSAPLAMREPNSSSDPGSITAPRIPASAVHKLGLILDRLAANGRVGACTAAYADARGDTVSASLRALGLDYLQDLAEDAQALSPSVERWGRHLEFTVRHLLEAERKLCVAVFERRPEAVSSCFAEIASRAGILEFLKFGRAVCDARKDPIKLLRLLDVFNSLSKLRMDFNRLFGGKACVEIQTRTRELVKKVVDGSVEIFEELLVQVELQRNMPPPTDGGVPRIVSFVAKYCNQLLADPYRSVLTQVLVIHRSWRKETFNDKMLVDALLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIKHSNGKITSAMTGLYRSTSTLK >LPERR06G21730.1 pep chromosome:Lperr_V1.4:6:19605912:19607264:-1 gene:LPERR06G21730 transcript:LPERR06G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKEKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNGAVDDDDDDVQWQTDTSLEAAKQRMREQLSAATAEMVMLSTEEPEKKKQEVSHKEGASNGSTKHVDVVEEAKPSPYDDLVEQLKDNLSKGVTAVQLKGVMTSSTLPSQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNEAVAGGKESQVVKNAKKFVEWLQSADSESEEE >LPERR06G21740.1 pep chromosome:Lperr_V1.4:6:19607294:19609811:-1 gene:LPERR06G21740 transcript:LPERR06G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRPCHFMQASGCSQSTLVSADSLQGYKTTVASCPWCF >LPERR06G21750.1 pep chromosome:Lperr_V1.4:6:19610875:19613669:-1 gene:LPERR06G21750 transcript:LPERR06G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAAEERKEKKREPTYCLNAFLWRPAILPARSIGPWPPPFTRLSPVSSSPRGMGLWTLLEGFLLLANALAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRVPLIALNVLIIVVKLVSG >LPERR06G21760.1 pep chromosome:Lperr_V1.4:6:19620241:19623875:1 gene:LPERR06G21760 transcript:LPERR06G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAAAAAVGPSPVAPYGMCGGGARKRKDVVQQLDDEDDGGGGGGGLFVLETVEEEREEEEDSDEKSSIGLDSEEEENGDEEEGEEVESRRKTTKKFIGGGALSCLDALDDALPIKRGLSNFFSGKSRSFANLQDVAAGAATASGGGGANVLAKPENPYNKRRRILRCSTIRRVSSTSLTALPPFLPPPPDDGAGAGDDDASG >LPERR06G21770.1 pep chromosome:Lperr_V1.4:6:19626387:19631529:1 gene:LPERR06G21770 transcript:LPERR06G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSAAAATFSSAATARASRPRRPSRAAPSRVSAAAAYVATPPEPAXSRAAPSRVSAAAAYVATPPEPARRLVAAFDPAIPLASAVTPPSGWYTDGDFLRLELDRVFLRGWQAVGHIWQVKNPNDYFTGRLGNVEFVVCRNANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRIAGIKNFNKNDFGLIPIKVATWGPFVLARFDNGFSQETVDDAVGDEWLGSALDLLSRNGIDTSLPHICRREYIIECNWKTYERVSVQRCESVQAEQNDSDRLGTKAIYAFVYPNFMINRYGPWLDTNLAVPLDATRCKVIFDYFLDKSFLDDQNFIARSLKDSEQVQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSADW >LPERR06G21780.1 pep chromosome:Lperr_V1.4:6:19631055:19635140:-1 gene:LPERR06G21780 transcript:LPERR06G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLSSRCSSSNTNSTASNIPELIGRKVAFSLGEGALEKLGTDMVEAASVLTDFEHSMKQIESELMIMRAFISQVRDVAHDVEDIIDEYAYLTTQAMDTGSFFKRKFQQTKSVAAWQNISRQISQVETRIQRLSAMRNRYGISIGEKSNSNMYQHFRQLSVSDSAYLTDDTEIVGNASVTKILTQWVLEERQDRSVMSIFEKIVTKCQGLPLAIVAIGSLLSYHEFEEHDWKLFYNQLGWQLANNPELNWIANIISLSLNDLPSYLRSCFLYCSLFPEDSRIKRKLIVELWITEGLVEERGDGTAMEEVTECYLTELTQRSLLDVIERNACGRGITFRMHDLVREMASIIAKRERFAFSYDNVGATQLPHEYRRLWIQKGAQSLSYLGVHDLQERSLDCIGATKIPGNICRLKNLQALQTISANKDLVSQLGNLTLMRSLCIMKVQQSYIQELCNSLTKMSSLSRLLISSYEMDEILNLKMLQTLPHLKVFWLAGKLEGGVLPPIFAKLEKLTELKLDWSGLKRDPIDSFSYMINLAVLVLNGAYDGEQLNFRAKWFPKLNLLQLADMKHLNWIEIDDGSMMCLRELELVGLRDLHEVPKGIRYIRTLHKMSITDMPREFIAKIQGSDRFSSRRLIYGFFLFVLVEQFLRLHYTWS >LPERR06G21790.1 pep chromosome:Lperr_V1.4:6:19636120:19643414:-1 gene:LPERR06G21790 transcript:LPERR06G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDPASEAPRARRPPPPPPPDSPDDRTLPLPPPPPGGPPPPXXXXXXXXAAATRKRSRSPPKPSLPPPPPLGSSRPERYRDNHHHRGDRGGGSSPPPYRGGGGRRHSPSSRRSPSPPFKRSRRDDGYDRRGGRGSPPPRYGYGGDRRYGYDHERGGGRGGYDDDRYHGRYPNRDWPDSGFGASNDGPGVTQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRTYFDLHKNDERLKDKYHPTNLSSVIEKRNERSKAVAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDNGKRRKHGRGSSKETDLSGAPVAHPVSSESRRVQVDIEQALALVRKLDSEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNGAEGVRHVRADNKTSNTTNINAADWEKKVDTFWQERLSGQDPMVILTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRASRREYDRAERDGGRYGRGDRSPSRDGADDQMFDAFHGRGPNAPFVPDMSAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPSPPFEPNGPPNGNPGVLGPMMGGPPPIITMPPTFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >LPERR06G21790.2 pep chromosome:Lperr_V1.4:6:19636120:19643414:-1 gene:LPERR06G21790 transcript:LPERR06G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDPASEAPRARRPPPPPPPDSPDDRTLPLPPPPPGGPPPPXXXXXXXXAAATRKRSRSPPKPSLPPPPPLGSSRPERYRDNHHHRGDRGGGSSPPPYRGGGGRRHSPSSRRSPSPPFKRSRRDDGYDRRGGRGSPPPRYGYGGDRRYGYDHERGGGRGGYDDDRYHGRYPNRGAAAADWPDSGFGASNDGPGVTQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRTYFDLHKNDERLKDKYHPTNLSSVIEKRNERSKAVAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDNGKRRKHGRGSSKETDLSGAPVAHPVSSESRRVQVDIEQALALVRKLDSEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNGAEGVRHVRADNKTSNTTNINAADWEKKVDTFWQERLSGQDPMVILTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRASRREYDRAERDGGRYGRGDRSPSRDGADDQMFDAFHGRGPNAPFVPDMSAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPSPPFEPNGPPNGNPGVLGPMMGGPPPIITMPPTFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >LPERR06G21800.1 pep chromosome:Lperr_V1.4:6:19645580:19649412:-1 gene:LPERR06G21800 transcript:LPERR06G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRRPERPPPPPPPPDDAAHSGPSVDDLAAAAAASEAEAIKRYQERYGMEVAEGEEDLEEEELEEEEEEEEMEEEEMGDEEEQQHHEGGGAEEEAVPMDAEAAAAAVAAMGGQMDQHGTMVAAAAAVPPMASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGSGASSSTSYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKTKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGISAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQAVPSANDGNGSDAAPATEQEIAAPATANGHDSST >LPERR06G21810.1 pep chromosome:Lperr_V1.4:6:19651409:19653437:-1 gene:LPERR06G21810 transcript:LPERR06G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDTVRTAIGIVGNVVALVRYLSPAPTFYCIWKKRSVEQYSATPYVATLLSCMLWLLYGLPAVQPRGMALVIVISVVGIAIQLVYLALYLAFSAGAVRRRVVLLLAAEVTFIGVVALLILTLAHTHERRAMIVGILIVLIGTGMYAAPLTVMKMVIKTKSVEYMPLFLSLALLANGICWTAYALIRFDLYLTITSGLGMMFAIAQLILYAVYYKSTQQIVQARKVEQVSITQVVVDESSAKNNPSVAAAIANAANGNY >LPERR06G21820.1 pep chromosome:Lperr_V1.4:6:19657466:19659544:-1 gene:LPERR06G21820 transcript:LPERR06G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAVSLLLLPILLSSLLLRLSTLLNPDPSAAVPRVKRAPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEAGGGWCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLKAIRPAMEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQINPPGFLVHGFGNYHVGIHGPFGSESANTSLLNLYFLDSGDREVVNRVKTYGWIKESQLAWLRATSQELQKNMHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLGTLTSMGDVKAVFLGHDHLNDFCGNLNDIWFCYGGGFGYHAYGRPHWPRRARIIYTELKKGQKSWMEVESIHTWKLLDDKKLSKIDGQVLWRRSSI >LPERR06G21830.1 pep chromosome:Lperr_V1.4:6:19675036:19680793:1 gene:LPERR06G21830 transcript:LPERR06G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNDKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLASMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >LPERR06G21830.2 pep chromosome:Lperr_V1.4:6:19676557:19680793:1 gene:LPERR06G21830 transcript:LPERR06G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNDKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLASMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >LPERR06G21840.1 pep chromosome:Lperr_V1.4:6:19679816:19680466:-1 gene:LPERR06G21840 transcript:LPERR06G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSLTTNVPVDAVVAADIIKDCSKALAKIIGKPESQYVMVSINGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGSNLGFNGTTF >LPERR06G21850.1 pep chromosome:Lperr_V1.4:6:19684981:19687501:1 gene:LPERR06G21850 transcript:LPERR06G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTGLRLDMAAAAAAAAHSSASSTLSEASNSSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPTVFPVAGKTAPPRLLGLASALADDPSSSDLLPPFPVPVLIPGGGAGAYLLRDTPPPATPRCAAVAHVKGCSSPAPVSSVFREFRDAAPSPGTPDADAGDDLGELDFEDEDGFDADSFLAVDDGAAEGIDSIMGKLTMEKNSATASRNDAVLSSAAVHPYLRSLMVLGLGFRQRRASTDQALKRHDDRSDWWMCPAIPVKDIAPPPATSVAVMPPLPVSDKKKKSKKKSLKEMERENSIAVVGQCKFGDEGALGFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCDRGSVFAGSDALESPRSSADFHAKLADIDLFLDNSTSGVIREGSVLKMRHKQKQCTPLLSNKTRYQSRKGKYVSQASLLQQLSEKES >LPERR06G21860.1 pep chromosome:Lperr_V1.4:6:19688922:19694731:1 gene:LPERR06G21860 transcript:LPERR06G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPSPPPTPTAKWALPPPSRASSARWAHSSHRRTAVAARRGSPEGEEPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWREVYRLVYKERVFDNIVISPGPGSPACPSDIGICLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHNGCYLFNQIPSGINSGFKVVRYHSLVIEADSLSEDLESIAWTASPKMLSYLESDKPDITSSTFWGSLDNLLITNLSECSTSDGKVPSINNASEVDGYRVLMGVRHCTRPHYGVQFHPESVATHYGRQIFQNFKKITTEYGLQTPSPQERKVHSIGKLERSQISSADLVNFVANDLLHSERLKLWDSVGTYALSKRSSGAKSLRLRWKKIDNLVSRIGCSENIFSVLFGHHIAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLSNQRANCGGNLTIRDSHGCTNRNFLKDGFLDFLDKEMQSIQYNEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNNAKSSTPDACFFFADNLVVVDHSNGDVYLLSLHDEYSSSNGDGDCQNSIHSSWLTNTEKKLLRMDAMAPRLPINGSSFTRSSSVNKQGFVIEKSKEEYIRDVQSCLDYIKDGESYELCLTTQMNRRTDYMNALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSIGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQMYSPDRWDSMQTTIS >LPERR06G21860.2 pep chromosome:Lperr_V1.4:6:19688922:19694731:1 gene:LPERR06G21860 transcript:LPERR06G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPSPPPTPTAKWALPPPSRASSARWAHSSHRRTAVAARRGSPEGEEPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWREVYRLVYKERVFDNIVISPGPGSPACPSDIGICLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHNGCYLFNQIPSGINSGFKVVRYHSLVIEADSLSEDLESIAWTASPKMLSYLESDKPDITSSTFWGSLDNLLITNLSECSTSDGKVPSINNASEVDGYRVLMGVRHCTRPHYGVQFHPESVATHYGRQIFQNFKKITTEYGLQTPSPQERKISSADLVNFVANDLLHSERLKLWDSVGTYALSKRSSGAKSLRLRWKKIDNLVSRIGCSENIFSVLFGHHIAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLSNQRANCGGNLTIRDSHGCTNRNFLKDGFLDFLDKEMQSIQYNEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNNAKSSTPDACFFFADNLVVVDHSNGDVYLLSLHDEYSSSNGDGDCQNSIHSSWLTNTEKKLLRMDAMAPRLPINGSSFTRSSSVNKQGFVIEKSKEEYIRDVQSCLDYIKDGESYELCLTTQMNRRTDYMNALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSIGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQMYSPDRWDSMQTTIS >LPERR06G21870.1 pep chromosome:Lperr_V1.4:6:19695426:19700622:1 gene:LPERR06G21870 transcript:LPERR06G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGIARAHSKDLDVAVVKATNHLERPPKDRHLTKIIAAASCARPLADVSYCVHALARRLSKTSNWVVALKTLVVIHRAMSSFKDDSTPQAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRPAEGAPKGQSRSRSLGKDELLEQLPALQQLLYRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVEMFFDMTKIDAVKAFDIYRRTGHLAKSLSDFYDLCRGLELARNFQFPVLREPPSSFLGTMEEYIREAPRTAPVANKTLEYRQLEFIPSQEEEPPQPLPEVLEEPVVEELLSEPAEEPHHPAVEVDDEPEPTTTADLLGLNEVNPAAAQLEESNALALAIVPPGSSTSAGAGNGFSSLIGSSGWELALVTEHTNSNSNQLLTESKLAGAFDKLLLDSLYDDASRRQQTAQMDQPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQKFQPQYAGVSQANPFGDLYSGGTLHGSGSLI >LPERR06G21870.2 pep chromosome:Lperr_V1.4:6:19695669:19700622:1 gene:LPERR06G21870 transcript:LPERR06G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGIARAHSKDLDVAVVKATNHLERPPKDRHLTSIIAFPFSLLQFAINLVLIDFAAAATRRDHRRRVLRTAAGRRVALKTLVVIHRAMSSFKDDSTPQAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRPAEGAPKGQSRSRSLGKDELLEQLPALQQLLYRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVEMFFDMTKIDAVKAFDIYRRTGHLAKSLSDFYDLCRGLELARNFQFPVLREPPSSFLGTMEEYIREAPRTAPVANKTLEYRQLEFIPSQEEEPPQPLPEVLEEPVVEELLSEPAEEPHHPAVEVDDEPEPTTTADLLGLNEVNPAAAQLEESNALALAIVPPGSSTSAGAGNGFSSLIGSSGWELALVTEHTNSNSNQLLTESKLAGAFDKLLLDSLYDDASRRQQTAQMDQPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQKFQPQYAGVSQANPFGDLYSGGTLHGSGSLI >LPERR06G21880.1 pep chromosome:Lperr_V1.4:6:19700067:19708119:-1 gene:LPERR06G21880 transcript:LPERR06G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLGDFNGGASSAFPSKPAPPKGKAAKKDDDLSGEDRALKEKLELCVVRAQDPDTGVQKPALESMRNLAGEIAQEFQKGKYIVMPDDPLMKLVGKIVSFHMKHNAEPEAVDLLTEVEKLDLLVNYVDDTNYKRTCLYLTSSSKYLPAPVDITALQIASDLYMKFGDFANALRIALLLDNKDLKKIFIATDDFYLKEQFSYIIARHGLSIKIDDVMAADKYQEVVLQEIIWNSELSERYLTLARDIEVMEPKSPEDAHLIDGQRSRRSSHDSARQNLAATFVNAFVNAGFGQDKLMNAPSDSSGNDSFKLHWIFKNKEDGKASAVASLGIILLWNPDSGLAQLDKYLLSNDIYIVAGAVLAMGIVSCGVKDENDPPAALISEYFSRDESIIRIGAILGLGIAYAGSQKEEIREHLIAFLSDLQVPLEVLVFSAVSLGLVFVGSCNEEIAQPITCALMNRSEAELAEPITRLLPVALGLLHLGKQESVDATAEVAKTLDEKIRNYCEVTLMSLAYAGTGNVLKVQNLLHICSVHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLNHDADAQVSMAAIISLGLIGAGTNNARIAGMLRKLSSYYYKEEGHLFCVRIAQGLVHLGKGLLTLSPYHSDHFLLSRKALAGLVTVLHACLDMESIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVLVRVGQAVDIVGQAGTPKTITGFQTHYTPVLLAAGERAELATEKYISLTPVLEGFVIVRKNPEYHED >LPERR06G21890.1 pep chromosome:Lperr_V1.4:6:19713874:19720310:1 gene:LPERR06G21890 transcript:LPERR06G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVFFSGGSVGVPIRVLLKMKGLELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYSQHPSFSAHKTDPYGPVPRFKGKCEMDPVTKRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHDNKSFSLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFVVAVENSYPGTKFDPVPVSMPGILITDVSRTKDLIDYYNSSTIRDWAGRATNFHATVGIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSESEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSACNSNSKMQRPYDLNIPSITISQLRGTQTVKRTVTSVAVETETYTIMTRMSPEIALEVSPPALTVLPGSSRDITVTLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFKID >LPERR06G21900.1 pep chromosome:Lperr_V1.4:6:19720797:19722218:1 gene:LPERR06G21900 transcript:LPERR06G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAALRTGAAILRSLSGESACQIHAHAVKLGVLPSCLHLCSALVKSYAASGRLAAARHLFDEIPSPDVPLWNTLVSSCARSGNPHHAFLTASSMVRAGSRPNVVSVTSLLSACARLRSSVHGRELHGYAVRNLAVLDFPLLNALVDMYGRCKRLADARRVFIGIGSMKSMVSWTCMINACCENGQPIEALKVFKEMTLAGFKVDDVTLLAVITACTMLDCRSELGKWVDKYANENGFLENTRVANALIHMHGKMGRVKRSCDIFDSINVRSVVSWTAIIQSLAVQGHGVAALVRFSQMLRQGFQPDEIVFLSVINACGHSKLVNEGRQLFKSMVEEYHITPWMEHYGSMVDLLCKAGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDQGNAILARKVIDHVIDLEPDYEGNYVLASNLYAANQDWSRVLDARMEMGVWKKTSRCTTAMSYVEVNVEENAENLPSTNDAY >LPERR06G21920.1 pep chromosome:Lperr_V1.4:6:19722553:19723243:-1 gene:LPERR06G21920 transcript:LPERR06G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFASLLVMSVVFFSCNATGRHNGVMDDNGVLCFTWLNCTNDSCKKECVAGKWDAKKSSCGASDVCCCRTAKLLDEQAV >LPERR06G21930.1 pep chromosome:Lperr_V1.4:6:19733069:19733617:-1 gene:LPERR06G21930 transcript:LPERR06G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGALRPFEGVRVIHINGYVEDFDAPVTVGQVITGGKSSSVRYVLCSSAHLLQPGRGPFRADDPLEPGTVYFLLPQSIFQSESSAVDLACLMNRLTSLARKGGAPSPVEALFGAGIQSPPQSGSDKSPATATARGTTAAVARPAKAWKPRLDRIDESIGRASMRSSSSRSACSTEA >LPERR06G21940.1 pep chromosome:Lperr_V1.4:6:19737122:19740210:-1 gene:LPERR06G21940 transcript:LPERR06G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNRPSARRPLWIVILIAFVCAVAIGVYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKIPILILCSRYSCVPLHNFDYVYSYLMETNISFVDCFDDPGPHGAGRYSDHMLPEIVKQDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKVYRAVDTSFELLKNISSIDESIHVSSNAKHQVQRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >LPERR06G21950.1 pep chromosome:Lperr_V1.4:6:19744164:19750610:-1 gene:LPERR06G21950 transcript:LPERR06G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSSAAAAAEGKLQKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDTDLVSQSQIVKALNQARLEASVRAFGNGSSEKTTNKWPSPYVVLCGVLLVVSLFEHFWHPLKWFALVAAAAGLPPIILRSIAAIRRFTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMAPQNAILAESGQVVAAQDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADDSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMAGSVAAIPAIMKVHNIKYWLQMALVLLVSACPCALVLSTPIATFCALLRAARSGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQVVGERVSMQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSENVAEFQIYPGEGIYGEIDGAGIYIGNKRILSRASCKTVSDMKDLRGVTIGYVACKNELIGVFTLSDACRTGSAEAIKELRSLGIKSVMLTGDSTAAATYAQNQLGNILSEVHSELLPEDKVRIVGELKERYGPTLMVGDGMNDAPALAKADVGVSMGVSGSAVATETSHITLMSNDIRRIPKAVRLARRTHRTILVNIVFSVVTKLAIVGLAFGGHPLIWAAVLADVGTCLLVIMYSMLLLREKDSRKTKKPCSSHHGSHGKNHGTSRCCSDGPCKSTVSCKELPAPKHACHDHHHEHKHSEEPIHKHSSNQHGCHDHGHGHSNCKEPSNQLVTNKHSCHDHGHGQGHDHCTETSKVNDTKKHDCHDHEHSHCEEPISLHSVGEHACHDHEQGHEHHCCDEQQTPHIADVHSCHDHSHDNLEVEEIKDFDAELPHHHSHCCHEPHGQAKNAADSVQEHSISIDEPSDQHEHHQHNKEHKEENCGHHLKAKECIPPPTDCGSRNCCSTTSTKGCGSKGKEICSSLQEDRTRQASRCCRSYVKCSSRPRSCCSHSMVKLPEIVVE >LPERR06G21960.1 pep chromosome:Lperr_V1.4:6:19762931:19765016:-1 gene:LPERR06G21960 transcript:LPERR06G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLLLDH >LPERR06G21970.1 pep chromosome:Lperr_V1.4:6:19765025:19767772:-1 gene:LPERR06G21970 transcript:LPERR06G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSAVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITQH >LPERR06G21970.2 pep chromosome:Lperr_V1.4:6:19765027:19767696:-1 gene:LPERR06G21970 transcript:LPERR06G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSAVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITQH >LPERR06G21980.1 pep chromosome:Lperr_V1.4:6:19769151:19775186:-1 gene:LPERR06G21980 transcript:LPERR06G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAAAQGVSGAGAGGGWAEACAPLRRNLPLLASEEVELAKLLLNEGQSHLFEHWPEPGVDDDKKRNFFDQVRRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFTPSVPSGEVLTFGDDNFVSLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGKCHTKIPFVIMTSDDTNALTIQLLKSNSYFGMEPSQVNILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSTTKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLHATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWSPRWGLVFKDVKAKVHGNSSVSQRSSMVINGKNITIEGPSLDGTLIVNAIDEAEVEVTGHVQNKGWIIQHVDHKDASEKEEIRIRGFKFDKVEQLELNYTQPGKHSMKA >LPERR06G21990.1 pep chromosome:Lperr_V1.4:6:19776526:19786056:-1 gene:LPERR06G21990 transcript:LPERR06G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEGLWVKMGQYLSTRADVLPEPYIYVLKQLQDSLPPRPLEEVRGTIERELAKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHNGIKEIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNNEAENTRAVSKNLSQKSGCENGVVSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATIFFRQSTTANEAKENIKTLNDQRERNAKALQEKMKMNKKEFQRFNPVDAFPGDAIIFTRVLNLLRGLSATLNVRIVYLDIMRPFAESTLLGSMMRGPANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPKFGTNKKELIKVHHLLNHTSGLHNALGDVMKSNPLSVCDWEEMLEQITKCAPETEPGSAQIYHYLSFGWLCGGIIEHASGKKIQEVLEEAIVHPLHIEGELYIGIPAGVESRLAALTVDMEELEKLSGFRAGPEVPQELLNNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGVGGAVPPPHSGCSSPPLGGHVHTPKFPTMPSSSKKKTKKKGTNSKKGGNFEQNGGGQHHHDVSQSDKNGYTQLRTSDGSDDRSSSETAMAGTGTRMFIGDGDKMLEAFTGAGDEFAGMIHPNGKFGLGFRRYGYAAGGGAGEEGRRKTMTFGHSGMGGSTAFVDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPPPEE >LPERR06G21990.2 pep chromosome:Lperr_V1.4:6:19776524:19786056:-1 gene:LPERR06G21990 transcript:LPERR06G21990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEGLWVKMGQYLSTRADVLPEPYIYVLKQLQDSLPPRPLEEVRGTIERELAKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHNGIKEIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNNEAENTRAVSKNLSQKSGCENGVVSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATIFFRQSTTANEAKENIKTLNDQRERNAKALQEKMKMNKKEFQRFNPVDAFPGDAIIFTRVLNLLRGLSATLNVRIVYLDIMRPFAESTLLGSMMRGPANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPKFGTNKKELIKAAPSLHRTPAAHRHRSAAIQSDKNGYTQLRTSDGSDDRSSSETAMAGTGTRMFIGDGDKMLEAFTGAGDEFAGMIHPNGKFGLGFRRYGYAAGGGAGEEGRRKTMTFGHSGMGGSTAFVDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPPPEE >LPERR06G22000.1 pep chromosome:Lperr_V1.4:6:19789791:19791139:-1 gene:LPERR06G22000 transcript:LPERR06G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGEEWSAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFSMVTPNSAVFQVIIGAVGGGAAIGGGAAAGGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >LPERR06G22010.1 pep chromosome:Lperr_V1.4:6:19791420:19796272:1 gene:LPERR06G22010 transcript:LPERR06G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRAGPTFQGPWRWDRLLRVRRRKVPLRPPPPIRSPPPPPPASAEMIPHASPPPPPPLAPPDASPGRIPTPSPSVSPSRDKGKKKVEEEELSQPVWMTLLERIREIPSSTFRPFYPRQLERGTRSCMEARSWICQECKHQNPPTQHLLFDLPVFNCAICGFDSPKGAADFGFCFADLAVNGVCPIGNVKKQTANACVATSLASAIEITHRVMMVLLEESLSKNGPFIDIEDLLEKYDKQCLEKGIMVNKKYNIHSLLNMLSILRDDGVMEMDSSNLHKITGWTLLGANDFIAASSTLADGYPLIAGFDCGKRIRLLKAGEVFWVRITPNEDLKEETKFSSWLKLCRADSCVALQH >LPERR06G22010.2 pep chromosome:Lperr_V1.4:6:19791420:19795698:1 gene:LPERR06G22010 transcript:LPERR06G22010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRAGPTFQGPWRWDRLLRVRRRKVPLRPPPPIRSPPPPPPASAEMIPHASPPPPPPLAPPDASPGRIPTPSPSVSPSRDKGKKKVEEEELSQPVWMTLLERIREIPSSTFRPFYPRQLERGTRSCMEARSWICQECKHQNPPTQHLLFDLPVFNCAICGFDSPKGAADFGFCFADLAVNGVCPIGNVKKQTANACVATSLASAIEITHRVMMVLLEESLSKNGPFIDIEDLLEKYDKQCLEKGIMVNKKYNIHSLLNMLSILRDDGVMEMDSSNLHKITGWTLLGANDFIAASSTLADGYPLIAGFDCGKRIRLLKAGEVFWVRITPNEDLKEETKFSSWLKLCRADSCVALQH >LPERR06G22020.1 pep chromosome:Lperr_V1.4:6:19800300:19802580:1 gene:LPERR06G22020 transcript:LPERR06G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRGGSPDLSYGNSVGIARNRSEILGTIHGYYKEALDLLPLEEEMPELLLTGGVCFGLADPITNIIANTLPLDYDKRKRKRETTTRTKASLLSEIVAAGQIAERSLEGLITLLTSYFRYLPTWDALRYLRLAKADMLVAVRLIELDRCCNDQEEEKETFHSSYAAALKYAALSARLPNIDDFLANSCSLASHLRLFTRTVLSDRSCQQLSNEKMCFLSGILKKTKPLLLDKSDNPIVLADERRLQNCHNDSSVDEKVPGGLTISLRSLLLDRIHTHYLKALSRLPMQDLRARCHRALVNGGFCYGPFKSVANNIIVNIVWYDSAFPYLEKFQAGMICTSTFVRVESRSLNGLIKSNLKVRKAIQMARTEGFESNWNVSAYRDAADASFHPEVEAFVRFATRYLPSVQSAVRSLLRTSDSLSPSNILELSTMLSLSNCNSAKPSDAMVANERVGNQKSFLDLECPYSHVNFLANSKVGNNLKLFFAEFSNDDDDDQSFCCIVPYKSKYEVRCCYCEYEGTRIGHPAESYCGGDLDFVKMARGEHDMTNAKIISGGKLASNRVGMCGEDYIYFDPTRDSKLAECMNRTASMTSASWSDIKRIA >LPERR06G22040.1 pep chromosome:Lperr_V1.4:6:19828014:19833119:-1 gene:LPERR06G22040 transcript:LPERR06G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYHEFVHSKSQSFRSIGRYVLQSIVLIYRFVSLHVHPFWIQLSYFLLISILGSVLLMFLKPSNPDFNPGYIDMLFLSTSALTVSGLSTIEMEVLSSSQIVVLTLLMLVGGGVFVSLLGLMLRLNNQVSRDFSGDKVSSVPIELNTIDSASTVISCEELQLEAAISEIPSSTIKDLKRSKRLSWCLGFVVLGYFLVFHVVGFLLVLLYIAHVSSAKAPLKKKGLNIALFSFSVTVSSFANGGLVPTNENMAIFPKNPGLLLLFIGLILAGNTLYPLFLRILIWFLGKVTKLTELKLMIKNPEELQYGYLLPKLPTMFLSSTVIGLMASLVTLFSAMDWNSAVFGGLSSYKKIINALFMAVNSRHSGENSIDCSLIAPAALLLFIIMMYLPPSTTFALSNGDEKTEDKKVKRKFSLVVQNVAISQLACNAVFVIVAFITERRGLRNDPLNFSALNMIFEVISAYGNVGLSIGYRCSRLQKLHPESICQDRPYSLSGWWSNEGKLLLVFVMLYGRLKAFSKVTVTGLATIQMEDLSSSQIVVLTLLMFLGSEMFLSFLGLVLESSKQNKNDLENRRVSSVTVCEQSQLEEAIPQTSSTSSTHIKKRCLKYLVFVVLAYMIIVLVTGFLLVFIYIAHVSSARDVLTRKSINKALFSVSITVSSFTNGGLLPTNESMAVFSSNNGLLWLIIGQILAGSTLFPVFLRLVIWALRGLRLTKAEEPDFMMNNTKEVVFNHLLPNLQTAFLAAVEIALVAMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVNARQAGENSIDCSLVAPAALALFMVMMYTPALTNYFSACEDHKRSGPEHNNRTNKGNPFLKMMTLSPLAFNTTLIILVCITERRSLSTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRWLLLQHPEKKGMIVACHEKPYSFSGWWSDPGKLILVLAMLYGRLKGFHKKHIS >LPERR06G22050.1 pep chromosome:Lperr_V1.4:6:19855375:19856058:1 gene:LPERR06G22050 transcript:LPERR06G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHQQQQGRASNKIRDIVRLQQLLKRWKKIATGGGGVPKKGSFAVYVGEEMRRFVIPTEYLGHWAFEDLLRDAEEEFGFRHDGAIRIPCDVAAFEATLRLVKNGANRSSNDSGMCSSCSCSCSSETEILKEKRLAAMERSGCTFVVCTRG >LPERR06G22060.1 pep chromosome:Lperr_V1.4:6:19856783:19858477:-1 gene:LPERR06G22060 transcript:LPERR06G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYFRSSPKKSGGGGRRRNDHDDDDDALRETLLDQPAASPSSSPATSPTTNSGGGGGVPKGYFAVYVGEEARRFVVPTDYLREPAFRELMERAADEFGFAQSGGLRVPCDEVQFEDLLRQFDDTKNTGRVLQTEQSPNRAIIELPLPVEIRQSFT >LPERR06G22070.1 pep chromosome:Lperr_V1.4:6:19864275:19868685:-1 gene:LPERR06G22070 transcript:LPERR06G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKARCLVPAQQHQSGRCSYYSIIAALEANLRIKYGFGDDLSIKYLRSKDDKVKAENILNKKIGRNVRILDIVKATGVPTDRAYKLFCQRSVQCAMHKIQGYTKYDVKKPLQIRTALERHLRKGPMIAVFFVSTNYNNCMKFGSIYVVDVNQAMLDKDEIAICHSVCVVSFGIEGRVPFLQFRTENLHWPTYGRVDIQSVIELYGIDV >LPERR06G22080.1 pep chromosome:Lperr_V1.4:6:19884885:19888520:-1 gene:LPERR06G22080 transcript:LPERR06G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNPPQAPLAAGDPRSYGPGDRRPPPSGDPRSYGPHEHWLPDHRSGSGSSTPTGLPAWQQLPVHHQPASYRSRSIVDDAHGDVFTRRDSYGRRQYGEGSLPSQKRPRFEDSPPKQTWLDDDTCKGWSSRGHVYQDVGVRGSAEGAMTSSHHQHCGPVGDEGGCSPRFDSPLKGSLPLPKQYRREDAHERPSSELSQLEDAHRGLSTRGHMYEDGGVGGGGHHVAEWKVDASYQSLVNDFMDAVENNWHEADYLGDNPTVPGDKYKEQAKRFAELALRRYNKNKGNKVKYSLVEAIHGNFVSEKGTDYIHLNFSVTAKNGPTKSDTGVLVFAELHHVGYRPNAMALTSFHLLDEKNQIAGCYNQSSNSFSEEDKDIHHCYACDSDIKHPCGSRYKAGPDIHAASG >LPERR06G22090.1 pep chromosome:Lperr_V1.4:6:19900242:19902894:1 gene:LPERR06G22090 transcript:LPERR06G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGHNPKTMKVHSRKSLPKEKPHKFYDTVEVHVIDDDSDNDENIHKDYSTEDTSKQLVLYNPEITYDNHGEVEVTEPIDYASLNHRFAKPRHGYNTVLPSIGAYTVQCARCFKWRIIPTKEKYEELRESICQDAFLCERAREWNRVLSCLDPEDISQDGSRVWAIDKPNISQPPPGWEREVLHFSIWEEVEVIGRSREEVMQLLCPYSRYLAENPNYIRQGVNLTQFSFSSPKPLQEDYVRKHTYAAAPEFPELLETSQVDPLCWAAPPTHSELLGELGTSSSRAVDVSQSEVLNPVDFHQIEESQPLAPRSKERTAREKVSARKRQLTPHGSSQDQSEGGSNDVEYVLL >LPERR06G22100.1 pep chromosome:Lperr_V1.4:6:19904435:19908502:-1 gene:LPERR06G22100 transcript:LPERR06G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDTEKTNCSEELMVPLALFAVELNSKKRLMFDVSSRTIRGITSTLFPDAFCEFENGGWLLMARHKPLYFKEQIVYLVHPSTGRRVDLPVLPCPNEGFFVFYVGSHGMPLVVAFIEIMTVVPTIHIACPGDVYWSIYKHTSDPERSEPMHKFKNTLILDVVLLGKQVVCVESHGKILAFNISEMTWRTVSSCPDWSEKDSHFLVVFNREVVAISHPHKTGSAFKFFKLDLEAMKWSVLGDRELDNTSWFLCKGQSYRVKEEGKRRVYLFGPNICAESLVSVKKDSNGIEVCTYIFTGSLEPATSKSITNIYAYDLFDETAEMVIPASIVTEIWRN >LPERR06G22100.2 pep chromosome:Lperr_V1.4:6:19903587:19904431:-1 gene:LPERR06G22100 transcript:LPERR06G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDATPTSSTSCSKPSKLPLALLNLEHKSKKRLLFDLSTKQTHGITDNTVFSFPDGATLAFENGGWLLMVQNSRHGFRERTLQTVFLVHTSNGRRVDLPRFRTVANGLFLFYVDSHGEPLVAAYEIQVSDTIHIACPGDMSWSTYKNDDTDAFQSQQRTTAFTLIVDAALRGKKVIWIDNHGRIFVFDMAETAWKTPVISPGWPCQGGIYFLVASNEGVKDGENEEEITLISCCRFGEHFCDFNFFKLDAAAMAWLPLDDDDADDLDGFSTLADHSV >LPERR06G22110.1 pep chromosome:Lperr_V1.4:6:19909793:19919066:1 gene:LPERR06G22110 transcript:LPERR06G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGDAPPPSPPRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTADLRSRHPGILRIRSVVLDCGAVQTDALTVDPRASLHKYHDTAVVPRDSILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHLRSVVWQIAEDYSHCDILLRLPGYCPMPAFRDVIDVPLVVRGLRRSRSEVRKELGIEANAKVVVFNFGGQVAARILQDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSEAKVGACPISENIKPKENTESCFEDFEILHGDLQGLPDTMTFLKSLSELNKNELNSHEKQPRERIAASMLFDWEEEMYIARAPGRLDVMGGIADYSGSLVLQLPLREACHVAVQRNHQSNQKLWEHIHARQLENGGKEPVVSFGSELSNRSPTFDMDLSDFMDGDTPISYEKAREYFCQKPSQKWAAYVAGTILVLMTELHVKFTDSMSILVLSDVPEGKGVSSSASVEVATMAAIAAAYGLSIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKFLALLCQPAEVKELVAIPTHVRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCSASDLASESSISDAIVQSEYKQNAIEILKSEASLEYLCNIPPHRYEAVYAKDIPEVMTGDAFLEKYGDHDDTVTTIEPKRSYSVKAPTRHPIYENFRVEAFKTLLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHRKMSQGESPNLFGAKITGGGSGGSVCVIGKNTPKSHEEIVEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIRRRRSPPRG >LPERR06G22110.2 pep chromosome:Lperr_V1.4:6:19909793:19919066:1 gene:LPERR06G22110 transcript:LPERR06G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGDAPPPSPPRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTADLRSRHPGILRIRSVVLDCGAVQTDALTVDPRASLHKYHDTAVVPRDSILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHLRSVVWQIAEDYSHCDILLRLPGYCPMPAFRDVIDVPLVVRGLRRSRSEVRKELGIEANAKVVVFNFGGQVAARILQDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSEAKVGACPISENIKPKENTESCFEDFEILHGDLQGLPDTMTFLKSLSELNKNELNSHEKQPRERIAASMLFDWEEEMYIARAPGRLDVMGGIADYSGSLVLQLPLREACHVAVQRNHQSNQKLWEHIHARQLENGGKEPVVSFGSELSNRSPTFDMDLSDFMDGDTPISYEKAREYFCQKPSQKWAAYVAGTILVLMTELHVKFTDSMSILVLSDVPEGKGVSSSASVEVATMAAIAAAYGLSIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKFLALLCQELVAIPTHVRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCSASDLASESSISDAIVQSEYKQNAIEILKSEASLEYLCNIPPHRYEAVYAKDIPEVMTGDAFLEKYGDHDDTVTTIEPKRSYSVKAPTRHPIYENFRVEAFKTLLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHRKMSQGESPNLFGAKITGGGSGGSVCVIGKNTPKSHEEIVEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIRRRRSPPRG >LPERR06G22110.3 pep chromosome:Lperr_V1.4:6:19909793:19919066:1 gene:LPERR06G22110 transcript:LPERR06G22110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGDAPPPSPPRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTADLRSRHPGILRIRSVVLDCGAVQTDALTVDPRASLHKYHDTAVVPRDSILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASVPAFRDVIDVPLVVRGLRRSRSEVRKELGIEANAKVVVFNFGGQVAARILQDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSEAKVGACPISENIKPKENTESCFEDFEILHGDLQGLPDTMTFLKSLSELNKNELNSHEKQPRERIAASMLFDWEEEMYIARAPGRLDVMGGIADYSGSLVLQSVVIKSFGAITSCLFGKPVMLLYRETTKAIRSYGNIYMQDNLRMEARNLWYKYNRSPTFDMDLSDFMDGDTPISYEKAREYFCQKPSQKWAAYVAGTILVLMTELHVKFTDSMSILVLSDVPEGKGVSSSASVEVATMAAIAAAYGLSIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKFLALLCQPAEVKELVAIPTHVRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCSASDLASESSISDAIVQSEYKQNAIEILKSEASLEYLCNIPPHRYEAVYAKDIPEVMTGDAFLEKYGDHDDTVTTIEPKRSYSVKAPTRHPIYENFRVEAFKTLLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHRKMSQGESPNLFGAKITGGGSGGSVCVIGKNTPKSHEEIVEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIRRRRSPPRG >LPERR06G22120.1 pep chromosome:Lperr_V1.4:6:19918788:19926837:-1 gene:LPERR06G22120 transcript:LPERR06G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLRRGLSLLRRPPSSRPLHRALPRSLAAAAAAMQPAVEPDMGYLEAVTEKRIRMFQEIQARQALDRLNIAGAGDPIKITLPDGAVKEGKKWVSSPMDIAKEISTGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYNGVTLNDTHFGIIDAQAQKAVAVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGQADRESLQRVYGISFPDSKRLKEYKHMLEEAKKRDHRLLGQSQDLFFFHPLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQIKDEVKAVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMLAILLEHYNGKWPLWLSPRQAIVCSISSNTVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQYNYILVVGAKEAETGKVSVRVRDNAELTPFSIDDVITRFKEEVAAYK >LPERR06G22130.1 pep chromosome:Lperr_V1.4:6:19952103:19961447:1 gene:LPERR06G22130 transcript:LPERR06G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQPQQQPASSPATATTSAMAAAAAAAPAVGNGGEGEKKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDEESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNLQNLGAADLSRQLSMQNQLFQQNNIQFNSPKLSQQMQPVDELSKATIPLNQLGLSNSQTLPQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSANVIATTGSPLMTTGATHSVLTDEIPSCSTSPSTANGSHLLQPILGRNKHGSMINTEKVPQSTAPISVPGSLEAVTATTRMIKESPKLNHNVKQSLVASKLANAGAGSQNFVNNPPPTDYMETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKEATPDTEIHAANTSNNALFGINGDGPLGFPMGTDDFLSNGIDASKYENHISTEIDNSYRVPKDAQQEISSSMVSQSFGASDMAFNSIDSAINDGGLLNRSSWPPAPPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVRCIRILSPQEVQQMSLDGCDLGNNFLPNQACSSSDGGNAWRARCDQNSGNPSNGSYEQFE >LPERR06G22140.1 pep chromosome:Lperr_V1.4:6:19961012:19962863:-1 gene:LPERR06G22140 transcript:LPERR06G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAVAVAGAGEGGAHSVFVYGSLMTDEVVRTIIKRVPPSSAPALLPNYHRFNIKGRIYPAILPVESKKVAGKVIMGITDAELRILDEFEDVEYVRRRVEISLTDTSETMFADTYVWADAEDPNLYGEWNFEEWKKLHMKDFLAMTNGFMEGLEQPESKSRVETYQSFMQEIEQPEARTTQG >LPERR06G22150.1 pep chromosome:Lperr_V1.4:6:19964444:19970109:1 gene:LPERR06G22150 transcript:LPERR06G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQQRPPSISGKGGEELEAEEERVVRAMGTSAQEPDLWKQIDDAEHYLVIGLFEQAVSTALSVSSQVHLVAMENSRDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPSKIFITGATMQMAAGSGSDLRPIFEEYLAKWKYTDDQLYVLNEGKNSSSNELLVASVMSPEQYFEVAELYTVTFLSVVSHETEIAISWTEKAELTEQDRQELLTKLRALQSVANNKSTNLGAELPESTERNLSASQNGSTSPAHEDAPKSSAPMYNGSVHGVRKALPKFIQPSSQRVTNQYDLLFWWFHSFRIKIGKIHVVLPSGKVMFLFSLLFSTMYILRRKGAAMKRTALQQVSSLRRGFLDAVQLAFSTQMNPLAAVQQAPQAPRGSW >LPERR06G22160.1 pep chromosome:Lperr_V1.4:6:19968228:19969916:-1 gene:LPERR06G22160 transcript:LPERR06G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWIISSCADIRPTRRRRRITGDDDAAPKSAPPSPTPPRSRLRKTGSEASASSAMMMLAVPKDVEEFRSMSATAYGTNLQLFTYGQLRRATGDFSPEKIVGEGGFGVVYKGIIHGNLVAVKELNPDGLQGDREWLTEVSYLGQYKHPNLVELIGYCCDDHHRLLVYEYMANGSLENHLFRRSCNLSWTTRVKIALDVARGLAFLHGGDRPIIYRDFKTSNILLDADMKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYMATGHLTTMSDVYGFGVVLLEMLVGRRALEPTTATGHSLVDWARPILIRPKKLERIVDRRMGLQLLQHGGDGGERVARLAYDCLSQNPKVRPSMGRVVQTLEAVLADDYHAAGE >LPERR06G22170.1 pep chromosome:Lperr_V1.4:6:19977352:19979618:1 gene:LPERR06G22170 transcript:LPERR06G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIILTSAAVKVASNLLNQYPRALIRIGGHKMIVARQDLQELENYINEASFFLAVTESRDNLDSLEGWISDARKVAFYLEDKIAMHEIPISDELLYTSSAFRRYSIDELTEIIQNRKRKMPLNCDQLTAGLPTILPFNRCSLYDQPGNIKIFGMDDAIRVLKKGLLNGVHPVLIFVCGEEHSGKTALMNEVYEDSRVKSHFSIRSRVDVSQHKGVVELLRAVLKHDGREADSIDPDGIDELEIIEEIHKTFRRQGIRYLIVLDNIEDTSALYILEHVLIGCKGKIVCLTKNNYIHDMRQRSLMVVVPSLELDDQKRLLVHAAFREPDGIASLSDRYRMLAVHGDKCLEEAAKELAKNIRMRSLLYFRTGRLDHSKVELSFSHKYKLLRVLELQGARIAKFPSSIQCLVCLRYLGLRRTQLEFLPLTLLRLRRLMCLDIRDTGISKVSDVTAFKEMRHLYLANSFRDQSVLIKEGLPSLVHLQTLSGVAHRVSSENISLRNIPLEQELLYLKCLRKLSIKKVSSASCKAICDAINGMEFLQSLTITRNETEASGDEQQQFDITSLKIKNNLRKLKLGGDMGQFFLVDQRMQMRSITYLYLWDSKLSQDPLDRLQYLEQLIVLSLCNAYTGEMMSCGNSYHKLKKLSIISMENLNACIFGQGCMRNLGELVFAKCDKLSSRPEGLDALDSLRKVHYAKMPEQFCSETKDCLRNKEHVRVLEFPMHFHESTRVAV >LPERR06G22180.1 pep chromosome:Lperr_V1.4:6:19986891:19990073:-1 gene:LPERR06G22180 transcript:LPERR06G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKGISGVMDVALTSASSSLAELAVEFSWIILLKEDFRVIRSGGGHKITLAKHDLQELENYIHKASFFLAGTGTMNSHKWRALRTWISDAQKAASCLEDQIAIYAMRGAHVGQLHAMKSAIGMFSIKRLMKNIRDLINDMPNEVQSSNEEMGRLPAIRPFTRGEQLSGRTTLMNKVYETTKVKDSFKLRCKVDMSKTRCLADLLRAMLKLEERSANSMDPDSIDELKLIEEVRCTYGQCTHGMNIQYLVVMDDFEDTSSLDVLRHVLQDYVGRIVCLTKIRNIQYKEPHANVEVLPLAQVDQCKLLVHVAFRNADGTPATTGKDKDNNNNNGGLQGGGDDLLVAALQWKTRTDSTDADELMPMVEMLNGILKKCRGNPWNIWTVGALLAANPIGKWKEIEEKQVDDLVIGDKKHDPLIEEEYARLPAEIRLGFLYCLAFPESSEIPENSLIPARKLVRMWTAEGFPPHDSPRQSQEQEAEELLHKLIDENLLVVEKRGLDGEVLKCRVNRHMRPLALEICEAQKFCRFVPDPSDPPQSPALPGSSLFRRKTTPSHRYRVLAVHGDQGFPYISSAMSKDIRLRSLLYFRTGRKEPPKLELSFGRTYKLLRTLELQGTRLTRLPSSIACLVCLRYLGLRGTQLEYLPTTPLQNLRLLMCLDVRDTGITEVSDVSEFKEMRHLYLANSFRDQSVLIKEGLPSLLHLQTLSGAAHEVSAQRKNAGMIPFEQELLYLKLLRKLSVKKASSASSKDICDAINKLYLLQSLTITCAESSAADGQAQFDLSHLKINNKLRKLKLGGPMGQFHTLIQQTRMQSITYLYLWDSNLQLQQDEDPLKLLQGLQHLLLLSLYNVYDGVKLTCSNGYHKLKKLSIISMENLSECTFSTESMANLEVLVFAKCAQLKSPPTEIEQLGSLKEVHLAQMHQEFCNGMEKKVYGRVYYSDLQKHFHSSTRQGGN >LPERR06G22180.2 pep chromosome:Lperr_V1.4:6:19986891:19990073:-1 gene:LPERR06G22180 transcript:LPERR06G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKGISGVMDVALTSASSSLAELAVEFSWIILLKEDFRVIRSGGGHKITLAKHDLQELENYIHKASFFLAGTGTMNSHKWRALRTWISDAQKAASCLEDQIAIYAMRGAHVGQLHAMKSAIGMFSIKRLMKNIRDLINDMPNEVQSSNEEMGRLPAIRPFTRYSHQYPEDAKPFGIDTKIDELMDKLFIGSNAVIFVSGEQLSGRTTLMNKVYETTKVKDSFKLRCKVDMSKTRCLADLLRAMLKLEERSANSMDPDSIDELKLIEEVRCTYGQCTHGMNIQYLVVMDDFEDTSSLDVLRHVLQDYVGRIVCLTKIRNIQYKEPHANVEVLPLAQVDQCKLLVHVAFRNADGTPATTGKDKDNNNNNGGLQGGGDDLLVAALQWKTRTDSTDADELMPMVEMLNGILKKCRGNPWNIWTVGALLAANPIGKWKEIEEKQVDDLVIGDKKHDPLIEEEYARLPAEIRLGFLYCLAFPESSEIPENSLIPARKLVRMWTAEGFPPHDSPRQSQEQEAEELLHKLIDENLLVVEKRGLDGEVLKCRVNRHMRPLALEICEAQKFCRFVPDPSDPPQSPALPGSSLFRRKTTPSHRYRVLAVHGDQGFPYISSAMSKDIRLRSLLYFRTGRKEPPKLELSFGRTYKLLRTLELQGTRLTRLPSSIACLVCLRYLGLRGTQLEYLPTTPLQNLRLLMCLDVRDTGITEVSDVSEFKEMRHLYLANSFRDQSVLIKEGLPSLLHLQTLSGAAHEVSAQRKNAGMIPFEQELLYLKLLRKLSVKKASSASSKDICDAINKLYLLQSLTITCAESSAADGQAQFDLSHLKINNKLRKLKLGGPMGQFHTLIQQTRMQSITYLYLWDSNLQLQQDEDPLKLLQGLQHLLLLSLYNVYDGVKLTCSNGYHKLKKLSIISMENLSECTFSTESMANLEVLVFAKCAQLKSPPTEIEQLGSLKEVHLAQMHQEFCNGMEKKVYGRVYYSDLQKHFHSSTRQGGN >LPERR06G22190.1 pep chromosome:Lperr_V1.4:6:19995774:19999771:1 gene:LPERR06G22190 transcript:LPERR06G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGYRQKLIQLWNDWQVTTLVLLSFSLQLLFLATAGARRRAQPTWWKRTYFWALYIGSRFIATYSLGILSTLSTGDAETDIQAFWACLLLFHLGGPDDFAALTLEDNKLWDRRCLELVIQISTTVYVFVRYFPDDEFRRFIAPFALVFAAGVVKCVEQVAALRHATMEKLIESVLGKPDAGPDYADTMNRLDGIMRSGALPSLDIKNERVDRPNSGEYSLDPEANEPEGADYSEDEHVAIKTIRSAHALFSRFSVLFADGIFSFKDRQESQSMFFRKDAKWAFKVVEIELSFVYDRLYTKSSISRRTRLIIRICSLLLTLSASLLASLVMILHKSQYQQRHRCVTYALLAGAVINDVILLAGHVFSIESVVNSDWLNWCSIMFVKRRRWSDQMAQSNLITFCLRKLPCESDPWIASVCRRIRGNGGSRRGGQQVAALPYPAAAISTLAGEIRASDELQKLFERRSLEDQVCSGSFWRTYKQTKHVVVSKKLKDFIFEQLEEKERCLNKWEVERERRLELERKRKNGSGRNSPAPASAAPAPDSVSRSQHKTTTMYKALIGCRGDRVMKSEGIDGLRWSLEDREFDESLLIWHIATDLRFREEQPAVSVTAETRKHMEIARELSNYLYYIMVVHPLMLSSSTTMAIKRCRDTCAEARRLFLKDQIMATNAGGKGSSHRRRRHRNRAIVSEDNAYEVMFKVETPLHAAVVKGDRSKSVLWDGCSLAKQLRQISGGDESERDRGKEWRVVCKVWVEMLCFAAVHCGGYHHAERLKDGGELITFVCLLMTHLGMGKHYKTEVGDAYAHLSAYSAA >LPERR06G22200.1 pep chromosome:Lperr_V1.4:6:20000672:20005103:-1 gene:LPERR06G22200 transcript:LPERR06G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQEHQGGRKAGATSLVLLVVGGTLGRKEVTIGVAEKQHNGIAPCKPVMSSFGMNWNQKSPMWEWDNSIASFGLSKMENPKNIPHPEPRGIVVGAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFTSVKGHDKNMCKDVEAGRVEDSGTSPAVAVSHGEPAIGLKLGKRTYFENGCGGQNVKSSTASGVTNPSTVVKKTKVSQQNTQNSYCQVEGCKVDLSTARDYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQATISFGSSRIATMFYDARQQADIYFGQSPFGQVRSNAISSRDHLGGFKFTETKLPWMKPMQVVGLEDPHFSTLQMPTNVVEHTVHPHDVDGLIPFKGNTTKVLNQGVEESTVVSNSNVAPDLRHALSLLSSDSWGLADIQTSSQVHSGGVMPPLAATGVAAPTNPINLMHLHPSPGGFWQGGDDPPPIDHAMQVQAFMHLGSGSTSGYGHLH >LPERR06G22200.2 pep chromosome:Lperr_V1.4:6:20000672:20005103:-1 gene:LPERR06G22200 transcript:LPERR06G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINQEHQGGRKAGATSLVLLVVGVMSSFGMNWNQKSPMWEWDNSIASFGLSKMENPKNIPHPEPRGIVVGAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFTSVKGHDKNMCKDVEAGRVEDSGTSPAVAVSHGEPAIGLKLGKRTYFENGCGGQNVKSSTASGVTNPSTVVKKTKVSQQNTQNSYCQVEGCKVDLSTARDYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQATISFGSSRIATMFYDARQQADIYFGQSPFGQVRSNAISSRDHLGGFKFTETKLPWMKPMQVVGLEDPHFSTLQMPTNVVEHTVHPHDVDGLIPFKGNTTKVLNQGVEESTVVSNSNVAPDLRHALSLLSSDSWGLADIQTSSQVHSGGVMPPLAATGVAAPTNPINLMHLHPSPGGFWQGGDDPPPIDHAMQVQAFMHLGSGSTSGYGHLH >LPERR06G22210.1 pep chromosome:Lperr_V1.4:6:20008604:20009123:-1 gene:LPERR06G22210 transcript:LPERR06G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMLNMMMAAAAGGDRPQPDSSEQIYVSPLALLEMLKHEQARVPMKVMELMLGEFVDKYTMTVTDMLAMPRSGTSISVKTVDHAFQSDMLEMLQQMG >LPERR06G22220.1 pep chromosome:Lperr_V1.4:6:20009571:20012362:1 gene:LPERR06G22220 transcript:LPERR06G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAQKATSYRYWVREATGDAAPLPAPRKIDARDLAADPAPTTLGSVWNKAGTWEEKNLNSWANSRIKDLLGSLDPLEFSTGKASVYEVSKCSGDAFLVTVRKESEWLIKEESKKVKGYLDIPEFSFGELEDLEVQISFNDNKDLSSDNKAKISKDLKSFLPPIREKLRKFEEELKDR >LPERR06G22240.1 pep chromosome:Lperr_V1.4:6:20029441:20033134:1 gene:LPERR06G22240 transcript:LPERR06G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSASKARKAFSSSSSAAAVSCRSQLEQDVKKLEKALQEETALHAILENALHRAALTLADISYLPTHAQELLSNISALEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQTPPPLPPPPQQPRSVCSCHSTKSESDDTTSEKPSKGDKVYPFAVLHDSAMKLHRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCREASRSSSTEKQQSGPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPIHLSDDARLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMALLLALQKIKVPEEQKKFCIGTPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIRASVGVSRKGKLLIPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGSLN >LPERR06G22250.1 pep chromosome:Lperr_V1.4:6:20033068:20033915:-1 gene:LPERR06G22250 transcript:LPERR06G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSGGGGGMARAPAAVVALALAAILSTPAPEAETFANIPPTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPFNASCSVQAAVPQPAVLSARMSAISSRMEKMGNEECFDQEKQKHFEAKQGWMQGEFVTDFYTRN >LPERR06G22250.2 pep chromosome:Lperr_V1.4:6:20033181:20033915:-1 gene:LPERR06G22250 transcript:LPERR06G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSGGGGGMARAPAAVVALALAAILSTPAPEAETFANIPPTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPFNASCSVQAAVPQPAVLSARMSAISSRMEKMGNEECFDQEKQKVQLKL >LPERR06G22260.1 pep chromosome:Lperr_V1.4:6:20034339:20037732:1 gene:LPERR06G22260 transcript:LPERR06G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQEPYLINLAYGRFTNIVELFSSSCEDPQSCRQQISEDFKNEAPLWKLTCYAHLRSGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSTRPSQTPNFPTMNSFPEVKNSSPFGASQTNGPPVFNSFSQIGPGTITTGVPANSPFGQQTSAPHAAPTFGSSQMKFGVSTVFGNQGSRQPFGSFQAQSSPSINSYPKSSPASSVQHRDIDRQSQELLNGMMAPPTSVIDDASVGNNKNENQDDSIWLKEKWAIGEVFNYDLTSLLTGLPSYVSTSLAQAPWYNKHGNRQKSEGTLI >LPERR06G22270.1 pep chromosome:Lperr_V1.4:6:20046921:20048447:1 gene:LPERR06G22270 transcript:LPERR06G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAALLLLLLLLAFLTTCHAQPPSQPINPPPSWVFPNPRLRAAYIALQTWRHTAIFSDPSNFTSNWVGPDVCSYTGVYCAAHPTDGRVRVVAGLDLNGADIAGYLPSSLPTGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGQIPASGVDGLVAVEELNLAGNLFEGAIPVGVCGLRSLRNFSYEGNFFSSRPACSAATADGVGNCIPGAPAQRPPAQCAAVAANPFDCSKAQCQAATTPTTPRRTPGGGARSPPAPRGSPRPHHMPPRPIRTPPAPASHHPTYPSPAPAPPPPTYSESPKSSMPPSTSPPSWSAPPPPTEHPGYVLPPFTPPPSTPAPPATTPTTPSSPPQGGKLPFPPVHGVAYSSPPPPSKPYN >LPERR06G22280.1 pep chromosome:Lperr_V1.4:6:20049848:20054528:-1 gene:LPERR06G22280 transcript:LPERR06G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMVVGVAIGRTEATRIGLSSLYCCCNSSSSSPRLQAMASTVSFSPANVQMLQGRSCHSHAAFGSCSAVPRAGARLRSTAVRVSSEQEAAVRAPSGRSIEECEADAVAGKFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRSAFQETTISPANLVLPLFIHEGEEDAPIGAMPGCYRLGWRHGLLDESQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETREDEKEGADILLVKPGLPYLDVIRLLRDQSSLPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAATVLCGMRPN >LPERR06G22280.2 pep chromosome:Lperr_V1.4:6:20049848:20053538:-1 gene:LPERR06G22280 transcript:LPERR06G22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQGRSCHSHAAFGSCSAVPRAGARLRSTAVRVSSEQEAAVRAPSGRSIEECEADAVAGKFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRSAFQETTISPANLVLPLFIHEGEEDAPIGAMPGCYRLGWRHGLLDESQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETREDEKEGADILLVKPGLPYLDVIRLLRDQSSLPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAATVLCGMRPN >LPERR06G22290.1 pep chromosome:Lperr_V1.4:6:20054698:20058163:-1 gene:LPERR06G22290 transcript:LPERR06G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVAARAPPGGGAATVIASFNNRALSCAASAAGRRYHHHGGACCFAAKPTQVAAEVDQDVAPADANAAATEAKPKRKARSRRGRKGKKSSESEASTTAAVEEEEKEKEEGVEEEGGKRKEGLDLEEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTAMAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDDSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQVFLLPQLTILPASNSLIESSFTELCITLQDYYNELAQLRAGKSSKSKLLIAKFKSPKSVKGWEVGQGSYLPNTFAYRFDEGIDASFEFNEDGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQGATDPRNFELIMEYIKALPTGQETDFILISCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >LPERR06G22300.1 pep chromosome:Lperr_V1.4:6:20059611:20060101:1 gene:LPERR06G22300 transcript:LPERR06G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVNGRRCRGSISKTMPPKRLRRITPPPLVRIRNKERKDNASKEGNGVLRRRQADQRHGRAFASVFAPPQKAPSTETTRKQSKPPCQRSDLDQQRQACGHPRNVNRQPPSPVASESVRLPPRIPTTRRGPAKEERETEKGRGHDQRRTR >LPERR06G22310.1 pep chromosome:Lperr_V1.4:6:20063271:20070631:1 gene:LPERR06G22310 transcript:LPERR06G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDHEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYQSLDPVQPPIAPPYKTALEMKKMMPKSLLQQLQAAADRRFTTCLLALAAVTTTFALALALTLHRPNLTAVSPTSPRGGGGGGYSVVINTWKRYDLLRRSVAHYSGCGGVEAVHVIWSEPEEPAEELRRSVVNCSRGGAEARFVINAEDSLNNRFRPIEGLATDAVFSVDDDLIVPCSTLRFAFRVWQSAPSAMVGFVPRMHWLADSGSTTTDEYRYGSWWSVWWTGTYSMVLSKASFFHRKYLDLYTNHMLPTIHDYVNENRNCEDIAMSFLVANVTGAPPVWVQGRVFEIGSTGISSLKGHDLQRSKCLNTFSAMYGHMPLVATTVKAVDSRTSWFW >LPERR06G22320.1 pep chromosome:Lperr_V1.4:6:20073629:20078774:1 gene:LPERR06G22320 transcript:LPERR06G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVSLAVVALQLAATAAFALPAPGCPRKCGGVDMEYPFGIGPNCSFSDGFSLECDVDDTPRLRLGTVAKEQKVEVLGVDLLKGKIRTANPIASQCRLQEKRLVNTSWAGLNATALPYRFSDEDNRFFAVGCNVIAFLDGTASSFDDPILIGCMSTCFGNESSIGRNGSCSGIGCCETPIPRGLSSYSPDIRPLQADDNKRCSYAVLMEAASFRFETAYARTDKFYRNSNNGTVPVVLNFAVGNETCKEAQMRSSQPGRGGSYACLSAHSFCVDALDGPGYLCNCSSGYAGNPYLPDNGCKDIDECATRNHACPDGMICTNFPGGHNCSCPQGEHTSNKTGVLICESNLKRSSLPVSVITVIGVSGGLAIVVVSILSTYLMRQRKALADAKRSYFKQHGGLLLYEELIRSRQLGNTFTIYTEEQLEQATDGFNDSNILGHGGHGTVYKGIVPSSSGDLVVAIKRCKLMDERNKKEFGREMLILSQINHKNIVKLLGCCLEVDIPMLVYEFVPNGTLYHLIHGGGGAISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPNDEAQMMTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKRPLCLAGPEEGRSLSARFVAAMREKRVDEILDEHAKSEASCELLEEITQLALECLHMCGSDRPSMKEVAERVGGLRKLLHHHPWTTMQDTVELEEVRCLLGGSPESMRFEMDATSSSGY >LPERR06G22330.1 pep chromosome:Lperr_V1.4:6:20079401:20081013:1 gene:LPERR06G22330 transcript:LPERR06G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIATEAWALAGCAAATKAAAQESPAQLQNPSISAAKAKFRGVAMATSSQCHQERRAAVVVGRRAGMASCLLAAVAASFSGAGVALAAVLEADDDIELLERVKEDRKKRLQKQGIISSSGTETGYLQDLIYKLSKVGQAIEKNDLPAASSVLGTNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWISSAGLSGQLKGF >LPERR06G22340.1 pep chromosome:Lperr_V1.4:6:20084170:20095070:-1 gene:LPERR06G22340 transcript:LPERR06G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFLGLAVAVIAMAAAAALPAPSCPTTCGGVAVEYPFGVGANCSLSKGFSLQCAGGELRLRLGAMPRQTVKVLDVDLRHGKLRTTNAVASMCVENNTSLVPGLKAAALPYRFSDKDNRFFAFGCSGAILFNGSAAGFDDVWFISGCFTTCFGNNSIRSGSCSGIGCCETAIPRSLNSYSLIFGKLPSSGTPVNSRCIYAVLMEAASFRFEAADATTDGFIRRNNNGKVPVVLNFAVGNETCKEAQRRDSYACIGDHSVCVDAVDGGSGYLCNCSQGYTGNPYLPNGCIDVDECGHGELGCPDGMICINFPGGYNCLCPEGQSKSNKSGVLICEPDQKRPSLPVSVITVIGVSGGLVIVVISILIIYLERQRRALANVKRKYFERHGGLLLYEELSSRQQGKNAFTIYTEEQLEQVTNGFDDSNVIGRGGHATVYKGTVPSPSTSSGDLVVAIKRCKLMDERNKEEFGREMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFIPNGTLYHLIHGGAAIVSYATRLRIAHESAKSLAYLQSFASPPILHGDVSDFGASILAPTDEAQLVSMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLELLTGKKPLCLDGPEEQRSLSARFVAAMREKKVEEILDERVKGEASDESLEEITQLALECLHMCGSDRPTMKDVVERLGGLRKLLHQHPWMAMHDVIELEEERCLLHGSSSPEGVSFEVTRHTTDTYYTATALPAPGCPTTCGGVAFEYPFGVGANCSLSNGFSLDCAGGELRLRLGTMSRQTVKVLGVDLRHGKVRTTNAVASLCVQNNTSLVPGLNAAALPYRFNDEDNRFYAIGCSGLVFLNGDVAGVDDDQFTSGCITTCMGNRSIRSGSCSGIGCCETAIPRGLNSYSLVFGKLPTSGTPVNGRCIYAVLMEAASFRFEAADATTDGFFRRNNNGTVPLVLNFVVGNETCKEAQTRGNNYACLSDHSVCVDVVDGGSGYLCNCSHGYTGNPYLPNGCIDIDECGPGKQGCPDGMICINFPGGYNCSCPEGQYKRNKSGVFICESDQKRSSLPVSVVIVIGVSGGLVIAVMSILVTYLVRQRRALTDVKRKYFERHGGLLLYEELSSRQQGKNAFTIYTEEQLEQATNGFDNSNILGRGGHATVYKGIVPSSSGDLVVAIKRSKVMDEANKEEFGKEMLILSQVNHKNIVKLLGCCLEVEVPMLVYEFVPNGTLDRLIHGGAIISYDTRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDETFMAKVSDFGASIVVPTDEAKLATMVQGTYGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLAANFVAAMREKRVDEILDEQVKGEASDESLEEITQLALECLHMCGDDRPVMKDVAERLGRLRKLLHQHPWMATHDIIEPEEERCLLHGSPSPEGVSFEVTRHTTGTYYTTTS >LPERR06G22340.2 pep chromosome:Lperr_V1.4:6:20084170:20095070:-1 gene:LPERR06G22340 transcript:LPERR06G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFLGLAVAVIAMAAAAALPAPSCPTTCGGVAVEYPFGVGANCSLSKGFSLQCAGGELRLRLGAMPRQTVKVLDVDLRHGKLRTTNAVASMCVENNTSLVPGLKAAALPYRFSDKDNRFFAFGCSGAILFNGSAAGFDDVWFISGCFTTCFGNNSIRSGSCSGIGCCETAIPRSLNSYSLIFGKLPSSGTPVNSRCIYAVLMEAASFRFEAADATTDGFIRRNNNGKVPVVLNFAVGNETCKEAQRRDSYACIGDHSVCVDAVDGGSGYLCNCSQGYTGNPYLPNGCIDVDECGHGELGCPDGMICINFPGGYNCLCPEGQSKSNKSGVLICEPDQKRPSLPVSVITVIGVSGGLVIVVISILIIYLERQRRALANVKRKYFERHGGLLLYEELSSRQQGKNAFTIYTEEQLEQVTNGFDDSNVIGRGGHATVYKGTVPSPSTSSGDLVVAIKRCKLMDERNKEEFGREMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFIPNGTLYHLIHGGAAIVSYATRLRIAHESAKSLAYLQSFASPPILHGDVSDFGASILAPTDEAQLVSMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLELLTGKKPLCLDGPEEQRSLSARFVAAMREKKVEEILDERVKGEASDESLEEITQLALECLHMCGSDRPTMKDVVERLGGLRKLLHQHPWMAMHDVIELEEERCLLHGSSSPEGVSFEVTRHTTDTYYTATALPAPGCPTTCGGVAFEYPFGVGANCSLSNGFSLDCAGGELRLRLGTMSRQTVKVLGVDLRHGKVRTTNAVASLCVQNNTSLVPGLNAAALPYRFNDEDNRFYAIGCSGLVFLNGDVAGVDDDQFTSGCITTCMGNRSIRSGSCSGIGCCETAIPRGLNSYSLVFGKLPTSGTPVNGRCIYAVLMEAASFRFEAADATTDGFFRRNNNGTVPLVLNFVVGNETCKEAQTRGNNYACLSDHSVCVDVVDGGSGYLCNCSHGYTGNPYLPNGCIGVSGGLVIAVMSILVTYLVRQRRALTDVKRKYFERHGGLLLYEELSSRQQGKNAFTIYTEEQLEQATNGFDNSNILGRGGHATVYKGIVPSSSGDLVVAIKRSKVMDEANKEEFGKEMLILSQVNHKNIVKLLGCCLEVEVPMLVYEFVPNGTLDRLIHGGAIISYDTRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDETFMAKVSDFGASIVVPTDEAKLATMVQGTYGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLAANFVAAMREKRVDEILDEQVKGEASDESLEEITQLALECLHMCGDDRPVMKDVAERLGRLRKLLHQHPWMATHDIIEPEEERCLLHGSPSPEGVSFEVTRHTTGTYYTTTS >LPERR06G22350.1 pep chromosome:Lperr_V1.4:6:20098208:20106841:-1 gene:LPERR06G22350 transcript:LPERR06G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPWPTPRSVRQAAEIHACLTTSGHLLHRPYAHHLLNSIVNCFVPSDPLHLRYALNLFDRMPPSTFLFDTALRAYFRAGASSGELEQPFLLFRRMRRAGLRPDGFTFHFLFKCSSFRPHALLCQMLHAACLRTMMPSSAPFVANSLIYMYTELGLAGDARRAFDEIPVKDVVSWTMVISGMAKMGMLSEARQLLAQATVRDVISWTSLIAAYSRAGRAKEAVDCFKSMLSEGIAPDEVTIIGVLTACAHLRDLELGSSLHLLVKEKGMFMSENLVVALIDMYAKCGDFGNAREVFDAMGRGRKPHSWNAIIDGYCKHGHIDFARSLFDQMEVRDIVTFNSMMTGYIHRGQLRESLLLFMDMRRHDLRVDNFTMVSLLTACASLGALPQGRALHACIEQRLVEADIYLGTALVDMYMKCGRVNEATIVFQRMRKRDVHTWTAMIAGLAFNGNGQVALEHFYQMRLDGFQPNSVSYIAVLTACSHSCLLNEGRLYFDEMRILYDIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNGIIWASILSACRVHKQIDLARSAAEHLLKLEPDEDAVYVQLYNIFIDSRQWEDASDIRMLMEERKVKKTAGYSSIAVAGQVHKFVVSDKSHPRILEIIAMLGEVSHRLKSAGYLPITSQITVDVDEEEKEQALLVHSEKLAIAFGLISLAPNLPIHIIKNLRVCEDCHTAIKLISRLWNREIIVRDRSILRLFPSLRSSLLADGNWNSVFCYGNSSAPPSLLHIPFCSTLRSLRQRLFMVMAVRDVMSLNRMITGFIRDGLADRARDVYRCMVSSGIRETPHTFSTILGVCNSYEGQQLHGRVLVLGLCCNPFVGSALVNHYLHVESPLAALSLFRELPLCNTAMCNVVLRGLGNLKLTEELISCFLDMRRRSLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDIVDTEKALSDILSEDVISWNSIVSMYSDRGHTEEAVYYLKQMLWHGKMPSIRSFVGLLVLSGITGDLQFGVQIHSIVHKLGLGCSSVHVQTTLIDMYGKCCCFDSSLAIFNEIPSIVLECCNSLITSSLHCNMFDAALQIFHCMIAEGVTPDDVTFSATMKAISLSASASLTSCQMLHSCLVKLGFEKDMAVCSSLITAYARAGQLSSAHLIFEGLLDPNVICFTAIISSCARYGDGPRAMELFKKMVSNGLKPDNVTFLCAIAGCDQAGMFKEGRLVIELMRASRDLDPDERHFACMVNLLSRDGFVKEAMQMMEQSPLRHYTKAWSSLLQSCKTHGENVLGKRAANMLIDVGRKDPATTLQVSNFFYDIGDRENALRIKVMTNVKEVKESGHSLIEAYHCFEYTIQALAAQCRTADEVRDLINCCLQLYMDKKEVIDALSHEAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQISTFNKLLEDQLRVINKEYHSGPPSMSMPLPNGSNSNLLKQNPCFLSESTSMPAITENVICNGSSSGIVDRTQSSDQLIYAGNGKDIHGLHSGMDSSSLLPVQNTNSVLFGADNGTTIKTEPGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLGQFPRNFVFSDLPEDFSQSAEILDNYGKSPFLSSEQNNFSDSTGGEHTG >LPERR06G22360.1 pep chromosome:Lperr_V1.4:6:20107541:20110992:-1 gene:LPERR06G22360 transcript:LPERR06G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRTQPMTLVLHTSSKSSSAADRRTRRPEGAAETTTQATPPSRTSPSCKRPRVCVWVAVICKGGDLCGGYFLISRLDIAMAFAEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHCTGGWRACRSILGAEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYCTMVVSCCVGVIGMLMAALSALLPLLIKNSSTLSMTSAQEIILFLGLYMIAFGVGGLRPSLLSFGADQFDAGDPSECMNKCSLFNWYIFTMNCGSLISTTSMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASPTYRYQTIRGSPLTRVCQVVVAAVCKFSMAPPADLALLYELPEDSSYMKGVQRIEHTTDLRFFDKAAVVMASDEEVAQSVLPRNPWRLCVVTQVEELKILVRMLLLWASIVFFYTGTSQVSSTFVEQGMAMNTHVGSIHVPPATLATFQMLTTIVLIPLYDRVFVPAARRLTGKEKGISDLVRIGAGLAMLVLAMAAAAMVETKRAGTAKMALEKTSIMWLVPQYVLVGIGELLATVGQLDFFYSQAPPAMKTVCTALALLSIAAGGYLNSLVVTAVSWATATGGRQGWIPDDLDKGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKAC >LPERR06G22360.2 pep chromosome:Lperr_V1.4:6:20107541:20110054:-1 gene:LPERR06G22360 transcript:LPERR06G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHCTGGWRACRSILGAEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYCTMVVSCCVGVIGMLMAALSALLPLLIKNSSTLSMTSAQEIILFLGLYMIAFGVGGLRPSLLSFGADQFDAGDPSECMNKCSLFNWYIFTMNCGSLISTTSMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASPTYRYQTIRGSPLTRVCQVVVAAVCKFSMAPPADLALLYELPEDSSYMKGVQRIEHTTDLRFFDKAAVVMASDEEVAQSVLPRNPWRLCVVTQVEELKILVRMLLLWASIVFFYTGTSQVSSTFVEQGMAMNTHVGSIHVPPATLATFQMLTTIVLIPLYDRVFVPAARRLTGKEKGISDLVRIGAGLAMLVLAMAAAAMVETKRAGTAKMALEKTSIMWLVPQYVLVGIGELLATVGQLDFFYSQAPPAMKTVCTALALLSIAAGGYLNSLVVTAVSWATATGGRQGWIPDDLDKGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKAC >LPERR06G22360.3 pep chromosome:Lperr_V1.4:6:20109174:20110054:-1 gene:LPERR06G22360 transcript:LPERR06G22360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHCTGGWRACRSILGAEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRACSWRLSQRFCRY >LPERR06G22360.4 pep chromosome:Lperr_V1.4:6:20107541:20109150:-1 gene:LPERR06G22360 transcript:LPERR06G22360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQEIILFLGLYMIAFGVGGLRPSLLSFGADQFDAGDPSECMNKCSLFNWYIFTMNCGSLISTTSMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASPTYRYQTIRGSPLTRVCQVVVAAVCKFSMAPPADLALLYELPEDSSYMKGVQRIEHTTDLRFFDKAAVVMASDEEVAQSVLPRNPWRLCVVTQVEELKILVRMLLLWASIVFFYTGTSQVSSTFVEQGMAMNTHVGSIHVPPATLATFQMLTTIVLIPLYDRVFVPAARRLTGKEKGISDLVRIGAGLAMLVLAMAAAAMVETKRAGTAKMALEKTSIMWLVPQYVLVGIGELLATVGQLDFFYSQAPPAMKTVCTALALLSIAAGGYLNSLVVTAVSWATATGGRQGWIPDDLDKGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKAC >LPERR06G22360.5 pep chromosome:Lperr_V1.4:6:20110075:20110992:-1 gene:LPERR06G22360 transcript:LPERR06G22360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRTQPMTLVLHTSSKSSSAADRRTRRPEGAAETTTQATPPSRTSPSCKRPRVPGASVLLATS >LPERR06G22370.1 pep chromosome:Lperr_V1.4:6:20114027:20114317:1 gene:LPERR06G22370 transcript:LPERR06G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRKAAAVYAVALCALVLAAAATTARAATCNPASLSPCMSAIMLGAPPTQGCCVQLRAQQPCLCQYARDPSYRSYVTSPSAKRAVQACNVKANC >LPERR06G22380.1 pep chromosome:Lperr_V1.4:6:20115181:20117999:-1 gene:LPERR06G22380 transcript:LPERR06G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFPCSCHLHLSLSPLSLCLPISHFAGAPPRPRRRRPTNFRATSNHLEFCSITMGGKSQLNPDASPFIPSSLSLFAYKDSQRQAESSSMDNPSARTSHSSPCEENDMDPLALTKSVLSMFPNISEEFINELLQANEFDINLTVDMLHELNSQNMLHDDAIMGLPKFPDIKNLQGNLGLPDGDVSQSNSSLDQSPQKDMSVMTSGVKFVSPLFSDINLLHDNLGLPEDDKPAITSTTN >LPERR06G22390.1 pep chromosome:Lperr_V1.4:6:20124311:20127423:1 gene:LPERR06G22390 transcript:LPERR06G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAVTLLQPKVEEYTTDGSLDIDGNPALKHRTGGWRACRSILGTELCQCLATSGITYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSHWGRYRTMVVACCIAVAGMLMAALSAILPLLITETTAANFSSMASAQEFILFIGLYMIAFGIGGLRPCLMSFGADQFDAGDPSERKSKGSFFNWYIFTMNLASVIAGSGMVWVQDHYGWALGLTIPAIVLAVGVTCLVAASRAYRFHITRGSPLTRVCQVIVAAVCKFNVAPPDDVALLYKIPEEDASSMKRVQRIEHTTDLRFFDKAAVVTASDEESTVNAASPSRNPWRLCVVTHVEELKILVRMLPLWASIAFFYTATAQIHSTFVEQGMAMNTLIAGAGAGGTIRVPPASLATFQMLTSMVLIPIYDRAFVPAARRLTGIEKGIPDLARIGAGLAAGVLAMAAAATLETARLRAANTGILWQTPQYVLLGLGEMLASVGQLDFFYSQAPPAMKTVCTAFGFLAVAAGGYLNSAVLTAVSWATASGGRQGWIPDDLNEGHLDRFFWMMAALGCLNLVAFTSFAMRYKTRKAC >LPERR06G22400.1 pep chromosome:Lperr_V1.4:6:20131560:20134889:1 gene:LPERR06G22400 transcript:LPERR06G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAEALLQAQVGEAYTTDGSLDIDGKPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTTVLHQSNVAAANNVSTWQATCFLTPLLGAVVADSFWGRYRTMVVSCCIGVAGMIMAAVSAIISIGSIQEIILFIGLYMIAFGVGGLRPCLMSFGADQFDDGDTPERMSKGSFFNWYIFTMNIASVISTTGIVWVQDHYGWALGLGIPAIVLAVGLSCLVAASRSYRFQVTRGSPITRVCQVVVAAVCKFNVATPDDVALLYEIPEDDVFSMKGVERIEHTNDLRFFDKAAVVTATDEGATVAARRNPWRLCVVTQVEELKILVRMLPLWACVAFYYAATAQANSTFVEQGMAMDTRVGSLLLPPASLATFQILTTIVLIPIYDRAFVPAARRITGREKGIPDLVRIGGGLAMAVLAMAAAATVETVRLRAAPRKSMQTPTATTSIMWQTPQYILVGIGELLATVGQLDFFYSQAPPAMKTVCTALGFLSVAAGEYLSSFVVAAVAWATASGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTVCAMRYKSRKL >LPERR06G22410.1 pep chromosome:Lperr_V1.4:6:20135354:20135665:-1 gene:LPERR06G22410 transcript:LPERR06G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGAGGGGKGVGGGSGGGGRSGGGGGGGGKGGGGEVQASPVVVVGTALDTFGGGGAGKSGGGGGDSMKAPGGGGSYISRSGFESNPQGYFQGLHGDGGSK >LPERR06G22420.1 pep chromosome:Lperr_V1.4:6:20136472:20138327:-1 gene:LPERR06G22420 transcript:LPERR06G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSRGSFCHPPLLLLIVAIQFLVIYSPTLDQYMMMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDFRDNRSDVCEMEGAIRILGRTSEVFLVAPSLAALAAGAGAGGVNATGVDSNATSWKIQPYTRKGESRVMPGITEVTVRLVTAGEAPPCDEWHDSSTPTAIVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEAHLLVTQKQRWWFGKYKEIVAGLTNYDPIDLDADDRVRCYRRAIVGLHSHKDLSIDPRRSPNNNSMVDFKRFLMWRYNLPREHAIRMDDEPTKKPRLLVINRKSRRRFVNLDEIMAMAESVGFEVTSTELSPNMAEASATVNSFDVMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPKDMNMRYIQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGKQDVRLDVRRFRPVLLKALDLLR >LPERR06G22430.1 pep chromosome:Lperr_V1.4:6:20140319:20144986:1 gene:LPERR06G22430 transcript:LPERR06G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEVEAAAESLLVPNGPPPAPPAVGMEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQFGMLGTHTQRAIFVLMLMGVPLAFVLAFAGQILISLGQNPEISTEAGLYALWLIPGIFAYGLLQCLTKFLQTQNIVHPLVVCSGVTLVLHILLCWVMIHCFDLGNRGAALSTSLSYWFNVILLAIYVKVSEVGRRSWPGWSWEALKLKDVNILEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGFSSAISIRVSNELGAGNPQGARLSVFISGIMCLTEGMLVAIITVLVRDTWGYLYSNEEEVVKYVATMMPILAISDFMDGIQCTLSGAARGCGWQKICSVINLCAYYTIGIPSAIIFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWKEEAEKAQARVQGSD >LPERR06G22430.2 pep chromosome:Lperr_V1.4:6:20140319:20144986:1 gene:LPERR06G22430 transcript:LPERR06G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEVEAAAESLLVPNGPPPAPPAVGMEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQFGMLGTHTQRAIFVLMLMGVPLAFVLAFAGQILISLGQNPEISTEAGLYALWLIPGIFAYGLLQCLTKFLQTQNIVHPLVVCSGVTLVLHILLCWVMIHCFDLGNRGFLPNPKLETSILSISLNTMWMVYTIPSGFSSAISIRVSNELGAGNPQGARLSVFISGIMCLTEGMLVAIITVLVRDTWGYLYSNEEEVVKYVATMMPILAISDFMDGIQCTLSGAARGCGWQKICSVINLCAYYTIGIPSAIIFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWKEEAEKAQARVQGSD >LPERR06G22430.3 pep chromosome:Lperr_V1.4:6:20140319:20144986:1 gene:LPERR06G22430 transcript:LPERR06G22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEVEAAAESLLVPNGPPPAPPAVGMEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQFGMLGTHTQRAIFVLMLMGVPLAFVLAFAGQILISLGQNPEISTEAGLYALWLIPGIFAYGLLQCLTKFLQTQNIVHPLVVCSGVTLVLHILLCWVMIHCFDLGNRGAALSTSLSYWFNVILLAIYVKVSEVGRRSWPGWSWEALKLKDVNMYLRLAIPSTLMTCLNTMWMVYTIPSGFSSAISIRVSNELGAGNPQGARLSVFISGIMCLTEGMLVAIITVLVRDTWGYLYSNEEEVVKYVATMMPILAISDFMDGIQCTLSGAARGCGWQKICSVINLCAYYTIGIPSAIIFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWKEEAEKAQARVQGSD >LPERR06G22440.1 pep chromosome:Lperr_V1.4:6:20149508:20150981:-1 gene:LPERR06G22440 transcript:LPERR06G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHLSRGRPEPRRMGNAAMVVTMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMSGPIGEEDFDPTRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWKTKPYARLHDAVAMDDVREFTLIPFGGGGENDTVVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTHHFGGEVQFLLSGIKDWWVDKFTPLFKQLSRYDVIDVDNDQEVHCFPRIFIGSTFHRAMGIDPSRSPGGVTVSDFKRLLRRTFKLERAVASRHGAPRRDRPRLLIISRKSSRRFLNERAMAHAAAMARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLEQARSLLPEP >LPERR06G22450.1 pep chromosome:Lperr_V1.4:6:20154434:20155819:-1 gene:LPERR06G22450 transcript:LPERR06G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKLAGAAAAAAAARGPGWADLPRDLLESVLGRLPVPDRLRFPAVCATWQSADASSPTAARFRAVQPPWLMLPFNPTARGGGAAAGGRLLEARFLSFSDGRAYVIPQPAPAISERLCVGSSDGWLVTADDESELQLLNPLTGVQIQLPSVTTLPFVAATRDADGRVVSYDLRCCFGDGEALVPPESFAPDMLRFEIYEKAILVAPPSPSCGGGGYAVMLICQPLYRLAIARAGDTRWTLLDTPSRCWLDAIRASSNQSVVYTLDTMGRVAAWDIDIDTPTTQPPREIAPPCRGCSTPSTTTTTPSSKYLVELSPGHLLQIHRIREKSHTKYKWEPREDRVEYTTTNAELYEWNTTTAAAAGKWTRVHGCGGGLLAGRALFLGKSSSTCVPASCCPETRGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYRVPRGAVRDLLWKWPPPVWVFPSCDDD >LPERR06G22460.1 pep chromosome:Lperr_V1.4:6:20157292:20158056:-1 gene:LPERR06G22460 transcript:LPERR06G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAPSSLPPCSGDGVVAGGLGLAVAPAPAPVNGTILYCKKCLPLEEKTQCPKKCNHTPQDSSD >LPERR06G22470.1 pep chromosome:Lperr_V1.4:6:20160310:20166864:-1 gene:LPERR06G22470 transcript:LPERR06G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLASSTHDTIHLVGNCLTCLCFAHEHDRSSIMNMSTKGSRFLMNMLRSKDTMKIPKLKFDRVHLSRRIKNITERLKPVCAKVSTILNLEFLESNNSIGQYIAISLNAEFSRKPGHTPILTSSFASNRPITTPEFIEPKFYGRMDESNTIVRDITQGEYHDKDLTVIPIVGPGGIGKTTLTQHLYKEVQNHFDVKVWICISLNFNVYILKEEIAKSIPKVHAEKNGGGLDDLIEQRLKSKKFLLVLDDIWNCGTEDEWKRLLAPLRKAQTKGNIILVTTRIPVVGEMVKTIDSPIYLEGLKPDEFWNLFQAYAFGDEKSINDHAYLQETGKMIAKKLKGSPLAAKTVGRLLKNHLDLDHWTSVLESKEWELQTGGNDIMPALKLSYDYLPFHLQQYFTYCALFPEDYRYDTDEIVHLWIGLDILHLQGQNKKIEDTGMSYLTDLVNYGFLKKDEKRDGSPCYVMHDLLHELAQKISLYECLAISSSNVRAMQILPSIRHLSIVIDDMDVNDRATFENIKKDFSLLNDRLDVEKLQSVMLFGRYNGTKALRVILLSTASYAVGNIFPNFSNLVHLRYLRITGGYFPELRIPNTISRFYHLRILDVRQCSGHFGLPRDMDNLVSLRHFLDPDDNLHSEIANRRMKQSFFKKVTYTENEQVLENLKPHSNLRELHIKGHGGATCPSWLGVNLSIKDLNSLGLHGVDWKKFPPLGELWLVDKHGEESLTYIENQKFRNLKRLELIDIPILVKWAGNDACCVFSLLEVLLVRDCPELMDLPFRHSTFPRSGQEMDNTQIPTLKELEIVNCPKLSSLPPIPWTSSPCRALIEEVGSDFQRLNYSTNNQSELCLRVEGKDGHQDSAFWKAVAFGNLTELKRLYLKKCLPLPLEHLLMLSCLRRLTIHGSSNVLSHFEAETTISYQFPVEQVEMSHFPKLLVFQVEDCKNIRGIGLAEQTTGMLVSSLSPCGNKLEEAPFGQEQQRGEDEKAAVDDELLLLPHQLERLTIRGISELILQYDSLQDETAGGQRGTCSLRSQAIYDWPNFPLSYSSLSSCFPFPSSLHHVYLNGVGSMETLAPFSNLSSLTRLIIWNGGDLRGEALCSLLDHGQLTGLRIHKTPKFFVGCDPSRLQELQTDDIAHVLAAPIYSLLSSSLTMLTIGFNYEVERFTKEQSEALLLLSSLQHLVFRYCDKLQSLPSGLYRLTSLKRLVIFECPAIRSLPKGGLPNSLEVLDVHESKNEDLKRQCRKLRGTIPIIKDRYWCGVLEGSRKLLLSAVGVKSLKGVKKAAGYKEHSPHPCLVKFAACSIRYL >LPERR06G22470.2 pep chromosome:Lperr_V1.4:6:20160310:20166864:-1 gene:LPERR06G22470 transcript:LPERR06G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLASSTHDTIHLVGNCLTCLCFAHEHDRSSIMNMSTKGSRFLMNMLRSKDTMKIPKLKFDRVHLSRRIKNITERLKPVCAKVSTILNLEFLESNNSIGQYIAISLNAEFSRKPGHTPILTSSFASNRPITTPEFIEPKFYGRMDESNTIVRDITQGEYHDKDLTVIPIVGPGGIGKTTLTQHLYKEVQNHFDVKVWICISLNFNVYILKEEIAKSIPKVHAEKNGGGLDDLIEQRLKSKKFLLVLDDIWNCGTEDEWKRLLAPLRKAQTKGNIILVTTRIPVVGEMVKTIDSPIYLEGLKPDEFWNLFQAYAFGDEKSINDHAYLQETGKMIAKKLKGSPLAAKTVGRLLKNHLDLDHWTSVLESKEWELQTGGNDIMPALKLSYDYLPFHLQQYFTYCALFPEDYRYDTDEIVHLWIGLDILHLQGQNKKIEDTGMSYLTDLVNYGFLKKDEKRDGSPCYVMHDLLHELAQKISLYECLAISSSNVRAMQILPSIRHLSIVIDDMDVNDRATFENIKKDFSLLNDRLDVEKLQSVMLFGRYNGTKALRVILLSTASYAVGNIFPNFSNLVHLRYLRITGGYFPELRIPNTISRFYHLRILDVRQCSGHFGLPRDMDNLVSLRHFLDPDDNLHSEIANRRMKQSFFKKVTYTENEQVLENLKPHSNLRELHIKGHGGATCPSWLGVNLSIKDLNSLGLHGVDWKKFPPLGELWLVDKHGEESLTYIENQKFRNLKRLELIDIPILVKWAGNDACCVFSLLEVLLVRDCPELMDLPFRHSTFPRSGQEMDNTQIPTLKELEIVNCPKLSSLPPIPWTSSPCRALIEEVGSDFQRLNYSTNNQSELCLRVEGKDGHQDSAFWKAVAFGNLTELKRLYLKKCLPLPLEHLLMLSCLRRLTIHGSSNVLSHFEAETTISYQFPVEQVEMSHFPKLLVFQVEDCKNIRGIGLAEQTTGMLVSSLSPCGNKLEEAPFGQEQQRGEDEKAAVDDELLLLPHQLERLTIRGISELILQYDSLQDETAGGQRGTCSLRSQAIYDWPNFPLSYSSLSSCFPFPSSLHHVYLNGVGSMETLAPFSNLSSLTRLIIWNGGDLRGEALCSLLDHGQLTGLRIHKTPKFFVGCDPSRLQELQTDDIAHVLAAPIYSLLSSSLTMLTIGFNYEVERFTKEQSEALLLLSSLQHLVFRYCDKLQSLPSGLYRLTSLKRLVIFECPAIRSLPKGGLPNSLEVLDVHESKNEDLKRQCRKLRGTIPIIKDRYFGTYLSAVGVKSLKGVKKAAGYKEHSPHPCLVKFAACSIRYL >LPERR06G22470.3 pep chromosome:Lperr_V1.4:6:20161940:20166864:-1 gene:LPERR06G22470 transcript:LPERR06G22470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLASSTHDTIHLVGNCLTCLCFAHEHDRSSIMNMSTKGSRFLMNMLRSKDTMKIPKLKFDRVHLSRRIKNITERLKPVCAKVSTILNLEFLESNNSIGQYIAISLNAEFSRKPGHTPILTSSFASNRPITTPEFIEPKFYGRMDESNTIVRDITQGEYHDKDLTVIPIVGPGGIGKTTLTQHLYKEVQNHFDVKVWICISLNFNVYILKEEIAKSIPKVHAEKNGGGLDDLIEQRLKSKKFLLVLDDIWNCGTEDEWKRLLAPLRKAQTKGNIILVTTRIPVVGEMVKTIDSPIYLEGLKPDEFWNLFQAYAFGDEKSINDHAYLQETGKMIAKKLKGSPLAAKTVGRLLKNHLDLDHWTSVLESKEWELQTGGNDIMPALKLSYDYLPFHLQQYFTYCALFPEDYRYDTDEIVHLWIGLDILHLQGQNKKIEDTGMSYLTDLVNYGFLKKDEKRDGSPCYVMHDLLHELAQKISLYECLAISSSNVRAMQILPSIRHLSIVIDDMDVNDRATFENIKKDFSLLNDRLDVEKLQSVMLFGRYNGTKALRVILLSTASYAVGNIFPNFSNLVHLRYLRITGGYFPELRIPNTISRFYHLRILDVRQCSGHFGLPRDMDNLVSLRHFLDPDDNLHSEIANRRMKQSFFKKVTYTENEQVLENLKPHSNLRELHIKGHGGATCPSWLGVNLSIKDLNSLGLHGVDWKKFPPLGELWLVDKHGEESLTYIENQKFRNLKRLELIDIPILVKWAGNDACCVFSLLEVLLVRDCPELMDLPFRHSTFPRSGQEMDNTQIPTLKELEIVNCPKLSSLPPIPWTSSPCRALIEEVGSDFQRLNYSTNNQSELCLRVEGKDGHQDSAFWKAVAFGNLTELKRLYLKKCLPLPLEHLLMLSCLRRLTIHGSSNVLSHFEAETTISYQFPVEQVEMSHFPKLLVFQVEDCKNIRGIGLAEQTTGMLVSSLSPCGNKLEEAPFGQEQQRGEDEKAAVDDELLLLPHQLERLTIRGISELILQYDSLQDETAGGQRGTCSLRSQAIYDWPNFPLSYSSLSSCFPFPSSLHHVYLNGVGSMETLAPFSNLSSLTRLIIWNGGDLRGEALCSLLDHGQLTGLRIHKTPKFFVGCDPSRLQELQTDDIAHVLAAPIYSLLSSSLTMLTIGFNYEVERFTKEQSEALLLLSSLQHLVFRYCDKLQSLPSGLYRLTSLKRLVIFECPAIRSLPKGGLPNSLEVLDVHESKNEDLKRQCRKLRGTIPIIKDRYGW >LPERR06G22480.1 pep chromosome:Lperr_V1.4:6:20166909:20167265:-1 gene:LPERR06G22480 transcript:LPERR06G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVALNAAQWVVGKALSPFVEAWAASSELGPNVGAIKMELLYAQGMLHNSRGRATSNPALQQLLLELRGLAYDAEDVLDELDGTYEAASIASSSTPATLPETSTRGAFLACAEVKGK >LPERR06G22490.1 pep chromosome:Lperr_V1.4:6:20168026:20169322:-1 gene:LPERR06G22490 transcript:LPERR06G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLHRSPPTCSGDGSPRRAPMYAWLLTFCAAPAIRFEARNLNEKGIEEYKELMEELEEKWAKLQKEKDRVVLKVWKEKLIQRAQELKDVIFLPEEL >LPERR06G22500.1 pep chromosome:Lperr_V1.4:6:20176198:20181761:-1 gene:LPERR06G22500 transcript:LPERR06G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKLSYDYLPFHLQQCFIYCALFPEDYRFESDEMIHLWIGLDILHSQNQNKRIEDVGLTYLNDLVNYGFFRNDVNADGYPYYTMHDLLHELALKVSSYDCLAITSSNMRDVQIPPSIRHLSIAIDDVDVNDRVTFENIKKDFSTLHKRVDVEKLHSLMLFGKYHGSFVIPLGNLLSKAKALRAILLSRASYVLNNMLRSFSKLIHLRYLRIEGGYFPELSLPNIISRFYHLRILDVRECEGHFNLPSDMNNLVRMRHFLVPDDVFHSTIANVGKLKRLQELRRFEVKGQVEAFALEQIGHLEELSGSLGIYNLENAGGGEEAKLLNKRHLHKLILAWSNYSSQPDYVLDNLKPHNNIRELHIKGHGGITCPSWLGVNLSIKNLESLHLDGVQWNTFPPLGELWMVNASSEQSLNCTSQSFQKLRRLELVGIPRLAKWAGNEASHLFSLLEVLIVRDYPELMELPFSRSTCPQSGQEMNKTQLPALRELQIAKCLKLSLLSPLPWTSSPCRALIKTVGSDFSLLDYSTNNQSESCLRVEGKNCHLDSASWKVLAFSNLTELKELRLEKCPPLPLEHLLVLSSLRILEICNSSNVLTNVEAENTVSYRFPVEELIFYGCGYSGMELTLFLSHFPKLSELCVWECKKIRGIGVAEQQRTSMLASSSSTCSNKLEDAREEQEQPREEEEKAAADAGLLLLPHQLQELQIRGIPELLLQLDALPDETAGRFRGIGGGLQGLHSLRSFRASDCPNFLSPYVSSSSRFPFPSSLQELYLADMSSMETLQLLSNLSSLTGLSIVHGGDLRGEGLSSLLSHGQLTTLSIHMTPKFFVGCGSDPWRLQQLNTDDIAGVLAAPICSLLASSLTTLTISYNPEVKRFTKEQSAALLLLSSLQDLQFWACWKLPSLPAGLHRLSSLKRLQISFCSAIHSLPKGGLPSSLEVLDVSWSDSEELQRQCRKLRGTIPIIKDTDY >LPERR06G22510.1 pep chromosome:Lperr_V1.4:6:20183929:20188281:-1 gene:LPERR06G22510 transcript:LPERR06G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARLVVGKALSPLSGGFVEAWAASTELGPNVGAVKLELLYAQGMLDNARGRETRSPALKQLLLELRGLAYDAEDVLDELDYFRIQDELDGTYEAADEHASGCLHGFFLNAHHTARNFKNRYISVACSCSCQGEVAGAHKASERKNTKKTPKLKFDRVDLSRRMKNIVEQLKPVCAKVCTILNLEFLESTNRNISQCIAMSQYGSFSGKQGHPVVRPVTTSEFIEPEFYGRKGETSEIIDGIQGDYRDKDLTVIPIVGQGGIGKTTLTQHIYKQVENNFDAKIWVCVSLNFNVYRLKEEIANSIPKVNGELSGGLDDLIEQRLKSKKFLLVLDDMWNCGNEDDWKRLLSTLKKAQTKGSLILVTTRFPAVAEMVKTIDRSIQLQGLEPEVFWGLFQAYAFGDQKSITDHANLKETGEKIAKKLKGSPLAAKTVGRLLRNRLDLDHWTSVLESKEWELQTGENDIMPALKLSYDYLPFHLQQCFIYCALFPEDYRFDSDEMIHLWIGLDILQLHDQNKRTEDTGLSCLNDLVNFGFFKEDVKTDGSPCYVMHDLLHDLALKVSSYECLSISSSNVRSIQILPSIRHLSIMIDDRDVSDRGTFENIQKDFSTLRKRLDVEKLHSLMLFGRYHGSFVIPLGIMLSKAKALRVILLSTASYAVENMLNNFSNLVHLRYLRITRGYFPEIRLPNSISRFYNLRVLDVRECRGQFGLPRDMDNLVRLRHFLVPDDDLHSGIANVSNLKCLQELRRFKVKRQVEQFALRQLGQLELYGTLGIYNLENSQAAAEAKLLNKNHLDKLILDWSTKDCLEDEHILESLKPHDNLQELQIEGHGGTTCPSWLGVNLSIKGLRSLSLHGLDWNKFPPIGDLWLVNASEKSSSCTEGQRFWNLKRLELVGIPRLEKWTGNVACHVFSLLEVLIVRDCPELMELPFSHSTLSQSGQNMDITQFSTLKELEIARCPKLLISSLRPIPWTKSPCRALIEEVGSEFQRLDYSKNRQSELRLQVDGKNEHLGSKFWEVLVFSNLTELKELYLTECPPLPLKHLQMLSSLKKLTIDDTDNALATIEVESTVRYQFPVTQLTIRGCGASGKELTLLLSHFPKLSDLTMVKFEKITMLGVAEQQITATSASLPPSGNNLEDAHFVQEQPQPRGEDKKAPADAGVLLLPPQLQRLFISICPKLILHYDSLGENLAGCLRGIEGGLQGLSSLISLSILDCPDFFSYYSSLSSFFPFPSSLKNLTIEGVSSMETLSPLSNLSSLTGLGIQDCGDLRGEGLCSLLAQGQLTRLRVNNSPKFFVGFGSPLVLQHLVMDDIAGVLTVPICSLLSSSLTKLTIFCNDEVERFTMEQNMALELLSSLQELAFSFCELKFLPTVLHRLVSLKKLEISCCELITSLPKSGLPNSLEILDVHVGNSEELKRQCRKLRGTIPIIEDNSYFIGNEHPLV >LPERR06G22520.1 pep chromosome:Lperr_V1.4:6:20192621:20199776:1 gene:LPERR06G22520 transcript:LPERR06G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLADGFRRLFHRRTASGSGSGSGSNSAAGEEAPAPSDIEVVDDPDLVGLREIRVPKRKMPLPIESHKKNSAEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHPVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVAAPKDGHNQQNTNDQERSADSVARTTVNPPMLQGQQHAYAGQNGVTSTDLSSRSYLKSASISASKCVNVKDNKDPEDDYISEEMEGSVDGLSEQVSRMHS >LPERR06G22530.1 pep chromosome:Lperr_V1.4:6:20198348:20202347:-1 gene:LPERR06G22530 transcript:LPERR06G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELAGRTAAAERSDAEETPPSSPPAAGSAAAEDAPLLPGGGGVRRRVVVSERFRQRSGSFRREVRRAAEETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDKTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIANYGGDPERIYLVGQSAGAHIAACTLLHQAIKECGEGDASTWSVAQLKAYFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLGKFSPQVMVKDSTARSAVSLLPRIFLFHGTSDYSIPSAESETFVDALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSTQHLVVPIARRLVPEFMLMLAGRVSPF >LPERR06G22540.1 pep chromosome:Lperr_V1.4:6:20203504:20209509:1 gene:LPERR06G22540 transcript:LPERR06G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSTASSTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTISVKRSPESACSSPLRISVSDTGVGSKLEEFLELDDLTREIPVEKWDGTLFITTTGINDKTIYRYQFNLQEDTSSSARFTKLATTSKICLCLSTEADVDDFILWLVGFVRKIFVLRASNLACELFVEQTASAESGHVCLSQDSDDVHLSITTSSIDRLVSGLKDYALSHGNTSDRDHLKIGTGAAKYVDKRKDKGQLVEVVIMIAHTSSDLSCWMTHCSSTQVLHFEDFVPCLISQSSFNVLTSIDWQSYGFKSKGGFMDDDGNAVLQWDNMAFARVDIAIHTYHKRYPALVLFTLSFSIATGTDQWRSSQPESYLVRKALKSALVGLKVDHAEDFLSCHGQRVREYVPDLAGSIAGLILSSNDQEFQDECIALLGLGSDQDLAEGTVRSCISEKMNRVIKMNDTKENVEHNTPYLFECERLDEDYIQLHDEDQDLIRDF >LPERR06G22540.2 pep chromosome:Lperr_V1.4:6:20203504:20209509:1 gene:LPERR06G22540 transcript:LPERR06G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSTASSTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTISVKRSPESACSSPLRISVSDTGVGSKLEEFLELDDLTREIPVEKWDGTLFITTTGINDKTIYRYQFNLQEDTSSSARFTKLATTSKICLCLSTEADVDDFILWLVGFVRKIFVLRASNLACELFVEQTASAESGHVCLSQDSDDVHLSITTSSIDRLVSGLKDYALSHGNTSDRDHLKIGTGAAKYVDKRKDKGQLVEVVIMIAHTSSDLSCWMTHCSSTQVLHFEDFVPCLISQSSFNVLTSIDWQSYGFKSKGGFMDDDGNAVLQWDNMAFAPTGTDQWRSSQPESYLVRKALKSALVGLKVDHAEDFLSCHGQRVREYVPDLAGSIAGLILSSNDQEFQDECIALLGLGSDQDLAEGTVRSCISEKMNRVIKMNDTKENVEHNTPYLFECERLDEDYIQLHDEDQDLIRDF >LPERR06G22540.3 pep chromosome:Lperr_V1.4:6:20203504:20209509:1 gene:LPERR06G22540 transcript:LPERR06G22540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSTASSTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTISVKRSPESACSSPLRISVSDTGVGSKLEEFLELDDLTREIPVEKWDGTLFITTTGINDKTIYRYQFNLQEDTSSSARFTKLATTSKICLCLSTEADVDDFILWLVGFVRKIFVLRASNLACELFVEQTASAESGHVCLSQDSDDVHLSITTSSIDRLVSGLKDYALSHGNTSDRDHLKIGTGAAKYVDKRKDKGQLVEVVIMIAHTSSDLSCWMTHCSSTQVLHFEDFVPCLISQSSFNVLTSIDWQSYGFKSKGGFMDDDGNAVLQWDNMAFARVREYVPDLAGSIAGLILSSNDQEFQDECIALLGLGSDQDLAEGTVRSCISEKMNRVIKMNDTKENVEHNTPYLFECERLDEDYIQLHDEDQDLIRDF >LPERR06G22540.4 pep chromosome:Lperr_V1.4:6:20203504:20209509:1 gene:LPERR06G22540 transcript:LPERR06G22540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSTASSTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTISVKRSPESACSSPLRISVSDTGVGSKLEEFLELDDLTREIPVEKWDGTLFITTTGINDKTIYRYQFNLQEDTSSSARFTKLATTSKICLCLSTEADVDDFILWLVGFVRKIFVLRASNLACELFVEQTASAESGHVCLSQDSDDVHLSITTSSIDRLVSGLKDYALSHGNTSDRDHLKIGTGAAKYVDKRKDKGQLVEVVIMIAHTSSDLSCWMTHCSSTQVLHFEDFVPCLISQSSFNVLTSIDWQSYGFKSKGGFMDDDGNAVLQWDNMAFARSIAGLILSSNDQEFQDECIALLGLGSDQDLAEGTVRSCISEKMNRVIKMNDTKENVEHNTPYLFECERLDEDYIQLHDEDQDLIRDF >LPERR06G22550.1 pep chromosome:Lperr_V1.4:6:20210014:20218324:1 gene:LPERR06G22550 transcript:LPERR06G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKTLWHVSLCLWLALVAVAFALAQADESQAELTKVTTKVYFDITIDGKPAGRIVIGLFGNTVPKTAGEKGIGKSGKPLYYKGTPFHRIIPGFMIQGGDTVNGNGTGCDSTYGSMFADENFKINHSGPGFVSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDLVYKIEAQGSPSGVPKCKVLISDSECGDCRVVQPHRSTNSSILLIGGARARFEMMARRSPPARRSVCLWIVLIASTLALAQAKKSKADLTEVTHKVYFDIEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGHQSGSPKSKVVIADSGELPL >LPERR06G22550.2 pep chromosome:Lperr_V1.4:6:20210014:20215070:1 gene:LPERR06G22550 transcript:LPERR06G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKTLWHVSLCLWLALVAVAFALAQADESQAELTKVTTKVYFDITIDGKPAGRIVIGLFGNTVPKTAENFRALCTGEKGIGKSGKPLYYKGTPFHRIIPGFMIQGGDTVNGNGTGCDSTYGSMFADENFKINHSGPGFVSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDLVYKIEAQGSPSGVPKCKVLISDSGELK >LPERR06G22560.1 pep chromosome:Lperr_V1.4:6:20218730:20219071:1 gene:LPERR06G22560 transcript:LPERR06G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPEKLNNLHGGGGASAAAAGGEATSSKPKPGVRGSLKK >LPERR06G22570.1 pep chromosome:Lperr_V1.4:6:20221739:20223883:-1 gene:LPERR06G22570 transcript:LPERR06G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQRWSSSVWSISGSGRLLWGDKAWRAHAGMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERSSRRPLTPQLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVAGAILMVFYRGPSLIGMGGGGGSNGVGENVVAADTWSSNSYSSQWLTSTLLQYGVETWHLGVICLIGNCFLMGAYLVIQAPVLIKYPSSLSLTAYSYSFATIFMVLTGVVATDGLHEWALTTTEIIAILYAGIIASCMNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDPPTPKTREGSFSGSDDA >LPERR06G22580.1 pep chromosome:Lperr_V1.4:6:20230223:20231470:-1 gene:LPERR06G22580 transcript:LPERR06G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTLRLPNPHRRHHHHPPPPASHQFRSAAASRRQSSPLRARRARTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLAKTFARQGHTLLAHSRSDYSSLATAVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPLHRLRRNTLFVDVLSVKEFPKNLLLSTLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARADAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGFFQLFVPYQLCETKKLILE >LPERR06G22590.1 pep chromosome:Lperr_V1.4:6:20232638:20236176:-1 gene:LPERR06G22590 transcript:LPERR06G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSGVGGRKIAAAGEVELKEKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGQGDEVASTSSMPDNEPDKTHTGQDKKSRPKDVFGRSLPTEQEFEVLKNAPRLETGAPARVKPFGVEIRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKRKHRQGEPALHEELSDSEAEKSNRTSRSKRKKEHHSDSSFSGAEMKDRKGKQKSKHKKKHLSESSSDSEVEVDKGTKRRTKREHRKKKRNATESVPSSFSKDKGDMAHKGHLRRSSEKHHYSETSSSESEKHSVRHKDRQYYSDSSSSRSSPEHNRHSRRSRERRYYSDSSSPERNRHSRRSKDSDSSSPELDRHSRRSKEKRTYTDLNKHDSGKHSKRSRDKRDYTESRPYERNKHSRASKVNRHYSDSSSSDHSDSERHYSHRHRRRK >LPERR06G22600.1 pep chromosome:Lperr_V1.4:6:20236449:20237606:1 gene:LPERR06G22600 transcript:LPERR06G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSSSSSSVRLRMNPANYFRPSAAPSSLHLLRRLPVAASPLRLRAARATEGDARQLFDEMPRGVEEVGEEEEERQRRRLKIAVVGFGNYGQFLTRTLVRQGHTILAHSRSDYSAVAAELGATFFPDADDLCECHPDVVLLVTSILSTDSVLRSLPVHRLRRDTLFADVLSVKEFPKNLLLNTLPEEFDIICTHPMFGPESAGDGWAGLPFVFDEVRVRGGCPARRARADAFLDVFAREGCRMVEMSCAEHDANAAETQFLTHTVGRTLAMLELRPTPIDTKGYETLLRLVDNTCSDSFDLYNGLFMYNNNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPPLDSGSAVAAVGSPVDDVAIGDGDVDSDYDEEEKE >LPERR06G22610.1 pep chromosome:Lperr_V1.4:6:20238162:20244355:1 gene:LPERR06G22610 transcript:LPERR06G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGQEDAAAGSLDDDPVVEEDERKGGEEEVEGETLVGGDEEEGEEDDDVDGLASFLESEILSGSSSDDPIGQREEGDEEKEKQGEDDAKKNKRKQVAESDGDGSDGSGSGEEQSKRVRRAKGKEKEKDVAPAAAQIDSGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMSVVASDETLWRRLYCMRWGLSCNAKLRECAWKKLYIQQDREDMVEFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKAILDKTIADQVSSWKSSRGLTDAAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDDWAADDDDDTDQQQGGVADEAEPFMGSGRFARAYQLGYNCADEKELEYALRFC >LPERR06G22610.2 pep chromosome:Lperr_V1.4:6:20238162:20243473:1 gene:LPERR06G22610 transcript:LPERR06G22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGQEDAAAGSLDDDPVVEEDERKGGEEEVEGETLVGGDEEEGEEDDDVDGLASFLESEILSGSSSDDPIGQREEGDEEKEKQGEDDAKKNKRKQVAESDGDGSDGSGSGEEQSKRVRRAKGKEKEKDVAPAAAQIDSGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMSVVASDETLWRRLYCMRWGLSCNAKLRECAWKKLYIQQDREDMVEFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKAILDKTIADQVSSWKSSRGLTDAAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDDWAADDDDDTDQQQGGVADEAEPFMGSGRFARAYQLGYNCADEKELEYALRFC >LPERR06G22630.1 pep chromosome:Lperr_V1.4:6:20254836:20256260:-1 gene:LPERR06G22630 transcript:LPERR06G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVSLGHDCCGRGIISVAFATDRGREGKHRQRGLPPCPLRDGAPSPSCSRCGERGASTPSRRGRRLLYLTPHQPRARIGEREGETLAMKPRPSGIRAAAAAAAAAGEGLVGGRRRVFGEITITASPRWRPRPRPAAAAAMSARGSGTHAVAVHSEEHHRGVHGLRFPPQLGMGMGMKQFAAELEARIEKVIYACRFMTFLAIVGSLVGSVPCFLKGCVYVMDAFIEYYLHGGGKVILMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPKWLKIQSVNDLKTKLGHVIVMVLMVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >LPERR06G22640.1 pep chromosome:Lperr_V1.4:6:20256959:20257690:-1 gene:LPERR06G22640 transcript:LPERR06G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHPPPHTSTADDDVVAGGNGGSSSSTNWQESTPWRHPKIRAEKDHHRSSGVAWAVVILCTLLAIGVIVAGATVFAVYLIYKPRMPYLLVADARMVSLQYDQAGTISYLRVLVDVVARNTNSRADASFTRVDLALRFHGADVARLRAAPFAVARASAAPLLYDVVSGGQPLDAGAMRAMDASLKSGVVPLDLVGRARTRWKVGIFASLKFWTRISCRLHFFFPGNGTVMAADRNSCTSKSP >LPERR06G22650.1 pep chromosome:Lperr_V1.4:6:20259843:20261707:-1 gene:LPERR06G22650 transcript:LPERR06G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLPAFTVRRGEPVLIRPASPTPVETKPLSDIDDGEGMRFYSSGIHLYRNNPAKEGQDPAAVIRDALAKALVPYYPLAGRLREVAGRKLVVDCAGQGVLFAEADADLTVDDFGDVQSPPFPCFERFILESTTVAGVEPVTRLKCGGFIFGQRFCHCIVDAPGGMQFEVAVCEIARGVAGAPSVTPSWGREIFMARNPPSPSYPHLEYREPAGAGDRLLTTPPSDMVRIPFFFGDREIAALRRRAPASLRNSCSRFELVVACIWRCRTAALGYHAADEVRLSFIVNARGRRDMPIPEGFYGNAFAYSVASTTAGDLLAGGGELGHALGLIKKAKSAVTYDYLRSVADLMVIAGRPLFTLTRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPFLGVTNYFSRCKNGKGDVSVVVPICLPKDAMDRFQLEVQALTTDI >LPERR06G22660.1 pep chromosome:Lperr_V1.4:6:20265689:20271607:1 gene:LPERR06G22660 transcript:LPERR06G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHLIRFHLPPPLNLPPTLPPPRGRRVAGAVVACRATGGAHQERPWESYNRGIQPNAAADLAASLRLLADMQSSGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRIGGIRPDAAHYNALLEGLLAGAHLLLADRLLLQMADDGVARNRRTYTLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVRIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNMKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAIYAVLVDIYGQYGHFRDAQDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNILQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVTYTTLMKAFMRAKKFEKVSEVYKEMERVGCTPDRKAREMLNDACVILEQRGCYTGTKGM >LPERR06G22660.2 pep chromosome:Lperr_V1.4:6:20265689:20271607:1 gene:LPERR06G22660 transcript:LPERR06G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHLIRFHLPPPLNLPPTLPPPRGRRVAGAVVACRATGGAHQERPWESYNRGIQPNAAADLAASLRLLADMQSSGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRIGGIRPDAAHYNALLEGLLAGAHLLLADRLLLQMADDGVARNRRTYTLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVRIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNMKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAIYAVLVDIYGQYGHFRDAQDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNILQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVTYTTLMKAFMRAKKFEKVSEVYKEMERVGCTPDRKAREMLNDACVILEQRGCYTGTKGM >LPERR06G22670.1 pep chromosome:Lperr_V1.4:6:20269923:20270741:-1 gene:LPERR06G22670 transcript:LPERR06G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGGKLSAVDAILAEAADMVALEQIAKLNTAHLLDSAALPSSLESRFRKLKSLPTTPPPPIAKTLDRSITAPSHPTDPAAAAHALPPPPSPPSPTAAAAQDPQEKENSSPPQAHPPPPATVPAAAKYDDDDEDLEKLFRPGRGGGGRPTLKERNRGREEFSPSPPRQACCFGFSPKKTPTRSGRKSHAGDDDDVLGIDVGEWGDENRRMVTELKQQQRKLKKALEEQVKVSRETAKMARWVKQASARMTHTDAIDDLLSDCDDEDDLK >LPERR06G22680.1 pep chromosome:Lperr_V1.4:6:20275036:20279183:1 gene:LPERR06G22680 transcript:LPERR06G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARRQGVNPACPNAGNPFHRCAQYCPVPAPPAAVKPLPTPPAAVAQNGTATVAVAQNGTGKAAVAHNGTGTAVVIQNGGNSHSDGELQPEQEQAKPRRRDRAGGSGGLPFYVFREHLLPYLFEAIQMEIRTRFGFDFDFEFVVAAVREGADGEGKKVDPRCPNAGNPFHVCTEHCQAKMAEVSRSSEGGKSPMSLFSRHSRRSSTSSEDGSVKSGGSKKVDPKCPNAGNPFHECTEHCAAKMKDVNQQKRTEKKSSFRKKGGKEIAAVQNWKVDPRCPNAGNPFHICAQYCFDHLNETGQKDTNKPDSRKGKAVLRAEQTGEINSDCLNASNPYHKCGEHCKRKGDR >LPERR06G22690.1 pep chromosome:Lperr_V1.4:6:20279981:20286894:1 gene:LPERR06G22690 transcript:LPERR06G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSKGKSPHPRPEKEKEAHKKKKGKPTSSPPPPSPSPTRSPIRTRRLLSDLKRILSSSSRVSPNPEARARGLERAMGKEDSSASASGKSRPECINSSNPFHECSDYCLRKIAEARERLEEAQRPPVDRTVHPDCINASNPYHICSEYCFKRIADAKSGLERAEQEPPSVDAAPAQAEDDDDAVRQEDFGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRTESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNINVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >LPERR06G22690.2 pep chromosome:Lperr_V1.4:6:20279981:20283224:1 gene:LPERR06G22690 transcript:LPERR06G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSKGKSPHPRPEKEKEAHKKKKGKPTSSPPPPSPSPTRSPIRTRRLLSDLKRILSSSSRVSPNPEARARGLERAMGKEDSSASASGKSRPECINSSNPFHECSDYCLRKIAEARERLEEAQRPPVDRTVHPDCINASNPYHICSEYCFKRIADAKSGLERAEQEPPSVDAAPAQAEDDDDAVRQEDFGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRTESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNINVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >LPERR06G22700.1 pep chromosome:Lperr_V1.4:6:20285039:20286271:-1 gene:LPERR06G22700 transcript:LPERR06G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRPCFKPPPSLATNHKRLRPSPPPAAAAADMDAVVSVSPPQPLLPGLPDHLAQLCLSPLPPRLLHAVCRPWRRLMYTPSFPPFMSLYALLDDVSFAAYDPMARRWDFLPPPPMPSPPPTLWHPSFLSRRLPLQSVAVAGRLVVVSGSTQSLHPALPRPLVFDPSSPTPRWHHGPRIPLSPRRWCAAGAARGRVFLAGGVGAGYDPAVACSGATWNPASSSASASWEEIPPLRDGRFSRDAVDAVCAGGKVCMVNLRCIRGPKEGAVFDLAAGRWEDMPPGMLAGWKGPAAAAEDGGETIYVLDEERGALTAYNWGTDRWTTVAESERLKGAAEMAVAGGKACVVAHGGGKVLIVDMASPPKAAAAAAVTPWRESSSLASPIMWEVAAPAGKRVVSLHVLPRMTRPE >LPERR06G22710.1 pep chromosome:Lperr_V1.4:6:20296936:20297535:-1 gene:LPERR06G22710 transcript:LPERR06G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLLAAAALLLAFAFAVAAASASPAASDFIRKSCRATQYPALCVQSLASYGSPAPHSPRDLARAALSVSVDRARSASAYVGHLRIGGGGGGAVRDCLENMADSVGHLRDAAAELGGNMGRAGSAGFRWHLSNVQTWCSAALTDENTCLDGLAVSRRGGGGGAGVDAATRSAIRGKVVEVAQVTSNALALVNRVAPNY >LPERR06G22720.1 pep chromosome:Lperr_V1.4:6:20304807:20307807:1 gene:LPERR06G22720 transcript:LPERR06G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPPLAFPTLDSLASFLATRLPSTALSSWGTAPGTKTLLNLFLELSHGESILLTTSSAAAVVRAVHVATIRIRNGRGAQLMETGQLLSDGTIRSRGGPRPLSEKMLPGETIEAAAARAVREELGERVRVRILGGGEEEARVEERESASYPGLHARYVLHAVDAEVVDGVPEEGEFETEEGGEHEDEVVVEGARPAVTVKRHFWKWVDEYAAGEVAGGGDGEEEGERQSAQ >LPERR06G22730.1 pep chromosome:Lperr_V1.4:6:20311099:20318785:1 gene:LPERR06G22730 transcript:LPERR06G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPSAVLSTPLLSDSTTTTAPIANGHHARHNHHDDVDGDEAASVCGGGCGGDPFAFLTEEDGERRGVGVSPADPFRNGTPAWCGVYEFLKVLVCAPVALVRLAMFGISIAVGYAATWVALRGWVDVHDRSSQQQQEGVGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHISYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFSENTSYAMAHALNVIPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKETYGVSTSEAMALLEHFLAMNPDKDGRVNAQNFWAYFGLNCTHLCKKVFRYFDFEAKESITFRQFLVGCAHLRKQPLFQDACQTAFEKCKHPVTSDIGRDQLADVLQSSMLQLTDDGMMKLFDKLDVDDDDRIGKDDLMASLAKLPFMIALFVGRINGEVYIEIVE >LPERR06G22740.1 pep chromosome:Lperr_V1.4:6:20316937:20322283:-1 gene:LPERR06G22740 transcript:LPERR06G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPVPPPGAAAAADPDSAPAPMPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNEQDKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTASAIGSKSYNALASGLAEFKSGESTKENKHVQEEETVDFAAATTAALGVPSPSVSRGRSFDENTLADPVELHIRRGDREEEEELMRVLNLSKAENAKAVDGSVSFDTSQSHSSSNMEETAQSESFRLEATEMVDLTNKEEHGNSHALSDGPLLQDSTNAAPNVNEVGPEESHQTLTSNDLEDDGTRNILPEHPDITIQPSESALDCSSHESSAPNQAAAFAPALGQVDKEPCEEQPPVQIHEQEPVYEGEAVLAEQADKTEKSSECMQDGAAEHQWELIDNFLQNTASQLTVYGLFCLQEGLTDNELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQRLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYLAQFDNTTLPNSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPAPQQPQQAPNQSPGTGRPGLVVGPTTARRPPAPQPIASKKEKCIVM >LPERR06G22740.2 pep chromosome:Lperr_V1.4:6:20316937:20322283:-1 gene:LPERR06G22740 transcript:LPERR06G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPVPPPGAAAAADPDSAPAPMPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNEQDKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTASAIGSKSYNALASGLAEFKSGESTKENKHVQEEETVDFAAATTAALGVPSPSVSRGRSFDENTLADPVELHIRRGDREEEEELMRVLNLSKAENAKAVDGSVSFDTSQSHSSSNMEETAQSESFRLEATEMVDLTNKEEHGNSHALSDGPLLQDSTNAAPNVNEVGPEESHQTLTSNDLEDDGTRNILPEHPDITIQPSESALDCSSHESSAPNQAAAFAPALGQVDKEPCEEQPPVQIHEQVSDTEFSSELTTAAGQATPNHATNELDGENGYNPEPVTLSIQESEPIYQGEEHILSTGNLAYENQEPVYEGEAVLAEQADKTEKSSECMQDGAAEHQWELIDNFLQNTASQLTVYGLFCLQEGLTDNELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQRLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYLAQFDNTTLPNSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPAPQQPQQAPNQSPGTGRPGLVVGPTTARRPPAPQPIASKKEKCIVM >LPERR06G22750.1 pep chromosome:Lperr_V1.4:6:20325087:20329649:1 gene:LPERR06G22750 transcript:LPERR06G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSPLAGRHTLSPVPTPKSRRRRRRDSPTSIPGGGSRAPLHRRRRRRRRVLPSLCLCWSRGFGPDWGAGMAGARVMALVALCALAVVTGAQVDPLYSSKQVLDWSRQANIKLQNSSLTGEDGLQLLGRPEEVTRRKLRERTRVKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLYQDLLARLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFRADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDINFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAGVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHTYVRGCKISQ >LPERR06G22750.2 pep chromosome:Lperr_V1.4:6:20325087:20329649:1 gene:LPERR06G22750 transcript:LPERR06G22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSPLAGRHTLSPVPTPKSRRRRRRDSPTSIPGGGSRAPLHRRRRRRRRVLPSLCLCWSRGFGPDWGAGMAGARVMALVALCALAVVTGAQVDPLYSSKQVLDWSRQANIKLQNSSLTGEDGLQLLGRPEEVTRRKLRERTRVKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLYQDLLARLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFRADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAGVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHTYVRGCKISQ >LPERR06G22750.3 pep chromosome:Lperr_V1.4:6:20325105:20329648:1 gene:LPERR06G22750 transcript:LPERR06G22750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARVMALVALCALAVVTGAQVDPLYSSKQVLDWSRQANIKLQNSSLTGEDGLQLLGRPEEVTRRKLRERTRVKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLYQDLLARLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFRADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAGVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHTYVRGCKISQ >LPERR06G22760.1 pep chromosome:Lperr_V1.4:6:20330110:20338576:1 gene:LPERR06G22760 transcript:LPERR06G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANPWPHDQMEAAAVTGIGLKPMHVSMFDVLL >LPERR06G22770.1 pep chromosome:Lperr_V1.4:6:20341854:20344625:1 gene:LPERR06G22770 transcript:LPERR06G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHIRSSREGGEEEEINIQKEVENSSLSCQDCGNNAKKDCSHLRCRTCCRSRGFNCATHVKSTWVPAAKRRERQQQLAALFRGAATNNSSAAAAAAAAAKRPRELVRSLGRLNPSSHSAMIATTTSSGEGDGRFPPELSVEAVFRCVRIGAVDEPEAEFAYQTAVSIGGHTFKGILRDHGPADHELPPSSAEYHQLTGGQGREESSPAGSSEAAAAVTSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >LPERR06G22780.1 pep chromosome:Lperr_V1.4:6:20354533:20358192:-1 gene:LPERR06G22780 transcript:LPERR06G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQAIGNSLWVEQQRMGEDLDSLEFDELRGLEQNVDAALKEYHVITTQTETYKKKVKHSYEAYKNLQQELGLREEPAFGFVDNTGGGGWDGGAGAPADMYAFRVVPSQPNLHGMAYGAAHDLRLG >LPERR06G22790.1 pep chromosome:Lperr_V1.4:6:20361836:20364469:1 gene:LPERR06G22790 transcript:LPERR06G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMVTKRRRLEHQQSLTDGSSFPMEDLPERLQVQVLCQETGKNSGHGILIYALMAAKTGPTDKDSVKVERAMFVETGDSIIQQHSGIWLNKFSIRCNLRKDSDILDRWICFATASKAKVIDMNLWASRNRVRPAKDIYSFPLETFGAQDRPFIQYLFLTNVSKPHSDMCGFTKLRSLHLHCVQIIGDLSGLLLNCSSLVDLEVIECLGMVGLDIPHQLNKLRHLLISNTSIQMLELHVPVLSHFGYKGTTIPIVLHGCSKLQKATLSFAQTWREEDKNKILGHMHTLTPRATSIFMNLRHMTYEVLIFTRVPNSHSAILQLAQYLAFAPQLETFELHMLYQVADDDDDHLWHGEAASYPMPRHDHLKTVYMSGFRCYRPQVELLCCILEMGAALENVIIEPMMKIPFNFDLINLCIPEKICEWAHRTSQRFGKAITIVQPHDR >LPERR06G22800.1 pep chromosome:Lperr_V1.4:6:20365842:20371123:1 gene:LPERR06G22800 transcript:LPERR06G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGKTPLAGPATNGGGPHMSSSHQASPPDSRGSPSVHGAPRTPPTQNLNPRSLAQINVRSMAGIGRSSRVAAGKRAGGVASSALSSSSSSASASACVYYATTAVLVALCVAGAYFLTSASSASLAASNSDAGESGVTTAYRHTTRSSFAYELSTSDKKAVVMAAPRVVVNDDEDAAVAAATGGDVEEDHDDDPRGKPDLDDHGADEDDTKTVAAAMDAQRGDEDDSVSSSSSSSSSSGEAENAEEESGQEQEVHLEMTSSSRVAADVVEEKSLDGGVEEESNAGQRQREVEEQQIDHGGGGILRREAQEEAHVGEGYGVVADHLPETTGEGEDLLDQQQNLNQPEDQEHMSESDAAGEVGDTEDKPAVLSERDEPVVDTLAGEEMTSATGVGNGDDQNAWATQEDHRREEETAVTDVAAVSGEAEWRLCNMKAGADYIPCLDNEKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPSGYRRPVEWSKSRDRIWYSNVPHTKLVEVKGHQNWVKASGQYLTFPGGGTQFIHGALHYIDFLQQSVPGRGISWGKKTRVVLDVGCGVASFGGYLFDRDAVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGIFVWSATPVYQKLTEDVNIWKAMTALTRSMCWDLVTIKKDRVNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADAAWYVRLNACLHRVPAAAERGAAWPAXXXXXXVLAQRVAGRRPETEDFAVDYDHWRRVVDKSYLNGLGIDWNRVRNVMDMRATYGGFAAAMKDHKIWVMNVVNVDAADTLPIIFERGLIGIYHDWCESFSTYPRTYDLLHADHLFSKIKERCAVLPVVVEVDRIVRPGGSIIVRDESAAAGEVEKLLRSLHWDVRLTFSSNGEALLYADKSDWRPELLADPS >LPERR06G22810.1 pep chromosome:Lperr_V1.4:6:20370377:20372287:-1 gene:LPERR06G22810 transcript:LPERR06G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAAHLATAADPDEDLCTGTAEAMESPSEEEAAPPSPAPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATAAYTPMLHSRIFSENEKHRSMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLNVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLEDVKDCLEHTGADGRLKDLGGGIPLYRNNNALQTTSNGLAASNA >LPERR06G22820.1 pep chromosome:Lperr_V1.4:6:20383867:20384802:1 gene:LPERR06G22820 transcript:LPERR06G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCGVSPAAVLCCADEAALCSACDRRVHRANRLAGKHRRLPLIHPSDSGAGESGGAAPPMCDVCREKRGLVFCVEDRAILCPDCDDPIHAANHLTAKHTRFLLVGAKLSAADVADDDDDGEDGEHAIPSSDCSSDDAIAAAAATKEDQSSSVSAAVDAGGGGGGGSSISDYLTTICPGWQVEDLLLPDDGDVFVSNAGVGVGAGVGLGKEKDGERVPFIDADLFDAVGAGRTEKKGGGAWAPHVPQLPAWCLDDVAAAMATPPPVKPAKQGHVRERQYWKDVDAFAVPEFSPPAKRARPSQQYWCF >LPERR06G22830.1 pep chromosome:Lperr_V1.4:6:20389068:20393165:-1 gene:LPERR06G22830 transcript:LPERR06G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAACRRGLLLHQHQWLPPPQRWAVPVPVPAAARAISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >LPERR06G22840.1 pep chromosome:Lperr_V1.4:6:20395361:20398782:1 gene:LPERR06G22840 transcript:LPERR06G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRRLCCFSLLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDELAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTEAELKSLISLLHSKGMQAIADVVINHRCADHKDSRGIYCIFEGGTADGRLDWGPHMICRDDTKFSDGTGNLDTGADFAAAPDIDHLNSVVRRELTDWLLWLKSDVGFDAWRLDFVQGYSPPVAKFFIDGTSPVSLAVAELWDSMAYGGDGKPEYDQDSHRQKLVDWVDGVGGMESAGMVFDFTTKGILNAAVEGELWRLIDRQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKFYDHFFDWGYKDQITALVAVRQRNGITATSSLKIMLHDADAYVAEIDGKVLMKIGSRYDVSRIIPAGFQLAAHGNDYAVWEKTTAAADDDDDEAALLLS >LPERR06G22850.1 pep chromosome:Lperr_V1.4:6:20400104:20402059:-1 gene:LPERR06G22850 transcript:LPERR06G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIEMEAIQEGRDFSNFKYACVGYSMYTDDKESSKGKDNMQAQLPFCVGIELLADRRNSTNQASVHNKKEAPKGPQPRRSKPGHRGDDFLTKFQRNAGLVANGVARNVNKVGAYIKDTVDDIMYPYGKRPK >LPERR06G22860.1 pep chromosome:Lperr_V1.4:6:20403502:20407444:-1 gene:LPERR06G22860 transcript:LPERR06G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAAAAAAAVGSPSPATVPVRRRCEGMAMGAITLDLRPGNGVGPFTLGMPISDAFAQIESQPNLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEDLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAIVPALPAGSLYMEEVHAKLGEELLFTIGGQRIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVISDAEAEGTDQPGSIPKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNISFEVDFN >LPERR06G22860.2 pep chromosome:Lperr_V1.4:6:20403502:20407444:-1 gene:LPERR06G22860 transcript:LPERR06G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAAAAAAAVGSPSPATVPVRRRCEGMAMGAITLDLRPGNGVGPFTLGMPISDAFAQIESQPNLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPLYCIPIFHRISAKLPRFLAVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAIVPALPAGSLYMEEVHAKLGEELLFTIGGQRIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVISDAEAEGTDQPGSIPKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNISFEVDFN >LPERR06G22860.3 pep chromosome:Lperr_V1.4:6:20403502:20407444:-1 gene:LPERR06G22860 transcript:LPERR06G22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAAAAAAAVGSPSPATVPVRRRCEGMAMGAITLDLRPGNGVGPFTLGMPISDAFAQIESQPNLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPLYCIPIFHRISAKLPRFLAVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAIVPALPAGSLYMEEVHAKLGEELLFTIGGQRIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNISFEVDFN >LPERR06G22870.1 pep chromosome:Lperr_V1.4:6:20408198:20410432:-1 gene:LPERR06G22870 transcript:LPERR06G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRDVDNLDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPQGIEIVYNYGKED >LPERR06G22880.1 pep chromosome:Lperr_V1.4:6:20422305:20429237:1 gene:LPERR06G22880 transcript:LPERR06G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGSGSARTADDEYGVVTAHQSPPPANGLPSTPPRPPASAAPATVATPRRRGSKSGSTTPVHQGTPGVAWPSPYPSGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGGGGGGGAGADGAETERPLDKTFGFAKNFGAKYELGKEVGRGHFGHTCSAVVKKGEFKGHNVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRTALMRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >LPERR06G22890.1 pep chromosome:Lperr_V1.4:6:20435278:20435667:-1 gene:LPERR06G22890 transcript:LPERR06G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSWSMPPKGCMAVRVVGPGGVAGGDCGGAGGGEEEGERFVVPVGWLKHPLFVGLLKEAEEEYGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHHGSSHGSSLVSGNGGGHGSSGHHNFHIAGCFRA >LPERR06G22900.1 pep chromosome:Lperr_V1.4:6:20444359:20444679:1 gene:LPERR06G22900 transcript:LPERR06G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSRTANGSGATAANSEAEVGRAFYLNLPTPSPSPPRAAALADDDEMDWGSTCSHRQIAGEVNGDGDDQPASSKHRRIGTGFAAGLYCYCEDVQRSPSYKTDN >LPERR06G22910.1 pep chromosome:Lperr_V1.4:6:20454557:20459962:1 gene:LPERR06G22910 transcript:LPERR06G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLISTTPYEDVIACDVINPDHIDVEFDSIGGLEHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNINYDHIARLCEGFTGSDILELCKQAAFYPIRELLNKEKDGQKADVKTKTLEAIRSGESIINV >LPERR06G22920.1 pep chromosome:Lperr_V1.4:6:20458520:20459197:-1 gene:LPERR06G22920 transcript:LPERR06G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFYLDSKSTIGIEFQTRTLLIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFLAAARWLADLRARADNSSIVVMLLGNKADLTNSRAVDSDEAAAFAEDQGLFFSEASALSGDNVEEAFLGLLKEIYGIVSRRSLEMVDASAGNVDANAALVFKGTRLSLAEELSMMETSALKRVSVCSSCS >LPERR06G22930.1 pep chromosome:Lperr_V1.4:6:20461232:20461687:-1 gene:LPERR06G22930 transcript:LPERR06G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHHGALPIPASSSHHHLKPAFSSSAKNQHPPRARLSVTTARAAAAPRVVQAQKQQRRRSISSSRAATGYAAALADASIRGGTLTRAARHARALLLVSESDAAAGEEEEDARVVALVRMLVGKGKGGIVADVMAEFIAICDRLLLN >LPERR06G22940.1 pep chromosome:Lperr_V1.4:6:20462332:20464396:1 gene:LPERR06G22940 transcript:LPERR06G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDSPAPATEEEMYVEVASRFYRVKPGEDAAGAGDRFHFLESCFLCKKIIAGDRDIFMYRGDAAFCSEDCREEQMEMDAALEVVARRHRLICKPSSTTTSPVSADATAAPARPPMMHRRPTIANLAARTPVAATS >LPERR06G22950.1 pep chromosome:Lperr_V1.4:6:20468051:20468353:-1 gene:LPERR06G22950 transcript:LPERR06G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKQLTLLQTVAVAGVFSAVSCWYGFMFGRESARRELGGIIDDLRSGGDGSGSSTNSAASPDSNAHSKP >LPERR06G22960.1 pep chromosome:Lperr_V1.4:6:20468903:20472841:-1 gene:LPERR06G22960 transcript:LPERR06G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTKMGCFAFKSGGKNKPKNQRTPSPSGGAKSPAPTSSEGHKSKSSSASATTPTRTSIQELSEERGAQRLRVFDFDELSSATNGFSRALKIGEGGFGSVYRAFFRSGSGGGGGRVVLAVKRLKQRSLQGHKQWLAEVQFLGVLEHPNLVRLIGYCAVDSETSKQRLLVYEFMPNKSLDDHLFNRAHPPLSWRMRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTRSDVWSYGVVLYEILTGRRSVERSRPPEEQKLLDWVARHPPESHSFRSIMDPRLGGKYPAAAARRVARLADRCLVKNPKERPPMRDVVGELERVLQMDPSPPPLVEKDGGDRRRSPPAKR >LPERR06G22960.2 pep chromosome:Lperr_V1.4:6:20468903:20471657:-1 gene:LPERR06G22960 transcript:LPERR06G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSGGKNKPKNQRTPSPSGGAKSPAPTSSEGHKSKSSSASATTPTRTSIQELSEERGAQRLRVFDFDELSSATNGFSRALKIGEGGFGSVYRAFFRSGSGGGGGRVVLAVKRLKQRSLQGHKQWLAEVQFLGVLEHPNLVRLIGYCAVDSETSKQRLLVYEFMPNKSLDDHLFNRAHPPLSWRMRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTRSDVWSYGVVLYEILTGRRSVERSRPPEEQKLLDWVARHPPESHSFRSIMDPRLGGKYPAAAARRVARLADRCLVKNPKERPPMRDVVGELERVLQMDPSPPPLVEKDGGDRRRSPPAKR >LPERR06G22970.1 pep chromosome:Lperr_V1.4:6:20475241:20479547:1 gene:LPERR06G22970 transcript:LPERR06G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGPPSSSACAAPDHPPPPEAEEEEEGGRGWVVVPASEVPGADAPKVIDWEDLQQELARVWSLSSALAAARDRKAQLAARLQSALEARQPSVQQDNELAEIRERAKTCADYMWDLKINTKRLTEDVDDRREELGIKSRTLSATSNTLSTARSKLQEADKLLSGKNGLHARLETVERMLRNFAGDTESTAPNGSQNGQAPLAILGLQLSKLSMKKTSYFSDKTEIQKSATTLGYVAHAVSLIASYLDVPLRYALRLGGSRSYVLDHAPSFESSSLASPTSSVPLSTSMRTMDFPLFFESQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >LPERR06G22980.1 pep chromosome:Lperr_V1.4:6:20480181:20483643:-1 gene:LPERR06G22980 transcript:LPERR06G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVNPELAEIDGQIGDILRALQNGFQKLEKIKDANRRSRQLQELTDKMRDCKSLIKDFERVVKDMAGSTDPDTARMLHDRKQSMIKELNSYVALKKQHASENKRVDLFDGLSVEDGFGDENVLLASNMTNQQLMDQGNQMMDETDQAIARSKQTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGIVNPQNKSIRDIPGLAPPVSRRLLSIVEDI >LPERR06G22990.1 pep chromosome:Lperr_V1.4:6:20485693:20486232:1 gene:LPERR06G22990 transcript:LPERR06G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLRSSPLPLPLLHRRRPCLLRATSGGNSGDPAPDSPTTTTPPSGDPKPPTSGVKNRLRARNQSRRAVQESSPPASLDITMKSKSPSKRAAAGTATRREEKQRRKEWEEMSLAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFTGGSP >LPERR06G23000.1 pep chromosome:Lperr_V1.4:6:20486948:20489719:-1 gene:LPERR06G23000 transcript:LPERR06G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCVRCCCWLLVLTLMALAVTAAVVFVRYKNNGGGFPFPAGVPDPKYADALSIALNFFQVQKSGKLVNNTIHWRGDSATEDGKEEGVDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGHAIRAAGQRDSAMDALGWIMDYLRPLTKITPKSPGSDVAAETAAAMAAASLVYKPINKTYSLSLLDHGERLFAFADKYRGSYTRTFPELSKYYNSTTYQDELLWAATWLYHATGNISYFAYVTGKNGKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVVQDDVLGLYKQTADAVMCILLPDSETAAFRTAGGLLYVAEWNSLQHPVASAFLAAVYGDYMLSSGKTELSCSGQSFSPADLRKFAKSQADYLLGSNPMKMSYLVGYGERYPQRVHHRGASIPADVDTGCDGHEWLESSKPNPNIATGALVGGPYKNDTFVDSRDNVMQNEATTYNSALVAGLLSSLLSTSSLPQSLS >LPERR06G23010.1 pep chromosome:Lperr_V1.4:6:20490715:20494116:1 gene:LPERR06G23010 transcript:LPERR06G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPDRSGVSEDVGECEWREEIRQQQSQVDALRERLVEVKVGLRCSEEDSRMELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRHGVPLADWSKGGESASGEGLDDEMVGDSTRVAEHGGANEGDVDVEDILKSIHVVTDVMESLVKRVIVAELEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLETEKAQKEAEVQKVMEENVRLRAMIDKKEAQLQAMSEQCKFMALSGPN >LPERR06G23010.2 pep chromosome:Lperr_V1.4:6:20490763:20494116:1 gene:LPERR06G23010 transcript:LPERR06G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPDRSGVSEDVGECEWREEIRQQQSQVDALRERLVEVKVGLRCSEEDSRMELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRHGVPLADWSKGGESASGEGLDDEMVGDSTRVAEHGGANEGDVDVEDILKSIHVVTDVMESLVKRVIVAELEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLETEKAQKEAEVQKVMEENVRLRAMIDKKEAQLQAMSEQCKFMALSGPN >LPERR06G23020.1 pep chromosome:Lperr_V1.4:6:20494365:20499021:1 gene:LPERR06G23020 transcript:LPERR06G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVWLPALLLAFLLLAAAPLVQVARAQSEEDAATAEVVEGADLGIVGDDTQVSSDGPLSPAPGVETVCVFPKNSGKIVPAGEETELLVGLQNEGESTLNVVAIHSTIHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFVVSKFLQPGAYDLVGYIVYEIDQHPYQSVFYNGTVEVVEVGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTSFAQGSKSKKKK >LPERR06G23030.1 pep chromosome:Lperr_V1.4:6:20500245:20504300:-1 gene:LPERR06G23030 transcript:LPERR06G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAALASISGAPLAPLVAAQLNFVLSQSKLPIKVGTQTPASLPRFRFRLRLTPLSALCLQVGQIWSGCRDGRYADRFTLAIPFCLDYVYCNPPSLDRSLAGSDGLGFHVSLVMEIAGDFLYNALSPKVAPDVVFGPDDEGFQPLLGYDETGNGEKSCLANWDCRDSGALLDLIKELRELYIEYQKKQAAEVDDARLKFEISTVLSKEPDEVKFAVPLVEPDLDFAKLVPGCPWKLPQKIHLQAVFPITRSYSSVPSAPLLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVDASASIGSRRRFIEALAPTFGRPLEADPVHFVIPLQFPKQQPVITLQSTQVLFRIYTFRVGQHFNAQGAPIMSAPVNDYPWSPRWDVTQMVERIFDFLVDECQTFKKFCSDSLPHNRSS >LPERR06G23040.1 pep chromosome:Lperr_V1.4:6:20505654:20508967:-1 gene:LPERR06G23040 transcript:LPERR06G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDNTVGLTLAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMITMLFGEIANFVAYAFAPAVLVTPLGALSIIVSSLLAHFMLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLATQPGFLTYASATLVIVAALVLFFEPRYGQKNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTSKEEERGSSAALPWPLDRGSISWYISLGSDNLLKNVNEDYFAALQSSPAPV >LPERR06G23050.1 pep chromosome:Lperr_V1.4:6:20514254:20520626:1 gene:LPERR06G23050 transcript:LPERR06G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDEGPPPTRSVQPLADAYEARRGRYGPGDFDSGELAIPPPKLLPSHKVAETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDVSIKELKEEILHSDGVQTLVSSNSSELLYIAYVDKRDELAIFSREVIRFGNLCKDPTWHNLGRYFDKLTTDFAPQDHSKENMEATIQQLINLAQHTSELYHELHALDRFEQDFKRKFHEEDSAPATRRESVMILHSELKRQRKLVKNLKKKSLWSRTLETIVEKLVDIVIFLHKQIRDSFSEAGADVFYSEQTQNKRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVIVKSALRLRLQSYNTQEERTVAQIKAEMQKTLRWILPIAENTLRAHQGFGWVGEWANLGCEMNKKSGSQQSITRVQTLHYADKAKTEKYILDLVVLLHHLVVQVKSRGYGSKSSKHDPSRSRKGLDLQPESKLNTSPVNNATYSSPLSDSERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRSWDSCRSHGSSPAREFGRNSASELDNTMDLDVIDGLDRLTSYPPISPTF >LPERR06G23050.2 pep chromosome:Lperr_V1.4:6:20515392:20520626:1 gene:LPERR06G23050 transcript:LPERR06G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQVAETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDVSIKELKEEILHSDGVQTLVSSNSSELLYIAYVDKRDELAIFSREVIRFGNLCKDPTWHNLGRYFDKLTTDFAPQDHSKENMEATIQQLINLAQHTSELYHELHALDRFEQDFKRKFHEEDSAPATRRESVMILHSELKRQRKLVKNLKKKSLWSRTLETIVEKLVDIVIFLHKQIRDSFSEAGADVFYSEQTQNKRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVIVKSALRLRLQSYNTQEERTVAQIKAEMQKTLRWILPIAENTLRAHQGFGWVGEWANLGCEMNKKSGSQQSITRVQTLHYADKAKTEKYILDLVVLLHHLVVQVKSRGYGSKSSKHDPSRSRKGLDLQPESKLNTSPVNNATYSSPLSDSERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRSWDSCRSHGSSPAREFGRNSASELDNTMDLDVIDGLDRLTSYPPISPTF >LPERR06G23050.3 pep chromosome:Lperr_V1.4:6:20514254:20515112:1 gene:LPERR06G23050 transcript:LPERR06G23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDEGPPPTRSVQPLADAYEARRGRYGPGDFDSGELAIPPPKLLPSHKVASR >LPERR06G23060.1 pep chromosome:Lperr_V1.4:6:20522181:20522582:1 gene:LPERR06G23060 transcript:LPERR06G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASTVAPGGCVAGVRRCSIRVSAAMAVAPPPPATARTHYEVLGVGAAASRGEIKAAYRRLAREVHPDASVSGGGVAGGEDFIRLHAAYATLADPDERARYDRAMAGPAAMLRRAPAPSFRRRTWETDQCW >LPERR06G23070.1 pep chromosome:Lperr_V1.4:6:20523891:20526957:1 gene:LPERR06G23070 transcript:LPERR06G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPSSPPPSPARKNGSCRAAGCVVDLNSFCPAELRVVVTSPAASGGGGGVVAACKSACEAFGKEEYCCSGEHGSPATCAATAYSRFFKAACPTAYSYAYDDATSTFTCAGAGGGYHVVFCPAKSSLESSRNPEAVDSPSTYSTMTFTGNAQSLIMKMNSHKSNGLDTPVF >LPERR06G23070.2 pep chromosome:Lperr_V1.4:6:20523891:20526957:1 gene:LPERR06G23070 transcript:LPERR06G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPSSPPPSPARKNGSCRAAGCVVDLNSFCPAELRVVVTSPAASGGGGGVVAACKSACEAFGKEEYCCSGEHGSPATCAATAYSRFFKAACPTAYSYAYDDATSTFTCAGAGGGYHVVFCPAKSSLESSRNPEAVDSPSTYSTMTFTGNAQSLIMKMNSHKSNGLDTPVF >LPERR06G23080.1 pep chromosome:Lperr_V1.4:6:20526926:20529989:-1 gene:LPERR06G23080 transcript:LPERR06G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGERHGGRWWMMRCGVRPRILLSIREVVVPQPFVPKQSRRARHKHRRRRGEREIEGNSQLNLALLRDGWCSASSAVGFTATSASLQMAGKTNDPAALQLLKNSVSEDWRVEAI >LPERR06G23090.1 pep chromosome:Lperr_V1.4:6:20530943:20536301:-1 gene:LPERR06G23090 transcript:LPERR06G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTDELVDPPKVEEKIGGVPHGLSTDSEVVQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEDSEEKKPKTKTIKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLVSLDEYISRMKSGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTENVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYKSVQKSLDLSPDATVEEEEEVEEAPEVEEKEASIKEESETSSYDKDEL >LPERR06G23100.1 pep chromosome:Lperr_V1.4:6:20537580:20540038:-1 gene:LPERR06G23100 transcript:LPERR06G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTFLCPSAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDDAAETADSPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSFLSGAQAMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDVASIQCMGGAKSGSTKLPVCGAMGTMPVGCMPNSEKK >LPERR06G23110.1 pep chromosome:Lperr_V1.4:6:20541262:20544325:-1 gene:LPERR06G23110 transcript:LPERR06G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNSNPNPNPNPTPSAPALYPTLTMADLAPVQIGNPSSPASPASPAKSMDDTDANVPPPAEDVLLRIPGAQLHLIDRHRSHPLAAGDLSLLRIRSGDTSLAAIALLHPVQWPLARDVAAVKLDPCHYSFSLTVPASADDPNPGPLHYGITLSRPDPRLDGILAMFTSFSVQSVVGGEALANRVRDEVEAAAYWTAVAPNVEEYGGAMAKAIATGAGHLAKGILWCGEVTVERLRWGNEVLKKRIQPGDANAEVSPEMLRRIKRAKKVTKMSEKVATGILSGVVKVTGYFTSSIANSKAGKKFFSLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGIVSHKYGEKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAAAADLRAKNKK >LPERR06G23120.1 pep chromosome:Lperr_V1.4:6:20546219:20550784:-1 gene:LPERR06G23120 transcript:LPERR06G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLFLLVVFLLLVPLPSLSSPDRDIYALTKLKSSLIPTPTSTPTSPLSDWDPSAVSPTHCTFTGITCDSHSRVISINLTSIPFHSGSLPPELALLDSLLNLTIAACSLPGHIPLEIPTLPSLRHLNLSNNNLSGHFPNSGDGDAGEFSNYFPSLELIDVYNNNLSGILPPFYGEHGRRLRYLHLGGNYFTGGIPESYGELAALEYLGLNGNTLSGKVPVSLSRLSRLREMYIGYFNQYDGGVPPEFGGLRQLVRLDMSSCNLSGEIPPELGKLSNLDTLFLQWNRLSGEIPPELGDLTSLNSLDLSVNDLTGEIPASFEKLSNLKLLNLFRNHLRGDIPEFVAGFSQLEVLQLWDNNLTGVIPAGLGKNSQLKTLDLATNHLTGEIPPELCAGRRLEMLVLMENGLFGPIPNSLGDCKTLTRVRLAKNYLTGGVPAGLFDLPQVNMVELTDNLLAGELPGVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGELPPEIGNLKNLTRLNVSGNSLTGEIPGELIRCTNLAAVDLSRNSISGEIPEGITSLKILCTLNISRNRISGELPPEMSNMTSLTTLDVSYNALSGELPMQGQFLVFNQSSFIGNPGLCGGPVAAACSTAAIAGGRSSSTSPLNIRRWDSKKMLILLVIVFFTIAIAFIAGRKILAFIHAAARRRSSAWKMTAFQQKLDFTADDVANCLKEDNIIGKGGAGIVYHGITNSGFELAIKRLVVGRAATAGGGAGDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFIGGGGGATSECMSTIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKVTAELPENTDTAAVLAVADRRLTPEPVALMVNLYKVAMACVEEASTARPTMREVVHMLSNPNSAQPNSDLLVSF >LPERR06G23130.1 pep chromosome:Lperr_V1.4:6:20554813:20560706:-1 gene:LPERR06G23130 transcript:LPERR06G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASPPAMATALSTLLRRRKSSIRCLWDFRTRCLSSEVAAEAAAPPSRRGCHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVAVNGRPVSKVSHMVKGGDMVSCMVSELQPLRAEPEYIPLDIVYEDEHLLVVNKAAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDIDQFSTGEVSTEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPISRDPNNRIRMIATLGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGDSGIPIQERSLNSRAPHQMILLRYSMNSVVLHQVTAKVVVAAAAATTAKARATRGGVR >LPERR06G23140.1 pep chromosome:Lperr_V1.4:6:20565778:20569156:1 gene:LPERR06G23140 transcript:LPERR06G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGFFLQAAPPPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYKRNAIGDLSGCSVCLQDFQTGEKVRSLPNCWHVFHVPCIDGWLIKHGSCPLCRRKL >LPERR06G23140.2 pep chromosome:Lperr_V1.4:6:20565778:20571851:1 gene:LPERR06G23140 transcript:LPERR06G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGFFLQAAPPPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYKRNAIGDLSGCSVCLQDFQTGEKVRSLPNCWHVFHVPCIDGWLIKHGSCPLCRRKL >LPERR06G23150.1 pep chromosome:Lperr_V1.4:6:20568904:20574062:-1 gene:LPERR06G23150 transcript:LPERR06G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQLESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGKHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRQIVVLKHNVWRVKGLIQVCRSIGDAYLKKSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRSGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQISSDTASGMRCSDPAATLGGVMSVFSKNSNT >LPERR06G23150.2 pep chromosome:Lperr_V1.4:6:20570117:20574062:-1 gene:LPERR06G23150 transcript:LPERR06G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQLESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGKHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRQIVVLKHNVWRVKGLIQVCRSIGDAYLKKSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRSGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >LPERR06G23160.1 pep chromosome:Lperr_V1.4:6:20574102:20575217:-1 gene:LPERR06G23160 transcript:LPERR06G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFPLIYSIVPLLPFLSLVFVVLGSAGRARQHERRAKRLGGGECAADRGRSGPARRCHGRSGSAGRRQPRPLDSPASKGTERCIKKGSNIFLEYR >LPERR06G23170.1 pep chromosome:Lperr_V1.4:6:20583798:20588182:1 gene:LPERR06G23170 transcript:LPERR06G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSFLLAVAAAFLAVAIITGDEVSVSGLSLDIHHRYSPVVQRWAEARGHAGISWPAAAGDGQLVLRSPEYYSTLSLHDHALFSRRGLAQSDPLTFADGNATFQLVGSLHYAAVSVGTPNTTFLVALDTGSDLFWVPCDCKQCANVTAAMAAGDNSQLFRQYSPSKSSTSKKVTCENPLCVTPNACSNSTTSCPYAVNYVSANTSSSGDLVEDVIYLTGAGGDTGVKAAPVVFGCGQIQTGLFLEGAAADGLLGLGIEKVSVPSILAANGVVKSDSFSMCFSSDGVGRINFGDTGAANQAQTPYIVRPTHPFYNISVTSMSVGGKELPVGFYAVVDSGTSFTYLSGPAYTALTTNFNAQVREKRINITSARSRSGSLPFDYCYELKSDQTDLLVPEVNLTTKGGAVFPVTDPIVVVVGRLPNGQARALGYCLAVIKSDLPIDIIGQNFMTGLKVVFNREKSVLGWQEFDCYKDVKMSDAGGVGSPSPSPGPTTHINPQPQVNDGNGQIPGAAPLPRPNSAAGHAVSGGVVFFSMMMLAAAIF >LPERR06G23180.1 pep chromosome:Lperr_V1.4:6:20590886:20592429:-1 gene:LPERR06G23180 transcript:LPERR06G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPSLAKSIFSLGTQATMIERSNSTPSSTPARPPRSPLGVDEEYDQAFRSKSFLDLWSHAHRHLSHTLSSFKLPCNGDDGGGGETEQSCSYTVLDDFVLEPSPELRRPRRHRRRRGGHRVETLLVEYFDVTEAACAACSALLAAVGAARRHHLTLRRLLLRLDGDGDGEARNALARHVRLDNPLSSGSLAAGHGERPLMEEVAREEEECEEDLRAQLAELEEHLCLCLITINRTRRLVAHEMTRGSPPSSEKTMTTTATATAAAGD >LPERR06G23190.1 pep chromosome:Lperr_V1.4:6:20596205:20597234:1 gene:LPERR06G23190 transcript:LPERR06G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLQMVACSLLLFLLLPPLLFRPAAAAEYTVGDGPWDSGTNYAVWADKHAFAAGDILVFQYVKSQHNVVQVTESTYRSCDTGGGVAGVVKTYTTGFDKIQLTEPNTTYWFICDFPGHCLGGMKLAVKVSGAGAGAGGGGSPPPSGVPLHPTSRGAAARSGWPAVWGSLTLAVLVFVINYCIAF >LPERR06G23200.1 pep chromosome:Lperr_V1.4:6:20598245:20598593:-1 gene:LPERR06G23200 transcript:LPERR06G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLRLVTACCRHREFCDLRPGAIAAVAPRPIPSRWCVPSQNRNLGCFSWLKPRSKGLSERDDV >LPERR06G23210.1 pep chromosome:Lperr_V1.4:6:20599181:20600963:1 gene:LPERR06G23210 transcript:LPERR06G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQTRGSEKNRARRPRPSSAAEKGVLLGSRGYRSAVKNATTATCRTRDGHPIEVTVWIEDPPAFTVHCPAHDLQKPARDSFLAPPIAIAATDGLRVPVKHIGGRSTLKDNDYFVYRPDPLPPKLDLLPLVVLRDRLCPIPDSADRQFYHRTSKTIVLGGAKGTVVWVDLWRGILVCHVLDEISPKTLRDMPLPWPAEGNWRRYLNNDETYYRDVTISQNKDCMEIVAPYIETETIPSATVVATKPDSYLQCIQGDDVYLLCNAVTSMADRRQGVMIGVDIKNKELRGVAELDPTKNDLIPIRCYFAIWMPKHLNTTTDTAVRQDEEEDAEAAE >LPERR06G23220.1 pep chromosome:Lperr_V1.4:6:20601338:20604259:-1 gene:LPERR06G23220 transcript:LPERR06G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHQFQMAAAWPREPDSPQLSIMSGCSSLFSISTLRDDDGGGGGGGGHAIPATPVSLAVIATGDEVEMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLNLKKQCKEY >LPERR06G23230.1 pep chromosome:Lperr_V1.4:6:20608110:20613837:1 gene:LPERR06G23230 transcript:LPERR06G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYRCITQSCILIFHFRLVIVIIVQFSVLLNNKQFACRVCSCKYSRCFLTKREAGGGPYPAIQPYLEGLPAKRRHRQPLTRSPSSFRLFLRLPRRRRNPPPIRSPAMHPSGAGEYSPYYQPYPSASAPPYSPYPTADYAAAAAYPPPPADLPHYAPPPPVAPPPQPYYPYDPPPQHSHNPAPSPYPPLDRAGSYGYGSQDMYPPPPQPKVGGGGWSDDGAYAYSGGDAPEPYGARGTAPRSNSALFDDYGRSIGSTKERAGGGGSASPKVVRAVPKVETSEDISGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDIEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSVSRSRSIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTGCTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRTEVSQRLNNAREAANRPIVHSHEDLAKKLKEAMDINKKSSLASRSSDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >LPERR06G23230.2 pep chromosome:Lperr_V1.4:6:20608110:20613368:1 gene:LPERR06G23230 transcript:LPERR06G23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYRCITQSCILIFHFRLVIVIIVQFSVLLNNKQFACRVCSCKYSRCFLTKREAGGGPYPAIQPYLEGLPAKRRHRQPLTRSPSSFRLFLRLPRRRRNPPPIRSPAMHPSGAGEYSPYYQPYPSASAPPYSPYPTADYAAAAAYPPPPADLPHYAPPPPVAPPPQPYYPYDPPPQHSHNPAPSPYPPLDRAGSYGYGSQDMYPPPPQPKVGGGGWSDDGAYAYSGGDAPEPYGARGTAPRSNSALFDDYGRSIGSTKERAGGGGSASPKVVRAVPKVETSEDISGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDIEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSVSRSRSIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTGCTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRTEVSQRLNNAREAANRPIVHSHEDLAKKLKEAMDINKKSSLASRSSDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLCTLRICIASSSV >LPERR06G23230.3 pep chromosome:Lperr_V1.4:6:20608110:20613879:1 gene:LPERR06G23230 transcript:LPERR06G23230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYRCITQSCILIFHFRLVIVIIVQFSVLLNNKQFACRVCSCKYSRCFLTKREAGGGPYPAIQPYLEGLPAKRRHRQPLTRSPSSFRLFLRLPRRRRNPPPIRSPAMHPSGAGEYSPYYQPYPSASAPPYSPYPTADYAAAAAYPPPPADLPHYAPPPPVAPPPQPYYPYDPPPQHSHNPAPSPYPPLDRAGSYGYGSQDMYPPPPQPKVGGGGWSDDGAYAYSGGDAPEPYGARGTAPRSNSALFDDYGRSIGSTKERAGGGGSASPKVVRAVPKVETSEDISGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDIEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSVSRSRSIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTGCTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRTEVSQRLNNAREAANRPIVHSHEDLAKKLKEAMDINKKSSLASRSSDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >LPERR06G23240.1 pep chromosome:Lperr_V1.4:6:20614314:20616500:1 gene:LPERR06G23240 transcript:LPERR06G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWACAVDGASGVADSAKRFFFSFRRPPPPAAEGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKTSKSGPFAEESTRVKGIINVAGSLSSKDKKNSVPGNLETSSGVSSQFVFQTSVRKKDSLLAELVTDHRCLSSEIDSIGSPFVLSKVIYLANINDSLSVAAVPVGARCGDFSTDPNLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAASLAELISIAGKPNNSGETSRFFTGFGQISCQMQNEMKLTMSAALHGPSIISRKTKPTTGGCVDFELKFDEDSRVGAWIEVNKANPRLLRWALTLSETPEDDLGWGMSLRRGTEGNAERFQLEGFLNFHLGKKATLQPGLVFNIDGRRCTPALVFQSSWFL >LPERR06G23250.1 pep chromosome:Lperr_V1.4:6:20616887:20622037:-1 gene:LPERR06G23250 transcript:LPERR06G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRSLALPFSSSSSIPRRRRASFLPVAASKRHGDDGEEEVAKHEPTSLPPSYALSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGADRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDVHTLFLLPPTPGPGREKERDDGDSQTLNPTLTGEELERAMARRSKGGGGGGVAEVVWRKGAVRLVLVSAIAWALLVLLALAFHLWSSCNSYSSSLAFLCRKDSKFLNASKPLHRCPIPVADDPHSVAITKRTPNTIVKKLSYITVDKQDKDPLPLFGGRQSWKQREDSFKLNATMKVHCGFMENSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEQNTTVKFDKTGGKWVGIWRLITLHHLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERFLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKKMPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKSIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >LPERR06G23250.2 pep chromosome:Lperr_V1.4:6:20616887:20619174:-1 gene:LPERR06G23250 transcript:LPERR06G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKGGGGGGVAEVVWRKGAVRLVLVSAIAWALLVLLALAFHLWSSCNSYSSSLAFLCRKDSKFLNASKPLHRCPIPVADDPHSVAITKRTPNTIVKKLSYITVDKQDKDPLPLFGGRQSWKQREDSFKLNATMKVHCGFMENSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEQNTTVKFDKTGGKWVGIWRLITLHHLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERFLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKKMPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKSIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >LPERR06G23250.3 pep chromosome:Lperr_V1.4:6:20619355:20622037:-1 gene:LPERR06G23250 transcript:LPERR06G23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRSLALPFSSSSSIPRRRRASFLPVAASKRHGDDGEEEVAKHEPTSLPPSYALSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGADRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDDH >LPERR06G23260.1 pep chromosome:Lperr_V1.4:6:20622578:20629578:-1 gene:LPERR06G23260 transcript:LPERR06G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGTRMAGLREREVGLLPPKLFTNSVMASQDFVQSLGLHKRLRKHMGCVNTISFNGDGSLLLSGSNDRTVVLWNWQGLLPTFTFHTGHFDNVFHAQFMPFSGDRSIVTCAADGQVRHSQIQEGGCVIINVLVDTEEAVHKLAIEPGNPHIFFSCGEDGSVFRFDLREKDVAELFKCAAVDHFGGDTIDLYAITIDPRKPNCFAVAGSDEYVRIYDSRKIYANGNSSFGRPIEYFCPPNMIGANKDGITGLAFSQTSELLASYSFDNIYLFEREHGLHFNNIEVGERLLMTEIEGDCHISTAPLHFCRDKLPIPQIFKGHRNKHTLKGVNFLGPNCDYVTTGSDCAHVFIWKKKNGELMRVMKGGKQIVNCVEQHPSGIVIATSGMDKDIKIWAPGDSENPDEVETDSCCSSSSDFYDSDGYYTFSSDLDSSEEDEEDDDDDNDEDDHSICPFATPPSSPIPCGQGRRRRNPRGGGAEEEEGDAMMRREGTRIAGLREREVGCLPPKLFANSVIASQDFVRSLGVQTRLRKHRGCVNTLSFNGDGSLLLSGSDDRTAVLWNWQGETPTFTFHTGHSDNVFHAQFMPFSGDRSIVTCAADGQVRHSQIQEGGRVITDELVDMEVAVHKLAIEPGNSHTFYSCGEDGFVFHFDLREKDVAELFRCAAVNNFSGDAIELYAITIDPRNPIYFAVAGSDEYVRIYDSRKISGDGNSSFGCPIEYFCPPHMIGENEDGITGLAFSQTSELLASYSYDNIYLFSREHGLHFNNFEVGEIVLMDEAGVCHINKGKASKDKLPVPQVFKGHRNKHTIKGVNFLGPNCDYVATGSDCARVFIWRKKDGQLMRAMKGSRQIVNCVEQDPSGIVIASSGLEKDIKIWAPGESENPDKVDSLSSGSDSDDSLFFDDHLFPSDLDTSDEDEDDDDDEEEDDRSGSSDEDMSDVDIDVSGDHDSEG >LPERR06G23270.1 pep chromosome:Lperr_V1.4:6:20629748:20634994:1 gene:LPERR06G23270 transcript:LPERR06G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLMGLTRLGPTTLLIAVRVEKPQTIFDRITHAEAEREASRSQTQTLAPFSPRDAAPPPLRRGTRLAPWYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSHEREPEAVNRGNTLYVTGLSSRVTERELKDYFSKEGRVASCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYDRREPRGRYRSRGGYGRDEYYGNSYRRSPPPMYQSYRDTRDYPPYRDTRDYSPPHRDARDYYEGRGGRGYSPHRSPPYGGGRARRERSRSLQYSPYRMPERGYGRRAGGGGYDR >LPERR06G23280.1 pep chromosome:Lperr_V1.4:6:20646593:20647754:1 gene:LPERR06G23280 transcript:LPERR06G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAAAAAAAMDGSFQERHQPTTVSVLPTFTASYDQAPPPAAATGFDCLSEVYGNAAAAFVPNAGAGGDYGGDMGFLDVVEPKANMVVDGGIGVCKVEPGLADGGGGGFVPAAAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRQLQEEIEEQQQETPGVLSVFRELNPNEMLARNTPKFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEVASSFSFIMLLFFMSKPNNLGAC >LPERR06G23290.1 pep chromosome:Lperr_V1.4:6:20651142:20663072:-1 gene:LPERR06G23290 transcript:LPERR06G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHIQELRELIAASSTTTSTFAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFHTVWSLLSLLRTSDREAYRQFFLDIMVAVQDVLYVAVSIHGERPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSILCYGDESLHKVCFDFARIVATVFTVEILPVENIIRSITCILSQDVNEISDIRDTDYDFSMGACLHALHSSCPGYIVETTASEIVNVFLRAVQTSRSSELQVAMCNAYKRIVELCSPLVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSMDEGDDGSSLLARSEGFDLPKVGQKRIALDEKNSFSKRIKTTESRFFGGSYTIDDFSAGIGLKQQKDRDCDFRVQLYSLFNCLSPDNHMAYPLEPAIAIQVLSLLCLSLCVYPKTNLFSKISKQVLSWIPWICKQTTKTCLFSSDVPLYFEAVHTVILLQSFLPGHAKLFGDEPELIGNVCSDFEYPRYADLISLLKLGWDDGHLASQTYSDKLKVLAVQIITKIDSGMNVECDLEVLELAIQSETAELQNEALMSLPIIVLYSGPRMLKAMFRKLEAFGTLGLKKAWKSMAFSLGFLSCLNGTTNCTDGVGKHCKLFLAKHSEQPILTSNLLRGFWCPQCDVRTVQIEEQIPVVDIALSQDKNTDFKINMFKTHSLFFKFLYSETSEECIVSVVGVLPRILKHSTREVLLDMKCHWVQCIDFLLLHEMKAVRDAFSSIVSCFLEMSVMNILFSDGMGMSGGTSGVEFMDKIKNAFTKAEDSQILLTLLESAAAIMKASDIHGEVFFCSFVLLIGQLGNHDYIVRITALRLIHRCCTYCFKGGLELFLSKYVHFRDNLYDYLSSRLLTHPVVISEFSESILGIKTEELIRRMVPSVIPKLIVSHPNNDQAIITLHELANHLNSEVVPLIVNSLPKVLSFALFYEDGQHLSSVLQFYHAETGTDSKEIFSAALPTLLDEIICFPGESDQIKTDRRTAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSDDTKIQKQALQRIRKLVEVMGPYLSTHAPKIMVLLIFAIDKEALQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERSRECSFVHLGKIVEILEELVVKNSTLLKQQIRELPLLPSLPSLSEVNKVIQQARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSFIIGEGIADLDIISSLIIALLKGCAEESRTVAGQKLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLAGCQSLPNENNGKESSSCEMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATSAGPIYLPTMSFRRWIYYWIRKLTSHATGSRNGIFSACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITAEILSVLNAAASESSGAIVHGITGGQSEVCIQVVFTLLDNLGQWVDDLKQEIALSQSNNAMAGRHGGKMKDESYSMYEQDQLLVQCSNVAELLSAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAAYCSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMSHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGVVCRSSENNASFDMGLAKIFKAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKSFGADDHKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVISSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVTELRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIACAVGPVPPSSTGSLSTATEEKPWWDMLPVVLIQYARALHKGHKNLFQALPRLLTLWFEFGSIYIRDGSSSNKPMKEVHIRLLGIMRGCLKDLPSYQWLTVLSQLISRICHQNTEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGCRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARTINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGIARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGNFDRMKTNPHIKKIYDQLQSKMPDEMLKSKILPMFPPVFHKWFLTTFSEPAAWFRARAAYAHTTAVWSMVGHIVGLGDRHGENILIDSTTGDCVHVDFSCLFDKGLLLEKPEVVPFRLTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYVWWMAWF >LPERR06G23300.1 pep chromosome:Lperr_V1.4:6:20664027:20670593:1 gene:LPERR06G23300 transcript:LPERR06G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVCPVPAPAPAPAPVASGPRKLAQEHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWAHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHSENQPAFSVRIVQVPLEVDSSEADSQSNLTQDDNCPTPRTQAEHPEPIFGSTTTLKALVRQASSKNLLDDNHDIDGILRPMHEITFASADKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETKLLEEALEKGIHDYKSQMPSKSSCWPPELAGKQCLINSQVNHVQIPKDNTDEWEINFEALNIQEKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMQKEFDDEVFIMRLLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPYEFLTPLQAAIGVVQEGLRPVIPKGTNPKLALLLESCWQQNPVNRPDFVQILQKLDEIAEELSIDPNHRHKEKEKGGFFTFGKAH >LPERR06G23310.1 pep chromosome:Lperr_V1.4:6:20670520:20672544:-1 gene:LPERR06G23310 transcript:LPERR06G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARVSLPAVLATLRHGARTTPPRFAAARPATAAAKRMLGSVRCGGAEAAVPRSVPVRVAYELQQAGYRYLDVRTEGEFAGGHPVGAVNIPYMHKTGSGLTKNTHFLEKVLAIFGKEDEIIIGCQSGKRSLMAASELCSAGFIAVTDIAGGFSAWRENELPTNQ >LPERR06G23320.1 pep chromosome:Lperr_V1.4:6:20674002:20695855:-1 gene:LPERR06G23320 transcript:LPERR06G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSRSSVNVRLCLLMMIVAQQLTGGDAGGDQMRRQAAAVYVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPGSIPTGRFSNGYNVADFIAMKLGFRESPPPYLSLLQGPSARNLTLAVTALTKGVSFASGGAGILDTTYAGKCIPLSTQLRSMEATRAAMVAKAGTAAVAKHLAHSFFLIGIGSNDMFVFAAALAQQNRTAASPAEVAAFYASLAATYSAAITDLYAMGARNFAVINVGLVGCVPYVRVLSTPVAGACDGGLNRLAAGFDDALATLLADLAGKLPGFAYSVADSHLLGEIAFAADPAASGYTNVDAACCGVGRLNAEGDCQVGATLCPDRDKWAFWDRAHPSQRANKVAAEAYFDGPAQLTKPINFRQLTKAAAMVLKSSGRSVVRLLCLTTIIFQVQLSGGQLQERQVAAVYVLGDSTVDVGNNNYLQNAFKGNIPYYGIDHPGKPAGRYSNGYNVADFIAIKLGFKESPPPYLSLVLLQGPDDPNVQILAATALTKGVNFASGSAGILDFINFGRTLPLSTQLRLMETTKAAMVANAGAGAVAAHLAQSIFLLSIGNNDIGGYTAWLTRHNLTGAAATQPEVIAAFYADLITTYAAAITYLHGTIGARKFAVINAAQVGCVPVARVLSTPVAGACNGSINGLAAGFNDALTALLVDLAGKLPGFAYSLADSYLLGEVEFSDPIASGYTSVEAACCGFGKLNAEGDCQVGATLCPDRDKWVFWDRFHLSQKPCMVSAEAYYDGPAQFTRPINFSQLARITIASTMDMKSSSSSSSSVVHLLCLTMMLPIIFQLRLSSGQLQERQVPAMYVLGDSTLDVGNNNYVPGQDLFRANMPYYGIDHPGKPTGRYSNGYIIADFIAMKLGFRESPQPYLSLLQAGPTPNLTLAVTALTTGISFASGGAGILDLTVIIQSRALLNLGKSIPLSTQLRFMDATRAAMVSNVGAGAVAAHLARSFFLIGIGNNDMFGFAAVLASQNRTAAATPPEVAAAFYATLLTTYSAAITDLYAIGARKFAVINAGLVGCVPSARVRSTPLGACDGGLNALAAGFNDALATLLVDLAGKLPGFAYSLADSYLLGEIEFSDPIASGYTSVDAACCGVGRLNADGDCQVGAMVCPDRDKWVFWDRFHLSQKPNMISAESYYDGPAHGRSVVRLLCLTMMLPIIFQVQLSSGQQRQVAAVYVLGDSTVDVGNNNYLQNAFKGNMPYYGIDYPGSIPTGRYSNGYNVADFIAMKLGFRESPPPYLSLVLLQEPDDPNLQFLAVTALTKGVNFASGGAGILDFINKGRTLQLSTQLRFMETTKAAMVAKVGAVAVAAHLAQSIFLLSIGNNDIISGLDTWLALHNRTAATPPDVAAFYAELITTYSAAITHLHGIGARKFAVINVGLFGCAPSSRVRSNPVGSCDGGLNRLAAGFNDALATLLVNLAGKLPGFAYSLADSYLLGEIAFTDPIASGYTSVDAACCGVGKLNAEGDCQVGAMLCPDRDRWVFWDSFHLSQKPNMISAEAYYDGPAQERESTYYKRLDMVSLEKRSVMAVSLIAMICTQMVLGAVAGVEPSKIIRQVPAVYVFGDSTLDVGNNNYLPGKDVPKANKPYYGIDFPGSKPTGRFSNGYNAADFVGAGILDSTNAGGNIPLSKQVIYFNSTKAEMVSKVGPGRVRELLAKSFFLFGVGSNDMFAFARAQQKQNRSATPAEVKAFYTSLISNYSAAITELYGMGARKMGIINVGPVGCVPSVRVSNATGGCNVGLNQLAAGFDAALATLMSGLAARLPGLAYSIADSFALTQITFSNPAAAGYANADSACCGAGRLGAEGACQRGASLCVDRDRFVFWDSVHPSQQSNKLGAKAYFDGPAQFTSPISFKQLASYKSS >LPERR06G23320.2 pep chromosome:Lperr_V1.4:6:20674002:20695855:-1 gene:LPERR06G23320 transcript:LPERR06G23320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSRSSVNVRLCLLMMIVAQQLTGGDAGGDQMRRQAAAVYVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPGSIPTGRFSNGYNVADFIAMKLGFRESPPPYLSLLQGPSARNLTLAVTALTKGVSFASGGAGILDTTYAGKCIPLSTQLRSMEATRAAMVAKAGTAAVAKHLAHSFFLIGIGSNDMFVFAAALAQQNRTAASPAEVAAFYASLAATYSAAITDLYAMGARNFAVINVGLVGCVPYVRVLSTPVAGACDGGLNRLAAGFDDALATLLADLAGKLPGFAYSVADSHLLGEIAFAADPAASGYTNVDAACCGVGRLNAEGDCQVGATLCPDRDKWAFWDRAHPSQRANKVAAEAYFDGPAQLTKPINFRQLTKAAAMVLKSSGRSVVRLLCLTTIIFQVQLSGGQLQERQVAAVYVLGDSTVDVGNNNYLQNAFKGNIPYYGIDHPGKPAGRYSNGYNVADFIAIKLGFKESPPPYLSLVLLQGPDDPNVQILAATALTKGVNFASGSAGILDFINFGRTLPLSTQLRLMETTKAAMVANAGAGAVAAHLAQSIFLLSIGNNDIGGYTAWLTRHNLTGAAATQPEVIAAFYADLITTYAAAITYLHGTIGARKFAVINAAQVGCVPVARVLSTPVAGACNGSINGLAAGFNDALTALLVDLAGKLPGFAYSLADSYLLGEVEFSDPIASGYTSVEAACCGFGKLNAEGDCQVGATLCPDRDKWVFWDRFHLSQKPCMVSAEAYYDGPAQFTRPINFSQLARITIASTMDMKSSSSSSSSVVHLLCLTMMLPIIFQLRLSSGQLQERQVPAMYVLGDSTLDVGNNNYVPGQDLFRANMPYYGIDHPGKPTGRYSNGYIIADFIAMKLGFRESPQPYLSLLQAGPTPNLTLAVTALTTGISFASGGAGILDLTNLGKSIPLSTQLRFMDATRAAMVSNVGAGAVAAHLARSFFLIGIGNNDMFGFAAVLASQNRTAAATPPEVAAAFYATLLTTYSAAITDLYAIGARKFAVINAGLVGCVPSARVRSTPLGACDGGLNALAAGFNDALATLLVDLAGKLPGFAYSLADSYLLGEIEFSDPIASGYTSVDAACCGVGRLNADGDCQVGAMVCPDRDKWVFWDRFHLSQKPNMISAESYYDGPAHGRSVVRLLCLTMMLPIIFQVQLSSGQQRQVAAVYVLGDSTVDVGNNNYLQNAFKGNMPYYGIDYPGSIPTGRYSNGYNVADFIAMKLGFRESPPPYLSLVLLQEPDDPNLQFLAVTALTKGVNFASGGAGILDFINKGRTLQLSTQLRFMETTKAAMVAKVGAVAVAAHLAQSIFLLSIGNNDIISGLDTWLALHNRTAATPPDVAAFYAELITTYSAAITHLHGIGARKFAVINVGLFGCAPSSRVRSNPVGSCDGGLNRLAAGFNDALATLLVNLAGKLPGFAYSLADSYLLGEIAFTDPIASGYTSVDAACCGVGKLNAEGDCQVGAMLCPDRDRWVFWDSFHLSQKPNMISAEAYYDGPAQERESTYYKRLDMVSLEKRSVMAVSLIAMICTQMVLGAVAGVEPSKIIRQVPAVYVFGDSTLDVGNNNYLPGKDVPKANKPYYGIDFPGSKPTGRFSNGYNAADFVGAGILDSTNAGGNIPLSKQVIYFNSTKAEMVSKVGPGRVRELLAKSFFLFGVGSNDMFAFARAQQKQNRSATPAEVKAFYTSLISNYSAAITELYGMGARKMGIINVGPVGCVPSVRVSNATGGCNVGLNQLAAGFDAALATLMSGLAARLPGLAYSIADSFALTQITFSNPAAAGYANADSACCGAGRLGAEGACQRGASLCVDRDRFVFWDSVHPSQQSNKLGAKAYFDGPAQFTSPISFKQLASYKSS >LPERR06G23320.3 pep chromosome:Lperr_V1.4:6:20678103:20695855:-1 gene:LPERR06G23320 transcript:LPERR06G23320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSRSSVNVRLCLLMMIVAQQLTGGDAGGDQMRRQAAAVYVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPGSIPTGRFSNGYNVADFIAMKLGFRESPPPYLSLLQGPSARNLTLAVTALTKGVSFASGGAGILDTTYAGKCIPLSTQLRSMEATRAAMVAKAGTAAVAKHLAHSFFLIGIGSNDMFVFAAALAQQNRTAASPAEVAAFYASLAATYSAAITDLYAMGARNFAVINVGLVGCVPYVRVLSTPVAGACDGGLNRLAAGFDDALATLLADLAGKLPGFAYSVADSHLLGEIAFAADPAASGYTNVDAACCGVGRLNAEGDCQVGATLCPDRDKWAFWDRAHPSQRANKVAAEAYFDGPAQLTKPINFRQLTKAAAMVLKSSGRSVVRLLCLTTIIFQVQLSGGQLQERQVAAVYVLGDSTVDVGNNNYLQNAFKGNIPYYGIDHPGKPAGRYSNGYNVADFIAIKLGFKESPPPYLSLVLLQGPDDPNVQILAATALTKGVNFASGSAGILDFINFGRTLPLSTQLRLMETTKAAMVANAGAGAVAAHLAQSIFLLSIGNNDIGGYTAWLTRHNLTGAAATQPEVIAAFYADLITTYAAAITYLHGTIGARKFAVINAAQVGCVPVARVLSTPVAGACNGSINGLAAGFNDALTALLVDLAGKLPGFAYSLADSYLLGEVEFSDPIASGYTSVEAACCGFGKLNAEGDCQVGATLCPDRDKWVFWDRFHLSQKPCMVSAEAYYDGPAQFTRPINFSQLARITIASTMDMKSSSSSSSSVVHLLCLTMMLPIIFQLRLSSGQLQERQVPAMYVLGDSTLDVGNNNYVPGQDLFRANMPYYGIDHPGKPTGRYSNGYIIADFIAMKLGFRESPQPYLSLLQAGPTPNLTLAVTALTTGISFASGGAGILDLTVIIQSRALLNLGKSIPLSTQLRFMDATRAAMVSNVGAGAVAAHLARSFFLIGIGNNDMFGFAAVLASQNRTAAATPPEVAAAFYATLLTTYSAAITDLYAIGARKFAVINAGLVGCVPSARVRSTPLGACDGGLNALAAGFNDALATLLVDLAGKLPGFAYSLADSYLLGEIEFSDPIASGYTSVDAACCGVGRLNADGDCQVGAMVCPDRDKWVFWDRFHLSQKPNMISAESYYDGPAHGRSVVRLLCLTMMLPIIFQVQLSSGQQRQVAAVYVLGDSTVDVGNNNYLQNAFKGNMPYYGIDYPGSIPTGRYSNGYNVADFIAMKLGFRESPPPYLSLVLLQEPDDPNLQFLAVTALTKGVNFASGGAGILDFINKGRTLQLSTQLRFMETTKAAMVAKVGAVAVAAHLAQSIFLLSIGNNDIISGLDTWLALHNRTAATPPDVAAFYAELITTYSAAITHLHGIGARKFAVINVGLFGCAPSSRVRSNPVGSCDGGLNRLAAGFNDALATLLVNLAGKLPGFAYSLADSYLLGEIAFTDPIASGYTSVDAACCGVGKLNAEGDCQVGAMLCPDRDRWVFWDSFHLSQKPNMISAEAYYDGPAQFTRPINFTAP >LPERR06G23320.4 pep chromosome:Lperr_V1.4:6:20674002:20678037:-1 gene:LPERR06G23320 transcript:LPERR06G23320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYIWHMVLGAVAGVEPSKIIRQVPAVYVFGDSTLDVGNNNYLPGKDVPKANKPYYGIDFPGSKPTGRFSNGYNAADFVGAGILDSTNAGGNIPLSKQVIYFNSTKAEMVSKVGPGRVRELLAKSFFLFGVGSNDMFAFARAQQKQNRSATPAEVKAFYTSLISNYSAAITELYGMGARKMGIINVGPVGCVPSVRVSNATGGCNVGLNQLAAGFDAALATLMSGLAARLPGLAYSIADSFALTQITFSNPAAAGYANADSACCGAGRLGAEGACQRGASLCVDRDRFVFWDSVHPSQQSNKLGAKAYFDGPAQFTSPISFKQLASYKSS >LPERR06G23330.1 pep chromosome:Lperr_V1.4:6:20701838:20702023:1 gene:LPERR06G23330 transcript:LPERR06G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDVHFWHRATAVVSLLLLHFVVVVHSSCDWCPQRHSTVSLLLPSTTHQHLTGKSAYY >LPERR06G23340.1 pep chromosome:Lperr_V1.4:6:20702082:20702953:1 gene:LPERR06G23340 transcript:LPERR06G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVAAVTADLFRDGHACGACYQLRCRDRRLCGEDGVKVVVTDLIKQSDIQDTNRTAAAASLQFRVTKDAFAAMARQGVSADELTSNQHTAVEIDFRRTPCEYKKSLAVKVEETSKNPTHLAFRLLYQGGQTDIAAVEIAPAKSATAWRYMTRRRRRVWSTPRAPAGALRVRVVVTGGSGGKWVMSDGEVIPAEWKPGEVYDTGMRVTDIAARSCEVSCGGVEDSDGDEEEELR >LPERR06G23350.1 pep chromosome:Lperr_V1.4:6:20710121:20720244:1 gene:LPERR06G23350 transcript:LPERR06G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSKSTPAPASSAAYHARSISLPCRSHPIIGDLHTHIRAVRSWAQEPNTVAAGLAHIDALHAAIGDLLDLPESQAALSAAVAANDRLLDAFLRLADAHGSFQEAVVELKQDVAEALAAIRRRDGARLSSAVRSQRKAGKELARLAAAAACVRPSSSAAMSRLGLGGSAAEVEVTGLLMESAAVTAAASATLFNTVAAMSASASAAACSCRKTAALVCLIKKTSATSEEEKEMMALMERLEELEECIDELDNGSDKVSTRAISNKSKNKKQQLATNKQEERREEEMAPSFGRSISFPLSPASSKPRSSAACHVRSISLPCRSHPLLSHLQSHIAAVRSWLIHHNASSSSVAAGLAHIHALHAALADLLLLPDPQDTLRRATSAADRLLDAFLLLADAHRTFHESLLDLRQDVAEARAALRRADAARLAAAVRSQKKAEKELARLASSVNAGAGRFSPSSSRLGLGGATAEETEMAAALIDAAAASAAASSAVFAAAANMSSAAASSACKKTPAFAAFAKKASPETAEVALDRFEELEQCIDESESSCHKVFRSILHTRVALLNIQTPTF >LPERR06G23360.1 pep chromosome:Lperr_V1.4:6:20723888:20724736:1 gene:LPERR06G23360 transcript:LPERR06G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTIVPASPGRRKPFTGGGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPADNPLSLAHVRALLCLLDELLHLPAAILLAAGDASSSTTTETLLHGFLVLADAFGTFLSSLLALRSHAADLHAAVRRRDIPKIASAARAHRHLAKDIAHLAAAVSRDAAACRCATTTAEIRTVAEAINDTAVASASVFMEVASLVDAAAAAASPAPATTKKRLVPAPLRSKKVQLLASSGDEMREAVALQKLKELEQCIEEVESESEKVFRSLVQARVSLLNIHTPTF >LPERR06G23370.1 pep chromosome:Lperr_V1.4:6:20725624:20729149:-1 gene:LPERR06G23370 transcript:LPERR06G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSISSASHLLITASLPKPKPNSTSLRPPRLPNPKPLPALLLALAASPALADAPPPAPAPIPAPTTTQEVQVLESPSPAANPFSNALLTAPKPTNSAAADLPEGAQWRYSEFLNAVKKGKVERVRFSKDGGLLQLTAIDGRRATVIVPNDPDLIDILATNGVDISVAEGDGAGGPGGFLAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYARATQIINTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >LPERR06G23380.1 pep chromosome:Lperr_V1.4:6:20731001:20731948:-1 gene:LPERR06G23380 transcript:LPERR06G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALLVAMVAAAVAVRGEQCGRQAGGVVCPNCMCCSQYGWCGTTSDYCGGGCQSQCSGNCGGNPTGGNGVASIVSRSLFDKMLLHRNDAACAAKNFYTYDAFVAAANSFPSFATTGDADTRKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAGAYCQQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGKAIGAGILSNPDLVATDATVSFKTAFWFWMTPQSPKPSCHAVITGQWTPSSGDQAAGRVPGFGVITNIINGGVECNGRANDKVASRIGFYKRYCDMLGVSYGNNLDCYNQRPFNS >LPERR06G23390.1 pep chromosome:Lperr_V1.4:6:20735488:20736524:1 gene:LPERR06G23390 transcript:LPERR06G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAMAVSSHAQQCGRQAGGVKCSNCMCCSKFGGAAPPRPTAATAARASAERVNINGGDLPSIHSQEEPKN >LPERR06G23400.1 pep chromosome:Lperr_V1.4:6:20741143:20742117:1 gene:LPERR06G23400 transcript:LPERR06G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVAVVAILATAFFAVAVHGEQCGRQAGGALCPNCLCCSQFGWCGSTSDYCGAGCQSQCSATCGGATPNPPSTGGGNGVASIVSRSLFDQMLLHRNEAACAAKNFYTYDAFLAVADSTPGFATTGDADTRKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAGEYCTQSSDWPCAAGKKYYGRGPIQLTHNYNYGPAGKAINSDLLNNPDLVASDVAVSFKTAFWFWMTPQGLKPSCHAVITGQWTPNSGDQAAGRKPGYGVITNIINGGKECGGRANDKVASRIGFYKRYCDLLGVSTGDNLDCYNQTPYPS >LPERR06G23410.1 pep chromosome:Lperr_V1.4:6:20744598:20745546:1 gene:LPERR06G23410 transcript:LPERR06G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAMAAVAVHGQQCGSQAGGAVCPNCLCCSKFGWCGSTSDYCGAGCQSQFSSCGSCGGDVTSIVSRSLFDKMLLHRNDAACLAKNFYTYDAFVAAANSFPSFAATGDADTLKREVAAFLAQTRKDGKTGPDYCTQSSQWPCAAGKKYYGRGPIQITHNYNYGPAGKAIGADLLSNPDLVATDATVSFKTAFWFWMTPQSPKPSCHAVITGQWTPSSGDQAAGRVPGFGVITMECNGRDPAKVADRIGFYKRYCDILGVSYGANLDCYNQRPYAVTGRLVNPTSTTRATQ >LPERR06G23420.1 pep chromosome:Lperr_V1.4:6:20748506:20749318:-1 gene:LPERR06G23420 transcript:LPERR06G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPGFRFHPTEEELLEFYLKQVVHGKKLKFDIIPTVHLYRHDPRELPSLARIGEREWYFFVPRDGAGARKNGSSAGGRPSRTTEHGFWKATGSDRAVRCAADPKKLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDAAGEDHRPHQEDVVLCKVYRKAVSLKELEQRVAMEELARCTSASQNAGSPVDSSSICSSAEYGVMMKKEAVVQVDEAAAHELVRPAMMRLPELEVAKISGLEWMQEPFLTQLRSPWMESWSPYYASSVLNF >LPERR06G23430.1 pep chromosome:Lperr_V1.4:6:20748884:20749594:1 gene:LPERR06G23430 transcript:LPERR06G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGGVGEAILVHDPVGLGATWRAPLVVDERLLEPDQLLRVGGATDGSVRPGRLPEPVLRRAARPAAGGGSVLPCAGAVAGDEEVPLALADAGEGGELARVMAVEMDGGDDVEFELLAVDHLLQIDRGRDRLMGWEVIDRRLGIYRPAGVEGDRSLTKRASISLSVASGLHSICRNS >LPERR06G23440.1 pep chromosome:Lperr_V1.4:6:20752621:20758851:-1 gene:LPERR06G23440 transcript:LPERR06G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSAAAPAPLLPRSPADRAGPGIAGAGSNVRLSVVSAARRPGPGKVKTKFSVPATLQQNKTMATVEEDHLPIYDVDPKLEEFKDHFNYRMKRYLDQKCLIEKHEGGLEKFSQGYLKFGINTVGDATVYREWAPAALEAQLIGEFNNWNGAKHNMEKDKFGIWSIKISHVDGKPAIPHNSKVKFRFRHAGGAWVDRIPAWIRYATFDSTKFGAPYDGVHWDPPSCERYVFKHPRPPKPDAPRIYEAHVGMSGEKPQVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLQVLMDVVHSHASNNITDGLNGYDVGQDTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINMAFTGNYKEYFSLDTDVDAVVYMMLANHLMHKLLPEATVIAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMCEIVQTLTNRRYTEKCIAYAESHDQLIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNDWSYDKCRRQWSLVDTDHLRYKYMNAFDQAINALDEKFSFLSSSKQIVSDMNEEEKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHHVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDENYDELKRREAAPSGNIAVEYIDVDATEVKRHTAKESDDSGKKGMKSQSRLAFSLKSTHSDSGV >LPERR06G23450.1 pep chromosome:Lperr_V1.4:6:20760334:20763454:-1 gene:LPERR06G23450 transcript:LPERR06G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQIGDLCVATATKLPAWRGPNPPPHRSISSPPDRSPVNTSVHLVEEQKANNLLVAACSKRTPASVGACELWNYAPPIWASIIAGIFVVVSLSLSLFLLFHHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLRKEGASGSDVPLLDHETGQRYVNHPFPMNYILKPWPLGEWFYLVIKFGLVQYVIIKTMCAILAVILESFGVYCEGEFKWNCGYSYTAVALNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGIVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIVHLYVFPAKPYELMGDRFIGGVAVLGDYASVDCPLDPDEVRDSERPTKVRLPQPTDRVRRSTAIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHKISQNIKKHEKERKKTNDDSCINSQQSLNRVISGIDDPLLNGSLSDNSGQKKVRKHRRKSGYASAESVGESNDQGFGGYEIRGHRWITRE >LPERR06G23460.1 pep chromosome:Lperr_V1.4:6:20767598:20770029:-1 gene:LPERR06G23460 transcript:LPERR06G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRSENYNQGVSMGGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVNKRGLLDKPAAVDPKSQGGFAGHRPITRKFAATLANQPSRAPLAPIGSERQKRTADSAFHGPADMECTKIISDDLPLPMMSEMDQVMSSELKEIEMEDAEDAAPDIDSCDANNSLSVVEYVDEIYRFYRRSEGLSCVSPNYMLSQTDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRSDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAESDKKLELLSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSMAL >LPERR06G23470.1 pep chromosome:Lperr_V1.4:6:20775076:20777100:1 gene:LPERR06G23470 transcript:LPERR06G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATTTASMSAEAEPSGRQLSDGDLLDDLLSTANSARAFKEFRQAHRKDCLNLLRQLQLLLPLVHDIRDSPSTSPPLSDDAYRRLALLGRAFHAARRLLRCCHDGSKIFLTLESDAVTARFRAVYERINAALDGMPYAELGVSDEVKEQVELINAQLKRSKKRADTQDMELAMDLMVILQSSTNGDGNNADRPILERLAKRLQLQSLADLRAETMAIKKLINDGEISGGSTKQMVELLQRLKAIAGVDEKNILGEVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLIMQWCEKNKLEIQPTSSEPPPPEPPEDPKLVIPSLVKDLSSPHLAVQRNAAKKIRTLSKENPENRLLVTGNGGIPALIGLLPYPDKKIQENTVTSLLNLSIDEGNKLLIGRGGAIPLIIEVLKNGSVEGQENSAAALFSLSMVEENKVAIGALGGIPPLVNLLQNGTIRGKKDASTAIFNLMLNNPNKFRAIEAGIVPTLLKLVDDKKAAMVDEALSIFLLLASHPACRAEVGTESFVEMLTTIVRDGSPKNKECALSVLLELGLSNNALMAHALEFDDGLHGHLEEIAKSGTSRAQRKANSLIQLARKCS >LPERR06G23480.1 pep chromosome:Lperr_V1.4:6:20777846:20780813:1 gene:LPERR06G23480 transcript:LPERR06G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKHEAQLIIAHRPNSPTQLPSQISSLSLPSSLRLAAAAARPLLRHRTRAHQLRRRCFSTAASASCYLLIAPSPSRGSAPSSLDTFEYSLGAFVISAHGVTSMAAVAAPMRAEVLSLFRSLLRTARQFSDYNIREYTRRRAADAFRENHNLGDAPAITAAFAEGKKQLEVAKRQAMVYSLYAPKAKSIMDMKLQ >LPERR06G23490.1 pep chromosome:Lperr_V1.4:6:20786633:20787253:-1 gene:LPERR06G23490 transcript:LPERR06G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTAHVFVQAAHQLAGAGGGDEPPRHPWHRHLKSAHETDAVASWRERLAAALADAAGEDLDKWIPCPVCGRKFSTEKAVHGHMRCHPGRSYRGMAPPRQPSAGDLGADGKYYRYVCDRCRAPFATRQALGGHRASHSGKKGCFWYAKQEEEAARPAPVVVRDFDLNELAPEAIQAAQEEAQAEAAAVAANAAADHHVQEKAKEN >LPERR06G23500.1 pep chromosome:Lperr_V1.4:6:20790863:20797473:1 gene:LPERR06G23500 transcript:LPERR06G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGINSTHWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNMQENWRVVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLIDKDGRHHLVKFHWKPTCGVKCLLDDEAVTVGGSCHSHATKDLTDSIAAGNYPEWKLYIQTIDPSHEDRLDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCTYHNNHHDGAMNFMHRDEEVNYFPSRFDSARHAEKVPIPSRVLKGCRDKCVIDKENNFKQAGERYRSFDPARQDRFIQRVVDALSDPRVTHELRGIWISYWSQCDASLGQKLASRLNVKPNM >LPERR06G23510.1 pep chromosome:Lperr_V1.4:6:20795602:20798475:-1 gene:LPERR06G23510 transcript:LPERR06G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVSASPSPAPSYPRNISPLRRRSGLLVLLLVVLFLSFQLVIHVPSLRSAVSLWLFSDHHSQRKGSRSCPGCPNGMDNADKTVAYTDQDGRIKLFKVTTREFLSSSIWKNPLLPKDTQQVPETQEIAEEQLQVTGSEVSNVSTTGTLETGRIDPIKLKREAFRRKRKEHRIQELLQMDKEAELHMRSVATNRSRNFSNKVRASYNIWRLEFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYALLMKCIKQSQEAIGDAHMDYELDTSALERAKAMGHALSSARDVLYNSGEVSRRLRVMLQSTELNIDGVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGMIKEYFRNDALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSAVSHAKEPEKHVFHIVTDKLNFAAMMMWFISHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQHCYMSEQ >LPERR06G23520.1 pep chromosome:Lperr_V1.4:6:20800369:20803497:-1 gene:LPERR06G23520 transcript:LPERR06G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSAIDSFIRRGNRDEDDAIPGMSASKRTTSSTTTGKLSTLSNSTFIPSTVSGVSTDDAYPDGQILESPNLRIFSFAELKSATRNFRADTVLGEGGFGKVYKGWVDEKTMNPSRSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDDDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNPKLSDFGLAKHGPDGGLSHVTTRIMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDTSRPREKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGEPKSRPSMKEVVEALEKIEAIKSKSREPRNSSSSMRGQGNSPRSDSARTNSRGR >LPERR06G23530.1 pep chromosome:Lperr_V1.4:6:20809572:20812746:1 gene:LPERR06G23530 transcript:LPERR06G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKASSILTALSTALFGFFIGISFPVEITPKLGYCAFLPCGGTNSSLSSSDNNNNNNILKFWAPLVRNSTSTAPSNNATVSGNGTSSADVEAAMVKKPRGEERLPPGIVARESDLHMHRLWGNPRGDVASGKQYLLTLTVGYSEKANVNATIHKFSDKFDIVLFHYDGHTTEWEEFEWSKKVVHVSARKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFVAEEYVSIVRKNGVDISQPGLDNSRGKRARYASTARNPAAGAGEMHKNTRFVEMMAPVFSRDAWTCVWHMAQNDLVHGWGLDHNFWRCVDNPEEQMGVVDAQFVVHRGVPTLTTQGNGEQDGSSAKVRSRQFDEMGIFKRRIADADKAQANTISVAADHHR >LPERR06G23540.1 pep chromosome:Lperr_V1.4:6:20815607:20819536:1 gene:LPERR06G23540 transcript:LPERR06G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIPILTALSAAVFGFFIGISFPVQITPQYQCGILPCSSGDGGNYSSNGTSMISVLWAPFRNTTILSNDTSENHAVTKPKGAEKLPPGLVVTESDLHMRRLWGSPKDDAPTGKYLLALAVGYSEKANVNATVQKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSARKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYLKVVRKNGLEISQPGLDSTTGKTAYEVTIRGGDGSGLFQRSLDMRLNDLVHGWGLDFNFWRCVDNPEEQIGVVDAQYVSHHGVPTLIAQGNGEQKQEGSSDKVRDRQWVEMYIFRDRISNAEKGRGNYNHTLEEYHP >LPERR06G23540.2 pep chromosome:Lperr_V1.4:6:20815876:20819533:1 gene:LPERR06G23540 transcript:LPERR06G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIPILTALSAAVFGFFIGISFPVQITPQYQCGILPCSSGDGGNYSSNGTSMISVLWAPFRNTTILSNDTSENHAVTKPKGAEKLPPGLVVTESDLHMRRLWGSPKDDAPTGKYLLALAVGYSEKANVNATVQKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSARKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYLKVVRKNGLEISQPGLDSTTGKTAYEVTIRGGDGSGLFQRSLDMRLNDLVHGWGLDFNFWRCVDNPEEQIGVVDAQYVSHHGVPTLIAQGNGEQKQEGSSDKVRDRQWVEMYIFRDRISNAEKGRGNYNHTLEEYHP >LPERR06G23550.1 pep chromosome:Lperr_V1.4:6:20821515:20823170:1 gene:LPERR06G23550 transcript:LPERR06G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRRQARPCRVAIAVVILAATLVFVFLTGVVPNAFTITATPPPRPLLVAPLRRLRESALAVTSAATDATVLLPDWEVLVLLHPNATAIAGSNATCAFRRGASSSPARAIGRLPSGRLAYTCVMPESERRHHPFHAPRIVATAAAHGSPPHEEEDEVMMEKWSGRLVYESAVVNGGEVLVFAKGVNPRQGVNRPASHLRCLYYRRRGIAASLPAATSAQQVFRCPPPPPTSADDVLRVTLAIAGEDEPIPSVATYNPPPPRGITSSPATSKYKICACTMVRNVGKFLREWVEYHAAVGVDRFILYDNGSDDDLPRHVRHLTADGYHVTTLPWPWPKTQEAGFSHGAAVHRDSCEWMAFIDVDEFIFSPHWADSPPSKSMLQSIVAVKPNIGQVSLRCMDFGPSGRTAHPGEGVTQGYTCRRRSPERHKSLLRLDAADPSLLNCVHHFELRPEFAGEMVRRGARVNHYKYQAWPEFRVKFRRRVSTYVADWTDRVNLQSKDRTPGLGFEPVEPAGWAAKFCQVNDTLLRDVTRRWFGSPPSAISSNLVDHD >LPERR06G23560.1 pep chromosome:Lperr_V1.4:6:20826123:20829233:1 gene:LPERR06G23560 transcript:LPERR06G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIISRCIITALASPDLHPSIVRNRSATELRRKCDREGCASLSAEQKEARNNKIRENKKRKKENAQDLNQVLQANLQAFYFDLVLWKIVLRAPNQNCRQEQALLRILLITYEDDANLSAHELRRKRARDRYASLSAEQKEARVKKARENRLRKKEESQRMHQYGATNITGDGDIQDIITPQSLAFDDTVN >LPERR06G23560.2 pep chromosome:Lperr_V1.4:6:20826123:20829233:1 gene:LPERR06G23560 transcript:LPERR06G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIISRCIITALASPDLHPSIVRNRRRKCDREGCASLSAEQKEARNNKIRENKKRKKENAQDLNQVLQANLQAFYFDLVLWKIVLRAPNQNCRQEQALLRILLITYEDDANLSAHELRRKRARDRYASLSAEQKEARVKKARENRLRKKEESQRMHQYGATNITGDGDIQDIITPQSLAFDDTVN >LPERR06G23570.1 pep chromosome:Lperr_V1.4:6:20831083:20833409:1 gene:LPERR06G23570 transcript:LPERR06G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEDEDEEESDKSKSEVNDEDDDEGSEEDEDDDE >LPERR06G23580.1 pep chromosome:Lperr_V1.4:6:20837301:20840798:1 gene:LPERR06G23580 transcript:LPERR06G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLHSNTAQPRKQRKSSRKVHGNGLEAPRNSLDLPPYDHTISTTRQKPPKGSTPEEKTTAKNVIHKDFPSQPNVIARLMGIDTIPLPAKNDAVMIHEEETSSNLKPPSKLEINVASPRSATFRQSKCSLISYRSSSSSSGDYTYRHCLKKMRPRRGRSRQHHHHHPQEELLDKIREDFQAWQTSKALENARTVVSESGRTNTSRNSIEEGRYIQMLAQENLHKEKMAKYGYGSCRISMEDKDTLKNDTDKNSDIQRATKTVVDSKSGDNKVIKVLRVRDFEDETNSSTSSKSCSQKRIVILRPSPDIGASDQESLFSSSKVKRDGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTSTDPKQIARDIAKQIRETVSMHDLGKRLYSRSESFRAFRSDRKRNAAARNASPEHIRLKSVISRTETKQQGSNDSSPPIIRSRGRIRSLTDLPLSVSGSGFDDQSCIQECEVADVAQVDADVVSPRVLVRSLSAPASGISRVGRRMFVEDDNVGSGRHGNSDAVSEGAAVAASKINSSSSFSLRGTVSNLRHSFSTRANKLFGKKTHWSKKPSLGEFHPNKMATAMTPPETFSPRTITQENFTELPPSPVSPLEVKGNSSSRHFFSDLNCTLPELSPKSWSEFDTPRLSNGSSCKNMTNTTETESYPDKAYIKQILIAAGLYDDDVSSSPSMNKANARVDSMARRPICDEVFHEVEEKYTEEEGAMDRRLLFDLANEALEILFTSVKPGSSLRRWIVDSTGVSPPVNDVWQQVQSLRNPLVQEMQTVESMVAREAQKSAWIQVLHEDAYVLGRKLERAIFDELVSDIVQELFI >LPERR06G23590.1 pep chromosome:Lperr_V1.4:6:20847012:20847480:1 gene:LPERR06G23590 transcript:LPERR06G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLQQSSCCWVFGILYLGLVQREREQLRVLTTSHHQRNAGLLLLPHKSLPIPRRSLYPIRDSPPPKPDAMIQFAANPLVI >LPERR06G23600.1 pep chromosome:Lperr_V1.4:6:20847507:20848646:1 gene:LPERR06G23600 transcript:LPERR06G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGLRMAATPCGDAEPPPPAMLLTGDLAVRLRQMMLSANSSSSSPEEIVESARSAASVAAEAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPGSSRNGLQLKVKSRKKHVQVKLLYKPNGNGRLPLEGRDGATGDASSRPRRRRESDEEIARKLHRAMNSSPRISFTGPKRPRTIVGENGGVVDACNGSSAHPSPIEASAVTNGCALGQSSEIPVPFSKHEGLDDDKDSYDQAANSRGAIDGNGLGAGNLSAGRKVKIKRKELLLNKHNSKDTEESKEIEPSIDSIRYDKSKISGAEKRSSFVEVKDTPGDGLAPMKISSVWKFKKFKTSHCSSDSKVLHNVCPSTSAAETSASVKAD >LPERR06G23610.1 pep chromosome:Lperr_V1.4:6:20853657:20862070:1 gene:LPERR06G23610 transcript:LPERR06G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFEAYFSAADLDRDGRISGQEAVSFFRASALPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPVPNASSPLQPTQAPHPAQQSPAIRASQAPPGVPLNPLVLQPGNVIRPPQASIANTPAQAIAPRAPAGGVMNHTGPAMTGLSTDWFSGKKSASPLGVTPQTPTRGVSPQVNLATAGIPTQASTPVAGYGSQAAASTTSAKANSADLNLLSSSPAANDSKAVVPLGNGLSSASTFGVDPFALTPQAKQDSSSPPVVSNSLPSANALGPSAGPHHPPKPMQTGPMQGVASLPSQPAPKQNQLNTTPGAPAQMGASFHGQIPSNTNQSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCTAVYLMERFREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGRAMPGQHHGMPPSSMKPPPRRPLSMDDDDAVRTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKEASEADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRINEVSERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQTDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAGLWDEEWDKFGDDGFSIIKELTVEVEPRVVQENQPTVEDSKVSTNGPSAATSTEKEDSRGDKSVTVSEQTAEPDATPSNSKASAAKSPPVSPVKNTKDDHTDDRDKKQSGTNDTSSHAAESVSNHGATYSPVHGEKTYDSHSWGPSFDHGDDNDSLWNFNHKDGENGDSDLFFGPQGLPPIRTGGSSMSGSVYGKEQKPSFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGGNDTFGRFDSFRSNADQGGGNSFMSRGYSFDEDDPFGTGPFKSSDTSSPTKHGTDRWSAF >LPERR06G23620.1 pep chromosome:Lperr_V1.4:6:20868567:20871133:-1 gene:LPERR06G23620 transcript:LPERR06G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMARLEEKDVLDSSDLATNRGVSLDVVKSPLINDATALQHKEGMEECPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGANNVIEIPPPRPKRKPLHPYPRKCANSGTDANPATGQTKLAPVSSSSGSDQENGSPVSVLSAMQSDAFGSSISNPSTRCTSPTSSDDGNNILTFTSGEENAPCEQIGIDQSHKEVDQDGKDTDMSEEDSSEEVQETSLKLFGKTVVVPDPRKRGSSDPKHENEEQISHPSKEEMISAYSVEEVPAAHSAPNGWLLSYNSFPFHFGESMDARIAAYQMWWAYYGFAHISHPRGVSTVVQQTEGSDESDSGKSTSAESSSDSGDNVQTAAPQNYSVVESLGAIHVRQSSTSFELKPSANSAFVRVKPSNSRDEEVTKGFVPYKRCKIE >LPERR06G23630.1 pep chromosome:Lperr_V1.4:6:20876924:20890329:-1 gene:LPERR06G23630 transcript:LPERR06G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFSSAASPSPSPAGASAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLQAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTTGKDLLDWLQAMFGFQTDNVSNQREHLILLLANVHIRQSSKADQQQTKLDDKALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNESVDCFRLGWPMRADADFFKTPEDAYPSRFRLNGVNRSAGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWESPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPIRDFQWHEFFPRANNNVGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPAAFNEHLIPSDSHKSKGLRAAFTGKPPKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDQHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDLGGLLDSVHGGNYRKHEGMTSLDQQDQLFTKAIKFPVEESNAWMEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTEYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKRFMHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAIPYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTVLEILLALRFFIYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQTIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >LPERR06G23630.2 pep chromosome:Lperr_V1.4:6:20876924:20890329:-1 gene:LPERR06G23630 transcript:LPERR06G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFSSAASPSPSPAGASAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLQAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTTGKDLLDWLQAMFGFQTDNVSNQREHLILLLANVHIRQSSKADQQQTKLDDKALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNESVDCFRLGWPMRADADFFKTPEDAYPSRFRLNGVNRSAGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWESPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMREPIRDFQWHEFFPRANNNVGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPAAFNEHLIPSDSHKSKGLRAAFTGKPPKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDQHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDLGGLLDSVHGGNYRKHEGMTSLDQQDQLFTKAIKFPVEESNAWMEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTEYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKRFMHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAIPYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTVLEILLALRFFIYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQTIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >LPERR06G23640.1 pep chromosome:Lperr_V1.4:6:20892913:20895557:-1 gene:LPERR06G23640 transcript:LPERR06G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLRAASPGLSDAVVRDAAAAGHHVSLHCSYLPNNSNKRKSKRWILCSLKYACLGVDPGPGEIARTSPVYSSLTVSPAGEAIITSEQKVYDVVLKQAALLKRQLRPQPQVIPVTSKELELPRNGLKQAYDRCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLTDEDIFNGVVTNKWRKFMKGQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKTKKLLALPVAYGKSLLMPCSLRNTQN >LPERR06G23650.1 pep chromosome:Lperr_V1.4:6:20895575:20896376:-1 gene:LPERR06G23650 transcript:LPERR06G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRVFGATLDKPSKTFPSVTSECTRPCDLAITLSSSCLFSLSPFLLHLAALSLAEERELSELR >LPERR06G23660.1 pep chromosome:Lperr_V1.4:6:20901950:20910097:1 gene:LPERR06G23660 transcript:LPERR06G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGDDSEPRGGGRGGGGAIGRGGRGRGNSYPQQYTQGGRGGGAGYYPYQRHGAAPQPRGAMAVQQWRPTTAGAAAAEHLGHQQPNNSSVRPHNYHGPSAIAPELRQAMDAPHEPAHTSSSPEAGSPPEASSPRSLALEVTEQLQDLSVQDQLSVSQEIGEGVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPELTSRILSRAVMEELVKLHKASYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSSLERRQKTYKVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQTTRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRTLLEETCQRPHDRERDIVQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPPMYAHPDQVERALKARFHDAMNILGPQRKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYNVTHDPHRGPVCGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMVSGRGGQSGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >LPERR06G23660.2 pep chromosome:Lperr_V1.4:6:20901950:20910559:1 gene:LPERR06G23660 transcript:LPERR06G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGDDSEPRGGGRGGGGAIGRGGRGRGNSYPQQYTQGGRGGGAGYYPYQRHGAAPQPRGAMAVQQWRPTTAGAAAAEHLGHQQPNNSSVRPHNYHGPSAIAPELRQAMDAPHEPAHTSSSPEAGSPPEASSPRSLALEVTEQLQDLSVQDQLSVSQEIGEGVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPELTSRILSRAVMEELVKLHKASYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSSLERRQKTYKVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQTTRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRTLLEETCQRPHDRERDIVQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPPMYAHPDQVERALKARFHDAMNILGPQRKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYNVTHDPHRGPVCGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMVSGRGGQSGSSTSRSTRAAGGGAVRPLPALKDSVKNITLTIASHPSPCPWAWEIGLKLVFSWWRCLLQSKA >LPERR06G23660.3 pep chromosome:Lperr_V1.4:6:20901950:20910364:1 gene:LPERR06G23660 transcript:LPERR06G23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGDDSEPRGGGRGGGGAIGRGGRGRGNSYPQQYTQGGRGGGAGYYPYQRHGAAPQPRGAMAVQQWRPTTAGAAAAEHLGHQQPNNSSVRPHNYHGPSAIAPELRQAMDAPHEPAHTSSSPEAGSPPEASSPRSLALEVTEQLQDLSVQDQLSVSQEIGEGVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPELTSRILSRAVMEELVKLHKASYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSSLERRQKTYKVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQTTRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRTLLEETCQRPHDRERDIVQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPPMYAHPDQVERALKARFHDAMNILGPQRKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYNVTHDPHRGPVCGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMVSGRGGQSGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >LPERR06G23670.1 pep chromosome:Lperr_V1.4:6:20912296:20913868:1 gene:LPERR06G23670 transcript:LPERR06G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNSKTNNGVHCLCLLFLLSMLFLLNAHAVASSHPQLLEVQQVKRTRSLLQAPKIDCGGTCLVRCQNNWMKEMCNKMCNVCCNRCNCVPPGTGQDTRHLCPCYDTMVNPHTNKLKCP >LPERR06G23680.1 pep chromosome:Lperr_V1.4:6:20912521:20922824:-1 gene:LPERR06G23680 transcript:LPERR06G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLHLHLNLLLSSSRRRRGLLLTRASAASNNNNDCTITTRRRFIADTAAAAAVAPLLSPLGTRAEQLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTLLETKDGGNTWKPRSIASAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEKSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFDEASVQSRGFGLLDVGYRSKDEAWAAGGSGVLLKTTNGGRTWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYALQQMPCALGATDRRPQCIPHTQCNRLVDHNSNSSCSKDHLIRKTGNRDISFATLSPEHNSVWKLVHFLSDIQDIDKSTCFTSGAAGTESLQFPQPIVLCWLDCPNEKNMHDQVDHSKPFSSGSPPPVSTLIQHRHKRKTKKSLTAVPPCIVLVNNSSRSVTAPVRSDSNILHEDGKPPRKSPKKKGSNKKGKHYRRATCKSLNLLSEIHCEENIDAASPVEVLTDLLVEKLSETSSSASSVVKEAQFGEHNGGNNNEYAERRTKLNLSTLGSDDMDGSGCTGSSNKTVGKIFSCKDIPYLNDGSNNTEKSKFLGSTITEHECPYIGEERNNFEKSLCSGVCSSNDTTTYSLFNKLERGNSVNSHANDEVRDSCHLIADHLSTTHAEDSNHSFGRSSCCSKDVTDSSSHTERVKCSSEACSSKTSLPVIPGKSGRKSRKTSSYGYSTATNGVVGTNKHKHSGKYSSTSVWQKVEKLNVKNTSTAGCMIDSTIQNKSLLENIKNGVKYDLTTHNQRREKCDQHSPDGIVEMEHAKENDALNSCQAFSAYKYKKQTPFLFQQTSLSSNQGTQSSMQLVYEKVSSVDTGVGRNSPAQGIGSSQYGVDKATLASCNMDLPPKATYEEACTASIQEDPLSSCPENKAISTESNSKNLCADPSPAEVQERCYVKLTSENTTQECCNLFSPTGKHSKLYSAAAHVSQKWVAVGKKNIVHFDGLETSAVDASVLTNGIPISANIDVEINVCSAPSSANNEVNELAAEISYKPNSSGHLDLRCQPSTDTGTDFNKIREAVCDAYRAQQRVDDVQVIVGRSLADFERFIYSASPVINCNTFPAGRNFCSQEWIRDGLCFHQTTDITLSRIWRWYEEPCCYGLEVKAQDFRRSKGLWNIHDQFSTYFVPYLSAVQLFGQAMKASTGRVDKEAAGSDLHTKDDQQFGSGELIFEFFESEQPFWRRQLFDKIKELISGVKPSNCQISGDPRNLELSLHDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWILQGTSERAGHRRVVFPVTGLESYNDKGECWFEMGKSSVEDAESTEEESVWSEASQVVKERLRTLKGAAAVMSRAKVLKEEGMCRNRHPDYDFFLSRQPRRSRDSAERGQRWRVSCPVPGGTQLHRSNSMSVEEEEHTKQEEEAEAMDAIVGLGVGGGGH >LPERR06G23680.2 pep chromosome:Lperr_V1.4:6:20913644:20922824:-1 gene:LPERR06G23680 transcript:LPERR06G23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLHLHLNLLLSSSRRRRGLLLTRASAASNNNNDCTITTRRRFIADTAAAAAVAPLLSPLGTRAEQLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTLLETKDGGNTWKPRSIASAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEKSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFDEASVQSRGFGLLDVGYRSKDEAWAAGGSGVLLKTTNGGRTWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYALQQMPCALGATDRRPQCIPHTQCNRLVDHNSNSSCSKDHLIRKTGNRDISFATLSPEHNSVWKLVHFLSDIQDIDKSTCFTSGAAGTESLQFPQPIVLCWLDCPNEKNMHDQVDHSKPFSSGSPPPVSTLIQHRHKRKTKKSLTAVPPCIVLVNNSSRSVTAPVRSDSNILHEDGKPPRKSPKKKGSNKKGKHYRRATCKSLNLLSEIHCEENIDAASPVEVLTDLLVEKLSETSSSASSVVKEAQFGEHNGGNNNEYAERRTKLNLSTLGSDDMDGSGCTGSSNKTVGKIFSCKDIPYLNDGSNNTEKSKFLGSTITEHECPYIGEERNNFEKSLCSGVCSSNDTTTYSLFNKLERGNSVNSHANDEVRDSCHLIADHLSTTHAEDSNHSFGRSSCCSKDVTDSSSHTERVKCSSEACSSKTSLPVIPGKSGRKSRKTSSYGYSTATNGVVGTNKHKHSGKYSSTSVWQKVEKLNVKNTSTAGCMIDSTIQNKSLLENIKNGVKYDLTTHNQRREKCDQHSPDGIVEMEHAKENDALNSCQAFSAYKYKKQTPFLFQQTSLSSNQGTQSSMQLVYEKVSSVDTGVGRNSPAQGIGSSQYGVDKATLASCNMDLPPKATYEEACTASIQEDPLSSCPENKAISTESNSKNLCADPSPAEVQERCYVKLTSENTTQECCNLFSPTGKHSKLYSAAAHVSQKWVAVGKKNIVHFDGLETSAVDASVLTNGIPISANIDVEINVCSAPSSANNEVNELAAEISYKPNSSGHLDLRCQPSTDTGTDFNKIREAVCDAYRAQQRVDDVQVIVGRSLADFERFIYSASPVINCNTFPAGRNFCSQEWIRDGLCFHQTTDITLSRIWRWYEEPCCYGLEVKAQDFRRSKGLWNIHDQFSTYFVPYLSAVQLFGQAMKASTGRVDKEAAGSDLHTKDDQQFGSGELIFEFFESEQPFWRRQLFDKIKELISGVKPSNCQISGDPRNLELSLHDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWILQGTSERAGHRRVVFPVTGLESYNDKGECWFEMGKSSVEDAESTEEESVWSEASQVVKERLRTLKGAAAVMSRAKVLKEEGMCRNRHPDYDFFLSRQPRR >LPERR06G23680.3 pep chromosome:Lperr_V1.4:6:20913644:20922824:-1 gene:LPERR06G23680 transcript:LPERR06G23680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLHLHLNLLLSSSRRRRGLLLTRASAASNNNNDCTITTRRRFIADTAAAAAVAPLLSPLGTRAEQLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTLLETKDGGNTWKPRSIASAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEKSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFDEASVQSRGFGLLDVGYRSKDEAWAAGGSGVLLKTTNGGRTWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYALQQMPCALGATDRRPQCIPHTQCNRLVDHNSNSSCSKDHLIRKTGNRDISFATLSPEHNSVWKLVHFLSDIQDIDKSTCFTSGAAGTESLQFPQPIVLCWLDCPNEKNMHDQVDHSKPFSSGSPPPVSTLIQHRHKRKTKKSLTAVPPCIVLVNNSSRSVTAPVRSDSNILHEDGKPPRKSPKKKGSNKKGKHYRRATCKSLNLLSEIHCEENIDAASPVEVLTDLLVEKLSETSSSASSVVKEAQFGEHNGGNNNEYAERRTKLNLSTLGSDDMDGSGCTGSSNKTVGKIFSCKDIPYLNDGSNNTEKSKFLGSTITEHECPYIGEERNNFEKSLCSGVCSSNDTTTYSLFNKLERGNSVNSHANDEVRDSCHLIADHLSTTHAEDSNHSFGRSSCCSKDVTDSSSHTERVKCSSEACSSKTSLPVIPGKSGRKSRKTSSYGYSTATNGVVGTNKHKHSGKYSSTSVWQKVEKLNVKNTSTAGCMIDSTIQNKSLLENIKNGVKYDLTTHNQRREKCDQHSPDGIVEMEHAKENDALNSCQAFSAYKYKKQTPFLFQQTSLSSNQGTQSSMQLVYEKVSSVDTGVGRNSPAQGIGSSQYGVDKATLASCNMDLPPKATYEEACTASIQEDPLSSCPENKAISTESNSKNLCADPSPAEVQERCYVKLTSENTTQECCNLFSPTGKHSKLYSAAAHVSQKWVAVGKKNIVHFDGLETSAVDASVLTNGIPISANIDVEINVCSAPSSANNEVNELAAEISYKPNSSGHLDLRCQPSTDTGTDFNKIREAVCDAYRAQQRVDDVQVIVGRSLADFERFIYSASPVINCNTFPAGRNFCSQEWIRDGLCFHQTTDITLSRIWRWYEEPCCYGLEVKAQDFRRSKGLWNIHDQFSTYFVPYLSAVQLFGQAMKASTGRVDKEAAGSDLHTKDDQQFGSGELIFEFFESEQPFWRRQLFDKIKELISGVKPSNCQISGDPRNLELSLHDLHPASWAHQNGLVTDVLFFQSQDLSLTMTSVEDAESTEEESVWSEASQVVKERLRTLKGAAAVMSRAKVLKEEGMCRNRHPDYDFFLSRQPRR >LPERR06G23690.1 pep chromosome:Lperr_V1.4:6:20924255:20925553:1 gene:LPERR06G23690 transcript:LPERR06G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGCRRPAVWKRTCSWSSSAAASSLPPTTTTAANNNKKKVIVISGPTGAGKTRLSLDLAKRLSGEIISADSVQLYRGLDVGSAKPSPSDRSAVPHHLIDILHASHDYSAGDFFRDARAATDRLLSRGRAPILAGGTGLYLRWYIYGKPNVPQSSIHVASAVWSELAGFRENGRWEEAVELVANAGDPKARELSVNNWSRLKRSLEIIRSSGSPPSAFTLPYNAYNQTTDDEDAHAIANCQPKELDYDFICIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLRCRNNGGSSSPQEFFEFLIKFQTASRNFSKRQMTWFRNERIYQWVDASQPFDEIARFICDAYHDSGPRLLPESLEMKRESCRHESRDLKTYRSENKVFRGDDDCSHVLDWIRRTQGKSQL >LPERR06G23700.1 pep chromosome:Lperr_V1.4:6:20928158:20930439:1 gene:LPERR06G23700 transcript:LPERR06G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEFDSLRAAVLTPDRSPPSSPPPPPPSSCCSADEDYLEHQVSMMDTLAGLAIKYGISDIKRANSLMTDSQMFAHKILLIPLPGKPMPSSVRLNGSGQRMKRTWVPNYQQNRDVMDSLDSSKYNSSERQMSLAMSTLQTYYGLTPQKGGTTDAGTEMSLYSKGSLERISSETSVNSSGLPDTYNTGRSRNSEDTLNGFSATNGSTGTKSNVTNNAKQDGSVRRRQKVEADRVSNTTDTQQDDILSDPIKMIKSLFPRPISSIRLNMDTSNPEPRLKSSGSFLSGFKYVRKSPSTTNFVDEENGISMWSSSFTRPLLDGLPKPTAHTRTKAALD >LPERR06G23710.1 pep chromosome:Lperr_V1.4:6:20930560:20931233:1 gene:LPERR06G23710 transcript:LPERR06G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQSGELIHVLAGSSAPTSSSPPPHSHTLHAAAISASTCTSPISSSSAGLPPGGLVAEDTKFIRGLECIDINNLAFVTGQCRLQGVVSLLMGITVGVAYHPLHLLLLLAEETEMPPLPPQLVYSPPLPLFKPWVDS >LPERR06G23720.1 pep chromosome:Lperr_V1.4:6:20935064:20938004:1 gene:LPERR06G23720 transcript:LPERR06G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGAAAADAEADERRRLRSLAFSNGLLQRGEPAAPRSTLPPSTAVARLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAAASGGRVGELADLGTKNPVLYLEFPQGRMKLLGTHAYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWVGTKEDNPEELKLDFPREFQNDAAVADCDFKGGAGATGDEAVTTNKPVKDTTEPLSPKIESDIDSSEDSDLKDNTQSTSRAPSVRQSARTAGKALKYTEVSSGDDSSDNDNDIDVPEGMDEEVMMSPEVKNESQSEDIKPAESSLQPISAKKEPLVQATLSSMFKKAEEKKVLLLRSREQVQRKNNQKGRRVVEEDDIEVPSSSSQVLTWPLMI >LPERR06G23730.1 pep chromosome:Lperr_V1.4:6:20939173:20943988:1 gene:LPERR06G23730 transcript:LPERR06G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFSGPLHRPLSAMAVAAFAAVSSLELPDRFSHHKLPEATMDAEAVVSISSSRPDLSAPSASAMSRVHLLPRNLQTLHPAKVPHASLPVIQTVYHYAKFAKLSEEEEVVTAMPSSSSDVLYLWHLPDPKVCGDSRGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAILKKQSIAAKGVRSKDARPDVLVVESNKDHPKPAVTEAVLLSALEKFFDVVLNYPSINRRLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVELFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYSSQLTNFLEDCVLARCQEEEEEEEEVLVTN >LPERR06G23730.2 pep chromosome:Lperr_V1.4:6:20939683:20943988:1 gene:LPERR06G23730 transcript:LPERR06G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFSGPLHRPLSAMAVAAFAAVSSLELPDRFSHHKLPEATMDAEAVVSISSSRPDLSAPSASAMSRVHLLPRNLQTLHPAKVPHASLPVIQTVYHYAKFAKLSEEEEVVTAMPSSSSDVLYLWHLPDPKVCGDSRGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAILKKQSIAAKGVRSKDARPDVLVVESNKDHPKPAVTEAVLLSALEKFFDVVLNYPSINRRLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVELFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYSSQLTNFLEDCVLARCQEEEEEEEEVLVTN >LPERR06G23740.1 pep chromosome:Lperr_V1.4:6:20943676:20949516:-1 gene:LPERR06G23740 transcript:LPERR06G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHLVPFPAAAGLLGLSRSSFAAMATPPLPLAKEVPRELVHHGHVRVDKYYWLRDDSRSNPDVLSHLQAENHYTASLMSDVKNLEDEIYAEIRARIKEDDTGVPLRKGHYYYYKRTLAGKEYVQHCRRFVPAHAPVTVHDVMPTGPDAPHEHIILDENVKAEGHDYYSIGAFRVSPNGKLVAYAQDTKGDEIYTIYVIDAESGQYVGQPLEGITSDIEWAGDDHIVYVTMDAILRPDKVWLHMLGSDQSSDTCLYHEKDDMFSLGLQVSESKQYLFVESGSKNTSFVFYLDVSKQNKELVVLTPCVYGIDTTASHRGNHFYIKRRSEEFYNSELIACPLDNVAETTVLLPHRESVKIQDFQLFENYIAVYERQNGLPKITAYRLPATGEPLEQLQGGREIDFVDPAYDVEPEQSQFDSSVIRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERKWAAASDGTQIPMTFLYRKDCVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKKNTFTDFIACAEHLIENKYCCKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNVKAQEYPNILVTAGLHDPRVMYSEPAKYVAKLRELKTDDNLLLFKFEKLREDAFTYAFILKALGMVPKAAL >LPERR06G23750.1 pep chromosome:Lperr_V1.4:6:20948888:20953393:1 gene:LPERR06G23750 transcript:LPERR06G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIGMVNWCTGHEGGGVVILGLEMGEDVGVGSRVVAEPVVLVDAHVAVVDELPRHLLSQRQRRSGHGGEGRSRETKEAGGGGEGDEVEHGHLLLPSRPTNIISPTYDVGGGPTQACMSMTPSFPTPTRPSSSLASPPHRSIFESDGRRFRPPPPPPRPSRCQGPPLQSHRPTPKWES >LPERR06G23760.1 pep chromosome:Lperr_V1.4:6:20952686:20953297:-1 gene:LPERR06G23760 transcript:LPERR06G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEKATVVEVQVDMAMVMAMVQDTVLVITVVMEVAVAVAVAMEVVVWAQDMAVVMVLAMVMEVKAGVEVMEEGVVVDLAMATAAMDLDMGLALVKVMVLEEVQMVVVAAAAALGEAEAIMEPLVMDMVQVTAMDMVMVVVPVVVVETEEVEGVGVGVDERVTVVEHADMDKAMARVTGVVLDMVMAQVVMGVVVDMAIKHC >LPERR06G23770.1 pep chromosome:Lperr_V1.4:6:20954049:20958493:-1 gene:LPERR06G23770 transcript:LPERR06G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVFVVLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILSWVNGKEDDEYVGVGARFGPKIVSKEKHANRTRLTLADPIDCCSSPKEKVSRDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTILTSGNSVSVQQYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAINEQEKLLKWHDRYQCGISDNVCCGCVMLLDNALQDDVFLVCGATGGSANMPGGSIIKGIALIITVIQIVRVPSLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWAMVAYGSGAMIALGWKRGELGNLWLKGEPERVCTHMHMHMQTPPKNFVPTSPVQ >LPERR06G23780.1 pep chromosome:Lperr_V1.4:6:20960167:20966252:-1 gene:LPERR06G23780 transcript:LPERR06G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESNFVLRSGVRTGLKREFAFAMAALSSTTTLLGRTRSRTTVSSPPAAAAQLSSPSPAPKRPRPPDPSDGDDDPPPVLALLAQSPDLSPRRITRSMLPQTPLHQPQEEEPTPHRRFTRSLLQKDPPEALSGTTTATSSSSSPSAAAATTSSAKMELKMSKKISFKRIPKNLKDLLATGLLEGQPVKYIMKKGKRAVLRGVIKRLGILCSCSSCKGRNVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSNATLDMLESAIQNAIGPAPKKRTFKCQTCKSSFSTLHTGKFALLCDSCLDSKGSQNRARKSKVDQSPTSSARRSKNESPGPKYRNSYARGSKSASPAVKTTSAGRITRKDKGLHKLVFMSGVLPEGTDVGYYVGGKYDYCFPINTGSGNFLEVVGWLHKGIWNLLPLLQHSVLHSLKLMLAVLLDANHTTTYICQMVFHYMNCQFHFQKVEICQTDKVMTYAASVQMVGSSSFVIPAQELFTERESCLAYNNNAIAAGRIDGIDPMEQIFTRSIRVATTPITGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLVIRGAEPIPSVDAEVIKRKHEEKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGRSVRDQDYTGMHCAVLTVGNIVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFKALFGCIERLLASLKVKHFILPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPNGSASSVDVALEGAESVKPEGS >LPERR06G23780.2 pep chromosome:Lperr_V1.4:6:20960167:20966252:-1 gene:LPERR06G23780 transcript:LPERR06G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESNFVLRSGVRTGLKREFAFAMAALSSTTTLLGRTRSRTTVSSPPAAAAQLSSPSPAPKRPRPPDPSDGDDDPPPVLALLAQSPDLSPRRITRSMLPQTPLHQPQEEEPTPHRRFTRSLLQKDPPEALSGTTTATSSSSSPSAAAATTSSAKMELKMSKKISFKRIPKNLKDLLATGLLEGQPVKYIMKKGKRAVLRGVIKRLGILCSCSSCKGRNVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSNATLDMLESAIQNAIGPAPKKRTFKCQTCKSSFSTLHTGKFALLCDSCLDSKGSQNRARKSKVDQSPTSSARRSKNESPGPKYRNSYARGSKSASPAVKTTSAGRITRKDKGLHKLVFMSGVLPEGTDVGYYVGGKVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTTPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIDGIDPMEQIFTRSIRVATTPITGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLVIRGAEPIPSVDAEVIKRKHEEKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGRSVRDQDYTGMHCAVLTVGNIVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFKALFGCIERLLASLKVKHFILPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPNGSASSVDVALEGAESVKPEGS >LPERR06G23780.3 pep chromosome:Lperr_V1.4:6:20960167:20966252:-1 gene:LPERR06G23780 transcript:LPERR06G23780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESNFVLRSGVRTGLKREFAFAMAALSSTTTLLGRTRSRTTVSSPPAAAAQLSSPSPAPKRPRPPDPSDGDDDPPPVLALLAQSPDLSPRRITRSMLPQTPLHQPQEEEPTPHRRFTRSLLQKDPPEALSGTTTATSSSSSPSAAAATTSSAKMELKMSKKISFKRIPKNLKDLLATGLLEGQPVKYIMKKGKRAVLRGVIKRLGILCSCSSCKGRNVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSNATLDMLESAIQNAIGPAPKKRTFKCQTCKSSFSTLHTGKFALLCDSCLDSKGSQNRARKSKVDQSPTSSARRSKNESPGPKYRNSYARGSKSASPAVKTTSAGRITRKDKGLHKLVFMSGVLPEGTDVGYYVGGKYDYCFPINTGSGNFLEVVGWLHKGIWNLLPLLQHSVLHSLKLMLAVLLDANHTTTYICQMVFHYMNCQFHFQKVEICQTDKVMTYAASVQMVGSSSFVIPAQELFTERESCLAYNNNAIAAGRIDGIDPMEQIFTRSIRVATTPITGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLVIRGAEPIPSVDAEVIKRKHEEKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNIVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFKALFGCIERLLASLKVKHFILPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPNGSASSVDVALEGAESVKPEGS >LPERR06G23780.4 pep chromosome:Lperr_V1.4:6:20960167:20966252:-1 gene:LPERR06G23780 transcript:LPERR06G23780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESNFVLRSGVRTGLKREFAFAMAALSSTTTLLGRTRSRTTVSSPPAAAAQLSSPSPAPKRPRPPDPSDGDDDPPPVLALLAQSPDLSPRRITRSMLPQTPLHQPQEEEPTPHRRFTRSLLQKDPPEALSGTTTATSSSSSPSAAAATTSSAKMELKMSKKISFKRIPKNLKDLLATGLLEGQPVKYIMKKGKRAVLRGVIKRLGILCSCSSCKGRNVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSNATLDMLESAIQNAIGPAPKKRTFKCQTCKSSFSTLHTGKFALLCDSCLDSKGSQNRARKSKVDQSPTSSARRSKNESPGPKYRNSYARGSKSASPAVKTTSAGRITRKDKGLHKLVFMSGVLPEGTDVGYYVGGKVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTTPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIDGIDPMEQIFTRSIRVATTPITGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLVIRGAEPIPSVDAEVIKRKHEEKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNIVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFKALFGCIERLLASLKVKHFILPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPNGSASSVDVALEGAESVKPEGS >LPERR06G23790.1 pep chromosome:Lperr_V1.4:6:20967484:20972954:-1 gene:LPERR06G23790 transcript:LPERR06G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGGMAMALLLLLLFAGQAQAQSKGVDQLLSSLGTGNDAIVATVMNDQLKNLTHAFSLQMGKELHYCIKDTPLNFLLQSTTGDLPKRVCTAAEMKFYFESFMDSNGRKNYVRPNKNCNLTSWIDGCEPGWACSAGTDQVINLQDADNFPSRTLNCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTEGINFPNHCKPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETVMARERWKSAKDVAKKHAVGLQSSMSRTFSRKKTLRTHEPSKGAVETDVEPSKESDEKKSNLTDMMRSLEENPEKGDGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATEEDIRTRPRIEIAFKDLTLTLKGSKKKLLKSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPDRVNPPDYYIDILEGIVKPNTNVAVNTKDLPLRWMLHNGYEVPRDMLQSGSEAESSFRGGGDRTPDGDSGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRCTPGILMQYKYYLGRCGKQRLREARIQGVDFLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPMVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLIKSGYDINDRFLCIVVLAANGVLFRCVAFFCMVIFQKH >LPERR06G23790.2 pep chromosome:Lperr_V1.4:6:20967486:20972759:-1 gene:LPERR06G23790 transcript:LPERR06G23790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAMALLLLLLFAGQAQAQSKGVDQLLSSLGTGNDAIVATVMNDQLKNLTHAFSLQMGKELHYCIKDTYLPPFFSFLFPSSRDLPKRVCTAAEMKFYFESFMDSNGRKNYVRPNKNCNLTSWIDGCEPGWACSAGTDQVINLQDADNFPSRTLNCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTEGINFPNHCKPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETVMARERWKSAKDVAKKHAVGLQSSMSRTFSRKKTLRTHEPSKGAVETDVEPSKESDEKKSNLTDMMRSLEENPEKGDGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATEEDIRTRPRIEIAFKDLTLTLKGSKKKLLKSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPDRVNPPDYYIDILEGIVKPNTNVAVNTKDLPLRWMLHNGYEVPRDMLQSGSEAESSFRGGGDRTPDGDSGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRCTPGILMQYKYYLGRCGKQRLREARIQGVDFLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPMVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLIKSGYDINDRFLCIVVLAANGVLFRCVAFFCMVIFQKH >LPERR06G23790.3 pep chromosome:Lperr_V1.4:6:20967486:20971647:-1 gene:LPERR06G23790 transcript:LPERR06G23790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYFESFMDSNGRKNYVRPNKNCNLTSWIDGCEPGWACSAGTDQVINLQDADNFPSRTLNCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTEGINFPNHCKPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETVMARERWKSAKDVAKKHAVGLQSSMSRTFSRKKTLRTHEPSKGAVETDVEPSKESDEKKSNLTDMMRSLEENPEKGDGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATEEDIRTRPRIEIAFKDLTLTLKGSKKKLLKSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPDRVNPPDYYIDILEGIVKPNTNVAVNTKDLPLRWMLHNGYEVPRDMLQSGSEAESSFRGGGDRTPDGDSGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRCTPGILMQYKYYLGRCGKQRLREARIQGVDFLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPMVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLIKSGYDINDRFLCIVVLAANGVLFRCVAFFCMVIFQKH >LPERR06G23790.4 pep chromosome:Lperr_V1.4:6:20971647:20972954:-1 gene:LPERR06G23790 transcript:LPERR06G23790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGGMAMALLLLLLFAGQAQAQSKGVDQLLSSLGTGNDAIVATVMNDQLKNLTHAFSLQMGKELHYCIKDTGPTKTSLHCC >LPERR06G23800.1 pep chromosome:Lperr_V1.4:6:20975173:20975837:-1 gene:LPERR06G23800 transcript:LPERR06G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVAGTSTSSSSSSSASIRSRCFLLQASKPSWGKQQLAQAAAVVGGRRRAAAAAAAGLPVAETAAACVAAVGVAATALSLLVGRAPAAAGEEQQQNEVNQQECSDCGGTGLCPRCKGEGFVFKQLPEDAATRARKAAKNMATRYTAGLPTKWTYCNRCSSTRSCTTCAGSGSISATKPPPTN >LPERR06G23810.1 pep chromosome:Lperr_V1.4:6:20976752:20980429:1 gene:LPERR06G23810 transcript:LPERR06G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGKSKPWMDGSISVLQNSQGGVGRSLRRDVMARPRRSGGLQCCLAFIFKFLAFLQAFAAVSVLLYAAWILSRWSRHHPLHLHDLLPDLWFVSALMVAGLFYCLLLLAGCVAAEINSSCFLCLYTILAVLMMILEAALAADLFFNEHWIQDLPYDRTGELNNLLSFIQTNFDLCKWAAFAIVATQALSLLLATILRTMVYTPSADSDSDEDFVVIRRPLLVAQGAPTYLPTTVDSRGFHPDLWSSRMRQKYGLNSSNYTYNTLDPNAPPPQ >LPERR06G23820.1 pep chromosome:Lperr_V1.4:6:20981088:20994710:-1 gene:LPERR06G23820 transcript:LPERR06G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPGQAMDVSSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRRHRNRHKSKSPPALSDGRPLDLCRLFHAVKRFGGYDGACAAKRWGDVVRLVDDRAPRHMSQCAKHVIAQLYYDHLYHYEQFTNTQKQQGESDDPPSQDGARDNDSDSGREVSSSSIRTQKRRNSIIRKTTRMAAYSSAAAPKRKRRKADAMPAVLNEAMDQVCEQCNSGLHGDVMLLCDRCDKGWHLYCLSPPLQTVPPGNWYCSECMNSDSNCFGFVHRRKNCQLETLRRFDDRVRKRWFGHKSPSHAQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLCDSVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLRTVRDNIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVENESLSYLLGEIERLFVKEKKCREELWVNGIIKSSLMPPKKNPNFIGSEEHWKHLCECSPEKHRLLYRHTLAELGDLVCEVTKASLPQENVKQNSLLHNDVCLPMRKDKDHYMSFTQLAEVWLSKADHILRVPFLDTAYVTALEDAEQFLWGDHNMDSVRNMSAKLIEGKKWASSVRKCISKIDGFLHFKGNCSEKVYVDKGEIMINEIKNALSSHSMVDYLETLYYRALEFPVDLTETSALSCELSSAKSWLKKACDCLKHNKRGIIDIDFLNELKSKMACLRVSAPEIKLVSELWKEAEAWRIRCQSYLQDTPSLKELESFLHVVDGANFSIPELNILKQRHSGACSWVNRANSIVGKLLERSDYHNIVEELTRILMDGESLGEFPIIEQELKKSICRKQASEALATPTSMEVVKEVLKEASTLTIEEEQPFVDLSYQLKTAITWEENAGFILKHSAPLSEFEAHIQCSENIHVILPSELDMKAEVATAKLWMDKCQAYLRPSSDNSVSGCLKVDDLKDLISQPASIKVILDTSAINSVLNNVVEWEHNSLSLIYSSKTLLDLNVIDSTIDPLKRKLEELQEKINAGIKKGLSLGFEFKDVERLIQQAVNLPASLSGCSLAELLMRGSCWLRKALLFLPDSEMSEIDVPYPMMIAKLEDAIDKHNSWAEQCNAFFMSPDHQSWAGLLRLRDSGQSVAFDCTEMDKVVLEIKKIEEWLTYCHFMLFPDGNNNDSLHSTLLKIRGSMDGAYMLYTEGCNQKGLCAICCCDVGDDITSRCVICQDRYHNPCVEPLLSSAQVTPTHEWTCPFCFPLESGDALQNGLREKISKGNRPSLPALIELHSSAKGFYSGIEELDLLDEITEKAHKFKSYLMQILHNADSCHGEDLSVMHRSLLVALKATSAAGLYDHQISCRIDSMFSRYSWKKRIHTLLCGGKKISIQQVLSLDNEGSSLEICGEDFFKLKINKIKETSLQWLAKAEKTTLDSGKLTLDLVYGLLIEGESLAVHAEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACHPNNGGEYISLPCLAHEEDRSTTEAGPHTPPAERVGAIQCNRSSQWGKAHIRVDLIKLLRCHNETNNSWRESKRVLHRTARRRSNFVGLL >LPERR06G23820.2 pep chromosome:Lperr_V1.4:6:20981088:20994710:-1 gene:LPERR06G23820 transcript:LPERR06G23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPGQAMDVSSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRRHRNRHKSKSPPALSDGRPLDLCRLFHAVKRFGGYDGACAAKRWGDVVRLVDDRAPRHMSQCAKHVIAQLYYDHLYHYEQFTNTQKQQGESDDPPSQDGARDNDSDSGREVSSSSIRTQKRRNSIIRKTTRMAAYSSAAAPKRKRRKADAMPAVLNEAMDQVCEQCNSGLHGDVMLLCDRCDKGWHLYCLSPPLQTVPPGNWYCSECMNSDSNCFGFVHRRKNCQLETLRRFDDRVRKRWFGHKSPSHAQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLCDSVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLRTVRDNIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVENESLSYLLGEIERLFVKEKKCREELWVNGIIKSSLMPPKKNPNFIGSEEHWKHLCECSPEKHRLLYRHTLAELGDLVCEVTKASLPQENVKQNSLLHNDVCLPMRKDKDHYMSFTQLAEVWLSKADHILRVPFLDTAYVTALEDAEQFLWGDHNMDSVRNMSAKLIEGKKWASSVRKCISKIDGFLHFKGNCSEKVYVDKGEIMINEIKNALSSHSMVDYLETLYYRALEFPVDLTETSALSCELSSAKSWLKKACDCLKHNKRGIIDIDFLNELKSKMACLRVSAPEIKLVSELWKEAEAWRIRCQSYLQDTPSLKELESFLHVVDGANFSIPELNILKQRHSGACSWVNRANSIVGKLLERSDYHNIVEELTRILMDGESLGEFPIIEQELKKSICRKQASEALATPTSMEVVKEVLKEASTLTIEEEQPFVDLSYQLKTAITWEENAGFILKHSAPLSEFEAHIQCSENIHVILPSELDMKAEVATAKLWMDKCQAYLRPSSDNSVSGCLKVDDLKDLISQPASIKVILDTSAINSVLNNVVEWEHNSLSLIYSSKTLLDLNVIDSTIDPLKRKLEELQEKINAGIKKGLSLGFEFKDVERLIQQAVNLPASLSGCSLAELLMRGSCWLRKALLFLPDSEMSEIDVPYPMMIAKLEDAIDKHNSWAEQCNAFFMSPDHQSWAGLLRLRDSGQSVAFDCTEMDKVVLEIKKIEEWLTYCHFMLFPDGNNNDSLHSTLLKIRGSMDGAYMLYTEGCNQKGLCAICCCDVGDDITSRCVICQDRYHNPCVEPLLSSAQVTPTHEWTCPFCFPLESGDALQNGLREKISKGNRPSLPALIELHSSAKGFYSGIEELDLLDEITEKAHKFKSYLMQILHNADSCHGEDLSVMHRSLLVALKATSAAGLYDHQISCRIDSMFSRYSWKKRIHTLLCGGKKISIQQVLSLDNEGSSLEICGEDFFKLKINKIKETSLQWLAKAEKTTLDSGKLTLDLVYGLLIEGESLAVHAEKELKIPSQLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACHPNNGGEYISLPCLAHEEDRSTTEAGPHTPPAERVGAIQCNRSSQWGKAHIRVDLIKLLRCHNETNNSWRESKRVLHRTARRRSNFVGLL >LPERR06G23830.1 pep chromosome:Lperr_V1.4:6:20996395:20998821:-1 gene:LPERR06G23830 transcript:LPERR06G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMMPCSSAIAAAAAFPQPQGFLVVLRLRSRGARSIRRPVRCSYNGWGDLAAEPDGLPSFPLQPSLLLLPLLLLLLLPIPPLPILAAAFAAGFATRHLAAPAPAADSHRLAQISSSSDPALLLHATNQLRDAARLAAPRTTLRDALKDAAAAFFASSPSPPRKKPPKASASAAAETTITTTPPQPQQQQEEGGNGAQAQHNQPPSVRATPIALDMLPFDMDVHDAGRGFTAQDDDGVVSKHSHGRNSCFQQGTRIATDSMEPPLLEKTLEIRDRSYRLKIERRGGNSQPNEAQQMRASDHIVGNPTSSDGMHDETSIAMDSDDEEFSHNVAKAAEILSKARECMMARDDEEAADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANSTALLNGRSRSRKLDRRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADRVYLAAIDKFDAMLSRSNTYAPEALYRWGIALQQRSYLRSGNNKEKIRLLEQAKSMFEDVLYVEADNKTYSFFCFLCAIGILPYGS >LPERR06G23840.1 pep chromosome:Lperr_V1.4:6:21000873:21003158:-1 gene:LPERR06G23840 transcript:LPERR06G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFGSPFDDDSFLSFDAAAPPAPAQADAFPPSPFGMPEANGHDPFAAPDNGPVLPPPNEMAADEGFLLREWRRQNTILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNTETSKDQNREREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPVAAAGKDGAAGKAGAKTAAAPSKDAPANGSVPEMEKAATAAAPAPAPAAEPIAAA >LPERR06G23850.1 pep chromosome:Lperr_V1.4:6:21004152:21009421:1 gene:LPERR06G23850 transcript:LPERR06G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPPRRRYGLLAVLFALIVLASLQIQFHHLKEDRLAGDRTFATTTDRRPEDLPRGIVHSHSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMHNVDIMARKFLNQNYTVMLFHYDGNVDGWRNLEWSDKAIHILAHNQTKWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKVHRRIYDNRASMNCSDESKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVSEDEEWTDPFEA >LPERR06G23850.2 pep chromosome:Lperr_V1.4:6:21004152:21009421:1 gene:LPERR06G23850 transcript:LPERR06G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPPRRRYGLLAVLFALIVLASLQIQFHHLKEDRLAGDRTFATTTDRRPEDLPRGIVHSHSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMHNVDIMARKFLNQNYTVMLFHYDGNVDGWRNLEWSDKAIHILAHNQTKWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVSEDEEWTDPFEA >LPERR07G00010.1 pep chromosome:Lperr_V1.4:7:3206:7666:1 gene:LPERR07G00010 transcript:LPERR07G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAWNSSILMPHSPPPPPRPPTVATTALKPYSLHLHRRQPQKPLCLPCVPAASSDPAEDTGPDAASSSSLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIEYYGVEMSKYFIACLTACYTYIPFSSISNGEPEKTLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRDELELMFAGMPAVFTGTLQGEELSQAYACGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQVLRPIQWVARRLFRPTPATSTTNQS >LPERR07G00020.1 pep chromosome:Lperr_V1.4:7:9841:15481:1 gene:LPERR07G00020 transcript:LPERR07G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFAGDDGHSEQLALLSNDHEVSEVCIEEISADNTGRSFLIKISESKVFYYWCAEKSNKHGMDLLAKMKNLLHGRPTLSDLTGISDSRLDAFATHLHSYLLASSFGDVKSLGSPNDFLSTSSPHDYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRSGAFKDGVPRMSCAKITGREKLKRHGDWLSLSTAPVDTDLLTPKIVSSDSSSEKSDGDYSENSANSPPLDLPLSFPLLPSLFPRTTQFPLPKDASEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLTNDQPPTSTVSAKMDTTDSPSLNLPSILHDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSSSIPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTNVPEKNAKKHLGVHPGDRFLSSSCSVDVIGPEFAVTEDESSVGDGVAEYDDIGDQPHFQGM >LPERR07G00020.2 pep chromosome:Lperr_V1.4:7:9840:15481:1 gene:LPERR07G00020 transcript:LPERR07G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPIREHTEAEARDDELEASPSRSGEQDAQQQQDGHGPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEAFEVGLLARCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMHIIFAGDDGHSEQLALLSNDHEVSEVCIEEISADNTGRSFLIKISESKVFYYWCAEKSNKHGMDLLAKMKNLLHGRPTLSDLTGISDSRLDAFATHLHSYLLASSFGDVKSLGSPNDFLSTSSPHDYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRSGAFKDGVPRMSCAKITGREKLKRHGDWLSLSTAPVDTDLLTPKIVSSDSSSEKSDGDYSENSANSPPLDLPLSFPLLPSLFPRTTQFPLPKDASEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLTNDQPPTSTVSAKMDTTDSPSLNLPSILHDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSSSIPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTNVPEKNAKKHLGVHPGDRFLSSSCSVDVIGPEFAVTEDESSVGDGVAEYDDIGDQPHFQGM >LPERR07G00020.3 pep chromosome:Lperr_V1.4:7:11466:15481:1 gene:LPERR07G00020 transcript:LPERR07G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFAGDDGHSEQLALLSNDHEVSEVCIEEISADNTGRSFLIKISESKVFYYWCAEKSNKHGMDLLAKMKNLLHGRPTLSDLTGISDSRLDAFATHLHSYLLASSFGDVKSLGSPNDFLSTSSPHDYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRSGAFKDGVPRMSCAKITGREKLKRHGDWLSLSTAPVDTDLLTPKIVSSDSSSEKSDGDYSENSANSPPLDLPLSFPLLPSLFPRTTQFPLPKDASEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLTNDQPPTSTVSAKMDTTDSPSLNLPSILHDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSSSIPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTNVPEKNAKKHLGVHPGDRFLSSSCSVDVIGPEFAVTEDESSVGDGVAEYDDIGDQPHFQGM >LPERR07G00030.1 pep chromosome:Lperr_V1.4:7:15750:17480:1 gene:LPERR07G00030 transcript:LPERR07G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEEDAAATTMDGTRDHAGKAAVRSKTGTWKACPFILGNECCERLAYYGMSANLVNYMVERLHQGNAAAAASVNNWSGTCYVMPLVGAFLADAYLGRYRTIAAFMALYIAGLALLTMSASVPGMKPPPADGPPTGGGQSAAFYVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGAPAVAMAVAVASFLLGSRLYRHQKPGGSPLTRMMQVVVAAARKGHPTGRLYEGEDDNKSGRLAHSDQFRWLDRAALVSGGDDVGNRWRVCPVTQVEELKAVVRLLPVWASGIVMSAVYSQMSTMFVLQGNTLDPHIGNSFKIPSASLSIFDTLAVIAWVPLYDRLIVPAARRFTGRPRGFTQLQRMGIGLAISVFSMVAAGVLEVVRLRVAAAHGMLDSTAYLPLSIFWQVPQYFIIGAAEVFAFIGQIDFFYDQAPDGMRSMCTALSLTSSALGNYLSTLLVLIVTAISTRRGALGWIPDNLNRGHLDYFFWLLAALSVINFFLYLWIAKWYRCKTTTTTATAVAQTQTKDDDATSPS >LPERR07G00040.1 pep chromosome:Lperr_V1.4:7:17943:20868:-1 gene:LPERR07G00040 transcript:LPERR07G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVSVSISDQKVDRPLVSDDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWIGGTCGLILAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYINLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFFSLIYITIAFVLSLKDGVTTPSKDYTIPGSHSAKIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWIKTVANLSAFLQTVIALHIFASPMYEFLDTRYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLPSMQKLWHWLNVVGFSLLSIAAAVAALRLIMVDSRTYHLFADL >LPERR07G00050.1 pep chromosome:Lperr_V1.4:7:24206:25117:-1 gene:LPERR07G00050 transcript:LPERR07G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLLLPQAAPFSIDFFPESPSPPRLALSGAASLRPTAVSMGSPRARIQLTDPVALDPAFSTYFSFSIPPTQPGSLAFFLTPAAHSPLLLAIVFDSAARVRIDLAGRTAARAHLPTSPSPPRRLHSWIHYNATLQLRLSATSRRPALPLLSLHPLPPDSEALFRTKRMLAGFTSSAANCTLFAWAFRSNTYPMHSQPLDPSHLLTTPPPERRAPHQYRPRYPTWGAALSLLFAAACGAMLTFFLLFVWYTLLARRPVAPVTISDSDVVYQKIVLVGAKDDDAAKPSAAPSPPVAGNKY >LPERR07G00060.1 pep chromosome:Lperr_V1.4:7:25688:28852:1 gene:LPERR07G00060 transcript:LPERR07G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNTSPSASKKNKVTPTQVAFLVERYLADNGFSASLAAFRADAAHLFTKSAPVPPKGLLPLADILHDYVLLKEGRLAVDSAMHAMHNLVSAYYLNHPPPATPTLPPSPPAPLFAASSSSPPGPAAGYSSPMIHYTHTSSSLVVQNASEANTPTPNSLPTATLTNKRKATKSASASKKIRTAPTTTSHPRPKGKTAASQPSIDNPDQSINVDSAAVQPPPALMKLPGQVSSVAKSLFRPLQPQAHYSPCTPQQTQPVQGRPAVDQTERPSSVAANSHAQQELTSSQCSIVSSKTLIVSPLKGAAYYAVERSYHVSSPLKSSVQKSSKREHVKGKLDFGTPDVVPCSHEQICDQSSTSSEEEKQDEFDIDFTNFDIFDGDFSFSELLVDLDLDTEGFQCHNPSTSAEVQRLDPVPKSDNVTAVPVLPGSVKPLPEDPTEHIGSQAGPASVTSVRAITKRIKIVSPVKGHTAP >LPERR07G00070.1 pep chromosome:Lperr_V1.4:7:34129:35984:-1 gene:LPERR07G00070 transcript:LPERR07G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWIRPSSISICSGVMVVFSCVLMVMLQAAVDAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEAREGDTIVVRVVNESPYNMSIHWHGVLQRLSAWADGPGMVTQCPILPGGASYVYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRRGVPYPFPFPHAEHTLLLGEWWNKSLVDVERQAFLTGGQPANSVALTINGVMDPDHEVLRVSRGSTYLLRLINAALNYQLFFKVAAHKFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAGAAAAYYVAAQVYQSVANATYTATARAILRYTSTSSDDTITPAAPSMPAVNDSATAQRFYGSLTGLLRDGGKPTVPQRVDTRMLVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPQSISLLQASRTAAQVVYARDFPDRPPLIFDFTNASSVNTNMSLMLTSRETRVKLLRYKETVELVLQNTAVLGTENHPLHLHGFNFYVLAQGAGNFLHHHRHHKIKYNLFNPQQRNTIAVPAGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFEVQDGPTPDAMLPPPPNDYPPC >LPERR07G00080.1 pep chromosome:Lperr_V1.4:7:39398:51606:1 gene:LPERR07G00080 transcript:LPERR07G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHTQARVAGVIGHPEISTVPAYCALVRQLASGGLLDAVDAALASARSRLAPASLHPLYVASIRAYARAGRLRDAVDAFERMDLFSCPPAAPAYNAIMDALVHAAYHDQAHKVYLRMLAAGISPDLHTHTIRLRSFCLTARPHIALRLLRGLPHPRPVAYCTVVCGLYAHGDTHDARHLFDQMLQAYVFPNLPAFNKVLHALCKKGHVLEAGLLLGKVIKRGMSVNHFTYNIWIRGLCEAGRLAEAVQIVDQMSVPDVVTYNTLVRGLCKESKPQEAAQYLRRMMNHGCLPDDFTYNTIIHGYCKMSMVQEATELLRDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPGIAVYNSLIKGLCLQGLILHALQVMNEMAEDGCHPDIQTYNIVINGLCKMGNISDATVVMNDAVVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDVITYNSVLNGLCKAGKVNEVNETFEEMILKGCPPNPITYNILIENFCKSNKLEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEEAYLLFQKLEEKGYPATADTFNTLIGAFSGKLNMHMAEKIFYEMISKGHTPDSYTYRVLIDGSCKTANVDRAYKHLVEMISKGFIPSMATFGRVINSLTLNHQISQAVGVIHIMVKIGIVPEVVDTILSADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVRDNKLTRKHRMPLLNNLNHS >LPERR07G00080.2 pep chromosome:Lperr_V1.4:7:39838:51606:1 gene:LPERR07G00080 transcript:LPERR07G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHTQARVAGVIGHPEISTVPAYCALVRQLASGGLLDAVDAALASARSRLAPASLHPLYVASIRAYARAGRLRDAVDAFERMDLFSCPPAAPAYNAIMDALVHAAYHDQAHKVYLRMLAAGISPDLHTHTIRLRSFCLTARPHIALRLLRGLPHPRPVAYCTVVCGLYAHGDTHDARHLFDQMLQAYVFPNLPAFNKVLHALCKKGHVLEAGLLLGKVIKRGMSVNHFTYNIWIRGLCEAGRLAEAVQIVDQMSVPDVVTYNTLVRGLCKESKPQEAAQYLRRMMNHGCLPDDFTYNTIIHGYCKMSMVQEATELLRDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPGIAVYNSLIKGLCLQGLILHALQVMNEMAEDGCHPDIQTYNIVINGLCKMGNISDATVVMNDAVVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDVITYNSVLNGLCKAGKVNEVNETFEEMILKGCPPNPITYNILIENFCKSNKLEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEEAYLLFQKLEEKGYPATADTFNTLIGAFSGKLNMHMAEKIFYEMISKGHTPDSYTYRVLIDGSCKTANVDRAYKHLVEMISKGFIPSMATFGRVINSLTLNHQISQAVGVIHIMVKIGIVPEVVDTILSADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVYSTIYCLQYRDWRSSDPKCSKMMEGWFEQDYGLMDVVVGISANDPVDLSREPHVGDSSHWQLSPAGCIEINVDGAQLLIMLINPMVPPVILTWGDVYVDKATTDTEIDLYDNPIESKNQIVSYPLCPIEAALLSMSSNSYSLGEELIGKVALTGQHCWISSDELSSTFMHKYHKDLQLEFAAGIKTILYVPVIPHGVLQLGSLDLVSESSTSVPFIKDLFYRLYDASISGSSSGTGSGYSNAWRQPAATLPTNSPDVATHYIFNSIKSSAQLLNNDHLSLPHAFPMLGFSLTEDDIVSIYDTSLTACAVEPLDGNDNDIWTTVHEELSQFTHCNTAFEPDKENISYMDSLINPGSKSCRTASHMEDPGYGDIDHFILTEISHENQEHMNNNNSINHDAVTSNSSFDLELNKTLEPISREERADCMWHIRLRQQELTSSALLQEDGYKTGFCKQHENNNYVEFLLDAIIEQVGRTSNCDSSHSTNSPVSCATQIQKEDHVTRDESLPNLPGGQDFSLISIDEGLTGSSPMEINKTVLVEEFISDSIEGMHRETSVEVKGRCRKTGLHRPRPRDRQLIQDRMKELRQLVPNTSECSIDALLDKTIAHMQFLRSVSEKADKLEKLVSSEESTKRQPGSCPLKVEELDPPGHLLIEMLCGEYGVFLEIAHVLKGLEVNILKGLLENRSDKLWARFVIQASQDSMPTDAPVAEAEMELTELYSSYIYHGSSTLALHAHLCWLKNNVRIPNRTKELGFEDWGASSKNPLVCLEALLTHLKSNVTSNAEIEEVLEATAHGPAWRDRSKWEWTLWRARPDWSRRVSSKRARTSAPSEARATKTET >LPERR07G00080.3 pep chromosome:Lperr_V1.4:7:39838:51606:1 gene:LPERR07G00080 transcript:LPERR07G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHTQARVAGVIGHPEISTVPAYCALVRQLASGGLLDAVDAALASARSRLAPASLHPLYVASIRAYARAGRLRDAVDAFERMDLFSCPPAAPAYNAIMDALVHAAYHDQAHKVYLRMLAAGISPDLHTHTIRLRSFCLTARPHIALRLLRGLPHPRPVAYCTVVCGLYAHGDTHDARHLFDQMLQAYVFPNLPAFNKVLHALCKKGHVLEAGLLLGKVIKRGMSVNHFTYNIWIRGLCEAGRLAEAVQIVDQMSVPDVVTYNTLVRGLCKESKPQEAAQYLRRMMNHGCLPDDFTYNTIIHGYCKMSMVQEATELLRDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPGIAVYNSLIKGLCLQGLILHALQVMNEMAEDGCHPDIQTYNIVINGLCKMGNISDATVVMNDAVVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDVITYNSVLNGLCKAGKVNEVNETFEEMILKGCPPNPITYNILIENFCKSNKLEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEEAYLLFQKLEEKGYPATADTFNTLIGAFSGKLNMHMAEKIFYEMISKGHTPDSYTYRVLIDGSCKTANVDRAYKHLVEMISKGFIPSMATFGRVINSLTLNHQISQAVGVIHIMVKIGIVPEVVDTILSADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVYSTIYCLQYRDWRSSDPKCSKMMEGWFEQDYGLMDVVVGISANDPVDLSREPHVGDSSHWQLSPAGCIEINVDGAQLLIMLINPMVPPVILTWGDVYVDKATTDTEIDLYDNPIESKNQIVSYPLCPIEAALLSMSSNSYSLGEELIGKVALTGQHCWISSDELSSTFMHKYHKDLQLEFAAGIKTILYVPVIPHGVLQLGSLDLVSESSTSVPFIKDLFYRLYDASISGSSSGTGSGYSNAWRQPAATLPTNSPDVATHYIFNSIKSSAQLLNNDHLSLPHAFPMLGFSLTEDDIVSIYDTSLTACAVEPLDGNDNDIWTTVHEELSQFTHCNTAFEPDKENISYMDSLINPGSKSCRTASHMEDPGYGDIDHFILTEISHENQEHMNNNNSINHDAVTSNSSFDLELNKTLEPISREERADCMWHIRLRQQELTSSALLQEDGYKTGFCKQHENNNYVEFLLDAIIEQVGRTSNCDSSHSTNSPVSCATQIQKEDHVTRDESLPNLPGGQDFSLISIDEGLTGSSPMEINKTVLVEEFISDSIEGMHRETSVEVKGRCRKTGLHRPRPRDRQLIQDRMKELRQLVPNTSEVQLLTLSDVSPSPKTQGFDCIFLRKQCSIDALLDKTIAHMQFLRSVSEKADKLEKLVSSEESTKRQPGSCPLKVEELDPPGHLLIEMLCGEYGVFLEIAHVLKGLEVNILKGLLENRSDKLWARFVIQASQDSMPTDAPVAEAEMELTELYSSYIYHGSSTLALHAHLCWLKNNVRIPNRTKELGFEDWGASSKNPLVCLEALLTHLKSNVTSNAEIEEVLEATAHGPAWRDRSKWEWTLWRARPDWSRRVSSKRARTSAPSEARATKTET >LPERR07G00080.4 pep chromosome:Lperr_V1.4:7:42075:50366:1 gene:LPERR07G00080 transcript:LPERR07G00080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALMYQPRSVYSSSPGSCLQSNFVTSGWFEQDYGLMDVVVGISANDPVDLSREPHVGDSSHWQLSPAGCIEINVDGAQLLIMLINPMVPPVILTWGDVYVDKATTDTEIDLYDNPIESKNQIVSYPLCPIEAALLSMSSNSYSLGEELIGKVALTGQHCWISSDELSSTFMHKYHKDLQLEFAAGIKTILYVPVIPHGVLQLGSLDLVSESSTSVPFIKDLFYRLYDASISGSSSGTGSGYSNAWRQPAATLPTNSPDVATHYIFNSIKSSAQLLNNDHLSLPHAFPMLGFSLTEDDIVSIYDTSLTACAVEPLDGNDNDIWTTVHEELSQFTHCNTAFEPDKENISYMDSLINPGSKSCRTASHMEDPGYGDIDHFILTEISHENQEHMNNNNSINHDAVTSNSSFDLELNKTLEPISREERADCMWHIRLRQQELTSSALLQEDGYKTGFCKQHENNNYVEFLLDAIIEQVVKTFHLSQSMKA >LPERR07G00090.1 pep chromosome:Lperr_V1.4:7:48742:51809:-1 gene:LPERR07G00090 transcript:LPERR07G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAASWSRSVTETVRGSHQYTVKGFSMAKGMGPGRYVTSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRARHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLETSDFLKDDCLVMNCTVGVVKNRLETPKNIQIHIPPSDMGRCFKDLLNLGIGCDVTFEVGEESLQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPVVFKAMVNFIYSDELPSIHELAGSVSTGTSTVVIQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDTALLNRKRGVGGNEGANPVESVEGSERRIRRRV >LPERR07G00100.1 pep chromosome:Lperr_V1.4:7:61206:61409:-1 gene:LPERR07G00100 transcript:LPERR07G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLILSPPLHFPLSPVPKRRRSANSKARGSLPVAVGLGASSSILRWLATAHATVASARVAAFRVD >LPERR07G00110.1 pep chromosome:Lperr_V1.4:7:66709:67542:1 gene:LPERR07G00110 transcript:LPERR07G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMERMAKHYWAMWGSAGGRSGWPAHGGGGEPSWEEQAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDPIVSLCAPPPPLSSADPHDQFPAAEAADPLLRLYSPKSTAADSDPPQLQPTTYNSSTTNSSPNSCIATIIKESRNKARLFITMPPATDATTTTTLLLEGGVDYGKEEEEEEEICRRKRRRVDQPSACVRSTDDPAAPADHANKLQVITSPSSSGASAALLVHPLVALQEVDLELRLGTTPA >LPERR07G00120.1 pep chromosome:Lperr_V1.4:7:70660:73525:-1 gene:LPERR07G00120 transcript:LPERR07G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCWAEQSRRVVARIAATPDSGVHALPFLHRALLLPLLSAASAALRLSRLLSSSSSLYCPRHALPVPVVSVGNLTWGGNGKTPMVDFLARSFHNISVSPLLLTRGYSGGDESRMLRRRLSDTSAIIGVGANRAAVAASILHKYQIGVAILDDGMQHRRLLRDCDIVMVNALTPWGNTHLIPRGPMREPLTAITRAHIVVIHHAHLVSEAQLKTITSTIKDNGATCPVFFSKLVPSHIFEVNQPLQRLPLHVLHGMIVLCVSAIGCPDAFVRSVKEIGPLKTERLDFSDHHFFSAHDVQLIQDTLKKLVYQHKNDAVVLVTEKDYDRDPDALRMLDAKVWVLSSCLQIIPLEEQGDDEFMRKVREIISASRHAKLQRARA >LPERR07G00130.1 pep chromosome:Lperr_V1.4:7:75958:81790:1 gene:LPERR07G00130 transcript:LPERR07G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEVVMAQQQQGGGGVAMMSRNPSYYYSGEAELEGTAVQRQDSLYRDASRAGAQVHAQEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFNEGGIRHTDDLLGVLSLVVYSFLLFTIIKYVYIALRANDDGDGGTFALYSLLSRHANVSLLPNQQAEDQLHMSKSSSLRRPPVQRLASTAEERALWVKHLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVAGLKEKAPHLNTEQVVWVTVGILVVLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYIVDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSVRSIQLSFALGLVPAVLLAYAGQAAFLRKYPEQVGDAFYASTPQVLFWPTLVLALAASVIGSQAMISCAFATISHSQAMGCFPRVKIVHTSSRYQGQVYIPEINFLLGAAACVVTLAARDTVVIGEAHGICVVLVMLITTLLLTVVMLLVWRVNVWWVAVFALVFASTESVYLSSVLYKFLHGGYIPVAMSAVMMAVMGVWHYVHVRRYKYEMERTVSPERVKELMAASNLQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSIKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLDDAKDFVSSLVERLQYYVRDVNLYGGAAANPKVSYPSSRCDSMAKSASYAERLQLQRARSVAMQQSHSLHQQPPLPLGQLLQYSSSTGDQFRRSVLYAEEMLTPAESFSEMQASGRQLMAVAVKMSLEEMARIEEEQRFIQREMDKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGHKMLAIPRSQLLKVGMSYEI >LPERR07G00130.2 pep chromosome:Lperr_V1.4:7:76069:81790:1 gene:LPERR07G00130 transcript:LPERR07G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQGGGGVAMMSRNPSYYYSGEAELEGTAVQRQDSLYRDASRAGAQVHAQEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFNEGGIRHTDDLLGVLSLVVYSFLLFTIIKYVYIALRANDDGDGGTFALYSLLSRHANVSLLPNQQAEDQLHMSKSSSLRRPPVQRLASTAEERALWVKHLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVAGLKEKAPHLNTEQVVWVTVGILVVLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYIVDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSVRSIQLSFALGLVPAVLLAYAGQAAFLRKYPEQVGDAFYASTPQVLFWPTLVLALAASVIGSQAMISCAFATISHSQAMGCFPRVKIVHTSSRYQGQVYIPEINFLLGAAACVVTLAARDTVVIGEAHGICVVLVMLITTLLLTVVMLLVWRVNVWWVAVFALVFASTESVYLSSVLYKFLHGGYIPVAMSAVMMAVMGVWHYVHVRRYKYEMERTVSPERVKELMAASNLQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSIKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLDDAKDFVSSLVERLQYYVRDVNLYGGAAANPKVSYPSSRCDSMAKSASYAERLQLQRARSVAMQQSHSLHQQPPLPLGQLLQYSSSTGDQFRRSVLYAEEMLTPAESFSEMQASGRQLMAVAVKMSLEEMARIEEEQRFIQREMDKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGHKMLAIPRSQLLKVGMSYEI >LPERR07G00140.1 pep chromosome:Lperr_V1.4:7:83860:86192:-1 gene:LPERR07G00140 transcript:LPERR07G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTAAPRRSTCPCYRRRRRNVAAGSRRPPPVVGSSATARALAAGLWRLRQAERSAARRQHNPATIHGGRKAKQMSDCGVGRRNSSSHGNDILDKIEACCMVDEYSYGYGYGGSTSMEKATKWDNNLNNSNNRGSSSSGEKVSRRVVALEAALEKARCQMRELDEERRLAVKKLRKVAEEKAWWKSKARCAAAALREELKAERHHRRQLEAANGKLMKEVASAKQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVAMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLHRHGHRHAHHLRRTAALVMPDDDQHHFLYKSNHAPEDVDKVFDHFRRKNNNTNTRSSSSPASSDVASPATDLFLEKVDADDGGGWPWERETPPPPNHRAINASTSSNNGVVTNEGGSGRSRRSGNFNTALIRRLWRSAISESRKKQNAAAAAPASSSSGSRNRSVVMHNGCSSSYSESDRGRNRDRDTARSSVINPAEGARRSASATSKEKEEKDMGRKKKSLMEKLMEARMDDHQHSTDQPQQVVSNTS >LPERR07G00150.1 pep chromosome:Lperr_V1.4:7:90418:94922:-1 gene:LPERR07G00150 transcript:LPERR07G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWRLLAAAALLSSLCLLALLPNSFAHDLHRIDRADKDEDDGSGGLMPELSPTGSPIPLVPFLAPAPLAPFFNNTTPKLSGKCSLNFTAVNDLMTTTAVDCFASFAPFLANVICCPQLQAMFTILIGQSSKQTGSLALDPTVANYCLSDVQQLLLSQGASDELHNICSVHLSNVTEGSCPVSTVDAFESVIDSSKLLEACQKIDPVNECCSRTCQNAINDASQKISLKDGGLTTYTGSPKVDSCKNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECSGTVKNSTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGEKLQKMNVSMNVYSSCQITLKDFSLQESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSTHASSSCNKSVNIPERPAATSAQNGVNQNRLELSLLISLGTLLVAVLLQV >LPERR07G00160.1 pep chromosome:Lperr_V1.4:7:96008:101345:1 gene:LPERR07G00160 transcript:LPERR07G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLLTGRKSAKNPPHSVARVSLPAVVDGSGTTGGLGHGAALLLPRPSATPASTSPLAPAQGGGGGAADAAAVGGGGLSGCRRRSLWIGGLINWMDEDYLRSCFTRSPELVSVVIKRNKQTRQSEGYGFLNFDDHVAADQILKSYNGQQMPDSSKHFRLNWVTHTPPPPAAAADTHTDPTTDHTIYVGNLAYDVTDYMLHHVFKSRYSSIKTANVVCDKSTGRSKGYAFVLFGDANERTQAMTEMNGAYCSTRPIRICPVTNKKSLSHDAEGSDSDCNPNDSRLFIGSLDPSVTDEDLMEAFGAYGELVNVKVITGKQVGFVKYSSRASAEEAIKMLNGSQLAGHAIRVQWDRWNGGLHGQPQCSGPDPDWCSQDPNKQNYTTDPGYGYDQQGRHVVALFLLQLCKQLCGMTQVPPQISTE >LPERR07G00160.2 pep chromosome:Lperr_V1.4:7:96008:100806:1 gene:LPERR07G00160 transcript:LPERR07G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLLTGRKSAKNPPHSVARVSLPAVVDGSGTTGGLGHGAALLLPRPSATPASTSPLAPAQGGGGGAADAAAVGGGGLSGCRRRSLWIGGLINWMDEDYLRSCFTRSPELVSVVIKRNKQTRQSEGYGFLNFDDHVAADQILKSYNGQQMPDSSKHFRLNWVTHTPPPPAAAADTHTDPTTDHTIYVGNLAYDVTDYMLHHVFKSRYSSIKTANVVCDKSTGRSKGYAFVLFGDANERTQAMTEMNGAYCSTRPIRICPVTNKKSLSHDAEGSDSDCNPNDSRLFIGSLDPSVTDEDLMEAFGAYGELVNVKVITGKQVGFVKYSSRIDGMVACMDSPNALVLILIGVLRILTSRTIQRIQDMDMTNRGGMLLPCFSFSCANSCVA >LPERR07G00160.3 pep chromosome:Lperr_V1.4:7:96008:100650:1 gene:LPERR07G00160 transcript:LPERR07G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLLTGRKSAKNPPHSVARVSLPAVVDGSGTTGGLGHGAALLLPRPSATPASTSPLAPAQGGGGGAADAAAVGGGGLSGCRRRSLWIGGLINWMDEDYLRSCFTRSPELVSVVIKRNKQTRQSEGYGFLNFDDHVAADQILKSYNGQQMPDSSKHFRLNWVTHTPPPPAAAADTHTDPTTDHTIYVGNLAYDVTDYMLHHVFKSRYSSIKTANVVCDKSTGRSKGYAFVLFGDANERTQAMTEMNGAYCSTRPIRICPVTNKKSLSHDAEGSDSDCNPNDSRLFIGSLDPSVTDEDLMEAFGAYGELVNVKVITGKQVGFVKYSSRIDGMVACMDSPNALVLILIGVLRILTSRTIQRIQDMDMTNRGGMLLPCFSFSCANSCVA >LPERR07G00160.4 pep chromosome:Lperr_V1.4:7:96008:101345:1 gene:LPERR07G00160 transcript:LPERR07G00160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLLTGRKSAKNPPHSVARVSLPAVVDGSGTTGGLGHGAALLLPRPSATPASTSPLAPAQGGGGGAADAAAVGGGGLSGCRRRSLWIGGLINWMDEDYLRSCFTRSPELVSVVIKRNKQTRQSEGYGFLNFDDHVAADQILKSYNGQQMPDSSKHFRLNWVTHTPPPPAAAADTHTDPTTDHTIYVGNLAYDVTDYMLHHVFKSRYSSIKTANVVCDKSTGRSKGYAFVLFGDANERTQAMTEMNGAYCSTRPIRICPVTNKKSLSHDAEGSDSDCNPNDSRLFIGSLDPSVTDEDLMEAFGAYGELVNVKVITGKQVGFVKYSSRIDGMVACMDSPNALVLILIGVLRILTSRTIQRIQDMDMTNRSTE >LPERR07G00160.5 pep chromosome:Lperr_V1.4:7:96008:101345:1 gene:LPERR07G00160 transcript:LPERR07G00160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLLTGRKSAKNPPHSVARVSLPAVVDGSGTTGGLGHGAALLLPRPSATPASTSPLAPAQGGGGGAADAAAVGGGGLSGCRRRSLWIGGLINWMDEDYLRSCFTRSPELFIGSLDPSVTDEDLMEAFGAYGELVNVKVITGKQVGFVKYSSRASAEEAIKMLNGSQLAGHAIRVQWDRWNGGLHGQPQCSGPDPDWCSQDPNKQNYTTDPGYGYDQQGRHVVALFLLQLCKQLCGMTQVPPQISTE >LPERR07G00170.1 pep chromosome:Lperr_V1.4:7:97538:102841:-1 gene:LPERR07G00170 transcript:LPERR07G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLSSSSSSSSPAAALRGFWEDVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFIVNGVRAVVFGFHVHVFLLPTKVYKLVLLDLPGLLFFSTYILLVLFWAEIYHQARSLPTDKLRIIYVAVNSVIYVIQVCIWVYLGINDNSLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICCVCFLIRCIVVALSAFDPDVSLEVLDHPILDLFYYMLTEILPSALVLFILRKLPPKRDPENTNQDQDQSIGAVHASHHSIDPAHICGQRTYS >LPERR07G00170.2 pep chromosome:Lperr_V1.4:7:100051:102841:-1 gene:LPERR07G00170 transcript:LPERR07G00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLSSSSSSSSPAAALRGFWEDVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFIVNGVRAVVFGFHVHVFLLPTKVYKLVLLDLPGLLFFSTYILLVLFWAEIYHQARSLPTDKLRIIYVAVNSVIYVIQVCIWVYLGINDNSLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICCVCFLIRCIVVALSAFDPDVSLEVLDHPILDLFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >LPERR07G00170.3 pep chromosome:Lperr_V1.4:7:100049:102841:-1 gene:LPERR07G00170 transcript:LPERR07G00170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLSSSSSSSSPAAALRGFWEDVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFIVNGVRAVVFGFHVHVFLLPTKVYKLVLLDLPGLLFFSTYILLVLFWAEIYHQARSLPTDKLRIIYVAVNSVIYVIQVCIWVYLGINDNSLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICCVCFLIRCIVVALSAFDPDVSLEVLDHPILDLFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >LPERR07G00170.4 pep chromosome:Lperr_V1.4:7:100051:102841:-1 gene:LPERR07G00170 transcript:LPERR07G00170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLSSSSSSSSPAAALRGFWEDVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFIVNGVRAVVFGFHVHVFLLPTKVYKLVLLDLPGLLFFSTYILLVLFWAEIYHQVLANATHVFNIPFSYFLRIFIQWMIWVTLRDLARSLPTDKLRIIYVAVNSVIYVIQVCIWVYLGINDNSLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICCVCFLIRCIVVALSAFDPDVSLEVLDHPILDLFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >LPERR07G00180.1 pep chromosome:Lperr_V1.4:7:105668:115518:1 gene:LPERR07G00180 transcript:LPERR07G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGDELLLVLQRPMEMATGVHRPAHLLPPLAGEGEGGSPPPPPFRPGGGAAESRVSFRGWLGGPRQWDVWVAKLRAIHERLWRHLGIHDAVVASTYRFKRDAALVLHLASFWSPSTSSFAFPWGEATVSLLDVSLLAGLPANGAPVPGPLPPHWRPDEAALNAVRLRFNRSACKKAHHSAWISHFLVSSSDGEIEHAAFLALWLTRFVLPGHPESTMRHSLFPLAVRMARGQRVALAPAVLASLYRDLRDLQAFLSSSPAAADPLSVYAPFYLLQLWAWERFPALRPAKLHTPINPGDPRAARWHDVTNKISPAVLRAALNSGNGFVWLPYTISVHPCGWVRGCHVSGNDALTTLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVPRANDDCVVAWETYHLEGKNVAFFIPQSKPGVTARYARWWRQPLPPSHLDVGAATTALEPTKPSKRKVKKTRLAMEAEEEKERKMKKPRISPSPSSNNDKKRRLQELYRDAKLSDCLAAARNEGDSACDMESENALLTHVQNSNDDIVLLVPKKQTSAPDVNLIKDNNIDVAEGDFKTAMPLVGMEEKDAMLKAQKTSEVDHPTHQLYCPETKAAPSTEIAKDDESSGAVLAANANELDSGRTPDVFNRPEEATSPSQKEGFSDHLSDAVCDDARIKEIVTVDKPPDVSKEPEGGVAVMPEETSSVDQKSLDVSDKPEEGTSIMLELEKEANLSVDESCRVSNSPEDVSATVTGEKEKNVAIDEMDEGNAASQEDVVIANLRAVCSTENVVPESGQDVDAGVINIPHDAMPLPDEVLPVQQANQAGKHIEMPCTMGDINVFAEVQGSNDGEATCDFDTEEQRNLCCIEEIGGENSQMVHKDSEQKPQEAYQDNMVECGQDVNPMENDNVDVHEKIPQPPETVISDSNMTAVCLGVPEAENAGSDKGLHPAKEDTEDMPKEVVGAEGSQQDQKVTTSTQDVVVDEHNKVAELDHIIMAEPNVHAQCDGEKPEEVAEEEHAEMDGSMIITGRGTDGVLGVPEAENEDVDKDMDLADKTTEDMPKEVVEVVGTEMKHIDTSANGGAEAELKDAAEVEDIDMAEPKLHAHFDTVKPEKVTGVRHAEMDETEGQRQTGRDTDKMSADVPDVGHSEVEKDNGLRDNTTCEKSAKQDEEAEEVNGSIKDDAENKSGKLSELESTGMGGTHGPLEEVTDSNLEEVPDVHAQAEQVNGLERDDDGTKGILQVDIPARDEAGCLAKEDAEDNTTEVPLVNHENVRDKAPIEKDTVEKHTSYGNELGESEVDEFNEIIQVRQVEGEECKVSREKDTEENAVGIEQIERQGNVLTEKCKYEVEQVDEQSERLTMAGVEEKYEEITQAQENEFDNNMMETSKVSVNSEMLCNSASIQSGEQKEASDEGMTDMQCIQAVEFVDEREPFSDAAAMIVEGADDHRTTNTHEDVATKQIQDCGIICENKDTQMLQDGCALDIGLKSDIDIMEIEPQAIEGIQNKETMELDKQQEMEDKQNPGTTTENSKMEISEEDANTLSSGDTKPDPPNTNVSEVEFTTGIQNQEHLDIKKAHIMDQESKFRPLEEADTSCACGDGEGNIAVSLDVNGENSIKGMRNEEIHNTEEASVLQLQAKDGKQHNGAHSVNEKRILENTSTIDSGDSTNVGVNGAESREGTQSLCVLNTEKEPEILEDDEEDWGTENENAKQILVNTDSFECGEVKPDAMMKMTHETLLDTGDTSSPKDQHKDVPWEDHNKSDSEVSESNQTATKESERAIPAELEDQAEVREGNMDNKTEMSLGRENDEVCEQDQTSTEEPTIAPSSMDDRGENSKGWSEESVQNYGRYASDQVNTSWQPVKFGKPSIEEVKRTHSGRSIYLRDIKESQGRTRSETSNKLHLNTAGHYSRHAVPEPVSVSKEIKVPLYDSTRASGRDRGGPELVVTGPPEETSRWRQEQYALQILEDVQNARIAEKTRMEMEIRILKAQVSSMQRQAMSLDRVGDGVSRSRRH >LPERR07G00180.2 pep chromosome:Lperr_V1.4:7:105668:115518:1 gene:LPERR07G00180 transcript:LPERR07G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGDELLLVLQRPMEMATGVHRPAHLLPPLAGEGEGGSPPPPPFRPGGGAAESRVSFRGWLGGPRQWDVWVAKLRAIHERLWRHLGIHDAVVASTYRFKRDAALVLHLASFWSPSTSSFAFPWGEATVSLLDVSLLAGLPANGAPVPGPLPPHWRPDEAALNAVRLRFNRSACKKAHHSAWISHFLVSSSDGEIEHAAFLALWLTRFVLPGHPESTMRHSLFPLAVRMARGQRVALAPAVLASLYRDLRDLQAFLSSSPAAADPLSVYAPFYLLQLWAWERFPALRPAKLHTPINPGDPRAARWHDVTNKISPAVLRAALNSGNGFVWLPYTISVHPCGWVRGCHVSGNDALTTLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVPRANDDCVVAWETYHLEGKNVAFFIPQSKPGVTARYARWWRQPLPPSHLDVGAATTALEPTKPSKRKVKKTRLAMEAEEEKERKMKKPRISPSPSSNNDKKRRLQELYRDAKLSDCLAAARNEGDSACDMESENALLTHVQNSNDDIVLLVPKKQTSAPDVNLIKDNNIDVAEGDFKTAMPLVGMEEKDAMLKAQKTSEVDHPTHQLYCPETKAAPSTEIAKDDESSGAVLAANANELDSGRTPDVFNRPEEATSPSQKEGFSDHLSDAVCDDARIKEIVTVDKPPDVSKEPEGGVAVMPEETSSVDQKSLDVSDKPEEGTSIMLELEKEANLSVDESCRVSNSPEDVSATVTGEKEKNVAIDEMDEGNAASQEDVVIANLRAVCSTENVVPESGQDVDAGVINIPHDAMPLPDEVLPVQQANQAGKHIEMPCTMGDINVFAEVQGSNDGEATCDFDTEEQRNLCCIEEIGGENSQMVHKDSEQKPQEAYQDNMVECGQDVNPMENDNVDVHEKIPQPPETVISDSNMTAVCLGVPEAENAGSDKGLHPAKEDTEDMPKEVVGAEGSQQDQKVTTSTQDVVVDEHNKVAELDHIIMAEPNVHAQCDGEKPEEVAEEEHAEMDGSMIITGRGTDGVLGVPEAENEDVDKDMDLADKTTEDMPKEVVEVVGTEMKHIDTSANGGAEAELKDAAEVEDIDMAEPKLHAHFDTVKPEKVTGVRHAEMDETEGQRQTGRDTDKMSADVPDVGHSEVEKDNGLRDNTTCEKSAKQDEEAEEVNGSIKDDAENKSGKLSELESTGMGGTHGPLEEVTDSNLEEVPDVHAQAEQVNGLERDDDGTKGILQVDIPARDEAGCLAKEDAEDNTTEVPLVNHENVRDKAPIEKDTVEKHTSYGNELGESEVDEFNEIIQVRQVEGEECKVSREKDTEENAVGIEQIERQGNVLTEKCKYEVEQVDEQSERLTMAGVEEKYEEITQAQENEFDNNMMETSKVSVNSEMLCNSASIQSGEQKEASDEGMTDMQCIQAVEFVDEREPFSDAAAMIVEGADDHRTTNTHEDVATKQIQDCGIICENKDTQMLQDGCALDIGLKSDIDIMEIEPQAIEGIQNKETMELDKQQEMEDKQNPGTTTENSKMEISEEDANTLSSGDTKPDPPNTNVSEVEFTTGIQNQEHLDIKKAHIMDQESKFRPLEEADTSCACGDGEGNIAVSLDVNGENSIKGMRNEEIHNTEEASVLQLQAKDGKQHNGAHSVNEKRILENTSTIDSGDSTNVGVNGAESREGTQSLCVLNTEKEPEILEDDEEDWGTENENAKQILVNTDSFECGEVKPDAMMKMTHETLLDTGDTSSPKDQHKDVPWEDHNKSDSEVSESNQTATKESERAIPAELEDQAEVREGNMDNKTEMSLGRENDEVCEQDQTSTEEPTIAPSSMDDRGENSKGWSEESVQNYGRYASDQVNTSWQPVKFGKPSIEEVKRTHSGRSIYLRDIKESQGRTRSETSNKLHLNTAGHYSRHAVPEPVSVSKEIKVPLYDSTRASGRDRGGPELVVTGPPEETSRWRQEQYALQILEDVQNARIAEKTRMEMEIRILKAQVSSMQRQAMSLDRVGDGVSRSRRH >LPERR07G00190.1 pep chromosome:Lperr_V1.4:7:110367:115473:-1 gene:LPERR07G00190 transcript:LPERR07G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEMSSDAKVAEEVSTPSSAFKFNVHAPEFVPMSPTASPMSAPAGSSNGSFYSPFLHHMQAAAAADWSFFHDHEPVFFMPDVKFASASAATGTGQAKPTPAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARSSKSDTLVSNKMHALVEYENSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADLDHLVLGSDDDHSPHSESSPTAEEEQQQQRGGGWAARGGRGKLQVAAPHSPQSAPAGSVGHFESAAVSPRHSNKQLPASPRTHAHGPRMPDGTRGFTMGRGKPLTPCLL >LPERR07G00190.2 pep chromosome:Lperr_V1.4:7:113455:115473:-1 gene:LPERR07G00190 transcript:LPERR07G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEMSSDAKVAEEVSTPSSAFKFNVHAPEFVPMSPTASPMSAPAGSSNGSFYSPFLHHMQAAAAADWSFFHDHEPVFFMPDVKFASASAATGTGQAKPTPAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARSSKSDTLVSNKMHALVEYENSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADLDHLVLGSDDDHSPHSESSPTAEEEQQQQRGGGWAARGGRGKLQVAAPHSPQSAPAGSVGHFESAAVSPRHSNKQLPASPRTHAHGPRMPDGTRGFTMGRGKPLTPCLVPS >LPERR07G00200.1 pep chromosome:Lperr_V1.4:7:118570:124621:-1 gene:LPERR07G00200 transcript:LPERR07G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLASESGVYCRSVTTPCSMPTVDTEEDESSAAASHSAGVRAGVDDDDAGLHHADAEQQVGEGGAAGAGGEGRAVGDPGSPGLELLAEGVEGGAAVVVVDVDGGDLAPREVGHHVGHGGHLGQVRVGGADEGYCDDVSVGSVAAKDSSGMRSSSTMCDTTCPIPDDCGPTTATTFFSISCSPAHSEGRKAQYVPREEMDSYSIGT >LPERR07G00210.1 pep chromosome:Lperr_V1.4:7:121424:126901:1 gene:LPERR07G00210 transcript:LPERR07G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKAGPLLRLLCLVCIGFALPVPVFAARPANVSIGALFTFDSVIGRSAKGAIELAVADVNRDASVLNGTYLSVVEQDTKCSGFLGIIQGLQLMEKKVVAVVGPQSSGIGHVVSHIVDELRIPLLSFAATDPTLTSSQYPYFVRAAHSDLSQMAAVADVVTYFSWREVTAIYVDNDYGRAALDALGEQLQSRRSRVSYRAPFPPGAGRAAFTDLLLRVSMMESRVIVVHASPDSGLGIFAAAHSLGMMSSGYVWIATEWLAAALDSSSSVSTVGMLQGVVTLRQYTPDSDAKRSLMSRFSQSGMNAYGLFAYDAVWMAARAIDQLLEDGSNVTFSDDARLVANGSTTRLRLGALKVFDQGEQLLGKLTTLVNFTGVTGRALAGPAYEVLNVGGTGVRRVGYWSNATGGLSVAKPDADAGEANQQLHSVIWPGQTSRRPRGWVFPNNGKALRMGVPYRTTYKQLVSRDASGPDGASGYCVDVFKAAVSLLPYPVPVAYELVGDGVKNPSYGDLVQRVADGWLDGAVGDISIVTNRTRVVDFTQPYQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAINDYAASLQNGAVAAIVDELPYVQLFLSTNCQFRTVGQEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASSDANSVGADRLSLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHAANEVDPDAFPPPVMDAGGSTVAGRMSARRPARLTSIRDLMTFVDMKEAEVKRRKKMMNEDSSSGSRLDRSAGPSSSSFSPV >LPERR07G00220.1 pep chromosome:Lperr_V1.4:7:124634:130375:-1 gene:LPERR07G00220 transcript:LPERR07G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMLGAVVCMLLVFAIFPLLLWRRRSDAATAGDDHRLPPQPLQGERVVRGGQGARRMRRRPVSTASTSTDASTSRDVAAEDDADSDEEELQDVPRGSKKKEKKRQEREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFDKWKGAFSVDAEGTTESETQDDGQGLLYNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQFDEESHLDESAAAVGGEEELDVGQLVDDGGDGAVLEAGGVVVDGELAEARLGHAELLHEVALGEGSDLEADGVGGGDEAVEALDAGGELLDGEDGDVPHGAVQPPVRHALHQVAVARVLHAVPHQLVGHRHRVGEQRHRRLEDVHAPLAPSGPDASLDTNCLYVVLYGTPIRSAFPLLGNTHPRGRLDVCPGQITLIRVGLGHRQAPRGVGPVAHTAHARAADVEHLAGPARARPVTPVKLTSVVSFPSSCSPWSNTFSAPSRSRVVLPLATSLASSEKVTLEPSSSSWSMARAAIHTASYANSPYAFMPL >LPERR07G00220.2 pep chromosome:Lperr_V1.4:7:127294:130375:-1 gene:LPERR07G00220 transcript:LPERR07G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMLGAVVCMLLVFAIFPLLLWRRRSDAATAGDDHRLPPQPLQGERVVRGGQGARRMRRRPVSTASTSTDASTSRDVAAEDDADSDEEELQDVPRGSKKKEKKRQEREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFDKWKGAFSVDAEGTTESETQDDGQGLLYNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQFDEESHLDESAAAGTEL >LPERR07G00220.3 pep chromosome:Lperr_V1.4:7:124634:126029:-1 gene:LPERR07G00220 transcript:LPERR07G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRSECNTYESPSALGELLADGPELAVGGEEELDVGQLVDDGGDGAVLEAGGVVVDGELAEARLGHAELLHEVALGEGSDLEADGVGGGDEAVEALDAGGELLDGEDGDVPHGAVQPPVRHALHQVAVARVLHAVPHQLVGHRHRVGEQRHRRLEDVHAPLAPSGPDASLDTNCLYVVLYGTPIRSAFPLLGNTHPRGRLDVCPGQITLIRVGLGHRQAPRGVGPVAHTAHARAADVEHLAGPARARPVTPVKLTSVVSFPSSCSPWSNTFSAPSRSRVVLPLATSLASSEKVTLEPSSSSWSMARAAIHTASYANSPYAFMPL >LPERR07G00230.1 pep chromosome:Lperr_V1.4:7:147812:148783:1 gene:LPERR07G00230 transcript:LPERR07G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQSNPPLMATYKHLFVDGRRLDDGAVDCNECLHLPVIDLNSAAAEVDMVRAASEWGFFQVTNHGVPQALLRELHEEQVAVFRRPFEQKVNERLLDFSPESYRWGTPTANCLEQLSWSEAYHIPMTTGSGSSRCRAVIEEVSKAMYELAQKLAAILMRGITGGGETMMTSTTREETCFLRLNRYPPCAISSAFGLCPHTDSDLLTILHQQDTIGGLQLLKGGRWVAVKPDPAALIVNVGDLLQAWSNDLYRSVEHRVMANATAERFSMAFFLCPSYDTIIQSSHANASYRSFTFGEYRKQIMEDVRSTGRKLGLQRFRRST >LPERR07G00250.1 pep chromosome:Lperr_V1.4:7:166590:173202:1 gene:LPERR07G00250 transcript:LPERR07G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELMRAAAMAVVVVVGVLVSPSSAHVFVGSYNTTCPQVEDMVYKEMTAILAKSPDLAGPLLRLFSVDCFVGGCEGSILLDSTPGNTAEKDSPLNKGVRGYEVVDAIKAKLDAACPGIVSCSDTLALAARDVVRLTKGPYIPLPTGRRDGNSSKASDVAATSPLPGATVSDLITLFAKFNFTAKDLAVLSGAHTIGKAHCSVFSNRIYSNGNGDPTLDGNYTATLRGQCKANDLSTLVDLDPLTPNTFDADYYKQVASQRGLLATDAALLLNPDTKAYVLRQANATSPDEFFSDFIVSFVNMSKIGVLTHTHGEIRQKCSVVNPPSPSSSSAAASVLATSLAGSLLFLLAGALVLLWAVVVAAAMIATMGGEARAQLQYGFYNASCPGVEDLVRSELKAIFANDTTLRAGLLRLHFHDCFVRGCDASLMLNSHNATAEKDADPNLTVRGYEAIEAIKAKVEAACPLVVSCADIMAMAARDAVYLSDGPQYEVETGRRDGNVSSMAEALTNLPPADGNVTVMTHYFGVKNLTMKDVVVLSAAHTLGVAHCPSFSKRVNNYTGAGDQDPSLDPAYGKQLAAVCKPDNVASVEPLDALTPTKFDNGYYKSVAAHQALLGSDAALLDDSLTGAYVRLMTNDSYLDTFFADFAVSMINMGRVGVLTGTDGQIRATCGIYVD >LPERR07G00250.2 pep chromosome:Lperr_V1.4:7:158409:166680:1 gene:LPERR07G00250 transcript:LPERR07G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAELRGFASVKRVKARLEAACPGTVSCADILALMARDAVLLAKGPYWPVRRGRRRASPFLRRHVRRQGPRPQGPRRSTPATTATRRGLFSSDAVTGEYVRRVASGSFDEEFFRDFAESMIKMGNVGVLTGDQGEIRTKYRQPVRLQSASMATSSSWMVMLMLVSAAAAAASSSSAAGQLRTGYYRETCPKAEQIVFGETTRIIRASPDMAGALLRLHYHDCFVQGCDASVLLDSTAGNASAERDSDPNKTLRGFDAVARVKDKLEKACPSTVSCADILALMARDAVLLAKGPTWNVPLGRRDGRSSTAASCGGNLPPLCSNVTRLLESFAAKGLDVKDLVVLSGAHTLGVAHCPNFADRLSGDPALDGAYAARLRLQCNKGNVTAEMDPGSFTRFDTSYFRQVARRRALLRSDACLMDNPFTAAYVRQAATGKYDGHFFKDFGESMVKMGAIGVLTGNQGEIRLKCNVKNKHLHH >LPERR07G00260.1 pep chromosome:Lperr_V1.4:7:176635:177459:1 gene:LPERR07G00260 transcript:LPERR07G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVVLVAVAALAQVAAAVVHPVGGNGAWDTTGNYNAWSLSQKFTQGDSIKFSYPSSHDVVKVTKAGYDACSPANAIASFNDGSTIVKLDAPGKHYFICGIPGHCAAGMKLEVTVVAAAATTKPRNKKAVAPAMPPSVPSPTEETMPAVSSPTGAPAPSASGAASAVAKAAAALATGMAVLAFAM >LPERR07G00270.1 pep chromosome:Lperr_V1.4:7:184702:186471:1 gene:LPERR07G00270 transcript:LPERR07G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDDLPVCILQCIVMLLPLLDAVRISTLSRRLRAAWMGMDTYQLDASVIPDHVAYRNAAFGSLVDDVVFNHSGPGIKFISLANTRYGFDGDQRVTAWLNRLASPDHHRLETLDVDIGAVVHTPASLFRCETLVDLRLMVHGNARGLGAVHLPALRRLCLEHVVFSSTSFQNLMDGCQSSLEMLHLIHCVVADREDDAGSINIRGEALRRVVFNGITGYGMVPFEVSAPNVDEFVFSGRNMVIAENGGVRRLVARKLSLLMDDKVWLYRMFAPLYFLSVGTNMARIISGFHGLLELVISGWSIEYLSRIVHNVNLPEWGIEVLRVEGMWPNQGQAGIVLRLLRSSPCLKDLYITNELDHPELISTDANREDYPETPQFLFEAVPGRLSHLKFFLMRNFTGDLNEMSIVHFVRGSSFISSIDHPDDFRVMDVFGHNWINTINNLQLL >LPERR07G00280.1 pep chromosome:Lperr_V1.4:7:191044:192163:1 gene:LPERR07G00280 transcript:LPERR07G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLFEAVPGRLSHLRLFLMRNLTGNLGELSVIDFVRESSFLSSIDHPDDFCVIDVFGHSWINTSSFLCLKL >LPERR07G00290.1 pep chromosome:Lperr_V1.4:7:193442:193924:-1 gene:LPERR07G00290 transcript:LPERR07G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMRWCYVGKATKIFFAVLAILAVVGVVLAFRAVLHRAKSRSSSSSAACAAADECQPVLPEPVPQPFTAATASPPPPPPSPQNPTFPSPPDAASPPPPMLEPPPASIPQPSPALASPPPPDALVPPPPASMPPPAVLVPPPPALMPPPPPEAPSPTAF >LPERR07G00300.1 pep chromosome:Lperr_V1.4:7:194575:195916:1 gene:LPERR07G00300 transcript:LPERR07G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLQPGLTAAAASSLLRPRSRRRLLLVACRCQTTTAGRRSACLSFGLAAVTTLLQQHAALAADDEPANNGWWLTEFPLPVPKIVNKELNNGETGTRSFVRNGIYIADIGPSFAAHAYRLRSTAFDLLALEDLLGNNADRANYVIKYLRLKSTFMYFDFDKLLTAADADQRPQLLDLANRLFDSFERLQRACGTKDDTIIGDSYAVTKIILQEVMARMA >LPERR07G00310.1 pep chromosome:Lperr_V1.4:7:195752:200320:-1 gene:LPERR07G00310 transcript:LPERR07G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSGRSGLPLHQKENAADAQAGKRQRTAAGSAARAPLSSAAAPPPAPEPAIEFTGRDDVDALLNEKMKGKNKMDFKGKSEQMMEYIKKLRACIKWLLEREDANLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQKQYAALEENLKRVEAEKLDALRSYGDEKEARIAVESSRNELLEDIRRIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADTTKNGETITKLQKEKNTMLETMNGLKDHANSVKMQLDLAKSSQSEALKQKNDLVKEVDSLRGELQQVREDRDHKLAEINSLLAEIGTYKELTGKSVVELDNAVTRSTALEETCSSQRERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKGVLEDLQLRLEEAEQQIMDGEKLRKKLHNTILELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFDQLASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHVQKGLIPRSLEQIFQTSQSLISQGWKYKMQASMLEIYNETIRDLLATNRTGIQDGGSSKYNIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLTQ >LPERR07G00320.1 pep chromosome:Lperr_V1.4:7:203003:205537:1 gene:LPERR07G00320 transcript:LPERR07G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRAAMLVSLTLQILLIFVGPMRKRSSHPLPRFAVWSCYLLADWVADLGLGLLLNNLGNIGRNDLSSQLHLSAGGFKRGPGGGATTPGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVVFFCSLKSNPMIPATVLIFVVGIIKYGERTYSLYSGSVSGFRDKILDDPNPGPNYAKLMTEFDSKKKAGLLVEVVIADGEASKAKEVLEQGEEVRLVKTSTKTLEAMAYEFFTMFRLLFVNLILSYKERRISQAYFLERDDVTPSKAFEVVEVELNFIYDMVYTKAPVSHTKKGCVLRFIATVCLTISIVLFMLLDKTGVHPVDRGITYSLLFGGLALDVVAFFMLLSSNRMLVYLDDTPQLAWLAKAMRKLWLRTRRWSEKTSQLNLINYCLGKPKATAICWCCRWTTIPRFMRCLIRVADTVGLRDTLDEFFFIQREPISCRQKEIDKKNKIMRCCYFCCFWHKVEEQNGNCGDVLSYVFGGLRDAAKKVKDSNYDEMKGLSGYRGQGTLRHDMVLAEEMKIELTKKTREELNLKEESQEMKQSVDKALALQLKEKLATNNMTVEEKQLLTDEKAKEEKSAIDKAIEERLVVDEEVKGKLYGILCDSVEREFDESLLLWHIATDLCSNRHDLQGARHEDITRLVSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEARRFFNSASPWDPNHEDAQRMLLAVNTTKKPANVKGDRSKSVLFDACILAKVLLQLSNDIMWRVVSGVWREMLTYAAGKCHGSTHVRQLSRGGELITMVWFLMAHMGMGDMYRIHEGDAKAKLIVHDQ >LPERR07G00330.1 pep chromosome:Lperr_V1.4:7:206819:208138:-1 gene:LPERR07G00330 transcript:LPERR07G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQVVERGGSSEQEVQSKEAMAGNQVEELQRKMKEVVNAFEAEADKPELLHGQELTELFKILKENNDAVPQLPPEKKGQLAELLPKIAEALKQCAPEENTTMKKKAAAAALKMSDWYPFRTKADANADGGSVVSPLLEQTKAIFEPATAADAEWPPADEVLYEWTTSYVDEERLYGWHEEAKEVAEALVGGEDDDEGGVVFRAAGIYGIHGSGKTALAQRVFVHDLVKDTFPLRLWVCVGPQPDDMATLLYRMLDNLGLDTYKVEEIVNESAAVKKQVNASAEWSKIGVLLFILHVTLAKTSYLIVFDDVRAYDEWYTNLPLPPPADGEWSDRLAYGLPKKKKSAVLVTCRKEEHARAMVRTGRVFHPPPLHGHHAWTLFRRQYTHANNYKDDSHLELLEKMQEEIVAKCLGLPVAIIEAAKGFAQLSYQSEDDGAQ >LPERR07G00340.1 pep chromosome:Lperr_V1.4:7:208959:216728:-1 gene:LPERR07G00340 transcript:LPERR07G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNRAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETRNDDLLYDYWNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGDLLLIGGDLAYPNPSSFTYEKRFFCPYEYALQPPPWYRAEHIALDKPEVPDGVSNMKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSAIKSDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGRFSSTIWTALLYIFEHSYISSVGSLTLLLASYSFVPSKLSRRKRAIIGGMHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARMEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKEWKLDPKWEGDERRPHDQLSHHRKNPSKWRSASSPDPVRSVRVVDHFTIARTRTSDPNTTT >LPERR07G00340.2 pep chromosome:Lperr_V1.4:7:208959:216728:-1 gene:LPERR07G00340 transcript:LPERR07G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNRAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETRNDDLLYDYWNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGDLLLIGGDLAYPNPSSFTYEKRFFCPYEYALQPPPWYRAEHIALDKPEVPDGVSNMKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSAIKSDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGRFSSYHTLYDWYRSMESEHFPDPTGLRARMEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKEWKLDPKWEGDERRPHDQLSHHRKNPSKWRSASSPDPVRSVRVVDHFTIARTRTSDPNTTT >LPERR07G00340.3 pep chromosome:Lperr_V1.4:7:208959:216728:-1 gene:LPERR07G00340 transcript:LPERR07G00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNRAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETRNDDLLYDYWNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGDLLLIGGDLAYPNPSSFTYEKRFFCPYEYALQPPPWYRAEHIALDKPEVPDGVSNMKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKILLIHYISEVLQIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSAIKSDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGRFSSTIWTALLYIFEHSYISSVGSLTLLLASYSFVPSKLSRRKRAIIGGMHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARMEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKEWKLDPKWEGDERRPHDQLSHHRKNPSKWRSASSPDPVRSVRVVDHFTIARTRTSDPNTTT >LPERR07G00340.4 pep chromosome:Lperr_V1.4:7:208959:216728:-1 gene:LPERR07G00340 transcript:LPERR07G00340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNRAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETRNDDLLYDYWNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGDLLLIGGDLAYPNPSSFTYEKRFFCPYEYALQPPPWYRAEHIALDKPEVPDGVSNMKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKILLIHYISEVLQIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSAIKSDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGRFSSTIWTALLYIFEHSYISSVGSLTLLLASYSFVPSKLSRRKRAIIGGYHTLYDWYRSMESEHFPDPTGLRARMEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKEWKLDPKWEGDERRPHDQLSHHRKNPSKWRSASSPDPVRSVRVVDHFTIARTRTSDPNTTT >LPERR07G00340.5 pep chromosome:Lperr_V1.4:7:208959:216728:-1 gene:LPERR07G00340 transcript:LPERR07G00340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNRAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETRNDDLLYDYWNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGDLLLIGGDLAYPNPSSFTYEKRFFCPYEYALQPPPWYRAEHIALDKPEVPDGVSNMKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSAIKSDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGRFSSTIWTALLYIFEHSYISSVGSLTLLLASYSFVPSKLSRRKRAIIGGYHTLYDWYRSMESEHFPDPTGLRARMEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKEWKLDPKWEGDERRPHDQLSHHRKNPSKWRSASSPDPVRSVRVVDHFTIARTRTSDPNTTT >LPERR07G00350.1 pep chromosome:Lperr_V1.4:7:216933:226571:1 gene:LPERR07G00350 transcript:LPERR07G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASEKNTMLAVSRMNSQGQTVKSNAGSGSPNNMTDGNAHSNVSRQYDTSSSSHQECWRSEDLNKYACSDDGKEVGQLKKSQSLGNMLHKDHDHHFSDGAECGIIDCDHKCNCSSLKSNSAVGESAKLNTKGNENAFDSSSDHLISHDVCEPSVDHGPDSDSHYMCYAETKFPRSQSAIFQNNSNCGTEGSGDSEVLGPRCRSYEDLCSAGSEKVDYMNSIEPHHSKSNLDVHCAGPSSPDVYETMNFDENGSIGCSDVAAEENFVRDGMLSHEYWDNRYLSGDQSVDPVAPFYSGTGEGGHRTNEDGGINETLDHERKDCTPFHESLVIDVSDLKLCDSRDISEEAEHNKTETKGNQYFDVDPDELTPRTFSIKRIEDWINQIDIDDGSLVEEQGESSNSVLAKCNEPVTGVPAVRPDAKSPLGMEVAYAYISKLTPTSSSAQLANLGLVAIPRLSAFSGLRVLNLSGNSIVRITAGALPRGLHMLSLSRNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAVNLDGNPAQKNVGDEHLKKYLLGLLPNLAVYNKHPVRASGSKEVSDRHTRKISSSHRSDRSARSDRKSSRMVAGTSSSYKAQSSRHARSGHASGSSFLKHPWARSMAVAASGPRLMEYASAEDLVKDVQIGGKAHTFEDNLGDSYN >LPERR07G00350.2 pep chromosome:Lperr_V1.4:7:216933:226229:1 gene:LPERR07G00350 transcript:LPERR07G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASEKNTMLAVSRMNSQGQTVKSNAGSGSPNNMTDGNAHSNVSRQYDTSSSSHQECWRSEDLNKYACSDDGKEVGQLKKSQSLGNMLHKDHDHHFSDGAECGIIDCDHKCNCSSLKSNSAVGESAKLNTKGNENAFDSSSDHLISHDVCEPSVDHGPDSDSHYMCYAETKFPRSQSAIFQNNSNCGTEGSGDSEVLGPRCRSYEDLCSAGSEKVDYMNSIEPHHSKSNLDVHCAGPSSPDVYETMNFDENGSIGCSDVAAEENFVRDGMLSHEYWDNRYLSGDQSVDPVAPFYSGTGEGGHRTNEDGGINETLDHERKDCTPFHESLVIDVSDLKLCDSRDISEEAEHNKTETKGNQYFDVDPDELTPRTFSIKRIEDWINQIDIDDGSLVEEQGESSNSVLAKCNEPVTGVPAVRPDAKSPLGMEVAYAYISKLTPTSSSAQLANLGLVAIPRLSAFSGLRVLNLSGNSIVRITAGALPRGLHMLSLSRNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAVNLDGNPAQKNVGDEHLKKYLLGLLPNLAVYNKHPVRASGSKEVSDRHTRKISSSHRSDRSARSDRKSSRMVAGTSSSYKAQSSRHARSGHASGSSFLKHPWARSMAVAASGPRLMEYASAEDLVKDVQIGGKAQ >LPERR07G00360.1 pep chromosome:Lperr_V1.4:7:222569:226783:-1 gene:LPERR07G00360 transcript:LPERR07G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTGGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKQMANKNNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLIGAALNGAAENVAMLIIGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGYGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEQAERMLRRIRGSDVDVSDEYADLVAASEESKLVQHPWRNILKRKYRAQLTMAVFIPFFQQLTGINVIMFYAPVLFETLGFKGDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMMVCQVVVGTLIAVKFGSSGIGDIPKGYAAVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKRHWFWNRFIGDDDVHVGANHVSNGSKLPAA >LPERR07G00370.1 pep chromosome:Lperr_V1.4:7:231794:239043:1 gene:LPERR07G00370 transcript:LPERR07G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVQYRMERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRHSPLRADFLDYIAYELRLDALRDLRKRAIIRATPDDDHDDAESADKKKRKKRKGKKWKKSVSDVAGVLRVLDIYRMATVLAQVIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLEKNNDDASTWKEEHKELFMSLNEQDEGSEKSIAHGVALEGKEDLFWQQGLLIIQTIYHGAKEALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKNDFSHTEDYWDWFARLQLSDSSSSSNLDRKEALSNKLNKSIQVYDEAIRRLPTSRMYSLYAKFWMGVLFDDTEDSISLFHGGEFDASEFTSSILKVYQNAELCGCLTEELACQYVSLYLRLGGLEDAPGRLEEAKNLAKKLCNGPLSHAADLWNLRASIEMKSLATATGSSSFSKENLNSLFDLLSVALSKLSISEAEGLWHTAMKLFSHEKIYFEKLVKCAMLSLSSAGGSDCGASVSSSIVGWVLQKDGIKKARKMYKRFLALPRPSLKFFQYCIELETNIAFALMGNQDSLVNVRKLYDSALDLYPQERELWRNYYNMELKVGTSETANAIYWRARKSDWPGLDRKHPTRPTIRDGRRPWAASSPPRCVLELDVYVSVPAAQGSALHASNVTAPDDAATEIK >LPERR07G00380.1 pep chromosome:Lperr_V1.4:7:241517:242218:-1 gene:LPERR07G00380 transcript:LPERR07G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRAAVLLLVLALACSPAALRAADTAPTMKLFLHDVVSGSNPTAVQVIKGPGSGGVSGLSTGFGDTTVIDDALTETSSPTSTELGRAQGFYMVASQSSSSLTLMVCINLYFTSGDNNGSTIAVMGHDDAMAAVRELSVVGGTGKFRMATGYVLWKTSSMSANDGVFELDVFVNTSNATTIDASAPVSPVDGGGSGGGGSSPAKSGAAVKSGWVSACVVGLLVMVLVGRGW >LPERR07G00390.1 pep chromosome:Lperr_V1.4:7:245845:246534:-1 gene:LPERR07G00390 transcript:LPERR07G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMKQQAQSSSGHQQQQLPSIVVYLAAATVLIMLPWRQWLNDAVSDENVTHLHFFMHDVMTGPEATAFDVVNGTGKAFDVVGGLRFGQVVVMDDLLTAGVDPSSSPAVGRTQGFYVFSDMDVPALLFCMNVVLTAGPYAGSSLTILGRDHITEPLRELSVVGGTGEFRMAKGYVLWKTASWSFRENAVLELDVFVHTRRRRRQSPESSPSPLFQTMTTKTAASSSRST >LPERR07G00400.1 pep chromosome:Lperr_V1.4:7:251523:252255:1 gene:LPERR07G00400 transcript:LPERR07G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAQGSYVRASLTDPVLVVTATLKITDGPYNGSTIAIIGQDFVYEDVRELAVVGGTGKLRRASSHVLWTTARKASPEHLVLQLDIHASINLKIRGF >LPERR07G00410.1 pep chromosome:Lperr_V1.4:7:261812:262265:-1 gene:LPERR07G00410 transcript:LPERR07G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIRKSLVSLVWCLLVLLLLIMAVSASSATTNKDLSPSSSAGGGGGTSRTMELGSDGCSIRSKKWDENKMCTKPGTCNVPCRAEGFDYGSCYPVRPSPSPSFIGRFFHVCYCSMNNKC >LPERR07G00420.1 pep chromosome:Lperr_V1.4:7:268434:275463:1 gene:LPERR07G00420 transcript:LPERR07G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAGDAQSMAGFVVFFAALLAFVPFLAAAAAPCHPDDLLSLREFAGNLTSGGGGDAIRAAWLGEACCGWDGVSCDAATGRVTALRLPNRGLAGPIPPPASIPLAALQDLDLSHNALTGPISAALLLAGAGISLRTANLSSNLLSGPLPNLAALPRLAAFNASNNSLSGALSPDLCAAAPALRVLDLSSNLLAGALPSSPPPPSCAAALQELYLGSNSFHGALPASLFSLAALTKLSLASNGFSGQVTSRLRDLKNLTFLDLSVNRFSGHLPDVFAQLTGIQHLTAHSNFFSGLLPRSLSSLSSLRELNLRNNSFSGPIARVNFSGMPFLVSIDLATNHLNGSLPTSLADCGQLKSLSLAKNSLTGQLPEDYARLGSLSVLSLSNNSMHNVSGALNVLSACNNLTTLILTMNFVGEDLPDDDGIAGFDNLEVLAFGDCSLRGRVPEWLRRCKKLEVLDLSWNQLVGTIPEWIGELGNLTYLDLSNNTLVGQIPKSLTQLKSLVTARRSSGMAFNNMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDSLSRMENLEVLDLSFNNLSGSIPSSLTHLTFLSKFSVAHNHLVGPIPNGGQFFTFANSSFEDNPGLCRSSSSCDLNQTGETPAGNEVQRSGRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDDEEVDGPCHDSCDYWKPVLFFQDSAKELTVSDLIKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERADGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVYSFGVVLLELLTGRRPVDVLKAKGSRDLVSWVLQMKSEKKEEQVFDTLIWSKTHEKQLFSVLETACRCISTDPRQRPSIEQVVAWLDSV >LPERR07G00430.1 pep chromosome:Lperr_V1.4:7:275632:281775:1 gene:LPERR07G00430 transcript:LPERR07G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHRVSLLQGGIPSSFTATTRRRRRRSLSPRSVDLAGDMAPPPPKELPGFYYDPDKNRYFPIRGRIPGSVIRRPTPTPTRPQQAAARCMKRARQYELLHAREMYGGGAIFFSNRRRSTFIRQCQYAQASQPMVWKYKGTTLVADKALEQLHATVQTANGMKESKVLATGSMNGTVRLYGLGTAIVNIEVEDEMEFLPEPAWTPLVKQKTSVNSAPASIRSSETALSNFSSSVTRIKKLQHCATNANSSRSGESGGSIYIMDLSDTIDLAMGSLNAYRGNIIPVASFNCTIWAADCNSDGTLAVLGTNRGAAFFDLERRAVSWTYHCKSDMLSQRFLQSGNVVLCGLRNGTIFPLDVRQRQHNRSTELTSPGTARRTVPLLPRGHNYWSNQADDTKSSRAICMSSAVCSLAVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHVNTHTHLPVVVDPSETLLMSGGEDCTVRIWSIKTGEQIFAQRMAGSPFTALCWPESGRDLHNSSLFDLNHSWGAWMGSRDGLFYMHGT >LPERR07G00430.2 pep chromosome:Lperr_V1.4:7:275632:281775:1 gene:LPERR07G00430 transcript:LPERR07G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHRVSLLQGGIPSSFTATTRRRRRRSLSPRSVDLAGDMAPPPPKELPGFYYDPDKNRYFPIRGRIPGSVIRRPTPTPTRPQQAAARCMKRARQYELLHAREMYGGGAIFFSNRRRSTFIRQCQYAQASQPMVWKYKGTTLVADKALEQLHATVQTANGMKESKVEDEMEFLPEPAWTPLVKQKTSVNSAPASIRSSETALSNFSSSVTRIKKLQHCATNANSSRSGESGGSIYIMDLSDTIDLAMGSLNAYRGNIIPVASFNCTIWAADCNSDGTLAVLGTNRGAAFFDLERRAVSWTYHCKSDMLSQRFLQSGNVVLCGLRNGTIFPLDVRQRQHNRSTELTSPGTARRTVPLLPRGHNYWSNQADDTKSSRAICMSSAVCSLAVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHVNTHTHLPVVVDPSETLLMSGGEDCTVRIWSIKTGEQIFAQRMAGSPFTALCWPESGRDLHNSSLFDLNHSWGAWMGSRDGLFYMHGT >LPERR07G00440.1 pep chromosome:Lperr_V1.4:7:283498:287510:-1 gene:LPERR07G00440 transcript:LPERR07G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEAAPLLLQQQHDGVNGGKGEEVRRWWGWWDGSEAAGQLGFAAPMVATSMAYYAIPLVSVMYAGRLGEMELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKVYRMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARMATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFAILPLVLHVGITHAFVHYLGFGYAGAAMSTSLSLWISFLMLAAYVCLSERFRQTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLLAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVEKAKKALAVTLVLSLLLGVTFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYVVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLFITLRIKWERLELTMSSKEDGFVC >LPERR07G00450.1 pep chromosome:Lperr_V1.4:7:288874:293618:-1 gene:LPERR07G00450 transcript:LPERR07G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGRKPLDYDELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGVRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNIRQTVASARSKGITVRAMVIINPGNPTGQCLSQGNIKELLSFCFQENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFAAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPQRAIDAARRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKNFNDSFMDQYDDGSSYSRM >LPERR07G00460.1 pep chromosome:Lperr_V1.4:7:294810:296695:-1 gene:LPERR07G00460 transcript:LPERR07G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWYGFPEINRALFDFFSNKSFNRFVEEIDRNQRTKQQPIPSMDGMVGLLKVQVVRGINLAYRDARGSDPYVVLRLGKQKLKTSVKKRSVNPIWHEELTLSITSPTAPIKLGVFDKDTFSRDDPMGDAEIDLGP >LPERR07G00470.1 pep chromosome:Lperr_V1.4:7:297149:299245:-1 gene:LPERR07G00470 transcript:LPERR07G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGVLSVRVLRGINLVSRDATGSDPYVVLHLDNQKLKTSVIKKTVNPVWNEDLTLAVKNPETPIKLEVFDKDTFSKDDQMGDAEFDIEALMQIVKMDLEDIRSGTVVRTVRPGRQCCLADESNIIWDNGQIVQDMLLKLRNVETGVMMITQFGEATDDKMDYLLELKTLPAYLAVGDALPAAA >LPERR07G00480.1 pep chromosome:Lperr_V1.4:7:303181:308737:-1 gene:LPERR07G00480 transcript:LPERR07G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVGAIVFSPKGKLYEYATDNRMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKGHLMIESISELQKKERSLQEENKALQKELVERQKSVRGQQQVVQWDQTQAQVQAQPQAQTQAQTSSSSSSMLRDQQALLTQQNICYPPVMMGERSDAAAAATAAVQGQVQLRMGGLPPWMLSHLNA >LPERR07G00490.1 pep chromosome:Lperr_V1.4:7:323276:330284:1 gene:LPERR07G00490 transcript:LPERR07G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTPVSGKSAGSKRRRGATTATTSTPPAPEAEVEKRVAPAASAPVEETPKGKRSRSKKGNKRNQQQTPSAVTDATAVVIDTDLDNESRNGCTRGEGATTSTPAVPDVDDLEATAISASVEGTHKGKQTKVKKRKKHKLQKSPCAVSDASAAVMDTDLARNGCTSEEGALQDADVVASPRSGHDPTLEMDQTQGKSKGSKQRQGSATTTAAGPEVDEQEVPATSASVERTPKGKKSKDKKRKKNKEQQSPSAVSDASAAVTDTDLGSESGNGCTSLKVATTSMAAVPEVDKWEAPTASASVEGAQKQKQSKDKKQKKHKQQESPSSVSDASAVVTDTDLAKEFGNGCTCTGEEGALQNADVVGSTRSGHDPMPEMDQMQGNSKGSKRRRGSATSTAVALEVEKVDEREAPTGCASVEGTQKGKKLKSKKHKQQQSPSAVSHAGAVVTDTDLANESGNGCMSGEEATTSTVAVPEVEKEVDKREVPAISASVEGTEKGKKQKDKKRKRHQQQQSPSAVSDASAVVTDTDLPNESGNGCTIREGVSRDTDVIVSSTSGHHPECPEINNAQDLVAGKKESKDNNSQSCGSLNESIVERKRRKNRAKRRRKKENTNRRSNLQNLALQPGAGEVALVTIADGNNIPGSECKDSNKKRKRNQTSVPEASSVQRMNFGETGSVGMMDGEAQPFSSDIRHVKRDRSDVLSNFGQAQKEKYQHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHMSLAKVRGKLVFKRPYCDDFLSFCFQHFELGIWSSRMKVNVDSVLNIIMKNNMRHCLLFCWDLSKCTGTGCNTLGNKHKPLVLKELKKLWNKEDPDLPWEQGEFSPSNTLLVDDSPYKALANPPHTAIFPKPYSYRNKKDNSLGPGGDLRVYLENLATADDVQSYVQEHPFGQPSITKNDRHWNFYIHDTSMQLFMEQESIIEDRKAADAESRVACSRCSVWSQPLDWQQHILKKLPPDPAEIFNGLTVLTIAEMLPVMPGADLIMEAQLKSQ >LPERR07G00500.1 pep chromosome:Lperr_V1.4:7:333867:340129:1 gene:LPERR07G00500 transcript:LPERR07G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRQEMHRQTGCVTGILQAFDRRYPLADHHSHKRLLPPAHALSSSPSVGEERTRYSSQIVLDKNLSKSWIDNQRAPLTVDLSQGSYSSSSCSSLSSPDGNRSTQQDLSSTDRMLFPEKPFKCSPKLKSSSESDNGVDYYLDDALAKLSAKSSYPTLGIRNLVKDSIYRDTRDLSTRTFTKEADKDHLFNCEGPPRNSDEPPSSAIQEKNKGTMDIDESLRVLAKLRESSWNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKVSPLRNREIDSRPKPSMIAEDRRSSINKEFNAASETQQEQNTCKRLPSVVAKLMGLEALPEHKDNIVMSSQGSKSVPQRNEEPMLRPLNLSSHNEANLPRQQRNQDATIRNLPNSKFPVETAPWKQQEKIVLPKKLPKVSKGVHGKKQPSASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMLKISDGNHDNREVTDVNLRLNSTSNTKQVAEGAPSFLAEEESTAERCFRSPIVIMKPAKSADLSSDLAEDSTIVPLGGLSELPQLRTGNSSDKKKSSKVIRASLEQHPRSSPKVPAPQPIGSFDKRENGKNEEISRKQKSTSQMMTESSARRQQLQRDNNGSLLKHKNSTSPRVVQKKHDSERRARPPIPTPDSNKNQKQSAERSHLDSVSPRSKFRRKPAQSQQGEDGHQNGVGSRTRSLNQQGNDMSTRSDGSISVASELDVEVSSADRSAEINISSSQQGTQTPSGRNPQKVKTSYDANKDLPSVDPAATVSERPSPVSVLDSSFDQEDFFPTRKMSSSSNVGDEQHPSPPSEESCKPAADKKSTELATQPKNSKLANIASLLQKLQQLSVVNKDEEAPPVDHIAFLCETPSPDHRYISEILLASGLLMKDLGSGMRGLSQMQLHISGYPINPDLFFVLEQRKSGWISKPEGIHHQSRSSTKADDPKRAHRKLMFDAVNELLLQKFEKETTILTGARDHPLISSGQQLVKMICSGIECLKNERSRMCQEDNNSSVIPDAEILHRLDGWSPSFSKKELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >LPERR07G00510.1 pep chromosome:Lperr_V1.4:7:347848:354166:-1 gene:LPERR07G00510 transcript:LPERR07G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERERDVEEETRNQMMQNLFGDQSEDEEEEEEEDVEVVDDDDQHPQPQQLHHEVDDDEEEDDARSDGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPERELSGPAMQNDAAGMDSEDEGYQQRTVASRRRGVIASESEGSEDDYYAGRAHEDNGPRQTRKQSSPVEEERDQEVVRDVFGDSDEDEPAPYRDQHEIDDDSHRSPMEDEGHYEKDLQPDDVVAEEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVTNANGTTSCESNARIVKWKDGAMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRIMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKEKVEGQNIRAHSILQRKREKVNRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALGERRINNAKKSSMSRSIPRKPSLTARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDEFEEDEYEEDVDEEAAMSDEEIEEPKRRRESGGSQKRKEIDSDDDDSPPRKQQAVHRRKAVVFDSDDE >LPERR07G00520.1 pep chromosome:Lperr_V1.4:7:355486:356855:-1 gene:LPERR07G00520 transcript:LPERR07G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWMLPIAAAATGRAIHWRSYHSDGGPPPKLRGPRFSPLNRHNHEVDALLEEVKNTPVGMISDDLMIRTILVQNVLRSWLVAAVVISGYCWGYNRVAQSSTASPEPPKEHEGN >LPERR07G00530.1 pep chromosome:Lperr_V1.4:7:360451:362811:1 gene:LPERR07G00530 transcript:LPERR07G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRAVVMQSRRVLRSRRSFSTTGATNEEANVLKETEELKTKTKRTIVEDVEKAVDFLRDAQGYFSR >LPERR07G00540.1 pep chromosome:Lperr_V1.4:7:367829:369253:-1 gene:LPERR07G00540 transcript:LPERR07G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRGAIVRRPQVMARARHVSGIAGGEGSPEVHDYRVWDQGLSAKLTETSTFLSQRDLMRESTESLILERSKKIGTHNIRHVWMVAGLAISRYFFGSGAYTLFRAYENRTLGAAKEREKLRKKLAPEV >LPERR07G00550.1 pep chromosome:Lperr_V1.4:7:386760:388010:-1 gene:LPERR07G00550 transcript:LPERR07G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTRLLLLHSRRRFFTTATTSRVKKASIFRDIDQLKAETNRVIIEDVDKVKRLESEDRNALNRLLTSCGMPRGAFRDKLVFGCNVAAAFVSSSVVGACGAAWKRGTT >LPERR07G00560.1 pep chromosome:Lperr_V1.4:7:388194:391004:1 gene:LPERR07G00560 transcript:LPERR07G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGRRLLAAASRILVVKEEPGSVLLLTPEVHVKNASNPCMRQSQVITQLKKMQVMNGNQVKTINGFHEFEARAQREIVEDVERVKRMKSEDRDSINRLLTSWGMPNGEFRDKLMWGCNVAGIFIASSAVGTLCAKIGA >LPERR07G00570.1 pep chromosome:Lperr_V1.4:7:392724:393034:-1 gene:LPERR07G00570 transcript:LPERR07G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESSESLILERSKKIGKYNMQRAWMVAGVTISGYLFGTALVSFYTKLSRRKREEGKEDQSASQEELDLKRGG >LPERR07G00580.1 pep chromosome:Lperr_V1.4:7:394813:396491:1 gene:LPERR07G00580 transcript:LPERR07G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLARAVQLQSQRLLHLPLPLSPLLLHGTVCSQLMNGNQVKKIKGYDEFEAVIQKEILEDVEKVWREKTEDRDANQPAVDFLGMPKGVFRDKLMFGCNVAAIFVASSVVGTLCAEASRLA >LPERR07G00590.1 pep chromosome:Lperr_V1.4:7:410367:421162:-1 gene:LPERR07G00590 transcript:LPERR07G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSAAGRRSGSRIRSADPVATARCRNDDGRGRWVRASGLVTAAAKTGRRATGSDGGCEPLGWGHWCTLRELEEATTVFTPEHIVGEAEREFKVQVEAIGGVRHKNLVRLLGYCADGAHRCFMAMLDWVAVLGHQGSERGLEPKVLHRDIKLTNILLDKRWNPKVSDFGLAKLLGSDSNYVAIRVMGTFGYVAPEYASTGMLNERSDVHNFGILIMEIISRRSPMAEEYVSQQHRYSKSAIEVSTRMTANFTHRAAGPKLALAEQRARDRVWPWLIMLNALNFSAIFGSGHPVRWRAKARPVI >LPERR07G00590.2 pep chromosome:Lperr_V1.4:7:409854:421162:-1 gene:LPERR07G00590 transcript:LPERR07G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSAAGRRSGSRIRSADPVATARCRNDDGRGRWVRASGLVTAAAKTGRRATGSDGGCEPLGWGHWCTLRELEEATTVFTPEHIVGEAEREFKVQVEAIGGVRHKNLVRLLGYCADGAHRILLYEYVYNGNLEQLLHGDVGLGSRLGTPGQDIKLTNILLDKRWNPKVSDFGLAKLLGSDSNYVAIRVMGTFGYVAPEYASTGMLNERSDVHNFGILIMEIISRRSPMAEEYVSQQHRYSKSAIEVSTRMTANFTHRAAGPKLALAEQRARDRCKNSIRGNDNGMWICAMVNWRMKIR >LPERR07G00590.3 pep chromosome:Lperr_V1.4:7:409854:421162:-1 gene:LPERR07G00590 transcript:LPERR07G00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSAAGRRSGSRIRSADPVATARCRNDDGRGRWVRASGLVTAAAKTGRRATGSDGGCEPLGWGHWCTLRELEEATTVFTPEHIVGEAEREFKVQVEAIGGVRHKNLVRLLGYCADGAHRCFMAMLDWVAVLGHQGSERGLEPKVLHRDIKLTNILLDKRWNPKVSDFGLAKLLGSDSNYVAIRVMGTFGYVAPEYASTGMLNERSDVHNFGILIMEIISRRSPMAEEYVSQQHRYSKSAIEVSTRMTANFTHRAAGPKLALAEQRARDRCKNSIRGNDNGMWICAMVNWRMKIR >LPERR07G00610.1 pep chromosome:Lperr_V1.4:7:424577:438623:1 gene:LPERR07G00610 transcript:LPERR07G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGSGAGRGRGFERACRLPNTVQSEIAAALPLPSLPPNLGAGLLDDDEPLADLDRPDMIMQAANIARILADTDISHLGFTEADNVETDPNECSWLWREVLKHNPDVFKIKPRPPPPPSQGPLEGQENQNQEPEKHFEHVAPNVNNMRKEHVFPRDDIPNREHLHNDVTPDSVISKKPKVRKKEIQNSASSSYPSIPNRKEVIANFCEMVEDFCGRVEIPDDTDGDEWLSIPLNDAKVLVNQITSVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQITDCMAASSPTFRALYKPAEKVTNDGDEDEEDMENGPANKRRRTANLSYRKSSTNKVSGSIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLADNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLHLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDSLKGTVNWSTIVDASIDASYPMKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQEITDTESDGSKILKNKCCVCLGGRGINMGCDVCGRCFHSDCVGAVSQEHLQRDYACQLCFCKQQLSVLQSYYELQNKEMGKRNAASHRKKSTVPEEVTAMDIVQQILLSYIQEAGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKEIMRDSGSGFALSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHQPLITIIKRNLALDFLPQSTKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNQVDNKSTAQLLESIIFVIDAVLPLIRKPPQSVVIELEQDLKQMIIRHSFLTVVHACIKCLCALSKSADRGPRLLEYLVNIFYKHLSGSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIIDLLKRRYLLKDDFSLKVRGLQALGYILIAKPDFMLHKDILDLIEASLSSHVDYRLKIQGLQNLFEYLRDAESQLNTESTAKPTVPYATNGGTEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFESDNRSYPTINFLVYCAEVLASLPFTSPDEPLYLIYDINRVVQLRAGAVEANLKNWTSMYQQQEMVGMPSNTGDVMHEPGEYAGQNLIDVSQMMLGNTCSTPGVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTTLPSSHQDVARVYQDFKTVLREDTVDYGMYTVSTQKKRPTPRSSSRVRRPAVVATRGRGGGGGDDEDTDDEDWTGGARVLDFSAQGGRVTRQRVQV >LPERR07G00610.2 pep chromosome:Lperr_V1.4:7:424577:438623:1 gene:LPERR07G00610 transcript:LPERR07G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGSGAGRGRGFERACRLPNTVQSEIAAALPLPSLPPNLGAGLLDDDEPLADLDRPDMIMQAANIARILADTDISHLGFTEADNVETDPNECSWLWREVLKHNPDVFKIKPRPPPPPSQGPLEGQENQNQEPEKHFEHVAPNVNNMRKEHVFPRDDIPNREHLHNDVTPDSVISKKPKVRKKEIQNSASSSYPSIPNRKGFLLFISISFSTRLHLFPRILSGFLFGLFNVEVIANFCEMVEDFCGRVEIPDDTDGDEWLSIPLNDAKVLVNQITSVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQITDCMAASSPTFRALYKPAEKVTNDGDEDEEDMENGPANKRRRTANLSYRKSSTNKVSGSIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLADNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLHLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDSLKGTVNWSTIVDASIDASYPMKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQEITDTESDGSKILKNKCCVCLGGRGINMGCDVCGRCFHSDCVGAVSQEHLQRDYACQLCFCKQQLSVLQSYYELQNKEMGKRNAASHRKKSTVPEEVTAMDIVQQILLSYIQEAGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKEIMRDSGSGFALSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHQPLITIIKRNLALDFLPQSTKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNQVDNKSTAQLLESIIFVIDAVLPLIRKPPQSVVIELEQDLKQMIIRHSFLTVVHACIKCLCALSKSADRGPRLLEYLVNIFYKHLSGSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIIDLLKRRYLLKDDFSLKVRGLQALGYILIAKPDFMLHKDILDLIEASLSSHVDYRLKIQGLQNLFEYLRDAESQLNTESTAKPTVPYATNGGTEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFESDNRSYPTINFLVYCAEVLASLPFTSPDEPLYLIYDINRVVQLRAGAVEANLKNWTSMYQQQEMVGMPSNTGDVMHEPGEYAGQNLIDVSQMMLGNTCSTPGVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTTLPSSHQDVARVYQDFKTVLREDTVDYGMYTVSTQKKRPTPRSSSRVRRPAVVATRGRGGGGGDDEDTDDEDWTGGARVLDFSAQGGRVTRQRVQV >LPERR07G00610.3 pep chromosome:Lperr_V1.4:7:424577:438623:1 gene:LPERR07G00610 transcript:LPERR07G00610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGSGAGRGRGFERACRLPNTVQSEIAAALPLPSLPPNLGAGLLDDDEPLADLDRPDMIMQAANIARILADTDISHLGFTEADNVETDPNECSWLWREVLKHNPDVFKIKPRPPPPPSQGPLEGQENQNQEPEKHFEHVAPNVNNMRKEHVFPRDDIPNREHLHNDVTPDSVISKKPKVRKKEIQNSASSSYPSIPNRKGFLLFISISFSTRLHLFPRILSGFLFGLFNVEVIANFCEMVEDFCGRVEIPDDTDGDEWLSIPLNDAKVLVNQITSVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQITDCMAASSPTFRALYKPAEKVTNDGDEDEEDMENGPANKRRRTANLSYRKSSTNKVSGSIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLADNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLHLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDSLKGTVNWSTIVDASIDASYPMKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQEITDTESDGSKILKNKCCVCLGGRGINMGCDVCGRCFHSDCVGAVSQEHLQRDYACQLCFCKQQLSVLQSYYELQNKEMGKRNAASHRKKSTVPEEVTAMDIVQQILLSYIQEAGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKEIMRDSGSGFALSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHQPLITIIKRNLALDFLPQSTKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNQVDNKSTAQLLESIIFVIDAVLPLIRKPPQSVVIELEQDLKQMIIRHSFLTVVHACIKCLCALSKSADRGPRLLEYLLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIIDLLKRRYLLKDDFSLKVRGLQALGYILIAKPDFMLHKDILDLIEASLSSHVDYRLKIQGLQNLFEYLRDAESQLNTESTAKPTVPYATNGGTEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFESDNRSYPTINFLVYCAEVLASLPFTSPDEPLYLIYDINRVVQLRAGAVEANLKNWTSMYQQQEMVGMPSNTGDVMHEPGEYAGQNLIDVSQMMLGNTCSTPGVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTTLPSSHQDVARVYQDFKTVLREDTVDYGMYTVSTQKKRPTPRSSSRVRRPAVVATRGRGGGGGDDEDTDDEDWTGGARVLDFSAQGGRVTRQRVQV >LPERR07G00620.1 pep chromosome:Lperr_V1.4:7:443270:444718:-1 gene:LPERR07G00620 transcript:LPERR07G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVKTAKDLAIARVIPLHRIYDFLEIEGMALPHEWIWRCSLAGIFFASLVTGSVAADQCGKWKDIKQRRLSEAENREAERAE >LPERR07G00630.1 pep chromosome:Lperr_V1.4:7:450899:453927:-1 gene:LPERR07G00630 transcript:LPERR07G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAGDWPFSAAEAYADSSAIFAELGWANGFAGDGDDAVEDLMLPPLDPPEATPPRPETPAGSVDGAAASSCSTDVDAADRKPATEAASKSPALGKKGGKKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAKRVERSSDDPSIVITTYEGQHCHHTVTFARARAGAGGFSHVHAVAAAAALTPFSAPNQLYSNLLQSAPAATITTSPVMITSSSLLQPLHCNQELQVVASSYQSSSSSSPASVPIDKGLLDDMVPRAMRHGQ >LPERR07G00640.1 pep chromosome:Lperr_V1.4:7:465731:470918:1 gene:LPERR07G00640 transcript:LPERR07G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKISFLFFLLAALAAGDSSAATTLTATPAKLSESDREITIRWSGLPDPDGLDYVGIYSPPSSSDYDFLGYLFLNASATWHSGAGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDGNPLPHGRHRVAVSGEVDFDYSPSRPGQVHLSFADGVDEMRVLFVCGDGGKRVVRYGLEKGEEGGNWKEVATEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSDSGGWSDTYSFISRDSEANETIAFLFGDMGTYIPYNTYIRTQKESLSTVKWILRDIQALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWLSQPWKPWWASGIYGKDSGGECGIPYSVKFRMPGNSFVPTGTGAPDTRNLYYSFDSGVVHFIYMSTETNFVQGSDQYNFIKADLEKVNRSRTPFIVFQGHRPMYTTSMEARDFALKQQMHQHLEPLLVTYNVTLALWGHVHRYERFCPIKNFQCLNMSSSFVHPGAPVHSVIGMAGQETQPFWQPRHDHPDVPIYPQPDMSMYRGGEFGYTKLVATKEKLTLMYIGNHDGQVHDMVEIFSSHVSSNSGVPEVSDDTKLSTGTGVSIKQKVPLLQIVGSALFALVLGFSFGFLIRRKREAAQWTQVKNEESIEL >LPERR07G00650.1 pep chromosome:Lperr_V1.4:7:469882:471243:-1 gene:LPERR07G00650 transcript:LPERR07G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLHKKKVCVIGAGISGLAAAREVRREGLDVTVLEQNAGVGGQWLYDAAVDAGDPLGIAGVHSSIYSSVRLNSPREAGGFSDFPFYPINGDGDGRRFPVHGELLRYIRDFCDAFGLIDAVRLNTTVTRVAMDGSPSRWTVRSKHRDAAGDGEEEVFDAVVVATGHYTQPRLPAIEGMDTWRRRQLHSHSYRVPDSFAGEVVVIIGCSVSGIELALELRHVASEVHLSAKSTDAGLTPAMSKLLATRHDEYTNLLLRPRVEHLRDDGTVVFDGGESIIADTIIYCTGYNYSFPFLDIDGKVTVDDNRVGPLFEHVFPPSLAPSLSFIGIPALVILPRFVEMQARWVAQVLSGKRTLPSPEEMKIAVEEHNAVKEAAGVPKRRTHAVWLDVEYHDEYGERVCGFPRMEDWKKEFILSAISDMRDDIERFRDDYHDSDLVADGLRQNGWMTSRR >LPERR07G00660.1 pep chromosome:Lperr_V1.4:7:471990:475051:-1 gene:LPERR07G00660 transcript:LPERR07G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKISFLFFLLAALAAGDSSAATTLTATPAKLSESDREITIRWSGLPDPDGLDYVGIYSPPSSSDYDFLGYLFLNASATWHSGAGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDGNPLPHGRHRVAVSGEVDFDYSPSRPGQVHLSFADGVDEMRVLFVCGDGGKRVVRYGLEKGEEGGNWKEVVTEVRTYEQKHMCDSPANTSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSDSGGWSDTYSFISRDSEANETIAFLFGDMGTYVPYNTYIRTQDESLSTVKWILRDIQALGDKPVFISHIGDISYARGYAWVWDHFFSQIEPIAANIPYHVCIGNHEYDWPSQPWKPSWAANIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFIKADLEKVDRSRTPFVVFQGHRPMYTTSNEARDFAMRQQMVQNLEPLLVTHNVTLALWGHVHRYERFCPIKNFKCLNMSSSFVYPGAPVHVVIGMGGQDWQPIWQPRHDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLMYIGNHDGQVHDMVEIFASHVSSNSGVPEVADDTKLSTGTGVSIKQKIPLLQILGSAMFALVVGFSFGFLVRRKREAAQWTAVKNEES >LPERR07G00670.1 pep chromosome:Lperr_V1.4:7:477000:478391:1 gene:LPERR07G00670 transcript:LPERR07G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLDKTASSPSESSSKKKKVCVIGAGISGLAAARELRREGLDVTVIEQNGGVGGQWLYDAAIDAGDPLGLAGVHSSMYASVRLNSPREIGGFSDFPFFPTGAGGDGRRYPVHGELLRFIRDFCDVFGLMDAVRLNTTVTRVAMDGSPSRWTVRSKHRDAAGDGEEVFDAVVVATGHYTQPRLPAIEGMDTWRRRHLHSHSYRVQDTFAGEVVVIVGCSVSGIELALELRRVAIEVHLSAKSIDDGLAPMMSKLLAARHKHGNIHLRPKVEHLHDDGTVVFDGGESVIADTIIYCTGYNYSFPFLDIDGKVTVDDNRVGPLFEHVFPPSLAPSLSFIGIPAKVILPRFVEMQASWVAQVVSGKRTLPSPEEMMRAVEEYNAARDAAGVPKRQTHAAFLDLEYHDEYGERVCGFPRMEDWRKELIRSSISDLLGDIETFRDDYHDSDLLGDSLRLLGWTTSRQ >LPERR07G00680.1 pep chromosome:Lperr_V1.4:7:481865:483560:1 gene:LPERR07G00680 transcript:LPERR07G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSSSSSDLSSKNKKVCVIGAGMAGLAAARELRREGLEVTVLEQRDGIGGQWLYDAAPDAGDPLGVAGVQSSMYASVRLNSPREVVGFSDFPFFPTGAGSDDRRFPVHGEFLRYINKFCDAFGLMDAVRLNTTVTRVAMDGSPSRWTVRSKHDAGDGEVETEEVFDAVVVATGHYTQPRLPAIDGMDTWRRRQLHSHSYRVPDTFAGEVVVIVGCNVSGIELALELRRVAKEIHLSTKSIDAGLTPAMSKLLDKYQYTNLHLRPKIEHLRDDGTVVFDGGESVIVDTIIYCTGYNYSFPFLDIDGKVTVDDNRVGPLFEHVFPPSLAPSLSFIGIPSKVLLPRFVEVQARFVAQVVSGKRKLPSPEEMTRAVEEHNASKEAAGVPKRRTHDVFLEHDEYGERVCGFPRMEAWRKELIWLSYNGMFDDIETYRDDYHDSGLVCDALRLHGWTTSRR >LPERR07G00690.1 pep chromosome:Lperr_V1.4:7:487277:489590:1 gene:LPERR07G00690 transcript:LPERR07G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDDGVVADGETPEQWKKKKNVCVIGAGMSGLAAARELRREGHAVTVLEQAGDIGGQWLYTDDAGDGDGDTPAASVHSSMYASLRLISPRESMGFTDFPFFPVSGDGRDPRRFPGHREVFLYLNDFCHAFRLLDAVRFNTKVIHVAAMAGDGGERNWAVRSVRVVDGGDGDVEEVFDAVVVATGHYSQPKLPRIKGMESWKRRQLHSHCYRRPEKFRGEVIVVVGAGDSGKDIAIELTGVAKSVHLAATDRDAAMSPAMRKLLAKHTNLHLRPRVEHLGDDGAVVFDGGERVVADTVMYCTGYVYSFPFLDTGDEVAVDEDGSRVGPLFEHVFPPALAPSLSFVGVPKKVPAPWFFEAQGKWIAMVLSGKKSLPSPEKMMIAVEEQYRARAVAGVPVKCTHELGGVEPQKYIEFGEKYCDFPRFEDWKREIIVSALKKRDDDDMETFRDRVDDDSDYVRLCLQNWYSSSPAQDQRLSVDDDDAHPPLAQTAMAALALN >LPERR07G00690.2 pep chromosome:Lperr_V1.4:7:487277:490465:1 gene:LPERR07G00690 transcript:LPERR07G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDDGVVADGETPEQWKKKKNVCVIGAGMSGLAAARELRREGHAVTVLEQAGDIGGQWLYTDDAGDGDGDTPAASVHSSMYASLRLISPRESMGFTDFPFFPVSGDGRDPRRFPGHREVFLYLNDFCHAFRLLDAVRFNTKVIHVAAMAGDGGERNWAVRSVRVVDGGDGDVEEVFDAVVVATGHYSQPKLPRIKGMESWKRRQLHSHCYRRPEKFRGEVIVVVGAGDSGKDIAIELTGVAKSVHLAATDRDAAMSPAMRKLLAKHTNLHLRPRVEHLGDDGAVVFDGGERVVADTVMYCTGYVYSFPFLDTGDEVAVDEDGSRVGPLFEHVFPPALAPSLSFVGVPKKVPAPWFFEAQGKWIAMVLSGKKSLPSPEKMMIAVEEQYRARAVAGVPVKCTHELGGVEPQKYIEFGEKYCDFPRFEDWKREIIVSALKKRDDDDMETFRDRVDDDSDYVRLCLQNWYSSSPAQDQRLSVDDDDAHPPLAQTAMAALALN >LPERR07G00700.1 pep chromosome:Lperr_V1.4:7:490069:490544:-1 gene:LPERR07G00700 transcript:LPERR07G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGIIFPVCDLEHEIKWEKKNTVSSLPGRRVFQLTHDAGAAVPAVLRQFLADTRAAFVAYGVRSDRPNPVEHHGVELRRRWRAEEHLDRVGKIKPRRVATSRWHARRLTFSTPCVDAFLSFHLGVHLHAAAAAADDDHMRRPRRRRHAR >LPERR07G00710.1 pep chromosome:Lperr_V1.4:7:493559:499020:1 gene:LPERR07G00710 transcript:LPERR07G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAVRLWNEWGVQVLVLLSFALQVFLLIFGGIRRHSSSSVLMFFLWSSYLLADSTAIYTLGHLSVDGTSDKHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIASNGSDLLLASISMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGENLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQINISSSRGNGYSREDVIISYILLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMRLGLQDWWNMVHFSGEFSRTSSFSIQDLKKLVLQALQDKEQALQYKDKETDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYLRKSKATHAKELTEATEVLSNYMMFLLVVKPSMLPGAARHNIHLASCEQIQGQCCMSFGDKEENPMAASPISWNPYCMIKELLHHDGPNCSSIPRRKKLAEMVWSSSQFALGSVRAPNPHGESIRDSANMYAVLLANELLNIELRWQEERDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGTNSFNLSSSSRNGG >LPERR07G00710.2 pep chromosome:Lperr_V1.4:7:493559:498242:1 gene:LPERR07G00710 transcript:LPERR07G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAVRLWNEWGVQVLVLLSFALQVFLLIFGGIRRHSSSSVLMFFLWSSYLLADSTAIYTLGHLSVDGTSDKHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIASNGSDLLLASISMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGENLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQINISSSRGNGYSREDVIISYILLVGALVLEIISVCRALLSTWTCSLLHRRGRGWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMRLGLQDWWNMVHFSGEFSRTSSFSIQDLKKLVLQALQDKEQALQYKDKETDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYLRKSKATHAKELTEATEVLSNYMMFLLVVKPSMLPGAARHNIHLASCEQIQGQCCMSFGDKEENPMAASPISWNPYCMIKELLHHDGPNCSSIPRRKKLAEMVWSSSQFALGSVRAPNPHGESIRDSANMYAVLLANELLNIELRWQEERDTLELILGVWVEMLLYAANHCSQESHARQLSNGSLKPDE >LPERR07G00720.1 pep chromosome:Lperr_V1.4:7:500397:501912:-1 gene:LPERR07G00720 transcript:LPERR07G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTAFSTHISTRLRRSTPTHSAYTIRIADRRFVALVTPHPSFARRWVHTTRWLHRRHHRRLIVGLGVQWTPHTRRRGPHLPPPATIQLCVGHRCLVFQLSQANSIPNAIRRFLADPRVTFVGSGNSHDRRMLGFYYGIHVASGCELRGLARMGNASMEDMADRLLGYRGIRKPFHVAMSDWHVDFLSDEQVQYASLDAYLSFRLAVYRAVPRVEHHAAQANWALVAATAAAVDDGGDDAAESEYSSEILDNTRPRVAASDSDIDEDDGLSMINSSSYASDDHVFSSDDFELVGHGLLSSEDEEFIIGMGGLKIDSDINDDNDEVYFNGNTGSSIGVVNVESYNEYSSIGILTVQNCGMGGNEQMFVSNGVATLEELEDDDIVTGPSTVIVNEGGVYDAFEAGNIQASDDVGDWGGYGEDDWYDQDLDQDYGDESLDYDSSGGLY >LPERR07G00730.1 pep chromosome:Lperr_V1.4:7:504053:504671:-1 gene:LPERR07G00730 transcript:LPERR07G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMLLLVVLALLPAVAMATNYTVGDEKGWNPKVDYEAWVKKHKPFYKGDWLVFEYQNGRSDVVQVDEVGYDNCDKANAISSYSKGHTYAFQLKEAKDYYFICSYGYCYDGMKVAVTAKKGPASGSSSSSSSGDGSSSKSDTASSKSGAESSLVKNSPFAAIVAVAFFFLRML >LPERR07G00740.1 pep chromosome:Lperr_V1.4:7:507014:509153:1 gene:LPERR07G00740 transcript:LPERR07G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDEALYNQIKNGFGEEEGKDMILTVMSAMGEEQICAVKEIGGKN >LPERR07G00740.2 pep chromosome:Lperr_V1.4:7:507013:509153:1 gene:LPERR07G00740 transcript:LPERR07G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDEALYNQIKNGFGEEEGKDMILTVMSAMGEEQICAVKEIGGKN >LPERR07G00750.1 pep chromosome:Lperr_V1.4:7:510045:525272:-1 gene:LPERR07G00750 transcript:LPERR07G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVAWDPFKVVVTADGEDNEVLSEDDDKLIELKEKHGEAVYSLVATALREINEYNPSGRYPVSEMWNYKENRKASLEEVIHPWTHQNCYVSVPHRLNIQGEARHGEKTPELLCETSKMLINIEEKVKQLEKENEKSVDEMMRAMESLNNALLIKERSSNDELHGVRKQLIHALEDLTNGRSSIGIKRMGELDPKAFANACEQTLPEEEDDDDDSQIDFALLCSKWEAEINNSGWHPFRVISINGEDKEILSEDDGKLRELKLKHGEAIYSLETTRVQPQWTLSSARVVELQGEPQGDTRGSRPVRHQAVAVTQEEALSCWVLFGWGEDAGESLAVPLVGLTTVTSEDAVSLLEGVVKTLFRFWTSGGGVMRHNLLGGVVLEMLPLHRRPLTVKPDTANWLTWAVDFTAESVSRGRAHQVDWSTLIWNLAHDEMIAIATRRRRTKDCFNGVYWLRHIWVQRPDLFPSPPPPEIVVEEMPELGEISNSNRVDQDEEKLIDEKLSMDSLNKEGGSNEELLQCVDGQDQMDDLFVLNLEDLEEGTNGQASSVAVDNQLDQYKAKLQDMELKMEQLSARLKQLEQDKERLVNEMRAMESFSKALVIKERSCNDELQTVREQLVDALEEVTNCQNKAKQHEQEKEKMVDDMRAMESLNKDLLIKERSSNIELQTVRKQLVQALEKVTIGRNMAKQRVQEKKRMVDNMRAMEKMVDDMRAMKTLNKSLLIKERSSNNELQKVRKQLIHALEELMNGQASIGTKRMGELDPKAFANACKQTLPDDAQIDSALLWSKWESEINNSRWHPFRVVTIDGQDKEILSEDDSKLKELKEQHGEAMYGLVTTALREINEYNPSGRYPVTELWNYKENRKATLEEAIRFVIKQWRSRKRKQVQVNQLRQVGKYAYAFKASSRHKLLAVLSNQIDPKAFAITYGQILSEGKEDDDDAQIDSALVCSQLQAEINNSRWHPFKVVTVSGKDKEILCENDNKLRELRQEHGEAVYSLVTTTLREINEYNPSGR >LPERR07G00750.2 pep chromosome:Lperr_V1.4:7:510045:525272:-1 gene:LPERR07G00750 transcript:LPERR07G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVAWDPFKVVVTADGEDNEVLSEDDDKLIELKEKHGEAVYSLVATALREINEYNPSGRYPVSEMWNYKENRKASLEEVIHPWTHQNCYVSVPHRLNIQGEARHGEKTPELLCETSKMLINIEEKVKQLEKENEKSVDEMMRAMESLNNALLIKERSSNDELHGVRKQLIHALEDLTNGRSSIGIKRMGELDPKAFANACEQTLPEEEDDDDDSQIDFALLCSKWEAEINNSGWHPFRVISINGEDKEILSEDDGKLRELKLKHGEAIYSLETTRVQPQWTLSSARVVELQGEPQGDTRGSRPVRHQAVAVTQEEALSCWVLFGWGEDAGESLAVPLVGLTTVTSEDAVSLLEGVVKTLFRFWTSGGGVMRHNLLGGVVLEMLPLHRRPLTVKPDTANWLTWAVDFTAESVSRGRAHQVDWSTLIWNLAHDEMIAIATRRRRTKDCFNGVYWLRHIWVQRPDLFPSPPPPEIVVEEMPELGEISNSNRVDQDEEKLIDEKLSMDSLNKEGGSNEELLQCVDGQDQMDDLFVLNLEDLEEGTNGQASSVAVDNQLDQYKAKLQDMELKMEQLSARLKQLEQDKERLVNEMRAMESFSKALVIKERSCNDELQTVREQLVDALEEVTNCQNKAKQHEQEKEKMVDDMRAMESLNKDLLIKERSSNIELQTVRKQLVQALEKVTIGRNMAKQRVQEKKRMVDNMRAMEKMVDDMRAMKTLNKSLLIKERSSNNELQKVRKQLIHALEELMNGQASIGTKRMGELDPKAFANACKQTLPDDAQIDSALLWSKWESEINNSRWHPFRVVTIDGQDKEILSEDDSKLKELKEQHGEAMYGLVTTALREINEYNPSGRYPVTELWNYKENRKATLEEAIRFVIKQWRSRKRKPVLSNQIDPKAFAITYGQILSEGKEDDDDAQIDSALVCSQLQAEINNSRWHPFKVVTVSGKDKEILCENDNKLRELRQEHGEAVYSLVTTTLREINEYNPSGR >LPERR07G00750.3 pep chromosome:Lperr_V1.4:7:525282:526040:-1 gene:LPERR07G00750 transcript:LPERR07G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYIHPQIKLDTVDWLVRDVDHAATNVKSGLAHWVDWSTLIWSLAFNELTEMRRCRGTEKCFNGATGRGSSGGSARTSSCRCRHRRRRLHALEELTSGRASIGVRRMGGLDPKAFAIACEKTVSENDDEQLDSALLCSK >LPERR07G00760.1 pep chromosome:Lperr_V1.4:7:533796:534455:1 gene:LPERR07G00760 transcript:LPERR07G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATAVSTRLRRSTRTHDEYVVSIRGRHVITTVTSHASVPRRWVFTTRWRHAGRLRSGNVGLAVGMGVQWIPPFRLAAAADPPPSTLQLCAGHRCLVFHLAHAAAVPAVLRRFLADKRAVFAAYDVLSDCRKLREHHGMQVARPVELRRLTGMGNSSLARMAEEHLGWGNLSKPRKVATSVWHAARLTKAQVQYACLDAYISFRLAVHLDAAAGDSRM >LPERR07G00770.1 pep chromosome:Lperr_V1.4:7:541599:543052:-1 gene:LPERR07G00770 transcript:LPERR07G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMESLNKALLIKERSGNDELQAVRKQLINVALEEIIHGRAKIGIRRMGELDPKAFANALKQTLPEGGVDEQLDSTILCSKWESEISNSSWHPFGVVTINGKDKEILSENDIKLRELKEKHGEAIYTLVTTALCEINEYHPSGNYPMPELWNYKENRKATLEEVIQFVVKQWQTHKKRKRYP >LPERR07G00780.1 pep chromosome:Lperr_V1.4:7:544922:549515:-1 gene:LPERR07G00780 transcript:LPERR07G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKKKKRGKSEAADPQPRGGGSRDHLLCDTMWRRRVGALLLRPRSPLPPPSSTLRRHLPDHQGSDGGNSRKPFIAFVLGGPGSGKGTQCARIASDYGFAHLSAGDLLRNEISSGTEKGELILDIIKQGKIVPSEITVELIRKAMESTDAKRVLIDGFPRCEENRIAFERITGTEPDLVVFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVIDYYSSRGKVHKINATGTEQEIFGAVRKLFSSLRF >LPERR07G00790.1 pep chromosome:Lperr_V1.4:7:551921:553197:-1 gene:LPERR07G00790 transcript:LPERR07G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASSSSSSLVGAIFQGGCPLLPATARRRRAFTTTTRRRAVANKISCIGWDPEGILAPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPETDDGLVEFFLDTDAREIEVEIGRLRPRLNQAFFDYIQREIAQIKFAVTRTAEMEDRLIELEAMQKVLHEGVEAYDKLQNDLVTAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTSNQEEAVAFMENVRSSILKYITV >LPERR07G00800.1 pep chromosome:Lperr_V1.4:7:562606:564121:1 gene:LPERR07G00800 transcript:LPERR07G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAKHSLSILARQQILVQNVVRSWVVAAAVISGYCWGYNRVADRRIADSKAPTEHEAK >LPERR07G00810.1 pep chromosome:Lperr_V1.4:7:565910:568426:-1 gene:LPERR07G00810 transcript:LPERR07G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMLRSAAAAAAAGRAIRRRRRSYHSDGGPPPKLRGPRFSPFNRHLRSIPASLATCCVDALLEEVKNTPVGMISDDLMIRTLRHSILARQQILVQNVLRSWVVAAAVISGYCWGYNIVAQSSTVGPEPPKEHEGN >LPERR07G00820.1 pep chromosome:Lperr_V1.4:7:572660:573447:-1 gene:LPERR07G00820 transcript:LPERR07G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGRRMMAAAAGRRGFQSQRIPVPKEEPNARTADSYLDVLQTLKVPRVLWNLSSLPRVLWKLDPMTRHQFTRGINHKGRFVRINANPMTGMPPDGNIWYDQSWKDNLVVVFLFSACFGLYDARAKEKRQSGNLSLSPPTS >LPERR07G00830.1 pep chromosome:Lperr_V1.4:7:573568:576348:1 gene:LPERR07G00830 transcript:LPERR07G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRAALRRLLLLHTRRPFLTTARGEVRKASIFRDIDELKAETRRMIIKDVDKVKRMESEDRNALNRLLTSYGMPRGAFRDKLVFGCNVAAAFVASSAVGACGAAWKRGTT >LPERR07G00840.1 pep chromosome:Lperr_V1.4:7:582002:583912:1 gene:LPERR07G00840 transcript:LPERR07G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVAAAAAAAQPVHPSSSASTSPGVHQSHGVVTAGRVAGLLTGCATLRRTGELHAAAIRAGVDGDRAVGFRLQRAYAASGRLDLAVALLRLTPDPTAVFYTSAIHAHSSRGLHLAALALLSEMLTTGLIPTSHTLSASLPACYGLAVGRALHAYAVKLELASDSYVATALLGMYARGGDADAARKLFDGMSDPHVVSVTAMLTCYAKMGALDDARQLFDGMATKDFICWNAMIDGYAQHGRPNEALRLFRRMLRSGVDPDEVTVVLVLSAVAQLGTVESGKWLHSYVKNSRRVRLNVRVGTALVDMYCKCGSLEDAESVFDSIDNKDIVVWNAMINGYAMHGHSRKALEMFLQLQSQGIWPTDITFIGLLNACSHSGLVDEGRQFFQSMEKEYGITPKIEHYGCMVDLLGRAGLIEEAFHLVQSMPITPDTVMWVSLLAACRLHKNMALGQKIADYLVSKGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEIGRKVYEFVAGDMSHPRTDEIYAMLEKMNCLVKEQGHVPQTELVLHDLDEVTKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACSDCHAVLKLISKITGRKIVFRDRSRFHHFVDGSCTCGDYW >LPERR07G00850.1 pep chromosome:Lperr_V1.4:7:584769:594836:-1 gene:LPERR07G00850 transcript:LPERR07G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSELARVCGGRNWSKAIRILDALLAASPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEIAIRDTMDLKQLLELEELVSSLKICETIECEDRVVDASPCDTKVVISEDRVVDTSSTATAIADTKTVVCEENIGNSGVVSNGGAMLSNDDKVDGNKESSGPTKDTTETHHTPKKTPKPDKKNKAKNRKEINGQTEDVSESINSGETVALDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFTEASSAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSICVKRDKKNSSAHTYFGLTLSALGEYKRAEEEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNSIDKALQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLIQAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNTSQNRGGYCSTSTLSGSPTSSPNEDRVSSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNAGFGSHTPMLLGQAKIIRYYPYYERVLEAAKNIMLDLKYVNNAEDRAIFLTDIDKLKKIEVASSCSELYHIVGETYWAICDAYCSDTNPTRDPDTLDAVKAAILRMTFYWYNFMPLSRGSAVVGYVVLLGLYLAANMDVTASIPQGVQVDWEAILSPDPDTFVDKIKPWLYPSVKPSRNVKDYADVSVAFSTTGSVLAALTCVDT >LPERR07G00850.2 pep chromosome:Lperr_V1.4:7:584769:594836:-1 gene:LPERR07G00850 transcript:LPERR07G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSELARVCGGRNWSKAIRILDALLAASPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEIAIRDTMDLKQLLELEELVSSLKICETIECEDRVVDASPCDTKVVISEDRVVDTSSTATAIADTKTVVCEENIGNSGVVSNGGAMLSNDDKVDGNKESSGPTKDTTETHHTPKKTPKPDKKNKAKNRKEINGQTEDVSESINSGETVALDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARIVNFKFKDYNAAVEDLSICVKRDKKNSSAHTYFGLTLSALGEYKRAEEEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNSIDKALQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLIQAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNTSQNRGGYCSTSTLSGSPTSSPNEDRVSSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNAGFGSHTPMLLGQAKIIRYYPYYERVLEAAKNIMLDLKYVNNAEDRAIFLTDIDKLKKIEVASSCSELYHIVGETYWAICDAYCSDTNPTRDPDTLDAVKAAILRMTFYWYNFMPLSRGSAVVGYVVLLGLYLAANMDVTASIPQGVQVDWEAILSPDPDTFVDKIKPWLYPSVKPSRNVKDYADVSVAFSTTGSVLAALTCVDT >LPERR07G00850.3 pep chromosome:Lperr_V1.4:7:584769:594836:-1 gene:LPERR07G00850 transcript:LPERR07G00850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSELARVCGGRNWSKAIRILDALLAASPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEIAIRDTMDLKQLLELEELVSSLKICETIECEDRVVDASPCDTKVVISEDRVVDTSSTATAIADTKTVVCEENIGNSGVVSNGGAMLSNDDKVDGNKESSGPTKDTTETHHTPKKTPKPDKKNKAKNRKEINGQTEDVSESINSGETVALDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARIVNFKFKDYNAAVEDLSICVKRDKKNSSAHTYFGLTLSALGEYKRAEEEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNSIDKALQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLIQAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNTSQNRGGYCSTSTLSGSPTSSPNEDRVSSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNAGFGSHTPMLLGQAKIIRYYPYYERVLEAAKNIMLDLKGKTGFDFAIRTPCTPARWEEYDEEMTAAWEAICDAYCSDTNPTRDPDTLDAVKAAILRMTFYWYNFMPLSRGSAVVGYVVLLGLYLAANMDVTASIPQGVQVDWEAILSPDPDTFVDKIKPWLYPSVKPSRNVKDYADVSVAFSTTGSVLAALTCVDT >LPERR07G00860.1 pep chromosome:Lperr_V1.4:7:598427:599627:-1 gene:LPERR07G00860 transcript:LPERR07G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCLGWPAARRDDDDKELTWQSELRPHATGEFSMAAAQANTEMEDQAQVMSSPDATLVGVYDGHGGPDASRLFPILHELAGEHGGEVDAEVMRRAFMAADEEYLQLLRWSLPNNMSRAAASGSCCLLGAISGDTLLVANAGDSRAVLARRRRNGAAVSVIAERLSADHSLSSEKLRRELAALHAGGNDVIVHARGAWRVKGSIRVSRSIGDAHLKSSSSSSPVITAEPSIQAVKLINSGDGDEMFVVFASDGLWEHVSEEEAVRVVATSTTRRGAAARLVRAAIDAAARRREVRPGDMRRIKRGVRRHFHDDVTVVVVFLDGDGGGGRGKRRRRKVVDCSSSGSGGGSCSNTPLNVYGFSGSGD >LPERR07G00870.1 pep chromosome:Lperr_V1.4:7:607876:619379:-1 gene:LPERR07G00870 transcript:LPERR07G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERFKQKDQLLSDFFMRGHFPDEGTEGDISTLECLANFIAIVSLTVIFLYLSLFSSVWFKVYVLVSCAYLTFVTYFSIQPPQLICSPESGIHAKKTL >LPERR07G00870.2 pep chromosome:Lperr_V1.4:7:607878:612479:-1 gene:LPERR07G00870 transcript:LPERR07G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLLVATYVALRGTVHLTKMNGSIGSKGHSVNGGQKQEWHASQLTLNNGPKHRPLTPMRRCRGVACVAIILITAFTLIVYIAPITTFVVRLFSVHYSRKGTSVLFGMWLSLWPFLFEKINKTNVVFSGESVPPKKRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDETIIQNKLSKFKDPRDPLWLAVFPEGTDYSEKKCIKSQEYASEHGLPILENVLLPKTKGFICCLQELKSSLDAVYDVTIAYKHRLPDFLDNIYGTDPSEVHIHIRTVKVCEIPTSEDEVTDWMMERFKQKDQLLSDFFMRGHFPDEGTEGDISTLECLANFIAIVSLTVIFLYLSLFSSVWFKVYVLVSCAYLTFVTYFSIQPPQLICSPESGIHAKKTL >LPERR07G00870.3 pep chromosome:Lperr_V1.4:7:607876:611205:-1 gene:LPERR07G00870 transcript:LPERR07G00870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIGSKGHSVNGGQKQEWHASQLTLNNGPKHRPLTPMRRCRGVACVAIILITAFTLIVYIAPITTFVVRLFSVHYSRKGTSVLFGMWLSLWPFLFEKINKTNVVFSGESVPPKKRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDETIIQNKLSKFKDPRDPLWLAVFPEGTDYSEKKCIKSQEYASEHGLPILENVLLPKTKGFICCLQELKSSLDAVYDVTIAYKHRLPDFLDNIYGTDPSEVHIHIRTVKVCEIPTSEDEVTDWMMERFKQKDQLLSDFFMRGHFPDEGTEGDISTLECLANFIAIVSLTVIFLYLSLFSSVWFKVYVLVSCAYLTFVTYFSIQPPQLICSPESGIHAKKTL >LPERR07G00870.4 pep chromosome:Lperr_V1.4:7:611264:619359:-1 gene:LPERR07G00870 transcript:LPERR07G00870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLLPYMMFR >LPERR07G00870.5 pep chromosome:Lperr_V1.4:7:614843:619359:-1 gene:LPERR07G00870 transcript:LPERR07G00870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRVSHDNPQIQQLVHKFITLQQNKF >LPERR07G00880.1 pep chromosome:Lperr_V1.4:7:626051:628321:1 gene:LPERR07G00880 transcript:LPERR07G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITAEKIATLVKAANIKVEAYWPALFAKLLEGRSVDDLILSVGSGGGAAPVAAAAAPAAGGAAAAAPAAEEKKEEAKEESDDDMGFSLFD >LPERR07G00890.1 pep chromosome:Lperr_V1.4:7:635489:638972:1 gene:LPERR07G00890 transcript:LPERR07G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQNSFPGESETTITQSQISIQVTGLKSQIRCRRAAPSRAANRQSATVLFRLLCTRPTVLGSPHLEEVWVCLQIIQCINVKVHQQTNARAYQIKVQRTMIKNNRKRLL >LPERR07G00900.1 pep chromosome:Lperr_V1.4:7:638480:642838:-1 gene:LPERR07G00900 transcript:LPERR07G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRA >LPERR07G00910.1 pep chromosome:Lperr_V1.4:7:649556:658567:1 gene:LPERR07G00910 transcript:LPERR07G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQISHQADVL >LPERR07G00910.2 pep chromosome:Lperr_V1.4:7:649556:658567:1 gene:LPERR07G00910 transcript:LPERR07G00910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQVSCSFSIVNLLKKNS >LPERR07G00910.3 pep chromosome:Lperr_V1.4:7:649556:658567:1 gene:LPERR07G00910 transcript:LPERR07G00910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQVSCSFSIVNLLKKNS >LPERR07G00910.4 pep chromosome:Lperr_V1.4:7:649556:658567:1 gene:LPERR07G00910 transcript:LPERR07G00910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQALKEELS >LPERR07G00910.5 pep chromosome:Lperr_V1.4:7:649556:657433:1 gene:LPERR07G00910 transcript:LPERR07G00910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQISHQADVL >LPERR07G00910.6 pep chromosome:Lperr_V1.4:7:649556:657433:1 gene:LPERR07G00910 transcript:LPERR07G00910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVAASPRAALERSAQRRLHHAAAGWELDQLGFPRTNPYAAKEKKNSQDGFEACFPLTVVVRCEDDMPCEEAPMRRAVCKLQLLCYRYELQATEELCTVKLHGLRYTFLATADISIANSGEEGIPAYITLVVISPIPMSMMERFMSHNSEELFDQIVRKGRLPDDEARFYAAEIVDILECLHNVNLIHQDAKAASMEDMVTKTGLTLTEIINLEQLPVIVSNSLFSSQALKEELS >LPERR07G00920.1 pep chromosome:Lperr_V1.4:7:661548:663011:-1 gene:LPERR07G00920 transcript:LPERR07G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGIQGQLFGFGSIVRSEQVSGQWAHEKVLPLSRILLLESNFLARNGILLRLLDLAGKFDLGINMFFTRPSVVPIFGQWLDLTFAEIFYKFQLPEEAMLSEILKAPDVQDSSSSTPTVN >LPERR07G00930.1 pep chromosome:Lperr_V1.4:7:666975:669629:1 gene:LPERR07G00930 transcript:LPERR07G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMRRHMAAAVILVATVIAGGGEAQLRRNYYAGVCPNVESIVRDAVAKKLQQTFVTVGATVRLFFHDCFVNGCDASVVVASTANNTAEKDHPNNLSLAGDGFDVVIRARAAVDAVPACRGRVSCADVLAMATRDAIALAGGPSYAVELGRLDGLSSTASSVNGRLPAPTLNLDQLTAIFAANGLSQADMIALSAGHTVGLAHCRTFVGRIRGSSVDPTISPRYAAQLQSSCPPNVDPRIAVAMDPVTPRAFDNQYFKNLQNGMGLLASDQVLYTDPRSRPIVDSWAQSSVAFNQAFVTAMTKLGRVGVKTGSQGNIRRNCAVLN >LPERR07G00940.1 pep chromosome:Lperr_V1.4:7:674290:675404:1 gene:LPERR07G00940 transcript:LPERR07G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVFNSCRDHRLLCFSFLYIAMLYTICSMYKHNFVLLVILMLIVLLLDAYCSCVLCFSKFCISVSIHAFCIQYALLLIHVFYYHYDECTNTIFLSCTLLPL >LPERR07G00950.1 pep chromosome:Lperr_V1.4:7:677506:680685:1 gene:LPERR07G00950 transcript:LPERR07G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSGDGAAVSSRRRAAAAATAMSPSKTKPRAVVASRYLMPSSKQTSPATTTTTTCRAASPAAGSKGRLVSPPPPPEKKRPGFSAAARAKVCDARWPASSAAAAANAAFRGGGVAVRSMVFDEMTTPRRASVDIPNPHRARAALSPDTDSATSSATSPPDGDGDGDGDAAKLAVRARHSPSPARFTRDAMGSRSDRFADRSTPFMSRTPRFLASPSPNTAAPATKKKTVRSLFNGLLLSSPFTKPSHKQPPPATSKTAAAISPAMLSPARSSSAAAAAAKAEEEHLLRMMYNRHLQWRIANARAEAVVSAQELNAEKHLCGAWVSILGMRKSIALKKMQLELLKHNCKLMVILRGQMEYLEEWSLMENKYANSLSGTVEALNATVLRLPVSDGAVADFQAVKNAVGSAVDVMQAMRNSMSNLLPKLARTNVLVSQLSRIASQEQVLMAQCRELMSTLALMNVKYSSLQGQMIQLSNLKRAKGVSSLEYPY >LPERR07G00960.1 pep chromosome:Lperr_V1.4:7:681279:681743:-1 gene:LPERR07G00960 transcript:LPERR07G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKSEQGKLNRDRHIRVETDKMKLNFMCVRCSFAIALQRVLDAVIEEAARFLLGRVEIDRYQAMRNYVPQLVTTSIFENGLKLGKQLEEEMSEEMRWKVLADFWPDILLYISPSDNVKEHIEQLTKGGEFITHLWALLTHAGIPQKEHHPGSV >LPERR07G00970.1 pep chromosome:Lperr_V1.4:7:691335:693104:1 gene:LPERR07G00970 transcript:LPERR07G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDSSVIDDGDGGGEVVVREFDGGRDRAGVEQVERACEVGPSGTKLSLFTDLLGDPLCRIRHSPSSLMLVAEIGAEIVGVVRGCVKAVSCGGGRSDTFAKAAYLLGLRVAPRHRRRGVGTKLVARMEEWFVQSGAEYAYVATDRDNEASVKLFTGPVFGYSKFRTPSLLVHPVFPGHDLATPRRDAAVLRLNVRDAELLYRRFFAAVEFFPRDIHKVLSNPLSLGTFLAVPPDTAKSWRDGGGGVEGFLASPPASWAVASVWNSKEAFRMEVRGAPRLWRAAARATRAADRAAPWLGIPSIPNLFEPFGIHFVYGLGGEGPGAPRLVRALFRHAHNAARRAGARVVATEVAACDPLRDGVPHWPRLGADDLWCIKRLADGYGDGALGDWTKASPGKSIFVDPREF >LPERR07G00980.1 pep chromosome:Lperr_V1.4:7:703783:705620:1 gene:LPERR07G00980 transcript:LPERR07G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVRSALGRVSRRLAGSAVSPAAPRLGPPPATSGIQGLRYFSVIRSRGTDSVKQAQKIRNLLTQRNGSDAKLMELARSAAKWPVVAWFCKPTDGKAEFKCCALVLQ >LPERR07G00990.1 pep chromosome:Lperr_V1.4:7:707130:714953:-1 gene:LPERR07G00990 transcript:LPERR07G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAILGELASRSISFMISKCLKPTALTMEERLQRLLLRACIIVEEAEERLITNQAMLQQLSILRKEMYRGYYTLDSLRCHGHEEDSTKDHEVSKSVTPSMFNPAKRVRLYRVSGQSLQEHTLKHVLGRLEVTINDMSEFVMFLNSYPRMCRQPYSMHLILDKCLFSRQMEMEHIMNFLLKEDATGNGSPGVLPIIGPAKAGKSTLIEHACNNERVRNQFSQIVYFSDDDLEDANMVTLRDSGVIKHQNHAIGGKRILVIIKLTRDIDEDAWTRLYSYSVDCVPNGSKIIVASQSDKIASFGTTQALRVQLLTQEAYWYFFKLRTFGSTDIEEHPKLVSIGMDIAREMNGCFMGASIYTELLRANFNAQFWSAALARIREFTTLNVLLNDAYISDRPWQGDGLSMIRRHTNSASNEDETPMVSVQDFLFGSVKPQGKLKVLAWRSHLPPYSDYVFNCEFKYANMRRSISFLLRKYLKQQQQQAPAPSDFERLDILQRLLLRLRIIIDEAQERFISNQAMLLQLSILRKEMFRGYYMLDTFRCRAHQSKDHHGEVSPSFAMSKFSSAKRIRFSSGSGNQGVSELQRVIQNLENTIADTNEFIVFLSNCPRLHRQPYNMYLILDQCMFGRQMEMEYLINFLLRPGNHVTQEPGVLPIIGPGRVGKSTLVEHACNDERVRNHFSQIMFFTQGNLEDESMADLRDGGVIKHRNHASDFGRVLVIIELDGDRYSEGLDKKIDEGLLERLYLTYKTYIPHDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDAADHIEMESIAMDIAVETEGCFMGANVFSKLMRSNTNTHYWSLLLATLREFKKKNHHVWSFMYGADKVNAFEVTEPREEAAELLVILDNYQICSHASPHSEAESPKISILDVLFGSVKPRGRFDALGWKSQIPPYYQYNYSCEIKRPKCTLARKKKIHKNAPFCKGRRDSLANMEAAISAILTELAGRSISFLVGKYLNQQQPAPSNNERLECLQRLLLRFRIIVDEAEERCITNQAMLHQLSILRKEMFRGYYTLDTFRSRDHHGKVSSYFAISTFSSAKRIRFCSGDGSSKQSVSELQRVLWNLENTIADANEFVLFLSSCPRLHRQPYNMYLIFDQCMFGRQMEMEYLINFLLRPGNHGTQEPGVLPIIGRGRVGKSTLVEHACNDERVRNHFSQIVLFTRGDLEDENMVNLRDGGVTKHRNHALDVGRVLVIIELDGDRYSEELDKKINEGLFERLYSTYKTCIPRDSKIIVTSRSDKIARLGTTPPLRLQLLPEEAYWYFFKVCIFGSMDASEHPEIESVAMDLAIESERSFMAASVFGRLLRSNANPHYWRLVLASLRDFEKKNQHVWSFMYCADQVKDLEVTEPDGATESLVILDNFQIGSNASPKTEGPNISVMEVLLGGAKPQGRFDALGWKSQIAPYYSYMYTCEIQRSSSTAPSDVERLDSLQRLLLWLRTIIDEAREQCITNQSMLLQLSTQRKEMFRA >LPERR07G00990.2 pep chromosome:Lperr_V1.4:7:707130:714437:-1 gene:LPERR07G00990 transcript:LPERR07G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHIMNFLLKEDATGNGSPGVLPIIGPAKAGKSTLIEHACNNERVRNQFSQIVYFSDDDLEDANMVTLRDSGVIKHQNHAIGGKRILVIIKLTRDIDEDAWTRLYSYSVDCVPNGSKIIVASQSDKIASFGTTQALRVQLLTQEAYWYFFKLRTFGSTDIEEHPKLVSIGMDIAREMNGCFMGASIYTELLRANFNAQFWSAALARIREFTTLNVLLNDAYISDRPWQGDGLSMIRRHTNSASNEDETPMVSVQDFLFGSVKPQGKLKVLAWRSHLPPYSDYVFNCEFKYANMRRSISFLLRKYLKQQQQQAPAPSDFERLDILQRLLLRLRIIIDEAQERFISNQAMLLQLSILRKEMFRGYYMLDTFRCRAHQSKDHHGEVSPSFAMSKFSSAKRIRFSSGSGNQGVSELQRVIQNLENTIADTNEFIVFLSNCPRLHRQPYNMYLILDQCMFGRQMEMEYLINFLLRPGNHVTQEPGVLPIIGPGRVGKSTLVEHACNDERVRNHFSQIMFFTQGNLEDESMADLRDGGVIKHRNHASDFGRVLVIIELDGDRYSEGLDKKIDEGLLERLYLTYKTYIPHDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDAADHIEMESIAMDIAVETEGCFMGANVFSKLMRSNTNTHYWSLLLATLREFKKKNHHVWSFMYGADKVNAFEVTEPREEAAELLVILDNYQICSHASPHSEAESPKISILDVLFGSVKPRGRFDALGWKSQIPPYYQYNYSCEIKRPKCTLARKKKIHKNAPFCKGRRDSLANMEAAISAILTELAGRSISFLVGKYLNQQQPAPSNNERLECLQRLLLRFRIIVDEAEERCITNQAMLHQLSILRKEMFRGYYTLDTFRSRDHHGKVSSYFAISTFSSAKRIRFCSGDGSSKQSVSELQRVLWNLENTIADANEFVLFLSSCPRLHRQPYNMYLIFDQCMFGRQMEMEYLINFLLRPGNHGTQEPGVLPIIGRGRVGKSTLVEHACNDERVRNHFSQIVLFTRGDLEDENMVNLRDGGVTKHRNHALDVGRVLVIIELDGDRYSEELDKKINEGLFERLYSTYKTCIPRDSKIIVTSRSDKIARLGTTPPLRLQLLPEEAYWYFFKVCIFGSMDASEHPEIESVAMDLAIESERSFMAASVFGRLLRSNANPHYWRLVLASLRDFEKKNQHVWSFMYCADQVKDLEVTEPDGATESLVILDNFQIGSNASPKTEGPNISVMEVLLGGAKPQGRFDALGWKSQIAPYYSYMYTCEIQRSSSTAPSDVERLDSLQRLLLWLRTIIDEAREQCITNQSMLLQLSTQRKEMFRA >LPERR07G00990.3 pep chromosome:Lperr_V1.4:7:707130:712715:-1 gene:LPERR07G00990 transcript:LPERR07G00990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESTISAILTEFAGRSISFLLRKYLKQQQQQAPAPSDFERLDILQRLLLRLRIIIDEAQERFISNQAMLLQLSILRKEMFRGYYMLDTFRCRAHQSKDHHGEVSPSFAMSKFSSAKRIRFSSGSGNQGVSELQRVIQNLENTIADTNEFIVFLSNCPRLHRQPYNMYLILDQCMFGRQMEMEYLINFLLRPGNHVTQEPGVLPIIGPGRVGKSTLVEHACNDERVRNHFSQIMFFTQGNLEDESMADLRDGGVIKHRNHASDFGRVLVIIELDGDRYSEGLDKKIDEGLLERLYLTYKTYIPHDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDAADHIEMESIAMDIAVETEGCFMGANVFSKLMRSNTNTHYWSLLLATLREFKKKNHHVWSFMYGADKVNAFEVTEPREEAAELLVILDNYQICSHASPHSEAESPKISILDVLFGSVKPRGRFDALGWKSQIPPYYQYNYSCEIKRPKCTLARKKKIHKNAPFCKGRRDSLANMEAAISAILTELAGRSISFLVGKYLNQQQPAPSNNERLECLQRLLLRFRIIVDEAEERCITNQAMLHQLSILRKEMFRGYYTLDTFRSRDHHGKVSSYFAISTFSSAKRIRFCSGDGSSKQSVSELQRVLWNLENTIADANEFVLFLSSCPRLHRQPYNMYLIFDQCMFGRQMEMEYLINFLLRPGNHGTQEPGVLPIIGRGRVGKSTLVEHACNDERVRNHFSQIVLFTRGDLEDENMVNLRDGGVTKHRNHALDVGRVLVIIELDGDRYSEELDKKINEGLFERLYSTYKTCIPRDSKIIVTSRSDKIARLGTTPPLRLQLLPEEAYWYFFKVCIFGSMDASEHPEIESVAMDLAIESERSFMAASVFGRLLRSNANPHYWRLVLASLRDFEKKNQHVWSFMYCADQVKDLEVTEPDGATESLVILDNFQIGSNASPKTEGPNISVMEVLLGGAKPQGRFDALGWKSQIAPYYSYMYTCEIQRSSSTAPSDVERLDSLQRLLLWLRTIIDEAREQCITNQSMLLQLSTQRKEMFRA >LPERR07G00990.4 pep chromosome:Lperr_V1.4:7:714478:717529:-1 gene:LPERR07G00990 transcript:LPERR07G00990.4 gene_biotype:protein_coding transcript_biotype:protein_coding METILSAILGDITSRSMSFLINKCSKPKVSNMEERLQRLLLRVLIVVEEAEHRLITNQGMLLQLNILRKEMYRGYYTLDSFRCHGHEEEDSAKDVEVNSFFSQSKFNPAKRVRFCRVKGQSLQGHLQQVLGSVQVTVEDMSELVMLLNSCPRLCRQPYSMYLLLDKCLFGRQMEMEHIMNFLLKEDATGNGSPGILPIIGPAKVGKSTLIEHACGDEKVRTFRNMDAQEYPKLASIAMDMARELNGCFMGVSIYSGLLKANFSTRFWSMALARIREFKKTNLLVYGAYFDHPWLAVEPANIMTVNKTSSEYLVVLDEYQTCSVQIMIHRHTDFIQNEAEVPMLSMQDFLFGSVRPQGKPPCADSLHRDPRTPAHGVPDPARSGPDPPPRSISFMISKCLKPTALTMEERLQRLLLRACIIVEEAEERLITNQAMLQQLSILRKEMYRGYYTLDSLRCHGHEEDSTKDHELSTHVPSAI >LPERR07G00990.5 pep chromosome:Lperr_V1.4:7:712771:714953:-1 gene:LPERR07G00990 transcript:LPERR07G00990.5 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAILGELASRSISFMISKCLKPTALTMEERLQRLLLRACIIVEEAEERLITNQAMLQQLSILRKEMYRGYYTLDSLRCHGHEEDSTKDHEVSKSVTPSMFNPAKRVRLYRVSGQSLQEHTLKHVLGRLEVTINDMSEFVMFLNSYPRMCRQPYSMHLILDKCLFSRQMEMEHIMNFLLKEDATGNGSPGVLPIIGPAKAGKSTLIEHACNNERVRNQFSQIVYFSDDDLEDANMVTLRDSGVIKHQNHAIGGKRILVIIKLTRDIDEDAWTRLYSYSVDCVPNGSKIIVASQSDKIASFGTTQALRVQLLTQEAYWYFFKLRTFGSTDIEEHPKLVSIGMDIAREMNGCFMGASIYTELLRANFNAQFWSAALARIREFTTLNVLLNDAYISDRPWQGDGLSMIRRHTNSASNEDETPMIT >LPERR07G00990.6 pep chromosome:Lperr_V1.4:7:714972:717529:-1 gene:LPERR07G00990 transcript:LPERR07G00990.6 gene_biotype:protein_coding transcript_biotype:protein_coding METILSAILGDITSRSMSFLINKCSKPKVSNMEERLQRLLLRVLIVVEEAEHRLITNQGMLLQLNILRKEMYRGYYTLDSFRCHGHEEEDSAKDVEVNSFFSQSKFNPAKRVRFCRVKGQSLQGHLQQVLGSVQVTVEDMSELVMLLNSCPRLCRQPYSMYLLLDKCLFGRQMEMEHIMNFLLKEDATGNGSPGILPIIGPAKVGKSTLIEHACGDEKVRTFRNMDAQEYPKLASIAMDMARELNGCFMGVSIYSGLLKANFSTRFWSMALARIREFKKTNLLVYGAYFDHPWLAVEPANIMTVNKTSSEYLVVLDEYQTCSVQIMIHRHTDFIQNEAEVPMLNLKENLHAPTASIEIRARRHTGCQIQPGAARIRRRPNSCRSVE >LPERR07G01000.1 pep chromosome:Lperr_V1.4:7:721560:723035:-1 gene:LPERR07G01000 transcript:LPERR07G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFMSAILSDLASRSISFLINKYCSKPTGSNIEERLQRLLLRVQIIVEEAEDRLITNQAMLLQLNILRKEMYRGYYTLDKFRCHGNEEDNSKDHQVSYSFAPCKLNPAKRVRCCQVSGQSLQEQQQKVFSSLEAIIEDMSEFIIFLNNCPRLCRQPYSVHLLLDKCLFSRQMEMEHIMNFLLKEYTSGAEIPGVLPIIGPGKVGKTTLIEHACDDERVRNHFSRIVCFCENDLKDENMVSLRDCGVTKHQNRSTGGERILIIIELTRDIDECTLNRLLSASKRCVANGSKIIITSRSDKIACFGTTQALRLKFFTQEAYWYFFKVRTFGSIDAEEHPKMASIAMDMAREMNACFMCSSIYSVLLKANFNPQFWNAALKRIREFKKMNTLLYGAYFDGPWGSVESSYVRRVNENPSECFVVLYDYQTFSAENDAGVPILSVQDFLFGSVRPQGKFKVLGWKSHLPPHYNYMFSCEVQKLVHNKLSLERRDL >LPERR07G01010.1 pep chromosome:Lperr_V1.4:7:726769:727373:-1 gene:LPERR07G01010 transcript:LPERR07G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSSVIEEAEKRRITNNSMIRQLNMLRQDMHRGYYLHDTFRFQKASEEKMNGDEERLQRGKGIT >LPERR07G01020.1 pep chromosome:Lperr_V1.4:7:728070:734197:-1 gene:LPERR07G01020 transcript:LPERR07G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAILSDLASRSISLMISKYSKPTVSIMEERLQRLLLRARIIVEEAEERLITNHAMLQQLNILRKEMYRGYYTLDKFRCHDHEEDNTKDHQVSNSFAPSKFNPAKRVRCCKVSGQSLQQQQQQVFSSLEAIIEDMSEFVMFLNSCPRLCRQPYSMHLLLDNCLFGRQMEMEHIMNFLLKEDNPSAETPGVLPIIGPAKVGKSTLIEHACNNERVRNQFCKIVCFSDNDFEHTNMVTLRDCGLIKHQNHTTDGERILIIIELVRDIDDSVWRRLYSASKKIVTNGSKIIVASRSDKVVSFGTTQALRVKFFTEEAYWYFFKVRTFGSLDAEEHPKLASIAMDMAREMEGCFMGSCIYSVLLKANLNTQLWSTALARIREFKYKNNLIYNAYFAGGPWEAAKPAYVRRVNNISSEYLVILEDYQTCSVQDMVHRDTDSGQIETEVSKVSIQDFLFGSARPQGKFKVLAWRSHLPPHYNYIFNCEFLPGVSSCRVIYKLSQLHMETFLSAILSDLAARSISFLVTKCSKQTSPTMEERLQQLLRRVRIIVEEAEERLITNHSMLQQLNILRKEMYRGYYILDKFRCHDHEEDNTRDHQVSSSFASSKFNPAKRIRFCRVSGQSSQEHLMQQVLSRIEVTIEDMSEFVMFLNSYPRMCRQPYSMYLLLDNCLFSRQMEMEHIMDFLLKEDAISTENLGVLPIIGPGKVGKSTLIGHACDDERVRNHFSQIVCFSSDDLEDANMVTLGNCGVIKHQNQATGGERILIIVELIRDIDEGAWRRLYSASKNCVASGSKIIVSSRSEKIASFGTTQALRVKSFTQEAYWYFFKLRAFGSVDASEHPKMESIAMDIAIELNACLMGSSVYTVLLRQNFNTQFWSMALARIREFRKLNHLLYDAKFFDSPWEGIGSAYVRRLNKICCEDHSIIHCHAKSALSEDEVPMVSVHDFLFGSVKLQGKFKVLAWRSHLPPYSEYVFNCEVERSYQLVTRSRKKRPQKLCT >LPERR07G01020.2 pep chromosome:Lperr_V1.4:7:728070:734197:-1 gene:LPERR07G01020 transcript:LPERR07G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAILSDLASRSISLMISKYSKPTVSIMEERLQRLLLRARIIVEEAEERLITNHAMLQQLNILRKEMYRGYYTLDKFRCHDHEEDNTKDHQVSNSFAPSKFNPAKRVRCCKVSGQSLQQQQQQVFSSLEAIIEDMSEFVMFLNSCPRLCRQPYSMHLLLDNCLFGRQMEMEHIMNFLLKEDNPSAETPGVLPIIGPAKVGKSTLIEHACNNERVRNQFCKIVCFSDNDFEHTNMVTLRDCGLIKHQNHTTDGERILIIIELVRDIDDSVWRRLYSASKKIVTNGSKIIVASRSDKVVSFGTTQALRVKFFTEEAYWYFFKVRTFGSLDAEEHPKLASIAMDMAREMEGCFMGSCIYSVLLKANLNTQLWSTALARIREFKYKNNLIYNAYFAGGPWEAAKPAYVRRVNNISSEYLVILEDYQTCSVQDMVHRDTDSGQIETEVSKVSIQDFLFGSARPQGKFKVLAWRSHLPPHYNYIFNCETSPTMEERLQQLLRRVRIIVEEAEERLITNHSMLQQLNILRKEMYRGYYILDKFRCHDHEEDNTRDHQVSSSFASSKFNPAKRIRFCRVSGQSSQEHLMQQVLSRIEVTIEDMSEFVMFLNSYPRMCRQPYSMYLLLDNCLFSRQMEMEHIMDFLLKEDAISTENLGVLPIIGPGKVGKSTLIGHACDDERVRNHFSQIVCFSSDDLEDANMVTLGNCGVIKHQNQATGGERILIIVELIRDIDEGAWRRLYSASKNCVASGSKIIVSSRSEKIASFGTTQALRVKSFTQEAYWYFFKLRAFGSVDASEHPKMESIAMDIAIELNACLMGSSVYTVLLRQNFNTQFWSMALARIREFRKLNHLLYDAKFFDSPWEGIGSAYVRRLNKICCEDHSIIHCHAKSALSEDEVPMVSVHDFLFGSVKLQGKFKVLAWRSHLPPYSEYVFNCEVERSYQLVTRSRKKRPQKLCT >LPERR07G01020.3 pep chromosome:Lperr_V1.4:7:728070:733678:-1 gene:LPERR07G01020 transcript:LPERR07G01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIMNFLLKEDNPSAETPGVLPIIGPAKVGKSTLIEHACNNERVRNQFCKIVCFSDNDFEHTNMVTLRDCGLIKHQNHTTDGERILIIIELVRDIDDSVWRRLYSASKKIVTNGSKIIVASRSDKVVSFGTTQALRVKFFTEEAYWYFFKVRTFGSLDAEEHPKLASIAMDMAREMEGCFMGSCIYSVLLKANLNTQLWSTALARIREFKYKNNLIYNAYFAGGPWEAAKPAYVRRVNNISSEYLVILEDYQTCSVQDMVHRDTDSGQIETEVSKVSIQDFLFGSARPQGKFKVLAWRSHLPPHYNYIFNCEFLPGVSSCRVIYKLSQLHMETFLSAILSDLAARSISFLVTKCSKQTSPTMEERLQQLLRRVRIIVEEAEERLITNHSMLQQLNILRKEMYRGYYILDKFRCHDHEEDNTRDHQVSSSFASSKFNPAKRIRFCRVSGQSSQEHLMQQVLSRIEVTIEDMSEFVMFLNSYPRMCRQPYSMYLLLDNCLFSRQMEMEHIMDFLLKEDAISTENLGVLPIIGPGKVGKSTLIGHACDDERVRNHFSQIVCFSSDDLEDANMVTLGNCGVIKHQNQATGGERILIIVELIRDIDEGAWRRLYSASKNCVASGSKIIVSSRSEKIASFGTTQALRVKSFTQEAYWYFFKLRAFGSVDASEHPKMESIAMDIAIELNACLMGSSVYTVLLRQNFNTQFWSMALARIREFRKLNHLLYDAKFFDSPWEGIGSAYVRRLNKICCEDHSIIHCHAKSALSEDEVPMVSVHDFLFGSVKLQGKFKVLAWRSHLPPYSEYVFNCEVERSYQLVTRSRKKRPQKLCT >LPERR07G01020.4 pep chromosome:Lperr_V1.4:7:733725:734197:-1 gene:LPERR07G01020 transcript:LPERR07G01020.4 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAILSDLASRSISLMISKYSKPTVSIMEERLQRLLLRARIIVEEAEERLITNHAMLQQLNILRKEMYRGYYTLDKFRCHDHEEDNTKDHQLSTFVSSTI >LPERR07G01030.1 pep chromosome:Lperr_V1.4:7:736869:737619:-1 gene:LPERR07G01030 transcript:LPERR07G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVWRCGDAFSPSSSDRPDPKKLKGQVYTEVYAYNPVPTTRQHKHNPLRRNLTPNRPPLCVVDRRQANNVTTIRDS >LPERR07G01040.1 pep chromosome:Lperr_V1.4:7:738370:743205:-1 gene:LPERR07G01040 transcript:LPERR07G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKELEVKQQLEDNEVTELEEEEVEAGEAQQGEAPVRRASTFAELGICGELVSACDAMGWKEPTGIQAEAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLKHDKPQPLFACVLSPTRELAIQIGQQFEALGSAISLRCSVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLKAIDDILNVIPKERRTYLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKVKFYPFYLVFIEFKWFDLIKLAAYTTEGSTLRKSCEALSLIDCYLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVNVVINYDIPINSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLEKDIPDRKVDNAEMMILRERISDAKRIALTTMKESSGHKKKRRKTDDDDDDEEERNAPVSRKSKYRKSGRR >LPERR07G01050.1 pep chromosome:Lperr_V1.4:7:745677:748245:-1 gene:LPERR07G01050 transcript:LPERR07G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSSDDGDNDGSGPRVLPTMATPDGDASSLSFSSNSQSKRNVLTPKGISDLLIGSQGLFRWLINPKILSDVASRSISFLINKCSKPPIPIVEERLQQLLLRARIIVEEADDRLITNQTILQQLNILRKEMYRGYYILDNFRCHGHEEGDCKDQVSNSSAQSKFNPAKRVRFCIVSGQSLQEQLRQVHGSLKATLEDISEFVTLLSNCPRLCHQPYSMHLILDKCMFSRQMEMESIMNFLLKEDTPDVENPGVLPVIGPGRVGKTTLIEHACNDERVRNNFCQIVFFSGDDLDDANMVNLRDSGVIKHQNHATGGERILIIIELTKDIHEGVWRRLYSASRNCVARGSKIIVTSRSENIASFGTTPALRIKFFSQEAYWYFFKVRTFGSMDTKDHPILASVAMDVARELNGCLMGANIYSALLKTNFNVQFWKRALARIREFVKLNVLLYGASFFDDPWQAVGPPYIRRVNKIKSEYVVMLGNYQTCEDELPMVSMQELLFGSVRPQGKFKVLAVRSHLPPYYNHVYNCEVRTPHHMVSRKKRSQKVTISNPDA >LPERR07G01060.1 pep chromosome:Lperr_V1.4:7:748261:756845:-1 gene:LPERR07G01060 transcript:LPERR07G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLSAVLSDLASRSISFLINKCSKQAIPIVLERLQQLLLRARITVEEADDRLITNQTMLQQLNILRKEMYSGYYILDNFRCHGHEEDNCKDQVSNSSAQSKFNPAKRARFCMVSGQSLQEQLHQVIGSLEVTLEDISEFIMFLSNCPRLCHQPYSMHLILDKCMFSRQMEMECIMNFLLKEDTSDVENPGVLPVIGPGRVGKTTLIEHACNDQRVRNNFCQIVFFSGDNLDDANLVTLRDSGVIKHQNHATGVERILIIIELTKEIDEGVWRRLYSASRNHVASSGKIIVTSRSENIASFGTTPALRIKFFSQEAYWYFFKVRTFGSMDAKDHPILASVAMEVARELNECLMGANIYSALLKTNFNVQFWRRALVRIREFVKLNVLLYGASFFDDPWQATGPSYIRRVNKIKSEYVVMLDNYQTCSAPNMVLCRSNNVHSEDELPMMSMQELLFGSVRPQGKFKVLAVRSHLPPCFTKPLLPPPTPPLSMEEKIQRLKRMLLRLAALVEEADGRRITNHSMLHQVNMLRQDMHRGYYVLDTFRFKKSYEEEMNEYDDDKVSSNTLTLSKFKPRKRARLPTGTSQHGDKGGELEQVLDKLGVAMADMVEFVLLLNNYPSMYRQPYNTYMFMDKCMFGRQMEMEHVINFLLHASFDNLGVLPIIGPDKVGKSTLVEHVCYDERVRKHFSCIIFLTDSDFREEKSLLNLSNGGAIRYKHNSSSSTSSGGRMLVIVELVEDVDNDKWRRLYSSSRSCISAGSKIIITSTSEKIAKLGTTQPLHLKFLPREAYWYFFKVLVFGSSNPEEHPEVASASINMFNDYFDHEMYKEFMGQFIDLRNMADIIQASLHEGSFHNLCQRIRLLFCGQVMAKEMNQSMLSRGSGVSGLQSMFLFIPRIDEDVHYYCDIYNHCPVGFAHEKEEEEAPQIDIKEVVSGRVAPHGKFNLVVWRSHLPPYYNYIYSSEIHDRARPAVEQQKKPMLTVGGAA >LPERR07G01070.1 pep chromosome:Lperr_V1.4:7:763973:765478:-1 gene:LPERR07G01070 transcript:LPERR07G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFSAILGELATRSLSMLIDKCFSNQKPSSKEENIHRLQRMLLRLAVTVEDAEGRRVTNHAMLRQLNMLRQDMHKGYYFLDTFRLQQIHEDDDNKVSYNTLAMPKFNFTKRARVTIESRREKDEGELEQVIYNLEITLADMFEFVLLLQNYPSMHRQPYNTYMFMDKCMFGRQMEMENIMNFLLHSEPPITDNLGVLPMIGPTKVGKSTLVEHVCYDERVRGHFSRIIFLSDSDFNEEISLVNLRYSGVIRHKHISSSSTSNGEERLLIVVELTEDVSDHEWRRMYYSYRSCISAGSKIIITSRSDKIAKFGTTQPLCLNFLSKEAYWYYFKVLAFGSSDPYELPHKVLSVSMAMFNAYFDYGFYKDFTGQFIDLSILSSWIQTSVSKGNWHSFREHYKEKEIHNHFVLHRCLGDSWMKSKFMFIPRRDGVHRYCEVYDHCRVRLAHEEDRDAPKIDMQDVLSGRVATHGRFDVVMWRSRLPPYYSYIRSCEIHESRSI >LPERR07G01080.1 pep chromosome:Lperr_V1.4:7:767875:772093:1 gene:LPERR07G01080 transcript:LPERR07G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEAPPQLSGGGGSGGETPRRRRPRSRSDPLLVVCRCFNVVTAAVAALCVAVNVLSAVQSFRAGLDIFGGIFRCYAVVISLFVGVVETEWGFIIKFCQILEYWPARGMLQIFVAVMTKAYPNVERGDLILLQDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELESLLLAHRSETA >LPERR07G01090.1 pep chromosome:Lperr_V1.4:7:790986:791216:1 gene:LPERR07G01090 transcript:LPERR07G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEPAQSPYVPALTCPSSPTQWVVWDGNGFGSVEQPVHLTSITYSAIHLHQQVSNYHVRILWSLIPSQPVETRP >LPERR07G01100.1 pep chromosome:Lperr_V1.4:7:792284:796306:1 gene:LPERR07G01100 transcript:LPERR07G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAQHSKTLAAPQLWLPLLSLPLSLTFLGDGIFPHKPVRRIWLQGAAAAWTPWAAHRHHRHERASLAVDLEMSTRRPRTVGREGRHGDGGGRTEKFGSPRAHSHLRALRWCLPAVPAVPFPLRILDLPIGRREARLTEPWPTIQSHIAYLQAVSNTSTSNATTVSITVRGKSATNYLSKMKPTQVHHISYMKNEVPHTHTSRHDKEFAEYAHFEFL >LPERR07G01100.2 pep chromosome:Lperr_V1.4:7:792284:795839:1 gene:LPERR07G01100 transcript:LPERR07G01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAQHSKTLAAPQLWLPLLSLPLSLTFLGDGIFPHKPVRRIWLQGAAAAWTPWAAHRHHRHERASLAVDLEMSTRRPRTVGREGRHGDGGGRTEKFGSPRAHSHLRALRWCLPAVPAVPFPLRILDLPIGRREARLTEPWPTIQSHIAYLQAVSNTSTSNATTVSITVRGKSATNYLSKMKPTQVHHISYMKNEVPHTHVIINK >LPERR07G01110.1 pep chromosome:Lperr_V1.4:7:795786:802424:-1 gene:LPERR07G01110 transcript:LPERR07G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLRSNHISTVLQGWNIAVGIGDAHDFLRRRRGGQAGERSAFYVLTVAAGATAVAWPSLRPLLARRPPNPLGSPGCNGGPDLAASSTRSPSVDSHLPSVVGRPPPCVGWSGSGGGDAVGWGGGGCWGRRRRRWPMSGTTTATAWLSGRCCSMGGGGDSQGPAVASPMRPPEAEGQYHV >LPERR07G01110.2 pep chromosome:Lperr_V1.4:7:794752:802424:-1 gene:LPERR07G01110 transcript:LPERR07G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLRSNHISTVLQGWNIAVGIGDAHDFLRRRRGGQAGERSAFYVLTVAAGATAVAWPSLRPLLARRPPNPLGSPGCNGGPDLAASSTRSPSVDSHLPSVVGRPPPCVGWSGSGGGDAVGWGGGGCWGRRRRRWPMSGTTTATAWLSGRCCSMGGGGDSQGPAVASPMRPPEAEDREARFAAPYRL >LPERR07G01110.3 pep chromosome:Lperr_V1.4:7:795249:802424:-1 gene:LPERR07G01110 transcript:LPERR07G01110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLRSNHISTVLQGWNIAVGIGDAHDFLRRRRGGQAGERSAFYVLTVAAGATAVAWPSLRPLLARRPPNPLGSPGCNGGPDLAASSTRSPSVDSHLPSVVGRPPPCVGWSGSGGGDAVGWGGGGCWGRRRRRWPMSGTTTATAWLSGRCCSMGGGGDSQGPAVASPMRPPEAEGRQYVIGSWAMVPEE >LPERR07G01120.1 pep chromosome:Lperr_V1.4:7:806993:811653:1 gene:LPERR07G01120 transcript:LPERR07G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKDQQRKPAPAAAAAASAISIRACTKSIYMDDAAAISSLGAAAGGELARRGTAPRLCRPPHQQQEEQDNYPRSNTTAAEERRKVSTPSYYYSPYMRSRPF >LPERR07G01130.1 pep chromosome:Lperr_V1.4:7:811724:813729:1 gene:LPERR07G01130 transcript:LPERR07G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAAGSRLPCAGALMEKAAAAAAAKAASLPGAGAPMAAAAAAKAASLPGAGAPMAAAAKAASLPGAGLAKAAVKGLSSKPMMGSNIVASRALCSATASQKAEYLKVLKEHMQKLEATLPEEEFKSIMNNFLCDYHKAVPEVDLASFKFQLKRLEEQLMWKKTSALALFSSILGFLLGVPYMFYTWEKENVRRDFHLNSLEKRLCYLEEEGSGGHGKTCTEKGNKAARATPVEIIEKKTSAVSGNSVAAPGGNNTNAE >LPERR07G01140.1 pep chromosome:Lperr_V1.4:7:814454:819862:1 gene:LPERR07G01140 transcript:LPERR07G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKKKTNYGVANNLNKNPAVDGNGGTSSERRERRRARRRSTFRAIDRSPPSTPTIRDLWALAQPPWPTEGGPACPGRPSARFTGAAARHKVNEQDMEDTYQPLSRSCIQEGQKFLISAQPALFVYVTIIYVNQTYTPSDEDSVAIVLKTAHYCSVCECVVKDLANYLDHVDGKKRNYFESSGFGQVGTSNQTTLHGLNVCQIREHWACLFVLVEHHLNRFRKGWSHLRNRKNKVQILIEKPQYDHVLLIEATRFDSCLFQVIHVIFFFSSNLCL >LPERR07G01140.2 pep chromosome:Lperr_V1.4:7:814454:819862:1 gene:LPERR07G01140 transcript:LPERR07G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKKKTNYGVANNLNKNPAVDGNGGTSSERRERRRARRRSTFRAIDRSPPSTPTIRDLWALAQPPWPTEGGPACPGRPSARFTGAAARHKVNEQDMEDTYQPLSRSCIQEGRASCLMCLYNQTAHYCSVCECVVKDLANYLDHVDGKKRNYFESSGFGQVGTSNQTTLHGLNVCQIREHWACLFVLVEHHLNRFRKGWSHLRNRKNKVQILIEKPQYDHVLLIEATRFDSCLFQVIHVIFFFSSNLCL >LPERR07G01150.1 pep chromosome:Lperr_V1.4:7:830100:839037:-1 gene:LPERR07G01150 transcript:LPERR07G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMMRSALARRLAGSAMKEIPSFRSAVPPATGRIPNLQGLQHFNVISRGTEGMKQANQMRYVSTGSNCEADINYARLAK >LPERR07G01160.1 pep chromosome:Lperr_V1.4:7:870543:883357:1 gene:LPERR07G01160 transcript:LPERR07G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRKGILQQLKERTKEKSGELNKNKELLKSQDQLKRNTDNNLNYRKTKAEVERLTHEIELLEDKVLSIGSLSTTEADLKQHFTRKREASFRGCHANRWMLSWKCEGAAVRERRRAGSRKVGIGEPLTTDGGGSSTLLRPPRKEQAPESRRAVGGWVVPERGEMIERAAATVISSPPPPYAQSTRSTSPAPELIVVDARRHRRSESTTVDPHPPPSIRVAPVAGGAGGIVVLGFILWI >LPERR07G01160.2 pep chromosome:Lperr_V1.4:7:870543:883357:1 gene:LPERR07G01160 transcript:LPERR07G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRKGILQQLKERTKEKSGELNKNKELLKSQDQLKRNTDNNLNYRKTKAEVERLTHEIELLEDKVLSIGSLSTTEADLKQHFTRKREASFRGCHANRWMLSWKCEGAAVRERRRAGSRKVGIGEPLTTDGGGSSTLLRPPRKEQAPESRRAVGGWVVPERGEMIERAAATVISSPPPPYAQSTRSTSPAPELIVVDARRHRRSESTTVDPHPPPSIRVAPVAGGAGGIVVLGFILWI >LPERR07G01170.1 pep chromosome:Lperr_V1.4:7:909314:920117:1 gene:LPERR07G01170 transcript:LPERR07G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEQKLEIDTFEALTRQRKLRHVDDIDVGLDSLGLAVASSSPPPPAGRASASEAVGFRPVSIPISRSASFAEGRAFAQPPRAASSPVLHPLPLPVTSQTVQKFSHHNDLQLNSTKRNSSSHHRKAFREKFQDKSSIETVNFRLNIPAKSAPSSGFSSPVCSPRRLSNADLSYNAPSAQGPQVWSAPSIRSIDFMGASSPPISGEIYTGLSEQSPFSNALRSPIPISRNTSAPPSPMHPKLFPENHISRTEGNGSISLHPLPLPPGAGSSMQTCFVNQPVPKVEKPSVAGQWQKGKLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSVESLKQLEQEIKFLSQFKHENIVQYYGSDTIEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQRIMHRDIKGANLLVDVHGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLVKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPENLSHEGKDFLQCCFKRNAAERPTASELLDHSFIRNSSHYNKHGSIHSFAGVKSHDNGNGTRDKAVSKSDSCLKGKNNAGEPTNARLSEPTSRLTPLLIQEVAPNFSSRPLGLPSNPSSANLVNNMYFPMANSQPSPLPRPNGKEVLF >LPERR07G01180.1 pep chromosome:Lperr_V1.4:7:920339:925596:-1 gene:LPERR07G01180 transcript:LPERR07G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDREASARSPTTSSSKSSATSKNSALQWEDAFDPIELCQIRRDAESPRFKLDGFSSPALALAYLLDISNLEYLMEDMIMLPGIVSLNLAILANGHAIGPSLFHVLRMWTSIRRLTLVLHISKINNFRGTEHEIALVKRLFSWAAALKEITINF >LPERR07G01190.1 pep chromosome:Lperr_V1.4:7:934255:936126:1 gene:LPERR07G01190 transcript:LPERR07G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALGRLSRRLSASQAAGVTRRIGVPEMMTKTTIPQSPPPLPSLLPASPWISLPGPAAQLMGRAFSSPVAASAAARVRRRIPNLQGHQHLRVICRGTDGCQSRSFYHGWINRLIHMNIQMIALSMIQIIEVLNMDAKTHLPVLGIVRWLFSTLCYW >LPERR07G01200.1 pep chromosome:Lperr_V1.4:7:944852:946239:1 gene:LPERR07G01200 transcript:LPERR07G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDDPVSQALIGSASGDVNHTPRWLMCGMIRRLALYVCGCDADVAEELLVPDPTQLYHSNPHNFTIFFAIINVIYLEWSVKAYLKSDEHSNDCTINDPSYRSAEHGCNDPTSDILLYMYWLSSRIVKKHS >LPERR07G01210.1 pep chromosome:Lperr_V1.4:7:965972:968907:1 gene:LPERR07G01210 transcript:LPERR07G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSVVGRVRGSAMGDCIRKLEEEMCKIEVFRRELPLCVRLLADVIDVMKEEVEKKEKVGDDEDAAGDKSSWMSTAQLWTGNSGGREDPEKQKQQEKGIISSAATSNSGDGGGGFVPFKAVGSGAPGFARPKPSLVIRKDDVVRMPDLSLLSPPAATAVATDGDESRRHVVGFAQAAARAAAMAPSGTALTLQAQSQPATLQQQQQARKARRCWSPELHRKFVSALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRVPSSTIVNQPIVLMGGLWIPQEQSSSQSGSPEGPLHFSGSGMAGGSSAATVSCEEEDGRSESYGWK >LPERR07G01220.1 pep chromosome:Lperr_V1.4:7:977275:980809:1 gene:LPERR07G01220 transcript:LPERR07G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAPAAAVALAACILAVTAATLAGADDPYRFFTWNVTYGTINPLGTTPQQGILINGQFPGPRIDCMTNDNLIVNVINNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGSNYTYKFQSKDQIGSFFYFPSVALHRAAGGFGSFNVFQRPQIPVPYSPPAADFTLLVGDWYKSGHKQLRQSLDSGAGELLPPDALLINGVSSPAAAPAIFVGDQGKTYLFRVSNVGMKTSFNLRIQGHQMRLVEVEGTHPVQNLYDSIDVHVGQSVAFLVTLDQSPADYAVVASSRRFGISPAASSPALLTATATLRYTGGGAPANIPMPAPPPEGIDWSMNQARSFRWNLTASAARPNPQGSFHYGGIATSRTMVLESSAPAIAGQRRFAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFVEVVFQSTESEIQSWHLDGYDFWVVGYGNGQWNESQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSAHWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >LPERR07G01230.1 pep chromosome:Lperr_V1.4:7:982609:984168:1 gene:LPERR07G01230 transcript:LPERR07G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSAFLGELFSRSFSFLIDRCSSNTPSKKETIQRLERMLLRLAITIEEAEGRRITNYAMLHQVSMMRNDMHKGCYVLDTFKFLKIHEEMSKDDDDEVSYNTLALSKINFSKRARVLTRSRRHGDKVELDQMLDKLETVMANLVEFVMLLNNYPSMCRRPYDTFMFMDKCMFGRQMEMESIINFLLNPESPNSDNIGVLPIIGPAKVGKTTLVEHVCYDERVCNHFSRIIFLTESDFREEKNLLTQRLRDGRLVRHKRKSSPSASNRGRLLVVVELADDINHDEWTRMYSSTRSCISAGSKIIITSRSEKIAKLGTTQPLHIKFLSREAYWYFFKVLAFGSSNPEEHPEAASASMMLFNRYMNQEMNKNFIGAFIELRNIASVIQTSVYEGSCLSLGECARFCSREHAYQPLLTTGSGDSGLKSNFVFIPRINETVHYYCEIYNHCRVVLAHDQEEAPKFNIQDVLFGRVAPHGIFDLVLWKSQLPPYYNYIYSSEIHEYKPIATYGIELQQKKRKI >LPERR07G01240.1 pep chromosome:Lperr_V1.4:7:982633:983259:-1 gene:LPERR07G01240 transcript:LPERR07G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKCGLADFGWTNDRKDTNEFEGVKNVAAFVHIISHHADLMKHGIVCDAPPLGFFNGDGKPEQHPFQPLNRLLLGRRIGGASVYQEGEGSTKELS >LPERR07G01240.2 pep chromosome:Lperr_V1.4:7:982631:989434:-1 gene:LPERR07G01240 transcript:LPERR07G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGIVCDAPPLGFFNGDGKPEQHPFQPLNRLLLGRRIGGASVYQEGEGSTKELS >LPERR07G01240.3 pep chromosome:Lperr_V1.4:7:982633:983501:-1 gene:LPERR07G01240 transcript:LPERR07G01240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVEEYILEFEGVKNVAAFVHIISHHADLMKHGIVCDAPPLGFFNGDGKPEQHPFQPLNRLLLGRRIGGASVYQEGEGSTKELS >LPERR07G01250.1 pep chromosome:Lperr_V1.4:7:988433:989956:1 gene:LPERR07G01250 transcript:LPERR07G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSAILGELASRSLSLLIDRCSSKLMPSSMEEKIQRLQRMLLRLAVIVEEAEGRRITNYAMLRKLNMLRQDMHKGYYLLDTFRLQQTHEDDDNKVSHNTLALSNFNFTKRARVSIGSRRYGDKGELDQMIDKLEISMDDDMVEFVLLLNNYPSMHRQPYTTYMFMEKCMFGRQMEMENFIKFLLQPEFPNSDNLGVLPIIGPAKVGKSTLVAHVCYDERVCNHFSRIIFLSDSDFREEKTLLTQRLRDGGRLLVVVELADDVGHDEWTRMYSSCRSCISAGSKIIITSRSEKIAKLGTTHPLHIKFLSREAYWYFFKVLAFGSSNPEDHPKAASAAMMIFNSYFDMEWYMNFAGPFLELSNLAGLVQTSLYQGSCLSLRERVKLCLTKHARDKVTNKYLITAGSGDSRLKSNCIFIPRINETVHYYCEIYDHCRVGLAHDQEEAPEFDMQDVLSERVAPHGRFDVVMWRSHLPPYYSYIYSCEIHEYRPVTNCTTELRQKKRKI >LPERR07G01260.1 pep chromosome:Lperr_V1.4:7:991348:991773:-1 gene:LPERR07G01260 transcript:LPERR07G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIEINRAGAEVIHGDDICRKKSIEMLGNLGLPNGILSLEDIDEFGYNKETGFMWLVQKKKNQHTFKTIKQTVSYANEVTAFVEKGKLKNITGVKAKEMLLLVTIAEVYVDEASPENVTFKTGRAFSKSFDATAFALGE >LPERR07G01270.1 pep chromosome:Lperr_V1.4:7:995384:995809:-1 gene:LPERR07G01270 transcript:LPERR07G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVTGDDICRKKTIGLLEELGLPKGFLRLEDIEELGYNKETGFMWLVQKKKNQHTFKKIKQTVSYANEVTAFVEKGKLKKITGVKAKELLIWLSVIEVYVTEASPEKVTFKTGTGLTDTFDAAAFALGE >LPERR07G01280.1 pep chromosome:Lperr_V1.4:7:997413:997838:-1 gene:LPERR07G01280 transcript:LPERR07G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAAIVTGDDICRKKSIELLEELGLPNGFLPLEDIEEFGYNKETGFMWLVQKKKNQHTFKKVKETVSYANEVTAFVEKGKLKNITGVKAKELLIWLSVVEVYVTEASPEKVTFKTGTGLTDTFDAAAFALGE >LPERR07G01290.1 pep chromosome:Lperr_V1.4:7:1000451:1026375:-1 gene:LPERR07G01290 transcript:LPERR07G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRRLARLCDLVAGELLPHLEPKPPAPRLTREDERRVLLALSRVSKAIRRCDEDEDDGCESDEEIVSCPAEVHSCSLPANQHFDDGFSCLANITSILAGLFGFSSGYVKHSAGNILIAISGYLMKFEVVWIQFVELVWIVIHTVSTCTHSALSSSVNSISSLRKGSIFYSSVMESLSHDITISSTNITSFMEVFQLRCLDNNGHMVASLFQVLHTILKFLKHADSELKNDFICISVHHILMVRWDSYYQLNVGGPVNLVKDGTFSLSNDLGQLGFLSSSLLQLLCSLVEQSDLEDTNGQDIYAKLVDVIPKLVAILREHQNAPKSLSQYLKHKTLMIMMRLKPYMQKDCSHIVCWLKLLRRHFQDLLHGHILQYIARPENCLEGSPFLLSTVGLSETHDKSTRHLQRQAIYLFLSCCCICLSYNGKDSALQCSCKRDDRMLSHKVQDCSDHCNCFALSEISDWFQRCFLDKIFGSKSSTDIALCFLQLYMEEDDMLFSILLQLLDAPLISLKIDSMETRWVSDLIGGKLFSSIFDPAHVFHLLLLLKLNFKRQKTFNDANNSSGSSIEGPKFGSACDKKSKNKHKLFLNAKACLLSLKTTLEDLHKKGLFPYNPKPLLKSLARFEELFEPERFIAKAMASLVESHRAGAAIVTGDDICRKKSGFLPLEDIQEFGYNRETGFMWLVQKKKNQHTFKKIKQTVSYANEVTAFVEQGKLKKITGVKAKELLIWLSVVEASMLFDLFVPLFTSLLVQDTLYFNCGYGGYGKKILGYNIAQHEFREIDLPLWDDYKQGIITTAEDGGLGFVTMKSCSLVLWSRNVGDYGIEYWKQSRVIQLDMLTPSGNPSSSRDLVGFAECTYTIFISSDVGLFATELKSGQVKMVGESKPYFAVLPYMRFYTPDRELRPTSQIRLLNVSFEGINISTGQAPSTLPLMLCTSTLLIKILCLPMASQIESHRSGAEIVNGDDICRKKTIGLLEELGLPKGFLPLEDIQEFGFNRETGFMWLVQKKKNQHTFKKIKETVSYATEVTAFVEKGKLKKITGVKAKELLIWLSVVEVYVTEASPEKVTFKTGTGITDTFDAAAFALGDPMELMIWDPITGDQHRFPLPPHPHSSCAGAVLCASIDCHQHPFLVVFVGTGDYDQDDSWACVYSSETGQWSSQAFIVINSCVEMLPSLLIGDAVYFNCENGLKILGYDIDWHELWDIDAPIEGEGFEGGRAMTATGIC >LPERR07G01290.2 pep chromosome:Lperr_V1.4:7:1000451:1019505:-1 gene:LPERR07G01290 transcript:LPERR07G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPDHHRFPLPSHPHMYCAGAVLCAARGCNHLDCQQGPFLVVFVGTCENNHSWACAYSSKTAEWSAQASIVVDSYVEMTPSILIKDTLYFNCEHGMRILAYDIARHEISVIDRPLGPDNGILIKSEYGGLGFASVQASGLQLWSRIVGDDGIEEWEESRVIELDMLILVDNPFHRLDLVGFAEDTHTIFISSDVGVFTVELKSVQVKEVCERRHYFTVLPYMRFYTPDLANGRWGVEGFLPLEDIQEFGYNRETGFMWLVQKKKNQHTFKKIKQTVSYANEVTAFVEQGKLKKITGVKAKELLIWLSVVEASMLFDLFVPLFTSLLVQDTLYFNCGYGGYGKKILGYNIAQHEFREIDLPLWDDYKQGIITTAEDGGLGFVTMKSCSLVLWSRNVGDYGIEYWKQSRVIQLDMLTPSGNPSSSRDLVGFAECTYTIFISSDVGLFATELKSGQVKMVGESKPYFAVLPYMRFYTPDRELRPTSQIRLLNVSFEGINISTGQAPSTLPLMLCTSTLLIKILCLPMASQIESHRSGAEIVNGDDICRKKTIGLLEELGLPKGFLPLEDIQEFGFNRETGFMWLVQKKKNQHTFKKIKETVSYATEVTAFVEKGKLKKITGVKAKELLIWLSVVEVYVTEASPEKVTFKTGTGITDTFDAAAFALGDPMELMIWDPITGDQHRFPLPPHPHSSCAGAVLCASIDCHQHPFLVVFVGTGDYDQDDSWACVYSSETGQWSSQAFIVINSCVEMLPSLLIGDAVYFNCENGLKILGYDIDWHELWDIDAPIEGEGFEGGRAMTATGIC >LPERR07G01310.1 pep chromosome:Lperr_V1.4:7:1053849:1054115:1 gene:LPERR07G01310 transcript:LPERR07G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKKYDSSREEDGARGRRCRKHRFNQGRLSPDVSFNYQNAKPPRCKNAQALTPPHQEHHHNEKNPSPSHEHPT >LPERR07G01320.1 pep chromosome:Lperr_V1.4:7:1068250:1090656:1 gene:LPERR07G01320 transcript:LPERR07G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVNSAVIGETANRIISSLISKLKERPDELRKDNIERMEMAHIRMEAALVMSSKWQITDVPVLQWRSKLKRAAQDCDDALRRSKQRIVEDNQTRRRRFADGANDFVKLVEFGGTPRQYMFYDPLIGNLLSGKYLRYTALKGSIFYYLTIRPMSFEERGVEASAGFIYQDSKEPSKDIRLGFMLRLSESTDVFGVIIRCLQSCMPHYKFVAEHLRRELIQLPTQDFSWMTHSSYSIREYWINVHNTLTQWYRPNPFCCSDHGHHRISSPSTISNTKESSPSLSSRRTRLSSMFPEQVIAFHLQCHISLSDIQHNSDAPPLKLGALFIPHDTQEDMLEPQVESYALESIDENDQEMIHTSACLQDIDEKLLPKALQHLYKNNVSRMYQMCFKSSHGTAHFCVEKVRTATQSARRKRSTTRSLSHDNKRIRGDNYDNKGWKTNCIDLLKFQCVRASKKLQEMVNSAVIGETANRIISSLISKLKERPDELRKDNIERMEMAHIRMEAALVMSSKWQITDVPVLRWRSKLKRAAQDCDDALRRSKQRIVEDNQTRQQVSQSFPKRVAHATKSLVSSFISRDDASCVTSADVQRFERLADGANDFLKLVEFGGTPRQYMFFNPLIGNLFSGKYSRYIALKGSTFYYLVIRPVSFEERGVEATVGFVYQDSKDPSKDIRLGFMLRLSESTDVFGVIIKSLQSCMPHYKFVAEHVRRELVQLPTQDFSWKTHPSYSQREYWINVHNTLTKWYRLNAFCCSDLGNHLITSPSTISNTPESSPCSSSRRRRLSTMFPEQVIAFLLECRVTLSDVQHNSNMPPLKLGALFIPHDSPDDMLEPAVESYAVESFGENDQELVHTNTCLQDIDEKLLPKALHYLYKNDVSRMYQMCFKSSHGTAHLCVEKMCTGTQSARRKRSTTRSPTRDNKRMQGDNYDNEGWKTTCIELLKFQAVRGSNKLQGSIRSWMKHMVSSAVIGETANRIISSLVRKIKERPDKLSDNIERMEMAHIRLEAALVMSSKWQITDVPVLRWRRKLKRAAQDCDDTLRRSKQRVMEDNQTRQRVSHSFPKRIAHVTKSLVSSFISGDDTSCVSSTDVQRFERLADGANDFVKMVEFGGTPRKYMFFNPLIGNLLSGKCLRYMALKGSTFYYLLVRPVSLEERGMEATIGFIYQDLKDPSKDIRLGFMLRLSESTDVFGVIIKCIQTCMPRYKFVAECVRRELIQLPTQDFSWGIHPSYSQREYWINVHSTLTSQWYRPNPYCCSDHTNKSSPSPSSSRRTFSSMFPEQVIALLLQCHISLSDTQHSLDMPPLKLGALFIPHDTPEDMLEPESIVESYALDSIGENKQKLVQTNASLQDIDEKLLPKALQYLYKNNGSRMYQMCFKSSHGIAHLCVEKVPTETHSARIERSTTRSLAHDNNRIVEQGDSFDNEGWKATCIDLLKFQAVRTSNKLQGLIRSWITLTMAAEKVGSAVIGETMNRIISSLVSKLKERPDELSKDNIERMEMAHIRMEAALVMSSKWQITDVPVLRWRSKLKRAAQDCDDTLRRSKQRIVEDNQTKQQVSHSFPKMIAHATKSLVSSFITGDDASCVASADVQRFERLADGAIDFLKLVEFGGTPRQYMFFNPLIGNLLSGKCLRYRARKGSTFYSLAIRPISFEDRGVEATVGFIYHDTKEPSKDVELGFMFRLSESTDIFGIIIKCLQYCMPHCKFASEFVSRELIQLPTQDFSWETYPSYSHHEYWMNVHGTLTQWYRPNPFCCSDHDYHRITPSTSSNINNASPSPSSSRRRLSSMFPEQVMVLFLQCHISLSDIQHNLDMPPLKLCTLVMPHNSQEDILEPKVESYSLESIGENEQELVHTDACLQDIDENLLPEALQYLYNNNGSRMYEMCFKSNHGSAHLCVEKVSTEPQSALRTRSATWSWAHDDKRKIEQVNNERWVSMCTSLLKFRVARASEKLQGSIRSWVTQVTHKMEAAAAWKLGSAAMEMRMRNHENQRSTPLPSRGTRIRKSTAEEHLERLEMAHIKLEAVLETSYKWRITDPSLLRWQKKLKCAAQECDDTLHECRQRALEEEETEQEVRNSSFPKRIAHATKSLISSIFFSNINDSSRFAVQRFEWFADGAKEFLRFVEFGGTTHQYLFFDPLIRQLLAGKTLEYKLLSENKYRLFVIRPFNVSELRMEARLIFDSKNPGAPEDDFFLCMLLQISESMDIFGIVIKCLQLFNPHVKSTAESVRNELTQLPSQDFSWVPYAESSHQKHWDNIHNITTQWFRPNPLCCKQHTQSNSCGRNNIGMSTLQGVSLGPVIEVSLQCQVPIPEFREKGTIVEGKPCLEEFPHLKVDLVYTPHGSSEDLFPSIDSSVIEMINGDKQHCLHTNIALEQLEEIMLPRAVDCFRENAKAALYQMLWKSKHGGAYLQVVKATSNIQSTRRTIRRARKAKVLQRHGHKSQHHTDAIADFPNLWVAHAPVQLQGSILDWIQKEKETQLAPPLLRLKF >LPERR07G01330.1 pep chromosome:Lperr_V1.4:7:1093221:1097168:1 gene:LPERR07G01330 transcript:LPERR07G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVSSAIVHEAVNKIISGLVDKYEHKSSAEEQMERLEMAHIKLETALDTSSKWQITSGPLLRWQKKLKRAAEECDDIMRKCRQRVQEEEAEQQVRNSSFPRRIAHATKSLISSIFNGNSNEPVRSSVRRFEWFADGANDFLRYVEFGGTPRRYLFFDPLIGNLFAGETLEYKLVQGNRQHLLWIIPNITAERGVEAKLILVYNDARAPVDNFFLGMMLQLSESTNIVGTTIKCLQLFTTHFKSTTETVKKELTQLPTQDFSWIPRSSSYGWDNIHNLFAQWFRPNPLCCKHHGEKVCGSRNMDKVELPAVSLEPLIEVGLLCQVSLPGFKEPGTIVEDKSSIEEYQHLNVHLVYTPHGSSEDLCPAVKSTVIEVINGFNPRLDSEREGSTVRRSSIAVAPAMKAGIINKNIVMSEVVASAVVSEAVSRISTLFINKHKRKLTVEDGMERLEMAHIRMEAALEMSSKWPPVTDTLLLHWRKKLKQASNECGHVLDRCKRRAMEDDEIQQEVKRHAFPKRIAHATRSFFSSFTGHKNDDSLITTSTIQRFERFADGAGEFLRFMEFGSIGRINYMLVDPLSGHLLAGKALQYGTSHGNQYHLAAWPMRFPERGLEAGFLLRYQNHERPEENFIIGILLRLATSTNVAEIVARCLELLPPNFKPVAEAAKQELTQVHQRGFYCFPFVDSTDPEYWRTHHDETHCARPNATCCQGREDQVHCTSSDVVEPSRKFPEPVIKLIVQRYVSAQQGPSSSSGHGSRKTPLLQLTAIIVPHSSPEDLPPEAQSIAIVAVDGREEQGVHTNVELREVEDFLLPNTIDRMCHEVADGSSTHEVFWQSGHGATYLHVEKTGTEIAGRRPTRWPCKCGRLWRHRAQLWCRGRDGVVAGGAGCAGGGERERPTIEQ >LPERR07G01340.1 pep chromosome:Lperr_V1.4:7:1098425:1103456:-1 gene:LPERR07G01340 transcript:LPERR07G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMFISSAVLLLLLSSIINGDAAAAAQDGGEGVDLASLLDFRKSITNDPSGAMSSWNATAAAHFCGWKGVTCDGQRRRVAALELAGLTLSGKISPSLGNMSFLSSLNLSGNLLSGQLPPQLGGLRRLVFLDLGGNLLQGSIPEALTNCTKLRTLDISRNHLVGNITSNIALLSNLRNLRLHTNNLTGIIPPGIGNITSLNIVILQGNKLEGSIPVELGKLSNLTHLLLGGNRLTGRIPDVLFNLSMVQEIALPLNMLNGQLPSDLGNFIPNLQQLYLGGNTLGGQIPDSLGNASELQWLDLSYNQRFTGRIPPSLGKLQKLEKLGFDLNNLEAKDSWGWEFLDALSNCTRLKMLSLHQNLLQGVLPNSIGNLSSSMENLVLSTNMLSGPVPSSIGNLRRLTKFGLDFNSFTGPIDGWIGSMANLQALYLDSNNFTGNIPASIGNMSKLSELFLSDNQFHGLIPSSLGKLQQLLKLDLRYNNLQGNIPEEVFTIATIVQCGLSHNSLQGLIPSLSRLQQLSYLDLSSNKLTGEIPHTLGACQQLETINMGQNFLSGSIPISLGNLSLLTMLNLSHNNFTGPIPIAISKLQFLSQLDLSDNHLEGQVPTDGVFRNATAISLEGNLQLCGGVLELHMPLCPTVYQSKTGRRNFLVKLLVPILGIASLMVLAYLAIFRKKMFRKQSPLPSSSDHFPIVSYKDLAQATDNFTDTNLIGRGSYGSVYKGKLTQENIVVAVKVFHLDMQGNDFKALVYKFMPNGNLDTWLHAKSGTNASDQLSLSQRINIAVDIADALQYLHYDCEDPIIHCDLKPSNVLLDDDMTAHLGDFGIAHFYLKSQLPAAGDLSSISSIGLKGTIGYIPPEYAGSGFLSTSGDVYSFGVVLLELLTGKRPTDPMFCNGLSIINFVERNYPDATTQIIDTYLREDHKELALATLDEDKAVYQLLLDMLGVALSCTRQNPNGRMNMREAAAKLHAIKISYISGIDSTSEHITLYKMADGSY >LPERR07G01350.1 pep chromosome:Lperr_V1.4:7:1107681:1120058:1 gene:LPERR07G01350 transcript:LPERR07G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSHHSFNPVIVCWIKKGLLKMEEMVRSAIVHETVNKVMSGLLDKYEQKSSGEDRLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRAAEECDDTLRKCRQRVQEEEEAKQQVRNSSFPRWIASATKSLISSIVHGNIDEPSRSVVRRFEWFADGANDFLRYVESGGTPRRYLFFDPLIGHLLAGKRLEYKLLQGNRQHLFWIRPNNIAERGVEAMLIFFYRDCSAPEDNFFLGMMLQLSESLNIIGTTLKCLQLFTPHFKSTTETVRKELTQLPTQDFSWVPHAHAHSYLWDNIHNITTGWYRPNPLCCKHHGQKVCGSENMDKIELPADVSLEPVIQVNLVCQVSLPRFGKQGPIAEGKELPHLNVLLLYTPHGSSEDLSPAVENTVTEVINGDEQHLLHTNIPLEQMEEMMLPRAVDCFHQNAKATAYQMLWKSKHGGAYLHAVKATMNMPSTRRTIRGARKPKMLQQHDHRTQRHTNGVADFLKAAHAPVQLHGSYLGWIQKEKEAQLTTIEEIHELVRLGGLNAQVELQPNVRDDITWKLTANGTYTAASAYQFQFHGSYSTTNFTKIWEICMLTTKTATKTATKENKRPGVIGENILMSEVIGSAVIGEAVSRISTFVIDNHNRKSIDEDGLERLEMSHIRMEAALEMSNKYPPATDTSLLRWRKKLKRASDECSHVMDRCKRRAMEDKKREQEIRQFSFPKRIAHATRSFFSFDGNKDIDSLITTSTIQRFERFADGASEFLRFMEFGSIGSINYMLVDPLTGHLLAGKALQYENSHGSQYYLASRPMHFAERGQEAGVLLRYDNHERPEENFILAMLLRLSASTNVIGIVARCLELLPPNFKPVAEAAKQELTLVHQRALYCFPFVDSTDPEYSQIHRLETHRARPNPACCEELEHHGWDRSSGMLEPSGAFPEPVIKLFVQRYVSPGQDPSSSSSSSGYASEMVFSGHSGSPLLQLTAVFAPHASREQLPSGAKSAAVVAIDGIEEQSVRTNVGLREVEELLLPSAVDCLFHKAVDGSSAHEAFWRSGHGVAYLCVEKMGTEIAKCRPTQWRV >LPERR07G01360.1 pep chromosome:Lperr_V1.4:7:1121956:1131312:1 gene:LPERR07G01360 transcript:LPERR07G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSAMKPQLDRRRKEVQEWRCLARSSVSTRRTSWSDEEDFIFSNLFLKICRSALGSILIRENWRLLKMEEMVGSAIVHETVNKIISGLIDRCERKSSAEEHLERLEMAQIKLEFALETSNKWQITSAPLLRWQKKLKRAAEECDDTLRKCRQRIQEEEEAEQQVRNNSFPRRIALATKSLISSILNGNIDEIARSAGNKQHLLWIWPNKTAEQGIEVKLCFAYDDDSAPEDNFVFTIMLQLSESTNIFGTIIKCLQLFAPHFESTIETVKKKLTQLPTQDFSRVPHSRSYEDLFPAVESTVIEVINGNEQHCLHTNVPLEHMEEIMLPRAVNCFRQNVKATAYQILWKSKHGGAYLQAVKATMNMARARRTIQGVRKIKMLRQPDRRKHSRTNEVANFLKLVTELAPVQLQGSILDWIRKEKKVPSPLLRLTSERLLKMEEMVGSAIVHETVNKIISGLIDRCEQKSSAEDHLERLEMAQIKLELALETSNKWQITSAPLLRWQKKLKRAAEECDDTLRKCRQRIQEEEEAEEQVKNYLFPRRVACATKSLVSSILNGNIKEPTTSAVRRFEWFANGANEFLRSVEFGGTPRRYLFFDPLIGHLLGGETLEYKSVQGNKQHWFLIRPNNTADRGIEATLIFFYDDDSAPEDNFYLEMMLQLSHSTNIVGTAIKCLQLFTSHFESTADTVRKELTQLPTQDFSRVPHSRSYGWENLNSIITECCRPNPLCCKHHGQKECGSGNMDMIELPEVYLEPVICVHLLCQVSLSRIREQGTIVEHKSSPNEFPRLYIVLSYSPHLSIEDLLPAVENTLIEVINGNEQHTLHTNIALEQMAVVMLLRAVDCFRQNEKATVYQMRWNSKHGGVYLQAVKAIMNMPSTWRTNHGARKAKMLRRHGHRTQSRRNEVADFLKLAAPYVPVQLQVPLLNWIQKGEIQSNRQGLPYVLGFFFCVGDAMSWISTFLIG >LPERR07G01370.1 pep chromosome:Lperr_V1.4:7:1131375:1147765:1 gene:LPERR07G01370 transcript:LPERR07G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWPPVTDVYLTPVLEKEAEACLQRLVFPSQDHNPCTVVGKNILMSEVIASAVIGEAVSRISTFLVDKYNQKSSEEDGLERLEMAQIRMEAALEISSMWPPVTDSSLQRWRKKLKRASDECSHVMDRCKRRAMEDDEMEQEVRWCSFPKRIAHATRSFISSFAGDKNVDSLITTSTIQRFERLADGAGEFLRFMEFGSIGRINYTIVDPLTGHLLAGKAVQYENSHGNQYYLAARPMNFAERGLEAGVLLRYQNHERPEENFILGILLRLATSTNVTRIMARCLELLPPNFKPVAEAAKQELTQVHQRGLYCFPFVDSTDQEYWSIHRAETHRARPNFACCKEHGYHGQSRSSDMVEPSGTLFPEPVIKLAVQRHFSVQQKLSSSSSSPSGHNNRRTPLLQLTAVFAPHMSPEQLPSGAESVAIMAIDGREEQPVRRNVGLRELEELLLPNAISRLCHEAADGSSAHEVFWRSDHGAAYLCVEKTGTEMAGCSQTHWPRSALVRQRRRRGGWWLAMEDRKRRGRAPNHRPMRESYMHTDRRIDISCPVLIREESYRILKMGEMLRGADGEAGNGANQVGGSLETSNKWQITGRPLLRWQKKLKRAAEECDDTLRKCRQHLQDEEEEEHQVSNSSFPRRFVHATKSLVSSIFHSNIDEPLRSAGRRFEWYAYGASDFLRCVEFGGTPHGYLFFDPLIGHLLVGESLECTLVQGNKQHLFWIQPNNIAERGLLPSHFKSTTENVRKQLNYLHKTSPGCHMLGLLKMEEMVGSAVVNETVNRIISGLIDKHERKSSAEEHIERLEMANIKLEAALETSNKWQITSGPLLRWQKKLKRAAQECEDTLHKCRQRIQEEEAEEQSQVDLLFEDLSDGANDFLRFLEFGGTPRRYLFFNPLIGHLLAGESLQYKLVNGTKHHRFSIRPDNTAEQGREAKLVLVYHDYSAPEDNFYFGMMLQLSESTNIIGTAINCLKLYTPHFESTTEVVRNKLTQLPTQDFSWVPNACSYHWDNIDNIISEWYRPNPLCCKNHDQKVCDSKNMDKIKLPDVSLEPVIGVNLLCQVSFPGFREQGTIVGSKSSPIEFPHLNVMVVYTPHGSSEDLFPAVESTVIEVINGNEQHCLHTNISLERTEEIMYPRAIDCFRQNAKATSYQMFWKSKHGGSEGNLEHAEQMENHSRSQKRQQERRTSQQKTPKLTILMYLEDRSSVVVLSKKKKFMEFGSIGNINYMLFGSIGNINYMLVAPLTGHLLAGKALQYESCGVNQYYLAARPVRFAERGLEAGVLLCYDNHERPEKNFVLGIVLRMTASTNVTGIVARCLESSLTPNFKHVAEAAKEELTQVHQRGFYCFPFVDSTDSEYWSIHHSKTHLARPNPTCCEGSNMVEESGKFPEPLIKLIVQRHISTQQKLSSSSSSSSSGHGGSNCSGDSVPPPLQLTAVFYAMAAAAKDRQGGSAWSSGARRYGHGAALLSAASAHYFEMEEVVGSAVVHETVNKIISGLIDRCERKSSAEEQMERLEMAQIKLEVALEASNKWQISSGPLLRWQKKLKRAAEECDNTIRNCRQRVEEQEEAEQQVRDSSFPRRIAHATKSLISSIFNGNIDEQPSRSAVRRFEWYADGASDFLRSVEFGGTPRNYLFFDSLIGHLLAGETLEYKLVQGNKHHLFWIRPNNIAERVEAKLIFVYNDSDAPEDNFFFGLMLQLSQSTDIVGTAIKASLGGKGCSLTKPSHLKLAVLFLPHDSSTVILPATDNFAVEVIDGEEQPFCHKNITLEQLDKIMLPNAIDSFNQNAEATAHKLLWNSKHGTAFFHVGKTRMKETLLQQQDLELQSRADVISNFLNLWVELERSPVRMQCSVVDWIQALFARPRDSKRHLASI >LPERR07G01370.2 pep chromosome:Lperr_V1.4:7:1145542:1150954:1 gene:LPERR07G01370 transcript:LPERR07G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSRRPRLTTSRGRLLKMEEVVGSAVVHETVNKIISGLIDRCERKSSAEEQMERLEMAQIKLEVALEASNKWQISSGPLLRWQKKLKRAAEECDNTIRNCRQRVEEQEEAEQQVRDSSFPRRIAHATKSLISSIFNGNIDEQPSRSAVRRFEWYADGASDFLRSVEFGGTPRNYLFFDSLIGHLLAGETLEYKLVQGNKHHLFWIRPNNIAERVEAKLIFVYNDSDAPEDNFFFGLMLQLSQSTDIVGTAIKCMQLFTPHFKSKAGTIRRELSQLPTQDFCWVSHHRPYHWDSIHCIATKLFRPNPLCCKHHGQNACGSGYMDKIKLPDVCLEPVIEVYLESQVSQFRCNKHRASLGGKGCSLTKPSHLKLAVLFLPHDSSTVILPATDNFAVEVIDGEEQPFCHKNITLEQLDKIMLPNAIDSFNQNAEATAHKLLWNSKHGTAFFHVGKTRMKETLLQQQDLELQSRADVISNFLNLWVELERSPVRMQCSVVDWIQALFARPRDSKRHLASI >LPERR07G01380.1 pep chromosome:Lperr_V1.4:7:1151848:1154902:1 gene:LPERR07G01380 transcript:LPERR07G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHISLSTGPPHNLFVSTARSPPPPRLLTPRPWPAAAAADGVAADEPSATRPRVDRRRGEFLAWHCLPRPAAAAGTAGRHMFVRVAGELAMRRRRMPMFIRGGHGALARNRGRESYRLPKMGEIVSSAVVNETVSRITSGLIDRCQQKSSVEDHLEKLEMAQIKLDIALETSDKWQITSGPLLHWQKKLKRVTEECDDTLRKCRQRVQEEEEMEQQVRNSSFLSRIVHATKSLVSSIFHSGTDELSRSAVRRFEWFADGANDFLRSVEFGCTPHSYFFFDPLIRHLLAGERLEYKLVEGNKQHLFWIRPINITEQGVEAKLIFVYHDSSAPEDNFVLCVMLQLSESTNILGTTIKCLQLFTPHFKSTIETVRKKLTQLPTQDFSWVPHAHSCYWDSLHHIATAWFRPNALCCKHYDQKVCGSGNMDKIELPDVSLEPIIEVSLMCQVPLPGFRERGKIVEGKPSLEEVSHLKVHLIYTPHGSSEDLFPTVQSSVIEVINANEQHCLHTNIGLQKMKEIMLPKAVDCFHQNEKARVYQMLWKSKHGGAYLEVAKATMNITRTRRTTHGAKKAKLLRQKDHRTQSRTDFMCDFLNLWAGHAPVKLKGSIHGWIQKQKGTQLAPPQLRLKF >LPERR07G01390.1 pep chromosome:Lperr_V1.4:7:1153123:1159000:-1 gene:LPERR07G01390 transcript:LPERR07G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLLAVPPPLLPGHVACTCVVFHHTLQQHVSASSANQWTTSDLPLIGCLKCKVQLNLRHLQPFQVILHT >LPERR07G01400.1 pep chromosome:Lperr_V1.4:7:1155200:1159166:1 gene:LPERR07G01400 transcript:LPERR07G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNRFVSVHGRQSLSTRRSSPPPAAGTIHCEAAPAPGSPARWSGHGVAFLAAATASSIAWFIAYLSLFKVVGIHTEQASALEGLEARHPQLGQCRWRPEGRRHLEKSLKERLRYTNPDVALAVEFVAPSTAMDATTAVVHETVNKIISGFIDNYERKSSVEDHLERLEMAQIKLDFALETSNKWQITGGPLLRWQKKLKRAAEECDETLRKCRQRVQEEEEVEQQMEQISILRIWGKFSDGEWCHVDMPN >LPERR07G01410.1 pep chromosome:Lperr_V1.4:7:1159982:1160248:1 gene:LPERR07G01410 transcript:LPERR07G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWPTAARATWSASEPADVVPLPGSDARLKLPLANRRGFDTVVILTAWSLWKERNRRVFDGVSKTMRQVAVVAAEEAHLWRLARADP >LPERR07G01420.1 pep chromosome:Lperr_V1.4:7:1160367:1160592:-1 gene:LPERR07G01420 transcript:LPERR07G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQVLRSKQITATLAELFTAPQKINGSNGEESGQEAKSQALPGRWKGDCRIVELLPERE >LPERR07G01430.1 pep chromosome:Lperr_V1.4:7:1167269:1170911:-1 gene:LPERR07G01430 transcript:LPERR07G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIASPPVAVVNLPPPEPFVVARARRFALTSNDLSTTYVFASTSSTFHLLNSTPSEPRFAAGELEIEPSHRMIS >LPERR07G01440.1 pep chromosome:Lperr_V1.4:7:1180180:1182506:-1 gene:LPERR07G01440 transcript:LPERR07G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVPCSAQHGPRVEFVRLWRQWKAMLVAGDGVPDHEFHGIEEVDEDAAVAAVERQPTVVPVDGRRGERRIVGGEDEARVGGYGLDVVDEAKQVRCSIEFVVAAEEGGVGEEAAPWLADEGGADETKEMFMLMDRTLIWFNVEGIFEVQGLGCYPDEAWPEGPVPPPLRSHCAYVEFLLWDKYDSECPCYFFQWIDGQDKYDPRIRLFPYDEKELKPYNEFRMDGLCVTFRSIYMVRSLFGRWMRRCGCSRRENALALREKAPSPLREERVIGRIFQDV >LPERR07G01450.1 pep chromosome:Lperr_V1.4:7:1181620:1197543:1 gene:LPERR07G01450 transcript:LPERR07G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVDLGVVAHELRELARAVLDLEERGRGGRRVARWACRATPSGAASLSRQSMWRDRAAATLAVRAVLGHGGMLPWRRLFTDPAFLRRYHEFYRTPYLLGFIHNVEAVSSDPRFVLTTDDSPFSAPAIDWYNRWLALDCRHGRVLIHLFNPMELMVWDPITGDQHRFPLTPQPHEFYSGTVLCAARDCDHLDCHQGPFLVVFVGDTVDNNHSWACVYSSETAEWSAQASIVVDSYVEMTPSLRIKDTLYFNCEHGMRILAYDIARHELSVIDRPLGPDNGILIKSEYGGLGFASIESHRAGADIVSGDDICRKKTIGLLEELGLPKGFLPLEDIQEFGYNRETGFMWLVQKKKNQHTFKKIKQTVSYANEVTTFVEQGKLKKITGVKAKELVIWLSVVEVYVTEASPEKVTFKIGTGLTDTFDAAAFALGENSASPMAAAAPELIDDITGEIILRIPPDEPPHLVRASLVCKPWRRLLTDPAFLRLYRAFHRTPPVLGFLHNRDSYKASSSTPRFVPTTAASPFSPLAIGSPTRWSALDCRHGRVLIRFIDRSQLMVWDPITGDQHRFPLPPHLVGFAECTYTIFISSDVDRELKRPGSKSEKIKATNIISHRQLLWARKKDLLVEVETVRGGGNAATRRQDGSDGARWCQGGRIGDR >LPERR07G01460.1 pep chromosome:Lperr_V1.4:7:1197276:1197631:-1 gene:LPERR07G01460 transcript:LPERR07G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSISAARVNPVWTPHPLSRPQASIVSITCRRCVRLGTIWRRRIRLAASSLRCHHHAPSRPRPTNPSSSPKAAADFDPGLLEWDANRLQQLKNDSGYSEKLIQQ >LPERR07G01470.1 pep chromosome:Lperr_V1.4:7:1201304:1202781:1 gene:LPERR07G01470 transcript:LPERR07G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVDIITGHGRCESAEFDAPQPPEDIHNIYFWTNGFTVNDGPFRSFVNPANASFLKSIKNSDCPSELEPADKRSKVNVNLIQKKEKYPEPVKQAAPFQGGGKTLGTPSDNSTPPDATAAVAASSTETASKTVTVKIWFVDGSRIVARFNTSHTIADVRAFIDTRRPGEGSDYTLQAGFPPKPLDDLTRTIEEAGIANSVIIQKV >LPERR07G01480.1 pep chromosome:Lperr_V1.4:7:1207872:1209143:-1 gene:LPERR07G01480 transcript:LPERR07G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGKEGAGSWSDLPPELLGMVFCRLLSYADRVRFRSVCRPWRLAAREQQPNPPPPLPWFALDDRTTYLSVPDGEVHRVPVPVPGDLPAGTVCRGCFDGWLLYDRGFENLTFHDWYVDNDEQDDDKLECFLMNPISKARIGLPYHWFLDLDDPVYHGQYTMYFHESVMRKIVVCSPDLVVALGDYARLHYFYYRQGIIHPTTTNWSLATVHDMPLDIVMYRGHLYSVSYRGKLSVHEFSNSGGDGSSSVAVVIDELPPEEISLPQGYRWSWWNPFYLVVSCCTGKLLMVRWRWCLPLYDPVRRWCADDLKKDVKLDVFEADMEKRCWSKVKDLGGDQALFLGTTCSKSLASPDHANFIFFMVSNIIRSCTDAIIDGVGDCIYCVYDMKNGTFRFHNQEGLSRSTNNREAQCWIRADWFFPCE >LPERR07G01490.1 pep chromosome:Lperr_V1.4:7:1211720:1219891:1 gene:LPERR07G01490 transcript:LPERR07G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCVQPLLRPRHLPRAAPPHGDVRHWRQRVHLLRRPPFATGLPHYGHLTGMIKDTVTRHHSMRGCQVAWRFGWDFHGVYVEHEIERSPGIKSSCTQVLVMGIGEYTEVCHGVFGKYTAKWEVVVRRMGRWVDFDCGYKTMDMESVWWFFAQLWEKKLN >LPERR07G01500.1 pep chromosome:Lperr_V1.4:7:1223008:1233428:1 gene:LPERR07G01500 transcript:LPERR07G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLSESTNIIGTTIKCSQLFSPYFKSIVETVRKELTQLPTQDFSWVPRSCSYHWDNIHSIAAEWFRPNPLCCKHHDRKLNETMLTKAIDSFNQNAELIAHQLLWKSKHEAAFFHVGNPRTNMPSILSTAGKPTLMQQHDLELESRAGVISEFLKLWVERIFSAVDWIQALLGGAGDSQKLWMTGGKSFRLLKMGEIVSSAIVHETVNKIISGMIDKYEQKTSVEEQLERLEMAQIKLELALETSNKWQITSDPLLCWQKKLKRASEDKELVQLPTQDFSWVSHYRSYHWDNIHGIATEWFRPNPLCCKHHSQRICASSTMDKAELPDVALQPIIEVYLERQIIRSRCNRQRAVIQIKDNKQGAAVRGKRCTPRRPSHLKLGVLLLPHSTSNDLLPAAESSAVEVINGEEQPCYHTNITLEQLDKVMLPKAIDSFNQNAEATAHQLLWKSKHGAAFFHLGKTRMNMPSAFCTARKATWLERQELDLESRASLGEK >LPERR07G01510.1 pep chromosome:Lperr_V1.4:7:1236390:1240231:1 gene:LPERR07G01510 transcript:LPERR07G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENVEAPRDEQQDPPPPPPTNPSEEAGEEMEAEGEEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYYKYGCALLYKSQDEADPFGNVPKSSSNEESVKSTTNKDDSGSSKAPVSNTEAAPSMEKADAEEGQNSNGKGQEEENGDSDKDDDEMAGDEDDSDLDQAWKMLDIARAIIEKSPDDTLEKAKIFSALAEVSMEREDIDTSLGDYFKASAILERLVEPDHRRVIDLPRNFRICLVYELASKISDAIPYCAKAISLCKSRIQRIKNDKDALLAGKDDNISAAEGGPEKSAPEDEIELLSGILSELEKKLEDLEQAMSTPNSVMDEIMKRIASKAQDEPIEANTMPMAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEHSAKRASVDSLSVKGDSTNNSDAHPTAQEGGDSVSK >LPERR07G01510.2 pep chromosome:Lperr_V1.4:7:1236340:1240231:1 gene:LPERR07G01510 transcript:LPERR07G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENVEAPRDEQQDPPPPPPTNPSEEAGEEMEAEGEEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYYKYGCALLYKSQDEADPFGNVPKSSSNEESVKSTTNKDDSGSSKAPVSNTEAAPSMEKADAEEGQNSNGKGQEEENGDSDKDDDEMAGDEDDSDLDQAWKMLDIARAIIEKSPDDTLEKAKIFSALAEVSMEREDIDTSLGDYFKASAILERLVEPDHRRVIDLNFRICLVYELASKISDAIPYCAKAISLCKSRIQRIKNDKDALLAGKDDNISAAEGGPEKSAPEDEIELLSGILSELEKKLEDLEQAMSTPNSVMDEIMKRIASKAQDEPIEANTMPMAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEHSAKRASVDSLSVKGDSTNNSDAHPTAQEGGDSVSK >LPERR07G01520.1 pep chromosome:Lperr_V1.4:7:1240722:1242240:1 gene:LPERR07G01520 transcript:LPERR07G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPAPELANDVMEEILLRLPPDDPTCAARASAFCKHWRNLLTNDAIFRRRYAAFHRTPPVLGFIHPWPLDCRHGLALFLSESSSSLDSLTVWDPISGDLVRRLHLPPHIPINSYHRAAAIVSLSSSSASGECPNFGVVFVAAEGEWGGIASAWLYSSETGEWTPPSTIADDQRSFAVDYKPSVVVGDAVYFLAHYGNNILRYDLIRMELTEFSSPEMDHNDALLMTTTDHGGGGVGLGLALTVSGRWLEIWSWNQPETEKTAVAAGWVRRRVIELYAVFPYITGKVDTRPCLIGFAEGTDVIFMETSDGVYGVELTSLHVTRVLESCPSQSLFPYMSFFFLGRQRDKLPTPKKYTVI >LPERR07G01530.1 pep chromosome:Lperr_V1.4:7:1247294:1247722:-1 gene:LPERR07G01530 transcript:LPERR07G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAIESHRSGAEIVNGDAICRKKSIGLLEELGLPKGFLPLEDIEEFGYNKETGFMWLMQKKKNQHTFKRIKQTVSYANEVTAFVEKGKLKKITGVKAKELLIWLSVVEVYVTEASPEKVTFKTGTGLTDTFDAVAFALGE >LPERR07G01540.1 pep chromosome:Lperr_V1.4:7:1256519:1257085:1 gene:LPERR07G01540 transcript:LPERR07G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGGAAETVASSTTTAMVLLPNGDLREYPRPATAALVLDDVSKDGCFFLCDADKMGFEGPVSAVPAAAELRPGQIYFVLPSDVRRRGLRREEVAALAVKASAALAAAAASSSSGCGGGRRRRGSVAPLVFAPPEEEEEEYETFSSPAAAAGKRRHGGGGGGGKGRRQFAPDLTAIPELDMISE >LPERR07G01550.1 pep chromosome:Lperr_V1.4:7:1261828:1263775:-1 gene:LPERR07G01550 transcript:LPERR07G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISACVAAAGARQPPEGEAGVEAVKRLGAGEEEEEYDGEEEEEESLVGISDALESLERQLASLQDLQHQQRYERETILSQIDRSRGFLLNKLKEYKGQDCEVIHEAATFAGEKIEHDDGLVLPPYSNHVTNSFVLDDLYPPSYLAKLKCTHNGLDSGGTNQDVTKTNRLENRNCSMSSSNSRGGIRSFIGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSMKLDMAGLFSKEATSGKDQVTVQCPPGKVMVVEDGRAHCVVKERVEIPFDTNLVSPNASYGLG >LPERR07G01560.1 pep chromosome:Lperr_V1.4:7:1265443:1269522:-1 gene:LPERR07G01560 transcript:LPERR07G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSLPKAMKPSNITAKHNRSKSDLEDKNAKDVLSSSLKACNQPKLILQLERHLKDQQVVRGALEKALGPDHPAPVTISQEKPIQKAQPAIANELIREVATLELEIKHLEQYLLTMYRKAFDHQQTPTLTTSSDARVSVSSRSSQMEETPKAKPAAVRRGGDAMMLHYSCPPAPASKRCNFAGGGGGGMVVMDDCSPSTCPRKTMDSDHGLRSQSALSFRGVCSSRISPSEESLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHRASSSPTSSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFHVEGEKEFSGPYNTMVEVPSISRDRRRLKEVEDLLQTYKLILYRLETIDLRRMTNDEKLAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVFSPKRLSQQLEAAREEYIRATVGVRKEHHRLLLPKLLESYGRDARLSPERLVDAVQRCLPENLRAAVQRCRQSRSSASKIVEWAPYRLSFRYLLARDLAFPHLS >LPERR07G01570.1 pep chromosome:Lperr_V1.4:7:1277248:1280778:-1 gene:LPERR07G01570 transcript:LPERR07G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEGRHAICHVSMIAGVLSMEEFKFGRLDGQPAKNRNVPIAVTPEGFWCCPSQAVLHKTMKNQNQQARTKGGTSPSVSKASSVQRTPTVLLEKRTHSTPTRSRMHSRTNSNEQLFPPADDAVPDPPKVSPVPDKRHKQHKISVGFGQLHMSDLKVMLYGREGVAVKMIVHKNILAQNSTFFADKLSRQSPVSCIEVLDCEDVEIFVETVGLMYCKDVKQRLIKQTVARVLRILKVAESIGFPACVMSCLNYLEAVPWVGDEEENVVSSIRQLHCEDYGVSPLLKRVASDLTNPPSDTFAHIIELVLKSSDDRGRREMKSLVLKLLKESNIWTNGSSDSCVVTFYSSCRNCLESLLNLFRQASEPEFSEQSSDNKDMIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCKVPVMHRHLISGVTARLFVAVGKGEALPSSETRQLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQTILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQGSSSSSRFATKMIRDC >LPERR07G01580.1 pep chromosome:Lperr_V1.4:7:1288049:1297427:-1 gene:LPERR07G01580 transcript:LPERR07G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVKFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDCFPEGGLVSGGMDTLVFLWDLHKGEVVETMKGHTSQVTGLVVDNSGDIISSSMDWWRNGNTVEVWEAHKVAVQTVLKLSSGELFTGSSDSTIKLWKGRTCLHTFTGHADTVRCLAEMPGLGILSASHDGTIKMWALTGQAILEMIGHTSLVYSVDAHSSGVIVSGSEDRSMKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNYRFCSDEDLAAFTDLISQYTLSRKTVSGLKLSDLPGIEALQVPGNSDGQTLIIREGDNGVAYSWNSKDLKWDKIGEVVDGPGDAAAAPGQFFDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADRWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGVSAPKQTFKHIPKNGMLIFETAQFEGILKKLSEFNATLSSDLEQKQLSLSETELSRLAAVAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGAALLMKTIESGNDVLVETFHKVLTPPVQPPNVLTTLKAVTNLFDKPCLRQWLKSHCAEIIDSVSNCKPTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANAARTSKDLKIAEVGADIELLTR >LPERR07G01590.1 pep chromosome:Lperr_V1.4:7:1299047:1299538:-1 gene:LPERR07G01590 transcript:LPERR07G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGGGGGKLARWLWRAPRRALGRARDFYVKSVTGCAGHLPPDAAFGYSYPAFSAPSPAMSRSSSYASSSSRFAAGGGGGDDDMRELIRAASQRLAAEQAAANQPPASVPRSQSVAMARIDEDRPCEFAGAGLFFPRSQSCAVGNGRVGGGRRGRVAAMA >LPERR07G01600.1 pep chromosome:Lperr_V1.4:7:1303291:1303689:-1 gene:LPERR07G01600 transcript:LPERR07G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKSILLLLLAISLTIASSAAFNFHHPHGPQPGGGFFEVPWFGPPVGDADAAGAGAGGWGAWGAGGGGYAHHEATPPSTVCMEKGPCYKKRLTCPPKCFKSFSFKDKHGGGGGGGGGCSFDCKKCVATC >LPERR07G01610.1 pep chromosome:Lperr_V1.4:7:1306273:1308746:1 gene:LPERR07G01610 transcript:LPERR07G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLAFSRRRRRWLACAAAATGAYLIYHHPSVASRRRRIARVASSLASLADAVAAVASDLAAFLRSESDAIPPTVRQISKLASSPEASASASALSRALTAGVIRGYATASGPAAGGAPAFSDRLVDRLLSPSGERFASAVAGSFGRQIVVGFYSSDSSPAAGSSSPSAPEWVDVVTTGSCWRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPSHGAKLQELFAVRSFLEFVMWRLHEGARAGSDAAIGAGLSVLRHMSERNMVVAAICIALCLHVLNGTWLMARPEPAFVDQNDGKRFAGIY >LPERR07G01620.1 pep chromosome:Lperr_V1.4:7:1311625:1311999:-1 gene:LPERR07G01620 transcript:LPERR07G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPATVVLVAALAVLFLLSSSAMAARPEPAFDKVVSLDEEAVRAGEKEIAGECKKGEGEEECLARRTLTAHTDYIYTQEHHN >LPERR07G01630.1 pep chromosome:Lperr_V1.4:7:1326591:1330940:1 gene:LPERR07G01630 transcript:LPERR07G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAEAPVEEAEFVGEKRKLFVGGIPTSAQEAELRGHFSRYGVVRSAIVMRDKETGHGRGFGFVEFEQEEDAARALGDGQQPQHYIGNRLVDVKRARARPPRNQDEQSSQHQQLEQGQDQGHQPAPGTGTEDGGDNMNYASKKVFIGGLRDNITEEEFRTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIECSFHDLKGTKVEAKIAIPKDSAYYRNGRGRGSRNFGGRGHAGYDGPSYQPYNDRFGFYNSYMPQPVPPHPYYPGFYYGGGYPYANAYSNLGVPSNIPGMMTRRPVYSAYPPVYPGYSVVYRAGLGGAATSVQHDSNGGTDNKKDQTSVDVQQEVDSAASVATKLEFIKLGSQ >LPERR07G01640.1 pep chromosome:Lperr_V1.4:7:1344382:1348911:1 gene:LPERR07G01640 transcript:LPERR07G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNNGNVAGGGGTNAAASGWLGFSLSPHMASSMEEHHQHVHQQQQQQQVQPHGGGLFFPSVTTAAAYGLAGDVSSGGYYSQLASMPLKSDGSLCIMEALRRSDQDHHGPKLEDFLGAAQPAAMALSLDNTSSFYYSGNGAAAGAGVHHHGGGYLQATPCDLYGGPAAPHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYEEQWFLTGSLNVPWSYKARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPADLARRNHPPTKSPNPHIAGATDIAANANDIAIWKPTTTQQHHHDAAAVLSGAAFSVLHDLVAVDNAAAATAAAHMSMSMSAASSLVTSLSNNEDSREDSPDRSGGGLAMLFAKPSPAVAASQAASKLMSAAAPMPLGSWVSSTSLPSGARGPPAAVSIAHMAPLFAAWTDAA >LPERR07G01650.1 pep chromosome:Lperr_V1.4:7:1350281:1353064:-1 gene:LPERR07G01650 transcript:LPERR07G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWSVFWAGRPTLTGVPGGGTPVIVKMENPNWSISEINATDADDGEEFLAAAAGGRRRRRGKNAKQITWVLLLKAHRAAGCLASLAAAARRRIAAGRTDEHPDAGATSPAPARSRLYAFIRASLLLSLFLLAVELAARANGRGVLAAGAGSFHASWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVQTLGCLYIHLNRISPGSPSPLPDIENPLAGNYVPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPMTQALIKDEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKQTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIALWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSECDLIALSPNELKHQKACSTPIIDLATIKDQSMMKQSSSRKETKKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGLAFLLVGLDLIGDDVK >LPERR07G01660.1 pep chromosome:Lperr_V1.4:7:1356708:1358754:-1 gene:LPERR07G01660 transcript:LPERR07G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRNGERHPTSRWPPEERDDSDSFSAASILVFALVGATATTAAFGQLRRTISWFYTQLSRSEPYVYWEDVPHGPNRRGEAWGRYYQRMRERSEDQRERVESIRRMQDMFKKERCKCRDYRTRDGHNPSYYQNIRRDDWYGDESFYANQRTNFRSMPREAMGYTMSQHYSVLGLDRSRSVPFSDAEIKNAFRKKAMEYHPDQNQHNKEVAEAKFKEVMDSYEAIKLQRQNGSL >LPERR07G01670.1 pep chromosome:Lperr_V1.4:7:1362113:1372620:1 gene:LPERR07G01670 transcript:LPERR07G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASAIAAAAVVLLVILSTAATPSLAQNSPQDFVDLHNAARAVEGVGEVVWDDAVAAYAESYAATRRGDCQLIHSGSFEAAGYGENLFGGGGDGWTAADAVNMWVGEKDLYDYDSNSCIGSWDSCLHYTQVMWSRTTAIGCARVVCDNGGVFITCNYNPAGNFQGERPFERARLNTMERAPNKSAAFAAVAMAIAIFAMTATTMSTAQEFSNDEKALFVQLHNDARAAVGVSMPAVSWDETLAANALEQASKCQKMEQIPAGPYGENLWWGRSSAANWNGSPADPMNKWVGEKGNYDHGSNSCVGGVCKHYTQVVWSRTTQIGCGRVSCNQGDLGMVIVCSYNPRGNIDGESPYYTNTITH >LPERR07G01680.1 pep chromosome:Lperr_V1.4:7:1376045:1376563:1 gene:LPERR07G01680 transcript:LPERR07G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAFALVLLLAVAMAAATTATAQNTPQEFLDLHNLARRGEAGAGLPDLVWDTTLEQFAQSFAATRVATCRLLPHSDAAGVRYGENLLAGPPGAVGTAEVAVKMWMDEKKWYDYGTNICSAPAGWSCGHYTQVVWRDTTAVGCARVQCDNGGFFISCNYSPPGNFPNQRPY >LPERR07G01690.1 pep chromosome:Lperr_V1.4:7:1379831:1380133:-1 gene:LPERR07G01690 transcript:LPERR07G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPNKSAAFAAVALAMAIFAVTATMAQEFTDDEKALFVQLHNDARADVAAGITMPAVSWDETLASYTMEQASKCQKMEQIPAGPYGENLWWGRSFAAD >LPERR07G01700.1 pep chromosome:Lperr_V1.4:7:1382896:1383403:-1 gene:LPERR07G01700 transcript:LPERR07G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQILYQNMLDLLQRAWVRFRSKEPATEGTNLCAFYVAESIMSRGQRTYSSLSDSIGGIGSLKKINTKLSKKY >LPERR07G01710.1 pep chromosome:Lperr_V1.4:7:1385192:1385725:1 gene:LPERR07G01710 transcript:LPERR07G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATAVAAVVLLVILSTAATPSLAQNSPQDFVGLHNAARAVEGVGEVVWDDAVAAYAESYAATRRGDCQLIHSGSFEAAGYGENLFGGGGDGWSAADAVNMWVGEKDVYDYDSNSCIGSWDSCVHYTQVMWSRTTAIGCARVVCDNDGGVFITCNYNPSGNFQGERPFERGLTLSA >LPERR07G01720.1 pep chromosome:Lperr_V1.4:7:1394696:1395202:1 gene:LPERR07G01720 transcript:LPERR07G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPSKSAAFAMAIAIFAMTATAQEFSNDEKALFVKLHNDARAAVGVSMPAVSWDETLAANALEQASKCQKKEQTPYGENLWWGRSFAADWNGSPYDPMNRWLRESANYDHASNSCVGGVCRHYTQVVWSRTTQIGCGRVSCNQGDLGMVIVCSYNPRGNIDGESPY >LPERR07G01730.1 pep chromosome:Lperr_V1.4:7:1399413:1399916:1 gene:LPERR07G01730 transcript:LPERR07G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAFALVLLLAAATAATTATAQIDTPQDFLNLHNEARRGEGVGLTDLVWNTTLEQFAQGFASTRVATCNLLPHSDAAGVLYGENLLAGPPGAVVTAAVAVRMWMDEKKSYDYSTNTCSATCGHYTQVVWRDTTSVGCARVACDNGGFFLTCNYFPPGNLPNQRPY >LPERR07G01740.1 pep chromosome:Lperr_V1.4:7:1400676:1400951:-1 gene:LPERR07G01740 transcript:LPERR07G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPNKSAAFAAVALAMAIFAVTATMAQEFTDDEKALFVQLHNDARADVAAGITMPAVSWDETLAAYAMEQVPAGPYGENLWWGRSFAAD >LPERR07G01750.1 pep chromosome:Lperr_V1.4:7:1409251:1409784:1 gene:LPERR07G01750 transcript:LPERR07G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVPNKSAAVAMAIAILAVIATTTTMAQDFTEEEKAQFVKLHNDARAAVGVSNMPEVSWDETVAAKALEHASTCSTEHIKGPYGENLWWGWSSATGWVGTPTDAMKFWVDEKQFYDYGSNQCVGGECRHYTQVVWSRTTAIGCGRVTNCNINGQTSTLIACNYNPGGNIGGEKPY >LPERR07G01760.1 pep chromosome:Lperr_V1.4:7:1418024:1418554:-1 gene:LPERR07G01760 transcript:LPERR07G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAKNSAALVLMVATAILAATATAATVREFTDDEKALFVQLHNDARAEVATMPAVSWDETLAANAIEQASLCQKEEQIPAAGDYGENLWWGRSYAADWTGSPYDPMNQWLGEKGNYDHDSNSCVGGVCKHYTQVVWSRTTQIGCGRVSCNQGDLGMVIVCSYYPSGNIEGESPY >LPERR07G01770.1 pep chromosome:Lperr_V1.4:7:1423336:1424297:1 gene:LPERR07G01770 transcript:LPERR07G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFATTTIAADFSEADKAQFIKLHNDARAVVGVTARSSAAGWVGTPADAMKFWIGEKPYYNHGSNKCVGGVCGHYTQVVWSRSTQIGCARVTNCNINGRIHTLIAHCVSDICILEDLKSY >LPERR07G01780.1 pep chromosome:Lperr_V1.4:7:1435949:1436488:1 gene:LPERR07G01780 transcript:LPERR07G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKKGAIFGVMVAMAMAILATTAMAADFSDAEKKLLVKLHNEARAAVGVKVNVSWSNMLLAAKAREHVKTCSDDHIDGNPYGENLWLGSWPAAGWVGTPADAMNSWVAGEKPLYDYASNQCIGGEYNCVHYTQVVWRNTTQIGCARVSDCKIEGVTKTLIACYYNPPGNVQGQKPY >LPERR07G01800.1 pep chromosome:Lperr_V1.4:7:1452374:1452886:1 gene:LPERR07G01800 transcript:LPERR07G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLGVAVAMAITAAFACMMATMSTAQVNTPAKDFVDLHNTARNEAHVGPVSWDETVAAYAIKRASTCKAEHLKTGTPYGENIWYASEGPWKWTPADAMFNTDWAWCNKEKKWYDAATKTCSAPSRTCMHYTNVISPTTKRIGCGLQVCNKNGIGTLIICNYDPPASPN >LPERR07G01810.1 pep chromosome:Lperr_V1.4:7:1453010:1476837:1 gene:LPERR07G01810 transcript:LPERR07G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYLAPMASSSSRSILACLLLLASVLTVTTAQNSPQDFVTPHNTARANVSVGPVTWNDTVAAYAQAYANSRIGDCKLQHSNSGGLYGENLFWGSAGGNWTAASAVAAWVNEKQWYDHATNTCSAPSGYSCGHYTQVVWRSSTAIGCARVVCNGGLGVFITCNYSPPGNYRLTVEEWVLKKEWYNHVVWRNTTSIGCARVPCHPFYPNHVEEWYDYFFVISAVLLAATVSMAQNSPQDYVKARAAVGVGPVTWNETVADQAREYANVRRYNYCPMRQSKYVRRSDGKNIRVGDGLTGELAWVSMGQWYDHGSNTCEEGHFGCGDYTQVVWRNTTAIGCYETVKPIQWADYFLRVAKKGAIFAVTVVMAMVILATTAMAADFSDADKQQLVKLHNDARAAVGVTAKVTWSDTVAAKAQEHAATCSTEHIKGPYGENLWWGWSTAAGGCHERMGHRGEACTGGKECRHYTQVVWSRTTQIGCARVSTCSISGRTQTLIACNYNPPGNVEGQKPY >LPERR07G01820.1 pep chromosome:Lperr_V1.4:7:1493889:1494401:1 gene:LPERR07G01820 transcript:LPERR07G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSGSLLVLLLLVVLAIAEMGMAQNSAQDYVDPHNAARSDVGVGPVTWDDTVAAYAQAYAEQRRGDCALQHSNSGGRYGENIFKGGAGADWTAAQAVALWVKEKQWYDHGSNTCSAPAGKSCGHYTQVVWRSSTAIGCARVVCDNGGGVFITCNYSPPGNIIGQSPY >LPERR07G01830.1 pep chromosome:Lperr_V1.4:7:1494429:1497198:1 gene:LPERR07G01830 transcript:LPERR07G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCMQYVIYAFLAVTMAQNSPEDFVNPHNAARAAVGVGPVTWDDTVAAYAQSYADQRRGDCQLQHSDTGGKYGENIYGGGGYGSQWTAGDAVAAWVNEKQWYDHDSNSCSAPEGNSCLHYTQVVWRDSTAIGCARVVCDGDDGVFITCNYSPPGNYVGQPPY >LPERR07G01840.1 pep chromosome:Lperr_V1.4:7:1499229:1499740:-1 gene:LPERR07G01840 transcript:LPERR07G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTSKLASALLVAAAVFAVTTAQNSPEDFVGPHNAARSDVDVGPVTWDDTVAAYAQDYAEQRRGDCALQHSDTGGRYGENIFWGSAGGDWSAADAVSSWVSEKQWYDHGSNSCSAPEGDSCGHYTQVVWRDSTAIGCGRVVCDNDGGVFITCNYSPPGNYEGQSPY >LPERR07G01850.1 pep chromosome:Lperr_V1.4:7:1510300:1510797:1 gene:LPERR07G01850 transcript:LPERR07G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSIRLSCCFAVLAIAMAQNSPEDFVGPHNAARSDVDVGPVTWDDTVAAYAQGYAEQRRGDCALQHSDTGGRYGENIFWGSAGGDWSAADAVSSWVSEKQWYDHGSNSCSAPEGNSCGHYTQVVWRDSTAIGCGRVVCDNDGGVFITCNYSPPGNFVGQSPY >LPERR07G01860.1 pep chromosome:Lperr_V1.4:7:1511482:1513115:-1 gene:LPERR07G01860 transcript:LPERR07G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANMHVHLVKNFWYGNAPYQRKCTDMHHDFTDSKSFEELNPRAILLVVDHIKSAKGNFTMLAFPSATSGCETSSNILTQGLCSMFYSILISHRKNAREINVLKSFGRMGRRGFSGNDYRTLCRFPDMSESDKKNVEGSGIDS >LPERR07G01870.1 pep chromosome:Lperr_V1.4:7:1539179:1545590:1 gene:LPERR07G01870 transcript:LPERR07G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHNHHHHHQSFGNLGVGGSSGGGGKAAAASSFLQLPLSTAAAASSMGGATAYYGTPLALHHAAHAAAGPSQYGHGSHKHGGAGGEISPAEAEAIKSKIMAHPQYSALLAAYLDCQKVGAPPEVMERLTATAAKLDTRPPGRHDARDPELDQFMVRSQLRPATKLVYSTGRLIDSLIDPSAHDYIACLGLINRIESNQITQEAYCNMLSKYREELTRPIDEAMEFLKRVESQLDSLAGAGHVGGGGSARLLLTDGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >LPERR07G01880.1 pep chromosome:Lperr_V1.4:7:1549302:1551320:-1 gene:LPERR07G01880 transcript:LPERR07G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYTSLLFLCLASFVTANDDQFVFSGFTGSNLTLDGAATITEDGLLELTNGAKNIKGHAFYPTPLRFHKSTNGTVQSFSVSFVFSILSKYANRSTDGMAFFIAPSKNFSDALPAAYLGLLNDQNNGNRSNSMFAVELDTIENKELQDMDDNHVGINVNSMKSLDAHYAGFYEDKSGIFRNLTLVIHEAMQVWFDYDGGSKQINITLAPVKLDKPKRPLLSITYDLSKVLADPAYIGFSAATGGVVNTKHCVLGWSFRMNGPVQSIDISRLPKLPNLESKSHSSKTLVIIFPVATAVSIFAVGVLLVLCVRRRLRYTEIQEDWEVEFGPHRFSYKDLYDATEGFKDKHLLGVGGFGKVYKGVLSVSKQEVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDNYLYCDLTEPTLDWAQRFHIIKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPITDIFAFGVFLLEVTCGQRPINNNLQDNQQQMLVDWVLEHWQKGLLHETVDRRLQGIYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDVPLPELASTELKFNMVALMQGQGFDSYVMPSLSLSSVLSTETPDELEDNTASV >LPERR07G01890.1 pep chromosome:Lperr_V1.4:7:1553841:1558256:1 gene:LPERR07G01890 transcript:LPERR07G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTSSNYELRLIGMRGDDEEDLEEERVEVFGNTQSPRVDATPPETEPDDDGTGDGGLGGDACLKVGINCIDK >LPERR07G01900.1 pep chromosome:Lperr_V1.4:7:1557999:1559969:-1 gene:LPERR07G01900 transcript:LPERR07G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLPLLFVVLNLASSITNGDDHHKFVYNGFTGANLSLDGTAMVTPNGLVELTNDGIRLKGHAFYPSPLQFRNSPNGTVQSFSVSFVFGIIPIFSDLNSGHGISFVVAPSKNFSDAIPAQYFGLFNSETDGNSNGHIFAVELDTVQNREFKDINDNHVGIDVNSLTSLKSYPAGYYDESGMFRNLSLVSMEAMQVWVDYDREATQINVTMAPLHMAKPVKPLLSASYNLSELLMEPAYIGFSSSTGAAGARHYLLGWSFNINGPAPAIDIAKLPKLPTVGPKSDPSKKLKIFLPIATAAFLMAVGAAIFLLVRRRMRYAELQEDWEIEFGPHRFTYKDLFHATEGFQNKNLIGAGGAGRVYKGTLIGSKQDIAVKKISHNSKQSMKQFVAEIVSIGHLQHRNLVRLLGYCRRKGELILVYEYMPNGSLENFLYGQDGKCTLDWDQRFKIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNEMNAKIGDFGLSRLHDHGANLQTTHVVGTIGYLAPEIALNGKVSPLADVFSFGILALEIICGQKPVKQNAQGVQHTLVDWVLEHWHKGSLVDAVDANLQTGYDIAEVRLVLQLGLLCSHPSGQSRPSMRQVMQYLNGDMPLPETFLTHPDFGMFHLLQERVSNLSAMSYPSLA >LPERR07G01910.1 pep chromosome:Lperr_V1.4:7:1562993:1571032:-1 gene:LPERR07G01910 transcript:LPERR07G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPKQEKKNTFYETSVNQTHTTILHMKPITIYLLLLIIIFIHDKLAVAVDEFIYTGFTGANLTVDGATTITKSGLLELTNGTLQQKGHAFHPNPLRFVPNSGNHTAAAVAGGGGVQSFSASFVFGILSAYPDISAHGIVFLVSPTTNFSSALASQYLGLVGVKNNGDAGNHIFAVELDTLQQEEFRDISDNHVGVDINGLVSINSSNAGYYSGDGSVFRNLTLISHEAMQVWVDYDGRNAVIDVTLAPAGVGKPVRPLISAVYNLSSVITDTAYVGFSSATGSFDSRHYVLGWSFAVNGPAPVIDVGKLPRLPRQGPKAWSKVVEIVLPIASAVVVLAMGVLVILLVRRWKRYTELREDWEVEFGPHRFPYKDLHRATQGFKSKCLLGVGGFGRVYKGVLPNSNMEIAIKKVSHDSNQGMKEFVAEVVSLGRLQHCNLVRLLGYCRRKGELMLVYEYMSNGSLDKYLHDQDNKPTLTWAQRFQIIKDIASGLLYLHEEWEKVVIHRDIKASNVLLDKDMNARLGDFGLARLYDHGDDPQSTHVIGTIGYLAPELGRTSKATPLTDVFAFGTFILEVTCGRRPIYHDSYGNQVMLVDWVLEHWHKQSLVDTVDMKLQGEFDVDEACLVLKLGLLCSHPFINARPNMWQVMQYLKKEVSLPELMPTNMSFHMLALMQNDGFDSAGDDDNSGSQFIYSGFHGSNLTIDGTTSITPDGLLQLTDGAPYLKGHAFHPSPFNLRTNNTTTTIKSFSVSFVFGIVSVYPEFSAHGMAFVVSPTNNLSSSLPAKYLGLTNVTNDGNSSNHMFAVELDTIQSVEFKDINANHVGVDINGLSSIRAYNAGYYDDMSGEFRSLKLISRQAMRVWVDYHGEKKQIDVTLAPVRIARPVKPLISVIYDLSTVLTDVVYLGFSAATGRVNSRHCVLGWSFGINRPAPAIDIDKLPKLPRAGPKPRSKVLEIVLPLVTATIVLVVGGAIVMFVRRRLRYAELREDWEVEFGPHRFSYKDLFHATDGFADKHLLGTGGFGKVYKGVLPKSRLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYAYIPNGSLDKYLHNSEDNKPILSWDQRFQIIKGIASGLLYLHERWEKVVVHRDIKASNILVDKDMNGQLGDFGLARLYDHGTDSHTTHVVGTMGYLAPELIRMGKASPLTDVFAFGVFLLEVVCGQKPIKESPGGGHVLLVDWVLEHWRDGSLVDTRLHGEYNADEVVVVLKLGLLCSHPFAAARPRMTQVTRCLAGTLPLPELTPTDMGFNVMAMMQDKGFDTSVASYSDMVMSFGTISSLSGGR >LPERR07G01920.1 pep chromosome:Lperr_V1.4:7:1571168:1573192:-1 gene:LPERR07G01920 transcript:LPERR07G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPSFLLPILLFHGFNLVLAISVDDNQFVFSGFSGANLTLDGTATVTPDGLLELTNGTTQVKGHAFFPTPLSFHRSLNGTVQSFSTSFVFAILTNYPNLSCHGIAFVFSPTNNLSTALAAQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSVESHYAGYYDENDSFHNMNLISGDVMQAWVDYDGGITQINVTIGPIDMSKPVRPLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPSPAIDIAKLPKLPRLAPKPRSKVLVILIPIATAAFILSVGIAVAFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSNKHILGSGGFGRVYKGILPKSKLEVAVKRVSHESKQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWAQKLQIIKDVASGLLYLHEKWDKVVIHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDSHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGTFLLEVICGERPIKEDAHGNQILLVDWVLDHWHNGSLLDTVDPSLQDHYNVEEARLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTQVPEGPELASTHQSLNELVLMRNKGFDPYIMSYHPSSLVSFGTISDLSGGR >LPERR07G01930.1 pep chromosome:Lperr_V1.4:7:1574706:1584987:-1 gene:LPERR07G01930 transcript:LPERR07G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVHRNDNAILNIFKPTKTESGCSDAADQFVYSGFTGSNLTLDGGARITPSGLLELTNGMVRLKGHAFHPTPLYQRKSPNGTVESFSVSFVFAILCDYPDSCGHGLAFFIAPSKNFSSAFWTQYLGLVNNKNNGDPNNHIFATELDTVQNDDLQDIRTVSGKHYVLGWSFGMNSPAPSIDIAKLPRLDQKTRSKVLQTILPIAAVVFLLSAGTAIFFCVWRNLRYAELREDWEVEYGPQRFCYKDLFHATEGFKNKNLLGTGGFGSVYRGILPISRLDIAVKRVSHDSTQGMKEFIAEIVSIGCLQHRNLVKLLGYCRRKGELLLVYDYMPNGSLDKYLYGNRGKPTLDWAQRFQIIKGVASGLLYLHEESKKVIIHRDIKASNVLLDNDINAWIGDFGLARLYDHGTDPETTRVVGTIGYLAPELARGGKATPLTDVFAFGMFILEVICGKSLSSKTQKTTSWCLLIGCFNIGIKAHSLIQWTSNFKLLFLGLNLASFTIAEEQFIYSGFIQANLSLDGTATITPEGLLQLTNGTFNLKGHAFHPTPMQFRRHPTGNMQSFSLTFIFSILSTIPDKGADGMAFFISTNKSFSSALSAQYLGILNDQNNGNTSNHIFVVELDTIQNSEFQDISDNHVGININSLHSVQSRNAGFCDDKNGDFKNLTLISRELMQVWVEYDAGSAQVDVTLAPIKMAKPARPLVLAIYNLSTVLTDTAYVGFSSATGVINSRYYVLGWSFSMGGNASGIDIMKLPKLPHVGPRPRSKVLKIMMPVTLAASIFVAGILVIILVWRKLAHTELKEDWEAEFGPNRFSYKDLLLATEGFKNKNLLGTGGFGTVYKGILPTSKLEVAVKRLSHESKQGTKEFITESVSIGRLRHCNLVQPLGYCRQKGELLLVYDYMPNGSLDRHLYSEDKLPLDWTKRFHIIKGVASCLLYLHEECERVVIHRDIKASNVLLDREMNGCLGDFGLAKSYDHGTDPQTTRVVGTMGYLAPELNAQGDRFILVDWVLEHWQKGSMVEAIDKRLQGNCNIDEACLVLKPGLLCSQPFASTRPSMNHVMLYLNGDMQLPEFRPTDMSLNMPALMENRVFDPLENEPMIQMKNLIFFLLLILNAGELNLTAGDDNNNDGDQFVYSGFTGSNLTLDGAAVITQTGLLELTNGTLRQKSHAIHPIPFRLRRPSSSSPATAIRSFSSSFVFAIICPDADACGHGIVLFVAPANHSFAGAFPSQYIGLFNGSSDGDAANRLVGVELDTDQNNEFRDIDGNHVGVDINSLTSINSTSTGYYDDEENSGENSHGLFHNLTLSSHDKAMRVWVDYDATAKKIDVAIAPLKMAKPSRPLLSTTYDLSMVFVDDEPYMIGFSSATGSFNSKHYVLGWSFAMDGRPAPAIDIDRLPRLPRFAPKRKPKMVEIIPPLATAMFIIAMGIVSFVLVRRRMRYTELREDWEVEFGPHRFSYKDLFRATDGFKSMNLVGVGGFGRVYKGVLPSSKLEIAVKRVSHDSKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRREGELLLVYEYMSNGSLDKHLYSDSGDKHVLNWDKRFQIIKGIASGLLYLHEEWEKVIVHRDIKTSNVLLDNEMNSRLGDFGLARLYDRGADPKTTHVVGTIGYLAPELGRSSKATPLTDIFAFGIFILEVTCGQRPIMQVPEGEQHVLVDWVLENWHKGSIIETVDTKLQGNYNVDEVYLVLKLGLLCSHPLSNERPNIRQVMKYLNEDMAMPELVPTHHNFHTLALMQNQGFDSYVMSYPSLASISTVSNLSEQR >LPERR07G01940.1 pep chromosome:Lperr_V1.4:7:1577503:1600804:1 gene:LPERR07G01940 transcript:LPERR07G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHIPPPPPSFAGRRRRLLADRLPRRAGFAIPKLACSLAAKELELFILKMCFLMCKKDKCRQIVRQQVVGTKYLTSDSVRYHLPDKMSGVIVPTLFADHGYCATYGSNPSFCIIASKLELSVAGVNSGNGASPSSFGSTVCGSDPLCQCWRTQSTSIFLPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVCASSGAMNPMVPTRAGQPRQPGDFDRWSRSIHGEAPAKHVLQDATMLVVVEADMVRFLGAKAVDVDADVVAIGIVQFNVLGSIDLHGKHAVACAVVTATVEEAQELSRGGTREALVWGDDEGHAISARIIGEMMPNMKATEKDWTEPLWGNRGCAVEIEVGFTGAGEAGVDKVIFASAGAEGFKKAKIEDEGSNKGQMPILHVTSRRNVPKANTSVRGDALPVHTNSGARPYQILLHQKPLVPTMKHPVYQHQVTSRTNMPKANTSVRGVALLSDHQDLGPRLLAFEGFGHLYGSYGYIDLSAVPIVFQPHLHHLITCECNVVEVTIVTSLVACTTGVHRCETIDVDSNMVVIDIPVLVILVRVELDSEEMVGWITVVMVIYKAKDSSGNLSPPVTFPKKVIQHAITQVCWHQLWEWSATFKVLHDLPHTGPCIAKWMCTHNPLCQCSTTQSINICELSSARLSIGLFPHVTSRRKMPKAYTSVRGVALPLRASSGARYPMWHQWFSYLKGSHGHIDLCGLFIVVHPYLHCLVATKGQIGEDTLAITIEAS >LPERR07G01940.2 pep chromosome:Lperr_V1.4:7:1577503:1600804:1 gene:LPERR07G01940 transcript:LPERR07G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHIPPPPPSFAGRRRRLLADRLPRRAGFAIPKLACSLAAKELELFILKMCFLMCKKDKCRQIVRQQVVGTKYLTSDSVRYHLPDKMSGVIVPTLFADHGYCATYGSNPSFCIIASKLELSVAGVNSGNGASPSSFGSTVCGSDPLCQCWRTQSTSIFLPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVCASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLICLLTSSMTTTKINAAATIGNVIFKIFCKLLSLGQGSLGNLAISIVHPYLEPLAIAAHEGQVLQDATMLVVVEADMVRFLGAKAVDVDADVVAIGIVQFNVLGSIDLHGKHAVACAVVTATVEEAQELSRGGTREALVWGDDEGHAISARIIGEMMPNMKATEKDWTEPLWGNRGCAVEIEVGFTGAGEAGVDKVIFASAGAEGFKKAKIEDEGSNKGQMPILHVTSRRNVPKANTSVRGDALPVHTNSGARPYQILLHQKPLVPTMKHPVYQHQVTSRTNMPKANTSVRGVALLSDHQDLGPRLLAFEGFGHLYGSYGYIDLSAVPIVFQPHLHHLITCECNVVEVTIVTSLVACTTGVHRCETIDVDSNMVVIDIPVLVILVRVELDSEEMVGWITVVMVIYKAKDSSGNLSPPVTFPKKVIQHAITQVCWHQLWEWSATFKVLHDLPHTGPCIAKWMCTHNPLCQCSTTQSINICELSSARLSIGLFPHVTSRRKMPKAYTSVRGVALPLRASSGARYPMWHQWFSYLKGSHGHIDLCGLFIVVHPYLHCLVATKGQIGEDTLAITIEAS >LPERR07G01940.3 pep chromosome:Lperr_V1.4:7:1577503:1600804:1 gene:LPERR07G01940 transcript:LPERR07G01940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHIPPPPPSFAGRRRRLLADRLPRRAGFAIPKLACSLAAKELELFILKMCFLMCKKDKCRQIVRQQVVGTKYLTSDSVRYHLPDKMSGVIVPTLFADHGYCATYGSNPSFCIIASKLELSVAGVNSGNGASPSRYCITCLIKGLSLAIGCEHMSPSFKTRKASSTFFGSTVCGSDPLCQCWRTQSTSIFLPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVCASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLICLLTSSMTTTKINAAATIGNVIFKIFCKLLSLGQGSLGNLAISIVHPYLEPLAIAAHEGQVLQDATMLVVVEADMVRFLGAKAVDVDADVVAIGIVQFNVLGSIDLHGKHAVACAVVTATVEEAQELSRGGTREALVWGDDEGHAISARIIGEMMPNMKATEKDWTEPLWGNRGCAVEIEVGFTGAGEAGVDKVIFASAGAEGFKKAKIEDEGSNKGQMPILHVTSRRNVPKANTSVRGDALPVHTNSGARPYQILLHQKPLVPTMKHPVYQHQVTSRTNMPKANTSVRGVALLSDHQDLGPRLLAFEGFGHLYGSYGYIDLSAVPIVFQPHLHHLITCECNVVEVTIVTSLVACTTGVHRCETIDVDSNMVVIDIPVLVILVRVELDSEEMVGWITVVMVIYKAKDSSGNLSPPVTFPKKVIQHAITQVCWHQLWEWSATFKVLHDLPHTGPCIAKWMCTHNPLCQCSTTQSINICELSSARLSIGLFPHVTSRRKMPKAYTSVRGVALPLRASSGARYPMWHQWFSYLKGSHGHIDLCGLFIVVHPYLHCLVATKGQIGEDTLAITIEAS >LPERR07G01940.4 pep chromosome:Lperr_V1.4:7:1577503:1600804:1 gene:LPERR07G01940 transcript:LPERR07G01940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHIPPPPPSFAGRRRRLLADRLPRRAGFAIPKLACSLAAKELELFILKMCFLMCKKDKCRQIVRQQVVGTKYLTSDSVRYHLPDKMSGVIVPTLFADHGYCATYGSNPSFCIIASKLELSVAGVNSGNGASPSRYCITCLIKGLSLAIGCEHMSPSFKTRKASSTFFGSTVCGSDPLCQCWRTQSTSIFLPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVCASSGAMNPMVPTRCVEHIACFDVTVDDNLLPLLMEVLQDATMLVVVEADMVRFLGAKAVDVDADVVAIGIVQFNVLGSIDLHGKHAVACAVVTATVEEAQELSRGGTREALVWGDDEGHAISARIIGEMMPNMKATEKDWTEPLWGNRGCAVEIEVGFTGAGEAGVDKVIFASAGAEGFKKAKIEDEGSNKGQMPILHVTSRRNVPKANTSVRGDALPVHTNSGARPYQILLHQKPLVPTMKHPVYQHQVTSRTNMPKANTSVRGVALLSDHQDLGPRLLAFEGFGHLYGSYGYIDLSAVPIVFQPHLHHLITCECNVVEVTIVTSLVACTTGVHRCETIDVDSNMVVIDIPVLVILVRVELDSEEMVGWITVVMVIYKAKDSSGNLSPPVTFPKKVIQHAITQVCWHQLWEWSATFKVLHDLPHTGPCIAKWMCTHNPLCQCSTTQSINICELSSARLSIGLFPHVTSRRKMPKAYTSVRGVALPLRASSGARYPMWHQWFSYLKGSHGHIDLCGLFIVVHPYLHCLVATKGQIGEDTLAITIEAS >LPERR07G01940.5 pep chromosome:Lperr_V1.4:7:1577503:1590028:1 gene:LPERR07G01940 transcript:LPERR07G01940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHIPPPPPSFAGRRRRLLADRLPRRAGFAIPKLACSLAAKELELFILKMCFLMCKKDKCRQIVRQQVVGTKYLTSDSVRYHLPDKMSGVIVPTLFADHGYCATYGSNPSFCIIASKLELSVAGVNSGNGASPSSFGSTVCGSDPLCQCWRTQSTSIFLPWCALLLIGHRPQVTSRRNVPKANTSLWGYEPHGANQEHIACFDVTVDDNLLPLLMEVTSRRNVPKANTSVRGDALPVHTNSGAR >LPERR07G01940.6 pep chromosome:Lperr_V1.4:7:1594205:1594614:1 gene:LPERR07G01940 transcript:LPERR07G01940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPVDDEKPTYAMFGHLYGSYGYIDLSAVPIVFQPHLHHLITCECNVVEVTIVTSLVACTTGVHRCETIDVDSNMVVIDIPVLVILVRVELDSEEMVGWITVVMVIYKAKVLACHCS >LPERR07G01950.1 pep chromosome:Lperr_V1.4:7:1585376:1587412:-1 gene:LPERR07G01950 transcript:LPERR07G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRHLPFVAAFILYFCLLEPFCASAGKDDFVYSGFAGAGEANLNLDGAATVTPEGFLQLTDNTANIQGHAFYPTPFQFKKQLNGTVQSFSVAFMFGIISPYNDASTDGMAFVVAPNKGFPGAASAQFLGLLNSSSDNSTSNRMFAVEIDTAQNVELNDADGYHIGIDINSLRSEKSHHVGFYDDEHGGVLKNLTLMSSNCKRFQVWVDYNGESTQINVTLAPIKVQVKPKRPLISVTFNLSTVLTDQAYIGFSAATGPLAASRYYVLGWSFAMNAPAPPIEIARLPRLPCPRDNSLQKILKITLPIVAAALIFVVVMLLVRRQMRYAELREDWEVEFGPHRFSYKDLFNATEGFKSKHILGVGGFGKVYKGVLQTSKMEVAVKKVSHNSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEENKPVLYWAQRMRIIKDVASGLFYLHEKWEKVVIHRDIKASNVLLDSEMNARLGDFGLARLYDHGTNPQTTHLVGTMGFIAPELAQTGKASPLTDVFAFGTFLLEVTCGRWPISNSAHHGRKMLVDWVLQHWHRGSLPQTVDPKLHGIYNVDEAFLVLKLGLMCSHPIANERPFMRQVMQYLDGDAPLPEFTPATLSSSLLAMMQNEGLDPYVAQYPWSANSVGTMTPDILSGR >LPERR07G01960.1 pep chromosome:Lperr_V1.4:7:1589175:1590562:-1 gene:LPERR07G01960 transcript:LPERR07G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKMAKPTRPLISISYDLSTVLNEPSYIGFSASTGGFGKVYKGVLPSSKLEVAVKRVSHESRQRMKEFVAEVVSIGRIRHRNIVQLLGYCRRKGELLLVYDYMINGSLDTYIYNNDLKPALNWDQRFHIIKGVASGLFYLHDKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGTFLLEVTCGKRPVNHSAQDNTNTGVLVDWVIEHWQKGLLTNTIDTRLQGRFNIEEACLVLKLGLLCSHPFTKMRPNMQQVMQYLDGDVPLPELTDMDMSFSMLSIMQDEGFKFNSHTLSYPPSGTSIGTISSISGGR >LPERR07G01970.1 pep chromosome:Lperr_V1.4:7:1593409:1594374:-1 gene:LPERR07G01970 transcript:LPERR07G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWLEYNGDSRQINVTIAPIKMAKPVKPLLSTYCNLSTVLTDMAYVGFSSSTAIDTSKLPKLPFEGQKPRSKILVITLPIATATFVLVMVTLTILFIRRALRYTEVREDWEFEFGPHRFSYKDLFHATEGFKDKSLLGIGGFGRVYKGILPMSKLEIAVKRISHDSNQGMKEFVAEIVSIGRLQHRNLVQLHGYCRRKSELILVYDYMSNGSLDKHLYSQENNSALTWAQRFQIVKGVASGLLYLHEEWDQVILHRDIKPSNVLLDDSMNGRLGDFGLARLYDHGTDPQTTHIIGTLDT >LPERR07G01980.1 pep chromosome:Lperr_V1.4:7:1600847:1607844:1 gene:LPERR07G01980 transcript:LPERR07G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDILELSVLATIKLDCKYAQVFTQSTKTSCVPSESSLIGFCPQVTSRRNMPNAKTSVRVVAFPLCTSSGAKYPIVPTTCVLFVKKHIVCLDIMMNDNLIPFFMEPVEDEKPRIETIDIDPNMIIVDIPKLIILDGIELNGKKWEEK >LPERR07G01980.2 pep chromosome:Lperr_V1.4:7:1607351:1614416:1 gene:LPERR07G01980 transcript:LPERR07G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIEEEQLIVHPYSGGRITPFVVLSCLTACTGGIIFGYDIGVSGGVSSMDAFLQQFFPDVYQRTHSAAAGDDRISNYCRFDSQLLTAFTSSLYVAGLATTFAASSVTARRGRRASIIIAGVAIVAGATVGAAAGNIATLIAGRLLVGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSVGAFAAQAINFSTEKISGGWGWRVSLAVAAVPAAFLAVAVVFLLPETPNSLIQQGENHQNVRSLLAKLRGVSVTDTDDEFASIVAANAATSNTTARHGFTLMLTQRRYRPQLVMALAIPFFQQMTGINAIAFYAPVLLRTAGVGESAALLAVVAKQFLSIGATLVSMIAVDRFGRRTLFLAGGIQMIISQILIGAIMASQLGDDGDEQMSQSSSLLLIILVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFTLTTAVAQSFLAMLCHMKAGIFFFFAAWLVVMTAFVYVFLPETKGLKIEEVGKLWVDHWFWRRFVVDHISGDGEEEERDIDVNGCYLPPERPDMVMVPLLATDWQYGTGESTLRFCIISAGVTSGNGKSCLRYCMTCHILGLTVGNGCEQSNPSFRARKASSSLYSLWSLGSIASRIDPLCQRSITQSTNKSLSLFILCSIGLRPHVTSRANMPKAKTSVSGEAFPLRTSSGARYPMWLGGFGHCEWSQGHINPLCHSIIVDPNLHRLTTDQTQVTKATGGVIIVARWMRCHGVKITDVDADMAQELFFFFFAAWLVAMTAFVYVFLPETKGLKIEEVGKLWVDHWFWRRFVVDHISGDGEEEEAINGDKL >LPERR07G01980.3 pep chromosome:Lperr_V1.4:7:1608683:1612023:1 gene:LPERR07G01980 transcript:LPERR07G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRRGAFSNGFQLCVSVGAFAAQAINFSTEKISGGWGWRVSLAVAAVPAAFLAVAVVFLLPETPNSLIQQGENHQNVRSLLAKLRGVSVTDTDDEFASIVAANAATSNTTARHGFTLMLTQRRYRPQLVMALAIPFFQQMTGINAIAFYAPVLLRTAGVGESAALLAVVAKQFLSIGATLVSMIAVDRFGRRTLFLAGGIQMIISQILIGAIMASQLGDDGDEQMSQSSSLLLIILVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFTLTTAVAQSFLAMLCHMKAGIFFFFAAWLVVMTAFVYVFLPETKGLKIEEVGKLWVDHWFWRRFVVDHISGDGEEEERDIDGDKL >LPERR07G01980.4 pep chromosome:Lperr_V1.4:7:1607351:1609780:1 gene:LPERR07G01980 transcript:LPERR07G01980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIEEEQLIVHPYSGGRITPFVVLSCLTACTGGIIFGYDIGVSGGVSSMDAFLQQFFPDVYQRTHSAAAGDDRISNYCRFDSQLLTAFTSSLYVAGLATTFAASSVTARRGRRASIIIAGVAIVAGATVGAAAGNIATLIAGRLLVGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSVGAFAAQAINFSTEKISGGWGWRVSLAVAAVPAAFLAVAVVFLLPETPNSLIQQGENHQNVRSLLAKLRGVSVTDTDDEFASIVAANAATSNTTARHGFTLMLTQRRYRPQLVMALAIPFFQQMTGINAIAFYAPVLLRTAGVGESAALLAVVAKQFLSIGATLVSMIAVDRFGRRTLFLAGGIQMIISQILIGAIMASQLGDDGDEQMSQSSSLLLIILVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFTLTTAVAQSFLAMLCHMKAGIFFFFAAWLVVMTAFVYVFLPETKGLKIEEVGKLWVDHWFWRRFVVDHISGDGEEEERDIDGDKL >LPERR07G01990.1 pep chromosome:Lperr_V1.4:7:1610467:1612491:-1 gene:LPERR07G01990 transcript:LPERR07G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNRRCFLPVNLFSIILVITSIIFPSFSTAIANGQFEYYGFVNSSVIVDGAAVVLPGGLLLLSNNTAEMKGHVFHRTPIRLRKSPNTTVQSFSSSLVFGIIPPYTDLGAHGMTFFVAPSSDLSTALASQFLGLFNNSNNGDFSTRIFAVEIDTILNTEFEDIDDNHIGINICDLHSVASHPAGYYDNTTGGFRNLSLISGEAMQIWIDYDGVAKRIDVALAPFTMAKPTKPLLSVSYDLSAVLTDVAYVGLSAATGTVGSSHYVQGWSFSMNGPTPPFFTAKLPDLPRIARKASRSKVLPVIVPIATATFVFLVTLAVFLFVRMRLKFAELREDWEIEFGPHRLSFKDLYFATEGFKNNHLLGTGGFERVYKGFLSKSKLQIAVKKVSHESSQGIREFVAEVVSIGRLRHRNIVKLLGYCRRKGELLLVYDYMPNGSLDKYLYGRSNRQVLDWVQRFRIIKGVASGLLYLHGEWEQIVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELVRRGKASPLTDVFAFGIFALEVTCGRRPIEHKMNSDKLLLVDWVIDLWHRGSILEAMDPRLQSEYNDDEAFLALKLGLLCSHPLPTVRPSMWHVMQYLKHDLPFPEVTPADMMQNRRVDSPVPYCQSVASNGTITMSGLSGGR >LPERR07G02000.1 pep chromosome:Lperr_V1.4:7:1621708:1629849:-1 gene:LPERR07G02000 transcript:LPERR07G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKIITTTFLLFLALDNKFFATGEEKQFIYSGFSGSDILANGTAVVTQNGLLHLTNGTTQSKGQAFHPAPLHFHEHGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNLSSAFSNQFLGLLNKKNNGNMSNHIFAIELDTVLNNDMMDINDNHVGININDLRSVDSYNAGYYDNKNGTFCNLTLASFDMMQVWVDYNGESKLISVTLAPLHIAKPAKPLLTTTYDLSRVLKNKSYVGFSSSTGILDTHHYVLSWSFGMDQPSPVIDVNKLPKLPRLGPKPQSKLLVIILPIASATFVLAIISALIVFRRGQLRYAEVREDWEVEFGPHRFCYKDLFHATEGFKSKHLLGIGGFGSVYKGVLQKSKSEVAVKRVSHESRQGMKEFIAEIISIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHSHDNQQRLDWSQRFHIIKGVASGLLYLHEDWEKVVVHRDIKASNVLLDAEMNGRLGDFGLARLYDHGSDPQTTRVVGTMGYVAPELARMGRASVLTDVFAFGVFLLEVTCGRRPIEQSEEQDCPIMLVDWVLMHWRNGSLTDMVDKRLQNDYNADEACLALKLGLLCSHSLSSARPNMRQVMQFLENNILFPDEMLAEILSHGGPEHIIVSSPPPAMSRVNRPCFFPILVLIIIIIIIIISLLVIVLLSCFTTATDNGQFLRGLTLDGAATVLQGGLLELTNGTGMMKGHAFHPIPFCLRKSPGAEVRSFSASFVFVIVSAYRGVGTDGMPFVVAPSSNFSDTNAAQHLGLFNYINNGTMSKHIFAVEIDTVRNNEFMDIDSNHIGIDIYGLRSLNSSSAGYYDNNTGGFRNMSLISGEAVQI >LPERR07G02010.1 pep chromosome:Lperr_V1.4:7:1634672:1636170:1 gene:LPERR07G02010 transcript:LPERR07G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLQCSSAHLPLPIFFSILLLFSMEVAHSDARRLPLKLLEVGNIKEAHETSGEKIKMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPRVLKRETKAVDDEQEQHVSSTAISAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >LPERR07G02020.1 pep chromosome:Lperr_V1.4:7:1640035:1641287:-1 gene:LPERR07G02020 transcript:LPERR07G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADELFAARPSDIIVTTLPKSGTTWIKALLYATIVYMCRDPKDNLISLLHFLDYWHAHLAWPDRVLFFKYEEMKRDPENHLRRLAEFVGVPFTSQEEDDGVVDAIIRLCSFDNMVSLESTKGGSTRFTTATVPNSVFFRRGQVGDWENHLSPEMARWINAITEAKLLGLLWSGRLMFSW >LPERR07G02030.1 pep chromosome:Lperr_V1.4:7:1649924:1652395:-1 gene:LPERR07G02030 transcript:LPERR07G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTSSIIPNCSDAVVRSFKKHMTWELWRVNSLLVVNAMLMGVVVGIGAYAQRYRHHPLIRFLFQGATALFMPIASYVVSAANNTNFTFPVYNPFLDENEAITGICTAADHTVYILQWTMLVQIVAINTTAVVAADARELGRSIAPSALLVVQAIWTCYLIIYTLDQPAADTVVPSPPPLLVMGEDTGDVLKGPEGYSFRCMPSQQQLLRCRFVRYTADELKFIEVQSFLWQGFLKDHSADNGANRVFKVIADELSFIHDYYYSSLPMFYYSKPWLPILIFSISLSTLGYGLFVAVYMANLIRLISGTPSENRTAWYQINCRLLCEIVYVKYDFFGNNMFDAVPIFLLSALVVAVEVRDIACYICSNWTKVALICHYMVRKHDKWQRSPVLRKWVGRVLQWRCKLVNKTWKDNMNLCSVLLQTTHPRKAAPMCSLLRRLIRLPEKTKKVKVPGMVKSAIILKLRSSEGRRLTNGTASPRCLQRDNLLWACSGKGTTDVLLVWHIATSILEVRHPAASSSSEGDSNRIVATHLSGYCAYLVAYCPELLPDDDGWSNDLYKVVKENARIALAGVGRALVSSPEEEYKKLVQLLSADCRHEVVRNGAKLAEQLVVLIQTQEENEGTAWEVLSGFWSEMILFIAPSDNLDGHVEAIARGGELITLLWALLTHVGIISRPDTATAAATDNESASAV >LPERR07G02040.1 pep chromosome:Lperr_V1.4:7:1655502:1660817:-1 gene:LPERR07G02040 transcript:LPERR07G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPVLLYTVVLLIICGNGVAAIAVDFTYNGFTGSNFLLDGSASVGGDGIFLLTNGTAGFQQGSCFYPHLVSMGYYDDMYSFSSTFVFAITCSDTGSSCGAGMTFVISSAPDFQVVSAPASAPDFQDDESICRLHRFDGGGTIHQVLGWSFKSGGGDEEPLNYSLMQLKLVHQANRKGLVTWMPATVSLLAILAACLMLRWWWKKKKAEERQENWEAELGPCRFTYRDLRRATDGFTRLLGKGGFGRVYGGILAASGMPVAVKMISSADSEQGMAQFMAEIIILGRLRHRNLVRLLGYCRHKDQLLLVYEHMSNGSLDKFLHGQTKNPLIWPQRLHIIKCVAAGLLYLHEDWEQVIVHRDVKASNVLLDTEMNGKLGDFGLARLHDHGADAHTTHVAGTRGYLAPELTRFGKATKATDVFAFGAFILEVACGRRPLGLNARRELLVLVEWVRDTWARTGGSAIDAMDPRMMDYVDDEVELVLKLGLLCSHPLPEARPGMRLVKQYLDGDMPLPEFSPDYLYIKDIDHVFIDVSSALVATTITEKRKVQNTTANGSLDKFLHGQTKNTLIWPQRLHIVKCVSGGLYLHEDWEHVIVHQDVKANDVLLDTKMNGKLGGFGLARLHDHDSEPHTMHIAGMRGYLGKQTPRTVAHKATNVFALGALILEVACRRRPVGLNARGELLVLIEWVRDTWVSSGCVVDAVDPRLEEYAHGKAWHHRH >LPERR07G02050.1 pep chromosome:Lperr_V1.4:7:1678727:1683915:1 gene:LPERR07G02050 transcript:LPERR07G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGELLKEEYHLQKKVRKKVESLSRELESMHAALRKVGEVPPDQLDEQVKIWALEVRDASYDVEDIEVADRRNRYKIDDIVAKYDARSTFDPRILDAYKVTKLVGIDEPRDKIIEMLSDEKTEVVSIVGTGGLGKTTLANAVYDKLIERYHCGASVPMGQHPDLKKIFKDILFDLDQGKYGDIHNRAWDERLLIAELRGFLKHKKYDSWQIIQCALGISCGSRIITTTRKLEVATKAGCVYRIEPLSDCNSKRLFYIRIFGGDGGCLDNHLDEMHDKIILKKCGGVSLAINTLASLLVGKPREAWPEVYSSIGFGHGDKDIVKNTEKILSFSYYDLPCHLKTCLLYLSIYPEDYFIRRQNLIWIWIAEGFVHEEPGMGLFEIGESCFNELMNRSLIIPVKGIYNIVGCRVHDMVLGLIRSISSGENFVVALDDDEKQQFSRIGNVRRLALQKIDDAEEQNPDQLAAKLKSMQELRSLIAIRCHVNKVKVSFSSFQVLRVLAIERCYSMEGYHLEQIRNLIHLRYLGLRDTPVGSLPQEIGDLKILQVLNLSGTGIEELPSSIGLLTQLVSLSADEKTRVPADWIRNLTSLQQLVVKDTSPDEESRRNFLKALGNLRELRKLAFDISVLDDGTRGALVESLRSMHKIQGIDMSGISSYGMSFNWENEYGDGGLFHSPHHLQYLRLKNLQFWSLPVWMNSLLLSNLCILHLNLHVIREQDMETLGRLPQLVML >LPERR07G02060.1 pep chromosome:Lperr_V1.4:7:1684015:1685985:1 gene:LPERR07G02060 transcript:LPERR07G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPYVVVRFLHDVDTCSSRVAAATVMPMLETLEFSILVRFLKDGDMLDLYTNKLGLENIPLVQKVTVNINCKDAHLWDVEVVEMELKRAATPAHVSIKVYKTCVSVREKKDQLPSRRISFLSSKFLDYPCLEKLIVKINCENASREEVEEAEAALRFVTGSHHNHPTLEMARHGEDKMLPED >LPERR07G02070.1 pep chromosome:Lperr_V1.4:7:1695322:1696801:-1 gene:LPERR07G02070 transcript:LPERR07G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPVSPRPAGAGAGVHPSTSPCYCKIRLNKLPYQIADAPLLQPSSEEQHQQGAAAAPATGVLAAVFHLSKADLDRLTAKPSLFGNRTARLKIAVYAGRRGTTCGVGSGRLLGKVVIPLDLKGAAAKPVVYHSSWICIGRRGRKMASVSAANAQLNVTVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADTGSGGRNWLTAFGSDRERNGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLILRPGDGTWKPWGRLECWRERGGAAGGDTLGYRFELVLPDPTGMGVGVSVAESAIPASKGGKFLIDLTASQPFGRSGSPACSPRGSGEFGHWPFGNCRGFVMSAVVMGEGKCSRPTVEVGVQNVGCAEDAAAFVALAAAVDLSMDACRLFNHRLRRELSASRSDLLR >LPERR07G02080.1 pep chromosome:Lperr_V1.4:7:1700969:1703776:1 gene:LPERR07G02080 transcript:LPERR07G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRALAALRAPLPLPASSPTKSHYHGLQSSTPAAAGGMLDRRRLLLIPAISISIGSFQYAFENGVAKAEYADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKFGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >LPERR07G02080.2 pep chromosome:Lperr_V1.4:7:1700969:1703654:1 gene:LPERR07G02080 transcript:LPERR07G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRALAALRAPLPLPASSPTKSHYHGLQSSTPAAAGGMLDRRRLLLIPAISISIGSFQYAFENGVAKAEYADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKFGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >LPERR07G02080.3 pep chromosome:Lperr_V1.4:7:1700969:1703776:1 gene:LPERR07G02080 transcript:LPERR07G02080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRALAALRAPLPLPASSPTKSHYHGLQSSTPAAAGGMLDRRRLLLIPAISISIGSFQYAFENGVAKAEYADMPALRGKDYGKTKMKYPDYTETESGLQYKVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKFGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >LPERR07G02090.1 pep chromosome:Lperr_V1.4:7:1704209:1709452:-1 gene:LPERR07G02090 transcript:LPERR07G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAGRAKENTPSREEAAMVAAEEEDGTGREDEEGGGGGGDDHQNGESLGLPDLAT >LPERR07G02100.1 pep chromosome:Lperr_V1.4:7:1706806:1708363:1 gene:LPERR07G02100 transcript:LPERR07G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSRRKGVEYIEEDRDRGITLSKRRKGLFKLANDLSILTDANVAVCLNDNNKVQFFGAPSMEPIASAFLSDPQTQPFADKQLKAKITSMQSELIQLESEEKEKNKKTGESIQRFKEVEEESLGTAKHLFSRAQDLSHNDIQELLQVLSPLQRDVKQRLPTIHRDTDIPMIPPPPAPGSPWSRIFPLRPPKFSFPSLVPSQQLPPVSLPQNTAAPPTHAPLVPQPLTNQPSAIPLLTQWQIRFGVPSPSEVQAYTPVEQPQNGSPAPAPAPPPAPTQTFSDNFLSELLADISDDGISPADPFGSPLIDNQLNGMN >LPERR07G02110.1 pep chromosome:Lperr_V1.4:7:1711569:1716135:-1 gene:LPERR07G02110 transcript:LPERR07G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKVPTAAFNLAESGYGDRSDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAPCLASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGDHVKTTLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENVVMKKASLIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDIGNVCIVVHLVGAYQVFCQPIYQFVETWARSRWPDSAFLNAEHVINAGGKFEFPVSPFRMVWRTIYVVITAVVAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRKFSPTWTWMNVLSIACLIVSLLAAAGSIQGLIKSVAHYKPFSVSS >LPERR07G02120.1 pep chromosome:Lperr_V1.4:7:1730966:1734234:-1 gene:LPERR07G02120 transcript:LPERR07G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLLLLAAVAAAVLLAAAPARSAANADADALLAAKAAFGDPSNALASWTNTSSSSSGGGAAATPPWCAWSGVTCNARGAVVGLDVSGRNLTGVIPAALSRLQHLARLDLAANALAGGIPPAISRLGYLTHLNLSNNGINGTFPPQLSRLRSLRVLDLYNNNLTGDLPVEVTAMSQLRHLHLGGNFFSGEIPAVYGIWGKLQYLALSGNELSGKIPPELGNLTSLKELYIGYFNSYSGGIPPELGNMTSLVRLDAANCGLSGEIPPELGNLSNLDTLFLQVNGLAGGIPPELGNLVTLSSLDLSNNALAGEIPATFAGLKNLTLLNLFRNKLRGDIPEFVGELPSLEVLQLWENNFTGGIPRRLGRNGRLQLLDLSSNRLTGTLPPDLCAGGELETLIALGNKLFGDIPESLGKCRSLTRVRLGDNYLNGSIPAGLFELPNLTQVELQDNLLSGEFPAVSGAGAVNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNKFTGAIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSKNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAAGSDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCQPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKSTSKQGEELPSGEGTVCDLVVPAESAEANEAKEQQQQEQQLNSPSSPPPDLISI >LPERR07G02130.1 pep chromosome:Lperr_V1.4:7:1740689:1745294:-1 gene:LPERR07G02130 transcript:LPERR07G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFFRRSVPQHNAGMLARFLCNASSDASIGSPEFVPWRNGGGILHRAASVDPTAVVEAGAVVHSGAVLGKGVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGDFCTIHNGACIGQDGFGFFVGEDGQMLHAKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIPKSGDYGGFPAVPINEWRRQTANLRIFSKKDGWGGRVAIRDHVSIASKVRLAANSSVTKDIQKHGDYGGFPARYEVHAKLRDA >LPERR07G02140.1 pep chromosome:Lperr_V1.4:7:1748681:1756915:1 gene:LPERR07G02140 transcript:LPERR07G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFVIVFHVIFLGMWYLGLVSRMAKKQPEMLTIIQNCAVISIACCVLYSHCGNKTVSRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELASNGSGYSNDISPVYSLWATLVGLYIANYVVERSTGWALTHPLTLSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLVVKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGENDSVILITHEPNWLLDWYWGDKTGTNVAYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKVAYPSYDDSSRIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCESFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHLTSAVLLMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKSGDLEVFTLAVDKVPKEWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTSAVRVVDHFVIPRTPPDPLTPRSASC >LPERR07G02150.1 pep chromosome:Lperr_V1.4:7:1758686:1761579:-1 gene:LPERR07G02150 transcript:LPERR07G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIGSLVLKLGYLVSQEYKLLKKVGNDIFFMRNELASISAFLQDLETVEEAAGAQVKEWVNQVRELSYDTEDCIDEFIYLVDHLGDHHDGGSRGITWRSFIRGIVDKIKILKARHDISDRIQELKARVKETSERRARYRLDEAAATSSLRPVSIDPRIPALYQNAANLVGIDKPKDELISWLMDAEGKLKVISVVGLAGIGKTTLAMEVYCTLKASFQCRAFISVSQRPDLKNLLKDMLLQLYQKDAPEDQELDLLQMVTKIREYLLHKRYLVVIDDIWCLTAWQTIKCTLPENNHGSRVIITSRIKSIATFCSPSNFILKLEPLSELASKRLLLGRIFGSIDECPSQFEQVVKKILAKCGVCSQRIMAFNVTILLKRWIAEGFGTEKHGLTAMDIAESYLNELINRCMIQPFQFSYDNKVYTVRVHDLMHDLIVSKSYEQNFVTRITSQQLTIISREKIHRLSVFSTEQEDISCIPERTKMTHARSLVIIGCIKQMPSLSRFRFLRILEIINCEFLRNEDLNNIERLFGLKMFILSDVPVSRLPVHIGELHQLELLLVQNTKVKEFPKNIIKLKKLTHLLTDNIKLPDGITNMQGLQKLLSFDACASSTKAVLEFAYLSNLRMLTLFWNPRDDDDYQLYEKCLIASLKRLSNLEELYIIGNGGFSLEFLLEPWPHQSHILKRFVMEGSYWFPCIPNWIKFQSNLSYLDINVKEAKEEELELLGLLPCLLHLELWTRSAADKAIVIPGKGFSSLRYFLLGYRMLRLIFQPDCMPKLQKLYLWGETSVCVLSTIENLPSCLKEVHVKIHGENLSYQDIREAKDAISTVAKSHPSHPKIYIDIVGDLAFSRPVSPL >LPERR07G02160.1 pep chromosome:Lperr_V1.4:7:1771230:1776288:1 gene:LPERR07G02160 transcript:LPERR07G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLMLVILAVVAVLTAEVAVAQQQQQQQEERLSSASDLAGLFSLRASLGIRAREWPARSDPCGAWAGVTCRGGRVVGVAVAGFRRTRVGARDPRFAVDGVRNLTALELFNASGFALPGEIPAWFGSGLPPSLAVVDLRSAAVNGTLPSDLGVSGNLTSLLLSRNNLSGSVPGSLLAVRGLRFVDLSGNNFTGELPPIRSDGAASMFNISGNSMYGVVTDAVGVLKGRFQVVDLSGNYFDGVWNVSDGNVDVRMNCISGAPGQRNRVDCEEFYRRVGVSLGDVVPSAPSPETSSSEKRKKKSGISKGVLIGVVAAAATLMVLFFGVLVFCLLRQRVGRRGERGRGVDTNEESTRGVRRRDSSVNPVTSSPPLVAVSPRANSGHKDTVVVSGEFTYEQLVHATGGFGDDKLLRHGHSGDIYYGVFENGSHIVVKKVNTQSVNKHASELDFYKRYSHERIVPLFGHLAKDEEEFLTYKYMPKGDLTNALHKKPVDSEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQASSVLLDDKFEVRLGSMSNVCAQQSGGSQNVFSRLLRSSRSLDKNTSGPPATSSYDVYCFGKVILELVTGNFGVSGSNDAASEEWIANTLNRIDINDKENISRIIDPLLIVDEDHLEEVWAVAIVAKTCLNSKPSRRPSARYILKALENPLKVLRMASRSNSARLRSTSSRSSWQSAFLQGNWYQNLETASSSGQMLDRKHSVRSYGSGGDTSFSFKRASREIAPEPEGIEEDVVV >LPERR07G02160.2 pep chromosome:Lperr_V1.4:7:1771230:1776290:1 gene:LPERR07G02160 transcript:LPERR07G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLMLVILAVVAVLTAEVAVAQQQQQQQEERLSSASDLAGLFSLRASLGIRAREWPARSDPCGAWAGVTCRGGRVVGVAVAGFRRTRVGARDPRFAVDGVRNLTALELFNASGFALPGEIPAWFGSGLPPSLAVVDLRSAAVNGTLPSDLGVSGNLTSLLLSRNNLSGSVPGSLLAVRGLRFVDLSGNNFTGELPPIRSDGAASMFNISGNSMYGVVTDAVGVLKGRFQVVDLSGNYFDGVWNVSDGNVDVRMNCISGAPGQRNRVDCEEFYRRVGVSLGDVVPSAPSPETSSSEKRKKKSGISKGVLIGVVAAAATLMVLFFGVLVFCLLRQRVGRRGERGRGVDTNEESTRGVRRRDSSVNPVTSSPPLVAVSPRANSGHKDTVVVSGEFTYEQLVHATGGFGDDKLLRHGHSGDIYYGVFENGSHIVVKKVNTQSVNKHASELDFYKRYSHERIVPLFGHLAKDEEEFLTYKYMPKGDLTNALHKKPVDSEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQASSVLLDDKFEVRLGSMSNVCAQQSGGSQNVFSRLLRSSRSLDKNTSGPPATSSYDVYCFGKVILELVTGNFGVSGSNDAASEEWIANTLNRIDINDKENISRIIDPLLIVDEDHLEEVWAVAIVAKTCLNSKPSRRPSARYILKALENPLKVLRMASRSNSARLRSTSSRSSWQSAFLQGNWYQNLETASSSGQMLDRKHSVRSYGSGGDTSFSFKRASREIAPEPEGIEEDVVV >LPERR07G02170.1 pep chromosome:Lperr_V1.4:7:1777260:1781136:1 gene:LPERR07G02170 transcript:LPERR07G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTLPQFHLNSPKPYPPHHLRLPTRAAASPSRLQNPTTTHYPTLPPPAPSKSPSHLAAEEASLAPRRTYRFPGSVSSPRPDDAAGGLVAAADEAVLRRALEVRRAVAGEALVAALSGGKVGGLTYVRNLVARMKPFVDVVVVEAAAMRMERPEVAHQSFNARARLYIEESGLVSLVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIYVKGEYLGRVLAKGDTFLSRSFEELEEIIDYLESCSVRRDWIGYVVGRCPQLLNFSMDELETRVKFYTDMGMNDNDFGTMVYDYPRALGFWSLEEMNSKVQYLKEFGLSTEELGKVLAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAIGGVLVKFPPVLTYSLYKKIRPVVIFLLTKAGVKQEDIGKVIAQDPQLLGCSIVRKLEVSVKYFRSLGIYHFILGQMVTDFPTLLRYNVEVLRPKYQYLRRVMVRPLLDAVEFPRFFSYSLEDRIVPRHQTLVANRINMKLRYMLTGSDEDFAQRVRDAVEKRARFEAGNAVQEASDAHDTPNGATDDREADTYIVES >LPERR07G02180.1 pep chromosome:Lperr_V1.4:7:1781518:1786805:-1 gene:LPERR07G02180 transcript:LPERR07G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVSRGLRSLSKANPSGSTSAPISAAARLFSTTPSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDSEGKCQGVIALNMEDGTLHRFRSTNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRKPLEKDAGQKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFMKRDDEKWMKHSLGYWENEKVRLEYRPVHMNTLDSEVESFPPKARVY >LPERR07G02190.1 pep chromosome:Lperr_V1.4:7:1825666:1827149:-1 gene:LPERR07G02190 transcript:LPERR07G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLAGKIIPVAAATPRLAPPSRSLHHVEDKLLRRVGGCSYHIGKNAGTDAELVKRVSESRNIDRNIKMTLMIGAASIYGFFLFRALSIRNNARKELRLLEEKYGY >LPERR07G02200.1 pep chromosome:Lperr_V1.4:7:1827867:1831329:-1 gene:LPERR07G02200 transcript:LPERR07G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLARKIMPVAATLRRQPPSRSLHHVEDRVVPRHHQTLVANRIDMKLKRGEPMQAASSNISNVREEGGD >LPERR07G02210.1 pep chromosome:Lperr_V1.4:7:1831385:1832703:-1 gene:LPERR07G02210 transcript:LPERR07G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKEFEYDGFDNMLRYYSQGYHDANWEGRPVYIEILGEINHNAKSQTEFRRALLVSASGSRREK >LPERR07G02220.1 pep chromosome:Lperr_V1.4:7:1843572:1850985:-1 gene:LPERR07G02220 transcript:LPERR07G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGAMDAGESKPYYYYHYTGNGKPPQRPAANKIAPSPADKPDRPQLVPPPPPPVPAGLDENAFGYDELAAATGGFSDENLLGQGGFGYVYRGVLAGDGKEVAVKQLSSGSGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHGKGLPVMGWKTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLASEKDTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVVLLELLTGRRPADRSSYGADCLVDWARLALPCALAGAGGEYNDLVDPRLRGDYDRADVARVAACAAACVRHVGRRRPKMSMIVKVLEGNVSVEELNDGVRPGQSGGGGDESRSIEYPGWLSVPCFGRLEHRRRQISLPVADFGMAKLTSEDYDMQRYQQSLGG >LPERR07G02220.2 pep chromosome:Lperr_V1.4:7:1849348:1850985:-1 gene:LPERR07G02220 transcript:LPERR07G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGAMDAGESKPYYYYHYTGNGKPPQRPAANKIAPSPADKPDRPQLVPPPPPPVPAGLDENAFGYDELAAATGGFSDENLLGQGGFGYVYRGVLAGDGKEVAVKQLSSGSGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHGKGLPVMGWKTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLASEKDTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVVLLELLTGRRPADRSSYGADCLVDWARLALPCALAGAGGEYNDLVDPRLRGDYDRADVARVAACAAACVRHVGRRRPKMSMIVKVLEGNVSVEELNDGVRPGQSGGGGDESRSYTAQMERIRRMAMGAS >LPERR07G02230.1 pep chromosome:Lperr_V1.4:7:1853227:1862952:1 gene:LPERR07G02230 transcript:LPERR07G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEKVVEKNQEAWSQEAWEKQLQVSGGHLVLEHLTVGQAGLTVQLKLKIAFWSRLCMTEYGSSGFGNITVSGGEAGNNMNYLLAQKRLMERQKSLQNPGSFWSGDGIPAALVQNKDVEASTLHTKLHPTMTDPPQLALQSQNVDLLAMLQSAEKPQAPVSNSGLPVWSKNLDPRGRGVDLTQGPLNTQSASMQGSQQAATAIQQQNFMPLNMSQLAHLAPEKLHAEISQDPQLLTRLQHQYLLSQLQSQPQLPVTPQPQPQAQAQLSMLDKMILLKQQQQQQQMQQQMQQQQQIQQQQQQQMQQQQQMQQQQKQRLQLLQLEQQQKLLLQQQHLLSQVIPHGHSSQQPDDPYGSHTSLQTGDSLNLGLQRMKEVLEADRILTGHGTQEGQQPSDPSIVNKKGMEGVGPSQSSLPSLLPHDIFVGVPSKECYSHPQKLEDLVNINTQLKASMVNPMLTEVSNRREEDPSDQQEVTSLSASKDIPEAPLDPKSEIVSSHISNQVQDLKISSENIPRGNEPSGPTEVKAPDAQDTKKSEKKKKQKKKQIGVDAAKAAPKTVSSQQPRQEIQVDGSGQGGAKHDLQDDADDLFWGSPIKVESSSKSADPLLGFESSAEPPRSLPEDYDTNKGEWESNAAMANQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLTMESAKPAVSAMSVPSIPWNGMLTTSDQQLTAVSKSVDGLEGVGDSRNRRSQLHDLLAEEVLARSSNADNENMGNANDTAFPPLSSAVVQPDVPAFDDSDFIEAKDSKKSKKKATKVKGAAVKAPSPVGSFDSSTVSVPTEKGKLSKQSQQEKDILPAPPSGPSLGDFVPWKNDQTNAVPAPAWSHEAAKMQKPLSLRDIQREQERRAAVVQQQPPSPTPAKASTIQRNHANASSWQASGSSPSKAAPVQISSNASSRSKSNAEDDLFWGPSEHSKQEKKQSEFPTLSSQARSSIIKDQSPLNRQKSQASRLPVSSAPTANHAGKGKAEVANKQTEAMVFRNWFESEWERLTGTNDTSFIQFCINQPTVEAEMLLRENLGSFDRSGEFIDQFLKQKAFLSTDVIEMAFQASSSNRGARGDGAGRANHASAAKGAPSTDMEQDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVDE >LPERR07G02240.1 pep chromosome:Lperr_V1.4:7:1863215:1868484:1 gene:LPERR07G02240 transcript:LPERR07G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTMVGEMESSLERVRRQLSSTSSRQLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKSDATVRGTIVFDSASTVTLSTMNFQYWNSAEKGIFSLCRNSQRRKSLGIHFTLVLQAHKEAVNSLGGNGPTKVGKVATVVAVANATAIEATKEVEAAMKVSLWAALGSTTNKLSKGQLDDLTVMMETLRVKDDELHQLLQDIRARDATIREIAEKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEQSLLRLRESEEKAKLLSEEREKLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRIKDAVSRLDSATKEKEELVALVDALQSQIRRQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDKDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTESDDNSLDIPVDSQPVSENAFHG >LPERR07G02240.2 pep chromosome:Lperr_V1.4:7:1863215:1868484:1 gene:LPERR07G02240 transcript:LPERR07G02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTMVGEMESSLERVRRQLSSTSSRQLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKSDATVRGTIVFDSASTVTLSTMNFQYWNSAEKGIFSLCRNSQRRKSLGIHFTLVLQAHKEAVNSLGGNGPTKVGKVATVVAVANATAIEATKEVEAAMKETLRVKDDELHQLLQDIRARDATIREIAEKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEQSLLRLRESEEKAKLLSEEREKLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRIKDAVSRLDSATKEKEELVALVDALQSQIRRQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDKDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTESDDNSLDIPVDSQPVSENAFHG >LPERR07G02250.1 pep chromosome:Lperr_V1.4:7:1878680:1881170:1 gene:LPERR07G02250 transcript:LPERR07G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVDMKGSCGDQFEGEVIPRGQEEEDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIVKEMDIYKHDPSDFLKTNTVGSEKEWYFFCLRGRKYRNSIRPNRVTGNGFWKATGIDRPICSAAAGNGAGDCIGLKKSLEVWTICRIFQRNITQKKQPQQHLAVVAAAVAPPVPDSTSSITGSVESDSAGDDVVEYMNSLPPPPPPAASNYAAVNSGYNSNNQHYFNQEQWNAAAPAQNSPAMSAFHDQSILGSPETSDLYYKDGCSEDIYRMVMELTDPSVFYDHYR >LPERR07G02260.1 pep chromosome:Lperr_V1.4:7:1891526:1893293:-1 gene:LPERR07G02260 transcript:LPERR07G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVVGFAGWLAYMYRRTENCVAAVATELKATANYAVVPDDAMRNATVEKFLWEMAHEKPIRFTPRQLAGFTRNYSTRLGAGGFGTVYKGSLPNGLAVAVKVLHGGMDRRTEEEQFMAEVGTIGRTHHINLVRLFGFCFDAAAAGVRALVYEYMGKIRVLIINQFLQFFFPKLIFAFLANILLAVAGAGDMMTPKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPEMWMQSGVTEKCDVYSFGMMLFEIVGLRRNFDEAVPESQQWMPMQRPEARPPMGAVVKMLEGEMDIVPPVNPFLHLMAAPAPVPNPWATATSSGNAGSDNVVSQGKSDGIVSLGVTV >LPERR07G02270.1 pep chromosome:Lperr_V1.4:7:1915757:1916289:-1 gene:LPERR07G02270 transcript:LPERR07G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRQMHNNIEEPGTLNIPKMLFEIVGRRRNFDKAAPESQQWIPMVAWTKYELRELIEIYSNSEDVDEHEQRQSKETAERMYQQWPEARPPADGCRGEGAGKMDVVNPFLHLMASPAPVPNPWATTARRGNAMFLKEATESSHFE >LPERR07G02280.1 pep chromosome:Lperr_V1.4:7:1917054:1918589:-1 gene:LPERR07G02280 transcript:LPERR07G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFPPETRKQFHDPDMEMPESFRSFIESFHSMFLFNVALSVLSIGATFVMVYLIYKCIRECGLPNININKNGGGGRLGAEMTPLLPDSQIRDTTIENFLDEIAGEKPVRFTAQQLAGYTNGYSAQLGSGGFGAVYKGMLPNGLIVAVKVIHGNMGDKISDAQFMAEVGALWRTNHVNLIRLIGFCSGADKRALVYEFMEKLSLDTYIFKRRHAAAIGVRHLHAIAVGVASGLRYLHEECQKKIIHYDIKPSNVLLDDTLTPKITDFGLAQLLSRVADHVPATATCHGGGGPRGTAGYIAPEVGTQAAVTEKCDVYSFGMMLLEIVGRRKNFDVDLPESQRWLPLLAWTKYDSGELMELMMDCHGEVAFEDEVEQWERMCKVALLCVHGQPEMRPTMSTVVNMLEGHVEVPPPAYPFAWMEPPVAGTRLSTTSDGGAILLSTS >LPERR07G02290.1 pep chromosome:Lperr_V1.4:7:1923076:1923735:-1 gene:LPERR07G02290 transcript:LPERR07G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSASAAMQQGIVDGCSPPPASAELRPSRYESQKRRDWQTFTQYLAAHRPPLELRRCSGAHVLEFLRYLDRFGKTRVHDPPCPSYGAGAAAVAVACQCPLRQAWGSLDALVGRLRAAFDERHAGGSDNNPFAARAVRLYLRDVRDAQAMARGISYPKKKKKRRGGNKNGGGEAATPAPVMPLPPLPPCLNRVPFEYCDLGHGGAGMYLPFLYNTFS >LPERR07G02300.1 pep chromosome:Lperr_V1.4:7:1932557:1937755:1 gene:LPERR07G02300 transcript:LPERR07G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRNRPQQRPATARSWSFLSEMDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVLTVGAVYSTLVSRSFLQDNLSRGNMGAIKVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFSRRPGDYAEVYSDPARINNELNWTAQHTDLMESLRVAWTWQKKHRSGYGSPQAMVL >LPERR07G02300.2 pep chromosome:Lperr_V1.4:7:1932557:1937755:1 gene:LPERR07G02300 transcript:LPERR07G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVLTVGAVYSTLVSRSFLQDNLSRGNMGAIKVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFSRRPGDYAEVYSDPARINNELNWTAQHTDLMESLRVAWTWQKKHRSGYGSPQAMVL >LPERR07G02310.1 pep chromosome:Lperr_V1.4:7:1937653:1943808:-1 gene:LPERR07G02310 transcript:LPERR07G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDDDDSDAAFDEEFHNDMEAVRHSCIITGNDPDAAVAPVSDCLAADAPAAAADGSSDDDDEEEEDDLALVRSIRENLLLSKSSPSSPLTRPICAWPPSDSEDEDDDLETLRAIQRRFSHYHSGTSSGSEIEMKTDASKEWSVNLIANGPDEEIDLEKQNNKEAITRTGFPKAALLLVDALKKNRACQKFIRRKMINIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKMLCQKEDPRVRLISSQKPCAQSSKNKDKKMPALFLGPADNPHVSKYKMVLKQFPMSLQKQPWSDVEKDKLAKGLKQQYQEALILNSMNNGSSAGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNWDDPLINHNAWTAREEKKLILIVQQRGMNNWINIAVALGTHRTPFQCLARYQRSLNHCILNKDWAKEEDLLLEAAVNTFGPNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSKLLASVEEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQHKLPSVKAAQQIKKAIFQSNFVDRETERPAIAPSDLMSLVSSKVDASHENSASAQVKKPRKGSRIPCEDNILPSDTLNSSTPMNLSMDAEAAVNTTTSSSRKKPSRSRTKKQTDENLAVPDDANNSSNCSSGARKRKRSITDNNQVAQKKSGCSTSVDNEVGTKGKAGSTSVGEEGTAKKRRMRGSLSGKEGEVNKRMRGSVSGVHEGATKQRRGSVSTDNHGVVMKSKRAPSRKSAKESSTVDNKANEERDANTGNVNNVKHMSMPIPKQINMIEGSAQINGPTRVARHLYVSVRPVNNMVLSNGLSDDVSAIAVPTGPDPISVENSNTA >LPERR07G02320.1 pep chromosome:Lperr_V1.4:7:1949618:1950814:1 gene:LPERR07G02320 transcript:LPERR07G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMINVHRLNIVHMMLRMRVHGCTLMEQCIVDVNDALLAVVLHIWRGIKVATGSVSKIESTDASSKSRSVECYTCGGRGHYMRDCPNQKKNSEAERIDYTHAAGYPDVDDPTMYDDVEPTKGLSMLAREVKCDGSVVAAKGQRSIYFNPSAKFRTKYAS >LPERR07G02330.1 pep chromosome:Lperr_V1.4:7:1955299:1956013:-1 gene:LPERR07G02330 transcript:LPERR07G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIKSLDAFVAAVENFYSFSGVLLLLVPKYYQCISSKGKNLCSRTLVIRTGLYAEEHFESKHVVSYGDGDQTSVEGDEYITRML >LPERR07G02340.1 pep chromosome:Lperr_V1.4:7:1958723:1959502:-1 gene:LPERR07G02340 transcript:LPERR07G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVVPDSQLRDATVKKFLKEIAGEKLTDPVQGAAAGRVHQQLLGPARCRVQGHAPQRPHPRRQAPPWRRIVASMAEVGTIGRIHHINLVRLFGFCFDAAGVRALLYEYIDHGSLDAYLFDGIPRRDIAVGVARGLRYLHEECQQHKIVHYDIKPGNILLAGGDMMTAKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPEMWTQAGVTEKCDVYSFGILLFEIARRRRRRRERVVSDGGVERARPRGRTPRGGRDGER >LPERR07G02350.1 pep chromosome:Lperr_V1.4:7:1962418:1963674:-1 gene:LPERR07G02350 transcript:LPERR07G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARLATTTPSLPTRTQLLVCRAQKNDDSSDASVSRRAALALLAGATAVAGIKVNPAAAAYGEAANVFGKPKTNTEFISYNGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNLSVMINPTTKKTITEYGSPEEFLAQVDYLLGKQAYAGKTDSEGGFESDAVATANVLESSTPVVGGKQYYSITVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFNVA >LPERR07G02360.1 pep chromosome:Lperr_V1.4:7:1965084:1968588:-1 gene:LPERR07G02360 transcript:LPERR07G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIEHVWSSKGKVTMRSLCYVTFLAILSINIHFSACLRLLAENPLPAPAPLSPANIPANVPVNIPANLPTNIAANLPANIPANLPASLPANVPPETMANLPAATVTPEMLANLPPEVLAKLPADVSPEMLADMPPEMLVNLPPDVKSQLPAAAGALEAAAGNSQPGAGGENAAGAGAGIPQIPKMPDFSGLTDLSFPPMPSSAKIMPSMPQNITLFGFDVQIPKFINKMVDDETTA >LPERR07G02370.1 pep chromosome:Lperr_V1.4:7:1975409:1979949:-1 gene:LPERR07G02370 transcript:LPERR07G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGIYAARWVVGKALSPVSDCLVQAWAASRDLGPNVDALKMELLYARAMLNNVRGREIHNSDLNELLQKLRDLAYDADDVLDELDYFRIQDELDGTCEAAEEHAKGCIGNIFLHAHHTAKDAAKLLGLSSCSCAARRCAWPHNTIHAIGKRLHCSNFLPSMHECVHNEKERVKPAPKLKIDRVGISKKMKIILEQLQPVIAKPRPITTPISTEPILYGRDATKKNIIDSITQGKYCERDLTVLPIVGPGGIGKTTLIQHIYNSQQVRKHFQIKVWTCVSQIFNVAKLTQEIKRKLPMVEGEKSNSSDEELIEQRLKSKRYLLILDDIWKCESDDWRRLLVPLRKGQTKGNVILVTTRFLLVTETVKTADNKIHLEGVNDEDFWELFLAYVFGPEKSRNCRKDLHDIGKDIVKKLKGSPLAAKTVGRLLSNHLDRYHWMSVLESKEWELQDGDHDIIPALKLSYDYLPFDLQQCFSLCALFPEDYEFNKMELIRFWIGQDILHTEYQNKTFEDIALSNIDSLVSHGFFKKEEIDGHPCYTIHDLLHNLAVKVASHECVSLQCSNIKSIEIRPSIRHLSIITNDANGSDGMTARNFVSELIKLKKRIKVENLQTLMIFGEVDKRFIGCFHDLFNEASALRVLHLSAMPSPVESILYKFSELIHLRYLRLETTYSSITHLPNSLSRFYHLRILDLQEWNGSLHLDGEISNLGKLHNFLVPEGKDHPAISNIGKMQYLQELKGFSANRKDVGKMEDEHFGLKQLGHLMELRELGISELEAVDTKETAAKAKMLNKNRLRKLALQWEEGRTDSDANKEDEILKNLRPHNGLQELSIYGHGGSSCPKWLGTENSMIILESLCLSYVVWKILPPLGEVLLVNAGVESFSCITGQYFCRLKRLELVGLPNLRKWVAVEVCPMFFAVLEVLRVQDCKELMELPFSDYTCCPSVGDAKATCFPRLKELEIKGCPQLASLPPIPYTQTLCSVNIRDVETRLKRLGYSRKLSELKIEGNTDLRTLNSLKKLGLIHSSVVFDQLEGRLDVEWKLPVEYLLIYDWCGSGKEMTELLFHLPNLFRLTLGGCDKITRLCASVKYHQISTHVYKYNRQVIGSNEQRQQQKVEDLDLDLSEDSDLDLVEEEITQQVMDREDDVPHPLPTSDHNKEEETVGRWGLQALCNLQTLHIQDCPAFFSAYKAPACPFPSSQLQYLYIRGHMEQVQMLDLSNLTLLRVLSIEKCEKDLSKGMWSLLAHGQLKELSVHNSTGLFAGVDAILREQEEQEQLHLLESSSRLHLHLETDDFTGVLVERICRLLSPLLTKLKFEYNNEVECFTEKQEEAFMLLTSLKNLSFWSCKKLQFLPTGLHRLTNLKSLHIWDCPSIRSLPKDGLPDSLRTLYANHNNNKKLIKQCRKQRRKKQLLL >LPERR07G02380.1 pep chromosome:Lperr_V1.4:7:1989021:1991127:1 gene:LPERR07G02380 transcript:LPERR07G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSENATANIMDSIVDAIADNLPKQKSVRFEDGSISDQAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFLLVLGMVVQFAWFTFAGMFNGSPSKVPRVELPDELFANIGSAVGTQVNKFLGTLQDVSCGRDLKKFLLLIAGFFAAAIIGSWCNLLTVIYIGFVSAHTLPVLYEQNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKIVIYCGVIWTALRFPLNICVQY >LPERR07G02380.2 pep chromosome:Lperr_V1.4:7:1989766:1991127:1 gene:LPERR07G02380 transcript:LPERR07G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSENATANIMDSIVDAIADNLPKQKSVRFEDGSISDQAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFLLVLGMVVQFAWFTFAGMFNGSPSKVPRVELPDELFANIGSAVGTQVNKFLGTLQDVSCGRDLKKFLLLIAGFFAAAIIGSWCNLLTVIYIGFVSAHTLPVLYEQNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKIVIYCGVIWTALRFPLNICVQY >LPERR07G02390.1 pep chromosome:Lperr_V1.4:7:1996746:1997141:-1 gene:LPERR07G02390 transcript:LPERR07G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNTAFGTAGIAGIGGTLTAGTVGTAGIVGIAGIGGSDTFGTGGSAVFGPVGTAGIGGNVAAGMAGMAGIGGNVTAGTAGIPGTAGGGVASARRRAAWQLLLLPASKIAMARTVVKKTEVEAIGGDLWAI >LPERR07G02400.1 pep chromosome:Lperr_V1.4:7:1999622:2003504:-1 gene:LPERR07G02400 transcript:LPERR07G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSEQFNDCAIAHADGGGAKNGIGTDGIGGSVTFGIAGIGGNVAAGTAGTAGIGGNVAAGTAGTAGIGGNVVFGTVGIAGIGGRVAAGMAGTAGIGGNVTAGTVGTGMAGTPGTAVGGVASARRRAAWQVLLPPSKKAMASIVAKRLEREAMHWQKPYAEGGGAKNGIGTDGIGGSVTFGTAGIDGIGGNVTLGTAGMAGIGGNVTAGTVGCAGTAGIGGTVTAGTVGMAGIGGSDTFGTAGMGGSAVFGSAGIAGIGGSVAAGMAGTAGIGGVASARRRAARHVLPPASKMAMASTVAKRIEVEAIAGDPVACYRRSVAMTVQARVAW >LPERR07G02410.1 pep chromosome:Lperr_V1.4:7:2003941:2004858:-1 gene:LPERR07G02410 transcript:LPERR07G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMIQRWTRGARNGIGTLGIAGIGGSATFGTAGTTAAGTTGGNATAFGTAGIGGSVTAGTVGTAGIGGNVTFGTAGIGGNVIAGTVGIVGFGMAGMVGTAAAGAGASVAVSARLRAAWPVLELPTRSMMAMAMAMVRELELEAIEMFA >LPERR07G02420.1 pep chromosome:Lperr_V1.4:7:2016304:2019054:1 gene:LPERR07G02420 transcript:LPERR07G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDGELPAAKHLELAADEDRFSALPDDILIQILLRLGDRHSTSAAARTSVLSRRWRHLWYLLPEFDFAPESGGHIIRAILAAHEAPSLRRLSVFAEDTSPGPIAEWLPAASPLPCFGSATSLDLHLGCHALALPPSGVFARLTNLALRYVRFCGPCDLGDAVSSPRSPLLKKLTIWDTRGLSNLVIHSASLLDLELKCLEGLQLLDVLALALEALIVISCFFGHSTQSQPVANISAPKVETLQWGDAFDPSTVHFCKMANLQLLRPYHFFVYGPEDSNSTAGNQDCLRLLQRFQFDAIHTLSFVLGYETANVACDSDCVCDLPPNWIFEELRLNFLHEVEITHLRGTEHEMAFVKRLFSWATALKEMIIVFHFSISESTAKELCRKLLSFSRPGISMEFYLCPSGFGRVLYVPEE >LPERR07G02430.1 pep chromosome:Lperr_V1.4:7:2023819:2027225:1 gene:LPERR07G02430 transcript:LPERR07G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTGDGEIPGAEHQKLTADGGGGEDRLSALPDDILVQILLRLDYSGRTSAAARTSVLSRRWPHLWFLLPEFSFGPEAGGHIVRAALSAHEAPSLRCLAVRADSSPGSIAEWLPVAAHRLSGELILFARRAENPGFLELPCFGSATMLHLHLEFHALALTPSGVFARLTDLTFRCIRFHGPCKLDDAISSLRFPSLKMLAIECSQGLSNFVIHSASLLKLKLDCLKGLQLLNVVAPALEALIVIRSFFGRSAQSQPVANISAPKLETLRWCDAFDPSTVHFCKMANLQLLRPYHFFVYGPENNQDCLRLLQRFQFDAIHTLRFVLAYGTDIFDREYLMEDMTVLPDIMVLELTVIANGHCIGPSLFHVLRICTGVRRLNLDLHNNNKLELEANVACVCVLPPNWTFEELVLNFLHEVEITNLSGTEHEMAFVKRFFSWAAALKEMTIVFHLSISESTAKVLCKKLLSFSRPGISMKFYLSRKVLDRVLYVPEE >LPERR07G02440.1 pep chromosome:Lperr_V1.4:7:2028961:2049959:1 gene:LPERR07G02440 transcript:LPERR07G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVKREKLSAAALAGDEDHLGALPDDILIEILLILGTRASARTSVLSRRWRRLWCLLPAFEFGPEAGSHIIRAALAAHEATSLRRLSVFPEDSGPGPMAEWLPVAARRLSGDLLLFNLRPDLEGNEEIREGGIGDEFLDLPCFATAISLTLNLGFLTLAVPPSGVFARLTDLVLRDVRFCCPCELSDAISSPRFPSLKKLTVEDAHGLSNFTIHSDSLIKIVLKKVKGLRLLDVVAPSLRVLIVFSCFADVSVGSQFVANISAPKLDSLQWINAFDPSTVRFGKMENLRWLGTSYFYVYGQGDLMNNNNPLRLLQHFQFDAIPTLSLALAYLPVIIDHEYLMEDMTVLPDIVFLNLTVLANGHCIGPSLFHVLRMCTSVRKLKLVLHIPDEQQAAWQLEANWAVVLKEMTINFYHSISESTANDLCKKLLSFSRPEIRMKFYLGYGEPAAKREKPYSAAAAIAGGEDRLSALPDDILIQILLRVVTVDAARTSVLSRRWRRLWCLLPEFEFGPKAGGHIIRAALAPHEYLSLLQLVVNAEDSSPGPMAEWLPVAARRLSGDLFLSNFRSEDNDEDLGFVELPCFGSATSLSLDLDFLALTKPASGVFTRLTDLYLCNVRFHGPCWLGDAVSSPRSPSLKKLTIQKCQGLSNFIIHSESLLQLDLDDLRVLRCLNVVAPALKMLNVFSCFDGEPTANISAPTLEILVWTDTFDPSSVQFSKMENLKQLDITYFDVFGQQADSMDNHNCLRLLQRFQFDAIPSLSLMLFYPSDLTNHEYLMEDMTVLPDIVYLNLSVAPYGHVIGPSLFHVLRMCTGVRRLKLVLDISGHLELAAAKRRRRNLAAGGGEDRLSALPDDILIQILLRVVTVDAARTSVLSRRWRRLWCLLPELCFPHTASAASIRAALAAAADESASLRGLVVLSMGAGPGPIAEWLPVAARRLSGHLLLFNRQPERDSEEEEEEDDEDFLDLPCFGSAISLSIDLGFLALAVPPSAGVFARLTDLALRNVRFCGPCELGDAISSPWFPSLKKLNINKAQGLSNFVIQSESLLEMELKSLKGLQQLSVETPALKRLIVHSCFGEASARRPVSMISAPMMEILQWADAFDPSFVRFGKMENLQQLATSHFCVFGQEDSTHNQDCLRLLQHFQFDAFPSLFLTLAYQPDIMDHEYLMEDMTVLPDIVFLRLAVLANGHCIGPSLFHVLRMCTSVRRLKLVLDVSYELEEVAVCGSDCFCDLPPNWISEEFVLNFLQEMKISQLRGTEHEMAFVKRLLSWAASLKEMTIKFYHSITESTAKELCKQLLSISRPEIRMKFYFYRGVHKVLYVPED >LPERR07G02440.2 pep chromosome:Lperr_V1.4:7:2028961:2049959:1 gene:LPERR07G02440 transcript:LPERR07G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVKREKLSAAALAGDEDHLGALPDDILIEILLILGTRASARTSVLSRRWRRLWCLLPAFEFGPEAGSHIIRAALAAHEATSLRRLSVFPEDSGPGPMAEWLPVAARRLSGDLLLFNLRPDLEGNEEIREGGIGDEFLDLPCFATAISLTLNLGFLTLAVPPSGVFARLTDLVLRDVRFCCPCELSDAISSPRFPSLKKLTVEDAHGLSNFTIHSDSLIKIVLKKVKGLRLLDVVAPSLRVLIVFSCFADVSVGSQFVANISAPKLDSLQWINAFDPSTVRFGKMENLRWLGTSYFYVYGQGDLMNNNNPLRLLQHFQFDAIPTLSLALAYLPVIIDHEYLMEDMTVLPDIVFLNLTVLANGHCIGPSLFHVLRMCTSVRKLKLVLHIPDEQQVKAVCESDCVCNIPPNWTFEQLVLNFLHEVEITDLRGTDYEMAFVQRIFSWAVVLKEMTINFYHSISESTANDLCKKLLSFSRPEIRMKFYLGYGEPAAKREKPYSAAAAIAGGEDRLSALPDDILIQILLRVVTVDAARTSVLSRRWRRLWCLLPEFEFGPKAGGHIIRAALAPHEYLSLLQLVVNAEDSSPGPMAEWLPVAARRLSGDLFLSNFRSEDNDEDLGFVELPCFGSATSLSLDLDFLALTKPASGVFTRLTDLYLCNVRFHGPCWLGDAVSSPRSPSLKKLTIQKCQGLSNFIIHSESLLQLDLDDLRVLRCLNVVAPALKMLNVFSCFDGEPTANISAPTLEILVWTDTFDPSSVQFSKMENLKQLDITYFDVFGQQADSMDNHNCLRLLQRFQFDAIPSLSLMLFYPSDLTNHEYLMEDMTVLPDIVYLNLSVAPYGHVIGPSLFHVLRMCTGVRRLKLVLDISGHLELAAAKRRRRNLAAGGGEDRLSALPDDILIQILLRVVTVDAARTSVLSRRWRRLWCLLPELCFPHTASAASIRAALAAAADESASLRGLVVLSMGAGPGPIAEWLPVAARRLSGHLLLFNRQPERDSEEEEEEDDEDFLDLPCFGSAISLSIDLGFLALAVPPSAGVFARLTDLALRNVRFCGPCELGDAISSPWFPSLKKLNINKAQGLSNFVIQSESLLEMELKSLKGLQQLSVETPALKRLIVHSCFGEASARRPVSMISAPMMEILQWADAFDPSFVRFGKMENLQQLATSHFCVFGQEDSTHNQDCLRLLQHFQFDAFPSLFLTLAYQPDIMDHEYLMEDMTVLPDIVFLRLAVLANGHCIGPSLFHVLRMCTSVRRLKLVLDVSYELEEVAVCGSDCFCDLPPNWISEEFVLNFLQEMKISQLRGTEHEMAFVKRLLSWAASLKEMTIKFYHSITESTAKELCKQLLSISRPEIRMKFYFYRGVHKVLYVPED >LPERR07G02440.3 pep chromosome:Lperr_V1.4:7:2028961:2049959:1 gene:LPERR07G02440 transcript:LPERR07G02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVKREKLSAAALAGDEDHLGALPDDILIEILLILGTRASARTSVLSRRWRRLWCLLPAFEFGPEAGSHIIRAALAAHEATSLRRLSVFPEDSGPGPMAEWLPVAARRLSGDLLLFNLRPDLEGNEEIREGGIGDEFLDLPCFATAISLTLNLGFLTLAVPPSGVFARLTDLVLRDVRFCCPCELSDAISSPRFPSLKKLTVEDAHGLSNFTIHSDSLIKIVLKKVKGLRLLDVVAPSLRVLIVFSCFADVSVGSQFVANISAPKLDSLQWINAFDPSTVRFGKMENLRWLGTSYFYVYGQGDLMNNNNPLRLLQHFQFDAIPTLSLALAYLPVIIDHEYLMEDMTVLPDIVFLNLTVLANGHCIGPSLFHVLRMCTSVRKLKLVLHIPDEQQAAWQLEANWAVVLKEMTINFYHSISESTANDLCKKPEIEMKFYIDHGGHKLAAAKRRRRNLAAGGGEDRLSALPDDILIQILLRVVTVDAARTSVLSRRWRRLWCLLPELCFPHTASAASIRAALAAAADESASLRGLVVLSMGAGPGPIAEWLPVAARRLSGHLLLFNRQPERDSEEEEEEDDEDFLDLPCFGSAISLSIDLGFLALAVPPSAGVFARLTDLALRNVRFCGPCELGDAISSPWFPSLKKLNINKAQGLSNFVIQSESLLEMELKSLKGLQQLSVETPALKRLIVHSCFGEASARRPVSMISAPMMEILQWADAFDPSFVRFGKMENLQQLATSHFCVFGQEDSTHNQDCLRLLQHFQFDAFPSLFLTLAYQPDIMDHEYLMEDMTVLPDIVFLRLAVLANGHCIGPSLFHVLRMCTSVRRLKLVLDVSYELEEVAVCGSDCFCDLPPNWISEEFVLNFLQEMKISQLRGTEHEMAFVKRLLSWAASLKEMTIKFYHSITESTAKELCKQLLSISRPEIRMKFYFYRGVHKVLYVPED >LPERR07G02440.4 pep chromosome:Lperr_V1.4:7:2028961:2050494:1 gene:LPERR07G02440 transcript:LPERR07G02440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVKREKLSAAALAGDEDHLGALPDDILIEILLILGTRASARTSVLSRRWRRLWCLLPAFEFGPEAGSHIIRAALAAHEATSLRRLSVFPEDSGPGPMAEWLPVAARRLSGDLLLFNLRPDLEGNEEIREGGIGDEFLDLPCFATAISLTLNLGFLTLAVPPSGVFARLTDLVLRDVRFCCPCELSDAISSPRFPSLKKLTVEDAHGLSNFTIHSDSLIKIVLKKVKGLRLLDVVAPSLRVLIVFSCFADVSVGSQFVANISAPKLDSLQWINAFDPSTVRFGKMENLRWLGTSYFYVYGQGDLMNNNNPLRLLQHFQFDAIPTLSLALAYLPVIIDHEYLMEDMTVLPDIVFLRLAVLANGHCIGPSLFHVLRMCTSVRRLKLVLDVSYELEEVAVCGSDCFCDLPPNWISEEFVLNFLQEMKISQLRGTEHEMAFVKRLLSWAASLKEMTIKFYHSITESTAKELCKQLLSISRPEIRMKFYFYRGVHKVLYVPED >LPERR07G02440.5 pep chromosome:Lperr_V1.4:7:2028961:2049959:1 gene:LPERR07G02440 transcript:LPERR07G02440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVKREKLSAAALAGDEDHLGALPDDILIEILLILGTRASARTSVLSRRWRRLWCLLPAFEFGPEAGSHIIRAALAAHEATSLRRLSVFPEDSGPGPMAEWLPVAARRLSGDLLLFNLRPDLEGNEEIREGGIGDEFLDLPCFATAISLTLNLGFLTLAVPPSGVFARLTDLVLRDVRFCCPCELSDAISSPRFPSLKKLTVEDAHGLSNFTIHSDSLIKIVLKKVKGLRLLDVVAPSLRVLIVFSCFADVSVGSQFVANISAPKLDSLQWINAFDPSTVRFGKMENLRWLGTSYFYVYGQGDLMNNNNPLRLLQHFQFDAIPTLSLALAYLPVIIDHEYLMEDMTVLPDIEVAVCGSDCFCDLPPNWISEEFVLNFLQEMKISQLRGTEHEMAFVKRLLSWAASLKEMTIKFYHSITESTAKELCKQLLSISRPEIRMKFYFYRGVHKVLYVPED >LPERR07G02450.1 pep chromosome:Lperr_V1.4:7:2058905:2063282:1 gene:LPERR07G02450 transcript:LPERR07G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPDGELIELLWQDGAVVAHAQTQRRSSGAGGDAQAAGTSGLSGDEETAVFFPDAGDELAMGGDIYSQLWHTIANVAQSPPPLPARSDDVSSRVDDAAGLSICGSNAVAPPAMPADDGGAPSSSGGSCPVIKRGRDEQMDIRSEVADETPPSKRPATAAGKRRTRAAEVHNLSERRRRDRINDKLRALQELVPHCNKTDKASILDEAIEYLKSLQLQVQIMWMTTGIAPMMFPGAHQLMPPIGMGLNTALMPNQLQRATHYMNNSMPNQMPHVQSPTTNAPNVPNKTQNDNQIAGPRNPFLHRSDTLTATTQVPGLFPYGAQMAAQNEIQELLSNAVIPSSSGE >LPERR07G02460.1 pep chromosome:Lperr_V1.4:7:2075766:2077310:-1 gene:LPERR07G02460 transcript:LPERR07G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFHPLHSKPTCPLQRNLATNPPRPMPPDSPQTHSPPPPPTDSATAEANAQPNSQVVAVVKPRMIIKGMLGRYERWNPVHPTVGTFWGIGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGIIRNQYYSGFASNAPFESARFYTLTIMRGLLWDAIGYASHVAAVRRESRQRLLNFQDNPQIPGRVNLPKLGKSVSISIKSTMECIRRAFNDRHWPP >LPERR07G02470.1 pep chromosome:Lperr_V1.4:7:2078147:2083297:-1 gene:LPERR07G02470 transcript:LPERR07G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRRALPSPAAARGRSLLAALLLPSSSSPLLHPRGPPPAPEPEPPRRAFHGSPSPLGFRSSTPASRSIPSPPEAGEAGVGDEDALEIARLGISPRIVERLAARGITRLFPIQSAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILCHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRVLNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPGWIRKITNKYLKDPVIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYEIPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRVIEQDIGCRFTELPKVAASDEAADMFNVVRDTRPRGSSFGRGGYGGFGEGRSRGFGDFDGFGGSPDRARRSHDSGSRHGGGFGDFRSPSNSFGRPSSNRSDEFGFGDFGEGNFRRNGNSDHRRSRSFDDSGSTRYSRRPNGFGSSEFSRPGRSDDSN >LPERR07G02480.1 pep chromosome:Lperr_V1.4:7:2093446:2095672:1 gene:LPERR07G02480 transcript:LPERR07G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEIGKAAAADKLTYEIFSILESKFLFGYGGAATPATMSSASRGGGGGNNSNNKVCVLSIDGGSRPEDGLLAAASLVRLEAALQRRAGSKAACLADFFDVAAGSGAGGVLAAMLFARGPCGGRPMYSADDALAFLLRRVRRRGWCSGSGGGNIFLRRRRAMAAFDKVFGETTLRDTVRPVLVPCYDLATRAPFLFSRADADNSPAYDFRLRDACAATCAGENGAAVEVASVDGATRLAAVGGSGVALGNPTAAAITHVLNNRREFPAAGGINNLLVISIGTGESRNTTPAIARIAAESASDMVDQAVAMAFGHNRTSNYVRIQGMVATQRRDGERRVACGGETTETAVWAAEAMLQQRNVEAVMFQGRRLTSETNAEKLERFAQELIREHSRRKQQQAPVVASHAGKLPSSTTTTKKQL >LPERR07G02500.1 pep chromosome:Lperr_V1.4:7:2113810:2118761:1 gene:LPERR07G02500 transcript:LPERR07G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAIRLRRGAVVSGPAPPPPRVNLHAISSPPQAAAAAAASNCSPFVSHARLPPPLLQVPPLLRHYSSKGSDAFAELAAARRNGTSPDPADVRTYVSTLTATVAALNVQEMRRVNLQCNNAPYTETGSKSLLHKMKNLSDSRKSLVDMLQKTEVRLELSVKILRDCMKASSMIVKVTKDHQKLFAALRKELEDGEKTAADFEKVIAMLDKEDEDLEKAMTSMLSLWELTYEWHIMLVPELVGCIEAIRRHLELRESVDAHLKHIAEALKKGAKGCVAIGLGAWLLLMLTTH >LPERR07G02510.1 pep chromosome:Lperr_V1.4:7:2119846:2123419:1 gene:LPERR07G02510 transcript:LPERR07G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDWESARIPHLHPNHIFPLWLRKDATVEIAAAAVSSAAAAPVPRLGRHLAAALSSSPPPRPPPASRPCPACASRWTRFCRGSAKVEHQWNIIAPNTEADSLKTLLYKMKNFNESKRSLVDLVQNTEVQLEFFGKWMDDYMKGSAMFKKARTNHKKVLPELDEGLDGYEKTPGSMFHKGLDDREKTATMSEGRVTLRKFLLWRHLELGESVEAHLKQNAVTLKKGAKGV >LPERR07G02520.1 pep chromosome:Lperr_V1.4:7:2124760:2129149:-1 gene:LPERR07G02520 transcript:LPERR07G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGSFLVLLLLAAPAFGQLPSQDILALLAFKKGISHDPAGFITDSWNDESIDFNGCPASWNGIVCSGANVAGIVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLAGNNFSGPLPESIDGLGSLQSLDVSGNSLSGPLPASLKALRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVARVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFRGPIPADLLTSSMLQELYIQDNMLSGGLSFPGSSSKNLSLQVLDISGNHFNGKLPDDIASLSSLRALDISTNNFSGSLPSSITKLAALTALDISTNQFTGPLPEALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSGSSSGSSSGKSLSAAAKIALIAASIVALVILILIGIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLMELLTGKCAGDVVSGSEGGVDLNDWVRLRVAEGRGSDCFDQAMASDSENQQSVKGMKEVLGIALRCIRPVSERPGIKSVYEDLSSI >LPERR07G02530.1 pep chromosome:Lperr_V1.4:7:2168253:2170774:1 gene:LPERR07G02530 transcript:LPERR07G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGGREEWNWSLGWWVHDNASRPLYNGLKCFDEVAFDKNGQNDTIASVREHYNGGRVVAFFDGSTPVLALCLAHAGLHASFYRAFDRHLLYADWCPASPAGETEREPPPAVGASPPA >LPERR07G02530.2 pep chromosome:Lperr_V1.4:7:2168253:2170774:1 gene:LPERR07G02530 transcript:LPERR07G02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGGREEWNWSLGWWVHDNASRPLYNGLKCFDEVAFDKNGQNDTIASVREGPRQSLHSASPMPASFYRAFDRHLLYADWCPASPAGETEREPPPAVGASPPA >LPERR07G02540.1 pep chromosome:Lperr_V1.4:7:2173566:2174410:-1 gene:LPERR07G02540 transcript:LPERR07G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALASKLRLPAALRRSPASRTTAPQDMVGSTAPPKPAEGGMQSLEQVLMEQQILAFERTLRRKMMWSSIAGNVIGLSAAYYTASHIFK >LPERR07G02550.1 pep chromosome:Lperr_V1.4:7:2207246:2211531:1 gene:LPERR07G02550 transcript:LPERR07G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTTANPLDRAEVASFGFSYRQLPFPPPFSPVAPPPRPPSPLSLTLPSSVSVVAGLGTSGSTAAGVGKGAPPVADPPLPTTTAINRTACNGDGGLRHRPPPSSASLLHRCSLSPVAALAFSVLAAIPEIPSSPSPTDDQENELDDRPIGKSCTRRDAAHVLLVLFIGVLYFGMHIDHYFFLSTHTVDAKR >LPERR07G02560.1 pep chromosome:Lperr_V1.4:7:2212440:2215593:1 gene:LPERR07G02560 transcript:LPERR07G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRALASKIRILAALRRSSASRPIDPQGMAVRLSTGTSKTAEGGKQSLDEMRFEEQFRAYEKRIRRDKRLGDIIGTIIGFPAGVYVFYLMARID >LPERR07G02570.1 pep chromosome:Lperr_V1.4:7:2219389:2220838:1 gene:LPERR07G02570 transcript:LPERR07G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALAFKIRFPAFLQRSPASRPIAPPQDTMGSTRPPKRAIDQMSFEQQFLAYEKNLRRCRQAETVGTILGISGGVYIVYLMAGIVDD >LPERR07G02580.1 pep chromosome:Lperr_V1.4:7:2223684:2223977:-1 gene:LPERR07G02580 transcript:LPERR07G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADADSCTRGDENGLHLHELIGGTGVEHHRGEEEHWRTIFLGATVAAASTPPPDMKTSKNWDRHHHLAKPRGPSEGRKTAVSPGGGRNACFRLSQR >LPERR07G02590.1 pep chromosome:Lperr_V1.4:7:2228734:2229925:-1 gene:LPERR07G02590 transcript:LPERR07G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWPASTGGAIYTVLMRRVLQRLQSEEIKSPGLYTEDRYIHQSSFQFGRIQYLCLYLT >LPERR07G02600.1 pep chromosome:Lperr_V1.4:7:2230408:2231246:1 gene:LPERR07G02600 transcript:LPERR07G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALVSKLRMIPPPVASSAAATQDELLHRAIARAIAKESSYDPFAEIRLKVKQMHERDLRDQRRMMWYQAIGNFFAFSATVFVFHLCQKEDKVEESLDKS >LPERR07G02610.1 pep chromosome:Lperr_V1.4:7:2234920:2240284:1 gene:LPERR07G02610 transcript:LPERR07G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVKLTRKEQNEISQSLEQTRVRGIQPSSRPLLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVDASGRPIKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLAGILLAGALLVYNTSALAS >LPERR07G02610.2 pep chromosome:Lperr_V1.4:7:2234920:2240284:1 gene:LPERR07G02610 transcript:LPERR07G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASFPLKLSPSPFLEQTRVRGIQPSSRPLLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVDASGRPIKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLAGILLAGALLVYNTSALAS >LPERR07G02610.3 pep chromosome:Lperr_V1.4:7:2234920:2241780:1 gene:LPERR07G02610 transcript:LPERR07G02610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSTSPLLEGSKLRGTRSSARPSLTIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAGILVGGAILVYNTSALA >LPERR07G02620.1 pep chromosome:Lperr_V1.4:7:2238989:2241532:-1 gene:LPERR07G02620 transcript:LPERR07G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARWLLIFVVFLILEDVRAQSKPILINCGSDSTTDVDGRRWVGDSSPKNFTLSLPGTVASAPDVDGKDLYGDLYKNARIFNASSSYKFVVVTGSYFLRLHFSQLSTNFSTKESLFDVSANGLKLVSKFNVPAEIYWRNSKINSTSHAILKEYLLNVTSSNLEIEFSPDTESIAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLRDSAVETMYRICVGCGKIERKEDPGLWRKWDSDENFIFTLSAARAISNSSNISYVSSNDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNIDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSGMGKSKRSPKWVLIGAAAGLVIFVSIVGAILICFYLRRKKNTSADKTKDSPSGWRPLVLHGATAAAANSRSPTLRTAGTFGSNRMGRQFTIAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGQGVKEFETEIEILSRLRHRHLVSLIGYCDEHNEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESVRKFSEIAEKCLADEGRNRPSLGEVLWHLESALQLHLGHLQSANADDLPQPELKLSDASANLGCIEEVEESCRAESQDATGEYVDIKIEAP >LPERR07G02630.1 pep chromosome:Lperr_V1.4:7:2246275:2248979:1 gene:LPERR07G02630 transcript:LPERR07G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTNTAREIWDMEESQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEGRARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLRFALLNTQLTGQERFAVIHKILQQILMEASLFSREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVERLELSGEDGKDPFPLVMVGKVLMANERWDIGKEVIDYVTKTYPGAYPIHPKVETAVGAALLAWNAVASELDDSPRTVA >LPERR07G02640.1 pep chromosome:Lperr_V1.4:7:2250331:2254213:-1 gene:LPERR07G02640 transcript:LPERR07G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHQPVLDERNDAFIGRMIVPASACQSPCTAQSDLSLFSGPFIDRSPSTGEFDTEATKFKDLEVPPPWLLSYHCSCDIGSNFEAFATVMSRLRQHLLDANVEIDYTEYLDLMKLEVEQHLNKLKEDIRFLRSSNLVHDGDANGSRRMMCHHEKLVEIDEGFNGFKLLLVVVFRQIKEILSLFSASIHNLQWEHEMQLEVTGIMIGDCIRSLRDELQRKLYEQSSIVKTLKKHWKETVFQCGAIREELIDISNMILPSEEESDIFDNKHENLGNWSDRWKHNLFGKKTGEQRRSSSNEENVSSATQKSVCPREVISEKSDFRHLKGMNREDMIRYFRFEISKLKRLHELSLQEKTEELFKFKREKASLALKHDPEFESLRKKVPEIISRIDLIILNTTDTPTACSTNEALEERSRLTSRIDSLYYANQKLRGLLAEKTRDIKDLSRQVSDAGRKISLQLSPEEELLRQLHKIKGDYEDLQVQSTIRDELYQTVTEKMFDDYRNNLEDTALIFQAKVTSLEAALSEKGKALCLANEENQRLKEKLSILEKEHGIQNNLEDTELIKQENEEMILRDIEMESHVSPRRSYAISEQTAEYEELMKLKQTLEVASTALKEVESKALNYNDILGKNEQGKQLECILVSIMDLSKEFVEVEHKMSADMKGSEKRSEIPSDQCNHMVQQALVLTKKGLWYKQMLNTRRSELRKAEAEVDVLGNKVNTLLGLVQKIYVTLENYSPVFQQYPGLLDAFLKTCKLVAGLRSKQKEELQDTS >LPERR07G02650.1 pep chromosome:Lperr_V1.4:7:2255670:2256233:1 gene:LPERR07G02650 transcript:LPERR07G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHDGFHQMDVLQNYYASRQELNQAFTFPFFSNENSKAKKQKGKQKKVPVVREHLKPAGRLVSQLHSLYRSWRPGDVVASDETTAKGKGEKKAEIMQDIELTVSSSQVKWDQREYYSVIDLPSEF >LPERR07G02660.1 pep chromosome:Lperr_V1.4:7:2256763:2259770:-1 gene:LPERR07G02660 transcript:LPERR07G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGAQVSVAAPIGAGCYGRSSSAKGNNTVNFCNKSWIGTTLAWERKALKSRHMNKIFSMSVQQASKSKVAVTPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPGTGKEDPSKKGICSNFLCDSKPGDKVQITGPAGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >LPERR07G02670.1 pep chromosome:Lperr_V1.4:7:2262445:2262757:-1 gene:LPERR07G02670 transcript:LPERR07G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDPVDNIRKLARFVGQPFLPDEEDAVNTANPSPVSVKVIFTNDSFRRGEAGDCVNHMTPDMARRLDAIMEEKLHGSGLSFS >LPERR07G02680.1 pep chromosome:Lperr_V1.4:7:2266562:2267113:-1 gene:LPERR07G02680 transcript:LPERR07G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPWPPPQSPRNQLLYIGPVPLPFNNNAAAGDASADDLRLVKEYGNIVPSLPTNPKLTWLRCYRSVWVYDSWVPGRDLSPYVRRGAFVPRPSAARRGSRPSRSPPWRGASTHRRSPSIRYPGSTRTIVSRSWRSLSLTGWGKKMEALPSPRLMATHMHHSLLPASISADNDLDSKIVYICR >LPERR07G02690.1 pep chromosome:Lperr_V1.4:7:2272696:2289762:1 gene:LPERR07G02690 transcript:LPERR07G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPETSNPADAKPSKTRVTAPTGRFALGTASSIKKRADGAPSAELSMSRSSLTKSTLSMNAAPVQRRSSTGSAGKQQDNGTSVVAKKASPSLSDGVKKSKLVSAPAVSSKPSLEKKSSVQSERTKADSMKKSVVKPSPVSTLKKAPSVTENSNSSSSSSFRRAASNATLNSPRSPSVTSSATKKLGSRTSSMDKGSSMPTRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLLGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEDNPLLEIPHLEAASILLVGPTLKKFNDRDLNPSEVEIAKQYPAHTAICIRDGWDFFSPELAADSTFSFLLEQWKNKLPQDLIVKKAYVDHPFEEDPCHCHFSFTNQCDDGKLVLKYQWFIGGKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDVQFLPIFAVSWPVSPGTGCPKVINLTVHGDLVEGNVLSGVPEIAWCGGAPGKGVASWLRRRWNGNAVVIDGAERMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVDVVGEIIEDNIIKGKGKYFGGKEGLSKFLWFREKENGEFLLVLSNSTEYTLAKEDVGRRLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVFDLKIVGEAREGNKVSATATVTGGTEGFSRVQWFKGYSSKFSSENELEVLSTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGQPAYAVSDDVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGNSLYSWHLHEAEDDEGSLVSEASGLLQYQVTKEAVGKFVSFKCIPIRNDGVLGEPRVFTGKDRVTPGTPTLLSFELTGEAIEGTTMVTKRRYWGGEEGETIFRWILTSSDGTQKEIEGATSSSYALSCNDIGFYISVLCEPVRSDGVHGFLVSTEESGPILPGPPTCLSLELAGPMIEGGRLTFHAEYIGGFKGDCIQEWFRLHSDGSKEKLTTDECLDLTLDDVDSRIELVFTPVRDDGLQGSSKSVLSDTILPGDPKGVDLLLPECSQDNEISPIKNYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVVGINLMYKPSLDDIGFYLILHWVPTRCDGEIGDPLVAVTSDPVMAAFPSVSDVQLKQKSLSLYSGAGIYYGGYEGSSLYKWYRESSDGSRHCIDGADLMTYEVTDADYSCRLLFGYIPVRSDGIVGEEMFSEPSDIILPEQLKIEALSFKGNQVERDTLSAVEQIPSNEVQQHLWSNYKKEITYQWFASIGSEVDQTFELLATQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISAVTAPILPGKPKIEKLEIEGRGFHTNLYSVRGTYTGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVVIYTPVREDGVEGQPVSASTEPIAVEPEIYKEVKQKLDNGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPSTEVRGTYVPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVVILVIRGLAQKFNSTSLNSLLKIEA >LPERR07G02690.2 pep chromosome:Lperr_V1.4:7:2272696:2289762:1 gene:LPERR07G02690 transcript:LPERR07G02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPETSNPADAKPSKTRVTAPTGRFALGTASSIKKRADGAPSAELSMSRSSLTKSTLSMNAAPVQRRSSTGSAGKQQDNGTSVVAKKASPSLSDGVKKSKLVSAPAVSSKPSLEKKSSVQSERTKADSMKKSVVKPSPVSTLKKAPSVTENSNSSSSSSFRRAASNATLNSPRSPSVTSSATKKLGSRTSSMDKGSSMPTRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLLGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEVLAASRNKISTLKGFPHLPSLEHLRVEDNPLLEIPHLEAASILLVGPTLKKFNDRDLNPSEVEIAKQYPAHTAICIRDGWDFFSPELAADSTFSFLLEQWKNKLPQDLIVKKAYVDHPFEEDPCHCHFSFTNQCDDGGKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDVQFLPIFAVSWPVSPGTGCPKVINLTVHGDLVEGNVLSGVPEIAWCGGAPGKGVASWLRRRWNGNAVVIDGAERMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVDVVGEIIEDNIIKGKGKYFGGKEGLSKFLWFREKENGEFLLVLSNSTEYTLAKEDVGRRLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVFDLKIVGEAREGNKVSATATVTGGTEGFSRVQWFKGYSSKFSSENELEVLSTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGQPAYAVSDDVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGNSLYSWHLHEAEDDEGSLVSEASGLLQYQVTKEAVGKFVSFKCIPIRNDGVLGEPRVFTGKDRVTPGTPTLLSFELTGEAIEGTTMVTKRRYWGGEEGETIFRWILTSSDGTQKEIEGATSSSYALSCNDIGFYISVLCEPVRSDGVHGFLVSTEESGPILPGPPTCLSLELAGPMIEGGRLTFHAEYIGGFKGDCIQEWFRLHSDGSKEKLTTDECLDLTLDDVDSRIELVFTPVRDDGLQGSSKSVLSDTILPALIICSPIIDTGDPKGVDLLLPECSQDNEISPIKNYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVVGINLMYKPSLDDIGFYLILHWVPTRCDGEIGDPLVAVTSDPVMAAFPSVSDVQLKQKSLSLYSGAGIYYGGYEGSSLYKWYRESSDGSRHCIDGADLMTYEVTDADYSCRLLFGYIPVRSDGIVGEEMFSEPSDIILPEQLKIEALSFKGNQVERDTLSAVEQIPSNEVQQHLWSNYKKEITYQWFASIGSEVDQTFELLATQCSRSYKENLKLKNWRLKEEVSTLICILFGVPILEAKKAKAKSNGSGEIGRTYEANVDDVGYRLVVIYTPVREDGVEGQPVSASTEPIAVEPEIYKEVKQKLDNGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPSTEVRGTYVPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVVILVIRGLAQKFNSTSLNSLLKIEA >LPERR07G02700.1 pep chromosome:Lperr_V1.4:7:2291940:2296777:-1 gene:LPERR07G02700 transcript:LPERR07G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVIPTTHPALRHCVALLRLHLSSPSLSAAKQLHARALRGGVPLSNPLLAKHLLFHLASLPSQEQQPLLRYAVAVLTRLRLPHPDPFPLNTVLRIAASSPRPRVALSIHRRRLAPPDTHTYPPLLQACARLLALRDGERIHAEATKNGFATLVFVRNSLVHLYGACGLFESAHRVFDEMPVRGGRNLVSWNSMLNGFAANGRPNEVLTVFREMMGVDFAPDGFTVVSVMTACAEIGALALGRRVHVYVEKVGLVDNSHVSNALIDLYAKCGSVDDARRVFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFGVMEREKLVPTEITMVGVLYACSHCGLVDDGLRYFDRMKEDYGIAPRIEHLGCMVDLLGRAGRVEEAYDYIIKMPLEPNAVVWRTLLGACAMHKKLEFGKLAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVKNRVRKNPGHSLVELQNSVYEFVMGDRSHPESEQIYEMLADIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAIKLISKVYNREIIVRDRTSAAGARFAPQEKAESSTPIPTLSPPEGNMTFVDGVTWCVARPGVSQEDLQNALDWACGLGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTISKRDPSFGSCKFLASETSAASALTRGSMWAICAVALPLIIFHLRVFQSIIYLCKYATSKEQW >LPERR07G02710.1 pep chromosome:Lperr_V1.4:7:2297650:2301810:-1 gene:LPERR07G02710 transcript:LPERR07G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASFVTSLVTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGMDPWEGRGRGTRSPVGWLRQALSASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGVVLLPVLLPVAGTDHALETAALNSDKAPQNFTQLERLALGNVRVKSLRLWAFLLAVYWVSFVTYFVLWKSYKHVSNLRAAARSTPDVKPEEFAVLVRDVPMPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHKKADKIYQEIEGHKQKIARAEVVYAESKTASKPEGTKPMHRIGFLGLIGKKVDTIEYCNDQIKELVPKLEAEQKTTLRDKQKQAAIVFFNRRSAAASASQTLHAQMFDRWIVEQAPEPRQIIWPNLCKKVYERQIRQVVVYGIVFLTVVFYMVPITAISALTTLEKLREKLPFLKVVVDQPEIKTVLQAYLPQLALIVFLALLPSLLMFLSKSEGIPSQSHTVRAAAGKYFYFIVFNVFLGVTITSTLFKSLTTIIDNPTGIVNMLANSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDDVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESYGRMWPHMHTRIIAALLIYQTTMVGVILLKQFLYSPILVPLIPISFIFAYITHMRFYPAFAKTPLEVVQHDVKETPNMDAIYTAYIPACLRPEKLEDVDIFEDAQSHTTSRAPSI >LPERR07G02710.2 pep chromosome:Lperr_V1.4:7:2297650:2301798:-1 gene:LPERR07G02710 transcript:LPERR07G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASFVTSLVTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGMDPWEGRGRGTRSPVGWLRQALSASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGVVLLPVLLPVAGTDHALETAALNSDKAPQNFTQLERLALGNVRVKSLRLWAFLLAVYWVSFVTYFVLWKSYKHVSNLRAAARSTPDVKPEEFAVLVRDVPMPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHKKADKIYQEIEGHKQKIARAEVVYAESKTASKPEGTKPMHRIGFLGLIGKKVDTIEYCNDQIKELVPKLEAEQKTTLRDKQKQAAIVFFNRRSAAASASQTLHAQMFDRWIVEQAPEPRQIIWPNLCKKVYERQIRQVVVYGIVFLTVVFYMVPITAISALTTLEKLREKLPFLKVVVDQPEIKTVLQAYLPQLALIVFLALLPSLLMFLSKSEGIPSQSHTVRAAAGKYFYFIVFNVFLGVTITSTLFKSLTTIIDNPTGIVNMLANSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDDVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESYGRMWPHMHTRIIAALLIYQTTMVGVILLKQFLYSPILVPLIPISFIFAYITHMRFYPAFAKTPLEVVQHDVKETPNMDAIYTAYIPACLRPEKLEDVDIFEDAQSHTTSRAPSI >LPERR07G02710.3 pep chromosome:Lperr_V1.4:7:2297650:2301810:-1 gene:LPERR07G02710 transcript:LPERR07G02710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASFVTSLVTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGMDPWEGRGRGTRSPVGWLRQALSASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGVVLLPVLLPSLTTIIDNPTGIVNMLANSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDDVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESYGRMWPHMHTRIIAALLIYQTTMVGVILLKQFLYSPILVPLIPISFIFAYITHMRFYPAFAKTPLEVVQHDVKETPNMDAIYTAYIPACLRPEKLEDVDIFEDAQSHTTSRAPSI >LPERR07G02720.1 pep chromosome:Lperr_V1.4:7:2308077:2310970:1 gene:LPERR07G02720 transcript:LPERR07G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRRRHPGRTPVLPSGSGASARPRESPGAAFPARRTAFWRACSCGGEEKRLGRRGGAAAARVRPRVAHAEDPSFSPSFGCFTASLRKESFSPKTFLL >LPERR07G02730.1 pep chromosome:Lperr_V1.4:7:2319975:2324453:1 gene:LPERR07G02730 transcript:LPERR07G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITVGGRYDHEGCQAKKGQVLSQIGVVLRDQQGIPLVKSVTDNKIVCREIMEDLYFLINETVAIRKHLERNKKDKDSLFRIILVESRIRRVACYYKQTKKLPPAWKFIR >LPERR07G02740.1 pep chromosome:Lperr_V1.4:7:2353886:2360262:1 gene:LPERR07G02740 transcript:LPERR07G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATATDRRTATSRFTFTPSAGYALNLFSRDVAAANYACIVTYQCWQWVPAQLGIQERQNSMLSC >LPERR07G02750.1 pep chromosome:Lperr_V1.4:7:2407762:2410249:-1 gene:LPERR07G02750 transcript:LPERR07G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCILMRSTRILGRTAAHASSSSSSPAGEGLLAALRSPAILLTPRTSPAAGSHHRLFSTIFRQGR >LPERR07G02760.1 pep chromosome:Lperr_V1.4:7:2411258:2417465:-1 gene:LPERR07G02760 transcript:LPERR07G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASSAGASSPPAQTNAASIDWLGGEPVSKVESSSHIAPHAPQPSLSTNAAGAALDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIECESCGAHLIFTALTSWSPAEVANAGESFAEQLDASHQGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDRILSQSVTILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQFHPRFPEHNQNSYSASVKKDKGKGKVRVKDSGCSMRSPLLDCSLCGATVRIWDFVSVPRPSHFSINNSDAPDTRKAMLTRGISATSGINGWVVEGPEKDNVEGRDEAGTDEGKSLSNAQVDLNLTMAGGLPSTHSVVPSMPDHFNDGGMGRDLMIGQPTGSEMGGIAASFESRGPSSRKRNIEEGGSTADKPPNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNQPSSSGAGPSRNLSFDLDLDVNRFDTYKAEGPSAYANPSARDSMRASSVIAMDTVHSVEENSTESVEYHPCDVDDVHKPSSALRSGGMSEPLDLNCSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAEVAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTESVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDMASRLVGRADSGSKVCGSTKADSVESGEKMSHAVGHESNLQHSLSRNARVYSGIDLSKDEVTQTAKVLANDDYDPGDDLAANGGNDSEAGLPEFDPINHHNNFCPWVNGYVAAACCITGSSTSSTALSGWQLTVDALETIQSLGQAQNQTMRSDSAASLYKVLFGDSHVVVADGLSV >LPERR07G02760.2 pep chromosome:Lperr_V1.4:7:2411760:2417465:-1 gene:LPERR07G02760 transcript:LPERR07G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASSAGASSPPAQTNAASIDWLGGEPVSKVESSSHIAPHAPQPSLSTNAAGAALDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIECESCGAHLIFTALTSWSPAEVANAGESFAEQLDASHQGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDRILSQSVTILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQFHPRFPEHNQNSYSASVKKDKGKGKVRVKDSGCSMRSPLLDCSLCGATVRIWDFVSVPRPSHFSINNSDAPDTRKAMLTRGISATSGINGWVVEGPEKDNVEGRDEAGTDEGKSLSNAQVDLNLTMAGGLPSTHSVVPSMPDHFNDGGMGRDLMIGQPTGSEMGGIAASFESRGPSSRKRNIEEGGSTADKPPNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNQPSSSGAGPSRNLSFDLDLDVNRFDTYKAEGPSAYANPSARDSMRASSVIAMDTVHSVEENSTESVEYHPCDVDDVHKPSSALRSGGMSEPLDLNCSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAEVAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTESVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDMASRLVGRADSGSKVCGSTKADSVESGEKMSHAVGHESNLQHSLSRNARVYSGIDLSKDEVTQTAKVLANDDYDPGDDLAANGGNDSEAGLPEFDPINHHNNFCPWVNGYVAAACCITGSSTSSTALSGWQLTVDALETIQSLGQAQNQTMRSDSAASLYKDEHVAPSRKLLKRASHSKC >LPERR07G02770.1 pep chromosome:Lperr_V1.4:7:2418534:2422562:-1 gene:LPERR07G02770 transcript:LPERR07G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQAGEQEAELFVQWRPCDKKREGKNQEEEEEDRRMSVSGGRTRVGRYELGRTLGEGTFAKVKFARNVESGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIADLINNEWFKKGYQPPRFETAEVNLDDVNSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHSISNGLKDVMWKPERSIIEGDEIQHRSSP >LPERR07G02780.1 pep chromosome:Lperr_V1.4:7:2437242:2437466:-1 gene:LPERR07G02780 transcript:LPERR07G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAIVEYKKASSHVNLGSLFFVTTVDDQLCGDSGRWCYAATSPPASAVAQLVSPLLRSTAPPFRLE >LPERR07G02790.1 pep chromosome:Lperr_V1.4:7:2452761:2453171:1 gene:LPERR07G02790 transcript:LPERR07G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQVVEAASSGVSGGVTSSTATAAAMMGVYERVARMASGNAVVVFSHSGCCMCHVVKRLLLGLGVGPTVYELDGDEQLAGGDIQAALSQLLPPGQAPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >LPERR07G02800.1 pep chromosome:Lperr_V1.4:7:2461915:2467935:1 gene:LPERR07G02800 transcript:LPERR07G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECISLSRRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILAADVLFFAGAVIMASSMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPATIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVGREEEAEGILRRIYSAEEVEREISELRESVAAESGSSSSETKKMGLVKLMMTTATVRRGLIAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNTTALALSLVTSGLNAAGSVVSIFLIDRTGRRKLLVVSLSGVILSLALLSAVFHHTSSHSPPVSSSPQFAAALTCPAFNYSPATAARSWDCTRCLKAECAFCASEHKLLAGACLTAASNTSTSPAAAACGGEGRELYTHGCPSRYGWMAMVGLALYIASFSPGMGTAPWIVNSEIYPLRHRGVCGGAAATANWVANLAVAQSFLSLTEAIGAAWTFLIFGVVSVVALGFVLVCVPETKGLPIEEVERMLEKRELRLRFWDNRRAGAGAGDGDDDGGGKTGGV >LPERR07G02800.2 pep chromosome:Lperr_V1.4:7:2461915:2469184:1 gene:LPERR07G02800 transcript:LPERR07G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECISLSRRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILAADVLFFAGAVIMASSMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPATIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVVQFLLMLFLPESPRWLFRKGREEEAEGILRRIYSAEEVEREISELRESVAAESGSSSSETKKMGLVKLMMTTATVRRGLIAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNTTALALSLVTSGLNAAGSVVSIFLIDRTGRRKLLVVSLSGVILSLALLSAVFHHTSSHSPPVSSSPQFAAALTCPAFNYSPATAARSWDCTRCLKAECAFCASEHKLLAGACLTAASNTSTSPAAAACGGEGRELYTHGCPSRYGWMAMVGLALYIASFSPGMGTAPWIVNSEIYPLRHRGVCGGAAATANWVANLAVAQSFLSLTEAIGAAWTFLIFGVVSVVALGFVLVCVPETKGLPIEEVERMLEKRELRLRFWDNRRAGAGAGDGDDDGGGKTGGV >LPERR07G02800.3 pep chromosome:Lperr_V1.4:7:2461915:2467889:1 gene:LPERR07G02800 transcript:LPERR07G02800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECISLSRRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILAADVLFFAGAVIMASSMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPATIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVVQFLLMLFLPESPRWLFRKGREEEAEGILRRIYSAEEVEREISELRESVAAESGSSSSETKKMGLVKLMMTTATVRRGLIAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNTTALALSLVTSGLNAAGSVVSIFLIDRTGRRKLLVVSLSGVILSLALLSAVFHHTSSHSPPVSSSPQFAAALTCPAFNYSPATAARSWDCTRCLKAECAFCASEHKLLAGACLTAASNTSTSPAAAACGGEGRELYTHGCPSRYGWMAMVGLALYIASFSPGMGTAPWIVNSEIYPLRHRGVCGGAAATANWVANLAVAQSFLSLTEAIGAAWTFLIFGVVSVVALGFVLVCVPETKGLPIEEVERMLEKRELRLRFWDNRRAGAGAGDGDDDGGGKTGGV >LPERR07G02810.1 pep chromosome:Lperr_V1.4:7:2486324:2488413:-1 gene:LPERR07G02810 transcript:LPERR07G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLEGPRRRRSPSSCGCGLPTPPLHQRLSGCSNATMDTTNELIAKMEAASRNIEETIRHNRFHKNCMILSAVVGIVIGGALGRWDGRRCRKALIEHLESIQIVHYTSEPPRRPN >LPERR07G02820.1 pep chromosome:Lperr_V1.4:7:2510901:2518241:1 gene:LPERR07G02820 transcript:LPERR07G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICATPTPTTTKRSRPRPEEDDDGGGEPEAKRQQLPWAAQQHPSSRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDTNSFPNHPASASLPPPTAPAASLAAGEEEEDGDGDQQQVVTKSGCSSTSETSKGSVLSLSRSDSRVKARERARERSAAAAKRENHTDATTATAAAASFTELLTGMATGANEEHKPQPQHSWQQPMATTADYIGFAAAANHPRKSGGHAMAHTFASPAPHLASIAPIAMAPAQHFTLTPAGGGGGEMTHFSFDHFMPVHAAAAASGGDYNLNFSMSSGLVGVHNRGTLQSNSNISGHHHHQHHQQHQQQLQRLAAPLDTPSNNIPFLFSPAATAADSHSGTGSGTPPPPTSRRRESTDQISRRGIGLSWMEVKTSKRCNHSCEQYSQLDAAISECWMISLHPWK >LPERR07G02820.2 pep chromosome:Lperr_V1.4:7:2510901:2518241:1 gene:LPERR07G02820 transcript:LPERR07G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICATPTPTTTKRSRPRPEEDDDGGGEPEAKRQQLPWAAQQHPSSRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDTNSFPNHPASASLPPPTAPAASLAAGEEEEDGDGDQQQVVTKSGCSSTSETSKGSVLSLSRSDSRVKARERARERSAAAAKRENHTDATTATAAAASFTELLTGMATGANEEHKPQPQHSWQQPMATTADYIGFAAAANHPRKSGGHAMAHTFASPAPHLASIAPIAMAPAQHFTLTPAGGGGGEMTHFSFDHFMPVHAAAAASGGDYNLNFSMSSGLVGVHNRGTLQSNSNISGHHHHQHHQQHQQQLQRLAAPLDTPSNNIPFLFSPAATAADSHSGTGSGTPPPPTSRRRESTDQISRRGIGLSWMEVKTSKRCNHSCEQYSQLDAAIIYTFVIYVLAGLYEEKMVVPKHKIRGDFYRDP >LPERR07G02820.3 pep chromosome:Lperr_V1.4:7:2510901:2517943:1 gene:LPERR07G02820 transcript:LPERR07G02820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDICATPTPTTTKRSRPRPEEDDDGGGEPEAKRQQLPWAAQQHPSSRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDTNSFPNHPASASLPPPTAPAASLAAGEEEEDGDGDQQQVVTKSGCSSTSETSKGSVLSLSRSDSRVKARERARERSAAAAKRENHTDATTATAAAASFTELLTGMATGANEEHKPQPQHSWQQPMATTADYIGFAAAANHPRKSGGHAMAHTFASPAPHLASIAPIAMAPAQHFTLTPAGGGGGEMTHFSFDHFMPVHAAAAASGGDYNLNFSMSSGLVGVHNRGTLQSNSNISGHHHHQHHQQHQQQLQRLAAPLDTPSNNIPFLFSPAATAADSHSGTGSGTPPPPTSRRRESTDQISRRGIGLSWMEVKTSKRCNHSCEQYSQLDAAISGKLMPAHLLNLLNIDLRNKLQSPQVCKINQ >LPERR07G02820.4 pep chromosome:Lperr_V1.4:7:2512695:2518241:1 gene:LPERR07G02820 transcript:LPERR07G02820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWCKKPSPVVTSSTRRARTASIAARPFQVSALFVQPHSHTVTGGGNSLRFESYAANNSSTLPRGTSGCRASRFETAIAPT >LPERR07G02820.5 pep chromosome:Lperr_V1.4:7:2510901:2518331:1 gene:LPERR07G02820 transcript:LPERR07G02820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDICATPTPTTTKRSRPRPEEDDDGGGEPEAKRQQLPWAAQQHPSSRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDTNSFPNHPASASLPPPTAPAASLAAGEEEEDGDGDQQQVVTKSGCSSTSETSKGSVLSLSRSDSRVKARERARERSAAAAKRENHTDATTATAAAASFTELLTGMATGANEEHKPQPQHSWQQPMATTADYIGFAAAANHPRKSGGHAMAHTFASPAPHLASIAPIAMAPAQHFTLTPAGGGGGEMTHFSFDHFMPVHAAAAASGGDYNLNFSMSSGLVGVHNRGTLQSNSNISGHHHHQHHQQHQQQLQRLAAPLDTPSNNIPFLFSPAATAADSHSGTGSGTPPPPTSRRRESTDQISRRGIGLSWMEVKTSKRCNHSCEQYSQLDAAIRDFYRDP >LPERR07G02830.1 pep chromosome:Lperr_V1.4:7:2512170:2523135:-1 gene:LPERR07G02830 transcript:LPERR07G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVGVIEVGRVEVGPSHFPKRPAPSNSSSSRINLSRTYAIKSCSVSSRNLGQCYYKKSSGCKCKLGWSSQPLPGLRHHFRVQSSASEAVLTSQSDFRKILVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKQDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELSTFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGIKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRNELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEERPMPRRLI >LPERR07G02830.2 pep chromosome:Lperr_V1.4:7:2517394:2523135:-1 gene:LPERR07G02830 transcript:LPERR07G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVGVIEVGRVEVGPSHFPKRPAPSNSSSSRINLSRTYAIKSCSVSSRNLGQCYYKKSSGCKCKLGWSSQPLPGLRHHFRVQSSASEAVLTSQSDFRKILVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKQDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELSTFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGIKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRNELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFR >LPERR07G02830.3 pep chromosome:Lperr_V1.4:7:2523142:2523561:-1 gene:LPERR07G02830 transcript:LPERR07G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding LKSRAVEEAFAPRAISRRGVAWRRPPTLHRSRLLPIELPRAELGRRCFLLRILPHRSCFIFSQKVGVQL >LPERR07G02840.1 pep chromosome:Lperr_V1.4:7:2530354:2533877:-1 gene:LPERR07G02840 transcript:LPERR07G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFLAAAFLFISSMAAAAAAEDGISPSSSSAAAAALMEFKSKLDDVDGRLTSWNTAAAGEPCGGGGGWAGIDCSPEKEVTGVTLHGLNLHGELSGAVCTIPTLTILNLSKNAIAGEIPASLAACTNLKVLDLSTNSLHGSIPPELCSGLRSLRQLFLSENLLSGEIPSTIGDLESLEELEIYSNNLTGVIPSSIARLNRIRIIRAGLNDFSGEIPVEISNCSSLAVLGLAQNGLSGELSGEVFSRLKNLTTLILWQNRLSGEIPPELGGCVGLEMLALNDNAFTGGVPPELGNLPSLSKLYIYRNQLDGIIPKELGNLQSAVEIDLSENKLTGVIPGELGRIPMLRLLYLFENRLQGSIPPELGKLSVIRRIDLSINNLTGIIPMEFQNLTYLEYLQLFDNQIHGVIPPLLGASSNLSVLDLSDNRLTGSIPPQLCKYQKLIFLSLGSNRLIGNIPRGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGLIPPEIGKFRSIERLILSENYFVGEIPAGIGNLTELVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLAGVIPEELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGELPVELGQLTALQIALNVSHNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHLDSSNFLGNDGLCGIKGKSCSGSLASSSYASREAQVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLRSKIPDLVSNEERKTGFSGPHYFLKERVTYQELMKATNSFSESAVIGRGACGTVYKAIMPDGRKIAVKKLKSQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHVSKDECLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEKGGDLVNLVRRMTNSSTPNSEMFDSRLNLSSRRVLEEMSLVLKIALFCTSELPLDRPSMREVISMLIDARASAYDSFSSPASEAPIEDDSSLKH >LPERR07G02840.2 pep chromosome:Lperr_V1.4:7:2530356:2533877:-1 gene:LPERR07G02840 transcript:LPERR07G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFLAAAFLFISSMAAAAAAEDGISPSSSSAAAAALMEFKSKLDDVDGRLTSWNTAAAGEPCGGGGGWAGIDCSPEKEVTGVTLHGLNLHGELSGAVCTIPTLTILNLSKNAIAGEIPASLAACTNLKVLDLSTNSLHGSIPPELCSGLRSLRQLFLSENLLSGEIPSTIGDLESLEELEIYSNNLTGVIPSSIARLNRIRIIRAGLNDFSGEIPVEISNCSSLAVLGLAQNGLSGELSGEVFSRLKNLTTLILWQNRLSGEIPPELGGCVGLEMLALNDNAFTGGVPPELGNLPSLSKLYIYRNQLDGIIPKELGNLQSAVEIDLSENKLTGVIPGELGRIPMLRLLYLFENRLQGSIPPELGKLSVIRRIDLSINNLTGIIPMEFQNLTYLEYLQLFDNQIHGVIPPLLGASSNLSVLDLSDNRLTGSIPPQLCKYQKLIFLSLGSNRLIGNIPRGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGLIPPEIGKFRSIERLILSENYFVGEIPAGIGNLTELVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLAGVIPEELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGELPVELGQLTALQIALNVSHNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHLDSSNFLGNDGLCGIKGKSCSGSLASSSYASREAQVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLRSKIPDLVSNEERKTGFSGPHYFLKERVTYQELMKATNSFSESAVIGRGACGTVYKAIMPDGRKIAVKKLKSQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHVSKDECLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEKGGDLVNLVRRMTNSSTPNSEMFDSRLNLSSRRVLEEMSLVLKIALFCTSELPLDRPSMREVISMLIDARASAYDSFSSPASEAPIEDDSSLKH >LPERR07G02850.1 pep chromosome:Lperr_V1.4:7:2540881:2541114:1 gene:LPERR07G02850 transcript:LPERR07G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSGKLSGYAASPCGLRWGCLRVASLLLDRGLLLPEPGLMARGRRCAGVELAGQCWLDAGMGLDVCGGGFLIAG >LPERR07G02870.1 pep chromosome:Lperr_V1.4:7:2544936:2548018:1 gene:LPERR07G02870 transcript:LPERR07G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEEVVCVDFWCNEFGMRVRIALREKRVGFGFVEEDLRIRERSELVLQMNPVHRSIPILIHRGRPICGSLNIVEYVDEMWGQKSGARLLPVDPLERANARFWADFGDEKMAAKEELLYHLKCLEGVLGEKDFFGGKEFGFLDVALIPFSTMFYGYKQHGGIDMTTECPRLMSWVGRCEERKSVQSVLPTGLDMYDIHKEFYAFRGYEQHGGFDLEEECPDLIQCVKRCKERESVRHVLPDEDEMYELHKKWYGIE >LPERR07G02880.1 pep chromosome:Lperr_V1.4:7:2549552:2557288:1 gene:LPERR07G02880 transcript:LPERR07G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQRLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAANTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDEASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >LPERR07G02880.2 pep chromosome:Lperr_V1.4:7:2553664:2557288:1 gene:LPERR07G02880 transcript:LPERR07G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQRLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAANTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDEASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >LPERR07G02890.1 pep chromosome:Lperr_V1.4:7:2557157:2559149:-1 gene:LPERR07G02890 transcript:LPERR07G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGAVELSLRLRTGDDASRAAAAAAARRRRSMTIFYNGRVCAVDVTELQARTIISMASQGNCMNQQRQIGDDRHYHQADSSSSNSGSVSTAAAAPRCVAGGSSQIDPSPAAAPLEGREAAAPPAVVAPMMVNQAAAASGLSMKRSLQRFLEKRKTRAAAAGPFFAGDRPAARR >LPERR07G02900.1 pep chromosome:Lperr_V1.4:7:2564501:2567736:-1 gene:LPERR07G02900 transcript:LPERR07G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASIAATLLTSTLQSLLLLLRLLLRPLAGGGGAAAVRLYEGRVRHSRRRPAAHAFEYPVRYAVVDLDRLPIAGHLSAADARRIASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAEQGRDGSLKMCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDTLYVVISVQHPTLGNYFTAALHAKLVEETSNSLRIATFFWLMPHKVAVGIYWEAVRLWLKNVKFLDHPRYLNTNYRDEAQKRDLEIRSSCAFLQKQKLNDQRSASTDETSEISNHHDHNGEESVTKRWCVWTDAQWPWS >LPERR07G02910.1 pep chromosome:Lperr_V1.4:7:2569309:2571751:-1 gene:LPERR07G02910 transcript:LPERR07G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADGYCLTVREFLLPQGIVGVLFSSGNSKTSMRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSLMPPSDLEQPSWETPLIPGLPDDAALNCLLRLPVEAHEACRLVCHRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKVDRWEAMPVGMREGWTGLSVVIDEHLFVISEYERMKVKVYDPETDSWDSVKGPSMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPDNHPDRSSSYFIQWQDVDVPREFGDLTPSNSQILHA >LPERR07G02920.1 pep chromosome:Lperr_V1.4:7:2577287:2577796:-1 gene:LPERR07G02920 transcript:LPERR07G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLTATAPAAINDGEEDQWPPPPATAAPTARVGGKQVRLFQCLFCDKTFIKSQALGGHQNAHRKERVAGAGAGGWKNPYDADSGTGEVVDAAAAAAPVRSIPISSHGFLDAHGGERAAAVLAGARRDDTAAMLNWRRASHAGAGAGAVDVSSIGAGDDKQPDLELHL >LPERR07G02930.1 pep chromosome:Lperr_V1.4:7:2586423:2586863:-1 gene:LPERR07G02930 transcript:LPERR07G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRLQTSPQLRSSRRRWGAAVTDTAAIGKQSPSTPRSRRRGGGTATAAHTLCHLIAAAAVGELPPLLLEGEEQSEEGSSSRCQGATTEARILRRLVLSIPAPMLMCSRHCRSATMHLLRHAADAAMLSSGGCRFAVADSPPPRR >LPERR07G02940.1 pep chromosome:Lperr_V1.4:7:2596211:2596693:-1 gene:LPERR07G02940 transcript:LPERR07G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLSVTAPAAINDGEEDQWSPPPVTTARVGGKQVRLFECLFCDKTFIKSQALGGHQNAHRKERVAGAGAGGWKNPYYADSGASEVLDATAAAAPVRSIPISSHGFLGERAAAVLAGAGRDDTAAMLNWKRASHADAGAFDVSSIGAGDDKQLDLELHL >LPERR07G02950.1 pep chromosome:Lperr_V1.4:7:2623174:2623644:-1 gene:LPERR07G02950 transcript:LPERR07G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYSSSPPCRRPSAPVVDLSLSLNTAAPATATAQVGGKEGRVFECLFCDRTFVKSQALGGHQNAHRKERVAAAGVSNPYAAGTDIAGASAGLWSSIPIASHGVTTATDNNFRWSGGASVGFDSSKKVASSSSASSSTMGVVVAGGEEVVGLELHL >LPERR07G02960.1 pep chromosome:Lperr_V1.4:7:2632816:2634778:-1 gene:LPERR07G02960 transcript:LPERR07G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGALEHGRAASGAMTGPGRRQVSGDDTNGDGGIQRQWPAADVCHFVDGSCHLSMLLPSVATSTFSEAVIVIGGCRRSPQLPIAMDMGFAFTGTTPRRSCQIHISRENPPLMSDPTIVDAHVERRQPRSEREE >LPERR07G02970.1 pep chromosome:Lperr_V1.4:7:2646872:2647279:-1 gene:LPERR07G02970 transcript:LPERR07G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSRRHAGGGARLFPCLFCSKTFVKSQALGGHQNAHKKDRGGWNPYVYGGVAGEVPTGPIGASCWPGGVVPDFSVTATPPTPIIAGASHGGAAPAAAAVARGYGSIGCWWMGSGGGVDSEKDGGEMVDLELRL >LPERR07G02980.1 pep chromosome:Lperr_V1.4:7:2679370:2681606:-1 gene:LPERR07G02980 transcript:LPERR07G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKRLTRGHGGADAMVRAASEWGFFQVTNHEVSRELMEKMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLATIFDDDYHDFGDLTSLRGVMQEVAEAMSRVANTVAEVLAAKLQTTRTGHGAGVAAATGCDETTCFLRLNRYPTCPFPAETFGMVPHTDSDFLTVLCQDEVGGLQLMKDSRWVAVKPRPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYGSLIGTCGEPSPYRAFTFGEYRKRVQEDVRRTGKKIGLLNFLRHSPVQ >LPERR07G02990.1 pep chromosome:Lperr_V1.4:7:2695801:2696172:-1 gene:LPERR07G02990 transcript:LPERR07G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMAAPATATARVAGGKEGRVFECLFCDRTFVKSQALGGHQNAHRKDRVAAGGVSNPYAADIAGFSSSISIASHGAVTTAKDNNFRWSAGAGFASSKSVAFSSSSSANKGGGAVVDLDLHL >LPERR07G03000.1 pep chromosome:Lperr_V1.4:7:2709745:2710155:-1 gene:LPERR07G03000 transcript:LPERR07G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNARRHAAGDSGRGGGARLFPCLFCSKTFVKSQALGGHQNAHKKDRVAAGGWNPYIYGPYGDFSGHINPAATATTPIIAGAPHGGAAGQLLSFSTAPAPAATGYGSIGCRWMGSGGGVDSEKDGGEKVDLELRL >LPERR07G03010.1 pep chromosome:Lperr_V1.4:7:2717988:2719638:1 gene:LPERR07G03010 transcript:LPERR07G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTNPEEEEMPNLDFVEQHELAVPQTHGDGFVQMLESPLEQEVNGSLAPGCCISPRQWKI >LPERR07G03020.1 pep chromosome:Lperr_V1.4:7:2723548:2725767:-1 gene:LPERR07G03020 transcript:LPERR07G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFWGALSTSVSPRGLVDSYVVNAYAMKLSKDVKDKVYFLKTWVGIGVEETYQEATKKSFAFANDARPLHKYDLLYFPALYKHHWFVLVVDLNDKSFVFLDSIYGEEDNFYKEVPDLMIPRFIHAWTNFGYTGVDFWDFKVKYPVVPKQTNNRDCGIFAMKCMELWNPRVQMKDLIRPQDIHNIRKQIARDLLLSKHNSTRESIELVYNFNETKHGLYAGQARPFLGICPMKLCFHFF >LPERR07G03030.1 pep chromosome:Lperr_V1.4:7:2739689:2741051:1 gene:LPERR07G03030 transcript:LPERR07G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPHPSGSSRSASSPISGTWIDFEPGGRADLWDLRSIPEGYIDKLDGTEELGSLKYLSKVKNNFHVDTRMIKA >LPERR07G03040.1 pep chromosome:Lperr_V1.4:7:2754972:2756693:1 gene:LPERR07G03040 transcript:LPERR07G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAPLAKPAAPPLQPPSKVKLPKMPKKNQVPMRVPSSPSPAPATKRRWNPLQKMAAAALDAVEQNLIAGVLERGHTLPRTADPSIQIAGNYAPVGESHHRLHHLPVTGVLPSTLNGVYIRNGANPLHPPHAGHHLFDGDGMLHAVRLAGGEAISYACRFTETSRLLQEREIGRAIFPKAIGELHGHSGVARLLLFAARSIAGVLDAGDGIGVANAGVVYHNGRLLAMSEDDLPYHVRVTPDGDLKTVGRFDFDGQLAAGDSMIAHPKLDPVTGELFALSYNVVERPYLKYFYFSSDGRRSPEVVIPVDSPTMVHDFAVTENFAVIPDQQIVFKLHEMLRGGSPVVFDSGKPSRFAVIPKYAAGAGEVRWVEVPDCFCFHFWNAWEDDVTGEIVVLGSCMTPPDAIFNDSGDEISPKFKSVLTEIRLDAATGESRRRAVICDKDINLEAGMVDRRRLGRKTRYAYLAVAEPWPRVSGFAKVDLDAGTVEKFLYGEGRYGGEPCFVPNSGEGEEDGHVMCFVHDEVRGSSELVVVDAGDMRQVAAVKLPARVPYGLHGTFVTADELQRQA >LPERR07G03050.1 pep chromosome:Lperr_V1.4:7:2766818:2767042:1 gene:LPERR07G03050 transcript:LPERR07G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALTPSDDPDDSPTAAAGNEPLLADLSAASAAPAKAI >LPERR07G03060.1 pep chromosome:Lperr_V1.4:7:2767515:2776846:-1 gene:LPERR07G03060 transcript:LPERR07G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKRSHPSNPRNRPPPPPPSPPKPPPPAAATTSAAAIDDDASTAAAAIDEAAALLAEAGCTILVPPHLPPSLPSPLSFAPRLARALAADADALPGRLLAGLAAFASSSPARLRQMLLPTATSSSSAAAASQARGHSHSPSLARALLAVPALQPGLLGFLLEKLPEHFDDNVLDGMPLQDDVGRLIVAQFRWLDFLVDADAFVGKLMEVLSVAPRRLKKEIIGSLPEIVGDQSHATVVAALERLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGVVDPRASRGKKLKGKASVHGTDGAILDALRSGLRFKNMLCEAFLKELKTVDNPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQLCIQETLFDQCIRGNTELVKDHFMSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLVTHIGSGVSSEVSSALDIMISLTSDKSEELIPVYEIFCHLALAAGFNTGSGASAVANELLMVVRKQVSNPDMKYRRMGIIGALRIVATIADVNASMNCSSSQQPNHDEALELLKTAVNSCKFVMLPLILLYDELAVLLENKILHSAVVDWVAEHVAEFDTVFLADLDDGELLKKYVCDGIEGELWMNLDGSISPVCVNIIPLVSTSPQMSQGCLQILPAQFSLVTIIERLGNQGSLGGINAVLGCPLHLPSPKHLDGARWGYLSAMQKKRICHSLYYAINWIRELLNAFSTQVVVRADNISQRARDETAVKLLMRLRNLILLEGLLNAFLKIHPLSLPDLRYVGDHGSTSTSKLNLQMKMEDQNMEGNVSKKRQKSHKDRTASEKSNSDEKFRQPTILDAYKRAGVTISQETTRESQPSSSGMMSRDMEHETNNTCKFGTVDLMAPPAQLDIQRFKFRTLHPQDSMVSYNESEMPLYLYLLRDLQNKLDNLNPSSKPFFSSSQVKSTNAYCQKSTEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDNCSDSWNSQSASAGNPDIPYAVVLKTSVATSVFKEVLGCYRKLLGIPYLLNQANISVLKELLPTFQPSENFDDVISEFRPPLFPNNLDYLYCGAYTMFAAILDYVSSFSYLLAYDVLITMQSILNSVMMLFEKFGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGELIQKILQTYLKNSESTSDLLDELACSVLPQMPSLKTGSTTQDMSHGFPTLCSSTIHSWYRVLHEENTGNLNKMIKQALKNRAQSERAGETALEEIHKSVIVFVSLINMCKIHEKVSMHAIAVKHGGRFIDTFLRAFNFLETQFGQHNVIILQMIKSLQKATRTIQTICAEAKGHKRTMITSKIPVAKRSLERFLFQVKALLHNCSTEESFWMGNLRHKDLQGHLVSSQVYGSVDDDDSPNEEQQQMETDSETPAGENDNAMDEDVAEDNNEAPLEE >LPERR07G03070.1 pep chromosome:Lperr_V1.4:7:2777136:2779277:1 gene:LPERR07G03070 transcript:LPERR07G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPAATTAAAAAAAAPKRVVICGGGVIGACTAYYLSTHPITPTVPILIEKSSSPACAASGKAGGFLALDWCDSTPPLSSLARASFALHRRLADALDGASAYGFRPVHTLSVLVPSNPNPTASAAASPPILPEWVDPSASAAPPRELGTPETTAQVHPGLFTKSVLAASGAEVVLRGEVERVVVGEDGRVAGVGVKGRDGVVDADAVVLALGPWSGKFEMMREVFDVSGLKAHSIVLRPPEPEKITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDEEVPDDPETITGEPESIAMLHKIAGRVSSQLRKEEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHNCWGILNAPATGAALAELILDGEAKIVDLAPFSPARFLKKRKKRGV >LPERR07G03080.1 pep chromosome:Lperr_V1.4:7:2778979:2782533:-1 gene:LPERR07G03080 transcript:LPERR07G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARAQAIRALLARCSTECPIRTAAARAAAFWILRRAALPYCSPGSFPRIPLPAAGVAGADWTRSLASRGAGAGGGEAGAEEDEEEGEAQEWEEEEDEDVEPEIGDGGDGGGVALRDVKWGNRALEAAEEVLAEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPDIEEIESFNKLYKQKLDEIIEQGEIPLDLAIEARAERLLKVPGDLARFKDMAMRVQYLVEGDDLVSKQILQKDGIFLLESVDIQAEHCTWKLANVKENRDEGGKGRPLNRKQRDWRLQTSFKAVKKATLYLD >LPERR07G03090.1 pep chromosome:Lperr_V1.4:7:2795935:2802987:1 gene:LPERR07G03090 transcript:LPERR07G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQHISSDSPPAAGVGAPNLLHALGPALLISMSYIDLGKWVAAVEAGSRFGFDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHEEYSQPTCIILGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGKLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSFVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGAEGIYQLLIICQIIQGMLIPSSVVPLFRIGSSRLIMGVHRVSLHLEILTFLAFLLMLFSNIIFVAEMLFGDSGWMNTLKGNTGSPVVFPSAVLVTVACVSVAFSLYMAVTPLKSGSHEVESQEWSVPSKKELLNTTQDREENSMGNITYEEDQRSDVVPSPRDLPEGCLKSSLEYIDSSDTAVESDHDSQHSTAYTSTAPETCNSPLFIPEESKSVVAVDWPEPLEPISNAIVPEECTVESVDSKSTAERDIEVEPNVLMDNDKEAPHILESDKSLVDNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADNQANEIPKSPMVRDNLRGSAFLGSSRDLMSPKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSSQAYSDNSQFYQPATIHGYQLASYLKQMNAIRNPYSSMPLDTQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGNMMAERSYYDPSTLDANENAGSSAYSKKYHSSPDISALIAASRTALLNESKLGGTIGSQTYLSRLASERSQYANSVARPAAPLAFDELSPPKLQRDIFSMQQSPNPSARSLFAKQPFEQLFGVSSAELTKSEFNPAGRSVGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDHVAAVEKLLQQGTNDNQLLHGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTACACLQRDIQVLNSPHHSSLAATGSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLLSKGQQ >LPERR07G03090.2 pep chromosome:Lperr_V1.4:7:2795935:2803145:1 gene:LPERR07G03090 transcript:LPERR07G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQHISSDSPPAAGVGAPNLLHALGPALLISMSYIDLGKWVAAVEAGSRFGFDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHEEYSQPTCIILGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGKLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSFVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGAEGIYQLLIICQIIQGMLIPSSVVPLFRIGSSRLIMGVHRVSLHLEILTFLAFLLMLFSNIIFVAEMLFGDSGWMNTLKGNTGSPVVFPSAVLVTVACVSVAFSLYMAVTPLKSGSHEVESQEWSVPSKKELLNTTQDREENSMGNITYEEDQRSDVVPSPRDLPEGCLKSSLEYIDSSDTAVESDHDSQHSTAYTSTAPETCNSPLFIPEESKSVVAVDWPEPLEPISNAIVPEECTVESVDSKSTAERDIEVEPNVLMDNDKEAPHILESDKSLVDNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADNQANEIPKSPMVRDNLRGSAFLGSSRDLMSPKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSSQAYSDNSQFYQPATIHGYQLASYLKQMNAIRNPYSSMPLDTQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGNMMAERSYYDPSTLDANENAGSSAYSKKYHSSPDISALIAASRTALLNESKLGGTIGSQTYLSRLASERSQYANSVARPAAPLAFDELSPPKLQRDIFSMQQSPNPSARSLFAKQPFEQLFGVSSAELTKSEFNPAGRSVGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDHVAAVEKLLQQGTNDNQLLHGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTACACLQRDIQVLNSPHHSSLAATGSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLLSKGQQ >LPERR07G03090.3 pep chromosome:Lperr_V1.4:7:2795935:2802987:1 gene:LPERR07G03090 transcript:LPERR07G03090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQHISSDSPPAAGVGAPNLLHALGPALLISMSYIDLGKWVAAVEAGSRFGFDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHEEYSQPTCIILGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGKLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSFVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFRINLPLPGHHLLLKAFAIVPALYCAKVAGAEGIYQLLIICQIIQGMLIPSSVVPLFRIGSSRLIMGVHRVSLHLEILTFLAFLLMLFSNIIFVAEMLFGDSGWMNTLKGNTGSPVVFPSAVLVTVACVSVAFSLYMAVTPLKSGSHEVESQEWSVPSKKELLNTTQDREENSMGNITYEEDQRSDVVPSPRDLPEGCLKSSLEYIDSSDTAVESDHDSQHSTAYTSTAPETCNSPLFIPEESKSVVAVDWPEPLEPISNAIVPEECTVESVDSKSTAERDIEVEPNVLMDNDKEAPHILESDKSLVDNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADNQANEIPKSPMVRDNLRGSAFLGSSRDLMSPKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSSQAYSDNSQFYQPATIHGYQLASYLKQMNAIRNPYSSMPLDTQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGNMMAERSYYDPSTLDANENAGSSAYSKKYHSSPDISALIAASRTALLNESKLGGTIGSQTYLSRLASERSQYANSVARPAAPLAFDELSPPKLQRDIFSMQQSPNPSARSLFAKQPFEQLFGVSSAELTKSEFNPAGRSVGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDHVAAVEKLLQQGTNDNQLLHGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTACACLQRDIQVLNSPHHSSLAATGSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLLSKGQQ >LPERR07G03090.4 pep chromosome:Lperr_V1.4:7:2795935:2803145:1 gene:LPERR07G03090 transcript:LPERR07G03090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQHISSDSPPAAGVGAPNLLHALGPALLISMSYIDLGKWVAAVEAGSRFGFDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHEEYSQPTCIILGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGKLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSFVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFRINLPLPGHHLLLKAFAIVPALYCAKVAGAEGIYQLLIICQIIQGMLIPSSVVPLFRIGSSRLIMGVHRVSLHLEILTFLAFLLMLFSNIIFVAEMLFGDSGWMNTLKGNTGSPVVFPSAVLVTVACVSVAFSLYMAVTPLKSGSHEVESQEWSVPSKKELLNTTQDREENSMGNITYEEDQRSDVVPSPRDLPEGCLKSSLEYIDSSDTAVESDHDSQHSTAYTSTAPETCNSPLFIPEESKSVVAVDWPEPLEPISNAIVPEECTVESVDSKSTAERDIEVEPNVLMDNDKEAPHILESDKSLVDNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADNQANEIPKSPMVRDNLRGSAFLGSSRDLMSPKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSSQAYSDNSQFYQPATIHGYQLASYLKQMNAIRNPYSSMPLDTQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGNMMAERSYYDPSTLDANENAGSSAYSKKYHSSPDISALIAASRTALLNESKLGGTIGSQTYLSRLASERSQYANSVARPAAPLAFDELSPPKLQRDIFSMQQSPNPSARSLFAKQPFEQLFGVSSAELTKSEFNPAGRSVGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDHVAAVEKLLQQGTNDNQLLHGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTACACLQRDIQVLNSPHHSSLAATGSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLLSKGQQ >LPERR07G03100.1 pep chromosome:Lperr_V1.4:7:2810467:2815192:1 gene:LPERR07G03100 transcript:LPERR07G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGDAHGMFKGIYHGKQCHAADIPAVLSRAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDIQKKYFRKQFELAEAVKLPMFLHMRAAGEDLCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFDKMFIGINGCSLKTSENLEVVQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIESLSKTLYHNTCRLFFPHDLDASADAQLESGTAVQNS >LPERR07G03110.1 pep chromosome:Lperr_V1.4:7:2814208:2815801:-1 gene:LPERR07G03110 transcript:LPERR07G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAAFRSLLHPAAGASERVPPPPTSHLRLQILHRQRVGGLHLFVSGHRRSRPILIQPIAAAGDSAGGEFSSDGEEYVDEDEDEQYEEEEGEEEEETAAAAPRGYYPPRSRPVAGQEPGRLFVGNLPYSLTAGELSQTFSEAGSVDNVQIIYDKVTDRSRGFAFVTMATAEEATKAIQMFDGALLGGRTARVNYPEVPRGRERTVGSPAATRGSRRDDGTFKIYAGNLGWGVRADSLRAAFEGQSGLLDARVIFERDTGRSRGFGFVSFRTAEEAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQQEETASESSDAETEEPFESETEESNLQAAGSY >LPERR07G03120.1 pep chromosome:Lperr_V1.4:7:2816141:2816674:1 gene:LPERR07G03120 transcript:LPERR07G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPAPAPAVPHSEEEPAPPAAAAASAEETVIGEEEEEEEGECGFCLYMKGGGCRDAFVAWEECVEAAGKEEGADMVERCFEVTANLKKCMDAHADYYAPVLRAEEAVSDHADAAIAAAADQSKESGEAPAPSPSTDESKVVDEKKEEVDDKSSSSVGAIDERKEEEVVTEKADS >LPERR07G03130.1 pep chromosome:Lperr_V1.4:7:2819467:2825101:1 gene:LPERR07G03130 transcript:LPERR07G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRPASRRRELAAGRSHLEDVMSRRGGGPLPLPTSGAAAAVHHRRQRRKERRRPEVPHRVVEIAAADAPHVVLVGVHPRRLRLRRALVARPLFAGVVGGGGGDILRVEEAAAAPPRAAHRVAVARLVEVRLRRRGKKKTSQRSPPVRRRRGESNRDEKGGLPCGSGRRGGRGRPWRGPTGGGGFR >LPERR07G03130.2 pep chromosome:Lperr_V1.4:7:2819467:2825101:1 gene:LPERR07G03130 transcript:LPERR07G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRPASRRRELAAGRSHLEDVMSRRGGGPLPLPTSGAAAAVHHRRQRRKERRRPEVPHRVVEIAAADAPHVVLVGVHPRRLRLRRALVARPLFAGVVGGGGGDILRVEEAAAAPPRAAHRVAVARLVEVRLRRRGKKKTSQRSPPVRRRRGESNRDEKGGLPCGSGRRGGRGRPWRGPTGGGGFR >LPERR07G03130.3 pep chromosome:Lperr_V1.4:7:2819999:2825101:1 gene:LPERR07G03130 transcript:LPERR07G03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGYTRAASDSDELLLLAPCSPASSAAEAETFFVLRKRPPLPLARRIAWRWRDSWRYGCGGEGRRRRQLEEDEDDEGCYVVAPAALPGSGAASAGQRRGSGCGCGGGGPEELGCKLLLNPRQEKREKEETGAAAAAASGQ >LPERR07G03130.4 pep chromosome:Lperr_V1.4:7:2819999:2823765:1 gene:LPERR07G03130 transcript:LPERR07G03130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGYTRAASDSDELLLLAPCSPASSAAEAETFFVLRKRPPLPLARRIAWRWRDSWRYGCGGEGRRRRINSCQNGLQLEEDEDDEGCYVVAPAALPGSGAASAGQRRGSGCGCGGGGPEELGCKLLLNPRQEKREKEETGAAAAAASGQ >LPERR07G03130.5 pep chromosome:Lperr_V1.4:7:2822850:2825101:1 gene:LPERR07G03130 transcript:LPERR07G03130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHMKEQENIGMNSEHKLLTLLMANEMMMPRTHKQIPGEKSTKVQLVCRVSCCLIQGRRRGRKKRRVQQQQQLVANEMKLS >LPERR07G03130.6 pep chromosome:Lperr_V1.4:7:2819999:2822294:1 gene:LPERR07G03130 transcript:LPERR07G03130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGYTRAASDSDELLLLAPCSPASSAAEAETFFVLRKRPPLPLARRIAWRWRDSWRYGCGGEGRRRRINSCQNGLVREGFSPWTGQGLAQNVLGTPATAIINRNGRCPTLETVFWYTIDSPPWEDSQQLFSDVDSPRPTSVQVCHQ >LPERR07G03130.7 pep chromosome:Lperr_V1.4:7:2819999:2822294:1 gene:LPERR07G03130 transcript:LPERR07G03130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGYTRAASDSDELLLLAPCSPASSAAEAETFFVLRKRPPLPLARRIAWRWRDSWRYGCGGEGRRRRVREGFSPWTGQGLAQNVLGTPATAIINRNGRCPTLETVFWYTIDSPPWEDSQQLFSDVDSPRPTSVQVCHQ >LPERR07G03140.1 pep chromosome:Lperr_V1.4:7:2819836:2822920:-1 gene:LPERR07G03140 transcript:LPERR07G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAALSFQEHGHGLQVITGRGGNGGAAAHAMPWWAGAGSQPLLGAAGEESFCQLSNAIMNDSRVLQDHHHHNHNQILAAGRQMQHHFPAMPPERRHNNPHHPHPPPAAENSVMKFPIISGDSDLGKDLKFHDSSVPTIAAYSPLQEYQGHFELALAQSMVCTNFCNSDQSYGVYSPYGAQTMAGRMLLPPAIATDVGPVYVNAKQFNGILRRRLARAKADRDHRVSRSRKPYLHESRHRHAMRRARGSGGRFLNTKNVSASAADDAGEQGASNKSSSESEAARVYPDEDDMGGIGGGDFHHAMGHLRSPAFFPSLAAMMDGGGGAGGGEGKWAAAATAHHVLKV >LPERR07G03140.2 pep chromosome:Lperr_V1.4:7:2819836:2822658:-1 gene:LPERR07G03140 transcript:LPERR07G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWAGAGSQPLLGAAGEESFCQLSNAIMNDSRVLQDHHHHNHNQILAAGRQMQHHFPAMPPERRHNNPHHPHPPPAAENSVMKFPIISGDSDLGKDLKFHDSSVPTIAAYSPLQEYQGHFELALAQSMVCTNFCNSDQSYGVYSPYGAQTMAGRMLLPPAIATDVGPVYVNAKQFNGILRRRLARAKADRDHRVSRSRKPYLHESRHRHAMRRARGSGGRFLNTKNVSASAADDAGEQGASNKSSSESEAARVYPDEDDMGGIGGGDFHHAMGHLRSPAFFPSLAAMMDGGGGAGGGEGKWAAAATAHHVLKV >LPERR07G03150.1 pep chromosome:Lperr_V1.4:7:2844872:2846904:-1 gene:LPERR07G03150 transcript:LPERR07G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPLVLTILLFASLTGLIVLAPLSSSPLPPPAKSAPPVVGDFVSNKVAGDSSTVVAGVDDEEDLALFRRATLDSSGEPAMTSLPPKVAFLFLTNSDLTFAPLWEKFFAGNADKLTVYIHADPSSSNLHLPPTKSFCGRFVVAAKPTRRADATLIAAARRLLAAAIVDDRANSYFILLSQHCVPIHSFRHLHAVLFPQPNPSSSSPARKSRNPISYIEVLDGEPQLRARYEAIGGEAAMLPELPFPKFRVGSQFFELSRRHAALVGHPHAYGAAEISPELVAGLRRRWREVNATVDYMFARKFKPDCLAPLMAIADTELIFAEAVAILFVCTLRSSEFSTLLPCTCPDAWCNQSV >LPERR07G03160.1 pep chromosome:Lperr_V1.4:7:2848974:2850913:-1 gene:LPERR07G03160 transcript:LPERR07G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPCIKKDCSPLGGRLRQKMFIERVSHVLEQHNGLGVQKIAIQYILENEHADHIDKWLNFAIATRTIDLTLDFKSNFPRRAPYDFPFKLFCDTNSACLQSVKLTDVSLNPLVDFKAFLNLKRLKLEQTNVSNEDMQILISNCNTLEFLGIIGCGMLTRLSTSHPSNQLKHLQAESCLLLEEITLNFGLTTIEYMGPLILLAPPEPFLLANRATLPEKLVKFMYLKHLRLILRHPVQKKILDLLEFACLLEAAPLLEKFELHMWMPPTFINGITRKLMASHGWVYRRERPSGVGIAYSQKFYCPQGDEDRTEATRRPPFIREVAMEYLSKADDRGVVDVSQIRREEIETISVYELVDPNCVIRKDK >LPERR07G03170.1 pep chromosome:Lperr_V1.4:7:2857169:2860097:1 gene:LPERR07G03170 transcript:LPERR07G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFISTPLRRRRRRRIVWERQKPEPFMQVLIPDTVPGNTIMESSLDMHMMREAETKPFLMSVRKCKLQYKPQGEKRVI >LPERR07G03180.1 pep chromosome:Lperr_V1.4:7:2865408:2866893:1 gene:LPERR07G03180 transcript:LPERR07G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFNLEYGQKTGGLIWIYNDDERKALNEIVAGWLVSPEDYKDSKTHFTMDWFVGGEPSKGCIDMSCPGFQRTPGSDVVPGQAIDPVSSTSRGQQYITIRVSKDRGSNNWEIYYGFNGDAKIIGYYPKSLFYSLSYKPVTIMFGGFVYKYVRQRSPPMGSGIAPSRPAAASFRSMKLIDADGNKHPVDFDLTLGEQCFHATPIKYGMFFYGGPGNVC >LPERR07G03190.1 pep chromosome:Lperr_V1.4:7:2871984:2874346:1 gene:LPERR07G03190 transcript:LPERR07G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRAYLCRFTVWCVTLPLLPHKSRRCPRWPKGGTVSTSQQVAREPVLLTVMSTTNPQPYCNILEFSG >LPERR07G03200.1 pep chromosome:Lperr_V1.4:7:2880946:2881464:1 gene:LPERR07G03200 transcript:LPERR07G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGSPSPAGGGNIFGSSGIGGFGYGVGASVGVLLIVSTVALAIYFCSRTSMPVAAAASPPRSPRPRRDDDVIDVEAGGGIDEATLEAFPAVAWKKDGGGEACCPVCLENYGDGEVVRTLPDCGHVFHRECVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPSS >LPERR07G03210.1 pep chromosome:Lperr_V1.4:7:2882477:2884253:-1 gene:LPERR07G03210 transcript:LPERR07G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSRQLCLGRNRGLPFVRKFKHKEIDAATNGFSTIVETGPRGTAYRARFADGLVATVRRRAVGEGGAQEEKEAFYRELQLLGRLNHRHIVRLHGFSDGHSSRFLVFDHMENRTLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVSVNSSNVMMDPNFVAKLSDVSVVGYDSKRTAESNAAFFEDQIQQRRKDLVFQYGVLILELVTGQSPGGEGELVQWVQEAGFACTMYKMVDADLGNTYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKIANLGCESESICL >LPERR07G03220.1 pep chromosome:Lperr_V1.4:7:2899687:2903188:1 gene:LPERR07G03220 transcript:LPERR07G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSFVFLAALAACLLLPSASAVTDVEYCNKGKNYPVKVSGVEIVPDPVARGEPATFKISASTDKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGKFVVAHQQTLPSFTPPGSYTITMKMLGDKDEELSCISFGFSIGFASSEATI >LPERR07G03230.1 pep chromosome:Lperr_V1.4:7:2903919:2906154:1 gene:LPERR07G03230 transcript:LPERR07G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSLKARILRALKSAAAVDSPPPSPTKPPCRAAVVVVAADAFSDDASFFDAHETPTKNILDDWELVGGGDCDSPPAAAAESEEEELIGEFPERCPPGGEGGVVLYTTTLRGIRKTFEDCNGVRSLLENLGVAFQERDVSMDRGLRDELWSLLGEKAVPPRLFVRGRDVGAAAQVLSLHEDGRLLSLLLSSTKRRRGGGGGKCGACGGVGFVVCGECDGSRKVFDGGRGERCRGCNENGLVMCRLCSGLAPKGDLGTMKAWLRLRFAEPIHMVILAKSNGPG >LPERR07G03240.1 pep chromosome:Lperr_V1.4:7:2907780:2919168:1 gene:LPERR07G03240 transcript:LPERR07G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATATAPPSSSSSSSRAGRSTASSSSHSAVCLVPFRWWARVREEAPAGEEEEGGRGGGVRYAATAAASASSYYGLRLLHSFLHPDLVLRLERGGCRGGGGGGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRANKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENLLEVQVYAMSDSLTSKIGGTGKEYPEQSSVDVNDMNVDLSCGSFGRSISTGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDKDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKSKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNASVIVDVCHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRIMTVTVFSTDGSIEPIPYDVSVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNCIIRYLEEPSDSVSLLRDGDKLAAYRLPKKFEKSKLVVFTHQHFDEHSSVDDTTPQMKEFGAPLLAALPERVDGLALKSIYLKLLKPLRFSKGSLNDSRRSNTGCADLMDGTPDSDGRFQSASSENAPESSHSDIIECQAMEESSESYTEGTADSDREAHMGHFEFYLTNGRCDAQQTRIETNELDLLETTPNRLLINVHWEQDALRQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPIRDLDLSSYVIDKSELSNCHYRLYAISNHYGNMGGGHYTASIYHEEGNGWYKFDDECVRPITEDSIKTPAAYVLFYRRE >LPERR07G03250.1 pep chromosome:Lperr_V1.4:7:2923474:2931637:1 gene:LPERR07G03250 transcript:LPERR07G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQFTSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQARTVQDHQVQNRGFQAPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSN >LPERR07G03260.1 pep chromosome:Lperr_V1.4:7:2938967:2943197:1 gene:LPERR07G03260 transcript:LPERR07G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSWHGPTRSAVYSVVIRERRQMHIRWLRKTRLSERKVRRAAAADDASPHPRAAGEQEERGRPSRLESVAMKGHPGSGKSTVARAIATVLRCPLLDKDDVRDCTLPLERAEGLAVTMLNELSYAVLWRVAERQVQIGLSVVVDLPLSRRAHLDALTQLPAALVVVVECRPGNEDEWRRRLEERGAAVVEGGGDGWHKPKTWAELERLREGYQGCTDYEFGDVPRIVVDTTDPMVDTEAIAVRVVHILMLDGTFCSTAWGACYSARAGAVLRVVQTRSIAQSNRSSYDLNSAIAVIQDGGASLKVDTQHLRDINFRSNSTYQFIGELLIKPDNDAILQARIGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >LPERR07G03260.2 pep chromosome:Lperr_V1.4:7:2940186:2943197:1 gene:LPERR07G03260 transcript:LPERR07G03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKPSPPTAGPVIVAMKGHPGSGKSTVARAIATVLRCPLLDKDDVRDCTLPLERAEGLAVTMLNELSYAVLWRVAERQVQIGLSVVVDLPLSRRAHLDALTQLPAALVVVVECRPGNEDEWRRRLEERGAAVVEGGGDGWHKPKTWAELERLREGYQGCTDYEFGDVPRIVVDTTDPMVDTEAIAVRVVHILMLDGTFCSTAWGACYSARAGAVLRVVQTRSIAQSNRSSYDLNSAIAVIQDGGASLKVDTQHLRDINFRSNSTYQFIGELLIKPDNDAILQARIGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >LPERR07G03260.3 pep chromosome:Lperr_V1.4:7:2938967:2943197:1 gene:LPERR07G03260 transcript:LPERR07G03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSWHGPTRSAVYSVVIRERRQMHIRWLRKTRLSERKVRRAAAADDASPHPRAAGEQEERGRPSRLESDGTFCSTAWGACYSARAGAVLRVVQTRSIAQSNRSSYDLNSAIAVIQDGGASLKVDTQHLRDINFRSNSTYQFIGELLIKPDNDAILQARIGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >LPERR07G03260.4 pep chromosome:Lperr_V1.4:7:2939225:2943197:1 gene:LPERR07G03260 transcript:LPERR07G03260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSWHGPTRSAVYSVVIRERRQMHIRWLRKTRLSERKVRRAAAADDASPHPRAAGEQEERGRPSRLESGTILLSLPGVPVTLQELEPFSELFRQGASLRVTGVLQSYDLNSAIAVIQDGGASLKVDTQHLRDINFRSNSTYQFIGELLIKPDNDAILQARIGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >LPERR07G03260.5 pep chromosome:Lperr_V1.4:7:2939225:2939935:1 gene:LPERR07G03260 transcript:LPERR07G03260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSWHGPTRSAVYSVVIRERRQMHIRWLRKTRLSERKVRRAAAADDASPHPRAAGEQEERGRPSRLESGTILLSLQEERAC >LPERR07G03270.1 pep chromosome:Lperr_V1.4:7:2944778:2946971:-1 gene:LPERR07G03270 transcript:LPERR07G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRCLSSLPLLSPSSSGKISYQQHAVAARRRPRTHLSVATGGEPLVAAQEASQEPEYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAGIKYTLSKSGRPAIFARDPDENALEFTQV >LPERR07G03280.1 pep chromosome:Lperr_V1.4:7:2947912:2950091:-1 gene:LPERR07G03280 transcript:LPERR07G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKERELKDILSLLPLKEGARTSVLSNQWKNIWCSHENLVFQFCTMLSRRYHFERSWTSDGLRLNHNLFIERVNMVLKQHSGLGVQIVALPPNFKGFQNLKRIKLCHTDVGDEDMQTLVSNCNYLEFLYIFNCGMLTILRTYHPSNQLKNLRIENCEKLKIMEINFGLTEFRTSLPKKTIKSMYLKHLRLELAFCVPERKVDMLDFACLLEAAPFLETVFLHNLTAILDLYIDPRPIDPRPVVAVPPTMFTGPDVFFVLLDMDLSWNILSKKITTMLYEIRREDVERLAIFEIMEVPWFGLKLCLAISD >LPERR07G03290.1 pep chromosome:Lperr_V1.4:7:2958745:2960145:1 gene:LPERR07G03290 transcript:LPERR07G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLYGALLLLLLAAAAMAAPPDQPTLPTSTSTSTSDSVVVNNNNSSITTTGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGNGNVTIFAPRNDALERDLDPEFRRFLLEPRNLRSLQNLLLFHVLPTRLHSSTSPWPSSHPTLSGEHLPLAAMSITRPNAVVRPDGVIHGIEKLLIPQSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPKDIADGGGDGSSSGGSGSAAAPARKAPAVTAHSKSKLRRGQSQNSQIPFHNAG >LPERR07G03300.1 pep chromosome:Lperr_V1.4:7:2960883:2962581:1 gene:LPERR07G03300 transcript:LPERR07G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLWARVVSPCCFAGPACLCLWEVVGRGMPNDGLPRAEIAICELPIDGDNGLMVKYVYLPLPFALTHDAET >LPERR07G03320.1 pep chromosome:Lperr_V1.4:7:2976133:2981185:-1 gene:LPERR07G03320 transcript:LPERR07G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDREPYSGRRELQAVLIFLDVLVVIVFFAALVCAIIARAAKTRRNKHDCRQLAPRVAFLRDLRHLMPPPTSTAAATTDIRTVLAWQLEACVKEAETLVCAIIARAAKIRRNKHDCRQAPRVAFLRDLQHLMPPPTSTAAATTDIRTVLARQLEACVKEAESIIQICTTSCCLRRFLRSYHHAGKVDDASKNVEHVYGHILPVVSQVDTAQRLLHLLELQVVPPSYQQIVNHHWTIFILRISLLTRRRGSVCRNRK >LPERR07G03330.1 pep chromosome:Lperr_V1.4:7:2981873:2983631:-1 gene:LPERR07G03330 transcript:LPERR07G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRAPNSGSRGFPSSAGAGGAPAGTEYYDKLQTFLQIILAIAVFLAMVRAITRRVAKTRRNRQECRQLAPRVAFLRDLRHLMPPAAPPPAAVSMDIRAVLGRQLELCVEEAESIVRICTTRCWLRRFLRSNYHAGKVDFASKNMEHVYGHILPVISQVDTAQRMLHLLELQIIVQGGQEKSATTTASTPPSPDVSHQARKLIQLVVFFLQESINS >LPERR07G03330.2 pep chromosome:Lperr_V1.4:7:2982333:2983631:-1 gene:LPERR07G03330 transcript:LPERR07G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRAPNSGSRGFPSSAGAGGAPAGTEYYDKLQTFLQIILAIAVFLAMVRAITRRVAKTRRNRQECRQLAPRVAFLRDLRHLMPPAAPPPAAVSMDIRAVLGRQLELCVEEAESIVRICTTRCWLRRFLRSNYHAGKVDFASKNMEHVYGHILPVISQVDTAQRMLHLLELQIIVQGGQEKSATTTASTPPSPDVSHQAR >LPERR07G03340.1 pep chromosome:Lperr_V1.4:7:2986676:2988493:-1 gene:LPERR07G03340 transcript:LPERR07G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELEKQEEQLRAQIEEQKLAEGDEPVVEDDDEHDEDDDDEDDKDDEDVEGGDASGRSKQSRSEKKSRKAMLKLGMKAITGVSRVTILFLISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTATAQDDDETVDDTGIEPKDIDLVMTQASVSRSRAVKALKAANGDIVTAIMELTT >LPERR07G03350.1 pep chromosome:Lperr_V1.4:7:2989882:2994750:-1 gene:LPERR07G03350 transcript:LPERR07G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPAELARIQQQLLSNPNLVKLASESMKNMRADDFRRAAQQLNQTRPDEMLDMAEKLANANPDEVAAMKVQADQQMSYVISGAKMLKQQGNELHKREQYSEAAAKYKLAKDNLKNIPSQAAYSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDASNVKAYYRRGQAYKELGKLEEAVGDLSKAHELSPDDETIAAVLKDAEEKLAVEGKGTKHPKGVLIEEVTDEDASKPSSSQRSSSTGYTVSQPPEEGNSRHSGPSSIDANGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFQAASSLQGTSSSPQNLGPNMPEMSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSTAPLRPENKVQPSSRASTSSASQRSVDNSQPSSSQNVTEIPDEILSNRMMGESSSPGAASTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSNISEQFGMKMSKEDAAKAQEALSSLSPEALDRMMRWMDRAQQGVEAAKKTKNWLLGRKGLVLAIVMLILAFILHQLGFIGG >LPERR07G03360.1 pep chromosome:Lperr_V1.4:7:3001841:3004376:1 gene:LPERR07G03360 transcript:LPERR07G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGSSISGSSRSRKRKAEENQEERKAEEEPEIRSRKNKDEEKKPERKAEKEPVSRSRKHKGEEKLEDGKGEEQRRSRSRKRRREGGEKLRAEEQRRAFLLPGTSMLVVGSREDIIAHIANEGPLEDINFRIVDDLPLKEVASFWEMAIEKGNDRWRIQNPELLIAKESAYNFLETRVRSLIRWRNGVDAEIRSLGELVTVLEVEMAENEANPPPIPADYHPRRLHVPRLLINPERGTDHDCRSRSAILQQRLKKNELVGQPPYALYWTPDVAWRRELKARQAFRRMNRSFLKVKMDSLSELRDSLTVGIRSVMSMFSVQDHLGSAPLDYSALFRDVAPVTGYVDDTPLDDNEMILFQEEGVRGNGEPVVDDFFMSGSD >LPERR07G03370.1 pep chromosome:Lperr_V1.4:7:3005105:3010848:-1 gene:LPERR07G03370 transcript:LPERR07G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDDASVRRLPRDPTLPAELLVGCLHYSSSQSYTSTTSTIKQEIELNDIERFNALLTQGHCYTIHRVRFQPNLEEAFEFRSISHHFECILRKDTTVQHYTVPIQFPPYPKHLMSFQDVYRRPNRTFVDIAGVVVHREILEHIGKVHYREFTLMDTRCNLLIVGVWGNHLNGHALDWSLAIANNAIVLGTMLKNNKEHGNVESSDNSSFYINPIHPQTLPLRNLRECVVKGAMDLTFVRRYIENRYAYLETDYTHSDYPITLPLRRPYSGDPEILNQRGVWRVFCQSPRWIGQTNHSCFSSRCLRLFHYQNRGAEGAEPASTSSKMMHPTRPSTLLGSKLTELPGGFMGKIHVYKSGGDDGQTNG >LPERR07G03380.1 pep chromosome:Lperr_V1.4:7:3015557:3018035:1 gene:LPERR07G03380 transcript:LPERR07G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHILELIISRLPIVDAIRTGILSRQWKDLWCDNRTMLIFNRITVRKHRSKIFPVGYRLLSMRKFLSRVNSVLQQHSGVGVERMEINCTLRNAQCHIDRWVSFAIASKTKELILDLSELKRSALLTDTINNWNVNREGFCNFPCELLDATNGSHLRTLKLTSVYLKPTADFNGFLNITRLNLLDVNITDEDVQHLLNKPNVLEFMEISFCRMLTIIHAPRSLNRLKHLQVDNCPRLQKIEINCDLTTLDFSGPMASLVFARISSLNNKAIFPQRLPKFLHLRHIRLETIVLGHDRKTDILDYAYLLEIAPFMEKLEIHMWMDSHRRPYREEDGELRSFPLYHHSHLKWVHITGFFGHKDQVELALHILGSSTMLKKMVIDSKVAIVPVDGSYLPPLKGALYVDGRVVATEFVCKADHRNVVQVVGASNEDDSGVDANCI >LPERR07G03390.1 pep chromosome:Lperr_V1.4:7:3023005:3029879:-1 gene:LPERR07G03390 transcript:LPERR07G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLARQALRPAVERRPPSDPWQPRSAALDPGCPGLATALLFFAAFVTGRCLGAGTVPPVIVAPLFGGGGGGGGEEKGEAGGTAARGAGHERRERYIESHHITSAIKRGCKDECIIKPVEEYLVFPRKPEAKSKPFHFIERVNAILKQRSGLRVQTMAVCDLENEHLQALLLCGVSLKLPANFKGFQNHKKLKLGYSDNFNALFSWLKLAGLNNFFKVWLSSQPNRQHKPRTTLPEKTVKFMYMKHLRLELTFCVPERKADILDFACLLEAPPCLETLGLHLVCHILRNSVMLNAIKIDPRPVVAVPPMTFIWIYEVAMEYVGKEDHRNVVDIYEIRCEDVEKLIARWIKPETVLSYF >LPERR07G03400.1 pep chromosome:Lperr_V1.4:7:3033027:3046164:1 gene:LPERR07G03400 transcript:LPERR07G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSEESLDCAAGKRRRRCLSDLPMDVLGSIVSRLPIIDAVRTGTLSSQWIDIWRDHTTLTFNRTTMWRRRSRISHVDFSQKNFVKRVDSILRRHNGVGVERMEIKFPLRSNAQCAIDRWVSFAIASKTKEFCLDLSDPGPNPPYVLYYRRKIEGYCDFPCQLFDADNACSQLQCLQLSSVFLKPTAEFTGFLNLKRLNLIDVDIRDEGLQHLLCKPSILEFLEISNCKMLTKIHAPHILNRLKHLQVNNCHTLSNIEIDCDLTTLDFSGTMASFIIATSSSLTNVHVQLTTLSNGLAYIVTRFVRSFPTVQMLDLQFVGFPKAVSPQKLPKFMYLRHLRLETIISPRDTWSDILASAYLLETAPLMEKLEIHMCLDSTYTAYSKVDGELRSLPLHHHKHLKWVQITGFFGLKVQVELALNILRSSTMLRKMEIWWSREESIFVDDRRVAMEYVRKADHRYIVEFRGNQKVARSNNREGREPLLDPRSRAALEVGRRMEEQDILTRIMSFLPLKEAARTSILSNQWKNIWCSNQNLVFQFTNMLSFDLHKRSWTEDDGLRLNQNLFIERVNAVLKQRSGLGVQTMAVLAELESNHADHIDSWLDFAIASKTKQLILDLIPEWPKEGAPYDFPVKLFNATNSSQLQAFILCGVSLKLPANFKGFQNLKKLKVGYTDVSDEDMHTLVSNCRSLEFLYIYNCDMLTRLWTTHPSNQLKHLRVENCTMLQEIELNFGLTELCYEGRLIPLAPPSPLLMTNVWMKLSDIYDVLGYMFTKLPSTLPHLEMLTINCSEHQRTTLPEKTIKFMYLKHLRLELTFCVPERKVDMLDFACLLEAAPFLETLELHMWMPLDEPYSKNHGELRSLPARPHSNLRLVYITGFYGVKDQLELVRHILRNAVTLNAMKIDPRPVVAVPLKMFTRLDEKFLCFVGYGSAVEYLSKEDHRNVVDIYEIRREDVERLTIFKIMEVPWVRSETVLSYF >LPERR07G03410.1 pep chromosome:Lperr_V1.4:7:3036663:3039703:-1 gene:LPERR07G03410 transcript:LPERR07G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSAAADRHGFFNSVSLAVLWQRPGGGARAEPSPPAAAAKAAGPNHTASTAPERVTIADSDLSSSPSSNPTSAPNPKQPKPRVKRVQSAGLLAESVLKRDSERLKDLYTVGKKLGQGQFGTTYQCVEKATGKVFACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIVGVVEACHSLGVMHRDLKPENFLFISHKEDSPLKTIDFGLSIFFKPGESYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPSISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLQRVGANLKDSEITALMEAADIDNSGSIDYKEFIAATMHLNKVEREDNLFAAFSYFDKDNSGYITQDELQKACEEFGIQDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGHGQLSFGLREALKLG >LPERR07G03420.1 pep chromosome:Lperr_V1.4:7:3056791:3057288:-1 gene:LPERR07G03420 transcript:LPERR07G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGTPRIKKKENRNHSNFLQLFFVSSLSLLYRYPFSLPFRFDCVKKGRKQRGRVRTGDQHGDEMPSASNHFFLLFSLLRGQIREDAAEPGPPPPPQRWLPAVAAAAAEPCTPSMALSVCIMEEERRSAERENRQGRWWVPQV >LPERR07G03430.1 pep chromosome:Lperr_V1.4:7:3059299:3059796:-1 gene:LPERR07G03430 transcript:LPERR07G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKGESFAERISVERVEAVGDTVGYKFRLFNNGLRLNFAATNEIDNFFQIKSAGLHDGKCPRRQETEKQTI >LPERR07G03440.1 pep chromosome:Lperr_V1.4:7:3063659:3064546:-1 gene:LPERR07G03440 transcript:LPERR07G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVKVKEVRSLADVDAAIAEVWRPMFVCRPRPGSGKLAFMIANDSSFRDVEDIKRRMGSQASGGHTLLNAEIVDRKSWAKSLVEDALWYVRKEWDEEAARLIGDTQALIDIVDAQISSGSDVFDLWNEPLSCDRTIHERKRPSPVSSSTSLDQLEIPSWTSIVRQTGMAPHGDDMEKKALDSFRGFNRRLLETKMRVQKRMRDDLQREVQELSDGFLTERTDLGSGKAPQPYRFVFKKPVV >LPERR07G03450.1 pep chromosome:Lperr_V1.4:7:3072338:3072823:1 gene:LPERR07G03450 transcript:LPERR07G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSSSPSSKAKRPVVGSSIHGRRPQPLIVSLAAEASRPSKKPRVVVDGAGKTAGPVIVYELTPKVFHARPEEFRDVVQKLTGKQPTAAMSPASTVRLTEKVVAGCGGESKTAGDPNQPANPPLILSPSQAAACLPSPSSLFLSPTTMQVLQELGVLF >LPERR07G03460.1 pep chromosome:Lperr_V1.4:7:3075947:3077648:-1 gene:LPERR07G03460 transcript:LPERR07G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWPPLLYTPPASALMSAASVAQVAAMAKAGIGELRGEHMAYSKFWQVVVAGGQKRDGGGGVLLSSRQGMLVAYVPALVAAATSFAVPGAVEGTRAQVLAAALAVHFLKRVLEVLFIHQYSGSMPLNTASTISSSYLLATIAMIYAQHLTAGLPDPPIDLFYPGVAVFAVGIAGNFYHHYLLSQLRTTTNASGEKEYKIPTGGLFGLVTCPHYLFEIVGFFGFAMIAQTPHALAVASGTAAYLAGRSCATRRWYESKFEDFPESIKALVPYVL >LPERR07G03460.2 pep chromosome:Lperr_V1.4:7:3075945:3077648:-1 gene:LPERR07G03460 transcript:LPERR07G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWPPLLYTPPASALMSAASVAQVAAMAKAGIGELRGEHMAYSKFWQVVVAGGQKRDGGGGVLLSSRQGMLVAYVPALVAAATSFAVPGAVEGTRAQVLAAALAVHFLKRVLEVLFIHQYSGSMPLNTASTISSSYLLATIAMIYAQHLTAGLPDPPIDLFYPGVAVFAVGIAGNFYHHYLLSQLRTTTNASGEKEYKIPTGGLFGLVTCPHYLFEIVGFFGFAMIAQTPHALAVASGTAAYLAGRSCATRRWYESKFEDFPESIKALVPYVL >LPERR07G03470.1 pep chromosome:Lperr_V1.4:7:3077786:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKDKEMFYSQVYVWLVNYGSLSAYTCRQIRRAASTPTSAHTQTTNVTTCTKAPETVRAPVPAARGAHRNT >LPERR07G03470.2 pep chromosome:Lperr_V1.4:7:3077991:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKVDSALPLVPRWIEFVQICLLYSGCPSQSSGQRNVLQPGLRLACQLWFSVCLYLSTNPSRCIDADVCPHPDHERDNIDIYVSVNNEAPVMTSSISRICRLSLRRCL >LPERR07G03470.3 pep chromosome:Lperr_V1.4:7:3077786:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKVYVWLVNYGSLSAYTCRQIRRAASTPTSAHTQTTNVTTCTKAPETVRAPVPAARGAHRNT >LPERR07G03470.4 pep chromosome:Lperr_V1.4:7:3078308:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKDKEMFYSQVYVWLVNYGSLSAYTCRQIRRAASTPTSAHTQTTNVTTCTKVQQTYDGPGSREKISRF >LPERR07G03470.5 pep chromosome:Lperr_V1.4:7:3078308:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKVDSALPLVPRWIEFVQIWSDTLIPLSFYFIVDVLHNLQDKEMFYSQVYVWLVNYGSLSAYTCRQIRRAASTPTSAHTQTTNVTTCTKVQQTYDGPGSREKISRF >LPERR07G03470.6 pep chromosome:Lperr_V1.4:7:3078308:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKVYVWLVNYGSLSAYTCRQIRRAASTPTSAHTQTTNVTTCTKVQQTYDGPGSREKISRF >LPERR07G03470.7 pep chromosome:Lperr_V1.4:7:3077786:3080442:-1 gene:LPERR07G03470 transcript:LPERR07G03470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATISSTSPWPSSSWCRSVRRRVPEAEEERELGRRVEETESAVERLRAEKESAEAEEAELRAELDAERAAAETAASEAMLMIERLQREKAAALIEARHFRRLADGRAARDKELQDELASVSALANSYLSLLHAHGIDPDGDGDNQPVRPSVEHLVDVEVDSSGSDSDFKDAVVVVQPPSPPDSEKVFEHAAAAAEVEEEGNCAVDVSGKLCARVEALEAYWMAMRREMAALRAERAQAVMAREVARRLCREAVVAGGGVVTAEKPRFSVNAICKAPETVRAPVPAARGAHRNT >LPERR07G03480.1 pep chromosome:Lperr_V1.4:7:3085911:3091368:1 gene:LPERR07G03480 transcript:LPERR07G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAYPLEFDSRFADADEEEEIKAPVEKVETKEDEVDEEEEDDEDDDGDEWSDSDPISNRTQKLASHIRASPLEEWEGRMNVGMSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGFFNNINGCISTGKEANVYHASKADGQELAIKIYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVKAAGIRCPKPLQLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVATMSVLKLFNFVINQDISDEDVDCYLEKIQQKMLENGDVVANDDDITPTVLVQTLDYVKQCEADIVNMSMMQRPSLAHEPTADKLYNQPLLGFVWTKNEPTKNQQVQSEEPLDLENKCSLEHNSESCTSSDEDDSWHETTKVGPEERKAARKENKKKVKEEKREARKDKIPKAMKKKRKKMAKAKCKR >LPERR07G03490.1 pep chromosome:Lperr_V1.4:7:3093825:3094751:-1 gene:LPERR07G03490 transcript:LPERR07G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAEPYVVENIRGAMQLMSDGTVRRAADAPAADAEHDGAVEWRDVTWHPGHDLNARIYRPRHLGAANDARVPVVAGFHAWCLRLAGELPAVVLSFDYRLAPEHRLPSPHEDGAAAMAWARNEAARDPWLADAADFSRFFVAGDSAGGNIAHHVGVSFGRGIGPDLRLRGLVLLMPAMAGETRTRAELDGDRGGAFLTAEMSDRYARLLLPEGATRDHPLLNIAGPEATGMEAAAMAPAIVVAAGRDILRDRNAQYARRMKEEWGKEVEFVEFAGEEHCFFVVDPWSERADELVRLVRKFVVEHMDS >LPERR07G03500.1 pep chromosome:Lperr_V1.4:7:3098325:3099341:-1 gene:LPERR07G03500 transcript:LPERR07G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLDTNAPPPEQQQKPYVVEDCRGALQVLSDGTVIRAAAPPPFAASFLGDLDDGRVEWKDAVYDTTHGLVVRMYKPTAPASDESKLPVVVFFHGGGFCIGSCTWPNFHAGCLRLAASLPAAVLSFDYRLSPEHRLPAAHHDAAAALIWLRTQLLSNPWLSNSADHRRVFVSGESAGGNFAHHLAVQFGSHGLDPIQIAGYILLMPAFTSTKPTSSELAAADTAFLTREICDRYCRLALPAGADRDHPLLNPFGPASRSLEAAEVGRMLVVAADGDLLRDSNVEYAERMKAMGKDVELVVFAGEEHAFFGVKPMSPATGELVEIIRRFIAGAGEDE >LPERR07G03510.1 pep chromosome:Lperr_V1.4:7:3099371:3118842:-1 gene:LPERR07G03510 transcript:LPERR07G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEEEKRVVVDDCRGVLLVYSDGAVERKPSPGFTTPVRDDGSVEWKDAVFDPTHGLSLRLYRPRHALAAGGEKKRLPVFFYYHGGGFCIGSRTWPNCHNYCLRLSSDLDALVVAPDYRLAPEFPLPAAFLDAQSSLLWLASSAAAGGDPWIDDVADFTRVFVSGDSAGGTIAHHLAVRFSGGEVGPIRVAGYVQLMPFYGGVERTPSEAACPDDAFLNLALNDRYWRLSLPVGATADHPYANPFAPENGDEIAAAEFAPTMVVVGGRDLLRDRAVDYAERMKAMGKPVEVVEFEGQQHGFFTIDPWSDAAGELMVAVKRFLLRSLQPNLVDTTMPTMPAVDVADDAGGGAATAASPCANDVVEDLAGFLRVLSDGTILRSPVGPTFCPSTFPSDHPSVEWKEEIYDKAKNLHVRMYKPSSSSPSSASGGGGGGGEGKKMPVVVYFHGGGFCLGSCTWANVHAFCLRLAADAGAVVLSAGYRLAPEHRLPAAVDDAAAFLNWLADGEQFPTAAWLSDAADFRRVFVAGDSAGGTIAHHLAVRAASNPLADDAIAVCGYVLLMPFFGGIRRTASEEECPAEVFLNLDLFDRFWRLSLPVGATRDHPMANPFGPESPELDGVELRPLLVVAGGLDMLRDRAVDYAGRLSTMCKPVELAEFAGEHHGFFTLGPGSDATGELIAVVSRFIHATGVAAAAAPAADCFAPPELIKLKRTPPMSSSSSSSSPPPHVVEDCLGIVQLLSDGTVRCSGDYSSMPILSDAAVPSDLTGAVQWKDVVYDAGHGLRLRIYTPTTITGAGAGVEEKEKKKLPILVYFHGGGFCIGSYLIGSYEMDNFHACCLRLAADLPAVVLSADYRLAPEHRLPAAHLDAASVLSWLVAGGDPWLDASADFDKVFVCGDSCGGNIAHHLAVAIGSGEIVLSPTANLAGCVMLWPYFGGEARMPSEDTGETDTSSPVKMGIDLFDQMWRLALPEGASRDHPAANPFGPESARLDGVELPPVLVVDPELDVMRDRVADYAARLRDMGKRVELVRFDGQGHGFFVMDPMGEASGELVRVVRRFPQPNRCCATLA >LPERR07G03520.1 pep chromosome:Lperr_V1.4:7:3123416:3129128:-1 gene:LPERR07G03520 transcript:LPERR07G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATAAAGGTTVALCDSGVDHRIGGKDSTELVVHEERDRVPKEFVDELVAILGENLTVDYEERVYHGTPQNSFHKSVNVPDVVVFPRSQDDVKKIVMICNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSSMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKAWSHYWRNVCYSLFWFTSCEAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKIPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIKAINMANGKNLPEIPTLMFEFIGTEAYALEQTLLVQKIATEHRGSDFVFVKEPDAKQELWKIRKEALWAGFAMKPDHEAMTTVCLLITCQHID >LPERR07G03530.1 pep chromosome:Lperr_V1.4:7:3134140:3136666:-1 gene:LPERR07G03530 transcript:LPERR07G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLLLLLCYLVSRVELLDEVEIKAINMANEAYALEQTLLVQKIAAEHHGSDFHFVKELNAKEELWKTVLTKLRFLRIDTFALLIPVHVAFLPLLVHPRRGPAAGPALHPTTSDDPVATQWDGDDSGAVRSGAQQLQPAGGRQRPSLQHDLAKGRSTQLQLSFFYLLVASGGFDKPDEEESVAAAVDPSPVVLLLGTRSLVENKEESWCHCEIQTTGEVVKEAARGRQGQQR >LPERR07G03540.1 pep chromosome:Lperr_V1.4:7:3151402:3152484:1 gene:LPERR07G03540 transcript:LPERR07G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQAGGSSQSQFPAYTDEQMEAVRRIKMLGDNGDYYLILGLTNECSEVDVIRAYRRLALKVHPDKNKAPGAEDAFKSLLEAYECLKDPGRRSQYDRFGRNVTPPRPQTTQSAAPTTTGHQNFIRRRRAAEFLFHILVWFILLMLLLSCIIALIKCNKWMLVLASNAKQTKSTTTNYSSLIRNSVYVLLVILPAFLRFHRQRTPPPPPPPQSGPSDPDPGQATAPPPPPPPPPSPPPPPPSRTQGAVPVGVHTHHTFRFSFADLLSALKKLLFLVPNLFRFLKWCILILFSIILFLKENLLLLLAIILVALIIVFILLVALVLFIINKPGILFAFLFLLFLLFALLRFLLNRFVKWIEKL >LPERR07G03550.1 pep chromosome:Lperr_V1.4:7:3156793:3158727:-1 gene:LPERR07G03550 transcript:LPERR07G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEKHDFCGAGFADAAIINIPQIASINLITNTERPKEFNNRAASEDGGGGASTRAGWPAGSGARRRRDSCVGFEGA >LPERR07G03550.2 pep chromosome:Lperr_V1.4:7:3158731:3161252:-1 gene:LPERR07G03550 transcript:LPERR07G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNPGRHPLLRLPPPRCPLLPPSAGRRRRCIVSSSSRPSPLPLTACGGSSGSRAEARTLTTGEPGRPNPMAPAPFVVDPAARAAATTGGSALLVLQQRRRRQRAVGGRGGRIRQPRTLPRHRPRGCPGEEAPMAHKVIS >LPERR07G03550.3 pep chromosome:Lperr_V1.4:7:3156793:3158727:-1 gene:LPERR07G03550 transcript:LPERR07G03550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEKHDFCGAGFADAAIINIPQIARPKEFNNRAASEDGGGGASTRAGWPAGSGARRRRDSCVGFEGA >LPERR07G03550.4 pep chromosome:Lperr_V1.4:7:3157419:3158727:-1 gene:LPERR07G03550 transcript:LPERR07G03550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEKHDFCGAGFADAAIINIPQIARLKDRRNLITARRARTAAAGRLLELAGLLDLVQEDGVTAASASRAWQRQHP >LPERR07G03560.1 pep chromosome:Lperr_V1.4:7:3166900:3178247:1 gene:LPERR07G03560 transcript:LPERR07G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSSSACLRRLNPLLFSAHRRPAWTPRRAARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDDKLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDARYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALHAVENGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDFIVARSAEEAHAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSSEDLKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEISSLFHGLISKLLFQGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDAAAWDAMLANKFDTEASLQKLPLPETWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRRQAIIVTPWPATGLPKNSLSIKRFQNLQSLIRGIRNARAEYSVEPAKRISASVVATTDVLEYISKENQVLALLSKLDVQSVHYSESPPGDANQSVHIVADEGLEAYLPLADMVDVSEEIKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >LPERR07G03570.1 pep chromosome:Lperr_V1.4:7:3180913:3192105:-1 gene:LPERR07G03570 transcript:LPERR07G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPPPPPPAEQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQIHNKFTMRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQEISGQAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKLDHTPLFDLIGVYSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHRFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGVNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTNQIGKDIYFDLVDHDKVLSFRIQKQMLFTQFKELLHIVCCAFTKIKNTEEVAKEFGIPTQFQRFWLWAKRQNHTFRPNRPLTPQEETHTVGQLKEVANKAHNAELKLFLEVELGLDLKPLPLPEKTREDILLFFKLYDPEKEQLRYIGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYEEIKFEPNVMCEYIDNRLLFRACQLEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNVNQNRHSFERPVKIYN >LPERR07G03580.1 pep chromosome:Lperr_V1.4:7:3207340:3229484:-1 gene:LPERR07G03580 transcript:LPERR07G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAPPTMTPKAVIHQKYDAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISSFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSSPNVQFVSEMSNNGVASLGDSNMECSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEVCQDGELHQPYEQVSCLELDGSTREGGGGSKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYEEGELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHDVVRRAGMSVSMNVGTALVDMYAKCGCVDVAREVFDGMKRRDVFSWNAMICGLAAHGLGRDAVELFERFISEGLCPTNVTFVGVLNGCSRSGLVTEGRRYFKLMVEEYHIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.2 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYEEGELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHDVVRRAGMSVSMNVGTALVDMYAKCGCVDVAREVFDGMKRRDVFSWNAMICGLAAHGLGRDAVELFERFISEGLCPTNVTFVGVLNGCSRSGLVTEGRRYFKLMVEEYHIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.3 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYETHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.4 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYEEGELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHDVVRRAGMSVSMNVGTALVDMYAKCGCVDVAREVFDGMKRRDVFSWNAMICGLAAHGLGRDAVELFERFISEGLCPTNVTFVGVLNGCSRSGLVTEGRRYFKLMVEEYHIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.5 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYEEGELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHDVVRRAGMSVSMNVGTALVDMYAKCGCVDVAREVFDGMKRRDVFSWNAMICGLAAHGLGRDAVELFERFISEGLCPTNVTFVGVLNGCSRSGLVTEGRRYFKLMVEEYHIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.6 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKISLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYEEGELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHDVVRRAGMSVSMNVGTALVDMYAKCGCVDVAREVFDGMKRRDVFSWNAMICGLAAHGLGRDAVELFERFISEGLCPTNVTFVGVLNGCSRSGLVTEGRRYFKLMVEEYHIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.7 pep chromosome:Lperr_V1.4:7:3207340:3224266:-1 gene:LPERR07G03580 transcript:LPERR07G03580.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIPKRQKIEEERERQRRLSKEKTRKDPNTVYPYDTWEQYFKTVEDRERKAKEEEMEARARDAQMDAVRALVAELPSRLPVGKKGKGIANDNQGPNCKSAAWSLMEAQGKRGMPAPPTMTPKAVIHQKYGAKACYSVEEAREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQATANAPSTPKEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSAPNVQFVSEMSNNGVASLGDSNMECVINRRASYISGQTIYGDAILANVGYTGRDSELHTEDVTLSTYYRILLGKLPDGNYKMSRDSILVAELPSGYSRSSWKGLSPRYLLCSFCRLQRLAEPYFNVSTASASCKVLGSAVSSEGMEVLKNAENQYGGDGSNGKENPDMFKCEVKIYSKMQKLLLEYSTANIWSKESDAIHNSSLKVLIWFHSYFKQLNMHGQKFSLSKSTDGFRIYADNFLDEFAMFLSIYGNTGGDESSACSTAGSLSMDISEQKLENNAILTHIEGPDSGIYPSHGSLTCISYTASLVVKDKAKRYLLESNNEFEFEIGTRAVRNQLESCVSQLSVNQSACFIAELPPRDLILAAASEFSHDLSNISRDNCLLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEEVCQDGELHQPYETHGLVDLGVSVGNKLIELDPTHDGYYVLLSSIYAKANKWDEVRKVRKLMSSRGTSKSAAWSLMEAQGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVGAIKVHSERLAIAYGFIVLKSGSPIRIVKNLRVCGDCHEFIKMVTMVFKMEIISLHQKLSKKSLMHISIPTAVLYDGQ >LPERR07G03580.8 pep chromosome:Lperr_V1.4:7:3224412:3229484:-1 gene:LPERR07G03580 transcript:LPERR07G03580.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAPPTMTPKAVIHQKYDAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCKLEIPGLAVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISSFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPLSAIAACDVKVNTLCKLVDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWVRNQKPYSPEAVDVALQRWTSIMNPIEVDGIFVPCVMEDEPKTMTLTLSHNEHYMGDIVSNLSASDSSLAVVSRTVGKASSEIRLYFSSPNVQFVSEMSNNGVASLGDSNMECSLHQKLSKKSLMHTTVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEEQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENTGPCKFRNHDHKFEWTRAQFQHWATGLAEKHNYSVEFSGVGGSGEEPGFASQIAVFRRMAPSQEVCQDGGKNV >LPERR07G03590.1 pep chromosome:Lperr_V1.4:7:3221743:3222718:1 gene:LPERR07G03590 transcript:LPERR07G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRDTTFDRKKRYKEKDWRVTHAQWIQAWNTIQTLDPHDGAYWRAGPHKEYLRWYHGSTRTKLKPAWTTQPIEDLPSDDDDDDIVDEYDLMTRHGTQPERAPLQDYMAQ >LPERR07G03600.1 pep chromosome:Lperr_V1.4:7:3231739:3247060:-1 gene:LPERR07G03600 transcript:LPERR07G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLSAGRDCFGVAGESECDAVGREGIVQVSLRRGGKTGALGIIALDLLVFVSTQMDSFELPRGNSSRDGDIEMGMHQADPSDNLKGFLKKVDAIEGLIAKLTNLLHKLQAANEESKAVTKARDMKAIKQKMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQVFIDMSVLVDAQGDMLNNIETHVSNATNHIQQGVGALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKACRSSGYSASPPSSSTSSALKYLPSNRFCRPGLEVAVACGWIVSGFGDGDGVWEGLYAEESVQRKESSRGGDLGIALHALIASDMRSLNNQKGQTCGVSDQACHSKSKSDKDGKPSCAKANGVSSLNGSHNDEKILKDNSGACNLNSDHANPLPVDGAKVSIARSELIDSSEHDGCLHDKNESCMVCDDLLQESDGEQPGGTLEDLFSFNDEEDDDSDWEPSARLALNRWFCLNCTVPNMEELTHCQNCDELKGSLVVGYDAFKAHLPLAALLSADTALPSSTAVGFDERMLLHREIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLSVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWVMLVTSLYLNDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSSGGVGDNDYIFAFQQVVLPIATEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMTSMLTSCSKGKLLVILEGGYNLRSISSSATEVVKVLLGNNPIYTGATEPSEEGIQTVLQVLSIQQQFWPVLIPSFASVLALQRSVFSKYTSEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKIKDTGKEKFNDETEP >LPERR07G03610.1 pep chromosome:Lperr_V1.4:7:3242137:3243475:1 gene:LPERR07G03610 transcript:LPERR07G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWAPATAAAAAVSPSWNASPAAASVRRRRRSVAVRCAPGSDGEGEGAVKGKLKVGSPIVIVEAPVMLKTAASVPSLRHNGGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKALDVDDEGGDAE >LPERR07G03620.1 pep chromosome:Lperr_V1.4:7:3249733:3251622:1 gene:LPERR07G03620 transcript:LPERR07G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSQKMYRNQELKARKASQAFPVPIPRATCRASATLHARRAPLVPSLLISSLLSPSSSSRSSHLACSRCPQIPASRSSRAAPHTGALRRLAACTTIPATEGATGSPPSEKVFQMESIGVKPKEEEKKSKEEIHLKIKSKDKSSGDEDEKEEIEIEVKAKIVDKEEVKLDPTDGVKSVGKSKDSKKDKEKKKSEKHDEEDEDDEKASKKKDKKEKDKKKSEDKEKKQDEHEEIDDENVEGKKKDKEMKEKKKDKSEKKEEDKKKNKHGDEEEEGNKKDKEMKEKKKDKSDKKEEGKKKYEEDDGEDEEGKKKEKKKDKAAKEKMNDPAKLKTKLEKIDAKMQDLQAKKEEILRQLKEQLKEELEGGKCKNTIEEKPAQMLEEREQKKTDEEKPAQAVEESRERKNNEKEENPVAAA >LPERR07G03630.1 pep chromosome:Lperr_V1.4:7:3249883:3250204:-1 gene:LPERR07G03630 transcript:LPERR07G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGEVVLTFSDGGDPVAPSVAGIVCGVRLGKIGRPGFEGSGSRRGGCFEKRKKGKEGRRSGETGRVERDVRAAWPTPDKWHAEWERGTPGWLSGP >LPERR07G03640.1 pep chromosome:Lperr_V1.4:7:3252246:3258892:-1 gene:LPERR07G03640 transcript:LPERR07G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQPVVVFAVNGERFELRRDGDDDPGETLLEWVRGYDAEADEVTHATVSACLTLARGLHHRAVTTTEGLGSSRRGLHAVHERFAGFHASQCGYCTPGMCMSLAGALVSAEGKGKGGSPRPGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDDDDASVSKLPPYKEGSIAAFPEFLKDEIRSSLGVDRSASSASSGSVSSWYEPRSVEEYYKLIGSLSHDKSRTKVVVGNTSTGVYRDTELYDRYIDLRAIPELNSVSKDDKGVNIGAATSISQVIEILRGEGNSYKDEVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVMLEKFLDMPPCDCKTLLLSIYIPHCTPSGISSSSESVNKAGDKPASSLLFETYRAAPRPLGNAVSYLNSACLAHLISDETSGNCMLQKICLAFGAYGTQHAIRATNVENILVGRPITASLLLEACRALKKTIVPVEGTRHAAYRSSLAVAFLFSFLYPITKETFKPVHAVHLKGHTVSKNNGNLNCGPNTRVDVSLNGINNVKSDLHSNDRILESSKQVVEITEDYLPVGIPAKKVGAELQASGQAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELGPSTEQLKSVAIVTAKDIPEGGNNIGANTIFGPEPLFGDPVTQCAGEPLGIVVAETQKIANIAASRALVNYSMENLDAPILSIEEAVKRSSYFDIETIPFLLPQKIGDFSKGMAEADQKIHSAEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSTQCPEVAQETIAKCLGLPCHNIRVITRRVGGGFGGKAVRSLPVATACALSAYKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSNGNITALHIELLINAGMTTDVSLVIPHNFIEALKKYNWGAFSYDAKVCKTNIATRSAMRGPGEVQGSYVAEAIIEHVASVLSADANLVRQRNLHTVESLMLYHSECMEDALAYTLPSICDQLITSANYQHHLEMINSFNKSNRWNKRGLSVVPIVHKFFCKATPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAYSLGQLWADKSQDLLERVRIIQADTLSVVQGGWTTGSTTSECSCEAVRCASSILVNRLKPLKEQLQEKQGTVSWDELILQAKMVGVDLSARELYVPGASSIYLNYGAAASEVEIDLLTGAVTILRSDLIYDCGRSLNPAVDLGQVEGAFIQGIGFFMNEEYLTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKAREAIRAAREEYDCSRSGSSAPFFDLEVPAIMPTVKELCGLDNVEKYLESLCSVVR >LPERR07G03640.2 pep chromosome:Lperr_V1.4:7:3252246:3258892:-1 gene:LPERR07G03640 transcript:LPERR07G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQPVVVFAVNGERFELRRDGDDDPGETLLEFLRSRTRFTGPKLGCGEGNPIPNQTNQTPARLIANAGGCGACVVLVSEYDAEADEVTHATVSACLTLARGLHHRAVTTTEGLGSSRRGLHAVHERFAGFHASQCGYCTPGMCMSLAGALVSAEGKGKGGSPRPGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDDDDASVSKLPPYKEGSIAAFPEFLKDEIRSSLGVDRSASSASSGSVSSWYEPRSVEEYYKLIGSLSHDKSRTKVVVGNTSTGVYRDTELYDRYIDLRAIPELNSVSKDDKGVNIGAATSISQVIEILRGEGNSYKDEVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVMLEKFLDMPPCDCKTLLLSIYIPHCTPSGISSSSESVNKAGDKPASSLLFETYRAAPRPLGNAVSYLNSACLAHLISDETSGNCMLQKICLAFGAYGTQHAIRATNVENILVGRPITASLLLEACRALKKTIVPVEGTRHAAYRSSLAVAFLFSFLYPITKETFKPVHAVHLKGHTVSKNNGNLNCGPNTRVDVSLNGINNVKSDLHSNDRILESSKQVVEITEDYLPVGIPAKKVGAELQASGQAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELGPSTEQLKSVAIVTAKDIPEGGNNIGANTIFGPEPLFGDPVTQCAGEPLGIVVAETQKIANIAASRALVNYSMENLDAPILSIEEAVKRSSYFDIETIPFLLPQKIGDFSKGMAEADQKIHSAEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSTQCPEVAQETIAKCLGLPCHNIRVITRRVGGGFGGKAVRSLPVATACALSAYKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSNGNITALHIELLINAGMTTDVSLVIPHNFIEALKKYNWGAFSYDAKVCKTNIATRSAMRGPGEVQGSYVAEAIIEHVASVLSADANLVRQRNLHTVESLMLYHSECMEDALAYTLPSICDQLITSANYQHHLEMINSFNKSNRWNKRGLSVVPIVHKFFCKATPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAYSLGQLWADKSQDLLERVRIIQADTLSVVQGGWTTGSTTSECSCEAVRCASSILVNRLKPLKEQLQEKQGTVSWDELILQAKMVGVDLSARELYVPGASSIYLNYGAAASEVEIDLLTGAVTILRSDLIYDCGRSLNPAVDLGQVEGAFIQGIGFFMNEEYLTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKAREAIRAAREEYDCSRSGSSAPFFDLEVPAIMPTVKELCGLDNVEKYLESLCSVVR >LPERR07G03640.3 pep chromosome:Lperr_V1.4:7:3252246:3258892:-1 gene:LPERR07G03640 transcript:LPERR07G03640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQPVVVFAVNGERFELRRDGDDDPGETLLEFLRSRTRFTGPKLGCGEGNPIPNQTNQTPARLIANAGGCGACVVLVSEYDAEADEVTHATVSACLTLARGLHHRAVTTTEGLGSSRRGLHAVHERFAGFHASQCGYCTPGMCMSLAGALVSAEGKGKGGSPRPGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDDDDASVSKLPPYKEGSIAAFPEFLKDEIRSSLGVDRSASSASSGSVSSWYEPRSVEEYYKLIGSLSHDKSRTKVVVGNTSTGVYRDTELYDRYIDLRAIPELNSVSKDDKGVNIGAATSISQVIEILRGEGNSYKDEVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVMLEKFLDMPPCDCKTLLLSIYIPHCTPSGISSSSESVNKAGDKPASSLLFETYRAAPRPLGNAVSYLNSACLAHLISDETSGNCMLQKICLAFGAYGTQHAIRATNVENILVGRPITASLLLEACRALKKTIVPVEGTRHAAYRSSLAVAFLFSFLYPITKETFKPVHAVHLKGHTVSKNNGNLNCGPNTRVDVSLNGINNVKSDLHSNDRILESSKQVVEITEDYLPVGIPAKKVGAELQASETQKIANIAASRALVNYSMENLDAPILSIEEAVKRSSYFDIETIPFLLPQKIGDFSKGMAEADQKIHSAEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSTQCPEVAQETIAKCLGLPCHNIRVITRRVGGGFGGKAVRSLPVATACALSAYKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSNGNITALHIELLINAGMTTDVSLVIPHNFIEALKKYNWGAFSYDAKVCKTNIATRSAMRGPGEVQGSYVAEAIIEHVASVLSADANLVRQRNLHTVESLMLYHSECMEDALAYTLPSICDQLITSANYQHHLEMINSFNKSNRWNKRGLSVVPIVHKFFCKATPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAYSLGQLWADKSQDLLERVRIIQADTLSVVQGGWTTGSTTSECSCEAVRCASSILVNRLKPLKEQLQEKQGTVSWDELILQAKMVGVDLSARELYVPGASSIYLNYGAAASEVEIDLLTGAVTILRSDLIYDCGRSLNPAVDLGQVEGAFIQGIGFFMNEEYLTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKAREAIRAAREEYDCSRSGSSAPFFDLEVPAIMPTVKELCGLDNVEKYLESLCSVVR >LPERR07G03650.1 pep chromosome:Lperr_V1.4:7:3262374:3269574:1 gene:LPERR07G03650 transcript:LPERR07G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLKPAAAARPEGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGLKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVASVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVKNRSVVVSRRDVPGKQGKEFGVSMDPSILVDHIKGRLEEIQASLLQKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >LPERR07G03660.1 pep chromosome:Lperr_V1.4:7:3267393:3270527:-1 gene:LPERR07G03660 transcript:LPERR07G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPTPATATVRKLVVEVVEARNLLPKDGTGSSSPYARVDFDGQRRKTRTVPRDLNPAWNEPLEFTFNYLFPGGGDVIAGGEPLEVTVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIFFPLEKKGFFNWVRGEIGLRVYYVDEPLSPSPEPTPEPPAAGDPAPAEADAPPPEKAEEVMKPGGDDGVTEKPPETDAGEANPATGEAPVMTSEAVAANSDEPAPEKSPEEEEKITPPPPPPPMPTPMPRQMPVPAQPPPELPVERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVVAGGRHASTREARRGAFFEWDETFAFARDPANADSSPGPTLEVSVWDLPPDADVSDADDRSFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAAADLMVATWFGTQADEAFPDAWKADSPTPATATSSSSSRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGVAVRATLGSQSLRTRTSPASSRNGGGGPPAWNEDLLFVAAEPHGDGEDHVIITLEIRHGKDSFPVGSASVSLAAVERRVDDRKVASRWIDLLPTDESTKKFGGGAKRMMMHGGRLHVRLCLDGGYNVADEQPYASSDFRPSARQLWRPPIGVVELGIVGCKELLPMRTADGKGCTDAFAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTLGVFDDPPPDHGGGEKVDTWMLSRPMGKVRIRLSTLEIGRVYRGVYPLIMMLPTGAKRMGDVELAVRFAATSASSLDVLATYARPTLPPMHHLRPIPAASRDALRVAAARVTATHLARSEPPLRREVATWMLDAEPRGGGAASFSMRKLRANWKRATTALAWVGDAARWVEDTRTWRNPTATALAHAVLVLLAWHPDLVVPTLALHAAAVAVWKYRRRPRSPAPHPCVRASMAAETPDREELDEEFDAVPSSRPHEVVRARYDRARMVGARMQAMVGDVATQAERLQALVSWRDPRATGVFVALCVFVAVVLYVVPMKVVAVVAGFYYLRHPMFRDRMPAPVINFFRRLPSMSERMI >LPERR07G03670.1 pep chromosome:Lperr_V1.4:7:3273279:3283728:-1 gene:LPERR07G03670 transcript:LPERR07G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDIVWRWFVLHRGGAYSLPGSPPPPLPEGIYVGERERGERWHRTACVLDQSSSCWAQSQERRSGITMCRRCLGIDFRESVCPSHSTWLVMERSRTSMGGHERRAASEARRRTAGGRCYGRSGSAGDDNRDHSIRQRLMEASISPPGSSKQAGLRRPPGKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLSLEDSKGRTPVDLLSGPVSQANGDSPDSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGDLYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVYVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVAENGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKVFKCVSAAKCHTVALGTDGEVFTWGHRLVTPRRVVISRCLKKGGNTNLKFHRMERLQVIAVAAGAMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNIVSISAGKYWTALATSGGDVFMWDAKKHKDEIPMFTRVHGVKRATSVCVGETHMLVLSIIYHPGYPPKPKIQGKKAMLEWNGGMEELDEDIMFNNVQPDSGISGSDGAIRKGAPSLKSLCEKVAIEHIMEPKNAIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPEILANLERLLDEKSSDPWSHRRLPTVTATYPAVIDSDGEGDEAGGFIRLRDSQKSASKSCGISSYENFLEKDSNSGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAGLESELEELGVPTDLYRRTPVCIAEGKINRKSNISKKQKRKNKQAAQSDTPLVKCEDREQNSVKDPQEGLPVLTSTGKEASIADAIKPSIHVNTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGVKITTGSASLRDIQSEQRKTNEPTSAKAKDRFEDSPDSVGRVRLSSFIPDSRSTPIAVTPARAVPASEGDKSTLSWSSSVTSPNVSRPSLRDIQMQQEKRQTVISHSPKTRTSGFAIPSQVASPEVGGMKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSSVKIVKPQVQ >LPERR07G03670.2 pep chromosome:Lperr_V1.4:7:3273281:3283710:-1 gene:LPERR07G03670 transcript:LPERR07G03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISPPGSSKQAGLRRPPGKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLSLEDSKGRTPVDLLSGPVSQANGDSPDSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGDLYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVYVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVAENGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKVFKCVSAAKCHTVALGTDGEVFTWGHRLVTPRRVVISRCLKKGGNTNLKFHRMERLQVIAVAAGAMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNIVSISAGKYWTALATSGGDVFMWDAKKHKDEIPMFTRVHGVKRATSVCVGETHMLVLSIIYHPGYPPKPKIQGKKAMLEWNGGMEELDEDIMFNNVQPDSGISGSDGAIRKGAPSLKSLCEKVAIEHIMEPKNAIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPEILANLERLLDEKSSDPWSHRRLPTVTATYPAVIDSDGEGDEAGGFIRLRDSQKSASKSCGISSYENFLEKDSNSGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAGLESELEELGVPTDLYRRTPVCIAEGKINRKSNISKKQKRKNKQAAQSDTPLVKCEDREQNSVKDPQEGLPVLTSTGKEASIADAIKPSIHVNTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGVKITTGSASLRDIQSEQRKTNEPTSAKAKDRFEDSPDSVGRVRLSSFIPDSRSTPIAVTPARAVPASEGDKSTLSWSSSVTSPNVSRPSLRDIQMQQEKRQTVISHSPKTRTSGFAIPSQVASPEVGGMKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSSVKIVKPQVQ >LPERR07G03680.1 pep chromosome:Lperr_V1.4:7:3288863:3290068:1 gene:LPERR07G03680 transcript:LPERR07G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDNDSDGHDHPPEFIIHAIDDLAPPPPPAVNARAPPGILPPPPPPLPPPYRRPPPPPSDEVTFLRTFFFVFKVYTRMFVTIDQVYYSGCDDKCVHDEEQGFAY >LPERR07G03700.1 pep chromosome:Lperr_V1.4:7:3299226:3303098:-1 gene:LPERR07G03700 transcript:LPERR07G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVRTSRRVADFDGLQMAAAAGSASSLRAVAAMADVRRRRNAAAQYADLENPEIMEARYSALLGNLLGGALPAAFAAYVLVMHSTDWVKAVVFISLALAFYMASTGAVAGSLGTSQESLRYSRVALMVTYTIIWFLLTFGVCTLFEAVWVRGLCGTVGFFYDSSLPGFVAP >LPERR07G03710.1 pep chromosome:Lperr_V1.4:7:3303129:3307294:1 gene:LPERR07G03710 transcript:LPERR07G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSAAEGVWSTEQKMFPMAPPPIGVEIGNDWRRAEEDAGDEAVGLFAHLGEIRDMNSVQFFASVVWEDQVKSGGGTEAEKRNMEQLKNEGLAVSGLVPTNLLFVDTIVLPDEDNSTFYKQVWCMHTILTSSSMDFMINVPKNLEAHQRITFFSNLLNAKFMQLQWEVEIYHVKLPGPLKLGEGELESQNHAIIFTKGHAVQTIDLNQDNYFEEALKMRNLLEFNQDYGIRKPKILGVRKHVFTSSVSSLACFMSAQETVVYQLHITGEYFCGPTNGTSMYQIKIQPFIDHANLKQMVGLCFGTSSNEAHMYRHVHAIIVGASVASNVLLLEFTKIPFVDTFTCLLAFLPTGWGIISIALVFKPYLGTSYFLNHYWKKDHMEFEV >LPERR07G03720.1 pep chromosome:Lperr_V1.4:7:3319291:3320786:1 gene:LPERR07G03720 transcript:LPERR07G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVAVVALLPAMASAMDYTVGDGNGWTLKYPSNWADGKSFQIGDKLGIHTRTKGNHTVTEVDGPTFHACNRQGNTLNTYNSGNDTVALDKAGRRWFFCNVEDHCEKGMKLLVNVVDPNAPAPASPPPPPPSSSAGRLINYMAGGAVSQAMAAAAAGALAVTVLMLF >LPERR07G03730.1 pep chromosome:Lperr_V1.4:7:3327210:3332287:-1 gene:LPERR07G03730 transcript:LPERR07G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAMLQSAAESAIRSIGLGYDIAHDIRLKYCKQRSSPDPLLIELNHGEVQDIVLPGGLTVAGVPRSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNAMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPSALARFIRKFGTHVVVGVKMGGKDAIYLKQQHSSTLQAVDVQKRLKEMSDRRFIDANGQSDFSFKDSYGKDKVDTREHRLRFVDSSPLNSYSSKEDLVMIPKRRGGRDKDILSHSDWLNTVQAEPDVISMSFVPITSLLNGVPGCGFLNHAINLYLRCHRSHSYHDKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTASLPVNLIGPKLYVCTSMVDVGKKPVTGLRLFLEGKKSNKLAIHLQHLCSLPQILKLEDDPYNDQIPETYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRAADVNINSAVYPGGPPVPVQTHKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDEFVFDEEF >LPERR07G03740.1 pep chromosome:Lperr_V1.4:7:3332324:3333087:-1 gene:LPERR07G03740 transcript:LPERR07G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMSSSRRSRSRRKEEVSNSALLRLPPPFPKLAHFLSSPPAAGVDQASERAANRILSPASRAPPSATLRSPPTGEIGLPSAANRLESGWFVPGIAAGRRSVLPGCMTALRLWSLIRLLKIFWSGCGD >LPERR07G03750.1 pep chromosome:Lperr_V1.4:7:3335223:3337974:1 gene:LPERR07G03750 transcript:LPERR07G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGDREERRTLGLLLLRGEEFLFGANMMLRNDQKFVVLRVDSYRCGRLRLFAIPTMEDSLVPSLLHYCLILRLRVVVSLRYHLEEINPYQWSTLVSV >LPERR07G03760.1 pep chromosome:Lperr_V1.4:7:3343766:3353599:1 gene:LPERR07G03760 transcript:LPERR07G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLLTMFLLVSVAMAMVPGSLQLQASQTWSLLKIQQLLNYPAVLSHWHNYTDFCYGADYKTTSAFVECYGDSVTQLHIIGDGSSSSPSAKKPLPKSFSIDSFFTTLTRLPDLRVLTLAGVGLWGPLPGGKLSRLTSLEIVNISGNYLYGELPVAMSRLGNLQTFIADGNLFSGEFPDWLGKLPELAVLSLRNNSMMGTLPESVAEIPSLRSLVLAGNNFSGNLPDLSGARNLQVIDLANNSFGPEFPRLGRKVASVVLAGNRFSDGLPPELAGMYLLERLDVSGNRFVGPFMPALLSLPSIEYLSVAGNRFTGFLSGNISCGDNLRFVDISSNLLTGTLPNCLSAVKTTNSDSDSSSSKTVLYAANCLAGVGVETQQHPAPFCKNQAIAVGIVPDQAARGRRGGSGARSGLVAGVVAAAIGAAVLAGVVVFVGVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNLMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQNFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTVIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNVVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKIDIFDFGVILLEVVSGRPITSIYEVEIMKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESVRTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESDQ >LPERR07G03760.2 pep chromosome:Lperr_V1.4:7:3343766:3353599:1 gene:LPERR07G03760 transcript:LPERR07G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLLTMFLLVSVAMAMVPGSLQLQASQTWSLLKIQQLLNYPAVLSHWHNYTDFCYGADYKTTSAFVECYGDSVTQLHIIGDGSSSSPSAKKPLPKSFSIDSFFTTLTRLPDLRVLTLAGVGLWGPLPGGKLSRLTSLEIVNISGNYLYGELPVAMSRLGNLQTFIADGNLFSGEFPDWLGKLPELAVLSLRNNSMMGTLPESVAEIPSLRSLVLAGNNFSGNLPDLSGARNLQVIDLANNSFGPEFPRLGRKVASVVLAGNRFSDGLPPELAGMYLLERLDVSGNRFVGPFMPALLSLPSIEYLSVAGNRFTGFLSGNISCGDNLRFVDISSNLLTGTLPNCLSAVKTTNSDSDSSSSKTVLYAANCLAGVGVETQQHPAPFCKNQAIAVGIVPDQAARGRRGGSGARSGLVAGVVAAAIGAAVLAGVVVFVGVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNLMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQNFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTVIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNVVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKIDIFDFGVILLEVVSGRPITSIYEVEIMKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESVRTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESDQ >LPERR07G03760.3 pep chromosome:Lperr_V1.4:7:3343955:3353599:1 gene:LPERR07G03760 transcript:LPERR07G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLLTMFLLVSVAMAMVPGSLQLQASQTWSLLKIQQLLNYPAVLSHWHNYTDFCYGADYKTTSAFVECYGDSVTQLHIIGDGSSSSPSAKKPLPKSFSIDSFFTTLTRLPDLRVLTLAGVGLWGPLPGGKLSRLTSLEIVNISGNYLYGELPVAMSRLGNLQTFIADGNLFSGEFPDWLGKLPELAVLSLRNNSMMGTLPESVAEIPSLRSLVLAGNNFSGNLPDLSGARNLQVIDLANNSFGPEFPRLGRKVASVVLAGNRFSDGLPPELAGMYLLERLDVSGNRFVGPFMPALLSLPSIEYLSVAGNRFTGFLSGNISCGDNLRFVDISSNLLTGTLPNCLSAVKTTNSDSDSSSSKTVLYAANCLAGVGVETQQHPAPFCKNQAIAVGIVPDQAARGRRGGSGARSGLVAGVVAAAIGAAVLAGVVVFVGVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNLMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQNFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTVIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNVVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKIDIFDFGVILLEVVSGRPITSIYEVEIMKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESVRTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESDQ >LPERR07G03760.4 pep chromosome:Lperr_V1.4:7:3344825:3349142:1 gene:LPERR07G03760 transcript:LPERR07G03760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLLTMFLLVSVAMAMVPGSLQLQASQTWSLLKIQQLLNYPAVLSHWHNYTDFCYGADYKTTSAFVECYGDSVTQLHIIGDGSSSSPSAKKPLPKSFSIDSFFTTLTRLPDLRVLTLAGVGLWGPLPGGKLSRLTSLEIVNISGNYLYGELPVAMSRLGNLQTFIADGNLFSGEFPDWLGKLPELAVLSLRNNSMMGTLPESVAEIPSLRSLVLAGNNFSGNLPDLSGARNLQVIDLANNSFGPEFPRLGRKVASVVLAGNRFSDGLPPELAGMYLLERLDVSGNRFVGPFMPALLSLPSIEYLSVAGNRFTGFLSGNISCGDNLRFVDISSNLLTGTLPNCLSAVKTTNSDSDSSSSKTVLYAANCLAGVGVETQQHPAPFCKNQAIAVGIVPDQAARGRRGGSGARSGLVAGVVAAAIGAAVLAGVVVFVGVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNLMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQNFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTVIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNVVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKIDIFDFGVILLEVVSGRPITSIYEVEIMKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESVRTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESDQ >LPERR07G03770.1 pep chromosome:Lperr_V1.4:7:3350246:3353389:-1 gene:LPERR07G03770 transcript:LPERR07G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >LPERR07G03780.1 pep chromosome:Lperr_V1.4:7:3363189:3370586:-1 gene:LPERR07G03780 transcript:LPERR07G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAQHMDCHLVFPLLEFLQQRRLYPNEEILEAKLRLLRGTNMVDYAMDIQKELHGTDDQVLADMVERRAEVVSRLKALDEAIAPVVSLLQNQEGFQQVRDPLAYPPDHGVVSLSFEFQMLIDLDLNILIVSVYLPSRLGDDMCRIAPDQIEILYQYSKFQFDCGNYSEAAVYLDQYRALCTNSEKSLSALWGKLAAEILMQNWDVALEELHRLKETIDSKNFASPLNQIQDRIWLMHWSIFILFNHQNGKNTIIDLFFQDRYLNAMQTMAPHLLRYLAAAFILNKRRDLLNDLLKVIQQEQNSYNDPIIEFLHCLYVRYDFDGARQKLIECEQVILNDPFLGKLNEERNFITVPFRDRFIENGRLIIFGSYCRIHQRIHIGSSSQDDLVDEITCRMPCSLDRFRIMCLCRSWRAFLVAELPPPPQQLPLLLRPSAGGPTFSCLLSGDEETSALHSVRVPEFLRAARFIGSWEGGWVFMAEHRSWGHVLYNLRSGRRVWIPEVVLSPRQAGGGIGFEPVILLAATISGSPEADPCFGAAIVHRWDKAYERREICLWRLGMDRATPPILPVPAFEKLFELQDVIFYHGSFHFLAGSWNLLQCLPLIRADGEVEAPLSLPRHGASGRYLVESRGRLLMVVRSKTSTDFKVYQMSVSEAGVNTWDVLTELEGRMLFIGIGCSRCYEAASFPGFHEGVFFLDDACSLRLFKIRDSSMVFRSLENGRFCSGSVGRCFPPPQAASTYSPPIWVLP >LPERR07G03790.1 pep chromosome:Lperr_V1.4:7:3401768:3415548:1 gene:LPERR07G03790 transcript:LPERR07G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSSGDTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDELVDFQLPGDISEVTSQDTVPFGYGYIQFLDVSLAAETCEELFTANAPRINLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLSCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKTFQSGMVPTGPVEVMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIANGDEQVKADAIRIGQYKDGEFPKDSRELSKRLFYTVYMGTENSSEDTRSRAKRLAEEVGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSDTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLQYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHSWCGKLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELAQEMDKDGKWEKCTEGELRKRKGIRSAQGGGMGVVAAGSADPSAGL >LPERR07G03790.2 pep chromosome:Lperr_V1.4:7:3401768:3412617:1 gene:LPERR07G03790 transcript:LPERR07G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSSGDTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDELVDFQLPGDISEVTSQDTVPFGYGYIQFLDVSLAAETCEELFTANAPRINLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLSCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKTFQSGMVPTGPVEVMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIANGDEQVKADAIRIGQYKDGEFPKDSRELSKRLFYTVYMGTENSSEDTRSRAKRLAEEVGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSDTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLQYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHSWCGKLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELAQEMDKDGKWEKCTEGELRKRKGIRSAQGGGMGVVAAGSADPSAGL >LPERR07G03790.3 pep chromosome:Lperr_V1.4:7:3412231:3416152:1 gene:LPERR07G03790 transcript:LPERR07G03790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSSRHSVLYKTTERTVVILGGLSTLFVGCLMMIYAKTWVDILFLLSMLLVFAITPVYAAMALHDAHRMERG >LPERR07G03790.4 pep chromosome:Lperr_V1.4:7:3411736:3416152:1 gene:LPERR07G03790 transcript:LPERR07G03790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQQQLRTVVILGGLSTLFVGCLMMIYAKTWVDILFLLSMLLVFAITPVYAAMALHDAHRMERG >LPERR07G03800.1 pep chromosome:Lperr_V1.4:7:3411668:3415625:-1 gene:LPERR07G03800 transcript:LPERR07G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPAPAAAGGAGATTSQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTVDYSRPHSIQVPDSDIGYHFGTLLDSHEGVDVILNVGGETFHAHKLVLAARSTVFRSKFFDDILDGEKNEAGESDDLQEIVIDDMEPKVFKAMLHFIYRDTLVDENELDETSSVSSIFDTLAAKLLAAADKYDLARLRLLCESFLCKGISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWAQLSDGGDTSGRRLIVLSMGPVAAVPASPYSIRYKMVIFGTGFVAALFY >LPERR07G03810.1 pep chromosome:Lperr_V1.4:7:3421676:3422450:-1 gene:LPERR07G03810 transcript:LPERR07G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAVAGHRLTVVAIDARYTKPLRGEPQCLNNINFESPAIDILDAYYYDIGRGVYEEDFPNKPAIFVDLTAGDNAGLGLTRRGTKVKVLEYGTVVEVVFQDIFDENHPMHLHSFAFYVVGRGFGNFDERKDSANYNLVDPPYQNTVSVPKGGWAAIRFRADNTGELSGFYIVITLCLHI >LPERR07G03820.1 pep chromosome:Lperr_V1.4:7:3424798:3435554:1 gene:LPERR07G03820 transcript:LPERR07G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHRLLLLLPPPHLAVASPHHAAARRTPRRHVAREGELRGLGASVVGEEAPSSAVVSEEASTSGGPTKFSIKIPVGDRHILVETGHIGRQASASVMVTDGETIVYSSVCLADTPNDPSDFFPMSVHYQERLSAAGRTRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGVAMALSEVPNKQTIAGVRIGMVNDQFVVNPTTEQMEESELDLVMAGTDSAILMIEGYCDFLSEEKLLQAVQTGQVAIQEICKAIDGLVQKCGKKKMVDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGASENLADVIEEEDEDEVIEDGEVDEGEVHIKPVSRKPTRQLFSEVDVKLVFKEVSSKYLRRRIVEGGKRSDGRGPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERSLEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGTPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVVGITLPIMEQALLQARDGRKHILIVSSDFAFHCLSQDEMSKCSPPPAKELSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDSIDTGDDGTVKITARDLESLEKSKTIIANLTMVPKVGEIYRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQASDSTREKTPQKDNLVKMTTRRPRRKKQAEPSTVENATANTPANNATTSPKDLASQGSEMGKE >LPERR07G03820.2 pep chromosome:Lperr_V1.4:7:3424798:3435554:1 gene:LPERR07G03820 transcript:LPERR07G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHRLLLLLPPPHLAVASPHHAAARRTPRRHVAREGELRGLGASVVGEEAPSSAVVSEEASTSGGPTKFSIKIPVGDRHILVETGHIGRQASASVMVTDGETIVYSSVCLADTPNDPSDFFPMSVHYQERLSAAGRTRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGVAMALSEVPNKQTIAGVRIGMVNDQFVVNPTTEQMEESELDLVMAGTDSAILMIEGYCDFLSEEKLLQAVQTGQVAIQEICKAIDGLVQKCGKKKMVDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGASENLADVIEEEDEDEVIEDGEVDEGEVHIKPVSRKPTRQLFSEVDVKLVFKEVSSKYLRRRIVEGGKRSDGRGPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERSLEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGTPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVVGITLPIMEQALLQARDGRKHILNEMSKCSPPPAKELSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDSIDTGDDGTVKITARDLESLEKSKTIIANLTMVPKVGEIYRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQASDSTREKTPQKDNLVKMTTRRPRRKKQAEPSTVENATANTPANNATTSPKDLASQGSEMGKE >LPERR07G03830.1 pep chromosome:Lperr_V1.4:7:3438042:3439782:1 gene:LPERR07G03830 transcript:LPERR07G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSGGELKLLGTWASPFVQRVRLALSLKGLDYEFVEEDTKNKSELLLASNPVHKKVPVLLHDGKPVCESQVIVQYLDDAFPGSGDGELLPSDPYARAVARFWGSYIDSNFFAPWNKSFYTTSEEEKATEMGKAQAALETIEGAFTEMEKGKGWFSGEDRPGYVDVLLGGFVGSMRAYGVVVGVDVLDAARTPRLVAWAECIVALDATKGKVIPEVERIVENARNYMKK >LPERR07G03840.1 pep chromosome:Lperr_V1.4:7:3442253:3446135:1 gene:LPERR07G03840 transcript:LPERR07G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVTAAAMAMLLLAAAVEGLGINWGTQANHQLPPKTVVQMLKDNGINKVKLFDTDFAAMSALAGSGIEVMVAIPNKDLGTMASDYSNAKDWVKKNVKRYDFDGGVTIKYVAVGNEPFLKSYNGSFINITLPALQNIQNALNDAGIGDRIKATVPLNADVYEGTVPSAGKFRDEIAGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDEHFPFNFAFFDGGATPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIVVGEVGWPTDGDKNAKTSLAERFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDAKSIAPGNFERHWGVLRYDGQPKFAMDLTGQGRNTMLVPAKGVEYLPKTWCVINTNAKDMSKLGDSINYACSFADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPVETDPSTSTCNFTIQIKSSAVAATAPVAAGVVVAAVAQLLLLW >LPERR07G03850.1 pep chromosome:Lperr_V1.4:7:3449535:3457708:-1 gene:LPERR07G03850 transcript:LPERR07G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMQAGDGGGGAAMCAGGCGFFGSAATDGLCSKCYKKKQQPQHQPQPQQRLVGAATPAAADTQASDNDDAIAAPCAGGCGFFGSAATNGLCSSCYKLGQPPQQQQEQQPHLVTAAVAGVGDSVVEKVVADLTALAITETTTASAMVAPEPVTATKTKSRCEACRKKVGLLGFACRCGGTFCGAHRHCDAHACAFDYKAAGRDAIARENPLRKKKSERKYSGEKSLVPSRPRLAYSPPAAMAQESWKNESEETVQTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFIKVTTMAVPVVDKKVFTAASSSKTPLEPAKPDEVPASAVEDKKAAEEEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHNCTFDYKKAGRDQIARQNPVVIADKINKI >LPERR07G03860.1 pep chromosome:Lperr_V1.4:7:3458127:3459975:1 gene:LPERR07G03860 transcript:LPERR07G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLSTTSSSSAAAADSGGVLPDCFVFPADRRPPASSTAASLPVIDLSGPRDAVRRAVLDAGKDLGFFQVVNHGVAEETTREMSAACEEFFRMPAEDKAAFYSESEENPNRLFSSTTYESGDRRYWRDCLRLAAAFPVADHTKFHWPDKPHRLREVAEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPNAFVVNFGHLLEIATNGVLKSIEHRAMTNAAVARTSVATFLMPPMDCLVGPAEELVGDGNLARYRTVTFREFMRIYKTVGARRESVEKAFRI >LPERR07G03870.1 pep chromosome:Lperr_V1.4:7:3459961:3460892:-1 gene:LPERR07G03870 transcript:LPERR07G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGDAAHILVACAGLAVTTYPSLAATTAAEFDAAFAVNTRGAFLCLREAANRIPHGGGGRIVAVSSTLAATLLPGYAASKAAVEAMAKELKRTRVTANCVAPGPVATELFFAGKSEEMIERFKAGNPMGRLGEVGDIAPVVGFLCTDAAEWVNGQVIRVNGGIA >LPERR07G03880.1 pep chromosome:Lperr_V1.4:7:3462169:3465088:1 gene:LPERR07G03880 transcript:LPERR07G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPSQFVWPADEAPAADAGAVEEIAIPVVDLAGFMASGGGIGRDVAEACERHGFFQVVNHGVGEELLAAAYRCCDEFYARPLAEKERARRRAGENHGYASSFTGRFDCKLPWKETLSFNCPAAVPGNNGGAGAVVDYFVGVLGEDYRHMGEVYQEYCNVMTRLALDITEVLAMALGLARGELRAFFADADPVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVLVDGATSWRAVRPRRDAFVVNIGDTFAALTNGRHASCLHRAVVNGVAARRSLTFFLNPRLDRVVAPPPALVGGEEGRPRAFPDFTWREFLEFTQRHYRSDTNTMDAFVAWIKQRDSSGGSNESL >LPERR07G03890.1 pep chromosome:Lperr_V1.4:7:3467127:3468044:-1 gene:LPERR07G03890 transcript:LPERR07G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKQSPPVMTTAPFYYYSAPAFYYYSPPAMVNFEAPAPAPISLPLTVKVRSVWAHNLEEELNLIDSLLPSFPLAAVDTEFPGTIHRPLAPVYMLTNNDKYALLKANVDELPLIQLGLTLFDADGRLPGYVWEFNFREFDLRRHRHAPESIDFLRSKGVDFARTRRDGVDAAKFGPRLRRLIRAGLGREGLVTFSGAYDLAYLLKMVYSDRDNGLPPNVEVFEFIVKSMIGYSLYDVKEMAKHCPCDLRGGLEVVAGKLGLRRDVGEAHQAGSDSLLTCQMFMRMRERYFVDDGQLKAIAGVPVC >LPERR07G03900.1 pep chromosome:Lperr_V1.4:7:3470486:3471097:1 gene:LPERR07G03900 transcript:LPERR07G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEMQAGDGGRGPAMCAAGCGFFGGAATDGLCSKCYKKKQHPKHQSPAAAEMQTSGNDDAIAATCAGGCGFFGSAATNGLCSSCYKLGQSPQQQRHLVTAAVAGVGDSVVDNVVADLAALAVAEAAVVVAPEATATKTKSRCEACRKKVGLLGFACRCGGTFCGAHRHGDAHACAFDYKAAGRDAIARENPLVVAPKLERI >LPERR07G03910.1 pep chromosome:Lperr_V1.4:7:3471492:3475164:-1 gene:LPERR07G03910 transcript:LPERR07G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATLPEGYAAYTASKAAVEAMVRTVAKELKGTRVTANCVAPGATATDMFFAGRSEESVERFKVMNPMGRIGEVGDIAPVVGFLCTDAAEWVNGQVIGANGGQVVVLGYASSAAEADALAAELPRAVAVKADVSDEASVRALFDAAESAFGPGAGGPHILVANAGVLDATYPNLSNTPTAEFDRTIAVNLRGAFLCLREAANRIPRGGNGGGGGRIVAITSSVVASLPTGYAAYTASKAAVEAMVRTMAKELKGTRVTANCVAPGPVATDMFYAGKDEAWVRRTVEANPSGRLGEPGDIAAMVGFLCTDAAEWVNGQVIRVNGGYVS >LPERR07G03920.1 pep chromosome:Lperr_V1.4:7:3476006:3479383:-1 gene:LPERR07G03920 transcript:LPERR07G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKALRELGRIRRAAAAAGIGRRDFSGDAAAAAAVADRKGGGGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEKVPEEDYMLPLSQAEVIRNGSDITLIGWGAQLAVLEQACEDAAKDGISCELIDLRTLIPWDRETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYLPTKNKVLDAIKATVNY >LPERR07G03930.1 pep chromosome:Lperr_V1.4:7:3480539:3487464:1 gene:LPERR07G03930 transcript:LPERR07G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVAAPLAFRRDVMRGLLGGRPRRRPATGSLWSNDAAGGRLLSSPPSSWPARARGKNRSGGGRSATKDEDEAAEAEAVVFVDGEDDDEAIGGDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTLEQANMKLRKVPKAPKEFDIMAVPLTKSAFRTLKRNQGLPEEWLQYLARPSP >LPERR07G03940.1 pep chromosome:Lperr_V1.4:7:3482554:3487546:-1 gene:LPERR07G03940 transcript:LPERR07G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGSSPSPTTLINNSTWPRLPPPRRRQVAAAAAAASPRARAPLLMEAPAKSVAVADGNPKPAAETPRMEDYKDAAMYYGAYPAYLYGGYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDGQMYGSQHYQYQSTTYNKQQNATGKPSNNGKTESLAALPQGDQKLPSGNRSLTTSNSKSKGQSKNQNSYPHLMGLQTPTSPLGPPSIYSASGMYGYNGGSYGSGLWYGSHLYGSGLYGGWNALSDGKYNSRGRGNGSYGYVNGNLDGFNELRRGPRSDLFNNKQGVGATVAPVKGQELPASDSSLAVMKDQYNQADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEHGLQVLKIFKDHVCKTSLLDDFGFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDSENTLLTVKSKLQETNEVEIDALNKDPQGKAGQVDGKENSVLTVAVNGVTPEDVQLTNEMLADLKACTEFLMDTDFVKGTAIMSNSFGACSEIQQELLLTFFDC >LPERR07G03940.2 pep chromosome:Lperr_V1.4:7:3482554:3487546:-1 gene:LPERR07G03940 transcript:LPERR07G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGSSPSPTTLINNSTWPRLPPPRRRQVAAAAAAASPRARAPLLMEAPAKSVAVADGNPKPAAETPRMEDYKDAAMYYGAYPAYLYGGYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDGQMYGSQHYQYQSTTYNKQQNATGKPSNNGKTESLAALPQGDVSANAVDTLKGQKKTNLLPKASQNNGSYGRTSGRFGNYQNQTNRSTYPCYSSQIFSGRQQKLPSGNRSLTTSNSKSKGQSKNQNSYPHLMGLQTPTSPLGPPSIYSASGMYGYNGGSYGSGLWYGSHLYGSGLYGGWNALSDGKYNSRGRGNGSYGYVNGNLDGFNELRRGPRSDLFNNKQGVGATVAPVKGQELPASDSSLAVMKDQYNQADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEHGLQVLKIFKDHVCKTSLLDDFGFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDSENTLLTVKSKLQETNEVEIDALNKDPQGKAGQVDGKENSVLTVAVNGVTPEDVQLTNEMLADLKACTEFLMDTDFVKGTAIMSNSFGACSEIQQELLLTFFDC >LPERR07G03950.1 pep chromosome:Lperr_V1.4:7:3501709:3502551:1 gene:LPERR07G03950 transcript:LPERR07G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGANGDRLLLGLKFSPSDEDLVTSYLLRRLQGNPLPLHGIILEADPLSSPPGKLLADHGLGGDEGFFFAEARAKNNKGKRQKRTVEGGGFWQGQRMCGGGMEGIAWSMYMLSFFAEGEKGSSGWVMHELAITSPPELASSPVRLYRVRFSGHGKKRRRQPESEEGEPKRTRTEDALLQDQGCSGMMDEFSSSMVFGDLPWLIDLSPAEEPDAARTCLSLEENQSNSLSGVVDDGEASTLCDFKIPESLDEVFSCIDFSFLDTMDFSMDGLFDLPAD >LPERR07G03960.1 pep chromosome:Lperr_V1.4:7:3509727:3512187:-1 gene:LPERR07G03960 transcript:LPERR07G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGMGLDTNMAIHARKGSAHGLRKGLGKKGRTDSLRAPKTPDSSQLFSTPPHDATFSSSVAAAHAAARLLLRVSAAVTAAIAGDVVLRVMQQTDLRSATWKRIFEGRKDEHLVRSP >LPERR07G03960.2 pep chromosome:Lperr_V1.4:7:3509727:3512187:-1 gene:LPERR07G03960 transcript:LPERR07G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGMGLDTNMAIHARKGSAHGLRKGLGKKGRTDSLRAPKTPDSSQLFSTPPHDATFSSSVAAAHAAARLLLRVSAAVTAAIAGRKDEHLVRSP >LPERR07G03970.1 pep chromosome:Lperr_V1.4:7:3513249:3515296:1 gene:LPERR07G03970 transcript:LPERR07G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQKRLLISTSTTTARHDFPRDLGERSSLAPIHPPMAALAHQLVANRPLLPTPAPRLPRPTITTTTNLRWQGARAEIRRALAVAARASSESSAAAQTSDAAAAAEGEEQPYEEYEVEILKPYGLKFAKGRDGGTYIEAILPGAFADQTGKFTVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRYGKWDGAAELTEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPELNESSIASYNVACCYSKLDRIQAGLSALEDALKAGYEDFKRIRTDPDLENLRKNEEFKTILNKYDESFINENAINAIKSLFGFNKK >LPERR07G03980.1 pep chromosome:Lperr_V1.4:7:3516019:3519832:-1 gene:LPERR07G03980 transcript:LPERR07G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPAASPGAGGAPKPSCPFCHGRELECAPEIFRVPATPEPDAASASPWRIRVIENLYPALRRDAEPPAPEEDGEGEAAPGERAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYARRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPTVTTRLNCMKEIYERLGRCSLCEFQSKDILVSETQNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDMALDLGSLLRTMLAKLSKQLNDPPFNFMVHSAPFGASSSCLPYTHWFLQIVPQLSVIGGFEIGSGCYINPVFPEDAAKILRELDC >LPERR07G03990.1 pep chromosome:Lperr_V1.4:7:3523658:3530545:1 gene:LPERR07G03990 transcript:LPERR07G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPANQAVKPVLPAPQRAGKRVAPPAIRGRKGAAGRRGAAAPRARRKAVEVVDLEAGQGREDSPRPVAGQAVVGEAKKLGLNKAPEVAANKVLRMDGESAEKLVGADDESSLPVPERIQVDNSPEYITDRKLGKGGFGQVYVGRRVSGGTHRTGPDAYEVALKLEHRNSKGCNYGPPYEWQVYHTLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNTLGQTMSAHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDDKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPPPFKQFLDMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIKIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVSSSANLWALIMDAGTGFISQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTQYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >LPERR07G04000.1 pep chromosome:Lperr_V1.4:7:3531260:3533644:1 gene:LPERR07G04000 transcript:LPERR07G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAAPARGGGAGTGDGDGDEEEEEASGGFPTVSDAFSTESAASALLEGALHPVTNLAAAIDLTGAEESCSSKVQKVGGGSAAEGVHDDLEHAAEIEADQSRAEDGAGHVDVVPTGDNDSTAAGVHDLEHATDIEAGQSLAAGGAGRLDVVPTGEINSTAAGADTLNNTDDIAADGPGRVNDDSAGVNPTFLPVTESSTAAGATVADDITDISEPIDIKPGDTSAVVGTHGDDHTSACTNKAPVGVKENVHHGSNHEKESSVGDGPSSLANATHSVAVNDVQERQALPAVREAEELRRERDRLQNWEDELRVREEKGLQHREERLHEWENVLRAREEVEMQKWGERLLERDQANNLREEKMREIEQALMKRSEAMANGVRDYVILNIKEDLSTPLLNHLRKKEDNIWQKRHILLSISLLTPLALLFSIRPLIPIEYDYYVLTAFAVVWGIGSLALPFGLFGANVNEKRFSRLINRLSFIGFTALVFYTVYLDMTKVRGYSVAPPSSPSTISTHNDLVWTILYWVLCSLVILGHLYSWYTAWPSDSDKDVE >LPERR07G04010.1 pep chromosome:Lperr_V1.4:7:3537541:3539436:1 gene:LPERR07G04010 transcript:LPERR07G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTNCGRAHLSVASLAKSLLSKNKRKRTRKAGLGPTALTAQLQEKEKRKPEHVGAGQRVPDEVLQDLGRFAKTPPGSKGAGWLAAWRGERREPAALFRCSERAPFDKLHPSRPEQKDR >LPERR07G04010.2 pep chromosome:Lperr_V1.4:7:3537541:3539436:1 gene:LPERR07G04010 transcript:LPERR07G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTNCGRAHLSVASLAKSLLSKNKRKRTRKAGLGPTALTAQLQEKEKRKPEHVGAGQRQIASQQA >LPERR07G04020.1 pep chromosome:Lperr_V1.4:7:3555068:3558386:1 gene:LPERR07G04020 transcript:LPERR07G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKSNRIDSIGFPIGFFGTTYFEKCCSIQLIFLVFSFMFIFVTYTMTLSVNTSQSSIVPSPSLSGSEYLLSRWWTIGYVFIAIWKTALQFDNMIYYTRRQHSEALFIERILYASWHIWKQQNSAIFEGLLGHGLMDILAGPIWGYGLRHSPMQAQISCGGPIPVVLLQLCSPVHRIPSLWTQDFCHPHSQSQDCDTGSDC >LPERR07G04030.1 pep chromosome:Lperr_V1.4:7:3572567:3573499:-1 gene:LPERR07G04030 transcript:LPERR07G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQISMKKAAESDELLCSLLEEGRARAAREAAVPTTTITAVAMANLHGRDDEPDRWDPTHALACMRAAVWFFNTVALVFVGIVVGKLLPQCKTTDEVFICIFALFVALGIPVMGYCAIKATKDLYKR >LPERR07G04040.1 pep chromosome:Lperr_V1.4:7:3586706:3587332:1 gene:LPERR07G04040 transcript:LPERR07G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGQRTYSALSNLEYRRDRVAEEDKHKAIQEALAGFLNDEVLDPKGEHYYDGRLESASVDYNIDHDDPNFD >LPERR07G04050.1 pep chromosome:Lperr_V1.4:7:3588829:3594914:-1 gene:LPERR07G04050 transcript:LPERR07G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLLLHHSPKSWNCQRSAPPREKRGWAGYCATTGKAAKPTAPRRCELEATVLRQPFISSSLLLFQGMELSSRPGINKRKSRERQ >LPERR07G04060.1 pep chromosome:Lperr_V1.4:7:3598400:3599918:-1 gene:LPERR07G04060 transcript:LPERR07G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRAPSRCLPISPAGEVEMSLLGIEFVALRVWRSDSYVHADENGRSVYHGIVGGDGDLPNNAVWTVEELVAGEPLTRYVLFRGAYGRYLGAPEAQDGSALQVVQRDRDALEVDGIMWWAIACSGHDLFQGVLLRDRLQRFLRSNNRRFLARRQGVSVDGNIGDERTLRWEVVPVRRPECPIGTYSELGCSPALQPEIRFLLCMATSRCRAYLSGPSRQVRNPTRQSLRPDLSSDLTVPLTASPNTAAAKEAS >LPERR07G04070.1 pep chromosome:Lperr_V1.4:7:3601241:3604009:-1 gene:LPERR07G04070 transcript:LPERR07G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKTRYDERPKQGY >LPERR07G04080.1 pep chromosome:Lperr_V1.4:7:3605102:3607663:-1 gene:LPERR07G04080 transcript:LPERR07G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSASPPPRLLPPQSQSPPSLLSPLPTHHVPAPPRLHLRSLAAADPRAAHALAVKSGITDPRAANAVMCGYLRAKALDDARKVFDQMPARDASSYGALISGHARLGSPAAAGVALLARMRLAGYAPTEYTFVGLLTACARRGNPRLGSQVHALAVKCGCGGEVLVGNALLGMYVKGGRFGDALKVFDGMEHRDVSSWNTVLSGLVELGRYDEAFELFADMQDSGVAADRFSLSALLAAAAEGFGLHEGSAVHALSLKSGLEMDLSVGNALVGFYAEHGDSIEDVVDVFQRMPVKDVISWTGLLNGYMEFGLVDMALDVFDRMPVRNFVTYNAVLTGFCQNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISNVTVTGVLNACAIAADRKMSEQVHAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHILFEQWRHEESFHIAWSSLLVASFRDGEYEKTLSTFLKMFRTNDVQFIDEFTLTTVLGVCGALCFAEFGKQMHSFSAKSGLLSARGVGNALIGMYGKCGALETAVNIFKRMHFRDLVSWNAVITCHLLHRQGDDILDLWSQMEGSGIKPDSITFLLIISSCSYTRSDSADKCREIFLSMSSTYGIEPAVEHYAAFVYVLGCWGHFEEAEQLISKMPFKPSALVWRSLLDSCNRRPNMTVRRLAMKHLLALEPQDPSTYVLASNLYSESARWQCSESIRLKMREKGMRKIPAKSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMAGHGETIRVVKNIRMCGDCHSFLEYASAATGKEILVRDSSGFHIFRGGKCCCRE >LPERR07G04090.1 pep chromosome:Lperr_V1.4:7:3609839:3610335:-1 gene:LPERR07G04090 transcript:LPERR07G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTMWMFYAANDPPQENLPPAPPHTVVNVPLHLLPHRPMTPLCKFLTKGFFIVSTVLILGILARIVAVDSTSWVEMLLTVPIMLLLMAVIVLIQTGAYLGMTRDFSAAPEDLDEEHRILDPMEQV >LPERR07G04100.1 pep chromosome:Lperr_V1.4:7:3616666:3626853:1 gene:LPERR07G04100 transcript:LPERR07G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAGRDSYDEEAAAAVPVPRRTLELDGRGDASDHRSGFIPRYQVGSSKVETSDRYSDDWQKRLPTTDDVRKNKSESRYFTVSGVDLSPDNMAVAIVYFVQGVLGLARLAVNFYLKDDLQLDPAETAVISGFASLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATVVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLVTTIIGSALGMTQVLLVSGLNRQFGISDEWFSVGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQLFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESVE >LPERR07G04100.2 pep chromosome:Lperr_V1.4:7:3616026:3626853:1 gene:LPERR07G04100 transcript:LPERR07G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAGRDSYDEEAAAAVPVPRRTLELDGRGDASDHRSGFIPRYQVGSSKVETSDRYSDDWQKRLPTTDDVRKNKSESRYFTVSGVDLSPDNMAVAIVYFVQGVLGLARLAVNFYLKDDLQLDPAETAVISGFASLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATVVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLVTTIIGSALGMTQVLLVSGLNRQFGISDEWFSVGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQLFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESVE >LPERR07G04100.3 pep chromosome:Lperr_V1.4:7:3616026:3627778:1 gene:LPERR07G04100 transcript:LPERR07G04100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAGRDSYDEEAAAAVPVPRRTLELDGRGDASDHRSGFIPRYQVGSSKVETSDRYSDDWQKRLPTTDDVRKNKSESRYFTVSGVDLSPDNMAVAIVYFVQGVLGLARLAVNFYLKDDLQLDPAETAVISGFASLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATVVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLVTTIIGSALGMTQVLLVSGLNRQFGISDEWFSVGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQLFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGNADDGETKHN >LPERR07G04110.1 pep chromosome:Lperr_V1.4:7:3629764:3633788:1 gene:LPERR07G04110 transcript:LPERR07G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCGGAALAAGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFTPSGGATAHAVEIHPGVTKVVVRDVTAGVGVGGDDGEGGAAAFELDRWRFRLPPCTLPALATATYADGELVVTVPKGVSPDDGGAAAVLRGVESVLLLDVM >LPERR07G04120.1 pep chromosome:Lperr_V1.4:7:3633235:3636048:-1 gene:LPERR07G04120 transcript:LPERR07G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAGEEAGEDAVSAGFAELERQQQLLASCTRLYKQLEEHFSSLERGIAARSDSLRHKRHAAEARASLAADSLRRREESIDASVSLALDHLDNLASNPDAAAAEEAAGIAESMRAMCARMDSTGFFGFVVARRKEVDALRAEMPPALKRCVDPAKFVMDAVADVFPVDRRETARSPADLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERAREMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGLYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKMPPTISDNSSTSSGQSGSNANKKEQSALRAVIKCIEDRKLEAEFPLEDLHRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRANNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYATASPYPYDRPVGHGVYCNQSPPAMREPYVYPAKEVTNFAPGFPYSSPPMSYPHAYSGYSNGLGAYNGMAPAFHQAYYR >LPERR07G04130.1 pep chromosome:Lperr_V1.4:7:3643240:3652301:1 gene:LPERR07G04130 transcript:LPERR07G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGSGSSRKRQLVLESSDSEADDFFVSTRKKDDAGNAGAGGGGGGDQTAEKTVVVSAEKVSGSDKSKGSDVKSKGSDVKSKGSEVDRSFSQPDAKRIKTEAVGGGSVLKDGAGGKMLRPGFPTWRFEKPEVRAGRVLDEKAGSEIKVSSAPKVKDRALSSVDERRRSEPQKHDKQKLLKNDQEVIRVQGKSGVLKIRQKNDKVPRETGDGKIPLKNSKVDGKTGDGKILTKNAKVEEKGDGKILTKSGVLKLLPKNSKVVKETSDDIPLTKNIKMVGETSDSKALTKSSQADRESGDDKTLKNSAVNLETRADKILSSNIKGDVKTSDTCRQDKEKRGAIDESQKQGANGEKRTAEKLVSPILLRKSDPSVVGVSLGQKMKQQNPKAQQKTSLGNHRPPLNLKDEKNKKKRLLDHQRSPENLSKKAKLNATDLQGTSGPAPKKHGIKKQREGPRFTMKQKLRGQIKDILLNNGWKIDLRRRKSKDYEDSVYISPQGSGYWSITKAYAVFQDQSKSEKHTGGSSKHNKLKPGVEDATCHAISKDDLAILQRNVVKRRTKKELGASKKKYDGSISKSSKDTIAGRSSGNKRQNSGDRGCALLVRGGTNSMEGNMDGYVPYRWRRTVLSWMIDMGVVSKDAKVKYMNKKGTRARLEGRITRNGIHCGCCSKILTVAKFELHAGSKEQQPYTNIFLEDGGATLFQCLLDAWKKESQSEKKGFYKVEPGDSDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEATTSSAELLSCLQCSRKYHQVCAPGTMKDSVKDEPSSSTDCFCSPGCRKIYNRLRKLVGVKNAIEAGYSWSLVRCFPGSLAVPPKKKAHYIHCNSKTAVAFSVMDECFVPRIDERSGINIIHNVVYNCGSDYNRLNFSKFYTFILERGDEVISAATVRIHGTDLAEMPFIGTRGVHRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWSSVFGFKPVEPSKRQKIKSLNILIIYGTGLLEKRLLATGTRNQETATSTVNDKMDAKMHGEATGSQTPIHTSCELPVGVEPDIKHHDDSHPLARSSEGLAPNLPLVPEEKTPELTSPSLNANLHTVPGVEDTMQCMPEAENTQEMKNAETDVTLTAENIVAEQKYEDKSNSRQTDSSDIPVAVDPSLSNETGKDENCTSSEPSAEAVLVRDRSEPSISGHFTNQEDKNSTIPVVETVPLATVVGKPDNPAPEIAATVCIQSSVEVKGLEDNTKDQTSVGGVANNFADTSEDPSDSAADCEVSIVRSIQQKDEVITDKNACTTKDQTVGGVANNSIATTEEQSDSAADIGVSVERCTEQKVEVIKDKSDSPFPDLIHSSTSKVMSEKSNRMKSTESDNVEMKDTGIEVGVTAENFSEAGITVSAAGMPNDICGEVMAKPNLTCGDDQLRGEDGIYKNSMKDDLASREPVNA >LPERR07G04130.2 pep chromosome:Lperr_V1.4:7:3643353:3652301:1 gene:LPERR07G04130 transcript:LPERR07G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGSGSSRKRQLVLESSDSEADDFFVSTRKKDDAGNAGAGGGGGGDQTAEKTVVVSAEKVSGSDKSKGSDVKSKGSDVKSKGSEVDRSFSQPDAKRIKTEAVGGGSVLKDGAGGKMLRPGFPTWRFEKPEVRAGRVLDEKAGSEIKVSSAPKVKDRALSSVDERRRSEPQKHDKQKLLKNDQEVIRVQGKSGVLKIRQKNDKVPRETGDGKIPLKNSKVDGKTGDGKILTKNAKVEEKGDGKILTKSGVLKLLPKNSKVVKETSDDIPLTKNIKMVGETSDSKALTKSSQADRESGDDKTLKNSAVNLETRADKILSSNIKGDVKTSDTCRQDKEKRGAIDESQKQGANGEKRTAEKLVSPILLRKSDPSVVGVSLGQKMKQQNPKAQQKTSLGNHRPPLNLKDEKNKKKRLLDHQRSPENLSKKAKLNATDLQGTSGPAPKKHGIKKQREGPRFTMKQKLRGQIKDILLNNGWKIDLRRRKSKDYEDSVYISPQGSGYWSITKAYAVFQDQSKSEKHTGGSSKHNKLKPGVEDATCHAISKDDLAILQRNVVKRRTKKELGASKKKYDGSISKSSKDTIAGRSSGNKRQNSGDRGCALLVRGGTNSMEGNMDGYVPYRWRRTVLSWMIDMGVVSKDAKVKYMNKKGTRARLEGRITRNGIHCGCCSKILTVAKFELHAGSKEQQPYTNIFLEDGGATLFQCLLDAWKKESQSEKKGFYKVEPGDSDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEATTSSAELLSCLQCSRKYHQVCAPGTMKDSVKDEPSSSTDCFCSPGCRKIYNRLRKLVGVKNAIEAGYSWSLVRCFPGSLAVPPKKKAHYIHCNSKTAVAFSVMDECFVPRIDERSGINIIHNVVYNCGSDYNRLNFSKFYTFILERGDEVISAATVRIHGTDLAEMPFIGTRGVHRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWSSVFGFKPVEPSKRQKIKSLNILIIYGTGLLEKRLLATGTRNQETATSTVNDKMDAKMHGEATGSQTPIHTSCELPVGVEPDIKHHDDSHPLARSSEGLAPNLPLVPEEKTPELTSPSLNANLHTVPGVEDTMQCMPEAENTQEMKNAETDVTLTAENIVAEQKYEDKSNSRQTDSSDIPVAVDPSLSNETGKDENCTSSEPSAEAVLVRDRSEPSISGHFTNQEDKNSTIPVVETVPLATVVGKPDNPAPEIAATVCIQSSVEVKGLEDNTKDQTSVGGVANNFADTSEDPSDSAADCEVSIVRSIQQKDEVITDKNACTTKDQTVGGVANNSIATTEEQSDSAADIGVSVERCTEQKVEVIKDKSDSPFPDLIHSSTSKVMSEKSNRMKSTESDNVEMKDTGIEVGVTAENFSEAGITVSAAGMPNDICGEVMAKPNLTCGDDQLRGEDGIYKNSMKDDLASREPVNA >LPERR07G04140.1 pep chromosome:Lperr_V1.4:7:3652849:3655297:1 gene:LPERR07G04140 transcript:LPERR07G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTAIKGVGRRFSNIACKKADIDMNKRAGELSAEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >LPERR07G04150.1 pep chromosome:Lperr_V1.4:7:3656775:3657351:1 gene:LPERR07G04150 transcript:LPERR07G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVYGSICHLNVLRGSQSNTDLYKSYYLARVAARVSLIFGMMILEIPFTLYSNGCRIVSPCVRTNTYAGYACWAHYFAPVFFVNVRFRDA >LPERR07G04160.1 pep chromosome:Lperr_V1.4:7:3660690:3662553:1 gene:LPERR07G04160 transcript:LPERR07G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRAPELHFLAKVVARVSLIFGIIILEIPFIQMAAGLVTPQPIQDIAEDIELQKFWAVSQSSAVSDSISDKNSTRRSLPPCRTRPTRPGVSPLTSLRACVPDRPINPPLNPSHTLPLVAIDIPAAVDVAPPVVVIDGDNNPHQPAAAAAELWCTVLGCAPRRCTARGAVARVVYFGYMLVVFPVIYFKATDHPLVELAGIALAVGCMFMMQSVVDAEHKHKEQEELAAATS >LPERR07G04170.1 pep chromosome:Lperr_V1.4:7:3666546:3667740:1 gene:LPERR07G04170 transcript:LPERR07G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVAAALAAVVAIVVLVSSAARPAAAQGSCMTEIISLAPCLGYISGNSTAPKPACCTALSSVVKSKPECLCSVLGGGASSLGVTVNNTRALELPAACNVKTPPPSQCSTVGVPVAAPTSSPASPATGAPPAAPAVQNGTPVGTGSKATPTTATTTGGQSNSGGRVSEAAASMVMIAVVSVAFALSHA >LPERR07G04180.1 pep chromosome:Lperr_V1.4:7:3670715:3671576:-1 gene:LPERR07G04180 transcript:LPERR07G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAKPVALLFAVFAAVIATAAAQGSSSGGCTTEIVSLAPCLDYISGNSTAPPKPGCCSALAAVVKSRPECLCAVLSGGASSLGVTVNSTRALELPAACSIKTPPPSECSKVGAPVASPAPGAAPGAGNGSKATPTTGGTSSSGEIVGAGKAAYIAVVIVSAVFAMMHA >LPERR07G04190.1 pep chromosome:Lperr_V1.4:7:3673804:3675285:1 gene:LPERR07G04190 transcript:LPERR07G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFGDFGDWSPMDVATAALAMASSRNGSGAAAYVPLPPGMTVATPGDPSTVQIEAARQWREMENTTVRFLNHLVTCAGAIQAGDYAAAAGSLSDAREILTKIPVSVGIRRVATLFADALSERLFPAFPNSVLPLPLPTPRAEQRKLFRGFYEAGPHLKFGHFTANQAILEAFEGCGAVHVIDFAVMDGVQWPALIQALAIRPGGPPFLRLTGIGPLVDSDRDELRDVGIQLAEFARSCNVPFTFRGIAANQIDCLRPWMFRIVPGETIAVNAMLHLHRLLVDQDATMVASSPAPIEAVLHLIASLDPKVFTVVEQEANHNKSSLQERITNSLFYYAAMFDSVEVSNRDTGGDGAGNPLAEAFLQREIADIVCHEGISRVERHEPMARWMESMQRAGLTLIPHGQKELYQAAMHVRELSGAGFGVQENDGFLTLTWRNQKLYTASAWHRAVTGPRVVNGGATAMDPEEWKNGGKDGNNGGSFCWKRVVKTRGD >LPERR07G04200.1 pep chromosome:Lperr_V1.4:7:3675643:3680898:1 gene:LPERR07G04200 transcript:LPERR07G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKETGISLTPVMALVMMVVVMMLASRASSQNNGCSSVMMTLSPCLDYISGKSLLPEFTCCTTLAGVVQSDPRCLCMVLDGTAASFGISINHTRALELPGECKVQAPPISQCTAVPTPPAAPEAPTLTDEPVETNEDVASPPAGSTGSNTSSSAINSKQTASLMATVLIPTCALLSVF >LPERR07G04220.1 pep chromosome:Lperr_V1.4:7:3685327:3685554:1 gene:LPERR07G04220 transcript:LPERR07G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATEAGTGGGGGGRDGAATTTTKKAAACDVAALRKCLEENKGDRAKCQDHIDAFRSSCSINPSPPPPPHARS >LPERR07G04230.1 pep chromosome:Lperr_V1.4:7:3686968:3688810:-1 gene:LPERR07G04230 transcript:LPERR07G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCSDPSPLSSELTRHINGGRPSSSSSSPLLPSPRSPSLQSFSPRSLFSSVSMDSPTKTHPCASPRNVTGLAGVLVAGEAEEQGSCRSGRVLLGMRLRVQLPPPEKGIVVSGGGGGGGGGASSPIEFGVKNREAQLALLSPVQRSPAARAAAAAAEDEDYTCVIARGPNPKMTHIFDDRVVESSGAGGAGDALFLHRRGEKTFCSSQCRYHEVLFDKRIDEASDVPFN >LPERR07G04230.2 pep chromosome:Lperr_V1.4:7:3686970:3688810:-1 gene:LPERR07G04230 transcript:LPERR07G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCSDPSPLSSELTRHINGGRPSSSSSSPLLPSPRSPSLQSFSPRSLFSSVSMDSPTKTHPCASPRNVTGLAGVLVAGEAEEQGSCRSGRVLLGMRLRVQLPPPEKGIVVSGGGGGGGGGASSPIEFGVKNREAQLALLSPVQRSPAARAAAAAAEDEDYTCVIARGPNPKMTHIFDDRVVESSGAGGAGDALFLHRRGEKTFCSSQCRYHEVLFDKRIDEASDVPFN >LPERR07G04240.1 pep chromosome:Lperr_V1.4:7:3695736:3696464:1 gene:LPERR07G04240 transcript:LPERR07G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGQRTYSALSDLEYRRDRVAEEDKHKTIQEALAGFLNDEVLDPKGEQYYDGRLELASVDYNIDLDDPNFDQEENIPLYMRIINLSLFHEYSISVYI >LPERR07G04250.1 pep chromosome:Lperr_V1.4:7:3702044:3708815:1 gene:LPERR07G04250 transcript:LPERR07G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTKVVGSWGTGGAAAAAASLTHDMSPTTQNATLGPSPRFSFSSGVFPSLGAGEYRHRRPRLRRFIISPFDRRYRLWENSLILLVVYSAWVTPFEFGFLPNPIGPLAVADNAVNAFFAVDIAVTFFVAFTDPKTYLLQDDPRKIAWRYVTTWFVLDVVSTVPTELARRLLPPAIRSYGFFGMLRLWRLRRVGALFARLEKDKKFSYFWVRCAKLVSVTLFAVHCAACFYYLLADRYPDPTNTWISAYMPDFHRESLWSRYVASMYWSITTLSTVGYGDMHAENTREMLFTTAYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPERLQEQMVSHLSLKFRTNSEGLQQQETLEALPKAIRSSISHHLFFGLVQNVYLFQGVSNDLIFQLVTEMTAEYFAPREDIILQNEAPADFYIIVTGSMLAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNISDGTIVMNNLIQFLREKKDISAIAAVTKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDNYGRTALHIAASNGNEQCVRLMLENGADSNARDPEGRVPLWEALCRRHKAVVQLLVDAGGDLSSGDAAGYALVAVEQNDTTLLAEIVRHGGDITGPCSGHHDGAATTALHRAVLDGNTPMARLLLDHGADPDAVDANGLTPRAVAKQRSLSDILHAFASSTSPVPHRPPTDPKHKPSSAIVPLPDSSPVTSRRNSSSSSARSTPQRVASFRNSLFGVISSHSGGGGGGEQSAISPPTAALVRVTISCPEKQGGGGKLVFLPETIRGLLELGGARFGFSPTRVVTADGADVDDVRLVRDGDHLLLVTDKWVPPGNGGHIAASNGNEQCVRFLLENGADSYARDPEGRVPLWEALCRRHKAVVQLLVDAGGDLTGQRRGGIVHHGSDVAGPCSGHHDGAATTALHRAILNGNTFMARLLLNHGADADAVNANGLTPRTVAEQCSLSDILHAFTSSLPPPHWPPIDPKHKPSPAARAIVPLAHSSSVMSRRNSSSSSTRSTPQRVASFKNSLFGVISSHSGGGSGGGDQRGMSAAAMVRVTISCPEKEGGGKLVFMPETMRGLLELGGARFGFSPTRVVTAAGGAEVDDVRLVRDGDHLLLVTNKWVPSGNGGAGRNQ >LPERR07G04260.1 pep chromosome:Lperr_V1.4:7:3709733:3711307:1 gene:LPERR07G04260 transcript:LPERR07G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKILAVVMAVAVVAMSAAPARGQAVAASCTASLITSFTPCFNYITNSSNGGGGGSPTADCCQSVAAMINTSTSCACLVLTGNVPLGIPINRTLAITLPKACNSIYINSDSIRRSTRCSLTRHATTATKPTGVNGLGRSADDVIAGGADDHRDAAGDQPDADEASGGAQLGMEDQCPCACVSAAASCHAILKSELLIRDSEYRWVD >LPERR07G04270.1 pep chromosome:Lperr_V1.4:7:3712960:3714716:1 gene:LPERR07G04270 transcript:LPERR07G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLALVVVAVALLAGGVTAQSPPPSSSGCTQTLLSMSPCLNYLTGNETAPSASCCSKLGDVVKSQPECLCVALNADPASLGLSIDRARALGLPDACKVKTPSASNCKSGAGAPTTTPSPAGTGSKATPTTPVGAGVRVSPVGVIAGIVVAAVYAVSAV >LPERR07G04280.1 pep chromosome:Lperr_V1.4:7:3723072:3727282:-1 gene:LPERR07G04280 transcript:LPERR07G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEESTKRSKLSWPKSLVKKWFNIRTKAQDFHADSDTSQGRDGGGGAGGRGASFSASSASTNAAKKGRTVDRSSSKRSADRVRRGRNDSDPARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELDADFEGSARRQENFSLFHRRSFHNLSRSLRMDADYMFTQPKLERRFSVCDPVSLGGRPSDFDGNLRWLASPDEETIDEELGNAAQFSPLPYSYICNTPAPPEDNNEQPNRSSKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPLVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFHGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIVHQRRKNMGYFSSRIEILNQECENTNLKDIGQFCMQPLCQWRSISPCH >LPERR07G04290.1 pep chromosome:Lperr_V1.4:7:3749683:3749925:1 gene:LPERR07G04290 transcript:LPERR07G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRREGERGIEVGVAIIVPDVVALPVKAIAAPSTKPPPSSSSFHPSRPVRQLPLHPAFFQQRERGGASANNEGMALIR >LPERR07G04300.1 pep chromosome:Lperr_V1.4:7:3758946:3763466:1 gene:LPERR07G04300 transcript:LPERR07G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLFSFIDSLSPIEPLKSTYSASSIQAYQSLNITSISSIFTSPHDNAQKELKLSKSSFAEFSESEVCADESDKNKPSKSSNAVRLFACTSTLTQANQKMTSSASKGTVVPAEGLNDLPQPGQFDTGSPDHNTRPCHGVRSDLKQGKVRKLQAFQTAKTNTSEKRKCLFSTEVQLLDGSQPGKLNDEILGCEWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPTSSTQTYYRELLMEEDQTENAQLVLDGEKNISSEEIQDNLYEANECIPTDYKVETQQQRGMRRRCLFFEAAGYSNRIMQKESVMDLSVSTGKGKSPVQNHPNPGKTPSPRVLRGIGLHLNALALTPKGKMICQDPMTSLVPSSATQQDAHGKLLSAGENFVNPGGDLLELQMDDDCSAEIFLENDHDSSQSNSPQKKRRKADNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRTSDAGQDTGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGVGEAENCGKEKENDLQKANVQPEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARTNSSRSSSKAPGAVHSQKSSKIADSGSNEEMPDILRNDASPGNCVKTSSPNGKRVSPPHNALSVSPNRKGGRKLILKSIPSFPSLIGDTSSGSSMNNSQSTFSTASPLALGPSEAGC >LPERR07G04310.1 pep chromosome:Lperr_V1.4:7:3765517:3766650:-1 gene:LPERR07G04310 transcript:LPERR07G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKTTTSPAAAILIPATLLLLLSTAAQLSTACPPAKQTAENNPRLQKAYVALQALKRRITDDPKNITGGWCGADVCSYYGVFCATALDSPCELTVAGLDLNHGDIAGEFPDELGLLADLAVLHLNSNRFCGTLPESLPKLSLLHELDVSNNRLSGEFPSHILCLPKVKYVDIRFNNFCGKIPPAIFDKDIDALFLNDNHFDFEFPSNFGNSPASVIVIANVNVKGCIPASVGKMGKTLNELIVLNSGVTSCIPPEIGELGELKVLDLSFNRIKGELPESMAWMRSLEQLDVAHNELAGHVPEGICELPNLKNFTYSYNYFCGEPERCLHIRRVDDRQNCIAGRPDQRPAGECLAFLHRPPVHCDAHGCFAPPGHY >LPERR07G04320.1 pep chromosome:Lperr_V1.4:7:3779817:3785325:1 gene:LPERR07G04320 transcript:LPERR07G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHRVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVKNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNHFSEELLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGYANTDKQDKQVGSRSPTDYILWCIAGELLKHPHLQPFVLDLQLKSSPARNLFPAGFSDNENNWKAKYNKSHSFKVDRIVKLDKVTANHGNPSYNGTAKDYQELLNQPMDELSVHFTEKVVDEVIQGNHSKVNKSPAPTPRRASSTPRRRLEPSKTFHARAAHKEKESPPSSKCSMERQDTRRASLPLHMLKTPEKRHGADILTRLKSPDVSVNTPRIDRIAEFPIPSFDEPSHSTIKLSPPSITDRSITKDKCTFQVLRSDSENHTSNSDLNLLGIDSPLIRSSSEWKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >LPERR07G04320.2 pep chromosome:Lperr_V1.4:7:3779915:3785325:1 gene:LPERR07G04320 transcript:LPERR07G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHRVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVKNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNHFSEELLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGYANTDKQDKQVGSRSPTDYILWCIAGELLKHPHLQPFVLDLQLKSSPARNLFPAGFSDNENNWKAKYNKSHSFKVDRIVKLDKVTANHGNPSYNGTAKDYQELLNQPMDELSVHFTEKVVDEVIQGNHSKVNKSPAPTPRRASSTPRRRLEPSKTFHARAAHKEKESPPSSKCSMERQDTRRASLPLHMLKTPEKRHGADILTRLKSPDVSVNTPRIDRIAEFPIPSFDEPSHSTIKLSPPSITDRSITKDKCTFQVLRSDSENHTSNSDLNLLGIDSPLIRSSSEWKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >LPERR07G04320.3 pep chromosome:Lperr_V1.4:7:3780713:3785325:1 gene:LPERR07G04320 transcript:LPERR07G04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHRVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVKNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNHFSEELLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGYANTDKQDKQVGSRSPTDYILWCIAGELLKHPHLQPFVLDLQLKSSPARNLFPAGFSDNENNWKAKYNKSHSFKVDRIVKLDKVTANHGNPSYNGTAKDYQELLNQPMDELSVHFTEKVVDEVIQGNHSKVNKSPAPTPRRASSTPRRRLEPSKTFHARAAHKEKESPPSSKCSMERQDTRRASLPLHMLKTPEKRHGADILTRLKSPDVSVNTPRIDRIAEFPIPSFDEPSHSTIKLSPPSITDRSITKDKCTFQVLRSDSENHTSNSDLNLLGIDSPLIRSSSEWKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >LPERR07G04330.1 pep chromosome:Lperr_V1.4:7:3785722:3788540:-1 gene:LPERR07G04330 transcript:LPERR07G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVSVRFHPAARCCAGRRSRHLSAVIRAQSAPAAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQELFNEEGCEAKLRLDEGGKPYVTDNSNYIVDIYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >LPERR07G04340.1 pep chromosome:Lperr_V1.4:7:3789715:3792350:-1 gene:LPERR07G04340 transcript:LPERR07G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFYSWIYTISQLTHKVRKVCAAVDVAADGDRGIVTVTLALEKIGRVAFAAAGDGDWTISDWKETQLENNTLSFQGKLHFVSSREDGVIHVSVIDLPPLSRRRPLEVEGEESVEKTVPRPRTIATCSSEEIYMPSLVELGSELMLVGYNDRSLSRILVLRVADLEMDMTVPVVSIGDHVLFIGARSLCVSSGSLPSIGGNSIVLFHAGENYLVQYHLGTGSWSPACDGHLMLRPPLRPGSLIHHIFTCCYRQFWNKGLIFCSKTEPEWWAMKRYRYGA >LPERR07G04350.1 pep chromosome:Lperr_V1.4:7:3794472:3795418:1 gene:LPERR07G04350 transcript:LPERR07G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVILSDVDISMMEQGKMTCPRNLPHPDVAVEREGLDATTKLCLTIFVSTVSLGVFVACLVYPFVSHLNTTEKLVAVFMALVAAAFGITGYNLINNEC >LPERR07G04360.1 pep chromosome:Lperr_V1.4:7:3798669:3809055:1 gene:LPERR07G04360 transcript:LPERR07G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPGQWIPHVEAFVDVSHSPAQHSASVDALAALVNKDKLTLLDLVLKMDLYLTTTDHIVRSRGILLLGEILSRLPLKKLHVNDISTLSDFFISRLSDWHALRGALVGCLALLHRKQTVGSIIIADVKRLLKAFLQNVQVQSLAAADRKLCFQILNYILDHYPEAAKTMGDDLMYGICEAIDEEKDPECLKLSFHLVGAVMKLFPEPSGLAAQYASEVFEILSKYYPIYFTHGAGDDLDATRDDLSKALMHAFCSTPYFEPLAIPLLLDKLSSSLPLAKLDSLKYLGNCIHCYGADRMGRHATTIWSKLKEVIFNLSIDQSVSTSGSSKDMEKNKNQIVSEALTCLKTAITHMGPSDEDRLINLILLDEDVVSSIHSVSSEEASVLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDNLEISAGREYQHLSNCSSPSSGAINYGALYLSVQMISSCREVALTCKGDFAPIKSAKESWWLILERKTDSLIHLLRSLLTIDFQSVQSAVRQEYVSCAVKGLTILATFPEPWSPLSANVYEDVLLTLTSVIMSKYKNVHLWRLSLKALTSIGSSIVEFHASQKENIYNKVVADKISSLDEPYDTSIPLNLRLEACYEVGTSGPNYMLRVAKSLEEAVVNGSIESTGYVVNLLECYCGRVLPWFFTFGGFNELALNFAMRLWDLIRDLAISDRIGSQGLPSSLMVGMKLLVGICTEEQQSLIVQKAYDTISSMLSLPVKSMMQHLLPVDEPGPLYSVRDTSLMCMLSSVIVGLRPQTPVPDMMVMISLFTVFLLKGHIPAAHALASIFNKNLHNPEFSPENKLDKVLDTILERCFSTIPLRSNTKISLSYVGRSDDANSSESLSGSIESKNDIISVLAWLGKGLLMRGDEKVKDVSMFLLKCLCSDQTLAGISSHQEEHDINDSSYASVATSAADAFFVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTRLVLYRAFGHIISNAPVPAVITEAHQILLVMVDSLAKLSQDIKDKDLVYSTLLVLSGMLMDEKGKECIVENIHIVIGVLTQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQILQAAIKALDDKKRTVRHEAVRCRQTWQSFA >LPERR07G04360.2 pep chromosome:Lperr_V1.4:7:3798669:3808635:1 gene:LPERR07G04360 transcript:LPERR07G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPGQWIPHVEAFVDVSHSPAQHSASVDALAALVNKDKLTLLDLVLKMDLYLTTTDHIVRSRGILLLGEILSRLPLKKLHVNDISTLSDFFISRLSDWHALRGALVGCLALLHRKQTVGSIIIADVKRLLKAFLQNVQVQSLAAADRKLCFQILNYILDHYPEAAKTMGDDLMYGICEAIDEEKDPECLKLSFHLVGAVMKLFPEPSGLAAQYASEVFEILSKYYPIYFTHGAGDDLDATRDDLSKALMHAFCSTPYFEPLAIPLLLDKLSSSLPLAKLDSLKYLGNCIHCYGADRMGRHATTIWSKLKEVIFNLSIDQSVSTSGSSKDMEKNKNQIVSEALTCLKTAITHMGPSDEDRLINLILLDEDVVSSIHSVSSEEASVLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDNLEISAGREYQHLSNCSSPSSGAINYGALYLSVQMISSCREVALTCKGDFAPIKSAKESWWLILERKTDSLIHLLRSLLTIDFQSVQSAVRQEYVSCAVKGLTILATFPEPWSPLSANVYEDVLLTLTSVIMSKYKNVHLWRLSLKALTSIGSSIVEFHASQKENIYNKVVADKISSLDEPYDTSIPLNLRLEACYEVGTSGPNYMLRVAKSLEEAVVNGSIESTGYVVNLLECYCGRVLPWFFTFGGFNELALNFAMRLWDLIRDLAISDRIGSQGLPSSLMVGMKLLVGICTEEQQSLIVQKAYDTISSMLSLPVKSMMQHLLPVDEPGPLYSVRDTSLMCMLSSVIVGLRPQTPVPDMMVMISLFTVFLLKGHIPAAHALASIFNKNLHNPEFSPENKLDKVLDTILERCFSTIPLRSNTKISLSYVGRSDDANSSESLSGSIESKNDIISVLAWLGKGLLMRGDEKVKDVSMFLLKCLCSDQTLAGISSHQEEHDINDSSYASVATSAADAFFVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTRLVLYRAFGHIISNAPVPAVITEAHQILLVMVDSLAKLSQDIKDKDLVYSTLLVLSGMLMDEKGKECIVENIHIVIGVLTQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQILQAAIKALDDKKRTVRHEAVRCRQTWQSFA >LPERR07G04360.3 pep chromosome:Lperr_V1.4:7:3798669:3812713:1 gene:LPERR07G04360 transcript:LPERR07G04360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPGQWIPHVEAFVDVSHSPAQHSASVDALAALVNKDKLTLLDLVLKMDLYLTTTDHIVRSRGILLLGEILSRLPLKKLHVNDISTLSDFFISRLSDWHALRGALVGCLALLHRKQTVGSIIIADVKRLLKAFLQNVQVQSLAAADRKLCFQILNYILDHYPEAAKTMGDDLMYGICEAIDEEKDPECLKLSFHLVGAVMKLFPEPSGLAAQYASEVFEILSKYYPIYFTHGAGDDLDATRDDLSKALMHAFCSTPYFEPLAIPLLLDKLSSSLPLAKLDSLKYLGNCIHCYGADRMGRHATTIWSKLKEVIFNLSIDQSVSTSGSSKDMEKNKNQIVSEALTCLKTAITHMGPSDEDRLINLILLDEDVVSSIHSVSSEEASVLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDNLEISAGREYQHLSNCSSPSSGAINYGALYLSVQMISSCREVALTCKGDFAPIKSAKESWWLILERKTDSLIHLLRSLLTIDFQSVQSAVRQEYVSCAVKGLTILATFPEPWSPLSANVYEDVLLTLTSVIMSKYKNVHLWRLSLKALTSIGSSIVEFHASQKENIYNKVVADKISSLDEPYDTSIPLNLRLEACYEVGTSGPNYMLRVAKSLEEAVVNGSIESTGYVVNLLECYCGRVLPWFFTFGGFNELALNFAMRLWDLIRDLAISDRIGSQGLPSSLMVGMKLLVGICTEEQQSLIVQKAYDTISSMLSLPVKSMMQHLLPVDEPGPLYSVRDTSLMCMLSSVIVGLRPQTPVPDMMVMISLFTVFLLKGHIPAAHALASIFNKNLHNPEFSPENKLDKVLDTILERCFSTIPLRSNTKISLSYVGRSDDANSSESLSGSIESKNDIISVLAWLGKGLLMRGDEKVKDVSMFLLKCLCSDQTLAGISSHQEEHDINDSSYASVATSAADAFFVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTRLVLYRAFGHIISNAPVPAVITEAHQILLVMVDSLAKLSQDIKDKDLVYSTLLVLSGMLMDEKGKECIVENIHIVIGVLTQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQILQAAIKALDDKKRTVRHEAVRCRQTWQSFA >LPERR07G04370.1 pep chromosome:Lperr_V1.4:7:3808318:3809435:-1 gene:LPERR07G04370 transcript:LPERR07G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHNHHHHDVEAQAAGVGGGGVACMYMIERPELRWAFIRKLVVTVAVAAVFYLVPAIRRFFLARRLRPLMLPTMFLRKRHPINLILLALFTVCIAAPLVWAAFPSKWHCNNRSGVPNIRGGLRLDDHTFWAAKRGHDFSFLRPFLVMLLPMGKFTTTVYGCLAALVFSGFIIYDTDNLIKRHTYDEYVAAAISLYLDTVNIFMAIVTALNASDS >LPERR07G04380.1 pep chromosome:Lperr_V1.4:7:3810014:3812616:-1 gene:LPERR07G04380 transcript:LPERR07G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSSGGVGGTELYPGMSEPPEMRWALIRKIYVILSLQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYQQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVVSLTAYTFWAVKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTNNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >LPERR07G04380.2 pep chromosome:Lperr_V1.4:7:3810014:3812616:-1 gene:LPERR07G04380 transcript:LPERR07G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSSGGVGGTELYPGMSEPPEMRWALIRKIYVILSLQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIDQVVTNILRCLTGKVILESAILTTVVVVSLTAYTFWAVKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTNNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >LPERR07G04390.1 pep chromosome:Lperr_V1.4:7:3815642:3820984:1 gene:LPERR07G04390 transcript:LPERR07G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSELPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCLPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKVVFGAWLRYEKRGEDIISDVLASCRKCCREFGPLDIASEMPVGDFEILGSCDISTSSKVSSTVTFQIRDGKVACDRCKIAALSIPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLEILLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMTLAFEENAPVLAVSCLQVFLQELPDCLNDELVVSLFLSATEQQQHIMVGHAPFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFNIAFSAGHVYSIAGLARIAGIKGRKGLAYEKLSSVITSSVPLGWMYMERSLYSDGDKKLADLDKATELDPTLTYPYMYRATSLMRKKDARLALEEINRLLAFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHSATEPERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIAKAKNNASAYEKRSEYCERDQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >LPERR07G04400.1 pep chromosome:Lperr_V1.4:7:3824563:3827862:1 gene:LPERR07G04400 transcript:LPERR07G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMLNAVKEEEEGSHGDGRAQAAAAAPRPMEGLHEAGPPPFLTKTYEMVDDAGTDGVVSWSATNNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKEHLKAMEDRLQATEQRQQQMMAFLARVMKNPEFLKQLMAQNEMRKELQDAISKKRRRHIDQGPEVDDVGTSSSIEQESPVLFDPQESVEFLVDGIPSDLENSAMDAGGLVEPQDFDVGASEQQQIGPQPQGEWNDNFWEELLNEGLGGEENDNPVVIEDDMNVLSEKMGYLNSNSPNS >LPERR07G04410.1 pep chromosome:Lperr_V1.4:7:3828688:3830240:1 gene:LPERR07G04410 transcript:LPERR07G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMALTSSFAAAAAAGAPWRVRVAVPAGVAPRRRSNALVVRAQSEQDMEPPKATEETPASTSSSSPSPANPPPAAATKKPPPSLFDALAFSGPAPERINGRLAMVGFVSALAVEAGRGGGILEQAGSGSGAAWFAATAAVLTAASLVPLLRGETAEARGGAGALMSADAEIWNGRFAMLGLVALAFTEFLTGSPLVNV >LPERR07G04420.1 pep chromosome:Lperr_V1.4:7:3832266:3834260:-1 gene:LPERR07G04420 transcript:LPERR07G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEKNVPIGIAIASPKPRFSPINFLPCQTHLTSGGVFANTPFQPRRVLSIPRAHITDNVAGHGTTKNNGGNTRLIIVPNSGEPTTSSGDEEGAVALMSADAEIWNGRFAMLGLVALAFTEFLTGSPLVNV >LPERR07G04430.1 pep chromosome:Lperr_V1.4:7:3836769:3841164:-1 gene:LPERR07G04430 transcript:LPERR07G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEAQSTAKKQRIATHTHIKGLGLDGNGAAIGMAAGFVGQAAAREAAGLAVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYAKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSSAVKRVGRCDAFATEYDLEAEEYVPIPKGDVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLASLGEIGQQTSLRHAIQLLSPASVVAKANGREKITKVDLEEVSGLYLDAKSSARLLQEQQERYIT >LPERR07G04440.1 pep chromosome:Lperr_V1.4:7:3841481:3843682:1 gene:LPERR07G04440 transcript:LPERR07G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPAPAASAAARRRKQTVYHGHRRASPHRPTVRGGLFSNLRSTSSPSTPPSSTSSAFRLADWDPSSSSSSSSSSSSRTLLSSSPSATEASRRLSPLARYLLDALRRHGRWGPPVVADLTKLRRVAPELVAEVLSARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLNLLVRLHTAARRPLRALHALRRFRHEFAVQPEIHACNRVLGALAAAGHVNDALKLFDEMSDCGVLPMPVTFAIMVRALARVGLTDKLLEMIERMRAEVCRPDVFVYTALVKTMVRWGHIEGCIRVWEEMRRDRVDPDAMAYTTMVTGLCNAGMVEKAEELFGEMRRKGLLLDRMVYASLIDGYVSAGRVSDGCRVLKEMVDAGYRADLEIYNTLIGGLCEIGREDKGYKMFQIVLQEDLMPSSETVSPLLACYAEKGEMVKFFGLVDKLVELRLPIVEILVDFLKLFACKSGNELKAVEVFEAFRRKGYCNVSIYNILIENLLKIKERKKALLLFEEMQGSDDCKPDKCTYSHMIPCFVDEGNIEEACSCYNSMMKAVWIPSISAYCALVKGLCRKGEINAAISLVKDCLGNVENGPMEFKYTLTILESCQSKSPEKVIKVVDEMIELGYPIEEIIYSAIIFGFCKYASSTEARKVLSTMRDRNIISEATYIVYEDMLNEHLKNVTADLVISGLKFFDLESKLKWRNSDD >LPERR07G04450.1 pep chromosome:Lperr_V1.4:7:3855412:3862662:1 gene:LPERR07G04450 transcript:LPERR07G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKKNPHVFMDISFGGRAAERITFELFADVVPKTAENFRALCTGERGLGASTQKPLYYKGTNFHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFLPVPHLDGKHVVFGKVVTGMPVVKKLEAVGSDTGKPTCEVKIVDCGEVSNINPQNELRGEKEKKLRRAEDSAERRVKTQKPTSHDKQKKKRKHYSSDSYSSDSSETQSYSDSGSESESHSSSSSDTSLSSDHRHKRRKSSKKDKRRSAKGKSKHKKTKKKSRGTKRKSKRSYNSSSSDDSGSSKTGSSSSDSESAGRRNTRTKHSSKQDPYNTKMVSLEKDSTLEDADKGKQTATLDTISNEGSKPSNTDGNGVGVRDDPGASPRSNPTMADASLTKVDDTKGADAAEAGISRAEPVPTNGKDKAMGSTDNGQSQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNGGRNDRWNHFNRYGRNGPYSARSPVRRYHGSPRASSPSRYPRRDRSRSRSRSPLRHRDRGGYRRPSPRRSRSPAEHQRRDVRNRFRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRNPSRSRSPDAAPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >LPERR07G04460.1 pep chromosome:Lperr_V1.4:7:3861981:3864009:-1 gene:LPERR07G04460 transcript:LPERR07G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVFSSTFRAPNGLGFLGPSQIGLIPLRNRSGVKSRLKYTAPKCMVSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHGLKVVDVGGGTGFTTLGIVKHVDSENVTLLDQSPHQLEKARQKEALNGVNIIEGDAEDLPFLTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLNRGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRASGDSPLQLGPKAEDVEKPMNPFAFIFRFVIGTICASYYVLVPIYMWTKDQIVPKDQPI >LPERR07G04470.1 pep chromosome:Lperr_V1.4:7:3865824:3867537:-1 gene:LPERR07G04470 transcript:LPERR07G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVAGDTLAGARPPPMQSKEEEEDAPTYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKTVKPELIVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGLAVNRKREEKRLPLLQVEVVDLLSGGAEGEKLSSSALRKLEAEQTNQQEGEAPKGV >LPERR07G04470.2 pep chromosome:Lperr_V1.4:7:3865824:3867537:-1 gene:LPERR07G04470 transcript:LPERR07G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVAGDTLAGARPPPMQSKEEEEDAPTYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKELSCLLVDCVVDSVLCVGVQYAELIEPVEKRMKAVEDYIKTVKPELIVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGLAVNRKREEKRLPLLQVEVVDLLSGGAEGEKLSSSALRKLEAEQTNQQEGEAPKGV >LPERR07G04480.1 pep chromosome:Lperr_V1.4:7:3871159:3872778:-1 gene:LPERR07G04480 transcript:LPERR07G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKGTRLPFREDNLNFAAEGTHAAKKNVVRVHQPVGMRNGRWVLGDITEVLDHNSWRLGKITKVLKNDYFVIRVTGCIQMREFHISCLRFPHAYHGKQSAIIDKVREQSGKQTQHVDHKLHRSKMVMQQDRLSNEVSDHITKRHKGIDLCPSSARNVKKKLELSRLPTDDYSITGASKKRRATAHEVCQQTKKPRPLKVSAKNDIYRDHLCRPFSDRYNDLCKNITKREPGSNFLPLSQIPLQVREENECSVASCSVNFSEHSMNTEMQSVGLGNCFPDDAMSSCASMHRKESDNVHGCDFRMDVHELEVQAYQSTVRAFYASGPLTWEQESLLTNLRLFLNITIEEHLLQLRHLLSS >LPERR07G04490.1 pep chromosome:Lperr_V1.4:7:3886301:3888061:1 gene:LPERR07G04490 transcript:LPERR07G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINLVKLIYGWEVQLLVLISFALQMFLFFTGSLRRRSTNIFLSVCIWTAYLGADWVAVYALGYLSGVHEDIIPRRTQPLSFFWAPFLLIHLGGQDTITAFAMEDNDLWLRHFLNLLVQVFLAIHVFWKSAGKLTMELIASSIFVFVAGVIKYGERTWSLKCGSYKSLESSTGHQYKQQFPKPKDSDCDYSKTLLNALRSMFIVLNVFTARNQSVFKSGPDDAKRMFKVVELELAMMYDDLYTKALVLRTKTGMILRCISHTCSVVAFALFIASDKMRYHRVDIAITYSLFIGGFFLDLSAMFIVIMSPWTWVWLKAQKYKWLASLSWFLFSSDIGWPAKRPLWSNSIGQYNLEKRLASVEKKNVSWMSKLLDAKYVEADDNTMESVVKGIKRIHDEFSNVAREWPKLGPFLANIRVYFAADFGAAIVVIHKFTEEYIKSAESLGQGGQHQGNTCNNKMEVCRKLSNYMMYLFVNNPSMLPLNTSSEATLAKVDQLKEKLIRVDPSEEALEEMVDMWTRLLIYSAGKSQGPMHAAELARGGELITFVWLLMVKAGLGDSESKRILIANSASASTNLKEAYAFYFAS >LPERR07G04500.1 pep chromosome:Lperr_V1.4:7:3894302:3896302:-1 gene:LPERR07G04500 transcript:LPERR07G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNLWLRHLLNLVVQVGLAAYVFWKSDEMHSMQLLVSGVLVFVAGVIKYGERTWSLKCGRFKSLESSTGKHYNYKKGFPEAKDSDYDYTKTLLNALSSMQNVHNVFAARNPFLTGTDSSSSITAPDAVHDTKKLLKVVELELAMIYDDLYKKALVLRTRIGIILRCIAHTCSFVAFAFFITSDKQRYNRVDVAITYSLFIGAIFLDLSSMFIFIMSPWTWVWLKALKCNWLASLSWFLFSSDIGWPEKRPRWSNSIGQYDLLSWVSGGDNKPRSCNQQVMFQVRKLVSLVGVGKENLFWMSKILDTKNVEADEKIMEFVVKGISQLRNEFSEETRQQWPNLSPLLKKIRIYYVVDIGCAILVMHIFTTAFLNSMKHTTGGKANDMVEVCRKLSNYMMYLFVNNPSMLPLNASSEATVAEFAKSFAKSRRELSSNLTIGGLYKIIEKHLDDEKPSRGTLEELYKMVLDADVKPSEGALEEMAAMWLRLLIFSAGRSNGKVHAAELAKGGELITFTWLLMAREGLGESESKRVRMTSTISFDDSAGADTDLKEAYAFFFPG >LPERR07G04510.1 pep chromosome:Lperr_V1.4:7:3904743:3912003:1 gene:LPERR07G04510 transcript:LPERR07G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEIDDIHETATATTAAPLLEKVYWEGCPGCAAEKRKEENPGIPYLQFFRIWIIILVSCLPITFIYPFLYFMIRDLHVAKTVEDIGFYGGFVGASYMLGRALTSTVWGMVADRIGRKPVILFGIFSTVVFNTLFGLSTHYWMAITTRFFVGSLNGLLGPIRAYTIEICRDEHQAIGMSLDSTAWAMGLIIGSVIGGYLAQPAEKYPELFPDNSLFQSLQLCCSYKLHMVPGVSVMVYQTFIYPYIERILGPINTSRVATVLSMVLLFTYPPMTHLSRPWLQIVSIVTCTYILQNNSVTQDQRGTANGLATTIMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFMLFVVEFIGLVWTFKPFLAMPEQFSSNLVPHVNGQHTSLFTPTDSPEQDVNNIITMMEAQSRMSCVEV >LPERR07G04510.2 pep chromosome:Lperr_V1.4:7:3904743:3911442:1 gene:LPERR07G04510 transcript:LPERR07G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEIDDIHETATATTAAPLLEKVYWEGCPGCAAEKRKEENPGIPYLQFFRIWIIILVSCLPITFIYPFLYFMIRDLHVAKTVEDIGFYGGFVGASYMLGRALTSTVWGMVADRIGRKPVILFGIFSTVVFNTLFGLSTHYWMAITTRFFVGSLNGLLGPIRAYTIEICRDEHQAIGMSLDSTAWAMGLIIGSVIGGYLAQPAEKYPELFPDNSLFQSLQLCCSYKLHMVPGVSVMVYQTFIYPYIERILGPINTSRVATVLSMVLLFTYPPMTHLSRPWLQIVSIVTCTYILQNNSVTQDQRGTANGLATTIMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFMLFVVEFIGLVWTFKPFLAMPEQFSSKSSS >LPERR07G04510.3 pep chromosome:Lperr_V1.4:7:3904743:3912003:1 gene:LPERR07G04510 transcript:LPERR07G04510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEIDDIHETATATTAAPLLEKVYWEGCPGCAAEKRKEENPGIPYLQFFRIWIIILVSCLPITFIYPFLYFMIRDLHVAKTVEDIGFYGGFVGASYMLGRALTSTVWGMVADRIGRKPVILFGIFSTVVFNTLFGLSTHYWMAITTRFFVGSLNGLLGPIRAYTIEICRDEHQAIGMSLDSTAWAMGLIIGSVIGGYLAQKNIQSYSLTTHYSKGVSVMVYQTFIYPYIERILGPINTSRVATVLSMVLLFTYPPMTHLSRPWLQIVSIVTCTYILQNNSVTQDQRGTANGLATTIMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFMLFVVEFIGLVWTFKPFLAMPEQFSSNLVPHVNGQHTSLFTPTDSPEQDVNNIITMMEAQSRMSCVEV >LPERR07G04510.4 pep chromosome:Lperr_V1.4:7:3904743:3911442:1 gene:LPERR07G04510 transcript:LPERR07G04510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEIDDIHETATATTAAPLLEKVYWEGCPGCAAEKRKEENPGIPYLQFFRIWIIILVSCLPITFIYPFLYFMIRDLHVAKTVEDIGFYGGFVGASYMLGRALTSTVWGMVADRIGRKPVILFGIFSTVVFNTLFGLSTHYWMAITTRFFVGSLNGLLGPIRAYTIEICRDEHQAIGMSLDSTAWAMGLIIGSVIGGYLAQKNIQSYSLTTHYSKGVSVMVYQTFIYPYIERILGPINTSRVATVLSMVLLFTYPPMTHLSRPWLQIVSIVTCTYILQNNSVTQDQRGTANGLATTIMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFMLFVVEFIGLVWTFKPFLAMPEQFSSKSSS >LPERR07G04510.5 pep chromosome:Lperr_V1.4:7:3904743:3911442:1 gene:LPERR07G04510 transcript:LPERR07G04510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEIDDIHETATATTAAPLLEKVYWEGCPGCAAEKRKEENPGIPYLQFFRIWIIILVSCLPITFIYPFLYFMIRDLHVAKTVEDIGFYGGFVGASYMLGRALTSTVWGMVADRIGRKPVILFGIFSTVVFNTLFGLSTHYWMAITTRFFVGSLNGLLGPIRAYTIEICRDEHQAIGMSLDSTAWAMGLIIGSVIGGYLAQPAEKYPELFPDNSLFQSLQLCCSYKLHMVPGVSVMVYQTFIYPYIERILGPINTSRVATVSIVTCTYILQNNSVTQDQRGTANGLATTIMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFMLFVVEFIGLVWTFKPFLAMPEQFSSKSSS >LPERR07G04520.1 pep chromosome:Lperr_V1.4:7:3923196:3928426:-1 gene:LPERR07G04520 transcript:LPERR07G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVRGSAHKSHTTVDPTERSPPDVDDDAVDLSTAPPPSVVVDDDSASTAAVAAEERKVRKVVKKVIVKKVVPKGTFAARKAAAEAEAVVAVAGASSSSSETGEGGETPTGNPPTSDQGSLVGNGQKLEESDGEKPAIDCNAVPVEEESAVVVGEGATFAKPATEEGEVVGEELVVDKGIVEVETEEEEEEEEAGMSERQKRMTMEVFVGGLHRDAKEEDVREAFGKAGDITDVRMIMSPIAGKNKGYCFVRFRNAAQARKAISEFGNVKICGKRCRAAVPVGNDRIFLGNINKKWKKEDIIKLLKKIGIENIDSVTLKPDSNNPVYNRGFAYLELETSRDTWMAYRKLSRKNAFGDGLNINVAWAESLYDRQEKDMQVKSIFVDGIPTSWDHAELKEIFKKHGKIESVVLSRDMQSAKRKDFAFINYITHEAATSCLESFDKEEFTANGSKVNIKVSLARPVQQSKQIKEDHNIINGKSKMKTSQTSYYGLAGIPISSQVRQASSHKLTQSYGSRHAKKSD >LPERR07G04530.1 pep chromosome:Lperr_V1.4:7:3932195:3935005:1 gene:LPERR07G04530 transcript:LPERR07G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTRPLVSVKALEGDMATDNAISNLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATAVPSLVLARGHRIESVPELPLVVSDSAESIEKTSQAIKILKQIGAFADAEKAKDSVGIRPGKGKMRNRRYINRKGPLVVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPINKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >LPERR07G04540.1 pep chromosome:Lperr_V1.4:7:3936003:3941542:1 gene:LPERR07G04540 transcript:LPERR07G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAHSLLLHLTAPRKPTTGATPLPPSTLRLSTRHRLSRLTTNCSNSDFPNPNGILVAPPSAAAATSSSSSSSSPIEVDVATEADLRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDDLLVDGGMARFEVIEKLGPDVKCLCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGGDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSIQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELQDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTKSGHMASLLSRCRPDCPIFAFTNSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >LPERR07G04550.1 pep chromosome:Lperr_V1.4:7:3949161:3950494:1 gene:LPERR07G04550 transcript:LPERR07G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTAAPTPARVSRFRRLLVRVSAAPAERPGGAGDGKERSPEMEVGSVGLDRMVLSFMEESTTAAAAAVERPARGRCGNCFNGGEYGSDDEEFDFLPSSSSAGDAASAAAGDALDALKGLVQGASVAERNLLADASRIAEKFRKGGGGKKKTDVRRAVADGLAGLGYDAAVCKSRWEKTPSFPAGEHEYIDVVVVGNSTSTAERRMVVEVDFRSEFEVARSTKAYRAALMALPPLFVGTPERLGQIVAVVAEAARQSLRKKGLHVPPWRKPEYMRAKWLSTQILRCCSVAGGDKPPPPSPPPTPVSLPSFSGEFELLFDGSKTTPVNNAGAGAGGDEDVEAKKITVVVSPSPWRPVETETASKTRPPAPATGLAAVL >LPERR07G04560.1 pep chromosome:Lperr_V1.4:7:3955354:3963080:1 gene:LPERR07G04560 transcript:LPERR07G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.2 pep chromosome:Lperr_V1.4:7:3955354:3963080:1 gene:LPERR07G04560 transcript:LPERR07G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.3 pep chromosome:Lperr_V1.4:7:3955354:3963080:1 gene:LPERR07G04560 transcript:LPERR07G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.4 pep chromosome:Lperr_V1.4:7:3955354:3962457:1 gene:LPERR07G04560 transcript:LPERR07G04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.5 pep chromosome:Lperr_V1.4:7:3955354:3963080:1 gene:LPERR07G04560 transcript:LPERR07G04560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.6 pep chromosome:Lperr_V1.4:7:3955283:3963043:1 gene:LPERR07G04560 transcript:LPERR07G04560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPSPSFVLADHFASPRRLLPSRLEATSRPEETTRAKGNPPPRPIPKSLPLYHPSPPPLRASPLRFPLASPLASIRRRGETRGGDRSIAFSRRRQVASPAWRGSQRQRARGGVIRWRGMGWRSRGLVVTVLWMLAVGVVAAAQDADQEDDLQRAFPIIEPDYGHTKLRLAKEGLEAIKRIGTPIAAVSVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIEVDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.7 pep chromosome:Lperr_V1.4:7:3955283:3963043:1 gene:LPERR07G04560 transcript:LPERR07G04560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPSPSFVLADHFASPRRLLPSRLEATSRPEETTRAKGNPPPRPIPKSLPLYHPSPPPLRASPLRFPLASPLASIRRRGETRGGDRSIAFSRRRQVASPAWRGSQRQRARGGVIRWRGMGWRSRGLVVTVLWMLAVGVVAAAQDADQEDDLQRAIGTPIAAVSVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIEVDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILESCLKLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04560.8 pep chromosome:Lperr_V1.4:7:3955283:3963043:1 gene:LPERR07G04560 transcript:LPERR07G04560.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPSPSFVLADHFASPRRLLPSRLEATSRPEETTRAKGNPPPRPIPKSLPLYHPSPPPLRASPLRFPLASPLASIRRRGETRGGDRSIAFSRRRQVASPAWRGSQRQRARGGVIRWRGMGWRSRGLVVTVLWMLAVGVVAAAQDADQEDDLQRAFPIIEPDYGHTKLRLAKEGLEAIKRIGTPIAAVSVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIEVDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELEPLYIERRDELKQIVASMIKPKIVQGRTLNGKEFVSFLGQLYNERMERVELPVSVDKLQLIHSLAEDEARKLFDKQHFGKHHTTESILKLDEEMKKVFRNFGLANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGFLHCNQSFELQCVGPAKENYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVMKFFLLEVAAWVMFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDMDRWVIPIVVVLSFLAVYWRCLGGRKQLGTLLPLYRGSYGSSSRPRTD >LPERR07G04570.1 pep chromosome:Lperr_V1.4:7:3961673:3962925:-1 gene:LPERR07G04570 transcript:LPERR07G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >LPERR07G04580.1 pep chromosome:Lperr_V1.4:7:3965852:3969885:-1 gene:LPERR07G04580 transcript:LPERR07G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCPSGWSLERFLEEELLDGVPAPPPPPAANPSPMPAEARRNGDHEAVGMMPAAAEMDPVEYNAMLKRKLDEDLAAVAMWRASGAIHSKSSLGNKTSLKKVGSSLSSQKCIEGNGILAQNKLGKTGGSGPHVNHSTEAHAKQATSGSSREPSPSEDDEMEGGADAIGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVELLRVENSSLLRRLADANQKYSVAAIDNRVLMADIEALRAKVRMAEDSVKMVTGTRQLHQAIPNMPSPLNATSDASVPIQNNHPVNYEYFANAINAGVNSYMHEIPPPFQISDSAEKIDLTDSLQLQQQQMAGLQHLQNGVCGGGASSNGYASWGSSLMDASELVNRELQ >LPERR07G04590.1 pep chromosome:Lperr_V1.4:7:3972993:3975984:-1 gene:LPERR07G04590 transcript:LPERR07G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASSATASASASASSFSVGRRAAAAGAGRVSFRGGLAAAPMVAVRAEAASVGEDERVISGTFAKLKEEGKKAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQVHHEASAIVNMASATRALAKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKDAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFVYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEQVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >LPERR07G04600.1 pep chromosome:Lperr_V1.4:7:3979579:3983839:1 gene:LPERR07G04600 transcript:LPERR07G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHPPTGGGAEDDFFDHFFSIPSAAAAGGGGSGGLGLGSGDHHPFPLALSLDAAAAAEGAAGGGHDGGRTDRDPVQLAGLFAPVFGGAGGGVQPPHLRAPPPPQVFHAQPKPGEGAMAAPQPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEDDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >LPERR07G04620.1 pep chromosome:Lperr_V1.4:7:4006157:4006369:-1 gene:LPERR07G04620 transcript:LPERR07G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSTSRLVETDADNLCTTELRLGPPGIISGGETPAKTMKRALESTTDSVASGTGPSADDDTAAPAK >LPERR07G04630.1 pep chromosome:Lperr_V1.4:7:4022839:4025860:1 gene:LPERR07G04630 transcript:LPERR07G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQPGNYSPTRVSPTIASTGKRQAVACRTHLAESPVPKIRQAFCLGVKFPNWRRTLAVGGSAMDPAPSLPNDVLASVLRRLPPRGLAACRCVCKAWRGVIDGRRLLRADLLPLSVGGILLNCVVNPATRQWQLLPSRPMLLYDDMYLVFDPTQSPNYELFIVPNVPSKFDGDEECKVCEWPPSTLILPVFSSKTASWEDRTFSREGEAAGTLPAMVSSPQYWSHQSAYWRGALYICCSNCFVMRISPSDNKYQAIRMPTQDRKGREFFLRKSINGTEWLLKHDINIFSILPNLNSREEQRDGPWTLQNYNYRPFSYDDDGHYVVHRPIVEEKFEWDFDNDNVLEPGSRSVDCHIDFIGFHPCKEVVFLSDGKFDRVLAYNWSSLKLQNLGKVFTEFYIHNTTYEHYHKILGPSFPYTPCWLGELPEKLKFPDSVAASTKAETAGCFAISAAIVSDALELGGFLRNAFFWWELGQSTEEISMLKADRDVYPMKGLI >LPERR07G04640.1 pep chromosome:Lperr_V1.4:7:4035161:4045402:1 gene:LPERR07G04640 transcript:LPERR07G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPRSPVTTGKKRCRAKPQKKDEDTTNKGKLDEGPQEATKEMTGAGKGDSRVACKRPRRAAACSDFKEKSVRISDKSSVVAINGNKTEEEEMDAVKLTKIGPEVERPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQRGVHCEGFGRIEDWALSGYDEGTAVVWVSTEIADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLTRSVGGNPNLGLEELLASVVRSINAIKGYSGTVSKDFVLSVGEFVYNQLVGLDETANSDDEKFATLPVLLALRDGCKSRVELSKPRPNMSNGSLKINDTECKEETEVTEDDDEKLARLLQQEEEWKMMKQRGKRGTPSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDCMYSDVPVRILNNWALYNADSRLIPLELIPMKAGTENDIVVFGSGFMREDDGSCFSTADSAKSSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCDPVLKTARLAVSIITLLKEQSRASKLSFADVIKKVADFDKGNPAFISSNIAVVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDGDANEVKEVDDELEENEDEEAEEEVQIEEEKLSKTPPSGGRSRKLVPQTCKGIRWEGETIGKTASGEALYKCAYVRELRINVGGTVALEDDSGESVMCFVEYMFQKPDGAKMVHGRLLQKGSETVLGNAANERDLFLTNDCLEFELDDIKELLSVNLQSLPWGHKYRKENAEADRIERAKAEERKKKGLPMEYLCKSLYWPEKGAFFSLPRDKLGLGNGFCSSCEHKEPDCDELKILSKNSFIYRNITYNVHDYLYIRPEFFSQEEDRATFKAGRNVGLKPYAVCHLLDVHESTGSRKIHPASTKVSVRRFYRPDDISSAKAYVSDIREVYYSEDIVKVPVDMIEGKCEVRKKNDITNSDLPVLVGHEFFCEHIYDPATGALKQLPPNVKLMSVQQKTGASKKNKGKQILDSDQVDSDKCMKEVKVNRLSTLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCVSTSEAAEQATKLSQENITNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGEILPDWPEPMHVFASPELKINLPDGKYYAAARSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGSTIALNDHISKEMNELNLIRCQHIPKRPGCDWHDLPDEKVNAMYLVIVLHFVLFYTFRRPQNADRYEFVQVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRR >LPERR07G04650.1 pep chromosome:Lperr_V1.4:7:4046112:4048009:1 gene:LPERR07G04650 transcript:LPERR07G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSVVHLNGSFYAACYDGTVLRVAIPLPSSASPPRADKFADGPSRNRMSLVLGESGPFIHRRMIMFQLLKLQLTLQLQQIRVQGKDIRGVF >LPERR07G04660.1 pep chromosome:Lperr_V1.4:7:4054525:4054967:1 gene:LPERR07G04660 transcript:LPERR07G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTDPGHLPKDPNFLLHTLYTNTSKTSLLRCAARSTTNPLDPSPIAASPADLYVEVVEDDDLRAGGGSRLPVAVLFTDGWELPPRPVLRNVFRRIPRNPDFDGVTFLCKPWLALAADGDKVVSD >LPERR07G04670.1 pep chromosome:Lperr_V1.4:7:4055399:4055884:1 gene:LPERR07G04670 transcript:LPERR07G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRISIPPLGSAPPPQVDKFADRPSKENIWWSGRWWLAVDTASPGGGALVLVGTESWWRTGQICPCAFQWDDELRFWRRPKDLGGRALFVGRGTAFFADAGHLPWCAGYCVYFTYDEWVHTGDGVPVRCGDLRRRKLYSVHRAGPKVAMAPPVWVMPFHD >LPERR07G04680.1 pep chromosome:Lperr_V1.4:7:4061385:4064265:1 gene:LPERR07G04680 transcript:LPERR07G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVRWFPSAASRRNTLAVRIAGRRSPRRKPVRSCPSAKPPSPSTASSHRAPVGVESSRRSVDLDGVLPPDLLVEILLRLTSKSICRLRAVCRSWLSFTSDPYFASAHAARHPLPLLAIGVARNFPTMTVDLMDLSGNVVKRIPQDGIGKVVSITSDLVFIDRNYHSSVLDPTTGSTISVLPHHQYQKHDKYALSAGCVWFAFGRTAGSIGEYKFVRILLKEYDYVSCEVITVSDMNGKWRKMENPPSYLDFFCNSGLVFKGVAYFLLSNYSEPSLIETGCLPSLDLTTEKWSMTLQGPVKTIIEEADGTLDYYCLTNRLSLAELKGTLTIAFSNKRLHVADIWFLVDSDKGSWSKEYRINVLDGIMHGDFFRVQPLLVMDDGKVVLLLKRGSIEMLQIYSPVTNTSLDITQIISNYYGGVPTKEC >LPERR07G04690.1 pep chromosome:Lperr_V1.4:7:4066716:4077302:1 gene:LPERR07G04690 transcript:LPERR07G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVLRMNEERFTFTPNDPRSAMKLNVDFRSIKGLMPFFGEIKVEMRKSCDWLRGEGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDSELQKLHRKLVKGNILQEEEFWATRKNILDDETNKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAYLDFVPKKMSEKDFWTKYFRAEYLLRTKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEALARSKKEPPPSSISDDTNHERLVKVARMTEIEDLQAPQTLPYAPLCIKDPREYFDSQQANALRSLGGANDGRHARNCGLSADDAFDHLLGQISSIKVNGLNYPVVQSDVALKHWTAIQELLRHFWSSYPITTSVLYNKVQRLKDAMTQIYQKLQDMKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR07G04700.1 pep chromosome:Lperr_V1.4:7:4089318:4091547:1 gene:LPERR07G04700 transcript:LPERR07G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPPDDAAPAVDGDVSHAHAHAHACTVPYSGRIPDIVSRADDQRRWRMLQVDWNQTSPPFSTIERRQVQRRISDQTAFRPNQQVQAPMLLQEDTQDPADMHVQTTEQPPANAATPVVIDQEIWFGCTAPYSGRLPNTGFVVYYFPDGHAEQCQASAYMLPESERIVCTVGDVVLNINAETHEPYAIFTLLPGVHPLQTRPLPAGPVPAAGERDCRTATPASSSPTPAPSASFPRLDDLDTGQNIVVTDLLGARWGFRHIRNAADDRHMLTDGWSAFVNARRLYDGDTEVFMRRPSGELLVGVRRKRFGGMPIGIQADRVAADAAKAASYGWELTAGHGGCSEVDASLRRAPPLTPGTKVRLMMNPEDTWRGSEPVLGNVLALDPQRAWRMIESSDEAATTSATGAATATTYAPSLGLQLQTAASKSQQLPDGMKRKFYEIEMQYIVGKQAGVEDYLDLIVIFNQMSALQVETKELIENNAPLREIEENTNTMRNLVRKMRSLRAAVKRSMAGKHR >LPERR07G04710.1 pep chromosome:Lperr_V1.4:7:4092184:4092363:-1 gene:LPERR07G04710 transcript:LPERR07G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGVPLLVASPPLFASEPQSPPTVGYQTRSTCPVCADVARPHQARGPTRASASLSSG >LPERR07G04720.1 pep chromosome:Lperr_V1.4:7:4095559:4096110:-1 gene:LPERR07G04720 transcript:LPERR07G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLVIEQHEHGRCSVTGEVEEATDQRRRTKHLRMPEEDINWILQRKEPSFDEADKLAARMELRRPIAAHDELMRNGWYDDLLALQRDIVEKKKASWAWFCERRARVRAEFEANGFVEVDDDYFEQKEKNRAYVWENCGKEFAQILLANEDGEFGERNPVSDDKDEQESDFSDIYDGYELF >LPERR07G04730.1 pep chromosome:Lperr_V1.4:7:4096782:4099753:1 gene:LPERR07G04730 transcript:LPERR07G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRVYKPDKEGYPYITMVALSCSPAAAGDDCVALCVYRRGRCFAVARPGTMRVCSCFGWYADALDEIEKMEQEKLVKLARKGPSPGEQGSSTPGTDLKAEAGPTAGVSTDKNKNYAVIAGAIGVAGVIAWYLPSKTKKSEEVAN >LPERR07G04740.1 pep chromosome:Lperr_V1.4:7:4101616:4103869:1 gene:LPERR07G04740 transcript:LPERR07G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWCIKPQVMLVAIVMMMATTTAAARHHHNHHHHLDSFEDVFERQEADRVQRLPGQPSELGFRQFAGYVTVNETHGRALFYWFFEATSDVANKPLVLWLNGGPGCSSLGYGALEELGPLFVDRHGNVTVNPDSWNKEANLLFVESPAGVGFSYTNTTSDLNQFGDNLTAHDAHAFLVNWLEKFPQFKGHELYLTGESYAGHYVPQLATKIVEHNSKKNKGDRINLKGIMIGNAAIDSSSDNRGLADYAWDHAIISDELYAAIKTNCKFTEDGNETKTCNAANNRSLLRHANGMPYNPPYNPCVDYGVMDYLNRRDVQDALHANVTKSIPYSWAPCSDALTTWTDSAESTLPAIADLVKAGLRVWVYSGDTDGRVPVTSTRYALQKMKLRTVKKWREWFTSDQVGGYTVVYEGGLSFVTIRGAGHMVPMFTPVQARQLLAHFVAGKELPAKPVAA >LPERR07G04750.1 pep chromosome:Lperr_V1.4:7:4105892:4108149:-1 gene:LPERR07G04750 transcript:LPERR07G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVDTEVAAGAPPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >LPERR07G04760.1 pep chromosome:Lperr_V1.4:7:4117435:4118718:1 gene:LPERR07G04760 transcript:LPERR07G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEVVDEVLVSEVEAPVAEEAVAETAAVEEVAAKPAKEKKKVGRPAKEKKPAMEKKEKEPKAKKPRVAGAHPSYAEMITEAIVALKERTGSSSQAIGKYVHANHGANLPAHFRKLISGQLKKLAAAGKLTKVKNSFKLADGKPKPAPKPKATKTAATSAKAAKPKAPAAAKAEKPATKTKAVAAVGAAKPNASPKAKTKTASSPLSPKKKVKASPKKKAAPATTAAKTKKTAPAAAAPAPAATKEAVAVAAKPKKEAAAAPARKGAARKAKN >LPERR07G04770.1 pep chromosome:Lperr_V1.4:7:4119254:4127142:1 gene:LPERR07G04770 transcript:LPERR07G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNVQEREANKEMVIYLIDASPKMFTPATTAKADEKEETHFHTIVNCITQALKTQIIGRSHDEVAICFFNTKEKKNLQDLAGVYVYNVTDREPLDRPDARLIKEFSCIEDSFVNNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVEYLPSSGEKLEDMTNQLKKRMMKKRKVKTLSFAITNDVCIEAERSFICNDTGALLQNPEKRFQVYNDKIIKFSARELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVAFHNSMRRLGRIALAFYGNPTRPQLVALVAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEEVHLTSDVVPHATDDQIKKASNLLRRIDLKNFSVCQFANPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVLKAIEEFKASVYGENYDQEEAEAAAAKAGASKKRKAASDAAEQKSAAYNWAELADAGKLKDMTVVELKSYLTAHGLPVSGKKEALISRILTHLGK >LPERR07G04770.2 pep chromosome:Lperr_V1.4:7:4119254:4127142:1 gene:LPERR07G04770 transcript:LPERR07G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNVQEREANKEMVIYLIDASPKMFTPATTAKADEKEETHFHTIVNCITQALKTQIIGRSHDEVAICFFNTKEKKNLQDLAGVYVYNVTDREPLDRPDARLIKEFSCIEDSFVNNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVEYLPSSGEKLEDMTNQLKKRMMKKRKVKTLSFAITNDVCIEAERSFICNDTGALLQNPEKRFQVYNDKIIKFSARELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVAFHNSMRRLGRIALAFYGNPTRPQLVALVAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEENFSVCQFANPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVLKAIEEFKASVYGENYDQEEAEAAAAKAGASKKRKAASDAAEQKSAAYNWAELADAGKLKDMTVVELKSYLTAHGLPVSGKKEALISRILTHLGK >LPERR07G04780.1 pep chromosome:Lperr_V1.4:7:4129979:4132439:-1 gene:LPERR07G04780 transcript:LPERR07G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQLPLQSNNGVTDAGYAEVDPSGRYGRYNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLHAGAAAAAGELERLYGEVHLLAALRHRSIVRLHSYWLDAPARNLNFVTELFVSGTLRQYRERHRRVSMAAVRRWCRQIADGIAYLHGHSPPIIHRDIKCDNVFVNGNHGEVKIGDLGLAAFRRVNAGGGGVVGTPEFMAPEVYGECYDELADVYSFGMCVLEMVTLDFPYSECSNPIKIYKRVISGIKPAALYRVRDPAVRRFIERCIAPAARRPAARELLDDPFLMQIEDDGFFSGDVVVGHGDGYYNLMYNYLHQPACIDDRHGCSNGSMPSNGMSPSNSVDDAVPTGDNVDDDDDDNWLHDIHMLFDEEEDDGVAGERVAGGVDITIKGRRTADGGVYLGLRIAGKDDTGRGRIICFRFDTEADTAMSVAAEMVAELDITDHEVTRIAELIDGKVVALVPGWRPGPATDGDDGDGDGDDQDDGDGCCGNCRPANSSSSSSSCGSLVDFMKSSSAAAATAERHGCRRCAELHGRFEEITFEADDEEEEQHLQGSGCSSCDTGGSSSSRIGEKAMEKEKEIMDMNDH >LPERR07G04790.1 pep chromosome:Lperr_V1.4:7:4134056:4137798:-1 gene:LPERR07G04790 transcript:LPERR07G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRILYVPPGLGARRLWFACTALSAFSVAPRLLSTAFGSRGKHQRKDAAAVADDADISGDAAARLGGRTTFEGGLNIVTEKDLEHLIQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAHSKILDEFPQNGTMIIHWIKKFPFFCSDREYIFGQRIWESGKTFYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRNTESTLSRSAIMARVTTKTSITSSNCPLNQEPSSAVKTVDENDENSRAVQHGFDWKWVVFGGAVAAVCVLNTGLVGKALLVGAASRRQAKK >LPERR07G04800.1 pep chromosome:Lperr_V1.4:7:4139615:4142941:-1 gene:LPERR07G04800 transcript:LPERR07G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVLTSPCLRPPPPLRAFPTPATRLPGAPQTLTLRPLRLPRGLRSSSPAPPRAAAEAAASAVGGLLAPLSTLEVGLRSVNLAPLRAPVAAAMSAVVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRMNWWMLVGKLGFTMGAQAIFGQSTRDGARQEAVA >LPERR07G04810.1 pep chromosome:Lperr_V1.4:7:4151968:4157736:1 gene:LPERR07G04810 transcript:LPERR07G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSITSGKEVVEMSTDDESDCVVICPPNGKADQTEVMSGSHDEDSPRGQEIPSTIDSHMNGNAQDGVPADQDVPKLIDQQKSSLPSSPIKHGVAEQKESNHTVPQPFAPATEREDSGEGDCTPVAHPASNGEKHSDKSSTSLASMAKKSPSVTPRKPVQADSTSHSHEDDSYSFYTKLEEKRKALEEEKIEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPVFTRRKSCSDTPHTPEGKNGSAVCCRLHRHSIGNSKEVNSRTQCSPKSSPKTGVAAKPRATKGVMKNVGKPGAADVAVKI >LPERR07G04820.1 pep chromosome:Lperr_V1.4:7:4160848:4161087:1 gene:LPERR07G04820 transcript:LPERR07G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAAIVSVILLIFFLGSGEMMARPVARVGKTMTTVGERINSDGVVVNSWTTESSSQPSGCTNGNGPGGYCHTPAPAGH >LPERR07G04830.1 pep chromosome:Lperr_V1.4:7:4162545:4170134:1 gene:LPERR07G04830 transcript:LPERR07G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQLCDALAAAGFDGGDGALDADSLEWPFLQGDDTRRLLAWLSSRLRPANVLSATDLALYEELELEGKLLEGEDLDFAFDSISAFSESGDNQEDTFLTEESLEHIRDSKLALRAEVSDLEKQLASLEWQLDMLTEQATTITQGKKSRASAKTIPNIQISRLDEKLAKRSLEMNSVLGKLSATTQELSYYHSEADIGIYLSYSDFQSYIIHNLACTKELDRWFSKKFKKGPLQFVAKEDISRGDYEKFARSNRQYIDAQAEYAKEQAILSTLRTQLASQQSHVHQDVHSLKRRSSELAEELRDLSLQVKKFLSEVITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAANQFLKIACQIERQAKLSSAYSLLKAIAMELQGYLSVVDGRLDRYHLVDQAASEMLEEGPVDDRDTFLHAVRDILSSHSGAQAMTSLYVSAYGLVEQISDLQTELDYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTNVQPTLTPWPLAQALEELEIINQQVSTCVNEVTMARDKKSEMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALPD >LPERR07G04830.2 pep chromosome:Lperr_V1.4:7:4162545:4170134:1 gene:LPERR07G04830 transcript:LPERR07G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQLCDALAAAGFDGGDGALDADSLEWPFLQGDDTRRLLAWLSSRLRPANVLSATDLALYEELELEGKLLEGEDLDFAFDSISAFSESGDNQEDTFLTEESLEHIRDSKLALRAEVSDLEKQLASLEWQLDMLTEQATTITQGKKSRASAKTIPNIQISRLDEKLAKRSLEMNSVLGKLSATTQELSYYHSEADIGIYLSYSDFQSYIIHNLACTKELDRWFSKKFKKGPLQFVAKEDISRDLPEYIDAQAEYAKEQAILSTLRTQLASQQSHVHQDVHSLKRRSSELAEELRDLSLQVKKFLSEVITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAANQFLKIACQIERQAKLSSAYSLLKAIAMELQGYLSVVDGRLDRYHLVDQAASEMLEEGPVDDRDTFLHAVRDILSSHSGAQAMTSLYVSAYGLVEQISDLQTELDYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTNVQPTLTPWPLAQALEELEIINQQVSTCVNEVTMARDKKSEMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALPD >LPERR07G04830.3 pep chromosome:Lperr_V1.4:7:4162545:4170134:1 gene:LPERR07G04830 transcript:LPERR07G04830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQLCDALAAAGFDGGDGALDADSLEWPFLQGDDTRRLLAWLSSRLRPANVLSATDLALYEELELEGKLLEMNSVLGKLSATTQELSYYHSEADIGIYLSYSDFQSYIIHNLACTKELDRWFSKKFKKGPLQFVAKEDISRGDYEKFARSNRQYIDAQAEYAKEQAILSTLRTQLASQQSHVHQDVHSLKRRSSELAEELRDLSLQVKKFLSEVITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAANQFLKIACQIERQAKLSSAYSLLKAIAMELQGYLSVVDGRLDRYHLVDQAASEMLEEGPVDDRDTFLHAVRDILSSHSGAQAMTSLYVSAYGLVEQISDLQTELDYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTNVQPTLTPWPLAQALEELEIINQQVSTCVNEVTMARDKKSEMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALPD >LPERR07G04840.1 pep chromosome:Lperr_V1.4:7:4171286:4174432:1 gene:LPERR07G04840 transcript:LPERR07G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAKKEAFRKYLESSGVLDTLTKVLVALYEEHDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNLKNGSGNDRC >LPERR07G04850.1 pep chromosome:Lperr_V1.4:7:4179695:4179931:-1 gene:LPERR07G04850 transcript:LPERR07G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPPSSSSSSATASGAGVADGGGCAGAAKKMPRGAAADTAEIILYAVV >LPERR07G04860.1 pep chromosome:Lperr_V1.4:7:4185056:4188773:-1 gene:LPERR07G04860 transcript:LPERR07G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEQPIEQRAMAEEGPVIACHTNNEFKEQLEKGMESRKLSWLGWLEPASQLNGVLLLTPFVCKLRSFNPSVVIDFTASWCGPCRFIAPVLAELAKKMPDEVAEDWSIESMPTFVFMKEGAVIDKVIGAKKDELHSTILKYANAPAPASA >LPERR07G04860.2 pep chromosome:Lperr_V1.4:7:4185056:4188773:-1 gene:LPERR07G04860 transcript:LPERR07G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEQPIEQRAMAEEGPVIACHTNNEFKEQLEKGMESRKLVVIDFTASWCGPCRFIAPVLAELAKKMPDEVAEDWSIESMPTFVFMKEGAVIDKVIGAKKDELHSTILKYANAPAPASA >LPERR07G04870.1 pep chromosome:Lperr_V1.4:7:4189675:4191297:-1 gene:LPERR07G04870 transcript:LPERR07G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVIACHTKEDFETHMNKAKESGKVVIIDFTASWCGPCRFIAPVFAELAKKHIGAFFLKVDVDELKEVAEKYNVEAMPTFLIIKDGAEAEKVVGARKDDLQNAIVKHVGSAAAAASSASA >LPERR07G04880.1 pep chromosome:Lperr_V1.4:7:4201261:4203153:-1 gene:LPERR07G04880 transcript:LPERR07G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTGSASPSRNPLRIIPTAAFAKQVATGRWFTVFASLLILTASGATYIFSIYSRALKESLGYDQKTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAIGAAMNLFGYLMVYLAVAGKTSTPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPEGRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAMSVVFVHTVRILPYPRRRGGYETSSDPFFCFLYISIGLACYLLVMIVVQRQFEFSPTAYSCSAAALLIILCLPLCVVIKYEYKIHCERLDLAAAEPPPSAITVVQLTETEKHPPPPPPNSSPSPSPATAAEVEKGNCLHNCFGNMFRPPARGDDYTIMQALVSIDMIVLFIATICGVGGTLTAIDNMGQIGQSLGYPSKSINTFVSLISIWNYAGRVTAGFASEALLDRRRRRRIPRPLVLTAVLLLACAGHLLIAFGVSNSLYAASVIIGFCFGAQWPLVFAIISELFGLKYYSTLYNFGGMASPVGSYVLNVLVAGRLYDKEAERHPAAAAGGGGGGGGGRDRVCVGVDCFKRSFLVITAATAFGALVSLVLVWRTWGFYKGDIYARFRDGGAGAGDDGRLPVDHQRPAAAEEEEPTAVNVRRE >LPERR07G04890.1 pep chromosome:Lperr_V1.4:7:4210100:4213748:-1 gene:LPERR07G04890 transcript:LPERR07G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGKAACAAWIRRREEKATRVFAAYGRAGSPAAVEVLGFDSKDCSLSEPLARAVLGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLVFEEFTVRLISSVAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIYHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSNDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSPISSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLLSAILFYMFYQRSDSFWNFPMGRHQPSKPWSVLKESPPVPEDQNPW >LPERR07G04900.1 pep chromosome:Lperr_V1.4:7:4216024:4219627:1 gene:LPERR07G04900 transcript:LPERR07G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLLRIPPPASSLRLRLRVLAHERIAPGRRRYLPHLRYASASAYSRTSASPLRAVATSRILAPPPSRIAHPASSLAPPKSSASLRILRMRAAPQGVQRLPPLPPRIRALNDEIHGKFERAVILWTLDPAERDAVLVNEEAKKWHPGSRAKMSLGHLRRHRRSLRCLHRRLIIGPGVLGRIVADKWKQISLGFARGKRYHKEPTNDELFIILYGWILLDHSGQRGEKVFILDPRPVNEKYKDYPVGPYTRKIVCISENLIRGMIRCGWAEDIATWVPVFPDIPHEHETQSGYLVYLFMRSWSNGELRLPTYKGCGDLRKQFVTHLLTSPGNDSELSTPDGLNNIALSLYGV >LPERR07G04910.1 pep chromosome:Lperr_V1.4:7:4223220:4227048:1 gene:LPERR07G04910 transcript:LPERR07G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLLQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVIGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPTVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIDSIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRIHHKLEATVDAILAGFHGHQFNFIFSFHNVTGKPPKFEARKMDYKATESGRSLVIPERQYETEPLPSYQEHLVAKAMPSEDNFDDDFSTRRTKSKSVLSEDNFDDDFGSTRKTKGKTTEPGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRKVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKVSTGSTPKQSSSDLIVSDNGGGSSFSRLPFLPK >LPERR07G04920.1 pep chromosome:Lperr_V1.4:7:4228421:4233946:1 gene:LPERR07G04920 transcript:LPERR07G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERSLERAFSEFGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGTYSSGARGGYRSGGDAVPSANDDCFKCGRSGHWARECPYSGGGGGGRTGRYSPPSRYGSGTGGGRGDRFGGSDRFANRYVDDRYDGGGGRYVDDRYGAGGRDRYATDRYPPTADRFAGDRYGGSDRYASSGFARERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPNRAAARTYDDRY >LPERR07G04930.1 pep chromosome:Lperr_V1.4:7:4232909:4238534:-1 gene:LPERR07G04930 transcript:LPERR07G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAGLLRRLVPLAASDTQPRRGMYPAQYGATNRYFSIFYWIQGQQHPLYGCRTNVETDDSQQSAQMNFKVQKRSFSSSAAHIQRNPTYSVLNSDDVSYFKSILGESGVVQDEDRVAVANVDWMGKYKGASQLLLLPKSSNEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSFVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVTDGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEETRSRVGDMGDVLGYGHLGDGNLHLNIVSTKYSDEMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLFDPNSILNPYKVRRYV >LPERR07G04930.2 pep chromosome:Lperr_V1.4:7:4232909:4238534:-1 gene:LPERR07G04930 transcript:LPERR07G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAGLLRRLVPLAASDTQPRRGMYPAQYGATNRYFSIFYWIQGQQHPLYGCRTNVETDDSQQSAQMNFKVQKRSFSSSAAHIQRNPTYSVLNSDDVSYFKSILGESGVVQDEDRVAVANVDWMGKYKGASQLLLLPKSSNEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSFVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKVYQKHPSKLGLSTSDMGDVLGYGHLGDGNLHLNIVSTKYSDEMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLFDPNSILNPYKVRRYV >LPERR07G04940.1 pep chromosome:Lperr_V1.4:7:4244776:4245957:1 gene:LPERR07G04940 transcript:LPERR07G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKLLVLTPDGGDGDGDGDERGLRRHGGEDGIRRLLQDDQCIPPGDPCCNTYVCGINLIIATTNTERSLYGFVRVHASTSLCTDAEVAQRPERWLSHDHDGLTAVARWDGCRRTSSFFPMTHDLCRVCNATWGSPHATAAAMRRVGNEGGQSRGRYESDGDRRRGIKDISQGCGARRLGGSVKMIFGEWHMVRLANS >LPERR07G04950.1 pep chromosome:Lperr_V1.4:7:4246393:4252245:-1 gene:LPERR07G04950 transcript:LPERR07G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATARPVAATAAAAAVLQKISSALVEEGSKILAPKFKRQAPDLLEVTNKMRLLQSDFSMMQAFITQVAVDRSNDTVLEAWMEQLRIAAHEAEDIVDEYIYLIGQMEVKDSLLKKAFNQATEVKKWRKLSVQAKLVEDRLQKIAEAKNRFDISFASNRQNDATSYPSRHHHLSEYSYLNDDDDFVGNAEEYNLHMWNGRVGKTTLASTIYKKEEIKRMFVCRAWITVSQNHSSKDLLKKILLQLMTKTENTMNGVDTMDRVNLVEQLRRYLEVRRYLIVLDDVWSRDAWPLLDNAFVKNSNGSRVIITTRIETVASLADANHEMKLTLLPKQEAWTLFCQKAFSRLDDRCCPLNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMEEHEWELFYSQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVQDRGPETTLADVAACYLKELANRSLLQVVERNEYGRPRRFRMHDLVREISLTISKKEKFATIWDCPNSNGVTDGSRRVSLQKDGSLVHAAKCSSQLRSMFLFSEEISLSWFTDGYPSFRLLRVLCLRHCNIQKVPDAISQLFNLHYLDLGYTKLKEIPRSIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKVSRLEHLQTLRSVEANSYIVKNLGCLTKIRSLGIMKVLESYNTDLWASISKMTALNSLSVIAADHDRYALDLAELKPLAHLEKFMISGRLHKGAIPPIFGSFPKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCYDGTKLTFRAGWFPKLKHLYLSSMNELKEVEVEDGTMTSLQRLELWGLKTLTSVPQGFVYLRSLQQLCIGPSMSEEFCRRLEGTDRQEQESNEHILGAFFLCFSSSFFSFFFSVAETRAGCALVATATLGGIGTTPAAGVGRSIERGDVESGSEKGVQNW >LPERR07G04950.2 pep chromosome:Lperr_V1.4:7:4247191:4252245:-1 gene:LPERR07G04950 transcript:LPERR07G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATARPVAATAAAAAVLQKISSALVEEGSKILAPKFKRQAPDLLEVTNKMRLLQSDFSMMQAFITQVAVDRSNDTVLEAWMEQLRIAAHEAEDIVDEYIYLIGQMEVKDSLLKKAFNQATEVKKWRKLSVQAKLVEDRLQKIAEAKNRFDISFASNRQNDATSYPSRHHHLSEYSYLNDDDDFVGNAEEYNLHMWNGRVGKTTLASTIYKKEEIKRMFVCRAWITVSQNHSSKDLLKKILLQLMTKTENTMNGVDTMDRVNLVEQLRRYLEVRRYLIVLDDVWSRDAWPLLDNAFVKNSNGSRVIITTRIETVASLADANHEMKLTLLPKQEAWTLFCQKAFSRLDDRCCPLNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMEEHEWELFYSQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVQDRGPETTLADVAACYLKELANRSLLQVVERNEYGRPRRFRMHDLVREISLTISKKEKFATIWDCPNSNGVTDGSRRVSLQKDGSLVHAAKCSSQLRSMFLFSEEISLSWFTDGYPSFRLLRVLCLRHCNIQKVPDAISQLFNLHYLDLGYTKLKEIPRSIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKVSRLEHLQTLRSVEANSYIVKNLGCLTKIRSLGIMKVLESYNTDLWASISKMTALNSLSVIAADHDRYALDLAELKPLAHLEKFMISGRLHKGAIPPIFGSFPKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCYDGTKLTFRAGWFPKLKHLYLSSMNELKEVEVEDGTMTSLQRLELWGLKTLTSVPQGFVYLRSLQQLCIGPSMSEEFCRRLEGTDRIQSIHN >LPERR07G04950.3 pep chromosome:Lperr_V1.4:7:4248539:4252245:-1 gene:LPERR07G04950 transcript:LPERR07G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATARPVAATAAAAAVLQKISSALVEEGSKILAPKFKRQAPDLLEVTNKMRLLQSDFSMMQAFITQVAVDRSNDTVLEAWMEQLRIAAHEAEDIVDEYIYLIGQMEVKDSLLKKAFNQATEVKKWRKLSVQAKLVEDRLQKIAEAKNRFDISFASNRQNDATSYPSRHHHLSEYSYLNDDDDFVGNAEEYNLHMWNGRVGKTTLASTIYKKEEIKRMFVCRAWITVSQNHSSKDLLKKILLQLMTKTENTMNGVDTMDRVNLVEQLRRYLEVRRYLIVLDDVWSRDAWPLLDNAFVKNSNGSRVIITTRIETVASLADANHEMKLTLLPKQEAWTLFCQKAFSRLDDRCCPLNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMEEHEWELFYSQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVQDRGPETTLADVAACYLKELANRSLLQVVERNEYGRPRRFRMHDLVREISLTISKKEKFATIWDCPNSNGVTDGSRRVSLQKDGSLVHAAKCSSQLRSMFLFSEEISLSWFTDGYPSFRLLRVLCLRHCNIQKVPDAISQLFNLHYLDLGYTKLKEIPRSIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKVSRLEHLQTLRSVEANSYIVKNLGCLTKIRSLGIMKVLESYNTDLWASISKMTALNSLSVIAADHDRYALDLAELKPLAHLEKFMISGRLHKGAIPPIFGSFPKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCYDGTKLTFRAGWFPKLKHLYLSSMNELKEVEVEDGTMTSLQRLELWGLKTLTSVPQGFVYLRSLQQLCIGPSMSEEFCRRLEGTDRCIVQHIPYIGDP >LPERR07G04960.1 pep chromosome:Lperr_V1.4:7:4252772:4259795:-1 gene:LPERR07G04960 transcript:LPERR07G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKLIKPGDCVLMRASDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGVKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKSRAMKFLVGI >LPERR07G04970.1 pep chromosome:Lperr_V1.4:7:4268175:4279223:1 gene:LPERR07G04970 transcript:LPERR07G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGNPHAAAPIPLSSKGVAPPSRPGYGAVGDRIVVRANHFLVRVADSDMIYLYDVNMNPTPKTRHINRVVISELAKLHREAHLGGLSFAYDGSKALYTAGKLPFESMDFKIKLGKDLREIEYKVTIRLAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVTVYRSFFSTAFGREDIGDGLESWKGYYQSLRPTQMGLSLNIDRVENGLQGVSNMCQLRWSPISLGETTAMIREKVEHCCRWSPEEVTEVAEFLDDDISSTAFFKPISVVEYVKNCLSRPDPRRPLSDIDRLKLKKALRGIRVETTHQQGKSSKYKITTITSDPLSQLNFPLDGATQTVVQYFSERYKYRLHYTSWPCLQSGSASSPIYLPMEVCTIIEGQRYFKKLNENQVTGLLKATCLPPQKREQKISEMVQHNNYPADRVVREFKIDISNQMATVPARVLPAPMLRYHDSGKEKTCYPRVGQWSMMNKKMVDGAKVQKWTCVSFARMQMDAVHRLCGELIYTCNATGMHFNQWPVMEVHSASPDNIEAALKNIHSVAPELELLIVILPDNSGYYGRIKRVCETELGIVSQCLKPGRKLWSFDRKYLENVSLKINVKTGGRNVVLQRPLLPGGLEDPTIIFGADVTHPAPGEDSAASIAAVVASMDWPEVTKYRALVSAQPARQEIIQDLFTMTETPLNTGCQQKADAQKKNIVCGGMIRDLLMAFYSKNAKRKPKRIIFYRDGVSDGQFYQVLLYEMDAIKKVIASMDSTYKPLVTFVVVQKRHHTRLFPEVHGRQDLTDKSGNIRPGTVVDTNICHPSEFDF >LPERR07G04970.2 pep chromosome:Lperr_V1.4:7:4268175:4279223:1 gene:LPERR07G04970 transcript:LPERR07G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGNPHAAAPIPLSSKGVAPPSRPGYGAVGDRIVVRANHFLVRVADSDMIYLYDVNMNPTPKTRHINRVVISELAKLHREAHLGGLSFAYDGSKALYTAGKLPFESMDFKIKLGKDLREIEYKVTIRLAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVTVYRSFFSTAFGREDIGDGLESWKGYYQSLRPTQMGLSLNIDISSTAFFKPISVVEYVKNCLSRPDPRRPLSDIDRLKLKKALRGIRVETTHQQGKSSKYKITTITSDPLSQLNFPLDGATQTVVQYFSERYKYRLHYTSWPCLQSGSASSPIYLPMEVCTIIEGQRYFKKLNENQVTGLLKATCLPPQKREQKISEMVQHNNYPADRVVREFKIDISNQMATVPARVLPAPMLRYHDSGKEKTCYPRVGQWSMMNKKMVDGAKVQKWTCVSFARMQMDAVHRLCGELIYTCNATGMHFNQWPVMEVHSASPDNIEAALKNIHSVAPELELLIVILPDNSGYYGRIKRVCETELGIVSQCLKPGRKLWSFDRKYLENVSLKINVKTGGRNVVLQRPLLPGGLEDPTIIFGADVTHPAPGEDSAASIAAVVASMDWPEVTKYRALVSAQPARQEIIQDLFTMTETPLNTGCQQKADAQKKNIVCGGMIRDLLMAFYSKNAKRKPKRIIFYRDGVSDGQFYQVLLYEMDAIKKVIASMDSTYKPLVTFVVVQKRHHTRLFPEVHGRQDLTDKSGNIRPGTVVDTNICHPSEFDF >LPERR07G04970.3 pep chromosome:Lperr_V1.4:7:4268175:4279223:1 gene:LPERR07G04970 transcript:LPERR07G04970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGNPHAAAPIPLSSKGVAPPSRPGYGAVGDRIVVRANHFLVRVADSDMIYLYDVNMNPTPKTRHINRVVISELAKLHREAHLGGLSFAYDGSKALYTAGKLPFESMDFKIKLGKDLREIEYKVTIRLAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVTVYRSFFSTAFGREDIGDGLESWKGYYQSLRPTQMGLSLNIEGQRYFKKLNENQVTGLLKATCLPPQKREQKISEMVQHNNYPADRVVREFKIDISNQMATVPARVLPAPMLRYHDSGKEKTCYPRVGQWSMMNKKMVDGAKVQKWTCVSFARMQMDAVHRLCGELIYTCNATGMHFNQWPVMEVHSASPDNIEAALKNIHSVAPELELLIVILPDNSGYYGRIKRVCETELGIVSQCLKPGRKLWSFDRKYLENVSLKINVKTGGRNVVLQRPLLPGGLEDPTIIFGADVTHPAPGEDSAASIAAVVASMDWPEVTKYRALVSAQPARQEIIQDLFTMTETPLNTGCQQKADAQKKNIVCGGMIRDLLMAFYSKNAKRKPKRIIFYRDGVSDGQFYQVLLYEMDAIKKVIASMDSTYKPLVTFVVVQKRHHTRLFPEVHGRQDLTDKSGNIRPGTVVDTNICHPSEFDF >LPERR07G04970.4 pep chromosome:Lperr_V1.4:7:4277656:4279329:1 gene:LPERR07G04970 transcript:LPERR07G04970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPESREQAGQLTTTFSMTRTVFLPISCRRSLTICVTLPPAYYAHLAAFRARYYDEPAEFALDGASSVASGGNQAAGGQPPAVRRLLQIKENVKDVMFYC >LPERR07G04970.5 pep chromosome:Lperr_V1.4:7:4277656:4279331:1 gene:LPERR07G04970 transcript:LPERR07G04970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPESREQAGQLTTTFSMTRTVFLPISCRRSLTICVTLPPAYYAHLAAFRARYYDEPAEFALDGASSVASGGNQAAGGQPPAVRRLLQIKENVKDVMFYC >LPERR07G04980.1 pep chromosome:Lperr_V1.4:7:4281952:4285693:-1 gene:LPERR07G04980 transcript:LPERR07G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQHSQKRLLGLFTVKGPDRVIKPRDCVLMMALDPSKKPYVARVEEIEVSGAQDTNMKFKVRWYYSPEEAIGGQRPFHGSKEVLLSDHYDDQQSVESIEEKCYVHNFRDYTKLQSVGAEDFFCCFEYKADFVSVMPYNLDDLMVQCEDCSDWFHPSCVNLTLKEAKKLDHFYCESCVAENEKKLQKCNVGTSQYGGKCKLIHML >LPERR07G04990.1 pep chromosome:Lperr_V1.4:7:4297025:4302177:1 gene:LPERR07G04990 transcript:LPERR07G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSLSSRHRALPLPLSPAARSARLRPRRNAPFACLCCSCDSPDGATTRRWFASLLATTAAVGIGVAGGDADAVSTSRRALRSAKVPESEFTTLPNGLKYYDITVGTGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKAGGQRLIIVPPELAYGKKGVQEIPPNATIEMESKKAEGIKNLLLKIVVPLAFPLAGSFIFDLITNSANRHSDIDLSDSSIQLDPSYQSNSIPEEEEEEMESTHGAPQKIVQTENPCSAGMLVSREFSRQASHTEEILAAQASRSSSEISVNRVQDEQRMMEDVDSLKRMVSALEEQAASIESQFHDYCNMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAATEIRAAAEEFATMRANFDALQSKSKKIWKKNKQDLEAIDGRILAIDAREAEMATRCEGFEKYMEEMKQLTSQLQKDKGSNNENVEVIVERSMRKLSSNKDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGVEVGDTQAIGEPPAQQRKGEKMVAVVAPSNEVELCKASSSASSELRGAAAATEAEASCMMGFAAGGCRKGIIGRPRLLRRLRGWAGGKGRSRRQGKIEFPASPM >LPERR07G05000.1 pep chromosome:Lperr_V1.4:7:4303577:4307423:1 gene:LPERR07G05000 transcript:LPERR07G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISSSSSLPACLHGHGSSRVTSCATACLVLVALTILVISIDPRSSTTQPSWFLLSSSSSSSSTSVSVRHAAATSASSLRQTSSWINGGGGGGEHLLVRSSSFGGGARSRGRNSTGKEVLVEGGGGDGTAAAAAAVIGSSSGDGGLLPSVALSPAGELTAAAPAPAPALEWGVDDAASRDDIIQVMPQVQRRRDVKLERLEFGLAKARAAIREAIQNKDNRPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMNPLRRTISDYINVVSTKYPHWNRSFGADHFMLSCHDWGPYVTSADANLMSNSIRVLCNANTSEGFEPSRDVSLPEINLRSDAVDRQVGGPSASRRPILAFFAGGDHGPVRPLLLKHWKGKDADLQVSEYLPRGVSYTDMMRRSRFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYALPFADVLNWAAFSVRVPVADIPRLKTILAAVSTPQYIRMQRRVRAVRRHFMVNGPPRRFDVFHMILHSIWLRRLNVRVVAPEEY >LPERR07G05010.1 pep chromosome:Lperr_V1.4:7:4307871:4314611:1 gene:LPERR07G05010 transcript:LPERR07G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAALLRSGSGLRRPLSTAAATWLSDGASSPPRVRLLIGGEFVESRADKHVDVTNPATQEVVSRIPLTTADEFKAAVDAARTAFPGWRNTPVTTRQRIMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDKDIKAVSFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDGTLNALIAAGFGAAGQREDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLVQSGADNGARVLLDGRDIVVPNFESGNFVGPTILADVKGDMECYKSQESQIAFLASCKAICWISAVCVLESMAMELPYLPRLVSLQGNFKQTLKLASKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >LPERR07G05020.1 pep chromosome:Lperr_V1.4:7:4316251:4316620:-1 gene:LPERR07G05020 transcript:LPERR07G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKCKSVAEQYRKCSNPPKAPRLCPAHELAFERCLQKNKSHIKECQFWMDMMRKCHRRNKQ >LPERR07G05030.1 pep chromosome:Lperr_V1.4:7:4318768:4318962:1 gene:LPERR07G05030 transcript:LPERR07G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPGEQNGLKDKPPRESPMPLLLLLLAGDTVAASSNKNNSAPPVTPTPWPEQFHGVVLTNLT >LPERR07G05040.1 pep chromosome:Lperr_V1.4:7:4320980:4324410:1 gene:LPERR07G05040 transcript:LPERR07G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLLAAGATAAAATSSNNNKISGGGAPPPPTPTPWPEQFHAAIFTNLTESAGRLQLIDLYYDWPKGRNLNLIRNQLAAEPIYDVEWNNGTSYIFDSASCRTIRFPVGLLPPDWLVDGAVYLGRESTDGFDCHVWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFDVGGVLEDSQWQAPAHCFSDKEVTTGNSGATAAADRVEEGEDIKLDVMGSFLRFAGVPATTVAAA >LPERR07G05050.1 pep chromosome:Lperr_V1.4:7:4323266:4324721:-1 gene:LPERR07G05050 transcript:LPERR07G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAASAAVTPPPPPAPTPWPERLHAVLFTNNMTTSGGELRMTDLYYDFPGGRNLNLVNRQLAADDPVYDVEWTNGTSYYFDSTSCRTILFPVGILPPDWLAAGAVYLGREVVEGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGVLEDSKWQAPAYCFNNDTTTNSVTVNNKIDSEIDVMSSFLRFVGGQQTAMAATLQGLVHRLSLRY >LPERR07G05050.2 pep chromosome:Lperr_V1.4:7:4322347:4324721:-1 gene:LPERR07G05050 transcript:LPERR07G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAASAAVTPPPPPAPTPWPERLHAVLFTNNMTTSGGELRMTDLYYDFPGGRNLNLVNRQLAADDPVYDVEWTNGTSYYFDSTSCRTILFPVGILPPDWLAAGAVYLGREVVEGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGVLEDSKWQAPAYCFNNDTTTNSVTVNNKIDSEIDVMSSFLRFVGGQQTAMAATLQGFYCCCWNSCKPEEAAHYIKLDILTFFYPVGRSRGTGVSGGDFFITEAVSRRLPLGVL >LPERR07G05060.1 pep chromosome:Lperr_V1.4:7:4349354:4352570:1 gene:LPERR07G05060 transcript:LPERR07G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLCFSSHSSPLLLPPPPTPARALRSPLLPTRAPNSSQLPSSLAVVCLINCLDPMALQTSSPSLFRAVPTTTAAANSPCRRQFKVRANAANGGGGGDGTGKVMMRKDQPWKVDYSTGEKPATPLLDTVNYPIHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESSHDAFGAGHSSTSISAALGMAVARDILGKKNHVVSVIGDGAMTAGQAYEAMNNAGYLDSNMIVVLNDNKQVSLPTATLDGPAKPVGALSKALTKLQSSTKLRRLREAAKTITKQIGGQTHEVAAKVDEYTRGMVSASGSTLFEELGLYYIGPVDGHNVDDLVTIFEKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPKTGQQFKSKSQTLSYTQYFAESLIREAEVDDKVVGIHAAMGGGTGMNYFQKHFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGAILPLNNKGSVLEVGKGRVLVGGNRVALLGYGTMVQACLKAAEALKEHDVFVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGNLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPLEAMQLS >LPERR07G05070.1 pep chromosome:Lperr_V1.4:7:4355647:4358020:-1 gene:LPERR07G05070 transcript:LPERR07G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVIGSETFEKLGSIGTAANLMVYLTGVFHMPALDAAVALNLFSGTTNLATVAGAFVSDLFLGRFSTLSVASPCDVINGGGGGGDVTKCVGATREQTAVLGLSFALIVLGAGGIRPCSMPFGADQFDPSTESGRRGIDSFFKWYYLTLTAAVCLSSTEIVYVQTNFSWLAGFAIPAALMLLSCILFFSGADVYVRVQPHGSSPFVAVAAFRNRLIPSCSSSSAAAEKTLFGGGTARNPWRICSVEEVEETKCIIRILPVWATCILYYVAFAQTNTYVILQAAQSDRRLVGFGVPAGTFAVFPMVALAVWIPIYDRLVVPWTRRRWGRPVTMLQRMGIGMGLSVVAMVVSAVVEKRRREVAVGAVAFLAVLGLSEAFNQVSQMEFYYKQFPESMRSVAGSLLFSGLALSIVVAVERLTRGDGDGDDGWLAEDLNRGRLDLFYLLVAAIGVANFLVFLACAKWYRYKGSDDDEHGNDR >LPERR07G05080.1 pep chromosome:Lperr_V1.4:7:4358854:4368213:-1 gene:LPERR07G05080 transcript:LPERR07G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSAAASWAAEDDVLLKNAVEAGASLESLAKGAVCFSHKFTLQELQDRWRSLLYDSGTSAQASALIVKYETELSTSNPAKAYKLFYSRRKHLSPGKRKIESVKNQYYAMRKRVCHDPCLAADFGYVITSCSCPVDGDCACDGLLNLLEGHHLVHNVNSAADVVNGCGQVGESYANGQDIYSKDKISYISHKRHGKAAGRVVFNGNTNCESVNGCSDVGELYGHNYMQKNIQSSERNIGSSYDISDTQDYVQLRQPPLCEKSANGMTGLEALANTHHDGIKQSQISGDNNERLQEPGSLKAISQCSYSQASSAPTWKKFQGANSPAMLTNVYQKEQEILTFSDDKKNGNTFKVNMENGMSGSGLHSAIEGKVTHLCVKDASQSEDIELLNSMNVLDSSLDSNLVDLGDRHANVILKGISKGDLLDLPHVSACGNIDPIHEKHDAADISVADMICTSEVPFPGSDIMCILNTEDPDIPCNDDIFIPCPVASTSTCDQNFQDNMHLVSTKPIPPLNAADLNHTDLVSDVQPLLLTMELEPYKEQKETLAALNEYCTVRSKPSVMHVDVVANNTNARTSTCGLVQCEGLDDRVGVLDEMNSKFPDEPGIRCETTIQNNTPSHVLPDVEFLDPITTTSSQEGGGSDSEDGVPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLQSRKDLVRLEQGVRSSMDRSIMSHGAFAVLYGQHLKYYIKDMEVTLGRETEEGHVDIDLGKEGKANTISRQQIRHMKFIFHINQDAVRQHIVRTRRETSQGENTVFDWDMGCIFSSKSKSGDSAVVAVHSKSQWDELWDAHKTTTKLVVIDFSASWCGPCKMIEPAFKEMAGRFTDAAFLKLDVDELADVSRTWRVEAMPTFVLARGGEELGRVVGADKDELEKTINTFRSPSPAAAMATVA >LPERR07G05080.2 pep chromosome:Lperr_V1.4:7:4360783:4368213:-1 gene:LPERR07G05080 transcript:LPERR07G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSAAASWAAEDDVLLKNAVEAGASLESLAKGAVCFSHKFTLQELQDRWRSLLYDSGTSAQASALIVKYETELSTSNPAKAYKLFYSRRKHLSPGKRKIESVKNQYYAMRKRVCHDPCLAADFGYVITSCSCPVDGDCACDGLLNLLEGHHLVHNVNSAADVVNGCGQVGESYANGQDIYSKDKISYISHKRHGKAAGRVVFNGNTNCESVNGCSDVGELYGHNYMQKNIQSSERNIGSSYDISDTQDYVQLRQPPLCEKSANGMTGLEALANTHHDGIKQSQISGDNNERLQEPGSLKAISQCSYSQASSAPTWKKFQGANSPAMLTNVYQKEQEILTFSDDKKNGNTFKVNMENGMSGSGLHSAIEGKVTHLCVKDASQSEDIELLNSMNVLDSSLDSNLVDLGDRHANVILKGISKGDLLDLPHVSACGNIDPIHEKHDAADISVADMICTSEVPFPGSDIMCILNTEDPDIPCNDDIFIPCPVASTSTCDQNFQDNMHLVSTKPIPPLNAADLNHTDLVSDVQPLLLTMELEPYKEQKETLAALNEYCTVRSKPSVMHVDVVANNTNARTSTCGLVQCEGLDDRVGVLDEMNSKFPDEPGIRCETTIQNNTPSHVLPDVEFLDPITTTSSQEGGGSDSEDGVPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLQSRKDLVRLEQGVRSSMDRSIMSHGAFAVLYGQHLKYYIKDMEVTLGRETEEGHVDIDLGKEGKANTISRQQIRHMKFIFHINQDAVRQHIVRTRRETSQGENTVFDWGQKP >LPERR07G05080.3 pep chromosome:Lperr_V1.4:7:4358854:4359738:-1 gene:LPERR07G05080 transcript:LPERR07G05080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFSSKSKSGDSAVVAVHSKSQWDELWDAHKTTTKLVVIDFSASWCGPCKMIEPAFKEMAGRFTDAAFLKLDVDELADVSRTWRVEAMPTFVLARGGEELGRVVGADKDELEKTINTFRSPSPAAAMATVA >LPERR07G05090.1 pep chromosome:Lperr_V1.4:7:4383685:4390139:1 gene:LPERR07G05090 transcript:LPERR07G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKADNLEAVLNESVDLENIPLEEVFENLRCNRQGLDSDQAKLRLDLFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEASIIVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMVVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFQRGTDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGGYLAMMTVIFFWAAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWAFASIKGIGWGWAGVIWLFNIVFYLPLDIIKFLIRYALSGKAWNLVLDQRIAFTNKKDFGTQENQLKWATAQRTIHGLQTATNAGVFRDMTSYNDLNQLAEEARRRAEIARLRELNTLKGKMESVVRQKGLDLEAIQQSYTV >LPERR07G05110.1 pep chromosome:Lperr_V1.4:7:4391985:4393769:1 gene:LPERR07G05110 transcript:LPERR07G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSKNRHRALPSTRTAAGATPPYPPKSPRHRHARLAVVLAAAAMLSLAASAVPATTTNPHSPDATTSVRLLRSLARSRRSDLAHRALHLFRSLNSSPSPPPPHLSLPAALSAAAFLSALPEGKQLHALAAKLGLAPEHTVVANSLLHLYSSCGLPAASLALFRRIPDRSLVSWNTAVDALVGNGDHLAALELFREMQRETDLSPDAYTVQSVLGACAGAGALSLGVYAHAMLLRELGGGEGDGGAAVSRDMLINNTLVDLYGKCGALELARQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTKVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDEYRIKPRIEHYGCMVDLLARAGFIEEALDIVARMNCRPDAVIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSKVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYKKLDEIQLKLTSAGYNPDLSEAPLVASVDYCKGAALRLHSERLAISFGLLNAPPGVPIRILKNLRVCKDCHTISKLISKLYGVEIIVRDRIRFHHFKDGLCSCKDYW >LPERR07G05120.1 pep chromosome:Lperr_V1.4:7:4394980:4403959:-1 gene:LPERR07G05120 transcript:LPERR07G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQIKIIGARVYGGASPVDEGGHGSHTASTAAGRAVAVAGLAGGTARGAVPGARLAVYKVCHGGRCGEADILAAFDDGVDVISYSIGSTLPSPYFSDATAIGSFHAMRRGVVTSAAAGNFGRVTNVALWLLSVAASTIDRRSCLLDGLAGVSLRGKIVLCPKENFLNTGTGPFRAGAAGAVIVGDNPDTAFAVPLPALMVTQEQFDEIMAYVNSTSNPVGTIDNTETTTDPQAPIAASFSSPGPNLITPEILKPDISAPGVDIIAAWTPLSSPTGLPDDKRSVLYNIGSGASMACPHVTGAAAYVKSFHPDWSPAMIMSALITTASPMGADAGGELKHCAGQLNPLKARDPGLVYDATERDYVSMLCAQGYNATQLAVITGSNSTACAAAESGGGGGGFSVVADHNNPTMSAHVSPGERFSLSFPRTVTNVGANGAVVYNARIAAVRVPAAANLSVDVVPSRLEFSTRFRSVAFAVVEAADEVVSVAVVWSDGGEHEVRSPVVVYTVDVGTPEEGLS >LPERR07G05130.1 pep chromosome:Lperr_V1.4:7:4404441:4405204:-1 gene:LPERR07G05130 transcript:LPERR07G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGVWPDSPSFSDDGLGPPPTRWKGSCHNFTCNNCTPSPDALRRTQPPHDAVVCCLAPPPPTA >LPERR07G05140.1 pep chromosome:Lperr_V1.4:7:4405250:4407188:-1 gene:LPERR07G05140 transcript:LPERR07G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRNLLLLHLLIVVFALGLTEAAETEGDGDEGQVYIVYMGHLSVESGTSEADGGLSAVQVAHHNMLEQVLDGSLASDRIIHSYKRSLNGFAARLTEQEAQTLYTNDGVVSVFPSRTHQLLTTRSWDLLGFP >LPERR07G05150.1 pep chromosome:Lperr_V1.4:7:4408480:4411120:1 gene:LPERR07G05150 transcript:LPERR07G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFERARTVRLRGHHDKYLYAEEDETHVSQDRHASSPNARWVVEQVPNSPGVIRLRGRYNRYLAASNEPFLLGVTGRKVLQSLPHRLNDSSIEWLPLRDGAHPHAVRLATRYGNFLRANGGLPPWRNSVTHDVPHRHAGWILWDVEVVQALPMQVPDLPNSSHAAAVGCVVDPAPQQHYRPPSRSPSPVPTAALRPVSPRRNRPESPPSLRAQPPPPPPGYIAPPEPGLLRMESTESFSAPLHKVDGRAIHYHIGDDNGDIAEDEEGHSFTFNGTSLEELLERLQEETGLNDVIICSRSPINGKLLPLRLQLPPNNAPMHIVLVRESSKGNENQRVYVLCPLYPGLLTL >LPERR07G05160.1 pep chromosome:Lperr_V1.4:7:4412916:4416148:1 gene:LPERR07G05160 transcript:LPERR07G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRHHHQHHVGHRDVETGMKTAAYVGGGGGRYGFTGGLEFTGLTYTVIKKQRGAGGEWEKKEVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRVASLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVHETLMFAADFRLGSSVSSSDKRLRVDNLIDQLGLTTSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILQLLDHLVVLARGKLMYSGSPRDVVAHLARMGQRVPKGESSIEHLLDVIQEYDHSEFGVAALAEYCLTGLKPPKLAADGVSLVSSIPPTPLLHHGGGGGGEEFDHSLRSQHSRSPWSGAAFTPSRRPKMYPEIVMGTPTPMSSAYTLSEGDYRTPPPAMAVAAGAAAVGINALGHRGKFANPYVGEVWILMRRNFTNILRTPELFLSRLMVLAVMGVLMATMFTKPKDDAQGITERLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGLVTYLPFLLLQSATYAAIVWYAAVIAFTALFFLFCGYFLDSHSIPLGWKWMNTISTMKYPYEGLLMNEFHGERLFSSEPPLTGDAILGHLRISTVEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >LPERR07G05170.1 pep chromosome:Lperr_V1.4:7:4416856:4418044:1 gene:LPERR07G05170 transcript:LPERR07G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYAYPAQGYYNQGPYQGPPVMAPPHIQYQYQYPPPQPPPRQPGFLEGCLAALCCCCLLDECCCDPSLIFVT >LPERR07G05180.1 pep chromosome:Lperr_V1.4:7:4419267:4433610:1 gene:LPERR07G05180 transcript:LPERR07G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSFSSTSSLLFLSSRTSPRRLLPFPFPFPLLHLPLRLSSAAMPPRRDRDRDRGKQQPEQQWKPKAAADADAAPAPIAAAEAEFAGGVERMTISSPPKAPAAQVWVPRGYATSTSASATAAAASSSTAAEAVEKGVDVEERLSRAFKAAPTFKVDGNTFTQAQIRATFYPKFENDKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGIFVLGRLFRETWGKEAPRIQEEFNDFLEKNRISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNYVWLFSTRKSALSFFAAYDALCEEGTATPVCKALDEIADVAVPASKDHVKVQGEILEGLVARIVSRESSVQIEDVLRNYPLPPSDGVDSDLGPSLREICAANRSDEKQQIKALLENVGSSMCPDHSDWFGHSGLGDQSPNDNKSVVTKFLQAHPTDYTTKKLQEMIRLMKQRHFAAAFKCYWNYHKIDSVSNDNLYYKMVIQVHNDYVFGRYQQEMRRNQELWPLYRGFFVDVNLFKVNNMKSSILSNDIDTSLKNINDALDSNSSAKDGLADEDSNLMVKLKFLPYKLRTFLIRNGLSALFKDGPSAYKTYYLRAVYIRRKYGSKPLSASTYLSEAEPFLEQYAKRSPVNQALIGAAGDLVQTENFLVTLEAERDEEGDLQVEHGTTPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILNAPGGLGDNRPLHSLMGDLIKGKYWQKVADERRKKPSRVTLADKNAPNEEVWSQIENMCRTTKAAGVPVIPDSEGTESNPFSLEALAVFMFRVLQRVNHPGNLDNASPNVGYVLLMFYNLYNGKNRREFESELYERFGSLVKMPLLQPDRAPFPCEVKAILDEGISLFRLHQSRHGRADPSKGAYAKEWTQWEKRLRQVLFANTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKIKTPGIEKRKFGNIVFAAVTLPPADILGLLSKLAEDTDVNNFLSTTKLADNLNKAHVTLAHKRAHGVAAVSSYGVYQNQQVPVMFNAFLFSDKMAALEVELGTVDGEKITSRNDWPHATLWTAPGVAPKEANTLPQLVSEGQAKRVAIDPPITISGVLDFY >LPERR07G05190.1 pep chromosome:Lperr_V1.4:7:4430004:4430527:-1 gene:LPERR07G05190 transcript:LPERR07G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSDIDIASGFIFVGHSATLACSPDLAPGFDNNPRPIVFKHACHAIKLWVAAVSSPRTTASLLVVTHRCIDMELPLCRPLGSQRRPSRLHRRPRIDNFVVRTAVKFFAIYFEHRRRVFLKLLLLSALGQWSSYLYIGYRRHLRAVGPAASTSSSTATPRRLCRLFLDYT >LPERR07G05200.1 pep chromosome:Lperr_V1.4:7:4435804:4438111:-1 gene:LPERR07G05200 transcript:LPERR07G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPPPASASAWGSINSGIIISLLAVVWTVVWNNLQGLKLGDVLGRHVNRHARRLAAIVDPYLTVTVAEYDGGRMKRSDAYGEVQAYLHRATCAAGGGVRHLKAEPAKGADADALVLSMGDGEEVADEFRGATVWWLAFSTPPRDDSGGRGGRATRADRRFYRLYFLERDRDVILGEYLPHVRRQGRAFTVKNRQRKLFTNLSSDEMWCDNVWSHVAFEHPKTFDTLAMDPAKKRDIMDDLDAFRRGKEYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLNYDIYDIELTSVATNTSLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKSDDDDDKNEKKPESTEEEKEKSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFEAFKFLANIYLGVDAHQLFDEVRTLLGEVDMTPADVAEYLTPKGPGDNADTCLAELVRELEKAKAKAKDNKTM >LPERR07G05210.1 pep chromosome:Lperr_V1.4:7:4439859:4440596:-1 gene:LPERR07G05210 transcript:LPERR07G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVMANYLDYDIYDIELTSVATNTDLRKLFIQTTSKSIIVIEDIDCSLDITGKRKKKKKKKSDGDDDKNEKKSESSEDEEEEEKGKSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALIRRGRMDKHIEMSYCCFEAFKFLAGIYLGIDAHHLFDKVRALLGEVDMTPADVAENLTPKGPGDNADTCLSELISELEKTKAKKAQAKGKEKEKEKGKKKSKKAEEKFDDDESDSDDDE >LPERR07G05220.1 pep chromosome:Lperr_V1.4:7:4445839:4446388:-1 gene:LPERR07G05220 transcript:LPERR07G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEVADVFRGATVWWLAFSTPPREDSGGRGGRAARADRRFYRLYFLERDRDVILGEYLRHVRRQGRAFTVKNRQRKLFTNLSSDEMWWDNVWSHVAFEHPKTFDTLAMDPVKKRDIMDDLDAVRRGKEYVTLTGNLIGVTPDQKRIG >LPERR07G05230.1 pep chromosome:Lperr_V1.4:7:4451138:4452280:-1 gene:LPERR07G05230 transcript:LPERR07G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQHDKATPLIAGLAVAAAALAGRYSIQAWNAYKARPIVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >LPERR07G05240.1 pep chromosome:Lperr_V1.4:7:4453536:4460181:1 gene:LPERR07G05240 transcript:LPERR07G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPILNPHSSPLSTISLIFFSFPNSSASTQPCANVKAVYAWGQGPSWPRRHLLASNAVES >LPERR07G05250.1 pep chromosome:Lperr_V1.4:7:4462429:4462902:1 gene:LPERR07G05250 transcript:LPERR07G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKEWAGVAAWPSRTWLIGSQHDDQSYIGVLALLAWQPRLIHFVVDNIPMVVGFEYSSSHVNLTISKPVDNPMVITLFNPNFDKRIPLAMIGFFKKWHDVVIVSSQLVSFLMNRLACYISVTDEWTLVNFTYAGYAGVKHFLVANLCGLQVTTLHP >LPERR07G05260.1 pep chromosome:Lperr_V1.4:7:4465343:4466130:1 gene:LPERR07G05260 transcript:LPERR07G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVARANSNSTVAWATYMMEPQLSSSLFLVMYRVRYSLVIGVANNFGKLMQLPLGDGPSKRKAGGFVDDESSKIESKLDVVHVNSHQMEATKDDFQELEAKRELVITENDEQRSPLMMELMKQNNSGYITLSSDDESDEVMITLSMIMDIAHI >LPERR07G05270.1 pep chromosome:Lperr_V1.4:7:4467567:4467938:1 gene:LPERR07G05270 transcript:LPERR07G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHPSRRVVSCVVTQHTKGFDPRFIHDVFMSPNEFEWRPYRIALPPEMARCWVHGRDIARSNELMSFVQCLRPCELVSLGCFEQYLPHRVVRQLGFDQDMPGFVAHANSSAWDASGRLCG >LPERR07G05280.1 pep chromosome:Lperr_V1.4:7:4467944:4471029:1 gene:LPERR07G05280 transcript:LPERR07G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPQAVKFIVPCHESGGMTIQHKRYFLDDPPTLDPGALVEATPCIAVAIAIAVDVPWAARWRNTRKPVNTNSDEQDSLVLGQVMPQRNHDYQDATILTDISLSQELAKQHVSTTPNVDHLERSSKQMSTFSALGGREKIGKQKERFSTLICNGKESEDISVSRMGFLEVKKKGMIIDELDRAAKGENADQGGFHPRREVGTKEMIKEASEARQAENVEMESAIARLKRDCRAKDEDRDHAHARLSIRAHPTDSPRKRIVETHLVECDGEVLLVMMHDEAVFNAANATSDVALKCGDGHISHKKFDKWWVDVYVVDWQVNNRAVCLVRIEDLCCTRVHPFRHGLQGVL >LPERR07G05290.1 pep chromosome:Lperr_V1.4:7:4475065:4484144:1 gene:LPERR07G05290 transcript:LPERR07G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAMAAAGKPARWRGLAFANIGALLAHFGFLGPILAAYAPRRLLLTYFNLFLRRRARRLLNVVDPYITIDISECPTSSRYDPVDAKDTTYDEVKAYLSATSSSEARELHAEGATEGDGLVLSMRDGQDVADEFKGVTMWWSSVTVEELMASSHGHGTGTTRPRGVPPYVKCKGSELLFGRRRRRLYTNNKMSEYAYDEKAWSYVDFDHPTTFETLGMEPEKKKAIMDDLDTFQKSKDFYSRIGKVWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDLELTMVSNNNDLRKLLIETTSKSIIIIEDIDCSLHLTGDRATRSPSWYGRRQSSQVTLSGLLNFIDGLWSSCGSERIIVFTTNHVGKLDPAREDGHAHRDVLLRTLAKNYLCVDSHELFADVEALLKEVEISPADVAECLMTAKRSGSDDTSCLEICIDELKKRVEEKAKALHHARRGSSWRRCSPRTRRLLLTYFNLVLRRRARRVLAAVDPYVTIDIPDPGAANDQYRSRLERRADDTTYEEVKAYLSAACSSSEARELVAEGTAEGRGLVVSMREGQDVEEEYKGVTFWWSSVNDDEPRQLTSFGVNNNNNNNNKLGSTQRLTFHQRHRYLVVDEYLPHVRRSGREILFHNRHRRLYTNNKNPFRVYRKPWSYINFDHPTTFDTLAMEPEKKKEIMDDLDVFRRNKEFYRRAGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDVELTIVDDNNDLRKLLIETTSKSIIVIEDIDCSLNITGDRAATQRPSYGRRGDNSTLTLSGLLNFIDGLCSATGGERIVLFTTNHVSKLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLGIDAHHMFDEIDEILNNNNITPADVAESLMAAKRSSSGDDMTSCLKILIDELKKRIEEDAKAAAEAKVRAEEAEKARVEYEAKKEARAMAAAMAGHTVVDDYEEDDSDYSDDYDDSDDDYSDYTDDD >LPERR07G05300.1 pep chromosome:Lperr_V1.4:7:4486675:4492353:1 gene:LPERR07G05300 transcript:LPERR07G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIDKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMTETGEAGPSTLPPPPPPPPLPTSSEPVDLSAPSLPPLPPPPPPPPKPANVASAPGLPPPPPPPGPPPREIMSGQTLLPPPPPPPQRPLQPPPLAGPNELANKQTIGEGASLTDSAQVKGVLPPPPPGLAPNSNEMQNANEAPGLKEDDKITRILPLPPPHPSHLPPLPPRPQTMPSIQPDMLTPGVPRFLPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFFPGPPIQTGDFAAFGPRPNLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIKSEPRPSSSASKPQSMDDSYMAFLEDMKQLGALDE >LPERR07G05310.1 pep chromosome:Lperr_V1.4:7:4495333:4499787:1 gene:LPERR07G05310 transcript:LPERR07G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAPTSTVLLLAVATVLLLAVRDSHCAQLCMDSTFPRTVNGSLPFCGYNGTSCCNSTDDAALQRQFASMNISSTPCGDLVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGGVASRLTGGGDGLLHDGVGHLQGGADPGLAPAAATDYCMTVWDTCKEVRIPGSPFQPPRGTGAAAPTLTDVWQSSGDFCAALGGAPGAAPCFDGGETASFNATRASPPASHGICLERVGNGSYINMAPHPDGSSRVFLSNQAGKVFLAAVPAQGSGKTLGVDAANPFLDITDEVHFDNEFGLLGIAFHPDFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQSVIAEYTANILFSPADGYLYFMMGDGGSVGDPWNFAQNKKSLLGKIVRIDVNQLPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQATYEEVDLVIKGGNYGWRVFEGTTPYLPLSSPGGNTSAAAIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLSGRYLYADLYAQSVWAGLESPEGSGVYDVTSLPFACSKKSPIPCDAAARSSLPSLGYIFSFGQDNAGDVYLLTSKGVYRVVDPSECGYTCPIKSSAPGSSPSSSPGASASGAGDTARRSPVTAAMAVAGVLLALFAL >LPERR07G05320.1 pep chromosome:Lperr_V1.4:7:4499906:4510021:-1 gene:LPERR07G05320 transcript:LPERR07G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLRQLVAMMATPLMRSKTMTTAPTLLLKVGDTLCERRRFTEGEVEAYAGVSGDRNPVHLDDVFAREVGGFEHGCVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGKEVLVQVQALHIRPSATKYFVKFGTKCFANDNDNAPAIVGEALAVLPSLHQVPTKAPSTPLFKDIHLTLNPSVSMAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGDFLLKYWRSAGLCTDGILQVDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05320.2 pep chromosome:Lperr_V1.4:7:4499908:4509738:-1 gene:LPERR07G05320 transcript:LPERR07G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNSVWAQNTESGSSTIVLQRCQSLAQFWGKESNRWIMRASLRQLVAMMATPLMRSKTMTTAPTLLLKVGDTLCERRRFTEGEVEAYAGVSGDRNPVHLDDVFAREVGGFEHGCVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGKEVLVQVQALHIRPSATKYFVKFGTKCFANDNDNAPAIVGEALAVLPSLHQVPTKAPSTPLFKDIHLTLNPSVSMAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGDFLLKYWRSAGLCTDGILQVDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05320.3 pep chromosome:Lperr_V1.4:7:4499908:4509738:-1 gene:LPERR07G05320 transcript:LPERR07G05320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNSVWAQNTESGSSTIVLQRCQSLAQFWGKESNRWIMRASLRQLVAMMATPLMRSKTMTTAPTLLLKVGDTLCERRRFTEGEVEAYAGVSGDRNPVHLDDVFAREVGGFEHGCVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGKEVLVQVQALHIRPSATKYLYAQFIFPFLISYSELFGVNHLLSDSVKFGTKCFANDNDNAPAIVGEALAVLPSLHQVPTKAPSTPLFKDIHLTLNPSVSMAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGDFLLKYWRSAGLCTDGILQVDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05320.4 pep chromosome:Lperr_V1.4:7:4499906:4510021:-1 gene:LPERR07G05320 transcript:LPERR07G05320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLRQLVAMMATPLMRSKTMTTAPTLLLKVGDTLCERRRFTEGEVEAYAGVSGDRNPVHLDDVFAREVGGFEHGCVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGKEVLVQVQALHIRPSATKYLYAQFIFPFLISYSELFGVNHLLSDSVKFGTKCFANDNDNAPAIVGEALAVLPSLHQVPTKAPSTPLFKDIHLTLNPSVSMAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGDFLLKYWRSAGLCTDGILQVDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05320.5 pep chromosome:Lperr_V1.4:7:4499906:4510021:-1 gene:LPERR07G05320 transcript:LPERR07G05320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGDFLLKYWRSAGLCTDGILQVDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05320.6 pep chromosome:Lperr_V1.4:7:4499906:4510021:-1 gene:LPERR07G05320 transcript:LPERR07G05320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTATSSSLRRMESVHRHLLPAPPPILHQNPLGATRSESFPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRPFMISVVGKDMAGRLDYVQMEKFLSPSWICQFCACISNAPLLMIDANLSPESLEASCKIAHEVGVPVFFEPVSIVKSSRIAPIAKYITYTSPNEFELVAMANSLSPPEKYNFAKIDQFKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSHGVFVCCKECTSLMMDQQKSETTSFSTMLLDKLERCFPSNMLLNFPREGSTRTCVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMMQSVAVGIAIAKASVESEANIPDKFSAATIAGG >LPERR07G05330.1 pep chromosome:Lperr_V1.4:7:4512026:4516981:1 gene:LPERR07G05330 transcript:LPERR07G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLHKAAVQGSKASLKALVAEDPEILYSKTPQGNTALHIAAGFGHVDFAEAALGEHNDLLVVKNDQGDTPLHLAARSGKMAIAEVLINFITVAGPWPEEPLLMTNKAGNTPLHEAVKHRHSAVALKLLDAEPSRGHTPNVEMETPMHIAAREGLTDVVGKILEHPWVPEKSVPADNVSGTALHQAVLGGHTRVVELLLKKTAPGLIDMTDSIGNTALHFAAQKNNARMASMLLDAEPNLAHRRNDRQQSPLHVAAFYGSASAATELLRHSPDAAEMLDRDGRNAVHVAVAAGKVDALRCLLRRVRPAEVLNRGDGTPSGDTPLHLAAKMARIKSALMLLKDPRVDPCLLNRDGHSARSLVEERSFCFSLSYLQQYTIYPQLKSIPDTHACSRRSGSGNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQNPSPLAGVAIHADQPAFKIFVVSNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPSQRWPAYLVIAIGACTPAVVILILGKEVFYVPL >LPERR07G05340.1 pep chromosome:Lperr_V1.4:7:4518155:4523934:-1 gene:LPERR07G05340 transcript:LPERR07G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSPPASPSHPNPSPPPHADPRSTPPMPSPAADGDAPSSSSPPEEEGEEEKREEEEEEAPAPAPVVVVAAEEAPTPRKMRLPRACNSKPKPPPPPPPERPRRRAAAAAAGGGGGGAGEEPPQCRVVTPLVSEPEAPAELPRWRLRCMWELASVLNFLHVFRPLLNISEDFTAEELEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGAEIETYKILEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDPYGISYWYEDDPILGHRLYREIRRVEQVKKEPGKRSRGKRAVTPPVVSYQWETVASNFDEFDDVAEKLFSSRNRSEANLGKKLKIEYLPDIEKIHKKKERMLKKQQREALLIDSYLAPDGFTTGRSLRDRKPVTYTFDEYDRSITEAIKITKKGENSAEPVGPANRRILTPRPEASSNGKVNGLSPTADEDGNSSKSDDYRDSDGEQESEALDRSNRRRTRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDDEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDDEEEEEYSLSTSEDLEEPQRHKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEAGKEGKSDASDPDAGFDAENDTELSTSSQEQEEEDEDDGPEEQQDNSDDNKMEEDHVMVANKEQQEEQPQSPVPPPQQPVEKMEVPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >LPERR07G05340.2 pep chromosome:Lperr_V1.4:7:4518155:4523934:-1 gene:LPERR07G05340 transcript:LPERR07G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSPPASPSHPNPSPPPHADPRSTPPMPSPAADGDAPSSSSPPEEEGEEEKREEEEEEAPAPAPVVVVAAEEAPTPRKMRLPRACNSKPKPPPPPPPERPRRRAAAAAAGGGGGGAGEEPPQCRVVTPLVSEPEAPAELPRWRLRCMWELASVLNFLHVFRPLLNISEDFTAEELEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWQEDIRNFIDSSLKHGYDLSTFRKERIGGDPYGISYWYEDDPILGHRLYREIRRVEQVKKEPGKRSRGKRAVTPPVVSYQWETVASNFDEFDDVAEKLFSSRNRSEANLGKKLKIEYLPDIEKIHKKKERMLKKQQREALLIDSYLAPDGFTTGRSLRDRKPVTYTFDEYDRSITEAIKITKKGENSAEPVGPANRRILTPRPEASSNGKVNGLSPTADEDGNSSKSDDYRDSDGEQESEALDRSNRRRTRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDDEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDDEEEEEYSLSTSEDLEEPQRHKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEAGKEGKSDASDPDAGFDAENDTELSTSSQEQEEEDEDDGPEEQQDNSDDNKMEEDHVMVANKEQQEEQPQSPVPPPQQPVEKMEVPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >LPERR07G05350.1 pep chromosome:Lperr_V1.4:7:4526476:4529351:-1 gene:LPERR07G05350 transcript:LPERR07G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPSLAAELWRLHHHHLPAAAAAPLESASVVTDQGNGSRGGGGGSSGRRRPRREAGAEDDSSKIVSTSAGGGGGQGSVAPEAKRLKPMKSSDNNDSLRTEAETESGNSSKAADKNSAPPDPPKQDYIHVRARRGQATDSHSLAERARREKISERMTILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVDSHMNNGIAVFPVKDFGGQPYHTAAGLTFDPQTPREYSHTQGSTTEWLHMQIGNAYERVT >LPERR07G05360.1 pep chromosome:Lperr_V1.4:7:4547892:4549083:-1 gene:LPERR07G05360 transcript:LPERR07G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKDVLYTGIASSTLLGLGNIVVRVNPRNSTTVRLPAASCASPECRPVSPPLSSAAARRPLPRHPLRQSRRSAQHRRHQPRLHLPRLRRCRVASSNVLLACRCHQSASSMDELRKTNRRPSVVKAVIQSNIFEHVDPFFLAIT >LPERR07G05370.1 pep chromosome:Lperr_V1.4:7:4553444:4553698:-1 gene:LPERR07G05370 transcript:LPERR07G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELLQSAPTRLNEVILENTSVACGQALAMIKSLYPKIDLQPISQGYAFGTTRERALELLNEVDDLAKTIAKDSLAPEEDDQE >LPERR07G05380.1 pep chromosome:Lperr_V1.4:7:4562801:4566904:1 gene:LPERR07G05380 transcript:LPERR07G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >LPERR07G05390.1 pep chromosome:Lperr_V1.4:7:4569670:4575538:1 gene:LPERR07G05390 transcript:LPERR07G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGRGGAAAAATAATRPPPQQHRELLERYELVRILGRGSFAQVWEARHRRTGLAVAVKILRLAAGGVPIRKVEREITVMRLLRHPHIVRFHEAIAGGDGEHVYIVMELAAKGQLYDHVTQLGRLREDEARRIFQQIISGAEYCHHNMVVHRDLKLENILMDSEMNIKIVDFGFSKFFKHNKLLSTPCGSREYAAPELLARIQYVGPPVDVWSCGVILYILFCGRLPFDSSDASELNRIIKIDGETIEKVVSHGFERMQLVESLQNRVENEATVAYNLILNEKFDAPTRYLWTIDVHQEAGQSTTMGAAGSSSAEQPVAVAGEGDDPNGWTLAGVEFHECPREAMRMIAAALREIDVFYVHDDDRGRYGKLLCAHFTDSNVRRVIRSYLTATNASSSSSSSAAAGGGAAPVAINSDIFESFSAALYFEIQLYKSAGEGNYLMDLKRLSGPQLQYLNICSELSSKLRAIS >LPERR07G05400.1 pep chromosome:Lperr_V1.4:7:4580899:4582611:-1 gene:LPERR07G05400 transcript:LPERR07G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASLVRRVSATTLAILFGGLILVSLLVEIDAKLPSPGSVLGVGGRRMIINGGVSHMTLEDFKADDPFGSMKRRVPNGPDPIHNSKAHCKFAIEEQFVP >LPERR07G05400.2 pep chromosome:Lperr_V1.4:7:4581412:4582611:-1 gene:LPERR07G05400 transcript:LPERR07G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASLVRRVSATTLAILFGGLILVSLLVEIDAKLPSPGSVLGVGGRRMIINGGVSHMTLEDFKADDPFGSMKRRVPNGPDPIHNRGTGESGRSPGRA >LPERR07G05410.1 pep chromosome:Lperr_V1.4:7:4583904:4586279:-1 gene:LPERR07G05410 transcript:LPERR07G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRKRLRGVLVVFALLLTATAVVPVLLLGEAGDDGVGSVAAAPPFNASRVRAVSWRPRIFVYKGFLSDDECDHLVKLGKRKMQRSMVADNQSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYESGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWRSQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHVDGVPDPLSLHGSCPVIEGEKWSAPKWIHVRSYEHPPVSKETQGCSDNSARCAKWAEAGECEKNPVYMIGSEGLPGNCRKSCGVCDS >LPERR07G05420.1 pep chromosome:Lperr_V1.4:7:4589504:4593410:-1 gene:LPERR07G05420 transcript:LPERR07G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPVLRGALLAAGAFYLAFLLLFELPSLHLFSASPEQPTMPKSHRSRRRELEASSSSFPSFSSPLRPSIPAFPAAAVSPAPASSSVSASASAEASTLPIFSSLLLLPRPNSTATPFDSVAAEAFSAARPHLTHLQVAASGDDAAVKSAAPPSAPKCPASISVNSDQLPANGVRAVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKDGQAPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPAEETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALLKAYSQNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYVMKCDDDTFVRIDTVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSQCCNVR >LPERR07G05430.1 pep chromosome:Lperr_V1.4:7:4600038:4603372:-1 gene:LPERR07G05430 transcript:LPERR07G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRSRGDFDHLIKLLLIGDSGTSGKELLAPKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNSIHHIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETLRIC >LPERR07G05440.1 pep chromosome:Lperr_V1.4:7:4605669:4610771:-1 gene:LPERR07G05440 transcript:LPERR07G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSAAGASCRRRAIEGLAAVLILYAVMVFVLESPLVSTSMSGSGGRRLSGLGMVSGLDLGLLNSSRAGPLRRPISGAVSDGARVFAELDDLDPAAFASPSAEEEAAKCPQSVVLTGDELSGGGRGRVVVEMPCGLTLGSHITVVAAPRPAHAEGDPKIAVLREGEQPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGRPVIEQNTCYRMQWGTPLRCEGWKSHSDEETVDGFVKCENWIQDEEARSKVSKTTWLNRLIGQKEINFDWPYPFVEGRLFVLTISAGLDGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFAPQSYLEMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSALRKSPNLVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSAKYVMKCDDDTFVRLDSIINEVKKVPSGRSLYIGNMNFNHRPLRYGKWAVTYEEWPEEVYPVYANGPGYVISSDIAEFIVSEFMDQKLRLFKMEDVSMGLWVEQFNRTRSVEVFHSTRNAEPPAN >LPERR07G05450.1 pep chromosome:Lperr_V1.4:7:4617865:4618659:1 gene:LPERR07G05450 transcript:LPERR07G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKGEEEVAEMVVDDKEVGEIAAEVDKGKGVAAAAGAEEENGGEGKGVTAAAENGGEEKATGGGGMIIVKCEDGEEFEMPMAAAMMSSCLRGLFEMTDTEPDGAIRIKLPVQVSSTIFAKVIEFCCKHAKVDAKGNSTAASAAVVSTNSGNGDPAAKGKGNSTAAVSTNSSDEPAAAAKEENLEEWDKEFLDGIEQWPLYYLLNAAHYLSIPGLLDIASQKVADMLKGKTAEEMREVLEIQNDFTEAELEEIRKIDSWAFLD >LPERR07G05460.1 pep chromosome:Lperr_V1.4:7:4633527:4633984:-1 gene:LPERR07G05460 transcript:LPERR07G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQHISSAPGFLAMYERTRQYTLADELLDRMTKRFKTSCNIWLRCIQLALKQSKDLEYIKSIVKCALLSIPQSKRIKFLSQTAILEFKRGVPEEGRSRFELILRESPKRTDLWSVYLDQVIKHL >LPERR07G05470.1 pep chromosome:Lperr_V1.4:7:4636021:4638453:-1 gene:LPERR07G05470 transcript:LPERR07G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKKQQRAALLEAASLFPLSPGGARFSYGTAGFRAEGATMGPAVCRAGTVAALRSLKLGGAAVGVVITASHNPVRDNGVKIVDADGGMLSQVWEPFADALANAPTPDSLLQIVLKFAKEEEITLDGSHSAQVLLARDTRPTGEYLLDAAMKGVNAVIGAVAVDMGILTTPQLHWMVRCKNRGLKSSEADYFSQVIDSFRCILDLVPKVEGADFMNNRLIVDGANGIGGLKLEEIKQKISRLDIHVRNSGRGEGILNEKCGADFVQKEKVVPLGFGPADSAFRCASFDGDADRLVYFRIASSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNPGNGVLPTRFGVIQTAYANGASTDFLKNLGLEVAFTPTGVKYLHKKALEYDIGIYFEANGHGTVLFSDHFVSQLESLTSELSSKAVGSSQHQAAMRLLATSQLINQAIGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRTAIITTDAERRVCEPSGLQELIDGEIANYSHGRCFVRPSGTEDVVRVYAEASTEEAADSLAKQVAQHVERILG >LPERR07G05480.1 pep chromosome:Lperr_V1.4:7:4639925:4641136:-1 gene:LPERR07G05480 transcript:LPERR07G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPLVEDAVRRLCDAWRDMMAENERCLTANAPRSRRAALLLVTVYRHEEECTDVALMDPRTGETVRRMDGLMSKPGLHACAGGEMLCVVSAINGVLRLADAATGQFTDLTPGPTTVSPVASNVYSGYTLGHVPSTSVHKLLHVYDFNQNSYSSEVLTVTGGSGEWWRRTGAPPMRVEHKIPRGSATVDGVVYFLADATSDRVTDIHGRVVGSDSVAAFDLATEQWNPNLITGPLTESNGNHPYNVRRDLALASLAGRLVVVHHNYASKTIELYTLVNSSNTLRAWTKTHALPLTTILRGWDKPACGPSEPMKPGRRGGLPKRGRTAMVLPTKEQVKAAIEAVYKELVGQPLMVLEDGRIVVLARGKEGAMRVYDPKTGVCEEVGRVGRCGNIVGVYTGCV >LPERR07G05490.1 pep chromosome:Lperr_V1.4:7:4641733:4644290:1 gene:LPERR07G05490 transcript:LPERR07G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILHDVIVLRTRLDVICFTRRMYPLCLWVLNPDTGITIALPYRQMKLRPREER >LPERR07G05490.2 pep chromosome:Lperr_V1.4:7:4641634:4642376:1 gene:LPERR07G05490 transcript:LPERR07G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLSGASDLGELPRDALFEVLVRLPAKYLCRLRAVCRSWQTLTSDPLFAAAHKGRHHRDPLLVVDYRDRDSYAVGVEIVDLSGIVLRRIPIRGINIVDNDESEFMDILHDVIVLRTRLDVICFTRRMYPLCLWTDEIAAERGEMNIGSEVDSYAFGKLSSVGVYKALCIIRFYQPDRQLCEVITVDSNSQGMWRKTHDPPARICSSKEMLGHRCGGVFHDRFLHELS >LPERR07G05500.1 pep chromosome:Lperr_V1.4:7:4645388:4652107:1 gene:LPERR07G05500 transcript:LPERR07G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSADALSPGFKFDPSDGDLVGRYLLARIQGNPLPLDGIILEADPLSSPPWRLLAEHGRGDEAFFFADARAKNGKGRRQKRTVEGGGFWQGQRMSVDGGGGDGGLEMRWRKYVLSFFADGEKGSSGWVMHEYAITAPAEVASSTKRLYRIRFSGHGRKRKREPENQSSSHDDGGDRSRRKTEDALLQDLAPASPPVPPLAATDDSISDGADQGLVDNYSRLFDAFGEIDVEELQSALREFAAPDIDGDLMGRYLLARIQGKPLPLDGVTLEADPLSSPPWRLLAEHGRGDEAFFFADVRAKNGKGSRQRRTVEGGGYWQGQRMAVDGERLLVPDGGALEIRWRKYVLSFFADGEKGSSGWVMHEYAITSPADLASSATRLYRIRFSGHGKKRKREPENQSSSHDDGGGRARRKTEDALLQELAPASPHVPPLAAADDSISDGADQGLVDNYSRLFDAFGEIDVEELQTALREFAAPDMFVSPPEAEANAVTSSAEMEAPEVAGFGVAEIVDEDDLSCIDFNFDVDAAHVAWA >LPERR07G05510.1 pep chromosome:Lperr_V1.4:7:4672635:4674560:-1 gene:LPERR07G05510 transcript:LPERR07G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMCCSPLTEQGRRMHASSNTGILPLDVLIEVLVRLPAKELCRLRAVCWSWRSMTTDRIFIKAHATHHREQLFLAGFEDDNTNVHIMDLAGNVLKRIAIPVGHKVVSTHHDLVCVATEKNSCHVLNLATGAVYELPENPADEHMHHVHIRKPYTSFAFGFAASMGEYKVLRMFDRPDFTDLGPRQLCEVFTVNGGRGQARWRGKQSYKFLVEMRKENRSVVINGVVYFLMDTIYNAMIWGGLGAAIHPDFIFSFDLETEEWRKVMQGPISRSFVFNSNSSLKEYLSIWDQLRLAELKGYLVLVYHQRICSTMDLWFLTDYETRTWVKEYSIQTESFIPILEYDVKPLLVLDDGRIVIWLGSTKLLLIYDPRTNTSAEVKMRQLTQVELYTGSLLGIQNGDVL >LPERR07G05520.1 pep chromosome:Lperr_V1.4:7:4677936:4678673:-1 gene:LPERR07G05520 transcript:LPERR07G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSFLRPPPPPLPHHHLPRTVRLPPPSASFRLADLLGGRGLCNGEVGIRKELASSPPSNEDSTTTATANSDDPPPAAEEAGVDPDAFEKEMMGLTGGFPGGEVGLKDFVAKNPPPPKSAAAATSPEKGIVAAGRPRAPELPLFLPGMVVLVKNPENAYHMYCGIVQRVTDGKVGVLFEGGNWDRLITFDLDELEGRENGPPMVNPKSVVLEALAAELEDNEVKEKEGEAAEKNEEGSKAAAA >LPERR07G05530.1 pep chromosome:Lperr_V1.4:7:4682308:4688667:-1 gene:LPERR07G05530 transcript:LPERR07G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADSLPPGLKFDPSDGDLVGGYLLARIQGNPLPLDGVILNADPLSSPPWRLLADHGRRGDEAFFFGKARAKNGKGSRQKRTVEGGGFWQGQRMVVDGERLLVPDSGGLEIAWRKYVLSFLADGEKGSSGWVMHEYAITAPAEVASSATRLYRIRFSGHGKKRKREPENQSSSHDDNGGGRARRKTEDALLQDLAPASPTVPLAAADGADQGLVDNYSRLFDALGEIDVDELQSALREFAAPDMFVSPPEAEADACAGDSISDGAGQGLMADYSLLFDDLGEIIDVDGLRSALREFAAPDMIVSLPEGVMEAPNLTDFAAKSADALSPGFKFVPSDGDLVGGYLLARIQGNPLPLDGVILEADPLSSPPWRLLAEHGRGDEAFFFADARAKNGKGRRQRRTVEGGGFWQGQRMSVDGGAGDGGLEMRWRKYVLSFFADGEKGSSGWVMHEYAITAPAEVASSTKRLYRIRFSGHGKKRKREPENQSSSHDNGGDRARRKTEDALLQDLAPASAPVPPRVADDDQGLVDNYSRLFDAFGEIDVEELQSALLEFAAPDMFVSPPEDEADAVTLSAEMEAPKIVDEDDLSCINFDFDVDAALAAWS >LPERR07G05540.1 pep chromosome:Lperr_V1.4:7:4691603:4692653:1 gene:LPERR07G05540 transcript:LPERR07G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERIMRNPSPGLPLDDALFEILLRLPARDICRARAVCRSWRAMASDPLFIGAHASRHPGPYIAATAVDDPRAVDIRRIHIGFRDFRVQRARLDAVCFVEGLNPLYVTVMNPATGSAAASCASVKSISDKYEYLLERCYVTMDSCAFGKVPSTYGRVQGIPIPSFWTFLYPTAAMRGSIVPFNLETEEWMGILNGPKPVTDLYREDIMGVIFSNLQAEQLLTITDLNGSLVTVHAVCGSRMDLWFLSDFEKGLWMKKYSIDFPYNRLSTYPLLLLDDERIVFLVQATNEL >LPERR07G05550.1 pep chromosome:Lperr_V1.4:7:4698950:4700172:-1 gene:LPERR07G05550 transcript:LPERR07G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLRAESNSGDLPTDALFELCRLRAVCRSWQTLTSDPLFVAAHRGFHPDPLLAVDYLIRDHGHGVEIVDLSGNVLRRIPSSEIDIFCLNDSGDVRVLLPHGQDSVIMLRTRLDVVCFTRKCHPMGLWVVNPATGVSLALPDCHSDEVEAERTVNFGQVESYAFGHVSSTNQYKALRITCLRPDYRQLCEVITLDGDGTSIGMWRKMQDPPAVICSSNDMKCVAVDGVVYFVMDFNTSDLFEGVMAVEPGSIASFNLETEEWMGTLRVPFLLAAYSLLLKLLSLADLNGCLVTAPNIYNECMDLWFLSDFESSLWVKKYSLPVQYTGFSSVYPLLVLDDGRILLTHARGFIESYDPRTGTSANVFNMSGSGPRNVGIYTGSLLSLENPCN >LPERR07G05560.1 pep chromosome:Lperr_V1.4:7:4701774:4702304:-1 gene:LPERR07G05560 transcript:LPERR07G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLEFTSKPKFLRILYIRGTIDRIPCWVQSLTHLFLIELWWINLPSDEIYGVLCKLPSLSKIILGRRCCSDDKLVARTTFKFPLLNELFLLPDEGTPQVFGFEEGAMPKLENLIMNFQEKKRILDGIEHLKRLEEVRLHGCKNNVLHSAVGQLKAVNMSRHRSDRFKIIHSDVQ >LPERR07G05570.1 pep chromosome:Lperr_V1.4:7:4705514:4706737:-1 gene:LPERR07G05570 transcript:LPERR07G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLWEAPCSSGANLPLDVLFFEVLLCLPAKELCRLRAVCRSWNAITRDSMFTKAHASRHTDPLFVVTFWEGKNSWDCDANLGVSIMDMSGNVVKRIPIGKVIYWINLLPTRLDLVCLDIPGKGIGILNPSSGKSEMLPRCHNGYTRPRTRAGETHAFGLVASTGQYKMVRIVHLDHHFHNPSLHLCEVITLAKNYDKTWRRKRCAPVIVSPGHTSRRGGFMKNVVLDGIVYFMMDAGTTEPAGLVPFNLETEKWMATIPGPGSLHNLVGYEQPYRNYFELNQALSVANLRSCLVTVHNVRHSSVDLWFLRDVKTGLWVKTYSVSIQYDGLYSHNESYPLLVLNDGRIVFYKRQYEDTSKPQMHLLQSYDPETNGYTNLFEIKNVCSSCVGIYTGNLLSSKSDSC >LPERR07G05580.1 pep chromosome:Lperr_V1.4:7:4709551:4710084:-1 gene:LPERR07G05580 transcript:LPERR07G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVLKRIPIVKLSIGSVCCRHALTSFASLPVAKKYDRASNTYAFGLVASAAHYKMVCIVHLDLDFLDPSMHLCEVMILDESNHRTWRRKQCDPVIVSPGHTLRREGFMNSVVVYFMMDAGATEPAGVDAFSLETEERMPTIRGPESLQRLVGDEHYTRATSD >LPERR07G05590.1 pep chromosome:Lperr_V1.4:7:4723224:4728564:-1 gene:LPERR07G05590 transcript:LPERR07G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDILLGSYSYAALCVVTLIIGCIGWLAHWVYKWTNPPCNGRLPPGSMGFPIIGETFQFYRESPSTDMSSYYKQRLKRYGPLFKTSLIGQPMVISLDPEVNRFIFQQEGKLFQLWYPQTATSIIGKKSFTTLNGAVLKFFRSLGSKLFGSENLKESLLPELENSMRESFASWASKPSIDVKDGVSDMIFDLVAKKLAGLSVTQSRNLRKNFQDFFQGMFSFPIYFPGTSFYRCMQGRRNVRNTLTDLLKERLNAPGKKYGDLVDLIVDELQSEKPLIDENFAIDAIAALMFASFATISSTLTVAFKFITDNPKIVQELKEENEMVLKKRGGVNSAFTWEEYKSLKFTAQENTNRRARYTIPSGWLVMISHAIHLNPELFEDPLQFDPWRWTEKRSSMMRNYMPFGAGSRQCLGAEFSKLFIALFLHILVTGYKYINGRRSKEGRYCASQRLCFLKAITSN >LPERR07G05600.1 pep chromosome:Lperr_V1.4:7:4742268:4746156:1 gene:LPERR07G05600 transcript:LPERR07G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAREDGVAAASVARARRRQLWRRQRHQRRRGAARRRQYPPTQSSPPPPWHHRAVVGGGTSRRSDDGGMDPVRQYVTIANQRPSATGFGRHAFLLEHRSIAPQRRLKVIALSLPLLPPPPTPSTPPKCDGSGKETPTPLPPKPPGVSAIEQFILEPAFGTTAL >LPERR07G05600.2 pep chromosome:Lperr_V1.4:7:4742268:4746208:1 gene:LPERR07G05600 transcript:LPERR07G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAREDGVAAASVARARRRQLWRRQRHQRRRGAARRRQYPPTQSSPPPPWHHRAVVGGGTSRRSDDGGMDPVRQYVTIANQRPSATGFGRHAFLLEHRSIAPQRRLKVIALSLPLLPPPPTPSTPPKCDGSGKETPTPLPPKPPGEKNEYSKALNI >LPERR07G05610.1 pep chromosome:Lperr_V1.4:7:4749228:4753656:1 gene:LPERR07G05610 transcript:LPERR07G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRHHRRRLPSVTSLMIIIATAMAAAAGVVSGDFAADKAECSDKLMALATCLTFVQDGAGAAVAPTPDCCSGLKTVLQTSRKCLCVLIKDRDDPNLGLKLNVTKALSLPSLCKAPANISDCPRLLNLPPNSKDAQIFEQFAKQQGAMQGSPSASPGGSSAQGTGAQKSGAAAPALGRWLGVDGVGGAGGGSARAVVLLLFSAAVVPLAAPLLLAL >LPERR07G05620.1 pep chromosome:Lperr_V1.4:7:4756940:4764285:1 gene:LPERR07G05620 transcript:LPERR07G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVSGAVGGGGGGGEKLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSTEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVASDAKLKQTLTTTEIAALRSAISNNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHTQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVEVFGDESCYASELVTWATRQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSAVLLRQFKPSLEQALDSNIRRIEESTAALASADDWILTYPPTGIRPLARSSAANLALQPKLSSSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMDDEANIDCLGNKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSLINQSMDDLRKRGSEKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLSADMYISMDNTVEEPEWAPSPIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEIVSMISGKGRMANGDREINSPTASVSAHSMSSFRSHGSS >LPERR07G05630.1 pep chromosome:Lperr_V1.4:7:4765829:4773113:1 gene:LPERR07G05630 transcript:LPERR07G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGAVLGQEAEAAARLRVAAWRLRREVAAADEHWAFAYSMLHRVSRSFALVIQQLGPELRNAVCVFYLVLRALDTVEDDTSIPTDVKVPILQEFHRHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELDSGYQEAIEEITRRMGSGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVEKLEDLKYEENSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDTAVLHFCAIPQVMALATYALCYNNVNVFRGVVKMRRGLTARIINETNSISDVYTAFYEFSSLLEGKIDDNDPNALVTRKRVKAIKETCKSSGLLKIRNYDLDKPKRNHAMIIMILLVLVAVFLGALYRR >LPERR07G05630.2 pep chromosome:Lperr_V1.4:7:4765576:4773113:1 gene:LPERR07G05630 transcript:LPERR07G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGAVLGQEAEAAARLRVAAWRLRREVAAADEHWAFAYSMLHRVSRSFALVIQQLGPELRNAVCVFYLVLRALDTVEDDTSIPTDVKVPILQEFHRHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELDSGYQEAIEEITRRMGSGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVEKLEDLKYEENSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDTAVLHFCAIPQVMALATYALCYNNVNVFRGVVKMRRGLTARIINETNSISDVYTAFYEFSSLLEGKIDDNDPNALVTRKRVKAIKETCKSSGLLKIRNYDLDKPKRNHAMIIMILLVLVAVFLGALYRR >LPERR07G05640.1 pep chromosome:Lperr_V1.4:7:4774703:4781547:1 gene:LPERR07G05640 transcript:LPERR07G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLDGEATVGETEKDVNEFLFGSFDIPLVNLEASLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPIVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNAVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVASKPLRSLPYDSPGQTFVAFEKPEGIPATGKFSNLLKFIVKEVDPSTGEADDDGVEDEYQLEDLEIAYADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVGAVISILGMQPCEGTDVIPSNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEISDKIHEIVANG >LPERR07G05640.2 pep chromosome:Lperr_V1.4:7:4774555:4781547:1 gene:LPERR07G05640 transcript:LPERR07G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLDGEATVGETEKDVNEFLFGSFDIPLVNLEASLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPIVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNAVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVASKPLRSLPYDSPGQTFVAFEKPEGIPATGKFSNLLKFIVKEVDPSTGEADDDGVEDEYQLEDLEIAYADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVGAVISILGMQPCEGTDVIPSNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEISDKIHEIVANG >LPERR07G05650.1 pep chromosome:Lperr_V1.4:7:4797224:4811232:1 gene:LPERR07G05650 transcript:LPERR07G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGIGAEVARNYDDGSFHRGDVATAVRRVMVETDGKTFAHKAKELKQLELRTRFGRILSKKSTMEATRLLARAASSPPQLHVVVFPWLAFGHMIPFLNLSKQLANRGHAVTFISTPRNAARLGAILPELLAHLRVVSLDLPAIDGLPEGAESTADVPPEKVELLKKKFDGLAAPFASFISEVCTATGRIGSYMTAELDVADRRGAQASVWAFLGPKKENLAYPRTTTKDYMVKPPWIPFPSNIAYRRLHEAEWIATAFKVNATGVSSMDRYWDSERPCRLMICRSCPEVEPCLFPLLTKLFAKPVVPAGLLMSPDAIKDDVVAYTRSDPSFTLAMEWLDKQLEKSVIYVALGSEAPLTTKNVCELALGLEISGVHFLWVLRAPSYDGVRHRIGTLLPDGYEPRIIDRGRVCTGWVPQLHLLGHHAIGGFLTHCGWGSTIESFQFGHPLVMLPLILDQGLIAQAMAARGICVEVARNYSDGSFHRDDIAAAVRRVMVEEEGKTLAHNAKELRDILADNKRQERPKTELAATAAASSSSPLHIVVFPWLAFGHMIPFLELSKQLAKRGHFITFISTPRNIFRLDAIPPELSVNLRFVSLDLPAVDGLPEDAESTADIPPDKHGHLKKAFDGLAVSFAGIIADACANAENREAFINTVGFLRKPDWIIPCAVFFIIPAAIVTFVGPKQANLAHPRTTTEDFMVAPPWIPFPSTLAFRRHEAEWIAAAFRPNESGMSDADRLQEMERPCCRLIVYRSCPEAEPHLFPLLTKLFSKPAVPAGLLLPTDHIVNDDKDQSFASAMKWLDEQPKKSVIYVALGSEAPIAADNVRELALGLELADVRFIWALRPPISGSQNSITILPNGFMSRTGKRGLVCTGWVPQVRVLAHVAVGGFLTHCGWGSTVESFGFGHPLVMLPFVVDQGLIAQAMAARGIGVEVARNYDDGSFRRDDVAAAVRRVMVDDEGKVMARKAKELHGVLGDMARQERYLDEFVAYLQCYK >LPERR07G05650.2 pep chromosome:Lperr_V1.4:7:4787466:4797890:1 gene:LPERR07G05650 transcript:LPERR07G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYPSPNQAMAAIIDVATSAAASSPPQLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFISTPRNAARLGAIPPELLARLRIVSLDLPAVDGLPNGAESTADVPQEKIGLLKKAFDGLAVPFAGLIADICTNAGDGDAAINATGFSRKPDWIILDFAQYWIWPIADEHEIPCAMFSIVPATMVAFIGPKSQNSAHPRSKTEDYMVQPPWIPFPANIAYRRHEAEWIATTFKPNASGVADMNRFWEVERPQCRLIIYRSCPEVEPQLFPILTDLFAKPAVPAGLLVFPEIPRDAAAACDKSDETFTPAMEWLDKQPDKSVIYVALGSEAPLTSDHVRELALGLELADVKFIWAFRAPSHDGVRMIDLPDGFESRIAARGLVCTKWVPQLRVLAHRAVGGFLTHCGWGSTVESFRFGHPLVMLPFITDQGLIAQAMAARGIGVEVARNYDDGSFHRGDVAAAVRRVMVETDGKTFARNAKELHDVLRDKERQEMYLSELKPAMAATREVATTASSPRPLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNVVRLGAIPPELSVRLRVVSLNLPAVDGLPEGAESTTDVPPDKIELFKIAFDGLAAQFASLIGGNGEDDGSTGFSRKPDWIIQDVVQNWTGSIAEEHKIPCASFNIFPAAMVAFLGPKKENLAHPRTKTEDYMVKPPWITFPANLAFRRHEAEWIATMFKPNASGVADIDRFWSIEHPCCRLIIYRSCLEAEPPMSPILTDLFAKPALPAGLLIFPEIVDDDAVIVSDQSFLPAIEWLDEKPDKSVIYVALGSEAPVTADHVRELAFGLELADVNFIWAIRPPSHGAFLPNGFESCVAHAGWCARSGYRSCACLRIVRSGVS >LPERR07G05650.3 pep chromosome:Lperr_V1.4:7:4801309:4811232:1 gene:LPERR07G05650 transcript:LPERR07G05650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRLLARAASSPPQLHVVVFPWLAFGHMIPFLNLSKQLANRGHAVTFISTPRNAARLGAILPELLAHLRVVSLDLPAIDGLPEGAESTADVPPEKVELLKKKFDGLAAPFASFISEVCTATGFSTKPDWIIHDCRAGCGRSPRSTRKRMQNYDSFDVIPCAMFSTFPASVWAFLGPKKENLAYPRTTTKDYMVKPPWIPFPSNIAYRRLHEAEWIATAFKVNATGVSSMDRYWDSERPCRLMICRSCPEVEPCLFPLLTKLFAKPVVPAGLLMSPDAIKDDVVAYTRSDPSFTLAMEWLDKQLEKSVIYVALGSEAPLTTKNVCELALGLEISGVHFLWVLRAPSYDGVRHRIGTLLPDGYEPRIIDRGRVCTGWVPQLHLLGHHAIGGFLTHCGWGSTIESFQFGHPLVMLPLILDQGLIAQAMAARGICVEVARNYSDGSFHRDDIAAAVRRVMVEEEGKTLAHNAKELRDILADNKRQERPKTELAATAAASSSSPLHIVVFPWLAFGHMIPFLELSKQLAKRGHFITFISTPRNIFRLDAIPPELSVNLRFVSLDLPAVDGLPEDAESTADIPPDKHGHLKKAFDGLAVSFAGIIADACANAENREAFINTVGFLRKPDWIIPCAVFFIIPAAIVTFVGPKQANLAHPRTTTEDFMVAPPWIPFPSTLAFRRHEAEWIAAAFRPNESGMSDADRLQEMERPCCRLIVYRSCPEAEPHLFPLLTKLFSKPAVPAGLLLPTDHIVNDDKDQSFASAMKWLDEQPKKSVIYVALGSEAPIAADNVRELALGLELADVRFIWALRPPISGSQNSITILPNGFMSRTGKRGLVCTGWVPQVRVLAHVAVGGFLTHCGWGSTVESFGFGHPLVMLPFVVDQGLIAQAMAARGIGVEVARNYDDGSFRRDDVAAAVRRVMVDDEGKVMARKAKELHGVLGDMARQERYLDEFVAYLQCYK >LPERR07G05650.4 pep chromosome:Lperr_V1.4:7:4787466:4797890:1 gene:LPERR07G05650 transcript:LPERR07G05650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYPSPNQAMAAIIDVATSAAASSPPQLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFISTPRNAARLGAIPPELLARLRIVSLDLPAVDGLPNGAESTADVPQEKIGLLKKAFDGLAVPFAGLIADICTNAGDGDAAINATGFSRKPDWIILDFAQYWIWPIADEHEKPAMAATREVATTASSPRPLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNVVRLGAIPPELSVRLRVVSLNLPAVDGLPEGAESTTDVPPDKIELFKIAFDGLAAQFASLIGGNGEDDGSTGFSRKPDWIIQDVVQNWTGSIAEEHKIPCASFNIFPAAMVAFLGPKKENLAHPRTKTEDYMVKPPWITFPANLAFRRHEAEWIATMFKPNASGVADIDRFWSIEHPCCRLIIYRSCLEAEPPMSPILTDLFAKPALPAGLLIFPEIVDDDAVIVSDQSFLPAIEWLDEKPDKSVIYVALGSEAPVTADHVRELAFGLELADVNFIWAIRPPSHGAFLPNGFESCVAHAGWCARSGYRSCACLRIVRSGVS >LPERR07G05650.5 pep chromosome:Lperr_V1.4:7:4785553:4787651:1 gene:LPERR07G05650 transcript:LPERR07G05650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRDRGRGRPAVATTPSASSSPPAPLHVLVFPWLAFGHMMPFLELSKRLARRGQAVTFVTTPRNAARLGAIPIELSTHLRVVSLDLPVIDGLPEGAESTADLPPEKHGLLKKAFDGLAVPFASFIAEASGSRKPDWIILDFAQRWIWPIAEEHEIPCAVFSIFPAAMMAFAGPKKKNLAHPRTTTEDYMVQPPWIPFPSNVAFRRRHQADWIAAAFRPNESGVSDADRFWEMERPHCRLIIHRSCPEAEPRLFALLTELFSKPSIPAGLLMPPDINDSDDNNAYTISNDKSFTAEMRWLDEQPERSVIYVALGSEAPITADHVRELALGLELSGVSFLWVLRDPSGIRGGGALLPDGFVSRVAAHGVVCTRWVPQLRVLAHRAVGGFLTHGGWSSIFESLRFALPLVMLPLFADQGLGVQALPAREIGVEVEWNDDGSFDRDAIAVAVREVMVEEKGKALACRAKELRDVLGDQGRQEMYLDELVGYLHRYK >LPERR07G05660.1 pep chromosome:Lperr_V1.4:7:4816449:4818907:-1 gene:LPERR07G05660 transcript:LPERR07G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAARRKRRLHVVVFPWLAFGHLIPFLELAKRLAARGHAAVTFLSTPRNLSRLAGAGAVPPELSAFVRFVPLPLPRVEGLPDGAESTTDVTQEEIGLLKKAFDGLAAPFAAFLADACCGGSGGGEGEDDDEADGFGRKPDWIVVDFAHYWVPPIADEHKVHFHTQISLYSMRPIPFLLFHAQKSRTATPATAADAEEHLMTPATTTTTASNTLPSDLAYRRHEAKFIAAAFKPNASGVSDADRATLLRRRCAVVFYRSCSEAEGPPCRLLADVPAPRFLPTGLLAPSPSTAGGNDDDHAAGELMRWLDAQPERSVLYVALGTEAPLTPANIRELARGLDLSGERFLWALRAFPAEAISSRRGTRRSWLGEDMWRVRVLGHVAVGAFLTHCGSTWGGFNYGWGSTVESLQFGLPLVMLPFIIDQGIVAQAMAAKGIGAEVKRDYDDGSFRGEDVAAAMRRVMVEEDGKVFVRNARTMQEELADTERQEH >LPERR07G05670.1 pep chromosome:Lperr_V1.4:7:4822654:4824000:1 gene:LPERR07G05670 transcript:LPERR07G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRASPPFRRARRQGPIRAGHGTTKNNGRTTRVDVIVPISGGDEEGAVATRRAGVQRAGAGEDQRAAGHGGVRVSAGRGGGPRRGGPGAGGERERGGVVRRHHRRPHRGVAGAAAPRRDRGCEGRRRRRHERRRRALERPVRHARTRRSRLHRIPHRLAARQRLERTNQKLLLFQREMCIRIRVSVRVQYMYMYPMDR >LPERR07G05680.1 pep chromosome:Lperr_V1.4:7:4828145:4829629:1 gene:LPERR07G05680 transcript:LPERR07G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGGGAGAGDDDASAASPPLHIVMFPWLAFGHLIPFLELAKLLAARGHAAVTFLSTPRNLSRLGAAAVPPELSASLRFVSLSLPVVEGLPEGAESTADVPPEKVELLKKAFGGLAAPFAAFLAAAGDEDAGGFGRKPDWIVIDFAHNWVGSAHEAHRRVEPEEFMSPPPWIPFPSSLAFRRHEADWFAASYRPNAAGFSDADRVWQVQERSDVIVYRSCPEIEGRDICGLLESLHDKPAVPSGLLLPPPEFAGGNNDGDSADLIQWLDEQPERSVIYVALGTEAPLTSSDVHELALGLELAGTRFLWALRGGCDAGERRLPEGYEHRVAGRGHGLIARAMADRGLGVEVERKDDGSFGRDAVAAAVRRVMSAEEDGKVFARNAREMKEKLGDGVRQERYVDELAALLRRHRRSSS >LPERR07G05690.1 pep chromosome:Lperr_V1.4:7:4830811:4836576:-1 gene:LPERR07G05690 transcript:LPERR07G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMKSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQAIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLIHAQKANGTHGKQALTLVFVETKRGADALENWLFNNGFPATSIHGDRSQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDSNISLARPLSELMQEANQEVPQWLERYAARSAFGGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGAYGGGGYGGGGGGGGYGGGGGYGGGSSYGGGQIEISCPTFSIYDELFTSQSPNLGRDPRTGLAVGRAACEEEQSTSKRWWGRRHLAAACLEEQSQEGDGIGGRGRERCWLSSCKKLRR >LPERR07G05690.2 pep chromosome:Lperr_V1.4:7:4830811:4836576:-1 gene:LPERR07G05690 transcript:LPERR07G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMKSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQAIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLIHAQKANGTHGKQALTLVFVETKRGADALENWLFNNGFPATSIHGDRSQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDSNISLARPLSELMQEANQEVPQWLERYAARSAFGGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGAYGGGGYGGGGGGGGYGGGGGYGGGSSYGGGQIEISCPTFSIYDELFTSQSPNLGRDPRTGLAVGRAACEEEQSTSKRWWGRRHLAAACLEEQSQEGDGIGGRGRERCWLSSCKKLRR >LPERR07G05700.1 pep chromosome:Lperr_V1.4:7:4847373:4848860:-1 gene:LPERR07G05700 transcript:LPERR07G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGGAGAGDDDASATAVSPALHIVMFPWLAFGHLIPFLELAKLLAARGHAVITFLSTPRNLSRLGAAAAIPPELSAFVRLVSFPLPEVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLAAAAAGDEESNHNPFSRKPDWVVVDFANVWAPPIARGEHGVPCAWFSIYSAAALAFFGPRSAYEAHPRGEPEDFMSPPPWIPFPSALAFRRHEAEWIAAAYRPNASGVSDVGRIWQVQDGCDVILYRSCPDVEGPDICGLLDDLYHKPVVPTGLLLPPPSAAAADSDSDGLIRWLDDQPERSVIYVALGTEAPVTSSDVHELALGLELSGARFLWALRGDGERWLPEGYMTRLAGRGHVASGWVPQVRVLCHVAVGAFLTHCGWGSTVESLRFGGLPLVMLPFITDQGLIARAMADRGLGVEVERKDDGSFGMDAVAAAVRRVMSAEEDGKVFARNAREMKEKLGDGARQERYVDELAELLRRHCRSWS >LPERR07G05710.1 pep chromosome:Lperr_V1.4:7:4851274:4851711:-1 gene:LPERR07G05710 transcript:LPERR07G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVLGMDVHCDTCAKKIEKIIKKMPGVTQAMAYANTGEVVVQGAIDAAALKARVESKTKKPVAIASAGGVESPSAGDHHHPQTPPPQHAVPWAPPPQQGTSVLQATELS >LPERR07G05720.1 pep chromosome:Lperr_V1.4:7:4852438:4854639:-1 gene:LPERR07G05720 transcript:LPERR07G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >LPERR07G05730.1 pep chromosome:Lperr_V1.4:7:4857192:4858324:1 gene:LPERR07G05730 transcript:LPERR07G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITYSSEPIMLKIYFFSNTLMAVKSNQSMKHPTSSFARIDFPLPTPLKLLKSVCISYRQKLGHVQEPIAGLPARHAPPAGGRTASRAWSAHKREL >LPERR07G05740.1 pep chromosome:Lperr_V1.4:7:4857926:4858177:-1 gene:LPERR07G05740 transcript:LPERR07G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQVSKLQEQVACQQQVSESLHPNPQSSSSSVAGFCHDDKDPVEILCRRLMADTSEFALVSTPSSRSSTSPAPLYQGAW >LPERR07G05750.1 pep chromosome:Lperr_V1.4:7:4859909:4862879:-1 gene:LPERR07G05750 transcript:LPERR07G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYAQDTKIMNAGSFTIEREDHTVGNILRMQLHRDPNVLFAGYKLPHPLQYKINVRVHTATQSAPTQAYTQAINDLDKELESLKEAFENEKTRYDEMPKQGY >LPERR07G05760.1 pep chromosome:Lperr_V1.4:7:4863563:4869058:1 gene:LPERR07G05760 transcript:LPERR07G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLLRRGAAAAATATAGTRSDPPAALASLLVATRSYAKAKGGGGGKPAGATTNRGKIKAKGPRGVASEEGGDFESSAGSGGAGDDIDEVFELATDPLPPTYDPALDVGPGGRPLFAFTDTFASFSHRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNETRLLELPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITSSHASVGVVVRLRQELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMVHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVDEAETVCHYYMRQKIIRRENFSEEKWKKIYYLSNGNGSEMSFTYIDCVRFDGCQEKEHHFRTRLPFSFLN >LPERR07G05770.1 pep chromosome:Lperr_V1.4:7:4870043:4870350:1 gene:LPERR07G05770 transcript:LPERR07G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMLANLFRAISHILNAYTTLPVRYFHVNTESARVKLSLQQSMCSSLNCSFVQVFFLLDQKVTTPK >LPERR07G05780.1 pep chromosome:Lperr_V1.4:7:4880006:4897209:1 gene:LPERR07G05780 transcript:LPERR07G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGEGKKGKGSDKPDLRPNRKEFKKRSRKQQEEAVDDGEKGGEERNRPAPDSAALLAADDGDFPRGGRSLLSRDEVAEARAEAEADFEREDTRGKRKRKGVSSSGGGGDDDLGSLFGGLTTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEETHDIALQETHKDTEGSTCAEVVHVGQLIPCIVLRVDDDNKEGKVNKRVWLSLRLSRIYKGLSLDAIQDGMVLTAQVKSVEDHGYILHFGVSSFSGFIPKTDKENAKIESGQLIHCVVKAIDKTRKIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVNSVLENGIMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQHLLRLKVPPINVKAGEIYDKARILRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGNLARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMIVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGAELFFRVLGCKSKRITVTFKKSLVKSKLDILASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTDAESVYHIGQVMKCRVVSVVPASRKINASFVISTNRVIQADTAKVGSIVSGVVERLTPAAVVVSINGFCKGSIHNEHLADHRGQAAQLKNSLKPGHEFSELLVLDNEGQNIVLSAKHSLISCASDIPSEISQMHAGSVYHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNTESARVKLSLQQSMCSSPDCSFVQGFFLLDQKTFSIGNLVEGEVGAIEEYGVILNFKSHPDVVGLIEHHQLGDSSVEVGSSVKGLVVDLSDGVVNLSLKSELVRSVSNDGKKKKRHRAAVVDLELHEEVNAVVEIVKESYAVLSVPEYNYAIGFAPLMDYNSQLIPCHNYENGQRITVVVGSMPSSDPTGRLILLPKASGQNFGVGSSKRSKKKSDFKVGSLVEAEIIDIKPLEILVKFGLNLHGRIHITEVFDDDSSDCPFSEFRIGQSVQARIVAEAEHSGKSGKNFKWELSIRPSLLQGGSEEFAAPKAELKQSIGSIVRAYVVKVDREWVWLTVSRDVRANLFILDSSTEPSELEKFQQRYNVGQAVKGHIIGVNRDKRLLRLKALDSQSMTENIDETQKPVSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWEPEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRTSMCENSNQSRRLFDDSGIRTSRFEKINDLCPGTEVKGYVKSVSPKGCFIMVSRMIEARIILSNLSDEYVENPQSDFPVGLLVHGRVLSAEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIVAGQVKRVESYGLFVTIKGSELVGLCHVSELSDEPVLDIHSCHKAGDMIDETRHRVSLGMKKSYIGYDSTFDTNDDEDDKIIPMDISHNLATGRGLNHGLVLPEPESRASVLPLQVSLDESEGSDQENDNKGQEIAAGPGVNIKKGDKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADIEKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLALKQSKDVEYIKSIVKRALLSIPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREFPKRTDLWSVYLDQEIRLGDIEVIRALFERVTCLSLPPKKMKFLFKKYLEYEKLQGDEERIEHVKQKALEYVQSSLA >LPERR07G05780.2 pep chromosome:Lperr_V1.4:7:4880006:4897209:1 gene:LPERR07G05780 transcript:LPERR07G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGEGKKGKGSDKPDLRPNRKEFKKRSRKQQEEAVDDGEKGGEERNRPAPDSAALLAADDGDFPRGGRSLLSRDEVAEARAEAEADFEREDTRGKRKRKGVSSSGGGGDDDLGSLFGGLTTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEETHDIALQETHKDTEGSTCAEVVHVGQLIPCIVLRVDDDNKEGKVLTAQVKSVEDHGYILHFGVSSFSGFIPKTDKENAKIESGQLIHCVVKAIDKTRKIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVNSVLENGIMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQHLLRLKVPPINVKAGEIYDKARILRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGNLARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMIVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGAELFFRVLGCKSKRITVTFKKSLVKSKLDILASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTDAESVYHIGQVMKCRVVSVVPASRKINASFVISTNRVIQADTAKVGSIVSGVVERLTPAAVVVSINGFCKGSIHNEHLADHRGQAAQLKNSLKPGHEFSELLVLDNEGQNIVLSAKHSLISCASDIPSEISQMHAGSVYHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNTESARVKLSLQQSMCSSPDCSFVQGFFLLDQKTFSIGNLVEGEVGAIEEYGVILNFKSHPDVVGLIEHHQLGDSSVEVGSSVKGLVVDLSDGVVNLSLKSELVRSVSNDGKKKKRHRAAVVDLELHEEVNAVVEIVKESYAVLSVPEYNYAIGFAPLMDYNSQLIPCHNYENGQRITVVVGSMPSSDPTGRLILLPKASGQNFGVGSSKRSKKKSDFKVGSLVEAEIIDIKPLEILVKFGLNLHGRIHITEVFDDDSSDCPFSEFRIGQSVQARIVAEAEHSGKSGKNFKWELSIRPSLLQGGSEEFAAPKAELKQSIGSIVRAYVVKVDREWVWLTVSRDVRANLFILDSSTEPSELEKFQQRYNVGQAVKGHIIGVNRDKRLLRLKALDSQSMTENIDETQKPVSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWEPEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRTSMCENSNQSRRLFDDSGIRTSRFEKINDLCPGTEVKGYVKSVSPKGCFIMVSRMIEARIILSNLSDEYVENPQSDFPVGLLVHGRVLSAEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIVAGQVKRVESYGLFVTIKGSELVGLCHVSELSDEPVLDIHSCHKAGDMIDETRHRVSLGMKKSYIGYDSTFDTNDDEDDKIIPMDISHNLATGRGLNHGLVLPEPESRASVLPLQVSLDESEGSDQENDNKGQEIAAGPGVNIKKGDKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADIEKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLALKQSKDVEYIKSIVKRALLSIPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREFPKRTDLWSVYLDQEIRLGDIEVIRALFERVTCLSLPPKKMKFLFKKYLEYEKLQGDEERIEHVKQKALEYVQSSLA >LPERR07G05790.1 pep chromosome:Lperr_V1.4:7:4897681:4898331:1 gene:LPERR07G05790 transcript:LPERR07G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLPEFVQFDGHLLPVKWLEGDVLAEFLQFLDEAAAAEPQEMEMEEEEEEDPEEVEFEVEEEDPEEVEFAAVEGSDDDVEVVDADHKDGGLMSEAEFAELYAQLVDDEYEDGTYNFMDESSDNLVVEQQEEESGDDVTIAGDEEEESESEKEEEEEESEWAAYEREAEAEMALLMPHILAIPALMARAAETAPAPAAEEASRRQFVPGQRGWM >LPERR07G05800.1 pep chromosome:Lperr_V1.4:7:4900275:4900698:-1 gene:LPERR07G05800 transcript:LPERR07G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTFQGLLLVLLVCSAIPAMIRGETTDAMGRNATIKADIKDCIHNPISPHPADKIFCCVKDNECWASIQDCLANCPCVTNC >LPERR07G05810.1 pep chromosome:Lperr_V1.4:7:4902967:4905539:-1 gene:LPERR07G05810 transcript:LPERR07G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASWVAAAAAVCALWAAAAVEARSPAARVHRHLKRLNKPAIKSIESPDGDIIDCVHISHQPAFDHPLLKNHTLQMRPAYHPEGLYDDAKTRVTTDNAGEKPMLQLWHQKGRCPEDTVPIRRTKKDDLLRASSLRQYGRKRHTTPNPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNFAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGAHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNQLKAPKNVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >LPERR07G05820.1 pep chromosome:Lperr_V1.4:7:4915652:4923366:-1 gene:LPERR07G05820 transcript:LPERR07G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRQAELALLQEEQTRLSASSSSQSTAALSRSENDPARYYEGYSKLRTWAYSSLDKYKNELLRILYPVFVHCFMDLVAERHTQEAQSFFHTFREDHDHSRDLKKLESILSPLHLEFSYELLLQYLHNTQALAVLGIINEHITFEVSPGQSPLICDDLDAVVLIGTNKDLTKQINQKEVHWGKRSSEGGKQGGSHKKTKKDKFVGATGKNMKSETSMVSVAPRVKPELTLPTMSVEVEQSILEDLRNRAQLNSLSLPSVSFYTFLNTHNRLNCSSISHDGSLVVGGFADSSVKATCSQGENGPSHGEHVSTSDEGRKSYTLFQGHSGSVNSATFSPLGDFLLSSSSDSTIRLWSTKLNANVVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRILAGHLSDVDCVQWHVNCNYIATGSSDRTVRLWDVQTGECIRMFIGHRSMILSLAMSPDGRYMASGDEDGTIMVWDISSGRCISPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKALRMDDIKGGSANRMRMVKALPTKSTPVYTLQVRILFSPFIHYVT >LPERR07G05830.1 pep chromosome:Lperr_V1.4:7:4924180:4927283:-1 gene:LPERR07G05830 transcript:LPERR07G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSAWFVNPRRNPLARLHMNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPADVQAIQTPFRGYLSDMLALVKKESAEREALGALPLYQRTIP >LPERR07G05840.1 pep chromosome:Lperr_V1.4:7:4927987:4935566:-1 gene:LPERR07G05840 transcript:LPERR07G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSCAPPSTLGPASFAGSSRRRRRGAPPPRVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGSGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLISKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLQKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLELTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGSGEELLALRKKLVIDDSEKAMGKVCAGYGWQHHNAIGTMELVDFETEQYLGFEGCRDDDDIQKRVIGKELGLPRSVDDVVAVLEADYQQAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAISQIFVPAPKQTDVS >LPERR07G05850.1 pep chromosome:Lperr_V1.4:7:4940773:4947507:1 gene:LPERR07G05850 transcript:LPERR07G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPAAASAASAPVVLVTNDDGIDAPGLRFLVEQLVAARRFRVLVCAPDTDRSGVSHCITWRPALRCKWVDIDGATAFAASGTPADCASVGISGKLFDGLVLSGINVGNNCGYHVVYSGTVGGAREAFINGIPAIAMSYDWVAGQSSVDDLKVAAEVCIPLINTIIIEIKNGTYPRGSFLNVDVPTDAAHHKGYKITKQGRHMARISWEQTVYQKPAVESYQTANMDVDSEKDSELVTSSENDLLFKRVLVRRSYDGEEGDDIDHKALGDGYVTVTPLGALSRAEADVIPYYKSCLSRDQDTYVAH >LPERR07G05850.2 pep chromosome:Lperr_V1.4:7:4940773:4947507:1 gene:LPERR07G05850 transcript:LPERR07G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPAAASAASAPVVLVTNDDGIDAPGLRFLVEQLVAARRFRVLVCAPDTDRSGVSHCITWRPALRCKWVDIDGATAFAASGTPADCASVGISGKLFDGLVLSGINVGNNCGYHVVYSGTVGGAREAFINGIPAIAMSYDWVAGQSSVDDLKVAAEVCIPLINTIIIEIKNGTYPRGSFLNVDVPTDAAHHKGYKITKQGRHMARISWEQTVYQKPAVESYQTANMDVDSEKDSELVTSSENDLLFKRVLVRRSYDGEEGDDIDHKALGDGYVTVTPLGALSRAEADVIRILMWPTKLNKLV >LPERR07G05850.3 pep chromosome:Lperr_V1.4:7:4940773:4947507:1 gene:LPERR07G05850 transcript:LPERR07G05850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPAAASAASAPVVLVTNDDGIDAPGLRFLVEQLVAARRFRVLVCAPDTDRSGVSHCITWRPALRCKWVDIDGATAFAASGTPADCASVGISGKLFDGLVLSGINVGNNCGYHVVYSGTVGGAREAFINGIPAIAMSYDWVAGQSSVDDLKVAAEVCIPLINTIIIEIKNGTYPRGSFLNVDVPTDAAHHKGYKITKQGRHMARISWEQTVYQKPAVESYQTANMDVDSEKDSELVTSSENDLLFKRVLVRRSYDGEEGDDIDHKALGDGYVTVTPLGALSRAEADVIPYYKSCLSRDQDTYVAH >LPERR07G05860.1 pep chromosome:Lperr_V1.4:7:4947037:4947371:-1 gene:LPERR07G05860 transcript:LPERR07G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSERRNRRGMHILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >LPERR07G05870.1 pep chromosome:Lperr_V1.4:7:4954159:4955554:-1 gene:LPERR07G05870 transcript:LPERR07G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGVSVPMDDAASMDMWDWEVLPDHMNSSTHGGHGRSILADQESEEHNLPPPEEDGGADMAVGDHVECKDIGVDVPAETKTSQEEDFKNSDAKLIDGGGGEEEEGKFAVAGEEEEEEEGGVGEKGKKGRRGSKREECVVFGVGKLRVNGIGALCSFGVAAATVCIFLVGGRLQHHHKQQQQQHKIQLQLCGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTKANISFGGYYDGF >LPERR07G05880.1 pep chromosome:Lperr_V1.4:7:4958297:4958605:1 gene:LPERR07G05880 transcript:LPERR07G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAFFFFWN >LPERR07G05890.1 pep chromosome:Lperr_V1.4:7:4960335:4961204:-1 gene:LPERR07G05890 transcript:LPERR07G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPQGHAQQQCHYKVPTTAAAKNKGRSKCSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATHAAPDSPLASRIRTLLTHKKLKKSTPQPTITFSTAVYHHTSTIPTTAVTSTSTVTTTTSGVSPSRSNSPSINFAMSSSVLHSTNLSSQHMRDEVHKGYLNGGSEELQLASQHYDQSWALNTSLLLGDGCDMTGSNACSMVSDQDKMKTEKQGSPSSHGMNGAQEQESFDMGNDPCDSLWDLPPICQLSCKSLMY >LPERR07G05900.1 pep chromosome:Lperr_V1.4:7:4965499:4977091:1 gene:LPERR07G05900 transcript:LPERR07G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTAAAGGGGEAGAAASSSSSSSGPSTSAAAAASASASGSTSAGAFAAATRYLAKRVLHGSAVLHVAQGCFRSPDCVDVVLCKENSLELVVVGEDGVLHSICEQTTFGIIKDIGVLNWRYTHFGLMPKIEGKEILVVLSDSGKLSLLYFCSEMHRFFAVANIELSKPGNLRHRLGRILAIDRESRFIAVSAYEDEFAFVRVSVDHKVHAPNGETEEDDKIISTTFNTSSIPGTVWSMCFISTCLDEEYYPVVAMIVNRRGSDVNDLALYGLDSHKRTIDRISSYSEAGPLALEISEIPELYGFALLFRAGDVLLMDLRNPKDICCIHRISLTTSLIGDHITIEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGVDNKAIAKSRIVCSWSWEPNAKQGHPRLIFCLDDGEFHLLEFSLDMDGVKVLPECVHRGLPCKPLLWMGKGIVVGFVEMGDGMILQLEHNRLVHKSSIQNVAPILDLAIADHHGEKQGQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYQGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQTDVCTLACGLVADGLLVQVHSKCVKLCLPTAYAHPDGTLLPSPVCADWYPDVTISVGAVGHNIVVVATSNPCCLYILGVRALSSFQYELYEIQHVQSCYEVSCISIPQEDWRPDNVSSSCALGGDFRKDLAANVRNFAVIGTHEPSVHIISLEPAEAFRQLAVGHISVNNALGSPISGCIPETVRFVAAVNFYILAGLRNGMLLRFELQTNKGHCFPGSFYKESSTPCDNTSLKLIAIRRIGITPVVLVPLHDRANADVIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSADCPNGLLFVSENCLHLVEMVHGKRLNAQKLSLGGTPRKVLYHSDSRTLLVLRTGLTGASCSSDVVQIDPNNGALLSRFKCEPGETAKCMQIAKIGNDQLLIVGTSKSNNRPMMSNGEAESIKGRLIILSLESIESHRESGSFTVASNLNSSQTGSPFPELIGYAAEELSSNSMCSSPDEVFCNQIQPEHMAGHLRSVVHSTFSGAVLAVQPYLDRYVLAAAGNVLFVLGFLNESPHRIKRYAFGRTRFTITCLKTYSSRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAIVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPALESVYNCVVASTLLGSIFVMIPLTSEEHQLLQDVQERLSLHPLTAPLLGNDHAEFRHRGIPLGVPPILDGDMLVQFLELTSEQQNDVLNFVSPGKKRQCDISVVQVMRTLERVHYALN >LPERR07G05910.1 pep chromosome:Lperr_V1.4:7:4977355:4978005:-1 gene:LPERR07G05910 transcript:LPERR07G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLPEFVQFDGHLLPVKWLEGDVLAEFLQFLDEAAAAEPQEMEMEEEEEEDPEEVEFEVEEEDPEEVEFAAVEGSDDDVEVVDADHKDGGLMSEAEFAELYAQLVDDEYEDGTYNFMDESSDNLVVEQQEEESGDDVTIAGDEEEESESEKEEEEEESEWAAYEREAEAEMALLMPHILAIPALMARAAETAPAPAAEEASRRQFVPGQRGWM >LPERR07G05920.1 pep chromosome:Lperr_V1.4:7:4980467:4988238:-1 gene:LPERR07G05920 transcript:LPERR07G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAARLSLSPSLAAAAAFRRRGPPPLVHPLRRALPLLLPRHRRRLHTLLAAAAAAGGDGGAVALSSSELRKRRGASSSSSSTDREDERLRSLRRLFARPDVAVDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNNGVPTTSEWLNEVLPSGCRVGIDPFLFSFDAADELKDAISEKNHELVLIKDLNLVDEIWGESRPEPPKEQTRVHGIKCAGVDVPSKLSFVRSQLAENGCNAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDTAATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAENGAKLWLDSSSINAAIVNVFRSSCDRYGKKRGKAGRQFGKESFSGEQATRSSGVQNGTLNALYKVSPVTLAKAVKNKAEIEGMKSSHLRDAAALAEFWCWLEGEVSESAPLTEVQVAEKLLEFRQKQDGFIDTSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNLFGIRIENLLLVKEVNLPNSFGGISYLGFEKLTFVPIQSKLIDLALLSPSEINWINEYHEEVWEKVSPLLSGHSLDWLWKNTRPL >LPERR07G05930.1 pep chromosome:Lperr_V1.4:7:4990485:4998200:-1 gene:LPERR07G05930 transcript:LPERR07G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLASMGVISRKVLPACGSLCYFCPALRARSRQPVKRYKTILAEIFPKTQDEEPNERRIGKLCEYCSRNPLRVPKITVSLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEVGEDEKTIALRAAALQALSAMIWFMGELSHISSEFDSVVQVVLENYKPQKAQNDDQVTKDSSNQSEQEVQKTEDSSPFVISAVPLWESIVNVKGGVNLPVEDAKDPKFWSRISVHNMARLSREATTFRRILESLFRYFGNNSSWSPENGLALCVLLDMQVLMENSGQNMHLMLSLLIKHIEHKAMLKQPEMQLSIVEVAATLAEQSISQASAATISAISDLVRHLKRTFHITLGSKDLELVKWNEMFRKAIDECLGQLAKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVAPSIQASVSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLKRDKHSDSSQGESKDSSGLHSINEETSNPKRQNLPVSQSRRRSMKVPNFSMKRGPSMAMRAPSVSIRAPSISLRVPSMSLRAPSMSIKADQGSSSHEDEMESVLLKLSSRQITLLLSSIWAQAISPENTPANYEAIAHTYSLLLLFSGAKASVFEALTQSFQVAFSLRGYSLTEADSLPPSRRRSLFTLATAMIIFSSRTFHVSPLIPICKQMLNERAGDPFLHLVDESKLQAVKDSSDDPTKIYGSPEDNANALKSIAAIELSESQSRECIVSTIMNNITNMLDAELHNVKNQLLSDFSPDDMCPMSTQFFEAPGDNSLSGSHENGHHPEAVLIDLGNDHDIFGEASDGTAASATAVPVTDLLSIDELLETVVTDPAPQTERVSVSTDMPFKEMTSQCEALTVGKHQKMSTFMSFQQNVPTVTMPTNQPNQTELALFHDPYPPQVGVHNTNPFADENLYGYPQYTNGANPQPAAYDHHQQQLRLPASSPYDNFLRAAGC >LPERR07G05940.1 pep chromosome:Lperr_V1.4:7:5032603:5034950:-1 gene:LPERR07G05940 transcript:LPERR07G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVVEAKVSGGGRREFKGKITWYVWLCGVIAATSGLMFGYDVGISGGVTAMDDFLLTFFPSVYVRKHRAKENNYCKFDDQRLQLFTSSLYLAALLASFAASRLCTRFGRRRTMQLASVFFLAGTALCAGARNLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHVRGALNILFQLDVTIGILIANVVNYLTSNSHPNGWRYSLGGAGVPALILFLGSLTITETPTSLIERGRRDAGRATLSRIRGTDDVGDEFEEISRACEAAAKACEEEAPFRRLRRRESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFKSNGSLLSAVVTGGVNVLSTVVSIVFVDKVGRRKLLLQACVQMLIAQTAVGGIMWDHVKSNGNPSEKWAVWIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSCAVSSNMLFTFLIAQAFLSMMCKMKAFIFFFFAIWIVIMAAFVWWLLPETKGVPIDEMVDTVWRRHWFWRRFFADAGDDKIDNC >LPERR07G05950.1 pep chromosome:Lperr_V1.4:7:5048390:5053615:-1 gene:LPERR07G05950 transcript:LPERR07G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTQDRWKPAVQAQSLNKTRSQSIPFLPPSQLPPPPSSPVRSLAPAAAAVVFVAAFSLRWRGSDRSSAAMSRSGALDLASGVGGKITKDEVKSAVDEYEKYHGYYGGKEESRKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIAKFSLTSVTGLNNNEYQISRGKELNRLAGVSGTCDFVKVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMI >LPERR07G05950.2 pep chromosome:Lperr_V1.4:7:5048390:5053615:-1 gene:LPERR07G05950 transcript:LPERR07G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTQDRWKPAVQAQSLNKTRSQSIPFLPPSQLPPPPSSPVRSLAPAAAAVVFVAAFSLRFVWKLSVKVGGLISVRNLLSAAAVADCRWRGSDRSSAAMSRSGALDLASGVGGKITKDEVKSAVDEYEKYHGYYGGKEESRKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIAKFSLTSVTGLNNNEYQISRGKELNRLAGVSGTCDFVKVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMI >LPERR07G05960.1 pep chromosome:Lperr_V1.4:7:5064377:5065424:-1 gene:LPERR07G05960 transcript:LPERR07G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPPAYGAVADGDGEKPSAHLVHPLLPPPPPQQPYYAYPAAAYAAPPPPPTLVFVPASPILLRLRRRLRPRRIPCLRAFSTRTLPFLLLLALLAAAAFLLYPSPPVARVDDLRLDRFRVNPPPFPALDLALSLRLRVRNPGFVLPLRYRAVSAAVSYRGHLLGSAHARPGSGELDARGTTFADAEVWVDAGRVVDDVIDLIGDIAAGSLPLEIVTEVVGKVRVFRFDIPVKLTGE >LPERR07G05970.1 pep chromosome:Lperr_V1.4:7:5066329:5068375:1 gene:LPERR07G05970 transcript:LPERR07G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVGSFLLLLLPLSSSAAPPPPPSSPPPPRPHVQTTVDGVLVNGNFAMSPRKMNATVIVGRDSLPGWALTGHVEYSVAVRPGAAYALTFAATRTCDGEEAAMLRVSVSPSFTAPAVVPVHTLYGAAAADVWAWGFRAAEKDAQIVFTNPAAAGDAACGPLLAVVVIKELPSPLPSKDNLIRNGDFKIAPPAIPNSTAGVLLPPNQKDATSPLPGWIVEKSSLRLVDAPHSAVPRGRRGVELVAGRDGAIAQVIRTEKGRAYGLSFAVGDAGDGCEGEMVVRAVVDAGNATAAAVHYVSRGDGGGAARRGGVRFVARGRRTRVTFYSAYYHTSARDGVSPCGPVVDDVRVQPLMTTKA >LPERR07G05980.1 pep chromosome:Lperr_V1.4:7:5070544:5073362:-1 gene:LPERR07G05980 transcript:LPERR07G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAAAAAFFFACVLVCTACLLTAGADEGDAMRELRRALSPPDWTAAADDDNHCAWRGVTCSSAAGAGAGAGEVIAIDLPRRGLRGSGGDISAVGMLPSLTRLDLSFNSLTGDLPGESLGSLRRLEFLDLSMNRLAGAIPSTLAGAVALRFLNLSNNALSGGIPDELRSLKGIEELQISGNNLTGFLPRWLAGFPSLRVLSAYENSLSGEIPPGLGLSSELRVLNLHSNALEGSIPSSLFEFGNLQVLILTVNRLNGSIPANIGRCAGLSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGAIPAQFARCANLTLLNLAYNRLAGEVPDVIGELRNLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPENVCNGSRLQFLLLDHNEFSGGVPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLAGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASCFSGNTKLCGDPLVVECGPIYGSNYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIENLQQAIDFQSCVKATFKDANIVSNGTFSTTYKAVMPSGMIVCVKKLKSVDRAVIHHQMKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDDDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDVSSGNVLLDSHYNALLGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGVDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRRQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >LPERR07G05990.1 pep chromosome:Lperr_V1.4:7:5076885:5079347:1 gene:LPERR07G05990 transcript:LPERR07G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGGGDRGGDRGGFGRGEGKFSKIEEIYLHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFIVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPYQEYTDLLAKPTKGLMIEAPAEKIEA >LPERR07G06000.1 pep chromosome:Lperr_V1.4:7:5088146:5089602:-1 gene:LPERR07G06000 transcript:LPERR07G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVLLFSAYVVVISFFLCSVDAKVNLMNASSLTNGDDSLRGRSLESKKIVFDVRKHGAYGDGQHDDTKALTNAWAAACSYSQPSIVLIPKGKRYLTKHITLSGPCKSSITLMALTFYSCSNLKMENLKLVNSQQIHMSVEDCRDVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIDTVRLYGTTNGARIKTWQGGRGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKKQVSNVVFKNIRGTSASKEAIRLDCSRNVPCQGITLKDVKLTVKGGGGDAKSTCRNAKWKKSGTVIPQPCASSK >LPERR07G06010.1 pep chromosome:Lperr_V1.4:7:5092808:5099988:1 gene:LPERR07G06010 transcript:LPERR07G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKSALGDPANYKISRQPRRATQVAASEKKVNDLITSSAKKKKSVGAPSKKNRAPKGGRKLISACDAADAENEVSQVASGISPNQKQSDDNVDGRPSNTIFSPAYHHQKECGVSNFAKGLEHKGDTSGSTGSIEERTTHAQGRKEATAIRSEFNQSSESTSHEVKICAGSDYHTLNAQSTCHNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDSEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVASLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSLPMDLQM >LPERR07G06020.1 pep chromosome:Lperr_V1.4:7:5100169:5101816:-1 gene:LPERR07G06020 transcript:LPERR07G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNALIFTASFLAMFFFLRSADAAIGSSNVFSMQSYGAHGDGVHDDTKALVDAWVAACSSAKPAVVLIPNGKKYLTRHTTLSGPCKSSIVLMVKGSLVASPERSHWNEETIRRWILFSGVTGLTVTGSGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETSPNTDGIHITRSKNVHVTDSTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVTNVTVNNVRMYGTTNGARIKTWQGGRGHAKNIVFQNMVMDNVWNPIIIDQNYCDSATPCKQQKSAVEVSDVLFKNIRGTSASEEAITLHCSSSVPCHGITLENVKITVKGGSSDAKSTCQNAEWKKSGSVSPQPCGFRN >LPERR07G06030.1 pep chromosome:Lperr_V1.4:7:5104206:5106611:1 gene:LPERR07G06030 transcript:LPERR07G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >LPERR07G06040.1 pep chromosome:Lperr_V1.4:7:5108444:5114067:1 gene:LPERR07G06040 transcript:LPERR07G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVLISSASLLALFFFLHSAADAETGSSNVFSMQSYGAHRDGHHDDTKALADAWAAACSSVKPAVVLIPKGKTYLTKQTTLSGPCKSSIVFMVKGNLVASPERSHWSKETIRHWILFSGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKMPCTEAPTALTFYSCKNLKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETSPNTDGIHITRSKNVQVTDSTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVTNVTVDNVRMYGTTNGARIKTWQGGKGHAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSDVLFKNIKGTSASEEAIMLHCSSSVPCHGITLENIKLTVKGGSNDAKSTCENAEWQKSGTVTPQPCDRWIDGHSVADLSPDLAQLVPHRLKMSRTLADGLANNAWTKDITDGLTVQVLPHVHAKVNMNASSLTNGDDSLRGRSLESKKVMFDVRKHGAYGDGQHDDTKALEKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITLMIEGTLVAPPRRSDWNKEIIRHWIMFNDVSGLTVAGGGAIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCSNLKMENLKLVNSQQIHMSVEDCRDVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIDTVRLYGTTNGARIKTWQGGRGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKKQTSAVQISNVVFKNIRGTSASKEAIKLDCSRNVPCQGIALKDVKLTVKGGGGDARSTCRNAKWKKSGTVIPQPCAFSN >LPERR07G06050.1 pep chromosome:Lperr_V1.4:7:5139509:5144660:-1 gene:LPERR07G06050 transcript:LPERR07G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADGVKSGRHGSGQACQICGDGVGTTAEGEVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSGDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGFDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >LPERR07G06060.1 pep chromosome:Lperr_V1.4:7:5152353:5153585:1 gene:LPERR07G06060 transcript:LPERR07G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKLDHRPPAPSAPRAEPDDGDVEDAFRDIHPEPSPPPMMRQPSWDAASHRSLSSSGDQFTSMSREFTAMVAAAGADDADDDLINPLQLGRIGEEHELAPPASTTTADETSSTNTLPAGAVPTTTAAAVRKEEVEAKVAAWQAEEVAKINNKFKREEVVINGWETQQIHKSTASLAKIERRLEGERAKATEKARNAAAAARRKAEERRAEAEARRGRKTAEVLDRANFCKAAGKLPSKRSFFSFT >LPERR07G06070.1 pep chromosome:Lperr_V1.4:7:5165697:5174201:1 gene:LPERR07G06070 transcript:LPERR07G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSEGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFAGEPKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGSSGRDVPMETQFMLIEVPASAGGDGHDGAGAGAGEPVYVVMLPLLEGQFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYLHAGTNPFDTITQAVKAVEKHLQTFHHRDKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLHSLAAGGAPPRFLIIDDGWQQIGTDSDETSKPAVAVQEGAQFASRLTGIKENSKFHPNDSGLKNLVTQVKRTQGVRQVYVWHAMAGYWGGVSPSPSEMSRYEAALAFPIQSPGVTANQPDIVMDSLSVLGLGLVHPRRVHTFYGELHAYLATCGVDGVKVDVQSIIETLGAGHGGRVALTRAYTRALEASVARSFPDNGCVSCMCHNTDMLYSSRNTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHDFNLLKKLVLPDGSVLRARLPGRPTRDCLFSDPARDGATLLKIWNLNKFGGVVGVFNCQGAGWCRVAKKTRVHDASPGTLTAAVRAADVDAISAAAAAEWTGDAVAYAHCAGELVRLPRGAAIPVTLGALQYEIFHFCPVRVIAIAGVEFAPIGLIDMFNAGGAVEECDVIGDVDSGGGGVEMRVRGCGRFGAYLSRRPARCEVDGDEVDFAYDDDTGLVAVELPVPEKEMYMWKVGIHV >LPERR07G06070.2 pep chromosome:Lperr_V1.4:7:5165697:5182957:1 gene:LPERR07G06070 transcript:LPERR07G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSEGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFAGEPKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGSSGRDVPMETQFMLIEVPASAGGDGHDGAGAGAGEPVYVVMLPLLEGQFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYLHAGTNPFDTITQAVKAVEKHLQTFHHRDKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLHSLAAGGAPPRFLIIDDGWQQIGTDSDETSKPAVAVQEGAQFASRLTGIKENSKFHPNDSGLKNLVTQVKRTQGVRQVYVWHAMAGYWGGVSPSPSEMSRYEAALAFPIQSPGVTANQPDIVMDSLSVLGLGLVHPRRVHTFYGELHAYLATCGVDGVKVDVQSIIETLGAGHGGRVALTRAYTRALEASVARSFPDNGCVSCMCHNTDMLYSSRNTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHDFNLLKKLVLPDGSVLRARLPGRPTRDCLFSDPARDGATLLKIWNLNKFGGVVGVFNCQGAGWCRVAKKTRVHDASPGTLTAAVRAADVDAISAAAAAEWTGDAVAYAHCAGELVRLPRGAAIPVTLGALQYEIFHFCPVRVIAIAGVEFAPIGLIDMFNAGGAVEECDVIGDVDSGGGGVEMRVRGCGRFGAYLSRRPARCEVDGDEVDFAYDDDTGLVAVELPVPEKEMYMWKIWNLNKFGGVVRVFNCQGARWCRVAKKTRVHNASLGTLTAAVRTADVDTISVAAGEEWRGDAVVYVHRSGELVHLPPGAAIPVTLGALQYEVFHFCPLRAIPLASVEFAPIGLINMFNAGGAVEECDVTVGGGIVEMRVRAIWGVFIEEASEVEFAYDGETGLVVVELPVPEKEMYMWKVGIRVYAGWLAGCHVAVAGMVIKLCITARQLGDEVQILKAVNDELQSICLELEEFKDQAEVELDLSLRLKMNKTALPLAVVGFVAGDLFSLNAGSSFQRQA >LPERR07G06070.3 pep chromosome:Lperr_V1.4:7:5165697:5182957:1 gene:LPERR07G06070 transcript:LPERR07G06070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSEGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFAGEPKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGSSGRDVPMETQFMLIEVPASAGGDGHDGAGAGAGEPVYVVMLPLLEGQFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYLHAGTNPFDTITQAVKAVEKHLQTFHHRDKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLHSLAAGGAPPRFLIIDDGWQQIGTDSDETSKPAVAVQEGAQFASRLTGIKENSKFHPNDSGLKNLVTQVKRTQGVRQVYVWHAMAGYWGGVSPSPSEMSRYEAALAFPIQSPGVTANQPDIVMDSLSVLGLGLVHPRRVHTFYGELHAYLATCGVDGVKVDVQSIIETLGAGHGGRVALTRAYTRALEASVARSFPDNGCVSCMCHNTDMLYSSRNTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHDFNLLKKLVLPDGSVLRARLPGRPTRDCLFSDPARDGATLLKIWNLNKFGGVVGVFNCQGAGWCRVAKKTRVHDASPGTLTAAVRAADVDAISAAAAAEWTGDAVAYAHCAGELVRLPRGAAIPVTLGALQYEIFHFCPVRVIAIAGVEFAPIGLIDMFNAGGAVEECDVIGDVDSGGGGVEMRVRGCGRFGAYLSRRPARCEVDGDEVDFAYDDDTGLVAVELPVPEKEMYMWKIWNLNKFGGVVRVFNCQGARWCRVAKKTRVHNASLGTLTAAVRTADVDTISVAAGEEWRGDAVVYVHRSGELVHLPPGAAIPVTLGALQYEVFHFCPLRAIPLASVEFAPIGLINMFNAGGAVEECDVTVGGGIVEMRVRAIWGVFIEEASEVEFAYDGETGLVVVELPVPEKEMYMWKVGIRVYAGWLAGCHVAVAGMVIKLCITARQLGDEVQILKAVNDELQSICLELEEFKDQAEVELDLSLRLKMNKTALPLAVVGFVAGDLFSLNAGSSFQRQA >LPERR07G06070.4 pep chromosome:Lperr_V1.4:7:5165697:5182957:1 gene:LPERR07G06070 transcript:LPERR07G06070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSEGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFAGEPKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGSSGRDVPMETQFMLIEVPASAGGDGHDGAGAGAGEPVYVVMLPLLEGQFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYLHAGTNPFDTITQAVKAVEKHLQTFHHRDKKKQGLHSLAAGGAPPRFLIIDDGWQQIGTDSDETSKPAVAVQEGAQFASRLTGIKENSKFHPNDSGLKNLVTQVKRTQGVRQVYVWHAMAGYWGGVSPSPSEMSRYEAALAFPIQSPGVTANQPDIVMDSLSVLGLGLVHPRRVHTFYGELHAYLATCGVDGVKVDVQSIIETLGAGHGGRVALTRAYTRALEASVARSFPDNGCVSCMCHNTDMLYSSRNTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHDFNLLKKLVLPDGSVLRARLPGRPTRDCLFSDPARDGATLLKIWNLNKFGGVVGVFNCQGAGWCRVAKKTRVHDASPGTLTAAVRAADVDAISAAAAAEWTGDAVAYAHCAGELVRLPRGAAIPVTLGALQYEIFHFCPVRVIAIAGVEFAPIGLIDMFNAGGAVEECDVIGDVDSGGGGVEMRVRGCGRFGAYLSRRPARCEVDGDEVDFAYDDDTGLVAVELPVPEKEMYMWKIWNLNKFGGVVRVFNCQGARWCRVAKKTRVHNASLGTLTAAVRTADVDTISVAAGEEWRGDAVVYVHRSGELVHLPPGAAIPVTLGALQYEVFHFCPLRAIPLASVEFAPIGLINMFNAGGAVEECDVTVGGGIVEMRVRAIWGVFIEEASEVEFAYDGETGLVVVELPVPEKEMYMWKVGIRVYAGWLAGCHVAVAGMVIKLCITARQLGDEVQILKAVNDELQSICLELEEFKDQAEVELDLSLRLKMNKTALPLAVVGFVAGDLFSLNAGSSFQRQA >LPERR07G06070.5 pep chromosome:Lperr_V1.4:7:5170921:5182955:1 gene:LPERR07G06070 transcript:LPERR07G06070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLEGQFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYLHAGTNPFDTITQAVKAVEKHLQTFHHRDKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLHSLAAGGAPPRFLIIDDGWQQIGTDSDETSKPAVAVQEGAQFASRLTGIKENSKFHPNDSGLKNLVTQVKRTQGVRQVYVWHAMAGYWGGVSPSPSEMSRYEAALAFPIQSPGVTANQPDIVMDSLSVLGLGLVHPRRVHTFYGELHAYLATCGVDGVKVDVQSIIETLGAGHGGRVALTRAYTRALEASVARSFPDNGCVSCMCHNTDMLYSSRNTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHDFNLLKKLVLPDGSVLRARLPGRPTRDCLFSDPARDGATLLKIWNLNKFGGVVGVFNCQGAGWCRVAKKTRVHDASPGTLTAAVRAADVDAISAAAAAEWTGDAVAYAHCAGELVRLPRGAAIPVTLGALQYEIFHFCPVRVIAIAGVEFAPIGLIDMFNAGGAVEECDVIGDVDSGGGGVEMRVRGCGRFGAYLSRRPARCEVDGDEVDFAYDDDTGLVAVELPVPEKEMYMWKVGIHV >LPERR07G06080.1 pep chromosome:Lperr_V1.4:7:5188506:5188877:1 gene:LPERR07G06080 transcript:LPERR07G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVEIMPDADDDTQEGGSVSRLRRRRPPIDAIIQKKLRAAITTPPTSPTIEIRPATTPPPYSSTDDLKPYAMASHVIDIDFPSIISKVERSLPSPPTLACFARPLRHVGSRFKMGDLGSPT >LPERR07G06090.1 pep chromosome:Lperr_V1.4:7:5194157:5198671:-1 gene:LPERR07G06090 transcript:LPERR07G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHHVTLVFLAAAVLLLLGSAAAEEEGGPRGKRLLVLVDDLAVRSSHSAFFGSLQGRGFDLEFRLADDPKLSLHRYGQYLYDGLLLFAPSTQRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLVSGSLDLFSNRFLKSGVQKAGSKISHEKAGNEQFVTETSKWVFHERGHLKALNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKALSTDKKGVYSTSFKVPDVYGVFQFKVEYQRLGYTGLSLAKQIPVRPYRHNEYERFITSAYPYYAASFSMMGAFFIFSFVYLYHK >LPERR07G06090.2 pep chromosome:Lperr_V1.4:7:5194157:5198671:-1 gene:LPERR07G06090 transcript:LPERR07G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHHVTLVFLAAAVLLLLGSAAAEEEGGPRGKRLLVLVDDLAVRSSHSAFFGSLQGRGFDLEFRLADDPKLSLHRYGQYLYDGLLLFAPSTQRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEVLKVLSASPSAYSANPKTKLASPPSLTGFLKSGVQKAGSKISHEKAGNEQFVTETSKWVFHERGHLKALNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKALSTDKKGVYSTSFKVPDVYGVFQFKVEYQRLGYTGLSLAKQIPVRPYRHNEYERFITSAYPYYAASFSMMGAFFIFSFVYLYHK >LPERR07G06100.1 pep chromosome:Lperr_V1.4:7:5200057:5203837:-1 gene:LPERR07G06100 transcript:LPERR07G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRARSTPSSPLTPSSTMRAKKIFGFSVSLVLINLASIMERADETLLPAVYKEVSAAFKVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLPGWRIAFIMVAIVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLTSKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAVVFFTMWFELIGFDNSSSAALNSLFAIGCASGAFLGGVIADRLSRHYPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWYAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKHDRENAKLSSFKNQEVV >LPERR07G06100.2 pep chromosome:Lperr_V1.4:7:5200057:5203837:-1 gene:LPERR07G06100 transcript:LPERR07G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRARSTPSSPLTPSSTMRAKKIFGFSVSLVLINLASIMERADETLLPAVYKEVSAAFKVGPADLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLPGWRIAFIMVAIVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLTSKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAVVFFTMWFELIGFDNSSSAALNSLFAIGCASGAFLGGVIADRLSRHYPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWYAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKHDRENAKLSSFKNQEVV >LPERR07G06110.1 pep chromosome:Lperr_V1.4:7:5207290:5208384:1 gene:LPERR07G06110 transcript:LPERR07G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQERAKMIVSRALDEALAVSLDGLQAQVWALAAREVAVARREIEVAEGGAELAKIARVEGFIRKREVADIERRRGELVETLEDTLTERHSIDLCILTATAAEEGVRTTAGAFIRKLDDRAQELDRRDRVLRDAEAAAANSDVELQVREDALAESERALEAARRAVKDWEAAMTRAEEDLAVRERDVVAREKAIAEREAAMEGRKVAADLEHTLFDLGTRIMAASVTRLNEAAREVGVVRCFDSLASASLGGLASQVDVLAEGIRGVPEEVDEVAKDSSYDLACQVATVILASYQAHDPNFDPYVPTEDFPAGTEESARRRVADAVDSMVGFDGTPAVFQLAYRDDPSDDGDTEDASSDPPAA >LPERR07G06120.1 pep chromosome:Lperr_V1.4:7:5221933:5226881:1 gene:LPERR07G06120 transcript:LPERR07G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEQPKPPEPPAVAAAVAAGTAVAAAPTHAEWAASLQAYYAAAGHPYAWPAQHLMAAAAAGAPYGAPVPFPMYHPGAAAAYYAHASMAAGVPYPTAEAVAAAAAAGAVPEGKGKGKGAGTSPEKGSSAAPSGDDASRSGDSGSEESSDTRDDDTDHKDSNAPKKRKSGNTSAEGEPSQAAVVPYAALESPFQLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSASPALAVPAAQGEASPGLALARRDGAGQLDERELKRERRKQSNRESARRSRLRKQQECEELTRKVAELTTENSALRSELDQLKKACEEMEAENTRLMGDKAAQYQGPTVTTTLGMSIDSSKTQHHDDEGQFHKKNTNNNTNGNYVGSSHKPEANSR >LPERR07G06130.1 pep chromosome:Lperr_V1.4:7:5227929:5229178:-1 gene:LPERR07G06130 transcript:LPERR07G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRTSTNMSLGLRSSGSAYSSSYDSRSCSDGTGISRYQKSSDESGICHCKQFLENIPEDGGVMTTLAPLPDLLPTLLRLYLILERFPVSQRSGIHRVTSHVMNYVKILWEYDFVLNIILVQDDGESENPLLDEKWTRVDSFVQHLIGVLDTLVERKAKYKLMGLECIFLLNNAHFLLQQLQKLEMKSALQHEWIPKYNNQVEHQIMRYLDLSWQPVCSCLDAHTTWTQVLFPCFHPHPHPLTIFYAMLESTCAVQQDWKIEDPKLRQQVRKAVSSRVIQCYQSYLQLQKKNVKFQKHVRYTPQELENKLMELFEG >LPERR07G06140.1 pep chromosome:Lperr_V1.4:7:5229494:5240552:-1 gene:LPERR07G06140 transcript:LPERR07G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDAAAYFTLTYKDVWIQQIGQSGVASGSCVTGDEGGKSFWIIWISPSAIVEGFDIPYNYKLPTSTSSTNMSLLFVTTESSSYDSHSCSEEAALSHYQKFSEEAGILHCKQLLEGIPEDGRDHTNINTLIQRADSHMLELFGKELAAMIDQSIQGINSKHGQAQASDMHRLLKLAGVMTMLPPSSDLLPSILRLYMTLKSFPIDQVNVIASELKRCVREILEGLCSLVQSGLYIVPQGDGIHKTTSYMMNYIKYLWEHNSLLNIILAQDGGESKNSLHGEKWTQLDYFVQSLIGHLDSLLERISKYNSKELQHFFLLNNSHFILEKLQKLDMKSPLQHSWITKYHNQVEYHIMRYLEHSWKPILSCLDTRNIILFPCFHLPPVTRFYTMLTSTCAEQKYWKIEDPELRQVVRKTVSSQVTQCYQAYLERNVKHQKHAPCTYQELENKLMELFEGKKTQCFCGHHGAKEGSYTKLIAAHIPLLSNQREDLQGVMARASKKLVDLGTGAASISSGSRGENGVFFSAC >LPERR07G06140.2 pep chromosome:Lperr_V1.4:7:5229494:5238790:-1 gene:LPERR07G06140 transcript:LPERR07G06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFVTTESSSYDSHSCSEEAALSHYQKFSEEAGILHCKQLLEGIPEDGRDHTNINTLIQRADSHMLELFGKELAAMIDQSIQGINSKHGQAQASDMHRLLKLAGVMTMLPPSSDLLPSILRLYMTLKSFPIDQVNVIASELKRCVREILEGLCSLVQSGLYIVPQGDGIHKTTSYMMNYIKYLWEHNSLLNIILAQDGGESKNSLHGEKWTQLDYFVQSLIGHLDSLLERISKYNSKELQHFFLLNNSHFILEKLQKLDMKSPLQHSWITKYHNQVEYHIMRYLEHSWKPILSCLDTRNIILFPCFHLPPVTRFYTMLTSTCAEQKYWKIEDPELRQVVRKTVSSQVTQCYQAYLERNVKHQKHAPCTYQELENKLMELFEGKKTQCFCGHHGAKEGSYTKLIAAHIPLLSNQREDLQGVMARASKKLVDLGTGAASISSGSRGENGVFFSAC >LPERR07G06150.1 pep chromosome:Lperr_V1.4:7:5232559:5236411:1 gene:LPERR07G06150 transcript:LPERR07G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTTTPELETTTSTEEEEDGNKVYVAVGRDRNKTLPTLRWRRRQAGSGRTTMVLLCVHRPATMIPIFRAKVPSIVLKDEIVTSYRQQERGIAEKVLQEYLDICTSEKVQAEAFIIEKDDIAHGLIEAISEHKISTLIMGAGTYGYPALPKKTSTQRTKLAITMEKEADPYCKILFVHKGELFSIRDRRSIPTSVNSDIPTMANSHIPLCNFPPWHHDGHRSIASSSFLTNSQSMTDNGLDPENLEHQFLENPVSMFDYDNFSLISHESLDALNEIASQSIQGIDSILGVDSMNLEEVYWKVYMEDKIIKWIYLLEYIHKIVSISLKQIHEQHGNALSGLTLEGLSEASSKPIKRLLRFASTVSKVNGSPEKLFHMLQMHKALTEASPMIQEAFLGEPKEFLTKELSQILDTLEDSAREILGKLKAQIQSYDSPNAPGGSVHLVTTYLMRYITLLAHNTSSLNAILAHYHSDHLLSAEGMNLPGRLISELISDLCFMLDKQSKLYKPEGLQYLFLMNNEHFMLQQIEQADTKLLLGTEWIDKYHHSISQYKVKYKDATWATVTSCLDKKTSSSLNFIQPSHFKEFISSFETEFRLQMHWKVPDPKLRVELRQTVCDYVLLAYCVFMEKHPNLDKSWGHSLEDIRNKLSDLFEG >LPERR07G06160.1 pep chromosome:Lperr_V1.4:7:5246116:5253811:1 gene:LPERR07G06160 transcript:LPERR07G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLLYGGGGGGCGAVWKYAEAALAARLASPALLLALLSTRVIPQRFTKPTAYRLYLELLRRHGFNFSFQMKAANFKKIMQLIDDNLGLSKLFGFSTCEPGGFVVEFTLCMLWQLVDAALDDEGLLELIPDKKVHWPTRSDDISAFDGTFSEERIEKIDKLQKMNNVITLELIGHLLHDKVITHILSLARENMQSQWVAFTKQLQVLITKSSTIQASTIALEAFEQLNLDVCNIFRENKHWSRRKFHPIMASNPLNSPHGRCLGASYSALWIPIDMYLEDCLDGSIAATNSIEILSGLVKALQAVNRATWHDAFLGLWIASLRLVQREREPIEGPVPHLDTRLCMLLSITTLAIADIIEESDSELDSNRKEKSVSDDLRKELMLSLQTLGDYESLLVPPPCIIMAANQAASKAAMFVSEINISSGYMENVNDRTTNCSGNMWHLIVESCISRNLLETSAYCWPGYIDGHANSVTHALPNQLAAWSSFMKGAPLTQSLVHMLVTTPASSLAEVEKLFEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPVDLSGGESQLVKHGAMLNVIVAGISPVDYAPIFSFHGLIPELAAALMAICEVFGCLSPNVSWSLRTGEKISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVLSGRSSAKNRNIQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGAPVHKNVDSLLNLMFRKANKGSNSIGSLSGSSSLSNSSGPVGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGIDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNNSVFQLLRSCFTATLGMSSNTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILNLLMLSVKDIAETTVSRHRSDNKLIKKTKHVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLAVQDLERGGVASGGTVYKLGGHALAYFAVYAGMFAWGIDPTPAVSRRRERVMWSHFEFLAGALDGKISLGCDLSLWRAYVSGFLGLVVECTPSWVHEVDIRVLKRLSVGLRQWKEDDLAVALLRSAGPEAMGAAAEMILAFDW >LPERR07G06170.1 pep chromosome:Lperr_V1.4:7:5256888:5267783:1 gene:LPERR07G06170 transcript:LPERR07G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPPLLAAAAAAIASLVILFLVVFACRRWWRRRRSRLRLLGPPPPPDVIAAAATSGAVPIQKEHMKARKRIKRHAKSGGKGRRNEDLNRPLLENLEGHPRQNNNFPGNAVGESSKVQTNRSNTSPRSHEISDSVRVYPAESYATQGETHVIDVTNDPSEEFHLGSTLKCTKQTSWSKSDQKHKRRGSGDDNKNGSISLKDDTYRSNLDVEVIAGPSHGITCSRQSTSPTVPITLGRVPPSDLVLKDSEVSGKHARINWNAKTSKWEIVDMGSLNGTFLNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNERVPAGIGMASDPMVGRRSGKKLVMEDISFCQYPLQGIEQFGLFGIFDGHGGDGAAKSVSKILPENVATLLSHHETKEKVLSCSDASDVLRYAFSMTEAAIDHEYEGCTATALLIWFDQNKDCFAQCANLGDSACVMSVNGKIIEMTEDHRVASATERARIARTGQALKAGEVRLNGLNLARMFGDKFLKEQDSRFSSEPYVSQAVRITKACTAFSVIASDGLWDVINTKRAVQLVVEGRERNNGDGASADKVANRILSEARNLRTKDNTSPQIGKEARHAVVQIIHSFLSLLLFRAL >LPERR07G06170.2 pep chromosome:Lperr_V1.4:7:5256888:5267783:1 gene:LPERR07G06170 transcript:LPERR07G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPPLLAAAAAAIASLVILFLVVFACRRWWRRRRSRLRLLGPPPPPDVIAAAATSGAVPIQNEDLNRPLLENLEGHPRQNNNFPGNAVGESSKVQTNRSNTSPRSHEISDSVRVYPAESYATQGETHVIDVTNDPSEEFHLGSTLKCTKQTSWSKSDQKHKRRGSGDDNKNGSISLKDDTYRSNLDVEVIAGPSHGITCSRQSTSPTVPITLGRVPPSDLVLKDSEVSGKHARINWNAKTSKWEIVDMGSLNGTFLNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNERVPAGIGMASDPMVGRRSGKKLVMEDISFCQYPLQGIEQFGLFGIFDGHGGDGAAKSVSKILPENVATLLSHHETKEKVLSCSDASDVLRYAFSMTEAAIDHEYEGCTATALLIWFDQNKDCFAQCANLGDSACVMSVNGKIIEMTEDHRVASATERARIARTGQALKAGEVRLNGLNLARMFGDKFLKEQDSRFSSEPYVSQAVRITKACTAFSVIASDGLWDVINTKRAVQLVVEGRERNNGDGASADKVANRILSEARNLRTKDNTSPQIGKEARHAVVQIIHSFLSLLLFRAL >LPERR07G06180.1 pep chromosome:Lperr_V1.4:7:5270735:5278623:1 gene:LPERR07G06180 transcript:LPERR07G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEALQAVAKSLRWTYSLLWHICPHQGGALVWAEGHYNGAVKTRKTTVMPSPEEDGGGGGEHAAARHRSRQLRELYDWLAAAGETTATASGGGGRRPSAALSPEDLTETEWFFLMSASYCFPSGVGLPGRAFAMRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVKEDIGLIQYARSIFMDQHGIHMMPTLSEHSTSNPVTHIHQHPIQMQIQTGINQTKMDSDELNPKEENDETEEEGMSFSDTNIDTARNSGQLQDPLNMVSNDQTMPNHAVSSDLMQCEMSEVLRDGLDEEIQMLMDYQNSNGQLSLQGPDEPCHSWHVLCEELQNDYHPAAEDQVTSPENSHYPKTLFKILQYNAHRQQELNIMNYLPVSEQSSFSRWSPKGTDDNHQVMISQGTTQRMLKCILMIVPSSHCSYREDQTPESRGGKGASGSRKVGAVQGDFSGNHVMKERRRREKLNEKFIILRSLVPFMTKMDKASILGDTIEYVKQLRKRIQDLESSSSSSRPRNPSSSTPASSGKKRRTTRATTSAAATAATEAGSSSNGVAGETIAAAEAVVQVSIIESDALVELRCSGGGDGDGGVLLRVMKALQGLHLDVTAVQASTSPDGVLLADLRAKVKKVRGRRSSSIAQVKRTIHLVLSSSPST >LPERR07G06190.1 pep chromosome:Lperr_V1.4:7:5285293:5286240:1 gene:LPERR07G06190 transcript:LPERR07G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSAVATPAISRLVPPPPMSIDMSSPLPSLVGVSRNTAAAKQKAVVVMGATGTGKTRLAVDLALRFGGEVINADKMQLYDGLDVATNKATDAERAGVPHHLIGVVPCPDDAFTAGDFRRHASLAARAVASRGALPVIAGGSNSYIEELVDGDRRAFREVFDVCFLWVDVDCSRGVWRAIGVPELDEYVSACDAGGDGVEEAERARMLDIAVEEIKANTRRLSCRQRAKILRLERMWRVRRVDATEAFRRSGDDADEAWERLVAAPSIDIVRSFLHGDFAATTADRSSVENTTTPAPAASLIPMFALAAAGAGV >LPERR07G06200.1 pep chromosome:Lperr_V1.4:7:5300875:5311317:-1 gene:LPERR07G06200 transcript:LPERR07G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSWFSALTRTASASASSAKPASLMPPGGGVASAPAPLSDGPPAAVGVRGGGGGGVVAAVAGAGGRRRQMQGTLFKYGPKSAQVAIKTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMINEGRAMDLMPREANPDAPITAYRFHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKQALVDRICRALGGAEKVEIEWGNHALSNRPQEAVRAIVDFVKREGPKGQGGGPLDAAVDNPREEEGVLSQAGARWWYRKAGDSSQ >LPERR07G06210.1 pep chromosome:Lperr_V1.4:7:5313495:5317069:-1 gene:LPERR07G06210 transcript:LPERR07G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKEDAEGPVARCRERKHLLRDAVASRHALAGAHAGHAAALKNVGAALSDYASGEGEAHAAIVPRSSADSSALVSAAAATTLAILPPPPPPVLPPPPPPPGDDDPAPLARSMSAPDLHLQQAIKKKPSGEAPILEEDDGDAGDGGRREEEAETLKPPPPLPPSRSPPPLPPVTAAAPDGGGIGEYFFGSPDGMSMPPPPPTLDAASQPSSSSTPPAPAPPQQQSAAAKEVAEGKRPAISPAAGEAVTRRALTQKAARKSAKAAPGMMLSAAGAAPATRLGVGDVLRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVKNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIKREYQQKLAVLNRKKQRGVTSSSLEKTKAVVSHLHTKYVVDLQTMESTIAEINRLRDQQLYPKLLELVKGMWQMWDAMYRHHKQQLKIISELKSFDISVAPRETSDQHHDRTAQLWNVVQEWHTQFDKFMTYQKQYVGSLYSWIKLNVIPIDTNLKPNSSQPHETTPPIKRLLHNWHEILGKLPDEATKKAINTFSEIIKTILFHQDDEMKLRMKIEETRREYGRKRRQFDDWAQKYMHQTAGILPEDRNPDGARADPMAERKAAMERMELSMKELEEKYVKQCRVVREKSLTLLRTNLPELFRVVSEFTLESAGMFKGLWSIAHTNDQLDE >LPERR07G06220.1 pep chromosome:Lperr_V1.4:7:5320706:5324278:1 gene:LPERR07G06220 transcript:LPERR07G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTTATAAAASFVSGHRGGCSRPSLSSPSFVSAFPRAVAARTRAPPAPARSAAMTVRAQAAGKKSVLIVNTNSGGHAVIGFYLAKDLLAAGHSVTVLTVGDAASDKMNKPPFSRFSELTSAGARTVWGDPSDVGAAVGGGGAAFDVVVDNNGKDLDAVKPVADWAKAAGAGQFLFVSSAGIYTPTDELPHVEGDAVKESAGHVGVEKYIAGEFGNWASFRPQYMIGSGNNKDCEEWFFDRIVRGRAVPIPGSGMQLTNITHVRDLASMLALAVENPGAAAGKIFNCVSDRAVTFNGLVKMCAAAAGAEPNIVYYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEALGWTSTTNLPEDLKERFAEYASSGRGEKEMSFDLDDKILSA >LPERR07G06230.1 pep chromosome:Lperr_V1.4:7:5322755:5326980:-1 gene:LPERR07G06230 transcript:LPERR07G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCVPFRYKENYDETSDDGNKKLVEVLMINSQSGPGLLFPKQLLGFYDFKSKTHQDEFCPEGMCRAAVFALQVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTMGFSTWLENWSNSGSHVDPKRILSSRSKLISFSPLPLLAYSANLSLRSSGKFVVLVHPSASFAALGSA >LPERR07G06230.2 pep chromosome:Lperr_V1.4:7:5323736:5326980:-1 gene:LPERR07G06230 transcript:LPERR07G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCVPFRYKENYDETSDDGNKKLVEVLMINSQSGPGLLFPKQLLGFYDFKSKTHQDEFCPEGMCRAAVFALQVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTMGFSTWLENWSNSGSHVDPSSR >LPERR07G06240.1 pep chromosome:Lperr_V1.4:7:5328776:5332829:1 gene:LPERR07G06240 transcript:LPERR07G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRTGNLTRGDLEGDELIEGVSETLD >LPERR07G06250.1 pep chromosome:Lperr_V1.4:7:5336439:5341617:-1 gene:LPERR07G06250 transcript:LPERR07G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHEMPVPIGLLYLNTRRTLLEKYNLLAVGRSSQGALFDPKEFLYRTGDGKYNDPHNAEAGSQNTFFGRNMKPVDQEDELMSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTKQIEITAPKEVANECPLKSFKFYATKELPTNSDGIKIGHYNVRTAWWDGSAIYGNNDKKAEKLRTYVDGKLVIGDDGLLLPAENGVSLSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPDLSDEQLYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGGPALGGLVGLKKPNNHGVPYSLTEEFTSVYRMHSLIPSTLKLRDPTGQPDANNSPPHLEDIDVGELIGLKGEEQLTKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIEAIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEKTYTKKGMHWVNTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >LPERR07G06250.2 pep chromosome:Lperr_V1.4:7:5336439:5341617:-1 gene:LPERR07G06250 transcript:LPERR07G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHEMPVPIGLLYLNTRRTLLEKYNLLAVGRSSQGALFDPKEFLYRTGDGKYNDPHNAEAGSQNTFFGRNMKPVDQEDELMSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTKQIEITAPKEVANECPLKSFKFYATKELPTNSDGIKIGHYNVRTAWWDGSAIYGNNDKKAEKLRTYVDGKLVIGDDGLLLPAENGVSLSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPDLSDEQLYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGGPALGGLVGLKKPNNHGVPYSLTEEFTSVYRMHSLIPSTLKLRDPTGQPDANNSPPHLEDIDVGELIGLKGEEQLTKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIEAIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEKTYTKKGMHWVNTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >LPERR07G06260.1 pep chromosome:Lperr_V1.4:7:5365803:5373881:-1 gene:LPERR07G06260 transcript:LPERR07G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPPRRSPSPAATTPDAAAPPPRLIRPPPRPSRGSTGPXRRTARAEVDGVGAAALPARHRRRVEGTRWQRDWKVSEVAARVLRAEAGAAVDGVLNCWAGRFARRNFPLLIREITVSGSLQHAVHVFRWMKNQDNYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADIYNSLIQAHSRAGQWRWAINIMEDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLTALKNGAQYSKAISYFEIMKGANVTPDTFTLNIIIHCLVKIGQCGEAIELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAVFDLMVAEGVRPNVVTYNALLGAYASRGMHTEALATFNLLMKNGLRPDIVSYTSLLNAYGRSAQPVKARGIFNKMRKNLCKPNKVSYNALIDAYGSAGMLKQAVGFLQEMERDGIQPDVISISTLLAACGRCRKMTRIETIFEAARSRGIDLNTVAYNSGIKSYLNFGDYEKALELYNSMRVSNVKPDAVTYNILINGSCKLGKYEESLMFFEDMVDSKVSLTKEGKLSEAESTFSSMKESGCFPDVLTYTTLIQAYNAGGSWQRAWDLFKEMEGNGISPDAIICSSLMEAFNKGGEPERVLQLMEIMKEKCIPLNQKSHFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNRILNFLGKCGKTDNMMKLFYKMVTSCSTVGISTYEVVLRNLLVVGKWRKYIEVLEWMEDAGVHPTLYMYQNVLPYIWRENGMEFGTIMQEKIREKVKVEKDGVFID >LPERR07G06260.2 pep chromosome:Lperr_V1.4:7:5365803:5371234:-1 gene:LPERR07G06260 transcript:LPERR07G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLCKPNKVSYNALIDAYGSAGMLKQAVGFLQEMERDGIQPDVISISTLLAACGRCRKMTRIETIFEAARSRGIDLNTVAYNSGIKSYLNFGDYEKALELYNSMRVSNVKPDAVTYNILINGSCKLGKYEESLMFFEDMVDSKVSLTKEGKLSEAESTFSSMKESGCFPDVLTYTTLIQAYNAGGSWQRAWDLFKEMEGNGISPDAIICSSLMEAFNKGGEPERVLQLMEIMKEKCIPLNQKSHFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNRILNFLGKCGKTDNMMKLFYKMVTSCSTVGISTYEVVLRNLLVVGKWRKYIEVLEWMEDAGVHPTLYMYQNVLPYIWRENGMEFGTIMQEKIREKVKVEKDGVFID >LPERR07G06260.3 pep chromosome:Lperr_V1.4:7:5371258:5373881:-1 gene:LPERR07G06260 transcript:LPERR07G06260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPPRRSPSPAATTPDAAAPPPRLIRPPPRPSRGSTGPXRRTARAEVDGVGAAALPARHRRRVEGTRWQRDWKVSEVAARVLRAEAGAAVDGVLNCWAGRFARRNFPLLIREITVSGSLQHAVHVFRWMKNQDNYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADIYNSLIQAHSRAGQWRWAINIMEDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLTALKNGAQYSKAISYFEIMKGANVTPDTFTLNIIIHCLVKIGQCGEAIELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAVFDLMVAEGVRPNVVTYNALLGAYASRDLHNL >LPERR07G06270.1 pep chromosome:Lperr_V1.4:7:5380469:5387432:1 gene:LPERR07G06270 transcript:LPERR07G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLASLLLEEARRLQAEADREGVQAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRARDKELELESKMKRTNDHGDARGEKRKNDLRNQSSSPRIEEGTAYSSSHSDQEDGLRDDDIEKFLHSRVKRGRGAIGSRMDEPGPYLNATLPSQPNGHSADTRVEEKWERRVQGPEKPLFLRSRSPDNYGHKEALDGEPFSPEIHKEKEKKKEKNSEKKDKKEKRKKKDKKKSKHRRRHHHHHHHRSRQRE >LPERR07G06280.1 pep chromosome:Lperr_V1.4:7:5389502:5392199:-1 gene:LPERR07G06280 transcript:LPERR07G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSKLLVILLLSSVAAAAVLVVGADITGGEADDRYAAAACEPLARLPRDAAVPPLAAARPGRRAXKKGSKSSHGSHHDSDEEVTMPVFDANLPADVKDGLEVACRYMEKHVDFNFRKLERSIATIIDRLPPPRGTHAGHDRRRRPPPEPREYDAEDESPGLHSDGGVHHRRRPHSPRRGPAYMERVANDLQEVAAACRCEALRAMVEVLVDEEEAPPGCRKGALSAMAARLPARDECDLETSVAGAGDGGDDDGEARRRCRKVVIN >LPERR07G06290.1 pep chromosome:Lperr_V1.4:7:5400649:5402449:1 gene:LPERR07G06290 transcript:LPERR07G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVAPSHSGASFFETIPGMRSPSSVITPRTSTLSASHRHLSGTAASSRQHALGRHVGPNEFSLGDGAPPLDVSPAGWNRVPGDGLPHAAVLADIAVVGRRRGVRGEDGKHEGREEELVI >LPERR07G06300.1 pep chromosome:Lperr_V1.4:7:5401749:5408678:-1 gene:LPERR07G06300 transcript:LPERR07G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVNKLVFSALMLAVLAAIAAAAADYGDVGEYCRVGKAVSRNPVPSCRRYIARWCAISKGQQIGSKMPPRSLLDPCCHELAAVPERCRCDALSVLVRGVITEEGERIPGMVSKKEAPECDGATIASMASDLTHYGRCNLPVPAMFACPIFGVFSALLLAVVSMLVATITMAHHHRPVAYSPGEQCMPGLGYPMYPFPRCRALVKRQCAGGAVDEQLRQDCCRQLAAIDNNFCRCPALSLMLASMYKELGAPADGQPMDEVLRGCRRGDMKRLAASLPVFCNVNIPIGVGGICYWKMASNKLVFPALLLITAVSLLAAATASPGEHCYGGEGYPVYPLPRCRALVKRQCVGGAVDEQVRQDCCRQLAAVDDSFCRCPALSLMLVSMYKELGAPADGKPMDEVFRGCRRGDIKRLAASLPVFCKIDIPIGVGGVCYWVESKQAMAFASDNKLLFSALMLAVLAADAAAAADYSDVGEYCRVGKAVSRNPVPSCRRYIERWENSLGPTCRPKACCRELAAVPERCRCDALSVLVRGVITEEGERIPGMVSKKEAPECDGATIASMASDLMHYGRCYLPELCRIFGGGID >LPERR07G06310.1 pep chromosome:Lperr_V1.4:7:5441540:5441812:-1 gene:LPERR07G06310 transcript:LPERR07G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFARQWWVAARRDILPARHGGDPEQPAPGLPPIRTLRRVRCRLDVRIGGRMHDSAVKAQCCREFAVIPPYCRCDVQSYYMDVGASFN >LPERR07G06320.1 pep chromosome:Lperr_V1.4:7:5444900:5445355:-1 gene:LPERR07G06320 transcript:LPERR07G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNLLLSAAVLLSVLAAAAASAATQCQPGMAIPHNPLRACHPYILRRACNIASAGGRLYDWTVKERCCRQLAEIPAYCRCAALSYFMDGVVTSSGVFEGRELEDFPGCPRETQRGLAAMLTTPGECNLETIHGGPYCLSLTDREMHKY >LPERR07G06330.1 pep chromosome:Lperr_V1.4:7:5462409:5464216:-1 gene:LPERR07G06330 transcript:LPERR07G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLTAATGNSFVNHEFLMTANNVSQRHFTSSTNAGDRTGMAPSSFVMSEWGQAAASYGTVASNLVGAAMVCQQTQARGSNDKPPLINGPWTREEDEVLRNMVIQHGNRKWAEIAKSLPGRIGKQCRERWINHLHPDIKKDVWTGEEDKILIEAHKIFGKSWSAISRYLLGRSENNIKNHWNATARRLKSKRRLRQTSLLEDYIRSKPSETIKTTPPLSGPAPFDNLEYNTGLISAGSTPAIQAPSFSTPPELVAYTGVLNSATIPSQLETLDLFRTPVLPKLSLNTDHEHRGDRYDLPFSEGNIHFSGSYHSGGCQGSQPSYQSLYPPSSLAGSHVDGGRVAFDLQSSNQANAGCHYYGEEGPNSFGKGVMNNNDIVGQFMNDSDQAKWIN >LPERR07G06340.1 pep chromosome:Lperr_V1.4:7:5473354:5479170:1 gene:LPERR07G06340 transcript:LPERR07G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAAGSGARLKNVSQLFPKCSGFTDSNLNGGYWRGLREIGYRVSSAELPFGYSEDVFPSIRRFSSEPAERSLNQKDDSETLGRDGSDLRDDDLECDDELSQQNGMLDAFKPTDRSGDTKLQDKTYRPYLFNLVLNAPSHSFRNVLDKWIEDGNHLDRNEVMLALFHLRKQHLFQKALQFVEWMESRKLLNFEERDYACHLDLIARSYGIENAQKYIKRVPLPFRNEVLYETLLSNCVRMGDIQKAEEVFKEIKDLCLCLTVTICNQMILLYKRIAPGKVADVLMLMEKGNIKPSAFTYKLLIDLKGRSNDMAGIEVVLNEMKACGIEPSIAIRSMIAKFYLHGGLTEKAEEVAKEMEVQLLELKKDRRNVIRSLLHLYAALNKPDDVARIWKLCTEPNVEDFLAAINAWGELGRVEQAEETFEAMANMKLSSKYYIAMLKVYAHNKLLSKGKQFVERMARDGCPYGPLTWDALIDLYVNSGEVKKADSFLLNVAEENPGRRPLFTSYFFLMKAYAKEGDIHNTEKIFDRMKKAGYPGRPLHYNLLLEAYINAQVPAHGFMERMIGDKVRPTKKILAELRSLDNTLQKGCIAD >LPERR07G06350.1 pep chromosome:Lperr_V1.4:7:5481400:5482802:1 gene:LPERR07G06350 transcript:LPERR07G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRPAILRRIRLSPSPLAAAASAASHPHALARWLSRPMSSHDAHLTRDEVVDRLLEVLKSHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAG >LPERR07G06360.1 pep chromosome:Lperr_V1.4:7:5485072:5488699:1 gene:LPERR07G06360 transcript:LPERR07G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAAAHSRAPTALPRRPRQKTPKEALGAILQSRVIACLRAQDGERAMRAAHAAVRGGVSVVISDLRRSYPSLTFGVGTVLNPEDAREAIEAGAQFLMSPGTVMEILHALEESEVLYIPGVLTPTEVISASNAGAEIIKVYPVSVMGGEVYMLALKKPFPFLPMVASQGIRIDSIKGYLEAGASAVVLSDAIFDKELMRGRKFDEISELANLATLQASQSRK >LPERR07G06360.2 pep chromosome:Lperr_V1.4:7:5485072:5488690:1 gene:LPERR07G06360 transcript:LPERR07G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAAAHSRAPTALPRRPRQKTPKEALGAILQSRVIACLRAQDGERAMRAAHAAVRGGVSVVISDLRRSYPSLTFGVGTVLNPEDAREAIEAGAQFLMSPGTVMEILHALEESEVLYIPGVLTPTEVISASNAGAEIIKVYPVSVMGGEVYMLALKKPFPFLPMVASQGIRIDSIKGYLEAGASAVVLSDAIFDKELMRGRKFDEISELANLATLQASQSRK >LPERR07G06370.1 pep chromosome:Lperr_V1.4:7:5489784:5491311:1 gene:LPERR07G06370 transcript:LPERR07G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >LPERR07G06380.1 pep chromosome:Lperr_V1.4:7:5497956:5499754:1 gene:LPERR07G06380 transcript:LPERR07G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSSRKRASSSSSSNHHHQHQPKRRRCTPGDGGGGVPLAVALWGMRGRTLERMVTQLARRPWGGNGGGEAPAWWPTAREPWWGTEVAAHMAMAATTTPPVPFAAARRLTKAEKVAVLVAAVKHAAPPDFACSLAAAAARSRLTHAESTVWESALRRERERHVATMPPPRGGEKKPEDVPLVTLLDRRDDDHSGDLSGEAAAAAAAAAEEDVDWFDCDELYRGMAKLEIPSFFGGYGKISALCLSPKSSTVIHHNLPIGLLPLRFCLGSGNGWVAIYLQTLGQYLDISLMNLFTGQNIPFHCFPKNLEFLYDPSHYPFPKSSRLCLRPTQRRVYCLTRGGDVHILRLPTDCNCRRQRTVSFEPLFDKSSMEFYPA >LPERR07G06390.1 pep chromosome:Lperr_V1.4:7:5499794:5500270:1 gene:LPERR07G06390 transcript:LPERR07G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAWWSVMTAIYIYQIWRKISIGTTTLTVESECHVERNQIFVLKYYPRRRPCWVAVKDLSVYSFFIGKNNAVALYVEGDGGTLWLKSNCVYWIDDSIEQAQVFDTKTGKSQCFPSAKDYLGSYAYAICWCNLGDTRSNTGGSMATSSYQLAKRARYV >LPERR07G06400.1 pep chromosome:Lperr_V1.4:7:5503404:5508341:1 gene:LPERR07G06400 transcript:LPERR07G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSCCCCCCPAALGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAILLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVFSLKLALWMQIIGFSMYKLGASSSTPTYHEVNYDGRNSFLSPRSNSVRRNSIADDILGGSIYDPAYYSSLFEDVRNNTCNHQGEKQSGSNDSGSTSAGQSPRLKSFASRSFLANDVEAGLRRPLNS >LPERR07G06400.2 pep chromosome:Lperr_V1.4:7:5503404:5508341:1 gene:LPERR07G06400 transcript:LPERR07G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSCCCCCCPAALGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAILLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVFSLKLALWMQIIGFSMYKLGASSSTPTYHEVNYDGRNSFLSPRSNSVRRNSIADDILGGSIYDPAYYSSLFEDVRNNTCNHQGEKQSGSNDSGSTSAGGSWTKKTPELLNWSQVI >LPERR07G06400.3 pep chromosome:Lperr_V1.4:7:5503404:5508341:1 gene:LPERR07G06400 transcript:LPERR07G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSCCCCCCPAALGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAILLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVFSLKLALWMQIIGFSMYKLGASSSTPTYHEVNYDGRNSFLSPRSNSVRRNSIADDILGGSIYDPAYYSSLFEDVRNNTCNHQGEKQSGSNDSGSTSAGQSPRLKSFASRSFLANDVEAGLRRPLNS >LPERR07G06410.1 pep chromosome:Lperr_V1.4:7:5512448:5514919:1 gene:LPERR07G06410 transcript:LPERR07G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTSGKGNVPKPGKGAKLGGGGGKR >LPERR07G06420.1 pep chromosome:Lperr_V1.4:7:5554669:5556279:1 gene:LPERR07G06420 transcript:LPERR07G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTSKPFFPAAPNPALIPTGRKPSRLSPESSYWRSFRNSELTPTNEFNVTHLAFSPAAAKSAPTLAATWATSVHLFSGDPLSPLPRIPASDDVTYSPSFRSDGALLAVGDKKGVVRVFRADKRPSSGGPLRKLAAHSAETRVVRYPVAGGDKVHLFTAGDDAMMAYWDVPSETPVFTAAAAHRDYIRAGAASPADHNIFATGSYDCAVKLWDSRTGNSPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLIHSVESHVKTVMALALAKMSNTGETRLLSAGIDGYVKCFDYGELKLTHSMRYPKELLSLACSPCGTVLVAGSSKGVIYMGKRKKKLGQDEEEGKGVGGEFDWTTPKPGKPRLGPSNYRYFLRGQNAKPKEGDFVIQKPKKVKFAEHDKLLRKFRHKDALVAALAQNNPRSIVAVMEELVARRKLVRCIGNLDKEELGLLLQFLHRNATLPRYARFLMGVANKVLEMHADDIRSDENLRGCIRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >LPERR07G06430.1 pep chromosome:Lperr_V1.4:7:5557658:5562702:1 gene:LPERR07G06430 transcript:LPERR07G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTHLHLHLLTPTSPPSPATYSSPRRRLHFHLPSPRSHPTPTLESSQNPAPPQPLQSSWPSPRRQWRWRSKSPPPPFIDDGVSSGGEKEKKKSFWGAVSLIVGTAVGPGMLGLPSATIRSGMVPSTAAIVLSWVYVLSSIVLVAELTFAAMEDGGVGEVSFTGIASNTLGASLGTAVAVVYAALSFALLVACVAGIGSLASQLFPAADPVLANAVFPCFAGALIAFFPFEAVDGANRALCGLMLVSIAALVVTGVSVGRSSMVRSLGFACWRPAAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLAGSSGSAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTMELIVQRFSQKGGIGQISESSSGHGRNGAVLTWIVLIIPIVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRLQKRKRSYDSCEDILPGGNAVLLYCCGPSIVALDGDYKSQCPTLLEDRIPAHRYIHVGVVNHLRAACERRR >LPERR07G06430.2 pep chromosome:Lperr_V1.4:7:5557658:5562702:1 gene:LPERR07G06430 transcript:LPERR07G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTHLHLHLLTPTSPPSPATYSSPRRRLHFHLPSPRSHPTPTLESSQNPAPPQPLQSSWPSPRRQWRWRSKSPPPPFIDDGVSSGGEKEKKKSFWGAVSLIVGTAVGPGMLGLPSATIRSGMVPSTAAIVLSWVYVLSSIVLVAELTFAAMEDGGVGEVSFTGIASNTLGASLGTAVAVVYAALSFALLVACVAGIGSLASQLFPAADPVLANAVFPCFAGALIAFFPFEAVDGANRALCGLMLVSIAALVVTGVSVGRSSMVRSLGFACWRPAAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLAGSSGSAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTMELIVQRFSQKGGIGQISESSSGHGRNGAVLTWIVLIIPIVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRLQKRKRSYDSCEDILPGGNAVLLHVGVVNHLRAACERRR >LPERR07G06440.1 pep chromosome:Lperr_V1.4:7:5564878:5566523:1 gene:LPERR07G06440 transcript:LPERR07G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSPSPSPSPAAAAAGRRARDAEAELNLPPGFRFHPTDEELVSHYLCARAAGRSPPVAIIAEVDLYRHDPWDLPSMALFGRREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVVCGGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAADRGGNSTSATKKSGTLRLDDWVLCRLYNKKNEWEKMQIKEEEVAMAAPAMSHTPESEIDNNDDDQFPELDSLPAFSDGDMVVTPKEEAQDDDWLMGMSLDDLQGLGSLLQGDEIFSSSMIAPPAATMAAAKTEQWGVPLF >LPERR07G06450.1 pep chromosome:Lperr_V1.4:7:5593445:5593681:-1 gene:LPERR07G06450 transcript:LPERR07G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSWRRSEEEDDDDLWVDDKGAAPVFLEGNKDQAEPFLDAVVPRKGVAQPAALQRGGRCCRSCTGGEGWRSSQRR >LPERR07G06460.1 pep chromosome:Lperr_V1.4:7:5637783:5641925:-1 gene:LPERR07G06460 transcript:LPERR07G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWVSTVRLTLRSGLFQCRPSIPDNHVLLTPTPKRVAFASYYFHHRFGDSFLLRWKGNPPPHLPQIRSEIRLGEGRKEGSGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >LPERR07G06470.1 pep chromosome:Lperr_V1.4:7:5653626:5654081:-1 gene:LPERR07G06470 transcript:LPERR07G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVPPKTARHARQHHDDEERSTPDRFVVAIISDLEASTTQDDQDDYSASESDNSRAVYAVDGDDASTFASMTPAQRLAMMQQILDEAPTDAVVGAEIVSWIDRLREAARNLDSALAEAEQPSLSEAARRATAADDDAAVRAAVANGAQT >LPERR07G06480.1 pep chromosome:Lperr_V1.4:7:5665195:5667192:-1 gene:LPERR07G06480 transcript:LPERR07G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVSLLLLLAAPASSSSPPSPATCPYDLATATRMIPRECYANATQSTATGCCWYVFAAYIFAAADHANRTGAAFLPRDAATACSSALASTLLSSGLVSPSLLSSTTNGSGSCDLTGNNNNLAAGSRPCQLDSVSAVRAMAPRNLPNATRLCASSQRSPPVGDCAACRGAVIAVTYEMLAAARTKEFVPCGMAATIAVWSSSPPPLDRFRAYALCMLQVLENVNSLGTSDLVPSPPPPPPSSPPATISPLRSRNNTVAIAAGSASAVVAAAVIVTAAIAIVMIRHRRRGATAAGYDDDDGDDDDESVASMPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKRIYRSKKVSEFYSEVAVLAKLRHRNLTTLVGYCLADGDRHAALVYEYLAGGNLWHALFHGGGDGCVLTWHRRLHVAVDVAEGLAYLHGFPEGAVVHRDVKPTNILLTDRGVAKLSDFGVSRIVPEGGTHLSTEVRGTRGYVDPESFTAGHVSEAGDVYSFGVVLLELATGMRAVVPTPSGGAESIVHAAHWAVGRAGGEARDAAESMVDARLGLGWDRPTVTAVFALACRCVRPYKHERPAMVEVLAELKSMLADYAARHGDGDADRSDATMSSIDPASLPSTSSSAANTDVASSASPRRDG >LPERR07G06490.1 pep chromosome:Lperr_V1.4:7:5670204:5673989:1 gene:LPERR07G06490 transcript:LPERR07G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPARRRVAVPAGGRAAPAAARHGAGRGSRAAARCGERSGGAARSGESCAGARRAPAARGELRGRLGCSRWLGWARLRHVKARRLR >LPERR07G06500.1 pep chromosome:Lperr_V1.4:7:5682963:5689280:1 gene:LPERR07G06500 transcript:LPERR07G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNQGFSPARTLSPQIRSNPEADSQYLGELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFSDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPGTSMDWQGAPPSPGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGSMKRAKPSH >LPERR07G06510.1 pep chromosome:Lperr_V1.4:7:5691073:5691528:1 gene:LPERR07G06510 transcript:LPERR07G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVSDDGGGGASPTAPTHPSAGGDCRRGGPSRRWRRLLGGVPASSLPDPASPGLGLDLRRRISPGGGRDALGRRGGVCHRLGGPVAFAAWGVACLAVRRWLQWRQAWGASPTAGFQRRPRLAGPLSLLQFLGLRPVPLATAGAPALLL >LPERR07G06520.1 pep chromosome:Lperr_V1.4:7:5695099:5696493:1 gene:LPERR07G06520 transcript:LPERR07G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQVGEDRSGQARPVSSTAAVAVLRAGIRPPQPDCFFRFPPARRDHTGRGTNGADADAAAVGEDGGIGGSGFFFGGSSRSGSGVFSFSFSGGGGDGGSGGRGFFFGGSGGGYSSIGFFLGGSASGGGNITTGFLSSFSSIGGGGGGDESGAAAGRREGNQTRRPPPLGSRISAAHFPHGRSRTPVNLLSSTSWHRTTRDLFTSITLLTLAAGAASPSPSSSLLDESVASSSRKMIRTTRLLTSSPSMAAAAGFLLLLPPPPPIPPTTSITHSPTQIPPAHRILSTSPPPWTPQSLGLGFRSPLSNELLGVVAKLESKAGREEKPGGEGEIGEEDGETRLLL >LPERR07G06530.1 pep chromosome:Lperr_V1.4:7:5695360:5696255:-1 gene:LPERR07G06530 transcript:LPERR07G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRRRRKPAAAAMDGEEVRRRVVRIIFRDEDATDSSSSEEEGEGEAAPAARVRRVMEVKRSRVVRCHEVEERRFTGVRLRPWGKWAAEIRDPSGGGRREKEERKPVVMFPPPLALPPRKKPMLLYPPPLPPKKKPLPPLPPSPPPPEKEKENTPLPLLLLPPKKKPLPPMPPSSPTAAASASAPFVPRPVWSLLAGGKRKKQSGCGGRIPALNTATAAVEETGRA >LPERR07G06540.1 pep chromosome:Lperr_V1.4:7:5702644:5703581:-1 gene:LPERR07G06540 transcript:LPERR07G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRAGEVARRWEAEAMVGELGKARRNYSEATAQLGPLQSRGRHPTWAVPLAQGSSEPTEIARYFGNFGQERGYGCARERDCGARNLVGSGLAAVNPSRAPGSRAIKGSLAGENCSVPALRRVWRRNQVDSPDLDPAVRPAFSGDDQWLKRAMDPANGGSFLQFLQDPGARASLFTSATQPGVGIPPLPPFMLHAVPFPPFCTQPPPPPLAPPSAASPSPTIGQAGSSRSARRRRVSAVPEATEDASTRRYYSTEEDLRLVCE >LPERR07G06550.1 pep chromosome:Lperr_V1.4:7:5704533:5705709:1 gene:LPERR07G06550 transcript:LPERR07G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTPEFSAADIMWSMVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILESTFKDPQVNEPRESDLRKANIDNDMNLMMKTIMFSRKGSPEKHLMKKVARCKSHNLLKLKAVKQLIGRNLLIVRKILLTRNISH >LPERR07G06560.1 pep chromosome:Lperr_V1.4:7:5711733:5719607:1 gene:LPERR07G06560 transcript:LPERR07G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGDGAGTMDRLSSLPDEVLRLFSAEAAAQTSLLSRRWRHLWTTLPELEFKSDIKLAAVRAALAAHAAPAIRRLQIMGGCRYLMEAIHKLATIEIMSLELSTRGHAFGHCVFHLLKMSTGIRKLKLALRGRLKDSQKQTDVVSKWFLGHSAKTRCSPTCICNQPQAWKTEDIFLDSLREVEISGLRGSEHELAFVKQLFRWAAFLKTLKVHLHLDLTVGDDLCKELLSLGTPDTDVKIYFFQAKTRPPWVLYTPVE >LPERR07G06570.1 pep chromosome:Lperr_V1.4:7:5720535:5726688:-1 gene:LPERR07G06570 transcript:LPERR07G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGAAAARSPLVLHHHHPAHHRRLLLLPLVPGGGGGSPRVGRRIGAGRERGRPVGVRVFARYTQASQEFSTRLQDRAGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQNCFDRAPPVPYNEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNVEAFQRYIEAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGKDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVIDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERVNISSRQQARRVDRFQ >LPERR07G06580.1 pep chromosome:Lperr_V1.4:7:5732164:5735491:-1 gene:LPERR07G06580 transcript:LPERR07G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDGGVPVDRLSSLPGDVLHLILLRLCSAEAAARTSFESDVKLAVLAALAANAAPAIRRLQVATDADDPDATTATLLLAAPRLAGEISFCSWPRWGDSSDDDDDDDVMPPVRSPGVVDLPCFEKATEIWLTLGLLGVALPQSGVFARLTSLTFRDVRFTADCDLGEVVSSARCPLLQKLHVHASQDLCNLVIFSESLVHIELQLHGGMGEFMIVAPRLRVLDVPHCFSWRTYRSDSLVWDQPFAAVFAPVLEDLIWVDAYDPASVKFGFGTERLQKVKTLPIKVYEHPRCTAHMNSVKLLQRFETVSVLQLELNYPETMGDQQYLMEAITKLPTIEVLSLVLSARGHTFGHCVFHLLKMSTGIRKLELTLQGDHDSQEWIMDTMWFLGHQTKTCSESCICNQSQDWKTADILDSLLEVEISGLKGSENELAFVKQLFGWSAVLKTLTVHLHLDLTDIDDLCKELLSLGTPDTDVKIYFFHPKTSPPWKLYTPPE >LPERR07G06590.1 pep chromosome:Lperr_V1.4:7:5736399:5742205:-1 gene:LPERR07G06590 transcript:LPERR07G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGAPVDLLSSLPDDVLHAILLRLCSAEAAARTSLLARRWRHLWTHLPELEFESDAKLAAVQAALTASAAPAHDLHGGMGWLKVVAPRLRVLDVCHCFVWRTYGSKSLVWDPPYAAVIAPVLEDLIWVDAFDPSSVKFAFGKAERLQKLVTLPIKVYEHPRCTAHMNSIRLLQRFETVSVLKLELNYPKIMGGRQYLMEAITKLPAIEILSLQLSTRGHTFGHCVFHLLKMSTGIRKLELTLNGDYLLFLSLQLFSLKSHLSHVIVLTFSYNRCTILSAGLTVRLLFHLFQAKTCSGNCICNQSQDWKTEEIFLESLREVKISGLRRSEHELAFVEQLFGWAAVLKTFKVHLHRDLTVSDDLCKELLSLGTPDTDVKIYFYHAKTWPPWVLYTPVEYVVTYLSIL >LPERR07G06600.1 pep chromosome:Lperr_V1.4:7:5745158:5752409:1 gene:LPERR07G06600 transcript:LPERR07G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLVSSGAGSVVPLGPMWGSDPSPLVASHAGDTALKLKANTNLCFDDPYLIDRNFCIYYRLHRSPSTAPQVDTDGIREPDFGSLLCGNIISGVIIPTFAAIRLHFHPTWEAASINDVFMIPTLSTATSVFISVFIDAPPIYIDGIRELVFGSLLCGNIIFGAVICTFAAIMLQFYPQLRKLHPLMSSYLMAIDALLTATCLFIVAFIVAPPVDIDVFASLFLVLYFIETLSPVATQWKQFPRNLPLQPFLLTE >LPERR07G06610.1 pep chromosome:Lperr_V1.4:7:5752613:5757201:-1 gene:LPERR07G06610 transcript:LPERR07G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRKPMTMIPTTYPSMDGDATCNDLISSLPDHVIHEILLRLSSTDVVTQTCHLSCCWKYVWSHIPEVKFPFPIDLAHVRSALGAYATPVLCQLHIVTIDAAPETTCKDLSSSLPDHVLHEILPCLSSTDAAAQTSLPSCRWRKSYLPERKVLYGEEEGMESAITDKTTYVELPCFDKASNIYLCLCVIHLRLLRLGVFAQLIRSQLHHLWFCDDCNLGNF >LPERR07G06620.1 pep chromosome:Lperr_V1.4:7:5757218:5770245:-1 gene:LPERR07G06620 transcript:LPERR07G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAGEKEDLFNALPDDALRLILIRLPSTAAAARTRLVSRRWRDLWNTLPELRFPGVTDLARVSAALRLNAVPVLHHLRIDTSDRARHEIAAVLELAAPRLTGKLCFDITMPENQIQGAEEGDLEEEDDDDDDEEEEEEEGNALEIPCFEKATEITMSLSDLAIRLRPAGVFANLTALRLSNFRLHNQNQRDLGDAVSSEGCPALQEVVLCKTEGFSKLAIRSESLLRVELTLLEGLQQLTIRAPLLRKLFSACRMMTPTADISAPSLETLAWIDSYDQSSVHFGDMPHLQSITSPVILVYGQPNFPIIQHRGIGAFLKNFKAVPCLDLFAYYPHSMVNCEYLLDAITTSMLPDNIDQLCLRLETRGHNFGPCAFHFLRMSSSITELMMFFGDTLKAEVPCSSGCIFYKPQDWETLDISLSFLEKVTIRRMTGAECEICFVKRLLRWTPVLKKIILEFHPSVTISEEVYEKLHSLSSPRFCMEITSISMAPRGHVICSFCSRHPGPKFKIPTVGIKKRKKEEETPFSKTLPGDELEASMADGGGDGDGDDHLSTLPDELLHLILLRLRSTAAAALTSLLSRRWRHLWTTLPWLGFPTVTDLARVSAALRLHAAPVLQHLDVRCHNPATHEIAAVLNMAARRLEGVLVVIAMRGNRNSAAADGIGGVVQIPCFQKATHITIHLGGLGIRLPPSGVFEKLIWLRLDHFRFDSQCDPGDVLSSGRCPSLRYLSLRRAQGLSNLAIRSESLLHVDLYDLGGLQQLTIFAPMLRELAVSRCISLMVTTANITAPALETLMWVDMYNQSSVHFNVMPHLQRITTFVFSIYGETNDYYSFHTAAFLKQFDPVALLQNFKAVHNLELSLFYPERMVSCESLMEAISVLPDIDILSLLLFTRGHTFGPCVFHFLRMCTSIRELKLLYNDILKAEVPCSSDCFCYKPQDWETVDICLHFLQKIAIRMLKGAEFEICFVKRLLKWAPVLKTITLGFDPSVTVSEEVCEELLSLASPGICMEIYLRRDGAKALRSPSANDDILSALPENILRHPRLRHHGVGEPKFGGAIRVPCFRKASLRSFGYLGIRLPPFGVFEKLIRDWDSLRVGVRLPGPLESAKRHRHHHQGSS >LPERR07G06630.1 pep chromosome:Lperr_V1.4:7:5772382:5780573:-1 gene:LPERR07G06630 transcript:LPERR07G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVDEISEAYGELLAAAVAVVEARSLSGGENTAATMEAIEALRQREEQFRAACDHGDERLDRIRSRILAGDEVLDEAATVDGGGGDGDGEDHLSSLPDDVLRLILLCLPSAAAAARTCLISRRWRNLWSTLPELQFPGVTDLARVRAALLLHTAPVLHNLDNRTPHEIAAVLDVAAPRLAGGLWFHTSVISATPSHRIDAHCYESSLSAELKAIRSKSLLQVYLFEMGELQQLTIVAPMLRELSVSGCFNVVAPIADISAHVLEELQWIDYFSFSSVRLGVLPLPRLQRLAAFGDVYGLSTADPHLRSLSLLQRCKAAHEVHITLIYPPWTVMDDYKLLVEAVNTLPDMEILSLKLVAVGHAFGPCVFHLLRMSSGIIELNLELDCVKDGRVVCPSSCICYKKRDWETSNIRLNLLQKIVINNLSASKRQIFFVKQLLRWAPLLKTITVTFHPSARVSEEVCKELLRFSAPRICMEIYLHRNGAKERYSGTMDAAVDEMRKSYGELVAAVAAVVEARGQSGGENTAAMVAATEALKQRVELFRVACDHGEERLDWIRNLIFVDGKTAASFYSSTSSRFGARAPSDEDLFSALSDEVLRRILLSLPSAAAAARTSLISRRWRSLWYTLPELRFLDVADLARVSAALRLHAAAPVLHNLHIDSSDLAAHKFAAVLDMAAPRLAGGLRFDMASTAVSAEIGGDLELEIPCFPKATQIELRFGYLRIRLPSFAVFGKLTVLRLCDVLFNSQYNLGEAVSSDRCPSLQVLNLHRAKGLSNLAIRSESLLVADLSHLGGLQQLTIVAPRLTDLGVSRCFNVVSPVADISTPLLEALRWIDYFSWSSVRFSVMKRLRRLKVCGLVYGVPTTDPLTRSLQLLERYTAVPDVHLSLFYPCVTIDDNCNYVVQAVHTLSSVKILSLKLDGIVPCFSGCICYKPPDWETMDICLNLLQKVEINNLSGAEVEIFFVERLLIWAPVLKMITLTFDPSVRVGKEVCKKLLSLSTAAICMEMALRRSIDHQ >LPERR07G06640.1 pep chromosome:Lperr_V1.4:7:5780567:5782368:1 gene:LPERR07G06640 transcript:LPERR07G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKGAEFEICFVKRLLKWAPVLKTITLNFDPSVTVSEEVCEELLSLASPGICMEIYLRRDGAKIMGDQ >LPERR07G06660.1 pep chromosome:Lperr_V1.4:7:5803672:5805729:-1 gene:LPERR07G06660 transcript:LPERR07G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKRAHFWALCFPFAQDVHWYKKVGDHTKTRCSESCICNQSQDWKTKDIFLDSLLEVEISGLKGSENELAFVKQLFGWSAVLKTLTVHLHLDLTDTDDLCKELLSLGTPDTDVKIYFFHAKTSQPWKLYTPAE >LPERR07G06670.1 pep chromosome:Lperr_V1.4:7:5805894:5807733:-1 gene:LPERR07G06670 transcript:LPERR07G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRVLAGVIHTVPPLGEILHLEEGEIDEQTEMVHKFLAHLTASVTSHGTGKPLISLLAFSPESCNEHVERSRV >LPERR07G06670.2 pep chromosome:Lperr_V1.4:7:5805922:5807733:-1 gene:LPERR07G06670 transcript:LPERR07G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRVLAGVIHTVPPLGEILHLEEGEIDEQTEMVHAEVSCSSDCFCYKPRDWETIDISACIFSRKL >LPERR07G06680.1 pep chromosome:Lperr_V1.4:7:5807832:5809382:-1 gene:LPERR07G06680 transcript:LPERR07G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLQGGRGHLTVFAPGDVYPKLEKLRIISSVMGTEYSGGVLIELPLLNALEMSDFLIWTCGAAVRASTSINASPFRGAVSGDLVISAPLLEHLVFEDIAISTLPMLAAGNQFHGAVSIYAPSLEKIHVMDNHGSTLPFSALSSGWTNR >LPERR07G06680.2 pep chromosome:Lperr_V1.4:7:5807832:5809336:-1 gene:LPERR07G06680 transcript:LPERR07G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAGCVSVRIAFAPGDVYPKLEKLRIISSVMGTEYSGGVLIELPLLNALEMSDFLIWTCGAAVRASTSINASPFRGAVSGDLVISAPLLEHLVFEDIAISTLPMLAAGNQFHGAVSIYAPSLEKIHVMDNHGSTLPFSALSSGWTNR >LPERR07G06690.1 pep chromosome:Lperr_V1.4:7:5812939:5816119:-1 gene:LPERR07G06690 transcript:LPERR07G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLEAFEAARKAADAVGEEVAEVGGEGESPEAARCVDALRRLRGARVTTAALVSTQVGKHIRYLTKHPHSSIKATASDLLGYWKRVVIEEDKKNGAQQNGKSSSTMVKIEKVEPMKIEKSSPRATVIASPRATVKASPKATVKNNDMDICVMNHKSGKDEKVSNAELKTQPVKVEKVQNEVHEVSSVQTVQNGPPRLTSVVKCGDASRDRFRGILGDAFSKVYEETKKDDREEVRNIIDEVEACDPFRVAVIVECALFKKLGSSNGPNKVRYRSLMFNLRDDNNTDFRRRVLIGQVEPERIADLTPAEMASDTRKLQNKKIEEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >LPERR07G06700.1 pep chromosome:Lperr_V1.4:7:5819497:5821760:-1 gene:LPERR07G06700 transcript:LPERR07G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFLPHMPTPRHRLAVAVHSPSPSRHHHHPPPVSSSASDGASPAPASDASPRGGGGGGGGKDRRRVVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASAALAGADFCFHAVPVQFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQVLGNPRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDKIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKRAVMELMNLPQVIHITSSLILFSITNYPTNIAFKMLCCL >LPERR07G06710.1 pep chromosome:Lperr_V1.4:7:5822651:5824204:-1 gene:LPERR07G06710 transcript:LPERR07G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVEAPAAPVPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVSSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >LPERR07G06720.1 pep chromosome:Lperr_V1.4:7:5826559:5828201:-1 gene:LPERR07G06720 transcript:LPERR07G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAPAAAKGKRRPRKPKAAAEADAADGAGAGVGKDAPVESGRGGGGGGGGGKGRFFCCYLLRSLCPRRKGTTYIGFTVNPRRRIRQHNGEIRCGAWRTKSGRPWEMVLCIYGFLTNVTALQFEWAWQHPMESLAVRKAAASFKSLGGVGSKVKLAYTMLNLPSWENLNLTVNFFSTNNTKFAAGCPPLPGHMKTTVCSLEDLQYSAEAVSSEEGSINDENRQNQEPDVPVSDEISDHGLLPLPTEEQEEVGIAGSQPDYEDFAPMDWSEMFGVTARGLDEQEEDWTTESSEVQPMENENRTEASAEFCTDELGYMSWDGNEIHETRGESDGSATSPRCSLSLSSDDEGGRILVDGVSEKASFPFPNTESSSSHEGEPAPPLFLDVVDLVTPIACRLGKKGGAEMARIIDLTNSPIVIEL >LPERR07G06730.1 pep chromosome:Lperr_V1.4:7:5837539:5838021:1 gene:LPERR07G06730 transcript:LPERR07G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARDRGGAGVAANASFRVYYSLGAAGAVPFVWESKPGTPKSTVVPAVSSSAAGDDDYAAMPPISPPPSYHWSCNSHQLPPSKAAKKFNRRMSSSSSGGGGGWMRWLMGFTRRRRWSSPSAYRRRWLGEDSGVVVDDVVRRSPRRALPHCFGGDRDRW >LPERR07G06740.1 pep chromosome:Lperr_V1.4:7:5839945:5841413:-1 gene:LPERR07G06740 transcript:LPERR07G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPTTSVVGRQFCNPHTVDLTVTKNAASCFFKDVGGGFTTPMGCPSSPCEGRLQYTWEVFRGDSMNAKNLLFTARRSSLLPQLKLEIDIFLAGSTVQKNARDFRIKCSCLSRSCTLYIANSGTPIAQINRKFSGLSDWVFGGGKFSVAVFPHVDYVFVMALVVILDKIARDIRGGAMIMIELDF >LPERR07G06750.1 pep chromosome:Lperr_V1.4:7:5849915:5854482:-1 gene:LPERR07G06750 transcript:LPERR07G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVGSRFCLPHEAALTVTRRAAIDPNGGFTVTDSAGAVVLRSEIPLLLRRYTRRVIVDAAGVPIVSMKRKSLLAEANFKYPYQLFRRRYTWEVFRGNSEDASDLLFTVRRTTYYPKPKLEVDVFLASNTSQNACDFRVRCSYLRKSCTIYLGGSNTVIAQMSRTIGLSDWVFGASKFRVTVFPHVDYVFVMVIAMILDEIAREIRSRAATFHASS >LPERR07G06760.1 pep chromosome:Lperr_V1.4:7:5857441:5858961:-1 gene:LPERR07G06760 transcript:LPERR07G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPFPAPAPTGAPLAAPVAVVGPQYCAPYVVPLTVRKKSLSLTDGDFTVTDSNGAVVLQVKGTLFSIRHRRVLLDAAGQPLLSMQEKVFSMHHRWEVFRGDSSHASDMLFSVKKSSLIQLMKTDMDIFLAGNTAEQVCDFKIKGSYFDRSCAFYLGDSTTLIAQMNRQYTASSVLLGRDIFGITVFPQVDYVFIAALVVILDDIHRDKAGE >LPERR07G06770.1 pep chromosome:Lperr_V1.4:7:5863650:5867108:1 gene:LPERR07G06770 transcript:LPERR07G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQKAAPLLGAARDEERGRRRGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSLGVAAAGCATLYCMLLLVDCRDKLEEEEYEETCHGHYTYGDLGEKCFGTIGRWLTEILILVSQAGGSVAYLIFIGQNLHSVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFNHPFANRNAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAVVKVGLCIALVFTFPIMMHPIHEIVEARFQSSGCLQKLSQKVHSAEWLGLHSSRIVVVSILSVVASFIPAFGSFISFVGSTVCALLSFVLPTLFHLSIVGSSMSLWRRWLDYGFLLFGLAFAGYGIVTALLPH >LPERR07G06780.1 pep chromosome:Lperr_V1.4:7:5887813:5891553:1 gene:LPERR07G06780 transcript:LPERR07G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKEIKLFRQMRTLQGARTDRHNCISQLVLRFANLLFLPLWNLHGVNEGLRRGGGEGRELRADNKLVVRALARKAIALLKVAAHGADYDPVIRALQQLLAEHYCKERLAKQREAEEARKGIEKLERLDLEAADHH >LPERR07G06780.2 pep chromosome:Lperr_V1.4:7:5887813:5891595:1 gene:LPERR07G06780 transcript:LPERR07G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKEIKLFRQMRTLQGARTDRHNLHGVNEGLRRGGGEGRELRADNKLVVRALARKAIALLKVAAHGADYDPH >LPERR07G06780.3 pep chromosome:Lperr_V1.4:7:5887813:5891553:1 gene:LPERR07G06780 transcript:LPERR07G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKEIKLFRQMRTLQGARTDRHNCISQLVLRFANLLFLPLWNLHGVNEGLRRGGGEGRELRADNKLVVRALARKAIALLKVAAHGADYDPVIRALQQLLAEHYCKERLAKQREAEEARKGIEKLERLDLEAADHH >LPERR07G06790.1 pep chromosome:Lperr_V1.4:7:5894706:5895149:1 gene:LPERR07G06790 transcript:LPERR07G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCIRIIPVGERLYVLGEKNTRDARGTMHCLRLTDDGGRSTKRKRIEWSLHKPEESTPIWWSEDPIHLPFRACDIMSHAVHPKGRTIFVSLYGGDTFTYSTESLKWTRRRHWKLPFRGHVIHDADQVFWTRGSDSALPVTDSFPAA >LPERR07G06800.1 pep chromosome:Lperr_V1.4:7:5895182:5914778:1 gene:LPERR07G06800 transcript:LPERR07G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEKVFVRVPGWDHVNVKLVYMGGNSEYCLIEWLMPEVDDDGDKFVLRLTKLRVVYDNDGEITATARWPAGCYKVPRSDWYSLSADTLEKKNESCSTFFGQLNGSLLIWGSKLPVETSAFAEAVVQGDNLNAYTDPRYHPREIKLHEAATMANDSHRQTTMQRPFLRLSCHLLSHLRCNIRRHGTIGDHADLHLRHLIGSRGYAEIAATHADWGPCPQSRTKHHQYVILGDGDVYDIHKLDIDGSGDDLVNMASLKTFPWVPEPPALQLQHPTIDGWPRIPIGERLYVLDRSTRERLRNHDGLGGMHCLRLTDDDVDFEGKDGRSITWAWRKLDSSTPLSWSDHPIGLPFDPFYARSHAVHPNRRTIFASVTFIGNATFSYSTESRKWTCCRDWVLPFAGHAIYDAELDSWVRLHSFDNKCLCACRVVSDKGPGKQAPEEKVGKEKVFVQVPGWKHVDADLVYMGGSSEYCLVEWLRPEGDDDGDNCAWCTTTTVSSLPPLVGPLDATSSQSLLSTLFRQRFGCYASSDATNNAAAGAATEIAAPRHVDWGPCPPAHTKKHLYVILADRDNV >LPERR07G06810.1 pep chromosome:Lperr_V1.4:7:5914824:5915048:1 gene:LPERR07G06810 transcript:LPERR07G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKTLPWLPEPPALRLSHQTIEGWSPFTAVGNRIVSIGVSYVHENGDYPEGNGFTLVYDTKTTALTIVRHLP >LPERR07G06820.1 pep chromosome:Lperr_V1.4:7:5915175:5915843:1 gene:LPERR07G06820 transcript:LPERR07G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLRLTDDDGDLEEKEGKPPTWTWRQLDDSTPMLWSDDPFHLPFDAFNTSSHAVHPMGHTIFVSVTRDGTYSYSTKSRKWTRHGDWELPFRRHGIYNAELDSWSFPPRTGTPPELTVGKEKVFVQVPGWKHVKAELVYMGGSSEYCLVEWLRPEGDDHGDKFVLRLTKLRVVYDDHGEIRATGHRTAGCHKVSKSAKYSLQAAFWM >LPERR07G06830.1 pep chromosome:Lperr_V1.4:7:5919185:5919799:-1 gene:LPERR07G06830 transcript:LPERR07G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHLSHGMCIGYNNTAHMPALSSSSSSSSSEVLRFDMSCDSEMPSAAVAARKAETRTPTAAFIGVRRRPWGRFAAEIRDSTRNGARVWIGTFDSAEAAAMAYDQAALSARGTAAALNFPVDRVRESLRALALGGGGDSPVLALKRRHSKRKRRNKAAAAPPTTDQRNATAVDKRFVVELEDLGADYLEELLFLSEINVTDGR >LPERR07G06840.1 pep chromosome:Lperr_V1.4:7:5927787:5929472:1 gene:LPERR07G06840 transcript:LPERR07G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVPDMWHWTRSLPNPKHWRGDIYSLQICNSPSTNQSLNLIISWHSETQSFNLSYSICAESLNPISLWSSKYSRLQSANGSDVTVHFLHDIICGVIRYGPYSNKNSTVRLLNVLVSEDSGNIFNLATLTLALIVCIYEAPSTLRRELISMISGQLMRNDMRGAAKMLMLTMGSNMEEQWMRSLNLAVTNWIMETHRLGGTPFLPFTVFSYAVSASKLWKVQLYCPVVTMTMENPVHQTKDEKLLFSLNYQHLEAVIHFICRVTFRENWIDVTVNIDNIRCDLIQLVSETLMAKQGYGPNEKHFPSKISLQLTPLVQTDIISLTVSRSTENPIQEVDNERCLRPRKFKHSVQGNTALLSYSLEGCSDGEMPKLETQSWFRNRYNKQSRPFARGGGGVIVAGDEYGEGVCWRMGTAAAGKTVEWEIKGRIWVTYWPNKKRTLHVETRRVEFREVLRLAIRE >LPERR07G06850.1 pep chromosome:Lperr_V1.4:7:5946272:5950854:1 gene:LPERR07G06850 transcript:LPERR07G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEPSDFTFCKVDFAGDGPLESPKAIPVASTPGGDGASDLKTKKIQTNSSTKDERSNSISSSINRVSLEDSNGKSDISGENAPSNLSSQPKPSKKPVVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSSRRLISLDEVKQHKTGDSIWTILKGRVYNIAPYMKFHPGGEDMLMKAAGKDSTALFNKYHAWVNAEFLLEKCLVGFLDPNE >LPERR07G06860.1 pep chromosome:Lperr_V1.4:7:5961814:5962963:-1 gene:LPERR07G06860 transcript:LPERR07G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLCCFSNLSQIPFQSPPNPSPIPNSDLAAVQIEAPCCTKPWREHYGDRCCKCVFSNPLHSLSSYHKTELGTSPSNIHELKISKVLDGVENEANAFNVVADQDLLAVAAT >LPERR07G06860.2 pep chromosome:Lperr_V1.4:7:5961888:5962963:-1 gene:LPERR07G06860 transcript:LPERR07G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding PLCCFSNLSQIPFQSPPNPSPIPNSDLAAVQIEAPCCTKPWREHYGDRCCKCVFSNPLHSLSSYHKTELGTSPSNIHELKISKGDRY >LPERR07G06870.1 pep chromosome:Lperr_V1.4:7:5972341:5973673:1 gene:LPERR07G06870 transcript:LPERR07G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTVILAAAMALLLLATAARSDDGGDCGKEDATAAGSESRARARTLKIAAFFSILVCGALGCGLPVLGRRVPALRPDAKTREAMTDLSLKLVYNASYLERQIIFMELNCK >LPERR07G06880.1 pep chromosome:Lperr_V1.4:7:5976070:5979226:-1 gene:LPERR07G06880 transcript:LPERR07G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSPAPRTVEDIFKDFSGRRAGLVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFFFAARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSAADNGNKSRHSGKRANDVQTKNSRPTVVDDGFDDDEEHSETLCGSCGGRYNSSEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKSRQ >LPERR07G06890.1 pep chromosome:Lperr_V1.4:7:5993523:5995372:1 gene:LPERR07G06890 transcript:LPERR07G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGNSFLNYEFLMTANSVPQRHFTSSTNVGAPITVEVPNLGCPGDRTSMAPSSFVMSEWGQAAASYETLASNQVGTAMLSQQTQARGSNDKPPLIKRPWTREDDEVLRKMVIRHGNRKWAEIAKNLPGRTGKQCRERWINHLHPNIKKDVWTREEDKILIEAHKNYGESWSVIARYLPGRSGNNVKNHWYGTARRLNSKRQVKQTSLLEDYIRSKPSQSIKTTPPMSGPEPFDNLEYNTGLIGAGSTPAIQAPSFSTPPELMAYTSVLNSATIPSQLESLDIFRTPVPTTLPLNTDHEHHGDKYDLPSAAEGNLHFGGSHHIVGCQGSQPPYLGLYPSSSLAGSHVDGGTVAYDLQSFNQANEGRHYYGEADPSSVGFGVMNDNDIIGQFMDDSDQSRWIN >LPERR07G06900.1 pep chromosome:Lperr_V1.4:7:6010797:6012722:-1 gene:LPERR07G06900 transcript:LPERR07G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRPILRLPPPLLSHLWRHVRHRNAVPRLRYLIDSRCYASDATNGATGEITAAQEDMGRRRALPAAKKNLFLLLEDRKNACSIHKAIRARADHGGRLRPELGMGGMHCLRLTDDDVDSFTHDDNLKKEEGRWPWPSSWFHPDDSSPISWSSDPSNIPLFSGLPFYVPDIAAHAVHPNGHTIFVSMREMCVRDNKFVVTFSCSTETGVWTRLGNWGLPFRGHGHHDGELGSWVGLHFARELARSDGRLCACRVVSAEPNPTEPGQLKVGKEKVFIRLPGWEHADAELVYMGGCSEYCLVELLRPKSDNDFDEECVGDGDNHFHVYHVVPGETFTTWQLVMFCKQQYKRNMENHISHLT >LPERR07G06910.1 pep chromosome:Lperr_V1.4:7:6025376:6030312:1 gene:LPERR07G06910 transcript:LPERR07G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPATSSSSTPPEGGGGGGGGGFRFDLLGGSPDEDGCSPPVMTRQLFPSPYAAVVAAAAAVGDGSPPRHTPAAGGEGSWQRRAADLGVAQGQRSPAGAAGGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNDAVVDGDIIDLNLRISQPNVHDLKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPELVPQSFPTWSWQIQGSPLPLLPTAASSGFSTGTVADAACVPSSRPHPFQGHHQFYFPPTA >LPERR07G06910.2 pep chromosome:Lperr_V1.4:7:6025376:6030497:1 gene:LPERR07G06910 transcript:LPERR07G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPATSSSSTPPEGGGGGGGGGFRFDLLGGSPDEDGCSPPVMTRQLFPSPYAAVVAAAAAVGDGSPPRHTPAAGGEGSWQRRAADLGVAQGQRSPAGAAGGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNDAVVDGDIIDLNLRISQPNVHDLKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPELVPQSFPTWSWQIQGSPLPLLPTAASSGFSTGTVADAACVPSSRPHPFQGHHQFYFPPTA >LPERR07G06920.1 pep chromosome:Lperr_V1.4:7:6050818:6052989:1 gene:LPERR07G06920 transcript:LPERR07G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNNASRKQNPRARAGGCGRSGEPTTGGCGRGGAGRMREGRWVTVTRRRGADGAAEGTGAVGRRARVSGGRERATEGASGRRRVAASAVAVGNSPGVGASYSSFESASAPWRVDFWRLGGSLPGSGEVRLG >LPERR07G06930.1 pep chromosome:Lperr_V1.4:7:6051102:6052591:-1 gene:LPERR07G06930 transcript:LPERR07G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEANLKRMIGQRLEMWSKVRREVPLMYQPLSEDEDHHHGQVTAVFREASLEAIDRAMHRDMYLAVVHASNQRRCDGHRDLAQGK >LPERR07G06940.1 pep chromosome:Lperr_V1.4:7:6056921:6060022:1 gene:LPERR07G06940 transcript:LPERR07G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSDTVATPLLTSHEAKPAKPPSIDDAIETYMGATGARQLLRAMLLAVAWAFDAQQVFVSAFTDAEPQWHCTGAAANCSPCALPTGAWEWDRPAVTSVVSEWALACGGPALVSLPASSFFAGCLVGGFLLTTLADTLLGRRKMLFLSLVTMSVAGVLTAFSPNVSLVGASSLVLSTELVGKRWRDTVSVAGFFFFFVGFMSLPALAYALRDASWRTMYLYTSLPCLAYSVLLYFLVQESPRWLLVRGRKQEAIETVRQIVSLNGTASSFSMLDECAVEIDAVGAGDGGNGMFATLWSMWQRRWGFRRLAAIMTAGIGFGMVYYGMPLNVGNLGGSNLYLSVTYNALAEFPSSILSWLLMSRINRRISVIALTMVSGVCSLACVVIPEGTGLRMAAEVFSFFATCTAINIILMYSIELFPTSVRNSAVGLVRQAAVLGGVVAPLLVAIGGYLSFGVFGVAVGGLGMFVACLPETRGRRMSDTMEEEEEEEERSTPCPVLAKEN >LPERR07G06960.1 pep chromosome:Lperr_V1.4:7:6069647:6070468:-1 gene:LPERR07G06960 transcript:LPERR07G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSSSAAARPASMAERARMAKIPQPEPGLKCPRCESTNTKFCYFNNYSLIQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRGGKSSKKPSSSSSGASPAIGVMAPEQQMQLLPFGSSRLGFPELSSLHAAAAVDYHVGSFERQQFPFLRRPNTGVDHQVQPPPPLISARISSYRFGGDGDMPAAGGSAGLITQMASVKMDDIDNNNSALSAAASPTSSSAREFLGLRGPSLQFWGTGGGGEGGGAAPGGGGWSDLPAFDLSTSGNIL >LPERR07G06970.1 pep chromosome:Lperr_V1.4:7:6088170:6092555:1 gene:LPERR07G06970 transcript:LPERR07G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALDGDEEEEETEELVNQVLDEIGIDINQELVKAPTAAVAQPATAGKVAQAESASGNGDGGIDADLQARLDNLRRM >LPERR07G06970.2 pep chromosome:Lperr_V1.4:7:6088163:6092555:1 gene:LPERR07G06970 transcript:LPERR07G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALDGDEEEEETEELVNQVLDEIGIDINQELVKAPTAAVAQPATAGKVAQAESASGNGDGGIDADLQARLDNLRRM >LPERR07G06980.1 pep chromosome:Lperr_V1.4:7:6105042:6111226:-1 gene:LPERR07G06980 transcript:LPERR07G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKQSKTTTTTTRPKGGPAASSSSGGPTRRGPPPPARSAPYLTTAGPKATAASPYGVYSEHVAAMAGIVQRPPPPGARTLETGTKLHISNLDSGVTVEDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALDAIKRYDGVILDGKPMKIDLIGSFVVWSDVCATERYVEKFNDNMHLEEVAAKEDHFKVMVAPEAVRALEVAQEDFKAAVVLETVVKAVVAVARGEPVEMNGIV >LPERR07G06980.2 pep chromosome:Lperr_V1.4:7:6104974:6111226:-1 gene:LPERR07G06980 transcript:LPERR07G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKQSKTTTTTTRPKGGPAASSSSGGPTRRGPPPPARSAPYLTTAGPKATAASPYGVYSEHVAAMAGIVQRPPPPGARTLETGTKLHISNLDSGVTVEDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALDAIKRYDGVILDGKPMKIDLIGSNSEPSPTPPTAPLLYNPPFPNYPNSAPRRGGGQRGPFQGNGRSGSRQGIGGGPRGFQGSGRPGNSSQGSGGRGQGRTRGNERNRVTKSAADLDAELDQYHAEAVKEK >LPERR07G06990.1 pep chromosome:Lperr_V1.4:7:6114337:6114555:-1 gene:LPERR07G06990 transcript:LPERR07G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKLAILLCMSMVTLLVLSVIQNTATAENIQYPTMNRDHVPGNPQLNHPGANANIWTRGCEKIEHCRDNM >LPERR07G07000.1 pep chromosome:Lperr_V1.4:7:6127962:6131690:-1 gene:LPERR07G07000 transcript:LPERR07G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGFEAWTSPTLEELLPQLPLDERLRLESRLRDRNRGCGRQNNKTRPSPMRSLREENQIRRDAFMIPHVQNALRHYNARHPGGEYDVVKPLKQMTVGFRGQKWLHINFWARSRSSNKIKRFFAELHYNPLLKEPLDRYKRSCAFCPGHFDILHPIGRKFVCGNDKDRFYQQLTPCKQLPSGLPFM >LPERR07G07000.2 pep chromosome:Lperr_V1.4:7:6127962:6131690:-1 gene:LPERR07G07000 transcript:LPERR07G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGFEAWTSPTLEELLPQLPLDERLRLESRLRDRNRGCGRQNNKTRPSPMRSLREEKERDAFMIPHVQNALRHYNARHPGGEYDVVKPLKQMTVGFRGQKWLHINFWARSRSSNKIKRFFAELHYNPLLKEPLDRYKRSCAFCPGHFDILHPIGRKFVCGNDKDRFYQQLTPCKQLPSGLPFM >LPERR07G07010.1 pep chromosome:Lperr_V1.4:7:6130782:6130994:1 gene:LPERR07G07010 transcript:LPERR07G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATWLADEDGDRVLRGVCCSERGEGRVTRNVRVWAPYSPECGGVGAVVSGSIYGLVILVFQVELSPLEQ >LPERR07G07020.1 pep chromosome:Lperr_V1.4:7:6132808:6136902:-1 gene:LPERR07G07020 transcript:LPERR07G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGPAKDQEGRTRPTNRRLGDGDYGVFGEKLCPVTAVDAAIPSGAAAANAPPPPPSSSPAVDHAPGCGGCGTKRSAPASSPVGGREGKNTSAGIEDGANASSPPPAASPAFQRISKRLPRDHPGGAGRGAEGSASASPVGGRERKKTPAANASTAPPPATAFLERSSKRLALYHPGGGGRDGDVSAPAASVVDRKGKGKICAEIEGEASASSPLPPAGSFPFVSPFERRAALLHEDEAEVAGFLGCPHFYKFDPLKIGAQSEGQLNDFGTDSQEGSNTVRSSAVQNFSADFSWWTIMGNPMIQTIPGTCAIVASAVCIEALHRLEWERLHGPGTFPCRAAAPRKLRRACKQTNPPIWNPKDGLQKIPLLLKKIMELGGIPMTNAPPPAPFLLPLKSWKMYRRDGSLTPERAAHILRTHGPYIGILWVSLLYPFIDASVDDQMVYRFWFPPHLRRISDYLLMQYLFAGRLKDKDLDDLLTKILVLDNHTDTGPSRWIRFEELDKIYVLRVDPLPLDYLDPSLMYPASSY >LPERR07G07030.1 pep chromosome:Lperr_V1.4:7:6144836:6148615:-1 gene:LPERR07G07030 transcript:LPERR07G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEATTSQRSACCGS >LPERR07G07030.2 pep chromosome:Lperr_V1.4:7:6144836:6148615:-1 gene:LPERR07G07030 transcript:LPERR07G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNSTDSFTSANLLLLHFTSTSDLGDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEATTSQRSACCGS >LPERR07G07040.1 pep chromosome:Lperr_V1.4:7:6150164:6152295:-1 gene:LPERR07G07040 transcript:LPERR07G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGCGVYVAQNYNVPNVKKLFNTYMFLAKHIEETYRKPKRDGD >LPERR07G07050.1 pep chromosome:Lperr_V1.4:7:6158523:6163060:-1 gene:LPERR07G07050 transcript:LPERR07G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVRKAAARLLSPPAFSPPSSAALLRSGRTARGGALGACLPEASHERVHVLRFWVRRRNFCYACRVFDETPTRTAPVWTSMIAGCARRGRYADGMRAFSEMLAECGATPNAFVLAAVVRCCAGIGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQAGNILGSVQLFDESPLRDITSWNTIISGLMRNGHATEALNRLHQMAQSAVVFNHYTYSTAFALAGMLLLPDLGRQLHGRVLTAALESDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNSREEEALELFRRMLREGAVLDRFTLTSVAAACANAGMVEQGRQVHGCVEKLVHKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNVAVWTSMLCSYASHGQGRMAIELFKRMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKKMREEYGIVPSIEHYNCIVDLYGRSGLLDNAKNFIEENNINHEAIVWKTLLSACRLHQHSKYAKLASDKLVQLEQCDAGSYVMLSNMYATNNKWRDTFELRVSMEERKVRKQPGRSWIHLKSTVHTFVAGDVSHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEEEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISQATALAHPALLHFPGAPGNHCPPQWRSRHDYLPSSKAVERWAVDNQVKISDQIVLSMSFPKDQIPASRSSGRARGHEVLQVFFDSSAVVNVQVRHWINFDKVVLDILKAESKSKQFARSPGKTGSHFNTRSDLFQPNEKIDIFVVVDFVTYFAQLHCHGHDENPPWYHGKNA >LPERR07G07050.2 pep chromosome:Lperr_V1.4:7:6158523:6163060:-1 gene:LPERR07G07050 transcript:LPERR07G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVRKAAARLLSPPAFSPPSSAALLRSGRTARGGALGACLPEASHERVHVLRFWVRRRNFCYACRVFDETPTRTAPVWTSMIAGCARRGRYADGMRAFSEMLAECGATPNAFVLAAVVRCCAGIGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQAGNILGSVQLFDESPLRDITSWNTIISGLMRNGHATEALNRLHQMAQSAVVFNHYTYSTAFALAGMLLLPDLGRQLHGRVLTAALESDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNSREEEALELFRRMLREGAVLDRFTLTSVAAACANAGMVEQGRQVHGCVEKLVHKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNVAVWTSMLCSYASHGQGRMAIELFKRMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKKMREEYGIVPSIEHYNCIVDLYGRSGLLDNAKNFIEENNINHEAIVWKTLLSACRLHQHSKYAKLASDKLVQLEQCDAGSYVMLSNMYATNNKWRDTFELRVSMEERKVRKQPGRSWIHLKSTVHTFVAGDVSHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEEEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISQATALAHPALLHFPGAPGNHCPPQWRSRHDYLPSSKAVERWAVDNQVKISDQIVLSMSFPKDQIPASRSSGRARVRHWINFDKVVLDILKAESKSKQFARSPGKTGSHFNTRSDLFQPNEKIDIFVVVDFVTYFAQLHCHGHDENPPWYHGKNA >LPERR07G07060.1 pep chromosome:Lperr_V1.4:7:6170011:6172534:1 gene:LPERR07G07060 transcript:LPERR07G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQHGHGAVAAVLLLLLLPPFAAAIGVNYGTKGDNLPPPATVAAFLANRTRIDMVKLFDTNPDIVRAFAATGITVMVTAGNGDIPALGTKDGAAAWVAANVAPHYPATDISLVLVGNEIINTADNALIMGLVPAMRSVRAALVAAGFRRIRVSTPHSLGILAVSGAPPSASRFLDVLDRQVFAPMLEFLRKTRSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGIVYTSMFEAQLDSVFSAMKKLGFEDVDIAVGETGWPTKAEPGQAGVGVDEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFEPDLTPVYDVGLMKDNAASAPAPAVAGGVSAKAAGPLKAGNASVVATKKAAAPAPSEEDKAAAAPAPSDDGESSSGGSASPEPSEGEPADGKKPEEEEGDAATTTTPSDGESPETAGEGDRAKEIEGKNNPHGHGGSSEATSVMLSVSSILPIALSLIVLHL >LPERR07G07070.1 pep chromosome:Lperr_V1.4:7:6173038:6177947:1 gene:LPERR07G07070 transcript:LPERR07G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCASTRLQQGRVVSAAKCRSGAAAALARRSGCCLYPSARRGLGVRGIRAELPPRPPRACADGGGGATTSGATVAVPEAGEVADHAKEAGDVAPPSVLPKEQVGDVDSSGGNGKFPSGGGGGDGDNGGGGGGGGDGGDEGDDEFGPILSFDQVVQEVEKRGINLLSLPSDMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSSSILRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASEGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGAVGFFCGLVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >LPERR07G07080.1 pep chromosome:Lperr_V1.4:7:6179121:6183976:1 gene:LPERR07G07080 transcript:LPERR07G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAMNSLASLLVDEYQLQEEAKHHIVFLVDELDSMQAALVMISEVPFSQLNEQVKAWARDVRELSYDIEDSVDAFMVQAQATRESNQQPDPDGGLIKGFMDRIRSFWNVAMSRCQIAANIRRIKKDVEDVSERRKRYKLANGVAKSTVTPIDPRLPAMYEDFGKLVGIHEPRDELVKLLMGEEDGGLELELKVVNIVGVGGLGKTTLANAVYQLLGGEFQCRAFISVSLKPDIKRIFRSILLQISGKDCANTEAWDEREYIDKIRHFLNDKRYFIIIDDLWDYSAWTIIRCSFTENNLGSRIIVTTRKFDVSSSCSSQVDGNIYMMKPLSYGDSKRLFNRRVFNSQDNCPDELKEISEKLLVKCGGLPLAIITIASLLVSKPRKTLDQWCSVHNSIGRGLQDFPSVEDMRQILSLSYYDLPPHLKTCLLYLSIFPEDCKIERDHLIWKWIGEGFIHEKQGISLYEQGESYFNELINRSMIEPEDIDACGRAEACHVHDMVLDLIIFLSTAEKFATLLDCHQPIILPRNTRRLSVVNNDDLVLSEATMNLSHLRSLNIFSTHDWMLSVSISRFKVLRVFDIEYCYGQDLNSQYVMNLASLLHLRYLGLRNRYIYELPKDIGNLQFLQILDLRNNDIYELPPSIVQLKNLVRLCVDDSTIVPHGIGNMKSLQELFNLGVNNYTSQYFLDEIGCLTGLRVLHIRFPIFGLQYRNEAYDRPFLQCLHNLEKLQTLSIGGAFEDFLDFMSDSWWVPQQLQSFSAFNPFSGVPSWISLLTRLSYLTITVDIMRPEDLEILANLPVLCFLSLDLNELIHGMGNSFSATYCRNSLPSKQLLVYRTMPVERLTIGPDGFHSLTELKHNTMELVFAPGAMQRLEELTLRFRVRETKDRHGNFDFGMENLSQLRYVKVELGCNAARASVVEEAEIAIWDAADNNPNCPIVEASRHREDSIVQEEEDATQQDDAWFPFIFSPGF >LPERR07G07080.2 pep chromosome:Lperr_V1.4:7:6179121:6182622:1 gene:LPERR07G07080 transcript:LPERR07G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAMNSLASLLVDEYQLQEEAKHHIVFLVDELDSMQAALVMISEVPFSQLNEQVKAWARDVRELSYDIEDSVDAFMVQAQATRESNQQPDPDGGLIKGFMDRIRSFWNVAMSRCQIAANIRRIKKDVEDVSERRKRYKLANGVAKSTVTPIDPRLPAMYEDFGKLVGIHEPRDELVKLLMGEEDGGLELELKVVNIVGVGGLGKTTLANAVYQLLGGEFQCRAFISVSLKPDIKRIFRSILLQISGKDCANTEAWDEREYIDKIRHFLNDKRYFIIIDDLWDYSAWTIIRCSFTENNLGSRIIVTTRKFDVSSSCSSQVDGNIYMMKPLSYGDSKRLFNRRVFNSQDNCPDELKEISEKLLVKCGGLPLAIITIASLLVSKPRKTLDQWCSVHNSIGRGLQDFPSVEDMRQILSLSYYDLPPHLKTCLLYLSIFPEDCKIERDHLIWKWIGEGFIHEKQGISLYEQGESYFNELINRSMIEPEDIDACGRAEACHVHDMVLDLIIFLSTAEKFATLLDCHQPIILPRNTRRLSVVNNDDLVLSEATMNLSHLRSLNIFSTHDWMLSVSISRFKVLRVFDIEYCYGQDLNSQYVMNLASLLHLRYLGLRNRYIYELPKDIGNLQFLQILDLRNNDIYELPPSIVQLKNLVRLCVDDSTIVPHGIGNMKSLQELFNLGVNNYTSQYFLDEIGCLTGLRVLHIRFPIFGLQYRNEAYDRPFLQCLHNLEKLQTLSIGGAFEDFLDFMSDSWWVPQQLQSFSAFNPFSGVPSWISLLTRLSYLTITVDIMRPEDLEILANLPVLCFLSLDLNELIHGMGNSFSATYCRNSLPSKQLLVYRTMPVERLTIGPDGFHSLTELKHNTMELVFAPGAMQRLEELTLRFRVRETKDRHGNFDFGMENLSQLRYVKVELGCNAARASVVEEAEIAIWDAADNNPNCPIVEASRHREDSIVQEEEDATQQDDAWFPFIFSPGN >LPERR07G07090.1 pep chromosome:Lperr_V1.4:7:6183187:6183654:-1 gene:LPERR07G07090 transcript:LPERR07G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGGGGGGGTSIHITALDGGDRVESDLVLFHVLAFACFLFSSIVALCLKQIVRTYPSRYGHRSSGAAAASRTARINRAALRVGIVASAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGGAAWGAVVPLVTLVPTAMLIYIGIVFYAFTR >LPERR07G07100.1 pep chromosome:Lperr_V1.4:7:6192038:6197220:1 gene:LPERR07G07100 transcript:LPERR07G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVKAEAISSSSSPATTRSSRRRRVLMFPLPFQGHITPMLQLAGVLHAHGGLDVTVFHAPVNAPDPSRHPAAYRFVAVGDGNEFAAEAAALIPTGSYGDFPAALMALDALLRVPFEDALRRALAAEEDEDVAACLVVDSNFRGVQAVGERLGVPTLSLRTGGACCLAAYLAFPELCAKGILPPDQLQLDMPLTELPPLRLRDMVLSTTSSHATMATCLERILDSSRCSSGVILNTFNDLENSDLEKIATDLNVPVYAIGPLHKISIGLESSLLTQDRNCLEWLDKQETESVLYVSFGSLASMDREELLEIAWGLVDSQMSFLWVIRPNLAHGSQRVHLPDGFEEAIRGRGFELEGKLERETIERAVRRLMCKEEGKEMRRRAKALKNQARISVEKEGSSKTAIDMLVNLIMFCMDVGGEEEEES >LPERR07G07100.2 pep chromosome:Lperr_V1.4:7:6192038:6195938:1 gene:LPERR07G07100 transcript:LPERR07G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVKAEAISSSSSPATTRSSRRRRVLMFPLPFQGHITPMLQLAGVLHAHGGLDVTVFHAPVNAPDPSRHPAAYRFVAVGDGNEFAAEAAALIPTGSYGDFPAALMALDALLRVPFEDALRRALAAEEDEDVAACLVVDSNFRGVQAVGERLGVPTLSLRTGGACCLAAYLAFPELCAKGILPPDQLQLDMPLTELPPLRLRDMVLSTTSSHATMATCLERILDSSRCSSGVILNTFNDLENSDLEKIATDLNVPVYAIGPLHKISIGLESSLLTQDRNCLEWLDKQETESVLYVSFGSLASMDREELLEIAWGLVDSQMSFLWVIRPNLAHGSQRVHLPDGFEEAIRGRGMVISWAPQQDVLSHRAVGGFWTHNGWNSTLESICDGVPMICRPHFADQMINTRYVQEVWKIGFELEGKLERETIERAVRRLMCKEEGKEMRRRAKALKNQARISVEKEGSSKTAIDMLI >LPERR07G07110.1 pep chromosome:Lperr_V1.4:7:6196154:6196634:-1 gene:LPERR07G07110 transcript:LPERR07G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVLLLLALLFLLASNVHAKPVGFTEEKGIAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPISPQKLQNKSGQGDGSKKKKKLSHGNNRAATVTTTAGGRTMPDSYDDHSNYKPLSWRCKCGGLILNP >LPERR07G07120.1 pep chromosome:Lperr_V1.4:7:6203633:6204652:1 gene:LPERR07G07120 transcript:LPERR07G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVHFTGAGHRRRVLVFPLPFQGHINPMFHLAGIFHSRGFAVTVFHAEFNAPDPSRHPAFDFVPVPDGIDIPPGAGADVGATVARILDLNRRCEAPFRDRLAALLESPGGGGGDVACLVADAHLLTLIDVARRLGVPTLALRTGSAASFRIFAAQPMLRDMGYLPARAVSELDNPVTVLPPAPYHVRDVTMQVGVGEAQDQINEIMSRAVDAVRASSGLILNTFDAIELRRDLAIQVFDVGPLHKLSPPASSSSLLQQERGCLEWLDTQAPASVLYVSFGSIANMSAGELVETAWGIANSGHPFLWVLRADLVRDAGAVATLPDGFDAATRGRSAVV >LPERR07G07130.1 pep chromosome:Lperr_V1.4:7:6205929:6219610:1 gene:LPERR07G07130 transcript:LPERR07G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGHGQRRVLVLSLPFEGHINPMLQLAGALHGRGGLSVTVLHTRFNALDPSCNPELSVTVLHTRFNALDASRHPDLAFVEVPDGLTAELAASSNVTRIILAINAAMEGESASPSSPSFRDVLASVVAADGDEGRPPAACLIIDSNLRGAQKAAAGLGLPTLVLRTGSAACLGCYLAYPTLIEKGYLPPKESQLYEPVKELPPLRIRDLFYSKDANLEMVSEVLAKITETVRNSMGVVINTFDDLEPAELKKIHNELGDKFTVVLAAGPLHKLSSMHIGSSLKLRPDQRCIEWLDTQATQSVLYVSFGSLVSLDSDEFLEVACGLERSGQPFLWVVRPDLVRGGFDKACLPDGFERAVEGRGKVIQWAPQQEVLAHHAIGGFWTHNGWNSTLESISEGVPMICRPQLADQPLNTRYMEAVWGVGFELEGKLERGKIEKSIRKLMVEKEGAGMRERAKKLKNKVEGCLKNGGSSQIAIDSVDGGVRRGGGCGERRRVLVFPLPFQGHINPMLHLAGTLHGRGGISVTVLHTRFNAPDPSCNPELAFVEVPDGIPADIIPILNAAIEGVSLSSSPSFRDVLASVVAADADEGQPAASCLIIDSNLRGAQKVATGIGIPTLVLRTGSAASLGCYIAYPTLIEKGYLPRKELPPLRVRDLFYSNNANFEIGVVINTFDELEPVELKKIHRELGDNIAIVLVAGPLHKLCPMNVGSSLNLRTDGSCIKWLDKQAMESVMYVSFGSLASLDSDEFLEVACGLESSGQPFLWVVRPNLVRGGFDNSYLPDGFECAVEGRCKVIEWAPQQEVLAHRAVGGLPTVDQMLNARYVEEVWGVGFELEGKLERDKIKKAVRKLVVEKEGAEMRRRAKELNIKVERCFRKGGSSEIAIDNELMRRTQSE >LPERR07G07140.1 pep chromosome:Lperr_V1.4:7:6219648:6234074:1 gene:LPERR07G07140 transcript:LPERR07G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHDGGARPHNTAGGREQRRRVLVFASAFPGLHQPDATARVRAPRPRWPLHPVLHTRFNAPDPSRHPEFAFVEVPDSIPPDVAARGDVTESPSFRDVLVSVAAADADKGQPAASCLIIDGNLRGAQKAAATLGFPTLVLRTGSAACLCSYMVYPSLYEKGYLPPKETPTELEPVELDRIHDELGVDVFFVLAAGPLHKVSTNARSSLSILSDRSCIEWSDTQATRSMLHVSFGSLTSLDSDEFLEVAYDLVRGTIDKVCMPDGFEDVVEGQWSPQQEVLAHHAVGGFWTHSGWNSTLESISEWVPLICRPQFADQMMNTRYVEVVWGVGFELEGKLERGKIEKAIRKLMVRKEGVGMRERAKELKNKVDGCFKTGGSSQIAIDKFFHVPQRTRE >LPERR07G07140.2 pep chromosome:Lperr_V1.4:7:6234038:6248438:1 gene:LPERR07G07140 transcript:LPERR07G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGGGERRRRRVLVFPLPFQGHINPMLQLACALHGRGGLSVTVLHTRFNAPDASRHPDLAFVKVPDGVPAAVAASGRVSEIILTMNAAMERDGEGALSPSVREVLASVVATEEGQPPVACLVIDAHLLAVQKAAAAVGIPTLVLRTGSAACHRCYLAYRMLVEKGYLPPKESQLYEPVKELPPLRVRDLVNTNDELVFELLARIAETVRNCYGVVINTFDELEPVELESIRGELGNDNIAFVLAIGPLHKLTSMSIGSSLNLSPDQSCIEWLDTQETGSVLYVSFGSLASIDSNELFEVACGLEKSGHPFLWVVRSDLVRGMNRACLPDGFESAVEDRGKIIQWAPQQEVLAHHAVGGFWTHAGWNSVFESICEGIPMICKPQFADQMINTRYVEAVWGVGFELVGKLERSKIEKVTRKLMGKNEGAEMRERAKHLKNKVSHCLKSDGSSQIAIDKLTPIRLCINEPATATIPNLHRATRFHGCRKLPDQPAAGETAARPPTATTMAGAESGDGGALRGHVVLFPLPFQGHLSPMLQLAGALHARGLASTVLHTAYNAPDAAAHPEFAFVAVPSGDAVSAALAEAPKDGIAKIMALNAAIEASGCARDALASLMVSESEGDERRQRLQRPACLVIDAALPAAQKAAAEVGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELDRPVIEMPPLRVSDLFDPSKYVNEEMGNKILALSTETTTNSSGAVVNTFEALETPELHSVRDELGADIPVFAIGPLHKLTNNNSDRSSLLEQDRSCIEWLDTKEPGSVLYGRCHLVDWAPQAEVLAHPAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQPITARYVQETWQIGFMVEGKLERGKIEEAIRRLMEGEDGVAVQERADQLKRKILTCLEDGGSTQQAIDKLPGPAVMAMARQERQPNTGRHVALFPLPFQGHLTPMLHLATLLHARGLTVTVLHTGFNAPDPARHPAEIEFVSIHETLPEVATSLEFGVVKQLLALNAACEAPFRDALASLLPGVACVVVDGQWFAALAAAAQLGIPALVLRTGSAATFCSLLAYPRLLDAGLIPIEGKRD >LPERR07G07140.3 pep chromosome:Lperr_V1.4:7:6234038:6243692:1 gene:LPERR07G07140 transcript:LPERR07G07140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGGGERRRRRVLVFPLPFQGHINPMLQLACALHGRGGLSVTVLHTRFNAPDASRHPDLAFVKVPDGVPAAVAASGRVSEIILTMNAAMERDGEGALSPSVREVLASVVATEEGQPPVACLVIDAHLLAVQKAAAAVGIPTLVLRTGSAACHRCYLAYRMLVEKGYLPPKESQLYEPVKELPPLRVRDLVNTNDELVFELLARIAETVRNCYGVVINTFDELEPVELESIRGELGNDNIAFVLAIGPLHKLTSMSIGSSLNLSPDQSCIEWLDTQETGSVLYVSFGSLASIDSNELFEVACGLEKSGHPFLWVVRSDLVRGMNRACLPDGFESAVEDRGKIIQWAPQQEVLAHHAVGGFWTHAGWNSVFESICEGIPMICKPQFADQMINTRYVEAVWGVGFELVGKLERSKIEKVTRKLMGKNEGAEMRERAKHLKNKVSHCLKSDGSSQIAIDKLTPIRLCINEPATATIPNLHRATRFHGCRKLPDQPAAGETAARPPTATTMAGAESGDGGALRGHVVLFPLPFQGHLSPMLQLAGALHARGLASTVLHTAYNAPDAAAHPEFAFVAVPSGDAVSAALAEAPKDGIAKIMALNAAIEASGCARDALASLMVSESEGDERRQRLQRPACLVIDAALPAAQKAAAEVGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELDRPVIEMPPLRVSDLFDPSKYVNEEMGNKILALSTETTTNSSGAVVNTFEALETPELHSVRDELGADIPVFAIGPLHKLTNNNSDRSSLLEQDRSCIEWLDTKEPGSVLYVSFGSVVMVNQDDFREVAWGLANTEMPFLWVVRHGLVAGNSGKPVLPDRFMEAVKGRCHLVDWAPQAEVLAHPAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQPITARYVQETWQIGFMVEGKLERGKIEEAIRRLMEGEDGVAVQERADQLKRKILTCLEDGGSTQQAIDKLVGHMLSL >LPERR07G07140.4 pep chromosome:Lperr_V1.4:7:6234038:6241640:1 gene:LPERR07G07140 transcript:LPERR07G07140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGGGERRRRRVLVFPLPFQGHINPMLQLACALHGRGGLSVTVLHTRFNAPDASRHPDLAFVKVPDGVPAAVAASGRVSEIILTMNAAMERDGEGALSPSVREVLASVVATEEGQPPVACLVIDAHLLAVQKAAAAVGIPTLVLRTGSAACHRCYLAYRMLVEKGYLPPKESQLYEPVKELPPLRVRDLVNTNDELVFELLARIAETVRNCYGVVINTFDELEPVELESIRGELGNDNIAFVLAIGPLHKLTSMSIGSSLNLSPDQSCIEWLDTQETGSVLYVSFGSLASIDSNELFEVACGLEKSGHPFLWVVRSDLVRGMNRACLPDGFESAVEDRGKIIQWAPQQEVLAHHAVGGFWTHAGWNSVFESICEGIPMICKPQFADQMINTRYVEAVWGVGFELVGKLERSKIEKVTRKLMGKNEGAEMRERAKHLKNKVSHCLKSDGSSQIAIDKLAVYK >LPERR07G07140.5 pep chromosome:Lperr_V1.4:7:6241609:6243692:1 gene:LPERR07G07140 transcript:LPERR07G07140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESGDGGALRGHVVLFPLPFQGHLSPMLQLAGALHARGLASTVLHTAYNAPDAAAHPEFAFVAVPSGDAVSAALAEAPKDGIAKIMALNAAIEASGCARDALASLMVSESEGDERRQRLQRPACLVIDAALPAAQKAAAEVGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELDRPVIEMPPLRVSDLFDPSKYVNEEMGNKILALSTETTTNSSGAVVNTFEALETPELHSVRDELGADIPVFAIGPLHKLTNNNSDRSSLLEQDRSCIEWLDTKEPGSVLYVSFGSVVMVNQDDFREVAWGLANTEMPFLWVVRHGLVAGNSGKPVLPDRFMEAVKGRCHLVDWAPQAEVLAHPAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQPITARYVQETWQIGFMVEGKLERGKIEEAIRRLMEGEDGVAVQERADQLKRKILTCLEDGGSTQQAIDKLVGHMLSL >LPERR07G07150.1 pep chromosome:Lperr_V1.4:7:6227690:6229239:-1 gene:LPERR07G07150 transcript:LPERR07G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEGEGEENGAGTIMESEKDEKATRLSRPVAGSGSSSLPSVDEDEAGRFRKYRILGMLMKL >LPERR07G07160.1 pep chromosome:Lperr_V1.4:7:6248525:6250139:1 gene:LPERR07G07160 transcript:LPERR07G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCRGSSRCDLIRVDVSEADAFCGFIASVADSTRASASGVVVNTFDAIEVSELRKIEAELSQPTFAVGPLHKLIPAAAAADDTSLYASDPDCLAWLDAHPPRSVLYVSLGSVACVDRVVFDEMAWGLAGSGVSFLWVVRPGSIRDATDGTPPLPVGLDMSRGKVVTWAPQRDVLAHPATGGFWTHCGWNSTLESVCEGVPMLAQPCFADQTVNARYMTHQWGVGLELGEVFDRARVAEAVRKLMDGEECAAMRERANHLKIQANQWVTATLAVDNLPRIRKEQERRA >LPERR07G07170.1 pep chromosome:Lperr_V1.4:7:6262678:6264186:1 gene:LPERR07G07170 transcript:LPERR07G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLVKRKREMNSSTEDQPRNSRDWSALPDDVITHIFGNLVSLVDFFNCRIACPSWDRALRGKARQLDLAAFVDEVYVPWMLLTVKAAPNQQPQPQQQDEFRRVLVVGNTVWPVFTDIGDAIPAVELPGRHTRRGHHEICLGSAPTGWVVMANDFGYARVLNPITGDSAPLPPIWRLPYIDVVHGYDGCVTSFVYQDEHHRRPGPGVEMSFAGLCDLVLLKAVILNIGNGGATVAVIFRKEKEFAIARTDQRSWWIVHSELDAIVDMVHHNNGKLFTVHMSGKVACWNVDLTVRTSPTILESVVVIDSPYHYIVKSGSRRMSREYEDGDRAGQCSYLAEGMCGKLYLIKRVYKYEQIDGGRTQRRRTATFNAWVMTWSKEGMMWDVPYEPITGDHSVFVSYTGSMSVLTDDNVLEGGYIYFTEESFDYIGGALVQDFGVRMLKIKQKRSNKGRGKDDKEDVDNVESYQVKWLGQCMNWPAPLWYFPSLRELGTAPPAVEEP >LPERR07G07180.1 pep chromosome:Lperr_V1.4:7:6267362:6269220:-1 gene:LPERR07G07180 transcript:LPERR07G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPFGAVEGVLPPELLLEVMLRLPTKPICRLRAVCRSWMSFTMEPLFLEAYTARNPHPLLAVAVSGDVSISWTCPETRRWMGGGDHIVSLPDPATGFVSTLPFGIGEEIARRNGRGRLAWFAFGQTASTGEYKLLRVLQELQYGYEPDLVCDQVFTVSDVNVQWRKMVSPPGFLDPSCTNGVVLKGSAYFFLDHWLLDRYSYNISIPSFDFATEQWSMTLQGPINRILQETNSTLNYNELTDRLICVQPLLVTNEGKEILWVKTESGVVLVYNPVTNTSSEIVRTETSKWRKCKKKSSKTDNRTGLMAYLSI >LPERR07G07190.1 pep chromosome:Lperr_V1.4:7:6269447:6271012:1 gene:LPERR07G07190 transcript:LPERR07G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCSSSMLTKQIRRMPDSSNSGIVPLDVLFEVLVRLPAKELCRLRIICRQWWSLTSDHLFIKAHMARHQEQLLLASFKDDETHVHIIDFSGNVIKQISIPAGHKVLCTRLDLVSVASDTNSCHVLNLVSGDVYSLPESPAEEHMYHVSLRTPYTSFALGHVASTGEYKMLRIFNSPVSSRVPLIGNSPQQPSVTGMGTVTYNR >LPERR07G07210.1 pep chromosome:Lperr_V1.4:7:6286326:6288218:1 gene:LPERR07G07210 transcript:LPERR07G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKRESAISIADRFAALPDGVHAQIVSLLPYWDVIQLYAVCRAWRRLALHRAAAVVNIDLREFAMFGRFVPASVVLGHRSALRRIRCKVEELDLSYYAGDRCMNEEANAIINTVDTHNISITVSYGPGDFRTALARGMDKWDLDMPSMATDLQVQGCGHRAPVVYGDNLRVLDLYQLEIHDAPRLPSLRSLTLQSVKVAGKVPFAPGEWCPHLEELRLEHDCTVENRLLDIRLPLLKLLVMEEVHVESPLRDDADPYGRVAVDTPVLEELVVIGTTGFVVEFESFTLRAPVLRRLIWGEQYAERVVIDVGMPGSVTEGKIEFMSNGELDEMSRREIKYYRAQLMQMLRGILPDVPPSRIADVARPSMKVRTTTVVDEDTGETIPEERITCNLRHLISSHV >LPERR07G07220.1 pep chromosome:Lperr_V1.4:7:6289868:6293683:1 gene:LPERR07G07220 transcript:LPERR07G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTTKKAMEEETEESTMADQISNVTYSTEHGGRACSHATRPRSGAEAAGGAEAGVAEHAVERGGAVGVESERGDANIGGGGGDRRVPLAVDHRARTTGTAHRRSPAQLGVPALALMTESAATQHPLAFTRLRDAWYEYHTSKDEQRLDELVPDLEPLRVRHLIRVDRRAARFHRPDAIDAWELEKILAELSQPIFAVGPLHRVSLATTLPVVRNIIINSVPPARRSNNLSMVKSKLLASPVVESLQQSTS >LPERR07G07220.2 pep chromosome:Lperr_V1.4:7:6289868:6291096:1 gene:LPERR07G07220 transcript:LPERR07G07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTTKKAMEEETEESTMADQISNVTYSTEHGGRACSHATRPRSGAEAAGGAEAGVAEHAVERGGAVGVESERGDANIGGGGGDRRVPLAVDHRARTTGTAHRRSPAQLGVPALALMTESAATQHPLAFTRLRDAWYEYHTSKDEQRLDELVPDLEPLRVRHLIRVDRRAARFHRPDAIDAWELEKILAELSQPIFAVGPLHRVSLATTLPVVRNIIINSVPVNFIV >LPERR07G07230.1 pep chromosome:Lperr_V1.4:7:6290216:6291736:-1 gene:LPERR07G07230 transcript:LPERR07G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGGCPDGQAVTWWGPSAPTLERLPRLREIGDGGGRRKPTAEGEKAKPVSHPQRLEVRHKLIQPLFIFARVVLVPGIAQSREGERVLRSRAFRHKRERRNAELGRRPAMRRSSGACAVVDGQWYAAITAAAADVGVATLALHTDSAATLHCMLSYSRLCSAGGLPIKAALRIRYLIDHAKETRDEVVPTVEPLSGHDMIRVVGSDAARVREFIARVDNAMRTAAVGVVLNTFGAISGVSPSGRCTGCSA >LPERR07G07240.1 pep chromosome:Lperr_V1.4:7:6294113:6296756:-1 gene:LPERR07G07240 transcript:LPERR07G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAGDTTTQRTLGEEKQCDRCSALRRLDSIVRRKGHLTSMQGQLDGMVQKLLLDHGRGDEAFFFVEAHAKNGKGKRQKRTVEGGGFWQGQRMCGGGGGGQIQWRKYMLSFFNEGEKGSSGWVMHEYALTAPADLASSQPWLYRVRFSGYGKKRKREPGCLGVNDEDDGGERAPTRRHVAEPVPPPAAPTDDLPHLMAVSAEQAGVGSAAETTASVVNSSELMGDSSVLLPDILYGDNDDQKLFQTELDMPDLFDLQAGEAGASCDAAQEQSEMSPSLENQSYYSSSGVVDGEETAWFDLEFPDNIDEVLSSLNFTMDDLFDLPVD >LPERR07G07250.1 pep chromosome:Lperr_V1.4:7:6301640:6302677:1 gene:LPERR07G07250 transcript:LPERR07G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASHLLILLVGAVAVTAATETAHFLGVNYGRLGDDLPPPPIALELARSAGAAAVRFYDANDTFLSPAAASGLLFVPGVPNELIPNLAASQLAADEWVAATLLPFRRNRRFRYLFVGNEVLSDPTTKSRWFQLVPAMANLRRALRRHGMRRVKVSTTVGMDAIVGQDVFPPSAAAFRADIAGDVVRPLIAFLERTGSYLFVDAYTYFTWRANHTVVPLHYALLETASSSPSSPSTSPAFQYYDEGTGLYYENLFDQMLDAVVAAMCRLGHCGVRLAVAETGWPNAGDLDEFGANARNAATYNRNVARRLASGAGTLRRPGMTMPAFVFALFNENLKTGPTTERH >LPERR07G07260.1 pep chromosome:Lperr_V1.4:7:6318307:6319620:1 gene:LPERR07G07260 transcript:LPERR07G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVVSKSPSVVVRPSEAVTTAGNKSILSLLDKSLITLPTTVLYVFEDPLDEPVETIRRGLSQALAHYCPMAGRLAGHGDDVHVDCNGEGVRFASASANCTIEEIMSAIDDNRKKLMTPLLQELLLYPMERCGRADPLVLMQVTTFSCGGYIVGVTWNHGIADGFGIANFMQTVGELSRGLPTPSVVPVWCKYYDDDNSTSSHQQLVAASVQVPVLPPYMMAVHQVVFEVPVSDLDASSITIPASLINRIRRGGCTLFEAVTAVLWRCRTRTVMLDPETPTVLIFWANARKYMGLNDGYYGNCLAVQIAVEKSGVVADGCDIMDLVGIIRRAKEQIPELFKEKGDAGILQAVGELRGRLGYHNTFSVTSWRNIGLENVDFGSGTPSRVLASCRDFKLPACVVCPLNKGGQEGPCRVKSACVTPQHTDAFLQEIATL >LPERR07G07270.1 pep chromosome:Lperr_V1.4:7:6322236:6323740:-1 gene:LPERR07G07270 transcript:LPERR07G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTRLSHRRRCRPPATAPSSVPNATILPVPLTPSRIPGRRHSICHQYLGWATEDDSTNKVTVFCQLFLSLSNRRISA >LPERR07G07280.1 pep chromosome:Lperr_V1.4:7:6326166:6326556:-1 gene:LPERR07G07280 transcript:LPERR07G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDDDVDLMMSGDDDVDLMMSGDDGADLATSGDDGADPATSGDDGADPATGNDDNADSASSRLGKPAASPGAGCRGERCGVEGILTP >LPERR07G07290.1 pep chromosome:Lperr_V1.4:7:6327748:6331645:-1 gene:LPERR07G07290 transcript:LPERR07G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAVAAALALALASVAAVAGEVFFQEKFEDGWESRWVKSDWKRDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKNDKNHLIKKDVPCETDQLSHVYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNILITDDPELAKTFADETWGKQKDAEKAAFDEAEKKKEEEEASKAGEDDDDLDEDDAEDEDKAEDKADSDAEDGKDSDDEKHKLDKKYFVDEHC >LPERR07G07300.1 pep chromosome:Lperr_V1.4:7:6339620:6344012:1 gene:LPERR07G07300 transcript:LPERR07G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTALRALAFPRCTTSEDFRHVRGEPPSAGHLVPFPLSSCRRCVCYEPGAVGSEAIGIAVAVATAAFFRCRRKRERLGRTGGGRIARASSSTARKVMAGSVSALQVLLLYAIISAGTQWITSSSMYCNDLTATGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGEGEVWWNWFHNHTLNYTRPPLLELMYSNRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIALGSEMSGGISEVRAEGLRFINSVHGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRITGNYGEHPDDKYDKTALPVISNITIKDVVGVNIGVAGMLQGIQGDIFSNICLSNVSLSSKSTNPWNCSLVEGYSNSVYPEICEQLRPNPGSGQVCYDGNNYPVPAAQQPHKSGATRLQNPFLKFTSLYS >LPERR07G07300.2 pep chromosome:Lperr_V1.4:7:6339620:6344012:1 gene:LPERR07G07300 transcript:LPERR07G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTALRALAFPRCTTSEDFRHVRGEPPSAGHLVPFPLSSCRRCVCYEPGAVGSEAIGIAVAVATAAFFRCRRKRERLGRTGGGRIARASSSTARKVMAGSVSALQVLLLYAIISAGTQWITSSSMYCNDLTATVHRPHSVSLTDFGAVGDGVTLNTKAFRNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGEGEVWWNWFHNHTLNYTRPPLLELMYSNRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIALGSEMSGGISEVRAEGLRFINSVHGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRITGNYGEHPDDKYDKTALPVISNITIKDVVGVNIGVAGMLQGIQGDIFSNICLSNVSLSSKSTNPWNCSLVEGYSNSVYPEICEQLRPNPGSGQVCYDGNNYPVPAAQQPHKSGATRLQNPFLKFTSLYS >LPERR07G07310.1 pep chromosome:Lperr_V1.4:7:6339807:6340187:-1 gene:LPERR07G07310 transcript:LPERR07G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTNHIPSLSSLLSFESHARGRLRANSTPAACERRTTVACVREEGDGIHGSTPATASTGGLAGTAWRGRAGRRADSARGPAESTSPLLRRRRRRTAAAQIDRRQRGSGDGDGASAFPSPPAAGIR >LPERR07G07320.1 pep chromosome:Lperr_V1.4:7:6344972:6347554:-1 gene:LPERR07G07320 transcript:LPERR07G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQFVESKDGTISVASAFAGHHEVVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKLELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEQVFEKTKEKFQMY >LPERR07G07330.1 pep chromosome:Lperr_V1.4:7:6362111:6363859:1 gene:LPERR07G07330 transcript:LPERR07G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLVFFLLAVLAATATTAAAAAETATCVPTMERMLSCLDFIEHRTDVVPRPCCAQLNATIAEQPCCLMHVLRGNVAKLIGPDFDTTRAMVNVTTACLGDASFLMSIARSCAGKPLPPLTPEYPFTTAVPPPTPQTSGATWLGTSNNGLLFALVAVAIAMLRI >LPERR07G07340.1 pep chromosome:Lperr_V1.4:7:6363828:6364448:-1 gene:LPERR07G07340 transcript:LPERR07G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLQPLSAVFFLLLVPHTAMAATTATTTPSSPSPSKLLHDKCEIYAAGDRASYDYCVRTLRSDSATATTNDERRLAVIAIRIARATALATRDKIARLRRGAETAEPARRDGLAACAAEYATTVRRLGGVARSVASYRGVSKRDLRMAEAMLGWVTGAPQRCIVACQRAGGKGWSPLDDADLQLNSIVGVAIDFLPMRSTPPSPGA >LPERR07G07350.1 pep chromosome:Lperr_V1.4:7:6367044:6374940:-1 gene:LPERR07G07350 transcript:LPERR07G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGGTTAYQAYQKIKIKIKISMDNAGNTAPESSTPSGRGILADVTNLTAAEVRRKRERERYASLSADQKKAEVKNNRESRQRNKEATTSLTGSNLNKQTPISVDINDVESSRPCNVSIPHTAIVTGTLADVTNLSPLELKRKRQIEWYASLSAEQKQARQQKNREYRKRSKEPATPLTDEVTLDGNVTNLTAAQLSNYRARKRYNALSGDQKEARLQQMRDNYHRRKRNMTFTSISENESDQLPITPRRLSFADNTGIESSQPVFTPRRLPFSWNMMEISNTQTSDIVDHTTEDNNVVVDISGQNSGNETHQPIGVVMNEATISEHSDDDDDESFLMRGQEGDPPGRGDVSKYLSLLYVVSEMGAQDLIGGLSSYVKSIDSASTLENVWVTSSKPYLIRLTVKKLRLILMEDTRIGQDCFNLAVRSFAYQDVHTDGTVPKHCMDLRFWISLGFARGKAYHKEPTNAELFMYASSWPECGYDVSCCNSGKKLFILDPKPVSDAYSRNPAGPDSRKIMCISDNLMKAWGRCGWAEDLMQWEHVFPDIPHEDQTLSGYLVYLFMRKWSNGELKLPAYKVGTFVSICSLKISASVEYDENKPMVGDGSEGSITSKFNEKTYSGQSLRTTGQPMQWGIISFNHDRASVSSDKYIVKDLNGPLNGLSQPFVQKPKQAQCTWIQNNSNNNQRRHQSIKQWQQRQRPGSQLWLLVDSFLSPSLSST >LPERR07G07350.2 pep chromosome:Lperr_V1.4:7:6367044:6374940:-1 gene:LPERR07G07350 transcript:LPERR07G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGGTTAYQAYQKIKIKIKISMDNAGNTAPESSTPSGRGILADVTNLTAAEVRRKRERERYASLSADQKKAEVKNNRESRQRNKEATTSLTGSNLNKQTPISVDINDVESSRPCNVSIPHTAIVTGTLADVTNLSPLELKRKRQIEWYASLSAEQKQARQQKNREYRKRSKEPATPLTGAIILCIIIGSWVYLHTLDGNVTNLTAAQLSNYRARKRYNALSGDQKEARLQQMRDNYHRRKRNMTFTSISENESDQLPITPRRLSFADNTGIESSQPVFTPRRLPFSWNMMEISNTQTSDIVDHTTEDNNVVVDISGQNSGNETHQPIGVVMNEATISEHSDDDDDESFLMRGQEGDPPGRGDVSKYLSLLYVVSEMGAQDLIGGLSSYVKSIDSASTLENVWVTSSKPYLIRLTVKKLRLILMEDTRIGQDCFNLAVRSFAYQDVHTDGTVPKHCMDLRFWISLGFARGKAYHKEPTNAELFMYASSWPECGYDVSCCNSGKKLFILDPKPVSDAYSRNPAGPDSRKIMCISDNLMKAWGRCGWAEDLMQWEHVFPDIPHEDQTLSGYLVYLFMRKWSNGELKLPAYKVGTFVSICSLKISASVEYDENKPMVGDGSEGSITSKFNEKTYSGQSLRTTGQPMQWGIISFNHDRASVSSDKYIVKDLNGPLNGLSQPFVQKPKQAQCTWIQNNSNNNQRRHQSIKQWQQRQRPGSQLWLLVDSFLSPSLSST >LPERR07G07350.3 pep chromosome:Lperr_V1.4:7:6367878:6374940:-1 gene:LPERR07G07350 transcript:LPERR07G07350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGGTTAYQAYQKIKIKIKISMDNAGNTAPESSTPSGRGILADVTNLTAAEVRRKRERERYASLSADQKKAEVKNNRESRQRNKEATTSLTGSNLNKQTPISVDINDVESSRPCNVSIPHTAIVTGTLADVTNLSPLELKRKRQIEWYASLSAEQKQARQQKNREYRKRSKEPATPLTDEVVNTTPSNTLDGNVTNLTAAQLSNYRARKRYNALSGDQKEARLQQMRDNYHRRKRNMTFTSISENESDQLPITPRRLSFADNTGWDICFDLLIEDIGVRGI >LPERR07G07350.4 pep chromosome:Lperr_V1.4:7:6367878:6374940:-1 gene:LPERR07G07350 transcript:LPERR07G07350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGGTTAYQAYQKIKIKIKISMDNAGNTAPESSTPSGRGILADVTNLTAAEVRRKRERERYASLSADQKKAEVKNNRESRQRNKEATTSLTGSNLNKQTPISVDINDVESSRPCNVSIPHTAIVTGTLADVTNLSPLELKRKRQIEWYASLSAEQKQARQQKNREYRKRSKEPATPLTDEVTLDGNVTNLTAAQLSNYRARKRYNALSGDQKEARLQQMRDNYHRRKRNMTFTSISENESDQLPITPRRLSFADNTGWDICFDLLIEDIGVRGI >LPERR07G07350.5 pep chromosome:Lperr_V1.4:7:6367878:6374940:-1 gene:LPERR07G07350 transcript:LPERR07G07350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGGTTAYQAYQKIKIKIKISMDNAGNTAPESSTPSGRGILADVTNLTAAEVRRKRERERYASLSADQKKAEVKNNRESRQRNKEATTSLTGSNLNKQTPISVDINDVESSRPCNVSIPHTAIVTGTLADVTNLSPLELKRKRQIEWYASLSAEQKQARQQKNREYRKRSKEPATPLTGAIILCIIIGSWVYLHTLDGNVTNLTAAQLSNYRARKRYNALSGDQKEARLQQMRDNYHRRKRNMTFTSISENESDQLPITPRRLSFADNTGWDICFDLLIEDIGVRGI >LPERR07G07360.1 pep chromosome:Lperr_V1.4:7:6376395:6377450:-1 gene:LPERR07G07360 transcript:LPERR07G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLRRIPLPYPDDVMLSVQLLRFACGGFSVLWGNSHLANDGYGITMVVRMWSELAKKGTITAELGRKAVVVNQDRGNAVFRPRIPPSYGAAVDATYTSYENDDGSRLVNVLTVQDGSVERLYYIDACDVARLRDAASTITELRRASRVQAVSAYLWKTLAAVVATSRVPEARCRMGWWVDSRRRFTTTTTASSMDNFFGNMTAYVLREAAVEEIREKTMAEVADMVRDTIASVDYDAYMQEVVDWVEEHKAGRRRMMEAGAVGVGSPTLNQTVFASFPLDTDFGFGDATLAMPLWDNGRVSSGTLGVGVRPVGNGSWLVSYIWPRLAAALESDERRIFKPLTAVYLGLL >LPERR07G07370.1 pep chromosome:Lperr_V1.4:7:6383487:6384803:1 gene:LPERR07G07370 transcript:LPERR07G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSIARPFGATAAAPPPRLSPRRRRLATSPATARGVRLPALQKPPKPPPPPLHPRPNLPIPTTTTTSGDGPATDATAPKRGDSQKPATDSPCSSGAGDVLRLLDALRLPADEVYVCLLRDCADAAEVASVHAHIAGSFAISGLPLPLANRLVLAYAVCSDIGAARQVFDEMPVKNGITWATMVSAYSDGCFHHDALQLFAQMCHQVRGLTGDHYTHAVVAVLRSCVRLNELRFGEQVHAFVVKKNGVCGDVGSSLLQLYCDCGPHSSALHVLEMMRCSSCQEPVPEAAWTSLITAYHRDGILDDAIDIFRDMVSSSSVRSSFSLSSILAVCAEAKNKGRHGQQLHADAIKRGLDMNQFVGSGLLHMYAKQGQLADAARAFEAIGGKPDAVCWNAMAMAYARGGRYRDATRVVYQMKAAGMNPSELTMNEVKLACFR >LPERR07G07380.1 pep chromosome:Lperr_V1.4:7:6385726:6389625:-1 gene:LPERR07G07380 transcript:LPERR07G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWAATAAYTAAALACAAAATVVALRLVYRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPGRAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRSLKPSWFMMTCCFSTISLDGRFIRRCKQGCLQFVILKPILVVITFVLYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEKAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFRGSLLHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPAKYSSGSVVSTGQDIQLAEVSVVDSKAPLASIILTHEAGKTMPSHGLEEIVTPAEPYDLSSLVDVELSNYPTEVPAVAGARKQ >LPERR07G07390.1 pep chromosome:Lperr_V1.4:7:6394735:6396044:-1 gene:LPERR07G07390 transcript:LPERR07G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGTRFREELRSGAVRRPCGKIGLKSDVLRLTSANGGIRSRLRWSLDPERSRMLGIEGPDGQTGGIGMVRPAAWSDQRHRRGQTGFAQAQEYVDLWQRLQQRKILTADKLQIRGWPKNFQFVPCAVSTRKPRICSWDAPLRSKSGKGYFSS >LPERR07G07400.1 pep chromosome:Lperr_V1.4:7:6396060:6400886:-1 gene:LPERR07G07400 transcript:LPERR07G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRTREELRSVAVKKPCDKIGLKSDVLRLTSVNGGIGSRLRWSLGPERSRLPGQRVLAWIDLCRDWLAAGAGKEEIDAVSGPDGQTGGIGTVRSVARSDRRHRRGQTGLEPVVRPDFNRGKVT >LPERR07G07410.1 pep chromosome:Lperr_V1.4:7:6406124:6412596:1 gene:LPERR07G07410 transcript:LPERR07G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFPVHRREPELIAPASPTPRETKRLSDLDDPETLRLQVPIVFVYRPAEGRRVRRGADDPVDTIRQALAVALVPYYPLAGRLREVEDRKLVVDCTGEGVLFVEADAVVRVAELEAAGLRAPFPCMDQLLFDVEGSAGVIGTPLLLIQVTRLLCGGFILAIRLNHTMCDSLGIVQFMCAVADVARGGRVAVSPVWSRELLDARKPPKPAFRLREYDNVAAPPPASPSMSLSEDMVMRTFSFTATDIATLMGALPPHLRRVATSFDVLAAFVWRARARALEIPAGEDARLAIIVSLNSNVELRLPRGYYGNAFVQSMVALPAEEMVHRGGQRSLGDVVELVREAKEKAVTAEYVRSVADTLVMRGRPPLDTANLLQISDVRLVGLNRVDFGWGDPVYGGPSQAWFGVSHLVAVRNGAGEEAVALPIVLPAAAMERFASEMETLRKGQSAHLSVLQTSRM >LPERR07G07410.2 pep chromosome:Lperr_V1.4:7:6411047:6412594:1 gene:LPERR07G07410 transcript:LPERR07G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFPVHRREPELIAPASPTPRETKRLSDLDDPETLRLQVPIVFVYRPAEGRRVRRGADDPVDTIRQALAVALVPYYPLAGRLREVEDRKLVVDCTGEGVLFVEADAVVRVAELEAAGLRAPFPCMDQLLFDVEGSAGVIGTPLLLIQVTRLLCGGFILAIRLNHTMCDSLGIVQFMCAVADVARGGRVAVSPVWSRELLDARKPPKPAFRLREYDNVAAPPPASPSMSLSEDMVMRTFSFTATDIATLMGALPPHLRRVATSFDVLAAFVWRARARALEIPAGEDARLAIIVSLNSNVELRLPRGYYGNAFVQSMVALPAEEMVHRGGQRSLGDVVELVREAKEKAVTAEYVRSVADTLVMRGRPPLDTANLLQISDVRLVGLNRVDFGWGDPVYGGPSQAWFGVSHLVAVRNGAGEEAVALPIVLPAAAMERFASEMETLRKGQSAHLSVLQTSRM >LPERR07G07420.1 pep chromosome:Lperr_V1.4:7:6423466:6425420:1 gene:LPERR07G07420 transcript:LPERR07G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEEVVVLIVGAGPAGLATAACLTQRHIPYVIMEREYCSASLWRHRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRERFVEYLDAYANQFGIHLRYQTNVESTTYDESTKRWVVMAHDVTTDVVARLTARFLVVATGENAVANIPTDLTGFDGEAIHSSSYKSGIGYIGKTVLVIGAGNSGMEIAYDLAIHGAHTSIAVRSLVHIMTKELIRAGMTLVQNLSLPVTTVDFFLVMAANFIFGDLSKYGIIRPEMGPLSLKSQTGRSAVIDIGTGKLIKGGVIKQIHFDAIVFATGYKSTVNMWLKNGQNIFTKDGFPKKSFPNHWIGENGLYCAGFARRGLAGITMDANNIVDDIMATMDIG >LPERR07G07430.1 pep chromosome:Lperr_V1.4:7:6441935:6453460:1 gene:LPERR07G07430 transcript:LPERR07G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKGFERPSAHGGNGNDAFFSGDGDCDVGGDSDNGGGGGETLTLCVLAEPPHGLKVSGWLSHGARVPARVLDWIIGSRELISVVEQVDAVAPAAAARRDVRPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKLNKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKSIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLMKTDRNGRITDFLEKPKDESLKSMQLDMGIFGLCPEVADTHKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVVNSIVSHGCFLTECSVEHSVIGIRSRLELGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGKNVIIMNKENVQEAERPLEGIYIRSGITVVAKNAVIPDGTISIPPGLHVKYTSLRLIRGSEFDLRR >LPERR07G07440.1 pep chromosome:Lperr_V1.4:7:6452837:6453205:-1 gene:LPERR07G07440 transcript:LPERR07G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGSVGFGTTVAPVAASPTVAAAGRRLSLRQSVPSRPSATKSVTAAAATEEKGLFDTIFGALYKEEQLLETDPILNKVEGKAPAAASRQTKAGGGAAAKKAAGDGDGNGRFSLGGFSKKE >LPERR07G07450.1 pep chromosome:Lperr_V1.4:7:6454219:6456047:1 gene:LPERR07G07450 transcript:LPERR07G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVIVSFSRFAYLKPGQSQTGLPNHVCGLGPAAGLPKLQNSQNPSSPPILIPKTSIIRPGTETLALPRRDRRRRAPNPTASDLFSASRFPQFVLV >LPERR07G07460.1 pep chromosome:Lperr_V1.4:7:6459885:6461344:1 gene:LPERR07G07460 transcript:LPERR07G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARRVVMFPFPFRSHIAPMLQLAELLRARGLAVTVIHADFNAPDASRHPDLTFVPLHESLPDAVTAAAAAGDLVEQMLALNAACEAPFRDALIRCVARRDGQVACAVVDGQWYAAITAAAEVGVPALALRTDSAATLHCMLSYSRLCSDGYLPIKEETRDEVVPTVEPLRGRDMIRVDGSDAARVREFIARVDDAMRTAAAGVVVNTFVAIEEPELNKIMRHHLPGRPAFAVGPMHRLLAVPEEHGLHEPDGGCVAWLHARPPRSVLYVSLGSVARVDRQVFDEMALGLAGSGVPFLWVIRPGFVADGAPPLPEPMAAVVANGGGKLVTWAPQRDVLAHPAIGGFWTHCGWNSTLESICEGVPMLVQPCFGDQMVNARYVTHQWGIGMELGEVFDSERVAKAVRKLMVGEEGAVMRNKARGLMEKARQSVEDGGASTVAIDRLVRYMLSSKCST >LPERR07G07470.1 pep chromosome:Lperr_V1.4:7:6462005:6462499:-1 gene:LPERR07G07470 transcript:LPERR07G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWDLDIPLMATDLQVQGCCHRVPVVYGDNLRVLDIYLLEHHDAPLLPSLLSLTPQNVKVAGKVLFVPGEWCPHLEELRLENPLLDIRLPLLKLLVMETSTCSRETASSVYVSCVSCAGSCRPFHDGEDEHRRGRRHQRDNTGAEAHLRPHIVATRLISGMIT >LPERR07G07480.1 pep chromosome:Lperr_V1.4:7:6468521:6469837:-1 gene:LPERR07G07480 transcript:LPERR07G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQERAPDAGCQRVVLFPLPYESHLSPMLQLAALLHARGLDVTVLHTDFNAPDPARHPDLTFVSIRESLPEEAVEADIIAQLLALNAACEAPFRDALASLQPGVACAIVDGEWYAALGAAAKVGVPALALMTESAATSRNILAFPRLRDAGYEYDTSKDEQQLDELVPRLEPLRVRDLIRVDGWEADGLRGLIVLMADAMRSAASGVILNTFDAIEASELEKIQAELSQPTFAVGPLHRVSPAMSAAERSFLHVPDRSCLAWLDAQPPRSVLYVSLGSMARVDRVVFDEMAWGLAHSSVPFLLVARPGLANGINDAPPPLPEGLTDVVGIGNNNAARGKVVTWAPQKDVLAHPAIGGFWTHCGWNSTLESICEGVPMLAQPCLGDQTVSARYITHQWGVALELGEVFDRVRVAEAARKLMVGEEGSPSKGEGWQAEN >LPERR07G07490.1 pep chromosome:Lperr_V1.4:7:6476910:6478192:-1 gene:LPERR07G07490 transcript:LPERR07G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVVTKSPAVVVRPSETVTTAGKAILSHFDKPLITLPSTVLFVFDHPIDKPAETVRRALSQALVHYYPLAGRLAGDDDDVHINCTGEGVTFVAASANCTVKEQMIMCDHDYHKDAAMSAAGLVKELLAEGYPMKGCDRGDPLVMMQVTSFRCGGFIVGVTWNHGVADDRWRARPWAANPIRVVPVLPLQSDDQLVPPPCTFAAYQALFQFPPSHFPGSNITIPAGLIDRIRFGEPSCTLFEAVTTVLWQCRTRVVMSDPEAPAMIVFTVNSRKQMGIDDGYYGNCITLHTAIEKSGVVANACIMDLVGMIKRAKKQIPEHFKNGDNDTTMAIRDFSGGRAGSGYQNALLISCWRNISFEDVDFGSGKTAKVMTHMLRMLPECVVCMPCKLEQGAKVMSACVTAQHVDAFLQEISTV >LPERR07G07500.1 pep chromosome:Lperr_V1.4:7:6489478:6491274:-1 gene:LPERR07G07500 transcript:LPERR07G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDCTVKISSIGNQSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVVIWKEGAGGHWSQAHVFTDHKSSVNSISWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAVSWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESSLPSDVHTDCVRDVAWAPVLGSAKSTIASASQDGKVVIWTRGKVGDKWEAKVMRDFGSPVWRVSWSLTGNILSVSAGENNITLWKQASDGQWEEVMKVEPTKAESPEDAKKVEQ >LPERR07G07510.1 pep chromosome:Lperr_V1.4:7:6493729:6497763:-1 gene:LPERR07G07510 transcript:LPERR07G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAAASSSTSSSSSSSSRSFLLGERFYSPPHVRRQQMLLQQQQQLQGQSQRPPSPSAAPAPAPRAAARQKPPPPAPVEAAPQKEAEGRGDAGVSPSMPSASGSPAAAAKKAPAAVVGATPATASATEPEPEPAPTTEETAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDVINSPPYFRLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKLSTRIRRSHNLAHCLGDFFAAVLNWRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDGELHLPSTRPLFEYLEKDPPYGREPLTDKASPPLTLFNKENFSYVSILASKFPELISIRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLSTVAPCRDADPSTPACPPFGGINSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQEAEDWLRDRQVHHPDFRFFLTHYNTVWR >LPERR07G07520.1 pep chromosome:Lperr_V1.4:7:6502865:6504524:-1 gene:LPERR07G07520 transcript:LPERR07G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATISKTMMTPTSAVDAGNSPPAPKPPPLVNLAFNPFSTRFLASTATGLHVFSCFNSFHKIISRDVVDAEVSSNNNGGWKVVMADIYNETFAAVVFRRPKDNNNSNGKTEFTDKICFWVVPNGRIYPMGKDLPFDVVTGIRLTGEHMVVAGEERTALYEIPHHGSPPKKVKVVETAANPLGLAAVAQSASPFVMVSPHKMKGVLQIHRLGLAEEHVCVRAHCSAVAAFALSDDGRLLATAGKKGTLVRIFNTSDGKLLQELRRGVDRADIYSIVFSTDSKWLAVSSNKGTVHVFHTNIELESSSKESNQDATEAPAAKAANQGYVSYMKGYFFPKYFKPQKSLAQFHLPEGKIYLVVFGTRPNTILIIGMDGRFYRCQFDPIEPGDMRQLEYRNFLYM >LPERR07G07530.1 pep chromosome:Lperr_V1.4:7:6516965:6517867:1 gene:LPERR07G07530 transcript:LPERR07G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSQQLAALSLAVLLVSAAPVAHAGAAASYAGASTGTAGATVDSLQDACNKTLFPKVCIQALTTNPESRTASARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGTSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLIAEASGSMSADVAIPPSSWNAAAPAPEGYGSAAAAPGPTPSEDGNVIGSGPGPAAAAAAPGPDAGAEGPTSGSASYGDAPSIASSPASSGYGAPAPSPGADAPEADYTAA >LPERR07G07540.1 pep chromosome:Lperr_V1.4:7:6518642:6524135:1 gene:LPERR07G07540 transcript:LPERR07G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPWLPSPAPAATPSARRAPQLRPSPHILLPLPTSSFSPSSNCAKSGLPTRSPFATAADTPLNGPLPGPEERDPLLSAALRGARFRDEESRRPDPLFIDPYAAVLLSLDVASKDKDSLALHLMPCAEHYRLATRYIDDKLQHFTSNSDDLRQIVLLTDGMDTRPYRLSWPRLSIVYDISPGRVFSTASQQLRGTGAKISRNCVVLHTSSESPDIQECLYKNGFNGNRPSLWVLQGLPLSTFKSLEELLLMGRCHVLYNGEQHQTWLAKYILQNLLLFFYIGSSVTIPLSYIAQASDQERLENLFFTQGFRVSFVHYEEVAKDVGLGLDYPSEIHGRALFIAEQLRYSDAQMESFRMHFERIDEDADEDGFEEL >LPERR07G07540.2 pep chromosome:Lperr_V1.4:7:6518642:6524135:1 gene:LPERR07G07540 transcript:LPERR07G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPWLPSPAPAATPSARRAPQLRPSPHILLPLPTSSFSPSSNCAKSGLPTRSPFATAADTPLNGPLPGPEERDPLLSAALRGARFRDEESRRPDGMDTRPYRLSWPRLSIVYDISPGRVFSTASQQLRGTGAKISRNCVVLHTSSESPDIQECLYKNGFNGNRPSLWVLQGLPLSTFKSLEELLLMVGNLAMKGSIFIGEVPRFIQWRAASDMASDQERLENLFFTQGFRVSFVHYEEVAKDVGLGLDYPSEIHGRALFIAEQLRYSDAQMESFRMHFERIDEDADEDGFEEL >LPERR07G07550.1 pep chromosome:Lperr_V1.4:7:6524741:6524965:1 gene:LPERR07G07550 transcript:LPERR07G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVGFLGVIYVAIKNKTAEISSRRRTYTATDPPTQSRSSAAVDCPSPPPQQQQCDGELVACGDAAVEIDSSC >LPERR07G07560.1 pep chromosome:Lperr_V1.4:7:6527435:6529426:1 gene:LPERR07G07560 transcript:LPERR07G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSDLIDDLIAEILLRIPPDEPAHLVRASLVCKPWRRLLTDPAFLRRYRAFHRTPPMLGFLHNLDYHKASSSAPRFVPTTAASPLSPSAIGYPSWWCALDCRHGRILIRFFDRLELMTGAVVCAARDCDHLDCHQSPFLVVLVGRGDVGDVNSWACVYSSETGEWSAEAPIVFNLFVAMFPSLLVKDTLFFSCGYDDKKILGYNIGRQELCEIDLPFWDDYEQGIIMTAEDGGLGFVTMEPCSLVLWSRNVGDDGIKYWKQSKVIQLDMQNLFGNPRDLVGFTECTRTIFILSDVGVFAIELKSGQVKKVGDSKPYIIVLPYMSFYTPDLAKGKLAHPAVTVMR >LPERR07G07570.1 pep chromosome:Lperr_V1.4:7:6530853:6536522:-1 gene:LPERR07G07570 transcript:LPERR07G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRIPATRRPLLAALTTHRTHPPRLLSSTAPPSQPPSSPAGELLRLLSAAATWSPSVAAAVSSTFSATAPTADVVLAVLRSIRNPTLAAPFFLLASSASSPHPLPADAYNAVLPFLHHDVSALEKVLEEMAVLGYGMPNPACADLVAALVRARRIDDAVHAVGVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQKVGYEVGVPLFTTLVRALAREGQFAGALALVDEVKGSCLEPDIVLFNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGRFEDAYQLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNASTYNIIIDMLCLGGRVEEAYRICDEMELARHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIREVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFVLDARAYNAVVDGFCKSGKVDKAYEVLDEMKEKRVLPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNCLMDALVKAEEVNEALICFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYNLFERFKANGGIPDTASFNALIEGMSNANRAMEAYQVFEETRLRGCRINVKACISLLDALNKSECLEQAAIVGAVLREIAKSQHASRAFLHTVGSQIGLSSQDRITRCIGFDTMKRLGFLTYP >LPERR07G07580.1 pep chromosome:Lperr_V1.4:7:6539037:6542288:1 gene:LPERR07G07580 transcript:LPERR07G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPQPGKKEGEKGGGEVGGKAEWLIYAFVARGTAVLAEYTEFTGNFPALAAQCLQRLPASAGSGAGGAPARFSYSCDGHTFNFLLHRGYVAKESVPKNVSVAFLERLKDDFTKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLTELQDKTSDLRSQAQQFKKGAVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >LPERR07G07590.1 pep chromosome:Lperr_V1.4:7:6545950:6550945:1 gene:LPERR07G07590 transcript:LPERR07G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGRAKVTPNLAMDEEGTRVLNLTVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYQLQAPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIPATTHVQEDPLSAFFSGAINVGGASSVAVAGQFGSNPLSSRAPTSISISQPPAVHHLLPSQTSSVIVPDVHGGTGAAVNRSSSLLNPSLFSPLTSSQTTMVCTNSAVPTAPPHHPRITQQPQSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSRDKVRDALLRLVENDDFIDLVYREIVKG >LPERR07G07600.1 pep chromosome:Lperr_V1.4:7:6552940:6564485:-1 gene:LPERR07G07600 transcript:LPERR07G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAASRPLLLLPLIAAAAVLLLAHPTTASLKALGDDLLAAAGEPEFAGWLTSVRRRIHRWPELAFQEVRTSELVRAELDAIGVRYEWPVARTGVVATIVGGGGDGPVFALRADMDALPLQEMVDWEFKSQEEGKMHACGHDVHVTMLLGAAKLLQSRKDELKGTIKLVFQPAEEGYAGAYHVLESGLLDDVSAFFGLHVIPNLPVGIVSSRPGPFMSAAARFTATITGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSVTMLKGGDAYNVIPTSASLGGTFRSMTDEGLSYLMKRIKEIIEAQAIVNRCTVTIDFLEEELRPYPATVNDDGMYSHAKAVASAMLGDASFTVAPQTMGGEDFAFYARRAPAAFFLIGVGNHTIMDPVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKQYDCDEKSLAMAMAASSCPLSLPLLLLLLLLASHLSPRSATAEAAPAAAAGEDLLSEACAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYRWPVAETGVVATIAGGAGPGPVFALRADMDALPLQELVNWEYKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGAHHVLQEGVLDDVSAIFGLHVDPNTPVGAVYSRPGPFLAASGRFLITINGKGGHAASPHNAVDPILTASSAIVSLQQVVARETDPLEAAVISVTFMKGGDAYNVIPESVFFGGTFRSLTTEGLSYLKKRIKEIVEAHATVHRCTATCDFMEEERIPYPATVNDKGMYGHARAVAKDVLGEDSVKLGPPFMGAEDFAFYAQRFPAAFFMIGIGNETTMRKVYPLHSPNFVLDEDVLPVGAALHAAVAMEYLNKHSSTATS >LPERR07G07600.2 pep chromosome:Lperr_V1.4:7:6552938:6564485:-1 gene:LPERR07G07600 transcript:LPERR07G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAASRPLLLLPLIAAAAVLLLAHPTTASLKALGDDLLAAAGEPEFAGWLTSVRRRIHRWPELAFQEVRTSELVRAELDAIGVPYRWPVAETGVVATIAGGAGPGPVFALRADMDALPLQELVNWEYKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGAHHVLQEGVLDDVSAIFGLHVDPNTPVGAVYSRPGPFLAASGRFLITINGKGGHAASPHNAVDPILTASSAIVSLQQVVARETDPLEAAVISVTFMKGGDAYNVIPESVFFGGTFRSLTTEGLSYLKKRIKEIVEAHATVHRCTATCDFMEEERIPYPATVNDKGMYGHARAVAKDVLGEDSVKLGPPFMGAEDFAFYAQRFPAAFFMIGIGNETTMRKVYPLHSPNFVLDEDVLPVGAALHAAVAMEYLNKHSSTATS >LPERR07G07610.1 pep chromosome:Lperr_V1.4:7:6576961:6580372:-1 gene:LPERR07G07610 transcript:LPERR07G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSSSSATRLLLVVVFLFSLTTASASPAAKAAEDDLLAAAREPGVAEWMRGVRRRIHRRPELAFEEVRTSELVRAELDAIGVPYVWPVARTGVVATIAGDGGAVVALRADMDALPVQELVDWEHKSEEDGKMHACGHDAHTAMLLGAAKLLQQRKNELKGTVKLVFQPAEEGNGGAYCVLQEGVLDDVSAIYGMHVDPALPVGVVAGRPGPFAATSGRFLATITGRGGHAAFPHDSFDPIVAVSSAILSLQQIVSREIDPLQGAVVSITFVKGGEAFNVIPESMSFGGTMRSMTDEGLSYLMKRIKEIVEGQAEVHRCGAAVDFMEETMRPYPAVVNDEGMYAHARAAAERLLGEEGFRVAPQLMGAEDFGFYARRMAGAFFTIGVGSNTAGRVHTTHSPYFVVDEEALPVGAALHAAVAIDYLNDQQRRQNASK >LPERR07G07620.1 pep chromosome:Lperr_V1.4:7:6588032:6591873:1 gene:LPERR07G07620 transcript:LPERR07G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVPVHTTAVAHAEVSEVDGQESIDD >LPERR07G07630.1 pep chromosome:Lperr_V1.4:7:6595769:6596386:-1 gene:LPERR07G07630 transcript:LPERR07G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDCCCCNCGPSKDALIVIGVISAVLLFAVLLAAFGFVRHATFTVDDASLTRFNLSTSTSSFAYNLTVTLVVHNPNWAMSIKNTKPMDAEYKFDGQVFERVQVAGKGDKLRPGKTVVHRLSSGSESAVVPTLGNAGAVEYRKEREKGKFDVEVAVAGEVRYTARYTKCKVVATCPLTLQLAPPGTPAVAFQKVKCKLAKAEKNC >LPERR07G07640.1 pep chromosome:Lperr_V1.4:7:6599169:6599861:-1 gene:LPERR07G07640 transcript:LPERR07G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCESGCYDCYDACCDRLCCGCIGYDTRETIFYCAICLAVIAGVVLLAVLLAAYGFIRHSSVTVESASLTRFNLSSPPTSPTALAYNLSLTLTVRNKNWAMSIKNTKDLEARYSFDGQQFERVKLAGEGEKHPAGKTRVYHLDSGAENAYLALGNAGVVEFRKESTTGVFDVEVTVSGEVRYQAHYSKCKMEAKCPLKLQLALPGQAPPAVVFQKVKCKLSKPEKNC >LPERR07G07650.1 pep chromosome:Lperr_V1.4:7:6603133:6603774:-1 gene:LPERR07G07650 transcript:LPERR07G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDDGCGDCCYNWRDFCWCLLCIGILLVIALIVVLVVAFGFVLQPTITVDDASLTRLALVTTPTAALAYNLTLTLTVRNRNWAMSMKNVEPLEAKYKFDGQQFERIQVADKGEKHGAKKTRVYRLSSGNDAAAVPTLGNAGVAEFKKENGTGTFEVEVAVTGKVKYTARVTKCEIKAKCPLKLQLVPPGQAPPAVVFQKVKCKLDKAEKNC >LPERR07G07660.1 pep chromosome:Lperr_V1.4:7:6615249:6615512:-1 gene:LPERR07G07660 transcript:LPERR07G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQSSNPSVLRPTRPSSTSFPRSPAGRSLSSWPSGTRCSPSPRPLLVAAVAAQLVAAGDEKEEEEEKAEEKVVEEEEDDSMFSLFD >LPERR07G07670.1 pep chromosome:Lperr_V1.4:7:6626893:6627219:-1 gene:LPERR07G07670 transcript:LPERR07G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQKSTTHVSYRNRHFAISTPKALWKLCVPAMDLYKVKLISPEGFEDEFDAPGDCSSCAGKIEDGFVNQSDGSYLDDEQKADGYVLTCVSYPKSNCVIYTHRGDDV >LPERR07G07680.1 pep chromosome:Lperr_V1.4:7:6630666:6635400:1 gene:LPERR07G07680 transcript:LPERR07G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGAAELREAHCLTGHADRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCTDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEFECVAVLQGHTQDVKMVQWHPILDILVSVSYDNSVRVWADDGDDEWHCVQTLTEAHNCGHSSTVWALSFNHKGDRMVTCRPRWNVGSPGFLWTVKFLHSKQVTQEVTDAIQLLFSDDHTLKIWDTSADLSRPKTGDGHESWRHLSTLTGYHGRTIFSAHCSSEDIIASGAGDDAICLFTEDKSSMVEGPLYRLLLKKEKAHDMDINCVRWCPQNPRMLASASDDGTVKLWELRGNALD >LPERR07G07680.2 pep chromosome:Lperr_V1.4:7:6630666:6635400:1 gene:LPERR07G07680 transcript:LPERR07G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGAAELREAHCLTGHADRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCTDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEFECVAVLQGHTQDVKMVQWHPILDILVSVSYDNSVRVWADDGDDEWHCVQTLTEAHNCGHSSTVWALSFNHKGDRMVTCRRHLSTLTGYHGRTIFSAHCSSEDIIASGAGDDAICLFTEDKSSMVEGPLYRLLLKKEKAHDMDINCVRWCPQNPRMLASASDDGTVKLWELRGNALD >LPERR07G07690.1 pep chromosome:Lperr_V1.4:7:6637213:6639716:-1 gene:LPERR07G07690 transcript:LPERR07G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKVSRGKPELVRPARPTPCETKMLSDVDDQYGHWCYVPLIELFRLCHDSEEGCPDPARAVRAGLAEALVYYYPMAGRLREVDGGKLAVDCAAQGVVFVEAMADDVRFEDLGEPLVPPYPCVTELFCEVGDAVGRAVIPKPLVYVQVTKFKSGGFAIGIHISHCIADGIGMMQFIRAFVDLARGEARPVVLPVWERELLMARDPPSLEHVYPDFKPLLKSPGAIDDVMLSTPPEDMVSRHFLFGQREVAALRSLLPEHLGGSSTSRFELLTAVSWRCRMAALGYGATDMVRLFFIRNARCLGIVQPGYYGNALMYSVLETTAAELCSEGGFARAVELVRQARGKVTAEYMLSAVDLLSLMRGRVLTFERGYIVSDMTKLGEDKFDFGWAKRVGGGFGLHVPASFHMKCNGTNGEQLVAVSMLLPRFAMDKFAGELRFLLYGAKGNTFVYSSM >LPERR07G07700.1 pep chromosome:Lperr_V1.4:7:6649777:6651263:-1 gene:LPERR07G07700 transcript:LPERR07G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTASRSKPELILPARPTPCEVKMLSDLDDQYAHWHYVPLVEFFRLRRGVNAESQDPARAVRAGLAEALVYYYPMAGRLQEVPGGKLAVDCAAQGVVFIKATADDVRLEDFGEPLVPPYPCVEELFCEVGDAAGRAIIGKPLVYVQVTKFKCGGFAIGFHLNHCISDGFGMIQFVRAIIDLARGEARPVVLPVWEREVLMARNPPSIELAYQKFKPLLKSPAAAIDHDVMLSTPLEDMVSRHFLFGWRELTALRSLLPAQLAASTSNFELLTAVSWRCRTAALGYGPTDMVRLCFPSNGHGRHGGVGAFVPPGYYGNTQLYPVAVATAGELCHGGTLSLCHAVELVRQGKGMVSEEYMRSVVDLMSLLRGRSMTLERVYLVSGITKLGEDEFDFGWAERAGGGISVPTFASFHMKCKGASGDPLVAVSMLLPRFAMDKFTVELAFFLNGAKRDKVVINSSM >LPERR07G07710.1 pep chromosome:Lperr_V1.4:7:6675596:6676885:1 gene:LPERR07G07710 transcript:LPERR07G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVVSKSPSVVVQPSETVTWAGKKGILSPADKALITLPSTVLYAFEQPIHEPVESIRRGLSQALVHYYPMAGRLAGGDDDLHIDCNGEGVAFTGASANCTIKELMSAVDESQQKSMMPLLEELVYSMERFTRADPFVLMQVTTFRCGGTIVGVTWDHGVADGFGIARFMQAVGELARGLPTPSALPVCFKYNNDQHHQQPVPVIPPYTMAVRQVISEVPPASDLGASSITLPASLISRIRRGGCSLFEAVAAVLWRCRTRIVMSDPETPAVLIFSANTRRYMGLDESYYGNCFTQPIAVEKSGVVAHSGDIMDVVAIIRRAKEQVPEHFKENGDVVAGMLQAIGDLRGQVGYHNAFGITSWRNIGFEDADFGCGTPARVLTYALNLFTPVCVLCPQTSGDQHGACRVISWCVTPQHADAFHQEIATL >LPERR07G07720.1 pep chromosome:Lperr_V1.4:7:6682733:6683893:-1 gene:LPERR07G07720 transcript:LPERR07G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHYYPMIGCLREVSSSKLMVDSAAQGAVFIDATTDDVRLKDIGKPLVPPYPCAAELFCKEDMVSCHFLFGAGELAALRSLLSTNLTASTSNFELLTMTSSRCGTGALGYGLADTVRIYYSWNTCGVCRGGASALVPPGYYGNALLFPAVVATAGELCPGDVRQPLPHCGAGAAGKGEVDL >LPERR07G07730.1 pep chromosome:Lperr_V1.4:7:6712150:6714311:-1 gene:LPERR07G07730 transcript:LPERR07G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYVPLVELISLLRDKDHGYLDPARAVRVGLAKALVHYYPMTGCLWEVSGSKLMVDCAAQGAVVIDATTDDVRLEDLGEPLVPPYPCAAELFCKRRVEGQYSRR >LPERR07G07740.1 pep chromosome:Lperr_V1.4:7:6717915:6719216:1 gene:LPERR07G07740 transcript:LPERR07G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVVSKSPSVVVRPSETVTTAGKKGILSPADKALITLPSTVLYAFEQPIHEPVESIRRGLSQALVHYYPMAGRLAGGDEDLHIDCNGEGVAFTGASANCTIKELMSAVDESQQKSMMPLLEKLVYSMERFTRADPFVLMQVTTFRCGGSIVGVTWDHGVADGFGIARFMQAVGELARGSPTPSVVPVWCKCNDDQHHQQKSVSVPVLPPYTVAALQVVSEMLPPASGLGITNITIPASLINRIRRGGCSHFEAVAAVLWRCRTRIVMSDPETPALLMFTANARKYMGLDESYYGNCVALPIAVEKCGVVAHGGDIMDVVAIIRRAKEQVPEHFKENGDVVAGMLQAIGDLRGQVGYHNAFGITSWRNIGFEDVDFGCGTPSWVLPYVHNLSSPICVVCPQTRGDQQGACRVISYCVAPQHADAFHQEIATL >LPERR07G07750.1 pep chromosome:Lperr_V1.4:7:6784515:6784835:1 gene:LPERR07G07750 transcript:LPERR07G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRDRMQRRGMWYHRNTGYLVEDDSDIVLDSEDSGNGLDEEYDFIPDSDDEDRRQQFCSEDDEFVPETELQTGFAVEDESILEIDDEEQDVEVVEEKCSAQIGEE >LPERR07G07760.1 pep chromosome:Lperr_V1.4:7:6785141:6785342:-1 gene:LPERR07G07760 transcript:LPERR07G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMNEPAEDEDGGGLPDLNEAQPDLEEDGRVNVVFGVAGEQQQIHPAKSM >LPERR07G07770.1 pep chromosome:Lperr_V1.4:7:6793304:6799049:1 gene:LPERR07G07770 transcript:LPERR07G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRREGGGGGGGRRGGAAADARAACQICGDDVGAGPDGEPFVACNECAFPVCRSCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDAQYIAESMLRANMSYGRGEQQPFQHIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKDRMEDWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLASVDFFVSTVDPSKEPPIVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVAYALGEIEEGAPGAETDKTGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFITGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR07G07770.2 pep chromosome:Lperr_V1.4:7:6793125:6799049:1 gene:LPERR07G07770 transcript:LPERR07G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRREGGGGGGGRRGGAAADARAACQICGDDVGAGPDGEPFVACNECAFPVCRSCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDAQYIAESMLRANMSYGRGEQQPFQHIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKDRMEDWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLASVDFFVSTVDPSKEPPIVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVAYALGEIEEGAPGAETDKTGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFITGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR07G07770.3 pep chromosome:Lperr_V1.4:7:6793125:6799049:1 gene:LPERR07G07770 transcript:LPERR07G07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRREGGGGGGGRRGGAAADARAACQICGDDVGAGPDGEPFVACNECAFPVCRSCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDAQYIAESMLRANMSYGRGEQQPFQHIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKDRMEDWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLASVDFFVSTVDPSKEPPIVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVAYALGEIEEGAPGAETDKTGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFITGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR07G07780.1 pep chromosome:Lperr_V1.4:7:6813688:6815026:1 gene:LPERR07G07780 transcript:LPERR07G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTDRHVLARQSRPNRRLSLLPIIVAVVVLVSALPLLPAVHGARALEDGKETKASEASNQMMQHAAATARWSVTVREGGHGAVGGGHAGGGHAGHGSGHGRVEPAGRGHPKRSAAAGRELGSSSMAAASCNLLFVVAAAALLRF >LPERR07G07790.1 pep chromosome:Lperr_V1.4:7:6817752:6819942:1 gene:LPERR07G07790 transcript:LPERR07G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKKSCLAISLMVLLLLVPTVHGTRHVASVNGTGAGDDMVTEVRMAVAGHGHGSTSHKSHNPNNPNDGNPGTPVVDPHNVATRGHHHRSTTSQTAVGDSRIPIIMVLGATFLLVLG >LPERR07G07800.1 pep chromosome:Lperr_V1.4:7:6838337:6843405:1 gene:LPERR07G07800 transcript:LPERR07G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVIVVASVAALTLKRSNSGRKANGQARKRKDKTHHSEHGEKEEEEEEKEEVRTINAITNSAPSDYDDDDDMLSEIDILLSGREIDIPLQGDVKSRSRHSDSVSSEVERLRSLVHELEEREVKLEGELLEYYGLKEQETDVTELHKLLRAKAAEIDMLNATVTSLQAERKKLQDDVARGARFRKELEVARSKIRELQKQIQMEARQTKGQLMLLKQQVIGLKAKEEEAAVKEAEVQMKLKKLKELEMEVVELRRKNKELLYEKRDLIVKLDAAQGKITEHVLITEHIIMQSDVVAHAREEINKLRHTNEDLTKQVEGLQLNRFSEVEELVYLRWVNACLRYELRDYQTPSEKISARDLNRTLSPKSQQRAKLLMLEYAGSERGQGDTDLESASSAPSSPRSEDFDNVSVDSSSSRYSFLGRRPNMMQKLKKWGRGKDESSSLGSPTQSLTSGSPKRHSTSQKPKGPLEALMLRNAGDGMGITTFGKREQDPSDAIDDTNVASSFQLMSKSVGGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGGVNSSGPFLSPRAALPTKLAQIKEKAPTANAESGDQSSDNQNNPLTVTQLKLANIEKRAPRVPRPPPAPSATTASAAVNTTSGVHPQPPRPPGAPPPPPPPGKLGGPPLPPPPPGSLPRNLASGDKVHRAPEVVEFYQSLMKREAKKDTSSLGSMTSSVSDVRSNMIGEIENRSAFLLAVKADVETQGDFVESLANEVRVANFVNINDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLENKVSSFADDPKLPCETALKKMYSLLEKVEQSVYALLRTRDMAISRYKEYGIPVDWLYDSGVVGKLAKKYMKRVATELDALQGTDKDPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMSTQTSATEISDI >LPERR07G07800.2 pep chromosome:Lperr_V1.4:7:6838337:6843405:1 gene:LPERR07G07800 transcript:LPERR07G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVIVVASVAALTLKRSNSGRKANGQARKRKDKTHHSEHGEKEEEEEEKEEVRTINAITNSAPSDYDDDDDMLSEIDILLSGREIDIPLQGDVKSRSRHSDSVSSEVERLRSLVHELEEREVKLEGELLEYYGLKEQETDVTELHKLLRAKAAEIDMLNATVTSLQAERKKLQDDVARGARFRKELEVARSKIRELQKQIQMEARQTKGQLMLLKQQVIGLKAKEEEAAVKEAEVQMKLKKLKELEMEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVAHAREEINKLRHTNEDLTKQVEGLQLNRFSEVEELVYLRWVNACLRYELRDYQTPSEKISARDLNRTLSPKSQQRAKLLMLEYAGSERGQGDTDLESASSAPSSPRSEDFDNVSVDSSSSRYSFLGRRPNMMQKLKKWGRGKDESSSLGSPTQSLTSGSPKRHSTSQKPKGPLEALMLRNAGDGMGITTFGKREQDPSDAIDDTNVASSFQLMSKSVGGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGGVNSSGPFLSPRAALPTKLAQIKEKAPTANAESGDQSSDNQNNPLTVTQLKLANIEKRAPRVPRPPPAPSATTASAAVNTTSGVHPQPPRPPGAPPPPPPPGKLGGPPLPPPPPGSLPRNLASGDKVHRAPEVVEFYQSLMKREAKKDTSSLGSMTSSVSDVRSNMIGEIENRSAFLLAVKADVETQGDFVESLANEVRVANFVNINDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLENKVSSFADDPKLPCETALKKMYSLLEKVEQSVYALLRTRDMAISRYKEYGIPVDWLYDSGVVGKLAKKYMKRVATELDALQGTDKDPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMSTQTSATEISDI >LPERR07G07810.1 pep chromosome:Lperr_V1.4:7:6888436:6889106:1 gene:LPERR07G07810 transcript:LPERR07G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEKQAAEVSKGTDDIQMDPPRLKIRLRLPPRKRLSNGLLKAEKEAPRGTSNGLLKAEKEAPRGTSNAQSNRVPAPIKIKIPIKKLTTTGAQRCTGRISTRGLCNESDNNNLSRTLPNEPYCDTSSNKLSEEANGRYLKKPMAVQARIRQSLLEYVET >LPERR07G07810.2 pep chromosome:Lperr_V1.4:7:6888904:6891203:1 gene:LPERR07G07810 transcript:LPERR07G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEANDNIPSKPHPSPIKELAANPLESMLGKNLTTMGVLDEEENSNSLRLFHETDNIPSKNLTFTAGVCGNYVSHFLKEVPSEEANDNIPSKPYIASIKELPAKPLDNLPIKNLTTMGELDEEGNDNSLRLFHETDNSMPNKVVPQEISKNNQSRNLTTTAVKVEEANNDSLSKRLSEEANNNIPTRKLSDKTKKNTQCNKPTDPDRKSPQKKLSNSAVHAAHARQNTCTVKRAHSVMKPSTSFGQAAEQGINKANLEAIKQYQEFEEKVKRTVYLDCFSHQATEAVIKTALNQFGAIKEINFLVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRATRATAEMFNDRPRRPGSKSEFRWVSSTDADYHIVKKLKLMSRRHELENLALIKHELEEENFLAKHQEEILNCNQRKLEVVDSVMLSGKFNHLSHIYSVNVDEVFCKWLV >LPERR07G07820.1 pep chromosome:Lperr_V1.4:7:6891675:6894012:-1 gene:LPERR07G07820 transcript:LPERR07G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASSGGGRRRRWAAGDSPRSEKRPRDPEDSESEPRSDGGSSGLESDDGDFVSDLKEIVCLLRLIKGGANKDGQKMCEEIITSVAADIQTMLEETKLRFEKERQNFLKVLSNTSKECDSSLSKEYIKFQETYEIFCMQKDVHVQAFRDLFSKVEVEKKALFEQYQHHRKEETATLSELDNTFSNKIKHAEQSLRRSELDNFLEKKAHAEQSVRRMKKHDKSFIILRKSVGSFLEFGSDDDFDLDDD >LPERR07G07830.1 pep chromosome:Lperr_V1.4:7:6896292:6896649:1 gene:LPERR07G07830 transcript:LPERR07G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQQALDPDNQKPSCSKNHGYFLSKPFFVWLTCGFLSLAVLHLFCCVPTGNGRATFSPLMQYLNNTYSFVSEVYASRRKEL >LPERR07G07840.1 pep chromosome:Lperr_V1.4:7:6896792:6900808:1 gene:LPERR07G07840 transcript:LPERR07G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSIPPLDAGSFLSVVHGKHIAFIGDSMVRNQAQSLICLLTSSAFPHRLVYHGADNYLDKYNYWRYTFPSHNVTVSFYWAPFLVKAKGKPKDDGLLYSYVHLNEPEDRWAADVDTIDVAVLGVGHWLLNGAIYYNGSDQAIGAHNAPPEFSNLTMVGYAWPLRTVYRTVMEWLLVSSGRRWRRQQWARTMVLTMFSPPYFEARPAKNPTEWVCMRTKPYEDGENAKDLETPALKEVKEIVYDEAEAARARYGDGDGGEVRIEVLDVTKLAAMRPDGHPGVYHDLSAAQGIAQGKKLILGK >LPERR07G07850.1 pep chromosome:Lperr_V1.4:7:6904699:6913207:1 gene:LPERR07G07850 transcript:LPERR07G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELNPRRRQPPPATCPHATPPRTKTQGKCGYGVVAEEELSKGDFAGEVIDERTWEKRLWKIKRQQDTNFDLCEVTSNMVTDASKKGNMSLFVNHSCEPNMEIKKRTVDGEIRVDFFALRDIKKGEELTYNYKFMQCGADQDCHRTSHCRKKLGTPKSVESIVINSGISGIKKEQHVSRKRKIAAENCIGQSIRLWHRQHNMYFPAVVHDFNAHTGLHTILVLKDNGTTIEEFNMMEEDWDFLPG >LPERR07G07860.1 pep chromosome:Lperr_V1.4:7:6920330:6924007:1 gene:LPERR07G07860 transcript:LPERR07G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSCMITDDGGGGSTDAGLISSWDMYLCPYMDHLSDLFLHFIFLLFSFLFLLAL >LPERR07G07860.2 pep chromosome:Lperr_V1.4:7:6920330:6924007:1 gene:LPERR07G07860 transcript:LPERR07G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDDGGGGSTDAGLISSWDMYLCPYMDHLSDLFLHFIFLLFSFLFLLAL >LPERR07G07870.1 pep chromosome:Lperr_V1.4:7:6943898:6950187:-1 gene:LPERR07G07870 transcript:LPERR07G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSADRGNISWRASVAHEDAKKLEGDDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLVFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGSSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAKEVIKGLFIPRLNGSGATGDSIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVISVSGTVCYSNNISQDDADKCADLSLDTSSFLLRNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIRMRKWLRNLMTRTIAIAPSLIVSIIGGSKGAGRLIIIASMILSFELPFALIPLLKFSSSRSKMGPHKNSIYIIVFSWVLGLLIIGINMYFLSTSFVGWLTHNDLPKFANVLIGAAVFPLMLVYIVAVVYLTIRKDTVITFVADSSLAAVDAEKAAAAVVSDSGEVDDDEPLPYREDLADIPLPR >LPERR07G07880.1 pep chromosome:Lperr_V1.4:7:6992220:6995838:1 gene:LPERR07G07880 transcript:LPERR07G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGGGKVVDDDDDIEARGGCGGDAAHEQAWKRFLRHIGPGFMVCLAYIDPGNRTTLSLSLYIYFMHTRMLLWVILIGLIFALIIQSLSANLGVVTGCHLAELCKTEYPAWVKTCLWLLAEVAVIASDIPEGTAFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAACFFVEMSIVKPPYKEVLKGLFIPRLSDPRATGDSIALLGALIMPHNLFLHSALVLSRNTPATAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPDDAAKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFIIIGINIYFLSTKLVGWILHNTLPTYANVLIGIVLFPLMLLYVAAVIYLTFRKDTVKFVSRRELQAGDTEKAQVANDGSREQPV >LPERR07G07890.1 pep chromosome:Lperr_V1.4:7:6997722:7000844:1 gene:LPERR07G07890 transcript:LPERR07G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCKEEYEEEEAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPDPLSHSDLLLHAIPSSDATDASASARPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTTEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLSAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSCSRKG >LPERR07G07900.1 pep chromosome:Lperr_V1.4:7:7001447:7005076:-1 gene:LPERR07G07900 transcript:LPERR07G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAPAAAADDELAALADALDVDDSDLLRRGPAASLSPLRTSSAAPRPEGRPGSAAAPRRVPSPAASLAQDAARLRSPLLRSTARGDGFQAADPDFSLPPWLHALGSLGESGGRGEGRVWKRQEIAAILGDRALYRARLVVGMVMSCTPNRLGDLFLSLKDPSGTIGASVHQKVFTKEDGMVVSVGSVIVLKKVAVFRPSNKACYLNITKENLELLVPKDFGLPSKQVVPSSISESQHSVKCADTMNSSCQGDNHIRRTGADTYEETTENDVRVSTQGVENHQDMRLEEKDINPLNKNMPSYSTNQQSQKTINSISPANCQQRRGSPFKYASSKAENSTNDIMMRLLGGERTAPNNKKTAVTEVFCDHAGTPDANNNTSRMDSDFSNSSGKHHGIEHQTLMERLGSRHILNYNGKEHHQQCLDVSENPNTRCSQPSLGGGSLMSKTGDSIEASSDEKPNRPVEGEWMLPSSKKRRSDAVLLDNVISSMNTETYGLANNLNMGLDDVARGIHGIETWVSIKKPNDCQQKDITTETLGSALPTQENISVATGDATTVPASLQSQPNKMVSVASVTEWTDDQLSELFLDY >LPERR07G07910.1 pep chromosome:Lperr_V1.4:7:7007702:7008991:-1 gene:LPERR07G07910 transcript:LPERR07G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVVTKLPAVVVQPSETVTTAGKAILSMFGKPLITLPSTVLYVFDHPIHEPVETVRKGFSQALFHYYPLAGRLACDDNNDIHIDCTGEGVTFVAASADCTIKELMIMCDRKDAVPVQEQLAEDYPMESCSHGDPLVMMQVTSFRCGGFVVGVTWNHGVADGFGIGRFVQTVGELARGLPTPSVIPVLPLQSSVLQVVPPPCTLAVYQAVFQFPPSQLVGSNITIPAGLVHRIRFGGRRDGRPPSCTLFEAVAAVLWRCRTRVVMSDPEAPAIIVFTANSRKHMGIDDGYYGNCTTIHMAIEKSSVVANACIMDLVGLINRAKEQIPEHFKNGGNDTTMAMLQAIEGFSGGRAGYENVLSITSWRNIGFEDADFGSGKTARVMTYVRALLVPHCVVCLPCKWEQGARVMSRCVTAQHADALLQEITTL >LPERR07G07920.1 pep chromosome:Lperr_V1.4:7:7020037:7020264:-1 gene:LPERR07G07920 transcript:LPERR07G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKSCMVALVLVGVMLAVILQEVPVVDAREETSSPATTMEGSKSAKGYIDYEDLKRRRQTMRKPKKGAFIKRP >LPERR07G07930.1 pep chromosome:Lperr_V1.4:7:7039532:7042314:-1 gene:LPERR07G07930 transcript:LPERR07G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATAARQFLATAAASSSSSRHRRCISGGCDMREDGGVEALLQCQKVSDLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLGGATHLLAALAASHPNSASLLRYLTAAKALAAVASCVAAVSLLTFIPKLLRIKVRESLLRDKASRLHRDLGIVRRREEATSRAVRALTCRIRGTWSSSPLDADAVLRTTALQLAHALGLHAAAVWMPAAAAGAGGGGLVMVHRVAAAADDELVGEASTTAAADDRDVLDVMSTKVAKVLSPDSALATASGGGGETLTGAVAAAAIRIPMLRVSIYGGGGGTPEVTESSYAILVLILPYDVAGGGGGWSSHDLEIIQVVADQAAVALSHAAVLEESRSMRDRFAEQHRTLMQARHEAAMATRAFSSIQSVMCDAMRRPVNGIVGMVSMLQNPVTESLRPEQRLAVDAIARTSTLLSVLMDDVMDAVTVNRQHLCVQKKVFSLQALIRDAIGVASCLSRCRGAGFLHQPECALPEWVIGDDRRVFHLLLDMVGALLNRCNTECGACRLSFSVRICNVGEERYSLDWIPMRPSFSGCNVCVKFKVGIERSRICAVERSFPCQSPRRSAATTSSEMGHVFSSYCNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVQAPGESLPYIPHFNGLRVLLADHDAMNREVTKKILERLGCQVMSVPSGAHCLSLLASADASFQLVILDLDDCAMATTTTTSTAIDGFEAAVRIRELRNSCWLLIVIFVAAGVVVTDDSAAVQELCQRAGINGLVQKPVTLQTLGAQLCRVLQDN >LPERR07G07940.1 pep chromosome:Lperr_V1.4:7:7047643:7048820:-1 gene:LPERR07G07940 transcript:LPERR07G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKFQQHSDDAHVLDLPITSMLEVDDGMEETNDSAGDDPDYGGEGKDEATSFKRMKRHNDVQIKELESVFERNNYVGRKQRKELAKKLGMEEKQVKFWFQNQRTRKKMHDERHETMVLQEENKALAAKNKVLKEAISDQICLTCNRPVVLPAAKTIQMWYLRFENMRLHGELQRATVVLNQLTQDANAGAVALTQFGSSAKNSQTRLVIDSPTPLKLENVPSPNSANAINP >LPERR07G07950.1 pep chromosome:Lperr_V1.4:7:7060100:7060471:-1 gene:LPERR07G07950 transcript:LPERR07G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPIAEASTSTTGTSRKVRKRRRGKKGKNPTKPYGHQDQWCYRCGNWEHWSRICRELLHATDVQQSKKKRKRKPRTRRAGKKGPVNANTPIKIPNITPQEASEEDVWVIVEISSNDLPVCI >LPERR07G07960.1 pep chromosome:Lperr_V1.4:7:7060946:7063607:-1 gene:LPERR07G07960 transcript:LPERR07G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRVGPSLFRLIPQLFSQLFRPVIPQIFRIPPTPGRNRRPQRDASTPHTSRAAPPIRRQAAGRRPRVQHRRIPSPRRRSRAPRRQSAGKQQVADPARGAADPLTQPAANHTPKTSFTDHRSRTPRRRSREQPPIPLCFAVDPLTLQVAVLHYHQSRAPASKHDCFTNPAMDINVGAMHQNRQQDQVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGRISH >LPERR07G07960.2 pep chromosome:Lperr_V1.4:7:7060946:7062836:-1 gene:LPERR07G07960 transcript:LPERR07G07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSEAPHQNYQQDRVSFPSVQVFVIGYVCMESPTMIPFSGPFADITNVIFGSDVHVDICTLTLQKQSLQWGKLSGVHGRISH >LPERR07G07970.1 pep chromosome:Lperr_V1.4:7:7065694:7066056:-1 gene:LPERR07G07970 transcript:LPERR07G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYHCIHGAKNEKKVHKKKFWLPPAQTDKHYKTSNVRKAWPVECRQERNGVNLQGEHEPRLVPNESAGSSGSQGASRPPACSALCTDLQVASSPKELVAGGRGSRCLGLMKMRSSSSSS >LPERR07G07980.1 pep chromosome:Lperr_V1.4:7:7069906:7071432:1 gene:LPERR07G07980 transcript:LPERR07G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAALLRLLRFGASADGRGRAACDRIHPLAVKFGSGSDVRVATALADAYAKSGRVDHARRVFDETPLRDQVLWNVMVSCYSSHGLVRECWDVFRTMRHSGYSGDGFTFSALLSLRASSSFHDYANLLLRLGCLVHGIVMRLGLHLDVVVATALLDMYAKRGHVAEASQVFDAMVLRNTVSWNAIIVCYGQHSRGKDAVDSFVQMMRDGCCPDEFTIASLLSSCADMAAANESAQLHAYTVKRGLQDFLQVGNALIMAYGKNGFVQEATRIFDMIHNPDLVTWSSMVSSFAYLGLAKSAIHLFDRMLQQGIQVDGIAFLGVLSACSHAGLIEEAFKYFLLMVKDYKIDPTPKHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIDLAKWAASSLFSLNPNDPINYLLISNTYAAAGSWSELAKVRSVMRNMCGNKVPGCSWIETGGIVQTFVSNDILLHQSIEMQKMIEFLVSLVEKDCNDDEAICSDPSSI >LPERR07G07990.1 pep chromosome:Lperr_V1.4:7:7080550:7091362:1 gene:LPERR07G07990 transcript:LPERR07G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWVGKDTCILEIKQIVSGSALLYSVNQDVHTPFGYSYKSLCMQVLRNLFHKSWGEDAERILGEFNDFLENNAISISMELVDPMFGQNALITAVTENIKFYSTPDLLKFCRKWRLPTINVWQFSTRESASSFFAAYETISEEENASSIFQTLDMMADVSAKGYNDQGKVQNSQTKCFTAKIVSFESLKEMEAVLKKYPHPPSNKVSIEKESEEDDIGLPTTREVVHLPDKSFDEEKKTQFTDEQAGLHETKKLGIPHLIRIMKS >LPERR07G08000.1 pep chromosome:Lperr_V1.4:7:7113580:7114607:1 gene:LPERR07G08000 transcript:LPERR07G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRRGVVAAGASHRARSWGLVPCCSHLPKPKLRYLYLVLDDWERGYSIHRVGDDDFDSNAGGLSTRLTKCLLIRIQAQHASNRCFIAHGTKILGMNPAGFSPGIPVFDTETIELTVYPSPKCRSIISGYALVNASVGERLMLFAHHYLQVLGPEPPPTDVDAESSWSWTTMEPSPPFDSSYVSGYALHPDGRTIFMSINNWKTVKSGHLDVRNCTFSFDTERLEWTHRDGQAHYDRELDTWVGLSRFKEGVGHLCCCDVPPVAAGCSNTLPAWKLCKEELFNNDLTSSSGLTLVYMGDSRFCLIESRLPKDCDFRTYLRAVTITCGHLLWSQV >LPERR07G08010.1 pep chromosome:Lperr_V1.4:7:7116618:7118660:1 gene:LPERR07G08010 transcript:LPERR07G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEKGIIIHFFIGHSAISIGIVDRAIEAEDRKHNVEGYLALSGKTKTYFSTAVSLWDADFYVMVYGACKHRGVRYYEPEHWKFGEAGNSYFKRSSNLHIYKQTLGISTTKDCCGTAPGELPTSYFHNSSFRSSCFDSLKLLLIPDDIDHTKNVNIFLSSHIFVSSIADCEWKAHTGNTCAASFDWRCSGICNSQKEGSERCTISALKGRRRFGSPHSSVTENPVKRREEQAENLLLVGVCDGSEIPTKRTTILRGVCLPVA >LPERR07G08010.2 pep chromosome:Lperr_V1.4:7:7116146:7116720:1 gene:LPERR07G08010 transcript:LPERR07G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLPEANEIAQPNANLEEGSTPVATEYDKTIASLETELSAARSLQESLLNGSPVAEEF >LPERR07G08020.1 pep chromosome:Lperr_V1.4:7:7120132:7122283:-1 gene:LPERR07G08020 transcript:LPERR07G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFMTVVKEAGATDNTNHTNRKNGEITEAPEEFIYRVHAQMSVARCNLFFRISSSVGFDGGGTLAAIPVVASSEYENSCFEIIFFRTPKNLRYLLPLSA >LPERR07G08030.1 pep chromosome:Lperr_V1.4:7:7122329:7122703:-1 gene:LPERR07G08030 transcript:LPERR07G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSFVFENFQTAFIPFITAGDPGLATIAKALKILAACASDLIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPVLSS >LPERR07G08040.1 pep chromosome:Lperr_V1.4:7:7129947:7131907:1 gene:LPERR07G08040 transcript:LPERR07G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLRRYAADVDITDLTIRYLYNFPEFDEAPATAWAEFAARRVTGRFDLSVHTQYRIPDEFIDLPCLKKAMEISLCFVGMVVWFSLPAAAVDKFTRLTKLQMSELRLSDNGEGISDVVSRRCPCLEILELERVGELDVISLTLRSESLLILRIVSVSYLRRLEVEAGNLREIQVEDSFPESYIPAAMRLSMPAMEVFHWRDRCPDEFDMTRLPMCLKELFFHVFDHDIVDMMEYFSRVDVLRLNPILPCALGSEELESLLHRVQLPYYSELDLGVITNGAYVPKFNGDPCMPDALAANRSSGGIKR >LPERR07G08050.1 pep chromosome:Lperr_V1.4:7:7154338:7154757:-1 gene:LPERR07G08050 transcript:LPERR07G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTSVSVRRTLLTQDVYKTQYARVVILQMPKLLSSVAQYVLPPKQTRSMSQERLNMKQSIERHNMQIGGMCLTDYHRGRNVRSANVVIVARC >LPERR07G08060.1 pep chromosome:Lperr_V1.4:7:7155785:7156181:1 gene:LPERR07G08060 transcript:LPERR07G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPMCFWGDLCRIGASNDYSDTYGRRIIICNNYDYDPPKDFQRGENKQWIDIKQSKEDERYISTRFTRTTNTSSTTIVWSNNRRQKRRKAFQEEMHRMDE >LPERR07G08070.1 pep chromosome:Lperr_V1.4:7:7157345:7160110:-1 gene:LPERR07G08070 transcript:LPERR07G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLAEASHRGHIKEVAALDPPTSKRRRSPIGLPIARSPGVRRMISNAIMERSQVAFQERASNTESPRYRSLSPMSGPMSSPSAVPTQLDAENQTRESITNRCRLGKRKLKSVIWKEYEPLYSNGKLTHGKSIPCNKLITANRLADPYATINYFADGADGLNASGPVQTYNPV >LPERR07G08080.1 pep chromosome:Lperr_V1.4:7:7243424:7246602:-1 gene:LPERR07G08080 transcript:LPERR07G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRGRRRAGGGAAVRPRGWCCSFGGVPQSPDLRPFPPTSSTGVAASAAAAAAASPVGGGGGGGMGGGVGSRKLPPKSPSISSFHSSPTSSRLAGLGGLIDPRRILSPGRVSPIDLDDSAPLPLPLPLPPPPVSPAAEEVVAVVPAENAAVVVAEKAALPLVVASMREPDACDEAALDLTLFLRGRDGRCVVMELDSTVLCDSSAFFAAMAPPRGVDAAAGGGRRIEVDGVDNVEAFRAAVELMYQSDPLRWLAAAGVSRAIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCIFDEAISQDVLARLRPHNWSSSEDLTVHLIQSVTNSTNSGARKDMQSLLYGLLSKSSVYQKDLSGLNKESMYNICYACLNLLVDLFDEATEATNHNAQALVIRGSKPFIERISQQTENLNWLFDILVNNDMAEEFVELWAKQDKLISIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMMLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASRGAECPNLTRAFQVWWRRSFVRSSVEAQR >LPERR07G08090.1 pep chromosome:Lperr_V1.4:7:7251362:7255751:-1 gene:LPERR07G08090 transcript:LPERR07G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSLLRILRTLESPHHLKQAHARLLAAGLAASPRLLPPLVAAAFASHSPLYAAAILRAAGPGSSASTVSHNTLIERLACGRPDDALAAYSAMRAAGVPPNGFTFSFLLRACAALLVAGRRPCGCVHGQVVRWGFGGDVFVQNALVDVYYWCGGGAAAGVGDARQVFDEMVERDVVSWNSIVGVYMSSGDAKGAMELFEAMPERNVISWNTVVAGFARAGDMVTARAVFDRMPSRNAISWNLVISGYATSGDVEAARSVFDRMDRKDVVSWTAMVSAYARIGDLDTAKELFDRMPVKNLVSWNAMITGYNHNSRYDDALRTFQLMMHDGQFRPDEATLVSVVSACAQLGSAEYCNWISSFIRKSNIHLTVALGNALIDMFAKCGDVGRAQSVFYEMKTRCIITWTTMISGFAFNGLCREAILSYNNMCREGVELDDTVFISALAACAHGGLLEEGWSIFNDMVEKYNIQPRMEHYGCMVDLLGRAGNLQEAILFIESMPLKPSVVIWVTLLSSCVAHGNAELIEYVSKKITELEPFNSSYQVLVSNCSALEGKWDGVIGARTTMRNWGIEKVPGSSLIQIGSEVHEFLAKDTRHQRRKEIYETIDDLMALMRQTEQAPWLRHSGSKDNFGPNGGHN >LPERR07G08100.1 pep chromosome:Lperr_V1.4:7:7258132:7258314:-1 gene:LPERR07G08100 transcript:LPERR07G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVTTMTTTAAEQARGMVGAATEANALTAVCGVMARYCPKKKREGALLVSVDEEPELL >LPERR07G08110.1 pep chromosome:Lperr_V1.4:7:7271175:7276518:-1 gene:LPERR07G08110 transcript:LPERR07G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLNVDPPESDGEDQPPTPNLNASAVPPVVAIDSSTRSSNDEAGLMKSVIATKEPDTVECEDADQHCQGVSVPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAILAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDSFDEELETGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTAVAPDYLDSLANLGVAYIQSGNLELAAKCFQELVLKDQNHPAALVNYAALLLCKHGSFAAGSGGNVSAGSYLHQKDGLAVAKECLLAAVKADPKAASVWVNLANAYYMSGEHKNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQNLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPECSYVWSNLGIALQLSDDSSCETVYKRALGLSSSQQSYAILSNLGVLYRQHGRYELARRMLLRSLELCPGYAPANNNLGLVSVAEGRYDDAINCFEKSLQSDPLLDAAKSNLAKVAALSKKQSIERQKMSLD >LPERR07G08110.2 pep chromosome:Lperr_V1.4:7:7271175:7276518:-1 gene:LPERR07G08110 transcript:LPERR07G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLNVDPPESDGEDQPPTPNLNASAVPPMLTNIVKAFLFRALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAILAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDSFDEELETGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTAVAPDYLDSLANLGVAYIQSGNLELAAKCFQELVLKDQNHPAALVNYAALLLCKHGSFAAGSGGNVSAGSYLHQKDGLAVAKECLLAAVKADPKAASVWVNLANAYYMSGEHKNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQNLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPECSYVWSNLGIALQLSDDSSCETVYKRALGLSSSQQSYAILSNLGVLYRQHGRYELARRMLLRSLELCPGYAPANNNLGLVSVAEGRYDDAINCFEKSLQSDPLLDAAKSNLAKVAALSKKQSIERQKMSLD >LPERR07G08120.1 pep chromosome:Lperr_V1.4:7:7308527:7318138:1 gene:LPERR07G08120 transcript:LPERR07G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAAAGARACLRSSSSPTAAAASSSRRPLLALPLHRRPYGSLAASAPRRGRRRRRLLLLQVRAARTESTGVSVGFRAPQFELPEPLTGKLWTLDDFDGNPALLVMVVCNHCPFVKHLKKDIAKLTSFYMEDGPEYMAEEAKLYKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSKNVPVTGRDLSRAIDCALSVSGAASNGTREDLITLSFAGKQVFILQTEDIGLRQLMPLRHSGADCHVGGGCLTSELRN >LPERR07G08120.2 pep chromosome:Lperr_V1.4:7:7308527:7313821:1 gene:LPERR07G08120 transcript:LPERR07G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAAAGARACLRSSSSPTAAAASSSRRPLLALPLHRRPYGSLAASAPRRGRRRRRLLLLQVRAARTESTGVSVGFRAPQFELPEPLTGKLWTLDDFDGNPALLVMVVCNHCPFVKHLKKDIAKLTSFYMEDGPEYMAEEAKLYKYPFPYLYDESQEVAKAFGAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPSKNVPVTGRDLSRAIDCALSVSGAASNGTREDLITLSFAGKQVFILQTEDIGLRQLMPLRHSGADCHVGGGCLTSELRN >LPERR07G08140.1 pep chromosome:Lperr_V1.4:7:7321962:7325919:-1 gene:LPERR07G08140 transcript:LPERR07G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHSVKNLAEHIQKYEREKEDRREGKVPAVPTENRREKAKSKAPADDPDNDHLGYHDPYHVVSTIDGGACAHAFHRSFKAMKREILTVVPSHEAARRSRWSEVTLIFDQTDHLASITGNGHLALVTSPTICNVKGTKGEAKL >LPERR07G08150.1 pep chromosome:Lperr_V1.4:7:7327934:7329317:-1 gene:LPERR07G08150 transcript:LPERR07G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYDLSTNGAHTSIVVRSPVHIMTKELIWFGMTLVQSLGLPMTTVDATLVMAANFIFGDLSKHGIMRPKMGPLLLKSQTGRSAVIDVGTAKLIKQGVIKVFKGISKIKAHNVEFHGGKQLPFDAIVFATGYKSTVNTWLMNGQSMFTQDNFPKKSFPNHWRGENGLYCAGFARRGLAGIAKDAKNIVDDIVATMDKGSH >LPERR07G08160.1 pep chromosome:Lperr_V1.4:7:7330013:7331249:-1 gene:LPERR07G08160 transcript:LPERR07G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKTYKIGFTIFEARGRLGRGSSEDDVGVDDRRRGCSRLQQTKRRRGRGDSDDVRGEAGDGQSAGGDHRKRRPAAAYEERQRSGQIKAKFRSI >LPERR07G08170.1 pep chromosome:Lperr_V1.4:7:7346810:7350087:1 gene:LPERR07G08170 transcript:LPERR07G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQSIEDKLSAPGRHHPLPRGPAGGKPPPPASIGKRWICWYEPRVDGSMVDLKLRMDAEEVARSP >LPERR07G08180.1 pep chromosome:Lperr_V1.4:7:7399062:7399494:1 gene:LPERR07G08180 transcript:LPERR07G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRRGSDLSDLEYRRDRAAEEDQHKAIQKALAGFLNDEVLDQKGEHYYEGRLEIASVDCNIDLDDPNFD >LPERR07G08190.1 pep chromosome:Lperr_V1.4:7:7482430:7483452:-1 gene:LPERR07G08190 transcript:LPERR07G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSSGGGSDPPPSPPLRRLVFDRRYGWIFDEWTDHADAALSGRRGMFCVVPMARSLVDVAVSSVTSVSRALKQAENSSPMSYLPPVSLQRKQQTWFRELEHVGVIADMKLVPCTTQCSLECISTDCH >LPERR07G08190.2 pep chromosome:Lperr_V1.4:7:7482430:7483452:-1 gene:LPERR07G08190 transcript:LPERR07G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSSGGGSDPPPSPPLRRLVFDRRYGWMFCVVPMARSLVDVAVSSVTSVSRALKQAENSSPMSYLPPVSLQRKQQTWFRELEHVGVIADMKLVPCTTQCSLECISTDCH >LPERR07G08190.3 pep chromosome:Lperr_V1.4:7:7482430:7483452:-1 gene:LPERR07G08190 transcript:LPERR07G08190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSSGGGSDPPPSPPLRRLVFDRRYGWMYAQPLLLPSLSLSLSRAHPLRPFPLSGSFDEWTDHADAALSGRRGMFCVVPMARSLVDVAVSSVTSVSRALKQAENSSPMSYLPPVSLQRKQQTWFRELEHVGVIADMKLVPCTTQCSLECISTDCH >LPERR07G08190.4 pep chromosome:Lperr_V1.4:7:7482498:7483452:-1 gene:LPERR07G08190 transcript:LPERR07G08190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSSGGGSDPPPSPPLRRLVFDRRYGWMLPQLAELLNKLKIHPPCRIFLPYRCRGSNKHGFANLSMLES >LPERR07G08200.1 pep chromosome:Lperr_V1.4:7:7484479:7490000:-1 gene:LPERR07G08200 transcript:LPERR07G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEASAEASMEEKAHHIFLDFMTNDRMKSYLEAGCTHHNQNIQNMNQLHSCEEKLNDHMNKAKVLLEELRFLEEDVYSTTLTACLSSLRHTDDCPDDDNLTNGCSEDERQPGDLLDRAVSCASVMVLVHNMLKLDYMMQEKIVKALCIKTPSSELEGYCQMWDLRPYIDDNVMQLAWKLVP >LPERR07G08210.1 pep chromosome:Lperr_V1.4:7:7499932:7502009:-1 gene:LPERR07G08210 transcript:LPERR07G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVLRASTLLPQPLPSSSSSSQSRNPSRARIAAAWPLPPANRSATIRYLGRHGRRGLRVTCLPTKEEEEVGVAGDGEEEGYLAREGEWGVRRMGRVGEEMRRVSLVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEETGVTSQLSQAPFEKIVGVVDCTVQDENDVLRNLQGVDEYFYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYEDDDGARGLYSKAGYRVVARDPGWVTWVGRRRRVLMIKELPIHDHQLEQQ >LPERR07G08220.1 pep chromosome:Lperr_V1.4:7:7510030:7515070:-1 gene:LPERR07G08220 transcript:LPERR07G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAARLARSSLSSLAARRPDLIPSFPPSPPPRGFSKMADSVESSGSGALIRVLFCGPYWPASTIYTKEYLQNYPFIQVDEVGLKDVPNVIQNYHLCVVKNQRIDSDIMAKASQMKIIMQYGVGLEGVDVNAATEQRIKVARIPGKTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKLKDLGIPVGDTIFGKSVLILGFGAIGVELAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYDLAGEADIVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFDNLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >LPERR07G08240.1 pep chromosome:Lperr_V1.4:7:7546959:7547888:-1 gene:LPERR07G08240 transcript:LPERR07G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAGFSPGILVFDTETIELTVYPSPKCRSIISGYALVNASVGERLVLFAHHYLQVLGPEPPPTDADAESSWSWTTMEPSPPFDSSYVSGYALHPDGRTIFMSINNWKTVKSGHLDVRNCTFSFDTERLEWTHRGCSNTLPAWKLCNEVLFNNDLASNSGLTLVYMGDSRFCLVESRLPKDRDFRTYLRAVTITSFGLKSDKFGELVFIVILKDSFLAANRGSTPS >LPERR07G08250.1 pep chromosome:Lperr_V1.4:7:7554326:7554577:-1 gene:LPERR07G08250 transcript:LPERR07G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVQVSSPHYTGFSWQKSQKCRCLPNNSPSEQLTLNVRPMRSSKHRGSLRKQELRMNDDKRSLKKQELDHSVKNKRVLMRA >LPERR07G08260.1 pep chromosome:Lperr_V1.4:7:7554588:7554851:-1 gene:LPERR07G08260 transcript:LPERR07G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPAVTNNQAMDAFFKGCRDLEFKEDWYKKPPASLEAMLFRADLYAYRYQWSGMRDPSDNDSDSGNSVAYGEGEERRVAFLNESI >LPERR07G08270.1 pep chromosome:Lperr_V1.4:7:7558429:7560650:1 gene:LPERR07G08270 transcript:LPERR07G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPATLNWYRLLKHSVPCYIRDVTVNHSRDTIKYIEMEIMEETKETTTDAASPPQSYLE >LPERR07G08280.1 pep chromosome:Lperr_V1.4:7:7567260:7570954:-1 gene:LPERR07G08280 transcript:LPERR07G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIRRHSKWSAEIISAALGEDLLLAAGCTCPSSSPPLAPRRRAEPLPIQDRRSHAASQSTAASSPLRGPGSSPGGGDEVTQFQFSTHPSPDRCNPYYCKPQR >LPERR07G08290.1 pep chromosome:Lperr_V1.4:7:7574581:7574940:1 gene:LPERR07G08290 transcript:LPERR07G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAWPADQRSGDDGAGSATCGFGRQIGDIRIGGATRGIGNRRISDLRIGGAACEIGDLRRKVGGRPRRRGGDGKGGHQREERWVVRFAGREGIRAVERRNRRRMGPWRRRMGPRQRR >LPERR07G08300.1 pep chromosome:Lperr_V1.4:7:7579416:7585366:1 gene:LPERR07G08300 transcript:LPERR07G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGGGRVPVPPAGAGTLVKLAVLGGTVVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTISSSANKVFLDSNDLLLNLQQLTVANKSKK >LPERR07G08300.2 pep chromosome:Lperr_V1.4:7:7579114:7585366:1 gene:LPERR07G08300 transcript:LPERR07G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGGGRVPVPPAGAGTLVKLAVLGGTVVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTISSSANKVFLDSNDLLLNLQQLTVANKSKK >LPERR07G08310.1 pep chromosome:Lperr_V1.4:7:7603615:7606494:1 gene:LPERR07G08310 transcript:LPERR07G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGEGCGMCGGGGDFCCHQLNHDGGGGSFSFFPPSVVIDKAPAPPQPVQEFQFFGNDGVVDDGGDSESVAWLFDDYPPPPKDQSSPAAAADGRHHQLQPYDYDGDVVQLTFEVSLGRQPAAVDVLDAGLGLAGGGGRQTEAAASATIMSYCGSTFTDAAISTPKEVTSADGGESMMNPNMMILGSTVGREAKVMRYKEKRKKRCYEKQIRYASRKAYAETRPRVRGRFAKIAEAPPLPPSNYDPSRLELGRWFT >LPERR07G08320.1 pep chromosome:Lperr_V1.4:7:7611662:7619248:1 gene:LPERR07G08320 transcript:LPERR07G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEGGRQRRGLWRPGPSPTRWLPSPVSSGEVMQMPDHGDVVSIYLLIDTMGKHSAESGTSMLLHGDLDIQIVEAKCLPNMDLMTERMRRCFTGYGACSTECGRPDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVEDITPGDMVSGWFPISGQYSNPMKPSPELHLSIQYKPIEQNPLYKDGVGSDSSQSIGVPNAYFPLRKGGRVTLYQDAHVPDNFCPKIEIDGGRVYEQNKCWEDICHAIVEAHHLIYIIGWSLYHPVKLVRESTKSVPNGSPLTIGELLKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCEQNDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYIKAIRSAQHYVYIENQYFIGSSYCWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIIIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVADALRKEGLHDTHPQDYLNFYCLGKREASSDVCAISHANENSSLVRMAQKFKRFMIYVHSKGMIVDDEYVLMGSANINQRSMDGSRDTEIAMGAMSLWAEHLGTLEECFRWPHAMECVRLVNEMAEENWGCYVSPEMMNMRGHLMRYPIKVDRDGRVGPLRGYECFPDVGGKVLGTHSSLPNALTT >LPERR07G08320.2 pep chromosome:Lperr_V1.4:7:7611662:7619248:1 gene:LPERR07G08320 transcript:LPERR07G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEGGRQRRGLWRPGPSPTRWLPSPVSSGEVMQMPDHGDVVSIYLLIDTMGKHSAESGTSMLLHGDLDIQIVEAKCLPNMDLMTERMRRCFTGYGACSTECGRPDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVEDITPGDMVSGWFPISGQYSNPMKPSPELHLSIQYKPIEQNPLYKDGVGSDSSQSIGVPNAYFPLRKGGRVTLYQDAHVPDNFCPKIEIDGGRVYEQNKCWEDICHAIVEAHHLIYIIGWSLYHPVKLVRESTKSVPNGSPLTIGELLKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCEQNDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYIKAIRSAQHYVYIENQYFIGSSYCWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIIIPMWPEGNPTTAAMQEILFWQRMAQKFKRFMIYVHSKGMIVDDEYVLMGSANINQRSMDGSRDTEIAMGAMSLWAEHLGTLEECFRWPHAMECVRLVNEMAEENWGCYVSPEMMNMRGHLMRYPIKVDRDGRVGPLRGYECFPDVGGKVLGTHSSLPNALTT >LPERR07G08330.1 pep chromosome:Lperr_V1.4:7:7624086:7625895:1 gene:LPERR07G08330 transcript:LPERR07G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVMVLIIGAGRAGLATAACLMRQCIPYIIVERESCSASLWRHRAYDRLKLHLAKEFCELPHMAYPADTPTYAPRKRFIEYIDNYISNFEIRPSGSTGCDDWHGNVLVVGAGNSGMEIAYDLATNGAHTSIVVRSPVHIMTKELIWFGMTLVQNLGLPMTTVDATLVMAANFIFGDLSKHGIMRPKMGPLLLKSQTGRSAVIDVGTAKLIKQGVIKVFKGRSKIKAHNVEFHGGKQLSFDAIVFATGYKSTVNTWLKNGQSMFTKDGFPKKSFPNHWRGENGLYCAGFARRGLAGIGKDTKNIVDDIVATMDKGSH >LPERR07G08340.1 pep chromosome:Lperr_V1.4:7:7630413:7630824:1 gene:LPERR07G08340 transcript:LPERR07G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDPSSSAEKISEQTNTMASSNSSTNSTNDRLIAYQLAATRVKTQHGSWDGQNKHTSRILEYI >LPERR07G08350.1 pep chromosome:Lperr_V1.4:7:7637955:7641984:1 gene:LPERR07G08350 transcript:LPERR07G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMTKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHIRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFNQFENILEMEKDDKAPVEEEEEEEVEYVEGDEMDDMEDIEDFEVSDGDDGGTDEDDLLDEPVAKKPKGSGSDMRSNIGKKSKKLITEVERDDDTGIRRRTRM >LPERR07G08360.1 pep chromosome:Lperr_V1.4:7:7643202:7645946:-1 gene:LPERR07G08360 transcript:LPERR07G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGSRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVFTLADFDQAICVSHTSKENTVLRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAQPAPEDMVRAVKKAIDMLPGIDPQMKKLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRYLTCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRLVYAHLESVNDMCRIQSEGN >LPERR07G08360.2 pep chromosome:Lperr_V1.4:7:7643202:7645946:-1 gene:LPERR07G08360 transcript:LPERR07G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGSRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGKENTVLRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAQPAPEDMVRAVKKAIDMLPGIDPQMKKLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRYLTCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRLVYAHLESVNDMCRIQSEGN >LPERR07G08360.3 pep chromosome:Lperr_V1.4:7:7643202:7645946:-1 gene:LPERR07G08360 transcript:LPERR07G08360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGSRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADFDQAICVSHTSKENTVLRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAQPAPEDMVRAVKKAIDMLPGIDPQMKKLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRYLTCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRLVYAHLESVNDMCRIQSEGN >LPERR07G08360.4 pep chromosome:Lperr_V1.4:7:7643293:7645946:-1 gene:LPERR07G08360 transcript:LPERR07G08360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGSRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADFDQAICVSHTSKENTVLRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAQPAPEDMVRAVKKAIDMLPGIDPQMKKLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRYLTCGAWAGKLFCLVMIINYLLWCLLEYLQVNFYPYFYHLEIWWKSNLLFPSAH >LPERR07G08370.1 pep chromosome:Lperr_V1.4:7:7648170:7653874:-1 gene:LPERR07G08370 transcript:LPERR07G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGDETPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGYDLTKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLAEPAVDTLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVYRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMQLHLQTILGRLVISLIITNECPLVHTRYVFCCSYSHNVASKGKFIAFVSAQAESENPEAELKPGIDLLGPVDELFIDTYDRFEPANNPSSDNCFISTSYDATTHFESTVMDVLSLYTKITEKMVDLSVDLSAASAAEDDF >LPERR07G08380.1 pep chromosome:Lperr_V1.4:7:7662463:7665252:-1 gene:LPERR07G08380 transcript:LPERR07G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVVWHCIRHNHCSFMAKITTGRIFCRNPYNTTGICNRSSCPLANSRYATIRDHGGIFYLYMKTPERAHLPTKLWERVKLPRNYEKAIEVISKHLEFWPMLLVHKIKQRLTKMTQYRIRMRKLQLKGQLLRR >LPERR07G08390.1 pep chromosome:Lperr_V1.4:7:7665826:7668752:1 gene:LPERR07G08390 transcript:LPERR07G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASEQRLRGIDNGARRSWNWFILVGSLDLE >LPERR07G08390.2 pep chromosome:Lperr_V1.4:7:7665826:7667028:1 gene:LPERR07G08390 transcript:LPERR07G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASEQRSF >LPERR07G08400.1 pep chromosome:Lperr_V1.4:7:7679673:7681426:-1 gene:LPERR07G08400 transcript:LPERR07G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRQNSPESASSKLATKAQGPIPVAEKFLRVPSADACARTHAGTAPTFQVFSPKFCSRVRPLVAAIRSPSSPLLLPPRVFHSTDAAPRLFSSPPPPTRSLRQIGAQHVIVI >LPERR07G08400.2 pep chromosome:Lperr_V1.4:7:7678728:7680639:-1 gene:LPERR07G08400 transcript:LPERR07G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVMSSSYSPKVMEKKADKDHDTHYDGEKGGFLDKVKDFIQDIGEKIEDAVGFGKPTADVTGIHIPHISLEKVELIVDVLIANPNPVPIPLVDIEYLIESEGRKLMSGTIPDAGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKVGVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNEFDLGLNAMDYEIWLSNVSIASAELKESANIKKQEITTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISKEGGTTRLKKGDDDDDDDDDDKVFVY >LPERR07G08410.1 pep chromosome:Lperr_V1.4:7:7692891:7697101:1 gene:LPERR07G08410 transcript:LPERR07G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSREVFEYHPLPTPGAENSLKDEIQSKVLGTIGNVMNSFDPKSFPQHVEGALGAAGNIINSFESKLAEQKSFDFGGKADFDAYECGDDSWRSAPLQAEKSVNLKNLLGGLIAIINPGGKNSEIQPPKDTKSSVSFLGSSTNGETYLHSSVYVPSAPPLLNEEALSYNVYRVVIEAEPPQWLPDSYANSCMQCAAPFTVVTCGRHHCRFCGGIFCRACSKGRCLLPAMFRERNPQRVCDGCYDRLDPLQNLFINSISNATQTAKHDVMDWTSARGWLNLPIGLTMEHEIYKAANSVRSYRQVARINPEKSIPHAVLSGASGLAILTVVKAGVLLTYKLGTGLVVGRRPDGSWSPPSAIVSAGLGWGAQVGGELMDFIIVLHGPEAVRTFSSKMHFSFGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNLVATRRDTNLRFYGDPYLTTSDILMGDVQRPNAAKFLYTALDDLYSGLSC >LPERR07G08420.1 pep chromosome:Lperr_V1.4:7:7701203:7702243:1 gene:LPERR07G08420 transcript:LPERR07G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKESVTRMGKQEEQQVSPAAKPAATGAAVTSNPAGIKQQDKATSVATPFAATSAHHAPPPPPPSPASALVASGGRVAEEEMENAGGSSSGGAAVERSPLAAAVSALSDQVLERMMKVLMRKCNPPQDAFPLTGKSPVMPPWWPKGNEGWWPELGAGAVAPPYRPARVLSKVEKEVAVVAMVKNIAPDFEHLAMAIQMASTVTSVMTDAEAAAWDAGVAAEHDAYVAVGAAPVTRAGSLLRSIKPEAVRMKKRKEPTPAKKKTPPVADTRTVVLALPAPSAPLARRPVGIPAHRLRHFTTAPAAAATAARGAPARSGGRASIPAVVEVEAAVREMANLKAPGAP >LPERR07G08430.1 pep chromosome:Lperr_V1.4:7:7738972:7739484:-1 gene:LPERR07G08430 transcript:LPERR07G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVQPPGHLHTRRRRRSGGGGGRGGLRTGVEAIRRRRRRGRATIKGRRRQTDEGGGGDGAGCRRRDVE >LPERR07G08440.1 pep chromosome:Lperr_V1.4:7:7752128:7752562:1 gene:LPERR07G08440 transcript:LPERR07G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLHLHAATNTTVYDILERNNIPQGFIPKGVKSYTLRPLESHLEARLGVGHCDLTFVAVGGKRFKFRFAHTFGGVIGYGALREVYGVSVQAEKGFAWVRISKVLRDAGAGDQLIILGEQEFDEYFPTSDFTVRPACSSIIGN >LPERR07G08450.1 pep chromosome:Lperr_V1.4:7:7757348:7760140:1 gene:LPERR07G08450 transcript:LPERR07G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSELGQQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLVQWLDCGCCYTLPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAVIYPIMQQLEGNLMERDLKGKGRCKDIVSRKRLEDWRKVCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPLTLERENVRHFYSYIDSLPLILPDSIFFFYYDYLL >LPERR07G08460.1 pep chromosome:Lperr_V1.4:7:7763106:7764894:1 gene:LPERR07G08460 transcript:LPERR07G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTGKAAAAAGATQGLNGAAGSRGGCSMERGTKIHRRPHPSPEGDFNANKTSRCPRGSGSAPPDVPRNQDRVKKPAPMRHSSSRQDGPILIDSPPRVTPRRGEKRRYPAGPSRVNSSKAVAVEQTDSSAGSHPIDNTPGDTFFDLGDKFFEGVQSHRGWESFWYLQGNLVGDTAHVDLMCNRAPKLEMEMTKRLDKLKSAAPRLLLTSVRSIDDAPPSLTLPTDGFVMGRHAATVHRDLYGLQELLKKEPRVNQEIIVAIRITTASWRANYADPCPGDLVKLISDLEDLEKLLSSPPNQLSFEGKLTASAEREAVLLFQQYESACAEASDELKLFAGDKKISDMEDGRDQARAAVEDAKRKKEDWALAPPPIVSRVLSYPCNPYFGITPPPQ >LPERR07G08470.1 pep chromosome:Lperr_V1.4:7:7803999:7805330:-1 gene:LPERR07G08470 transcript:LPERR07G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHAYTIVHLLEKYTQLLTLLTCPSCTHQLAPRASHHLPEISIKVQFILCLCTSSSPSSFTIATTIILHSISSSKPPRESTPIRKISVIISSPTSLKAAPPSIAAIAVAGAETQKITSPPTQKKPKEFAADAHTVAVVGGQEWYDYAESEGKSYPFDHLLNLI >LPERR07G08480.1 pep chromosome:Lperr_V1.4:7:7806867:7813003:-1 gene:LPERR07G08480 transcript:LPERR07G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIFGRRKNAKSADKDFIGGTSPSMLDQVLETQEILYRIECIYKVYEGVQMNGGNLRPVESILVINVTRYHK >LPERR07G08490.1 pep chromosome:Lperr_V1.4:7:7817537:7818075:-1 gene:LPERR07G08490 transcript:LPERR07G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASSAAWKRWIRPEVYPLFLATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >LPERR07G08500.1 pep chromosome:Lperr_V1.4:7:7820566:7822337:-1 gene:LPERR07G08500 transcript:LPERR07G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVRPEVYPLFVTTGVAVGICVMQLVRNITTNPEVRVTKQNRAAGVLENFDEGRRYSQHGVRRFWLSKRRDYMQAMDNVPTDPSPK >LPERR07G08510.1 pep chromosome:Lperr_V1.4:7:7826297:7830734:1 gene:LPERR07G08510 transcript:LPERR07G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLVILRDIFYRLVFFLQLDTSISMEIIAFWLFLEANNGDTGFLERVDSFDDDYFQAVAFAGKNFVETLNPDLCDLGDRSIIRSPFQQEATEGIAFYLNNVCYMVLEDLHGNEEMEEFPHHICQANKGNMNDEVPLSTEDLLSKIKSFYASSQKNHGEGPSCRSAQYPRNRILQDIEVAIDEYASTSHLAPLLDDLSLREKHNDPVMQQQPSEVPQDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLRRVLDGNKRVKFMTRGKHLWARQFVPKKKKPKNDELNLSD >LPERR07G08520.1 pep chromosome:Lperr_V1.4:7:7837400:7837867:-1 gene:LPERR07G08520 transcript:LPERR07G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHKNHRTNIARKAEVRALAIAVEGTNAVALTAPAPVPPPPSPPYPPRRRIMDTVVGAMRSSPPVFVLASPASPLSSPKLRPPVHVTGERFTLAARRGARPFYGSWEEFAAATVATAAGPAMEAETASMTALLPETDGVWIVNEDTSSEESSPA >LPERR07G08530.1 pep chromosome:Lperr_V1.4:7:7855624:7858155:1 gene:LPERR07G08530 transcript:LPERR07G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVVHKSMLANYIRTCNIVTGITAVVSSEIMEEEQRRLPERAFDDLNYSVHDKITRMNDQAIPSCLADPIYLLPTAIRNLLYLDLSNCSDLVQVPSFFGSLHNLCALNLSCCHSLTVLPLSLGRLHNLHILLLSFCHKLQNLPISFGDLSSLRLLDLSGCCSLEMLPDSFVNLGNLEDLILSDLFNIGDDLSHCSIGDLKNLRGLRGHVHIRGLQNIAAGDDTKEANLVGKQLLEALTVEWCSSSDDMEADNDKEIANQVLRNLQPNTSLLELAIRNYPGNLFPTWIQDSSLGMLVSITIEDCQDCSEIPYLGDLPSLKFLFIQKLYAVESFGQTSNSLATEGKHVPGFPSLEILNLWEMYSLQFWNGTRYGDFPRLHRLSVSRCPKLSNLPPLISLVHLSFHCGNQLPALSELPSLKSLKIEGFHKLKSVQFWPIMPMFTEIGNQRLQRAVISRCYFFFSSTSENYQVPQISSWRLFVGNLSNVGSA >LPERR07G08540.1 pep chromosome:Lperr_V1.4:7:7869753:7871093:-1 gene:LPERR07G08540 transcript:LPERR07G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLVKTMIDDGWNWRKSSQKDILGSKYQRSYFRCSQMHSEGCKARKIVESNNDDLNIWLVTYINEHSHQKGAGPCDEQSPSDLHVQLATTRKRKEFDASNDEITSKKQFSEEQT >LPERR07G08550.1 pep chromosome:Lperr_V1.4:7:7871803:7876296:-1 gene:LPERR07G08550 transcript:LPERR07G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWISLKSARAGNTSGGYRAEGEQQERAGEVADDRERASPHTSGSGRLKLAPDQLSDPDQQDSAVGIEPAQQAAAMAVEKEHLFDKVLTPSDVGKLNRLVIPKHHAEKFFPLDAAAVAERGVVLSFEDPAGKEWRFRYSYWNSSQSYVMTKGWSRFVRQNSLDAGDTVSFQRGASDATRDRLFIHSIPSPTHRHRLMPGLRLPRMLTVLVPPSRHSLLKSSEMYPKHPPAVPDDPPSWPSSVTPRSPINHLFHLLVRVRAVVSSFGQGGPPRPNHAMLAKSNDFTYQAQYCLQDLHYYSLLALMGSKNQSRFPCGVEEKIRDTIPTLDAVIQSLSKQSSAPVRQSNVVAVEGTHFILLCRGVLGIMGILCSDNINQEADRFSAFDDHNYSVHDKITKRNEQTMPSSLSDPIYLLPTAIRNLLYLDLSNCSHLVQLPPSLGLLHNLSALNLSSCHSLHALPDSLGYMYNLQILLLSFCHKLHSLPASLGYLVYLRLLDLSGCCSLEILPDSSVNLCNLENLNLSDCIRLKDVPQPFGNLRKLEYLNLSGCHRVDINVDCLRTLVNLKCLTLSDHTNITDLPYSFPELTRHLDLSRWWKNNWVHTQCNLKSYRCHQQSIINRILSVCSGEGDITSEQCLTSICIVGESGIGKTELLHEIYNDEKVLEGFHRRIWINMHDKKKLLEITEFTTCAYFYDAPSSILEATVREELNGKRFLLVLDDVDIQNPCFWSDVWKLANVGAVGSTLIVTTRSKEVAKLFGAMQTYYLGSLSREECFMVFQKHAIGGDIDNHPQLKEIGWKIVERGGGNPLCVKALSGLLCHSETSLSEIDSLVSGILPALRLCYDLLPSRLKQCFKFCSLFPKDYVYVRHHAVQLWISQGFIYPEEESQPEDTGLKYFDELFCRSFFRHCPFSNDNEDKFVVHELFHDLACSVSKDECFSSKEPFCSLTENICHLSLLRSDSNSVVLTKELRHLQSLMVVRRSASEYSSLFEPPLKILGLNNLLMRCGFLRVLNLSCTTIVGLPSFVGNMKHLRFLALNNTKIKSLPAEIGQLDTLQTLELKDCCCLTELPESTNNLMKLRHLDVRKEPGNVHVGMPSGLGKLTDLQTLTVLNIGVSITIEDCQDCNEIPYLGDLPSLKYLFIQKMCTVESFGQRSNYLTTEVKHAPRFPSLEILNLWEMYSLQFWNETNKGDFPRLRHLCISRCPKLSNLPPLISLLYLSFYFGDQLPALSELPSLKSMKIEGFQKLKSVRFCTEMPMLHKLEISDCKELISIDAPFLSVSNLKVVRCPKLHFGGSSLEAVLCGKGFKGTPI >LPERR07G08560.1 pep chromosome:Lperr_V1.4:7:7878931:7885011:-1 gene:LPERR07G08560 transcript:LPERR07G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGADGDSLLPGFKFNPSDDELVTSYLLRRLQGNPLPLHSAILDADPLRSPPWKLLAEHGLGDEGFFFAEARAKNNKGKREKRTVEGGGFWQGQRMCGGGGVEGISWSKYLLSFFSEGEKGSSGWVMHEFAVTSPPELASSPVRLYRVRFSGHGKKRRREPESDQAATTAPKRTRAEDALLQELVPPLPALVDVGDGSDGADQGCSSVMDESSMVFGDLPELIDLSAEEAVAAGICSSLEEIQNKSLSGVVDGEAPALSMVAGGGADGDGLLPGFKFNPSDDNLVTSYLIRRLQGNPLPLHGVILDADLLNSPPWKLLAEHGLGDEGFFFAEVRSKNTKGKRQKRTVEGGGFWQGQRMCGGGCVEGISWNKYMLSFFAEGEKGSSGWVMHEFSITSPPELASSPVRLYRVRFSGHGENLNLTRLHQQRPSAQEQRMLCFRSSFLFQHWLIAMDLMAPIRAAPA >LPERR07G08570.1 pep chromosome:Lperr_V1.4:7:7887133:7888560:1 gene:LPERR07G08570 transcript:LPERR07G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLLPGFKFNPSDDDLVTSYLLPRLQGKPLPLHGVILDADPLSSPPWKLLADHGLGNEGFFFAEVRAKNGKGKCQKRTVVCGGFWQGQRMCDFRSKYMLNFFAEGEKGSSGWVMHEFVVTSPPELASSPVRLYRVRFSGHGKKPRREPQSDEAETTTPKRTRAEDSLLQELVPPLPALVDGDGSESSDSTDQGCSSVMDESSTVFGDLSELINLPAEEADVDDSASGTSSLDEIQNNSLSGVMDGEALALCDFVLPESMDELFSCIDFTAVPSLLEMDFSMDDLFDLPAD >LPERR07G08580.1 pep chromosome:Lperr_V1.4:7:7898971:7899817:1 gene:LPERR07G08580 transcript:LPERR07G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLLPGFKFNPSDDALVTSYLLPRLQGNPLPLHGVILDADPLTTPPWKLLADHGLGDEGFFFAEIRAKNTKGKRQKRTVEGGGFWQGQRMCRGGGVEGISWSKYMLSFFAEGEKGSTGWVMHNFTITSPPKLASSPVRLYRVRFSGHGKKRRREPQSDEAETTAPKRTRTEDALLQELHWLAPIRTAPALFGDLPELIDLSAEEADAAGSSLPLEEIQSNSLSGVVDGEAPALCDFEIPESLDELFECIDFSFLDTMNFSMDGLFDLPAD >LPERR07G08590.1 pep chromosome:Lperr_V1.4:7:7902492:7903874:1 gene:LPERR07G08590 transcript:LPERR07G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLERSPDAGAGRRRVVLFPLPYQGHLSPMFQLAALLRDRGGLAVTVLHTDFNAPDPARHPPDIAFVPIHESLAEEEQAAAAVDLHAHFLSLDAACVAPFRAAVASLREKAEDDGDVACVVLDSNWLAVLAAAAELGVPLLALRTVSAATSRNLLAFRRLCDDGYVVPVVNDERRDEPVPGLEPLRVGDLVRYDGWDVEKSCEFITKLSDAMRVPMSGVILNTFDAIEADELGKLQAELSVRAFAVGPLHKLSPETTTLRPPHRGCLAWLDAHPPRSVIYVSMGSVAKVSRKVFDEMAWGLSASGVPFLWVVRPGLVGGEDDATVVPQLPDGVDMSRGMVVPWAPQREVLAHPATGGFWTHCGWNSTLEGICEGVPMLAQPCFSDQTVNTRYVTHQWGVGMELVKVFDRVRVGEAVRELMVGEEGDRVRDNARRLRIQANQCVASTRAIDDLVEFVRSL >LPERR07G08600.1 pep chromosome:Lperr_V1.4:7:7931846:7932579:1 gene:LPERR07G08600 transcript:LPERR07G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIAMDGTKKLWHRKYRNLIGCLDIHLKTVVLEYYWGIWSQVHFAQFFVLNARLLESMRFVTNKRYFSWTKGLQEVLTSILPLIDVAIRMHILSMSKICHLLILLNVDVEISFVL >LPERR07G08610.1 pep chromosome:Lperr_V1.4:7:7933903:7934903:-1 gene:LPERR07G08610 transcript:LPERR07G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPASIFRFSCTIRVAVFIRLRLPYDMVQLLHLPQLKLLQFQRVSMSDSSLEIFLAGCPALEGLLLEDITGFHGLQINSSSIKSIGMRSISGKIAIVDAPSLEKFITLDGCKDLHVSVISAPRLEALGNFYDDGSFCTFEFGDTVIEVLDVDTLSTAVQSVKILAISSYSVKLYEIINLMRCFPCLGKFYVQWFRTGGNNVWCRKRRNFIKCFDIPLKTVVVANYHGVKSEINFAAFFLLNAKMLESLSLVINGGNYE >LPERR07G08620.1 pep chromosome:Lperr_V1.4:7:7938200:7939705:1 gene:LPERR07G08620 transcript:LPERR07G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPHLRVAIISQCCILDSTTQTLHFPKLKKLTLEVTISEESLHGMFALCPALENLLLSRRSGFRCVRINSLTLRSIAISSGELITDDAPSLERLLQLDLLSGLDITVISAPKLEILGCLSYCPRSSRIVFGTTVLELDNGGPQCQDLINLMETLSLDMVIDFLKLFPCLEKLYIQSFIRSHPIRLKTIVFTHYRGIKSHVYFAMFFVLNAPMLELMRFEVSRYHCSESFIAQQHALLQLDNRASRDAQFYFTNSICPHDLESIRHTRDLSIADPFECLNVDVEAINNADAFSSDTIAFA >LPERR07G08630.1 pep chromosome:Lperr_V1.4:7:7944532:7946546:-1 gene:LPERR07G08630 transcript:LPERR07G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHTLQAFFLFALVALLIPLSEASHNVFADLQSLDAIETTQVDDKLRTGFHFQPPKHWINDPNGVMQYKGAYHLFYQYNPKSAVWGNIVWAHAVSKDLVNWEILEPAIYPTASFDENGCWSGSATILPDGKPVIMYTGIDADGRQVQNVAYPKDLSDPYLREWVKPEYNPVIAPDAGVNATAFRDPTTAWRGPDGVWRLVIGTKDNHRGIAALYRSRDFVHWAPARRALHSGDTGMWECPDFYPVTDGNGNTRHVLKVSLDLTRYEYYTFGEYDHGSDTYAPDATLPDGDGGLRYDYGDFYASKTFLDTGKNRRVLWGWANESDSVADDVRKGWAGVQAIPRKLWLAPDGSQLMQWPVAEIESLRVGGSHVNVTDRMVHGNGGRGVNYFEVTGLATPAQADVEATFQVMDLDKAEVFDDAAWRGADAQTVCAARGADDKGGVGPFGLWVLASDELKERTAVFFRVFKRDDGKKHVVLMCNDPSRSSYGKGLYKPTFAGFVDVDIAANGKIPLRTLIDHSVVESFGGHGKTAILSRVYPTKAIGDKARLFVFNNGESDVKVTNLNAYDMGSAKIRTETETGSDYPAQSDTKTSQRNRKIDI >LPERR07G08640.1 pep chromosome:Lperr_V1.4:7:7954819:7956868:1 gene:LPERR07G08640 transcript:LPERR07G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAMLLMSCLPILMHRCILTWATIDASMHGEKTHRPAAVDTWLRSPALDGLQELEFWYNRSSMCRQELQPVPASAFRFSSRFRVAIISQCIIPDSTAQTIHFPQLKKLSLEQVTISEDSIDRVIAGCLVLESLLLNRYSGFDCIRINSPTLRSVAISSGKLVIEDAPSLQRLIHLDLSRGLRVTVISAPKLETLGRLSYQCTTIAFGSTILEGSRVDCLTTVVHSVKVLSIFIYTLSLDMVIDLMKLFPCLEKLYIETLDSGEKNLWRRKHQSFIRCHQIRLKTIVLAHYRGIKSHINFAMFFVLNAPMLELMRFEVDFGQCSKRFIAWQHKVLQLKDRASRDAQFYFTNDSCLHDLTNNRHTPDLSIADPFECRC >LPERR07G08650.1 pep chromosome:Lperr_V1.4:7:7964879:7966812:1 gene:LPERR07G08650 transcript:LPERR07G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKHRRICSLRIAAKPVEGEPCGPADLVPVAGMMAAAATRDPDPESSPPGSDRSCRGGGRGRSRRHGGLIVPVEGTGAAAATLEGTEMRQRPDPESSPPASDGKCGDEQHLRS >LPERR07G08660.1 pep chromosome:Lperr_V1.4:7:7968934:7970235:1 gene:LPERR07G08660 transcript:LPERR07G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLVLTLIILLSLPCTLIATNDPNQTPRPILVRISKDTSTSLYTIPIKTGSRLVLDLGGPLLSSTCLAAHVNIPCRSSVCAAEIQENRWNCSSPSSTNTNGAGRSSSSLCSCSAYPYNPLDGRCARGDVTTTPMLANATDGVNPLYPVAFPVHAACAPASLLGGSLASAGAVGVAGLSDSPLSLPSQVAASLGVARTFALCLPGGSGTGAAIFGGGPFRLFSVAYTGITSDLVSYFNITRNARNGRIYIDVVGIAVNQRGADVSPESLALDAVGGRGGVMISTVAPYTVLRPDIYRAVIDAVDAELAFIPRMPASPPFERCFDAWALGSTRVGPPLSNVDFTLRHGGNLTFLGANTMVQVNERTLCFAFVEMGPTTPVIDGSPAVIIGGYQLENQLLVFDLEKGIMGSSGLLFWIRTTCSNFDFSWGIPRN >LPERR07G08670.1 pep chromosome:Lperr_V1.4:7:7974128:7975704:-1 gene:LPERR07G08670 transcript:LPERR07G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPEPPAKRMKLQEGRSSGDGNEPPPPSSIGADLISLLPDDALCEIIVRLPPKDAARTQAISSRWRPLWRSAPLSLDFTTQDSIRPIHKEVTRILSTHPGPGRRFMVPFHLVPYNPDEQTVWDDWLRSPALRDLQELEFNGYFLDPPPPSALLRLSATLRVAVFGWCRLPNDVVGSLLFPHLEQLTLRRVTVSEGTLHGILAGCPVLKGLLLCDMMGCRRVLINSPTIRDVGVRLWWPWLEELVIEDAPCLETLSVVHKSSVLCQRISIVRAPKLETLGCLSQRVSRVEICTTVFQELHATSMKTVMRSVKNLALDVGNLSLDMVINFMRCFPCVEKLYIKVTTLPPIPSQFTSGIGNNNVWRRKKSGRIECPELHLKSLVLTGYRGNKSHVDFAMFFVLNGRVLETMTVEYKKQANNCDKWVQKQKMRLKLDNRVSQSAQFHFTLAADDNLFGCTCRDDISAVLSY >LPERR07G08690.1 pep chromosome:Lperr_V1.4:7:7986069:7987151:1 gene:LPERR07G08690 transcript:LPERR07G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSGKSSFSWPKLLLHTRRSAAKHASANHQTLAVDPSNLLLGRKIASGVHSSVYHGRYRDQSVAVKTIRTPADDDGDGDVLRGEIEAQFNAEVSLLSRLSHPNVVRLVGTCRKPPVYCVVTEFMPGGTLSAYLHQRRQRQPRSLPPETIVRLALDVARGMAYLHSRGVVHRDLKPDNLLLDGEGRARVADLGTSCLESTCRGDKCSNSNMGTYRWMAPEMFRDRGYSRKVDVYSFGIVLWELTTCFLPFHKLYPVQVAYAVSNENARPPLSTSCPPAINTLIERCWSFKPAARPEFSRIVSELENFDRCLREGLPLVPLPAPSPKSPLTLLLGAFKIRSCKSTTLSSMAYRNFHAYNI >LPERR07G08700.1 pep chromosome:Lperr_V1.4:7:7994545:7994887:1 gene:LPERR07G08700 transcript:LPERR07G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGPVANIDDSAALAAAASCCSHCRTRATSAPSCRTPPRLWPRRHRPPHRPQRVRPGIPLTGHRLRPVVPIHESIAEEEDEGVEPDTVSCFFTLEAACEAPFRGPGITT >LPERR07G08710.1 pep chromosome:Lperr_V1.4:7:7994909:7995284:1 gene:LPERR07G08710 transcript:LPERR07G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARRALALRARRGVPVLALLTVSAATSRNILAFPGLRDGGYFPMRDRWHQNLPHERCNETVPGLEPLQMLDENKMCIFMAQLADTMRSPMFGVIINTFDAIEAARLS >LPERR07G08720.1 pep chromosome:Lperr_V1.4:7:7996368:7996721:1 gene:LPERR07G08720 transcript:LPERR07G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEPAADVGTSRRRVVLFPLPYQGHLSPIFQLTALLRDRGLAVSILHTNLNAPDPARHPPDIAFVPIHESLAEKEEEGAELDLVSRFLVIDAACEAPFRTALASLREKAEDEKDY >LPERR07G08730.1 pep chromosome:Lperr_V1.4:7:8001796:8006455:-1 gene:LPERR07G08730 transcript:LPERR07G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKESVMRMGKQEEQKVSPAAAIPAAAAAAVTVYPAGTKEQDKAASVTTPSAATNAHHAPPPPPPSPASALVASGGRVAEKEMENAGGGSSGGGVAAAAAAVAGQRSPLAAAVSELSDHVLEGMMKVLGKKCMPPQDVYPLLGKSPTHPPWWPTENEAWWPQLGADAVAPVHKPTRLLSKAEKEAAVVAMVKIIAPDFETVFKALRKARSVSGAMTDTEASAWHAGVSAECDAYVPPPRQVSLWPEASRARKRKAPAPKTRTPVAQAYAEIRPTIVLALVAPGVTSAVDIAPAPARVVNLSAVVEIEDMNDKSKTPALALAIENPVVWRVYNRIAGKENSEKGNGSCVAGESAICHNAGAEMTGNPEAGESSMNPGTVMQPNAEMSINLETGGSSSKPCILLQPNAGLKTEDQVEAETNSKTESGSEYEEAKASEIISNQDSRAMLQSNKAETAKSRYLLVFNNFGR >LPERR07G08740.1 pep chromosome:Lperr_V1.4:7:8045255:8045542:-1 gene:LPERR07G08740 transcript:LPERR07G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSDNDLDSGNSVAYREGEERQVAFLNESIQFDDHEHSISGSFSPPHRIFMAEVTEVPLTTEQLAQRAADIKRQACEIEQPQRQLEEARAEDE >LPERR07G08750.1 pep chromosome:Lperr_V1.4:7:8054785:8056164:1 gene:LPERR07G08750 transcript:LPERR07G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEPAADVGTSRRRVVLFPLPYQGHLSPMFQLAALLRDRGLAVTVLHTDLNAPDPARHPPDIAFVPIHESLAEEEEGTELDLVSRFLVIDAACEAPFRTALASLREKAEDDVACVVLDAHWLSALAAMPQLGVPVLALRTVSAATSRNILAFPGLFVHADERRDETVPGLEPLRVRDLIRQDWLDDSKKCSFMAQLADAMRSPMCGVILNTFDVIEAQELEKLQDELSVRAFAVGPLHKLSPPPPSAAEEDTFLHAPHRGCLVWLDAQPPQSVLYVSLGSMASVGRAVFDEMAWGLAASGVPFLWVVRPGSINGAAGELDAAAMPPLPDGVDMSRGMVVPWAPQREVLAHPATGGFWTHCGWNSTLESICEGVPMLAQPCFGDQLVNSRYVTHQWGVGMELGEVFDRVRVAEAVRELMVGEEGSRVRDSAGRLKIRVNQFLAATTAIDDLVKYIRSL >LPERR07G08760.1 pep chromosome:Lperr_V1.4:7:8067219:8069216:-1 gene:LPERR07G08760 transcript:LPERR07G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAPLMRRIAEVKQSGLTDIWVARHFLMYRLNPLKDQVHPTFEYTGHHDPTRESEVDLPEEEVGNRLRALFADGVDIPTKKNKPRCRSFHIYRPPPREYYQLDSRPPSVADIARPDQAPMPKGPAIDFFNELSNDEEEEAVEAATVATTVHADSLQGRKRKLIIANNSDNEAANQSARPPRLSSPSPPPAPKARPFSPRPANRGRLKVSMVKPNTSFTGKDDDTLPQPPTASAVEEPTAVPTGSQLELAEGEMPSTTLPPPPQATAVDICTVAAHVATSSIIPPVNTTPPTTASTGPTTAAPSPVLALTTTVDVPSTNKGKQVQSSTNAIEPSAGSDSERTASDEIIGRRYGPDLDQASILDRIEDQKCMTRLIQLMAESSDLVLKVIKNSSAKDSLLERIAPLAERADRAQDELVIQRNEVAGFRNIHSDFKEKLRDFLGHDLAIFEAKKQAEEHVLKLQAELTQLKGENKELIKAKDLAEKKLTHAINLN >LPERR07G08770.1 pep chromosome:Lperr_V1.4:7:8072619:8073927:1 gene:LPERR07G08770 transcript:LPERR07G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQAADVGAGRRRIVLFALPYQGHLGPMFLLAALLLDRGLAVTVLHTDFNAPDPACHPPDITFIPIHKSAASSCSRPPARRRSTRPWCRCGKTGRTSHAWCLMRSVSATASRNILAFPGIRNTGYFPMRDERRDETAPGLEPLRVRDLIREEWLDENRMCIFMAQPADAMRSPMSGVIINTFDAIEAAELDKLQAELSLLAFAVGPLHKLSTATAAAKDAFTLRAPHRGCLVWLHAQPPWSVLYVSLGSMAMVTRAMFDEIAWGLSASSVPFLWVVRPGSINGAAGEVDTAAVQPLPDGVDMSRGMVVPWAPRREVLAHPATGGFGTHCGRNSTLESICEGVPMLAQPCFGDQMVNVRYVTHQWAVGMELSEVFDHARVAEAVREL >LPERR07G08790.1 pep chromosome:Lperr_V1.4:7:8093762:8096932:-1 gene:LPERR07G08790 transcript:LPERR07G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKLQHGTRVMVVQAMAIEGGSQRGCLWKAARVCIEAWRVAPLIGRMREKCLEDDKRGGGEMTGNPEADESSMNPGTVMQPNAEMSINLETGGSNSKPSIILQPNAGLKTEDQDEAERKTKTESGSEYEEAKASEIKSNQDSHAMLQPNKGTN >LPERR07G08800.1 pep chromosome:Lperr_V1.4:7:8127602:8129457:1 gene:LPERR07G08800 transcript:LPERR07G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADEGRAKRRNREGDGSQEPMGATEREGTDHISFLPDEALREIVARSPPRTPPARRSSPNDDVSCILSTHPRPARCFAVPNLDQRFYDLNGGDTFDRCSPQPLGARGSCKPLPPSAFNFFSTLRVAIFAWCRISDDAAAALWFPHLEELTLDEVNVSEGTLHSMLAGCPVVDCLLLYDASGCRRVSISSLTIRAIGMSATPPKLETLGCLAQHITLEIRTTIFQVAAILLIPKFRRLSSSFALPSSYVLCFIQSMSFDSSTTVSHRVKVFALDINNLN >LPERR07G08810.1 pep chromosome:Lperr_V1.4:7:8129916:8131325:1 gene:LPERR07G08810 transcript:LPERR07G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGRPRAVGSGGGGPGRHGSGLPNPSRRRCSPPLTLPSHAAATSPLALSLVRRERQRHRRLEAATTVAAGRDLRPAKTVHDGGEDEISSAQPESAKLNNREPTYCARGRVLDDLTYNSTFCYSIGRA >LPERR07G08820.1 pep chromosome:Lperr_V1.4:7:8135805:8136860:-1 gene:LPERR07G08820 transcript:LPERR07G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPFRARRLAVSPLPQEIAAMKKHSGKKSSSKSGEQPWTAVRSKLQLGRKIASGCNSRVFRGIYAGQEVAVKLMRAAAEGDTDVEAHFDAEVTLLSRLGHHPNVVRLVAACRERPVYCVITELMPRGTLTAYLREARSLPPEAVVRLALDVARGMAYLHSRGVVHRDLKPDNLLLDGEGRAKLADLGTSCLESTCRGDKCSNSNMGTYRWMAPEMFRDRGCSRKVDVYSFGLVLWELTTCVVPFHDLAPVQVAYAVSNENARPPLSPSCPQAINRLIERCWSVKPATRPEFSHIVSELESYDRCLREGLPLVAPPTPSPSPLASLLGAFKIRSCKSAEIFSVANLRVHP >LPERR07G08830.1 pep chromosome:Lperr_V1.4:7:8141888:8142696:-1 gene:LPERR07G08830 transcript:LPERR07G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVITAPKLETLGSLDCTSTFEIGTTVFQELHFDSLTTVVRTVKVFAIDDGDLSLDMIINFMRCFPCLEKLYIKSFGPSFGAGSKNLWCHKLLDPVECLDLHLKKVVLSGYDGYESHIDFITFFVLNGRVLELMMLEFLLEPNRNEKWIKRQKTCLKLENKVSRDAQFNFTCAPYRKYFGSTSRAHEMLKADPFCT >LPERR07G08840.1 pep chromosome:Lperr_V1.4:7:8150640:8153668:-1 gene:LPERR07G08840 transcript:LPERR07G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDTSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLDSRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAARQGHVAHGGMLPRAVISQQQSGDQPQPPGMESQQQAASASYYFNIPAPPAAERALYPSMDPQRMGALVKSQEGDSKPGQQQAGQAQASSSSGQSYPVPPPYYHGQYPPYYPPYGGYMPPPRMPYPQPPQYPPYQPMLAPPAQSQASSSQQPAAGTQQQAQVPQQQTTQN >LPERR07G08850.1 pep chromosome:Lperr_V1.4:7:8157250:8161068:-1 gene:LPERR07G08850 transcript:LPERR07G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEANGHLDFFQHIAVFDDNQFQAAAFAAKSFVELLPLENGNPVDIVLPNTPFQEQVIQGISLFLHNFCYNALADLQGNAELKDNIHGIRQSYQDHLKNEYLARVTTIANVVQGTLQKLKDQGTDSPTIQSPAYKILQCIREAIHRPEPTMRLAEILQTLNISRDRKVAAYDAEEERVEDSTSKNYGDVEDISLKEHVGPSSSRQITHVAFITFFTEETLIRVLDGNKRVKLIINGKNLWAQKYVPKGKNKV >LPERR07G08860.1 pep chromosome:Lperr_V1.4:7:8168138:8168527:-1 gene:LPERR07G08860 transcript:LPERR07G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRTALPLVIVVLLAAVLVAAASSEELEPSILIPVADTPLGSFEGADGPVADDAMDDEDAAPVGSPIGTTMTEPESERLSNAPPGSIEADGADTSGVAAAASTNQLIAAAAAVSAVAITAAGVLGF >LPERR07G08870.1 pep chromosome:Lperr_V1.4:7:8174993:8176425:-1 gene:LPERR07G08870 transcript:LPERR07G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADAALAMAINGNGSGAAECVQSPPGMTVATPSVPSAVQIEAARRWQEMENTAVRLVNHLVTCAGAIQAGDYAAAAGSLSDAREILTKIPISVGIGRVATLFADALSERLFPAFPNSPLPLPMPRAEQRELFRVFFEAGPHLKFAHFTANQAILKAFEGCGAVHVIDFTDMDGVQWPALIQDLAIRPGGPPFLRLTGIGPLADSDRDELRDVGIQLVEFARSCNVPFTFRGIATDQIDCLRPWMFRIVPGETIAVNAVLQLHRLLIDQDAAVVASSPAPIEAEANHNKLSLQERVTDSLFYYVAMFDSIEATNRHTGGDGAGNPLAEAFLQMEIADIVCHEGISRVECHEPMARWMESMQRAGLTLIPHGRKELCLVAMHVREFSGAGFGVQENDGFLTLTWQNQKLYTASAWHCAVTGPRVVTGGAIAMDPEERKNNSKDGSSGGSGQGFFAGNV >LPERR07G08880.1 pep chromosome:Lperr_V1.4:7:8192022:8192261:1 gene:LPERR07G08880 transcript:LPERR07G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLERDVRRHKREQKEAYRKRKERANHPSRPTSRGGQPTLPPKRAPSALDPPTQKVWREKKGTPAPPPSESGGKSKQ >LPERR07G08890.1 pep chromosome:Lperr_V1.4:7:8226506:8238538:1 gene:LPERR07G08890 transcript:LPERR07G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCWGKKDHHHREAVAAVERVVFALNGERYEVAAGEVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILVAKYNPNTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKVGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLINADKSKKPEPPKGFSKLSVTEAESESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEFGAATSISKTIEILNQESESMSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILANKYSFRSDIATILIGAAATVRLQVSSKTLHVTLEKFLEQPLLDHSTLLLSIFIPHWASDYQRGSGFIFETYRAAPRPLGNAVSYVNSAFLGHISLDKSSGDNVLTNLRLAFGAYGTEHAIRATKVEEHLTGKKLTASVVLEAVRLLRETIVPMEGTTHPEYRVSVAVGFLFSFLSPLCKGMTEPVKTLNISEDLVDTDDVRNMPLSSRQETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKDSLASKKVITLVGAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYSTDGLKPPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFSSVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIIVGGRHPMKVRYSVGFKSDGKITALHLDLLINAGISADASPVIPGTTISGLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVASVLSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFNKLASTSSYLQRAESVKKFNGSNMWRKRGISSIPLIFKAEPRPAPGRVSVLNDGSIVVEVGGIELGQGLWTKVQQMTAFALGQLWPKGCEDLLDRIRVLQSDTLNLIQGGLTAGSTSSESSCAATLQACNMLIDRLKPVMDKLQLQSGIVSWDTLISQASQENVNLSASAYWVPDQDSNFYLNYGAGTSEIEIDLLTGAITILRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEILNTGYHKHRVLSSKASGEPAVVLAASVHCALREAIRAARIEFASSSESRSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSSRGVATASSS >LPERR07G08900.1 pep chromosome:Lperr_V1.4:7:8241416:8242244:-1 gene:LPERR07G08900 transcript:LPERR07G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKHNEEFLKMKTELDEARKVNAVFCQAAEPILDNLHAATAEINTSSFETLDLTPITSRYADGTTTEKALELLDEVDGMAQTMAKDALYPEEKNDDG >LPERR07G08910.1 pep chromosome:Lperr_V1.4:7:8248901:8250892:-1 gene:LPERR07G08910 transcript:LPERR07G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLCTIIFLVFNLAAFTSNGQLVYHGFSGVNLTLDGNAMVTADGFLELTNDTINLGHAFYPTPQNFRKLPNSTVQSFSVTFVFAILSVHDDISADGMAFFVAPSKNLSNTWAQYIGLLNSRNDGNTSNHMFAVELDTTQNDEFKDIDNNHVGININSLTSLQAHHTGYYDDKSGFFNNLTLISGKAMQVWADYDGESAEISVTLAPLGEPKPVRSLLSSSYNLSDVLREQSYIGFSATTGAISTRHCVLGWSFAMNGPAPAIDISRLPKLPRLGPKPRSKTLDITLPIATGIFVLTVGTIVVLLVRRRLRYMELREDWEIDFGPHRFSFKDLYRATQGFNKKNLLGTGGFGIVYKGVLRKSKVKVAVKRVSHESTQGLKEFIAEVVSIGRLRHRNLVPLLGYCRRHGELLLVYDYMSNGSLNKYLYPEDGKPSLNWAERFRIIKGVAFGLLYLHEKWEKVVIHRDIKPGNILLDSEMNARLGDFGLSRLYDHGTDPQTTHVVGTMGYLAPELVRTGRASTLTDVFAFGIFLLEVICGQKPVKKDSQGNLQHGLFDLVLEHFHNRSLIQTVDSRLQGDCNIEEACLALKLGLLCSHPFTNARPSMQLVMKYLEGDTPLPEVPSTHFGFTMQALMQSKGFESPTLSFPHLTSIATFSDLSGGR >LPERR07G08920.1 pep chromosome:Lperr_V1.4:7:8251055:8258713:-1 gene:LPERR07G08920 transcript:LPERR07G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSNMFVLLQLLLYLSLSLTAFSASDDTQFAYSGFSGANLTMDGATITSGGLLELTNGTVQQKGHAFYPVPLRFVRSPNGSVISFSTSFLFAILSVYTDLSAHGMAFIIAPSMNFSTALPGQFLGLTNIQNNGNESNHFFAVELDTIQNKEFGDLNANHAGANINGLRSDQSYYAGYYNKDGVWVDYDSNDAQITVAMAPLKVTRPMRPLFTARYNLTSVITDVAYVGFSSATGTINVQHCVLGWSFAMNGPAPAINLSKLPKLPRIGPKPRSKVLDIVLPVATAGFVLTVGIIGLVLIRRHMRYAELHEDWEVEFGPHRFSYKDLYHAMEGFKNKNLLGVGGFGRVYKGVLPISKLEIAVKRVSHESRQGIKEFVAEIVSIGRLQHHNLVQLLGYCRRRGELFLVYEYMPNGSVDKYIHDTNGKTILTWAQRWHIIKGIASCLVYLHEEWEKVVIHRDIKASNVLLDVDMKGRLGDFGLARLYDHDVDPQTTHVVGTIGYLAPELGHTSKATTLTDVFAFGIFILEVTCGQRPINQSSLDSQTMLVDWVLEQWNNGSLVSTVDRRLEGNCNVSEACLAIKLGLLCSHPFANARPSMRQVMHYLDGSIPLPEMTPTDLSLHMMTIMQNEGFDEYIMTSSSMASIGTASAISGGRAG >LPERR07G08930.1 pep chromosome:Lperr_V1.4:7:8264079:8273012:-1 gene:LPERR07G08930 transcript:LPERR07G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPSASASPDVGGASTSRPVPTARSTPLQVIHILGNFARIWSVYSLYDYLSNGGDSVVGFIFSCLVPASVIFLALQKPWKGRPLPNSQVAPTVINGGILALYFVLWGKGLLSCGPLIALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLANGWATRTYSPLYSFGSESLDKGTKVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAISIASATCFLFPFAMWDTILGSASDSIIKLQFPSWPYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVFEMLYKMDFSLFGFLLCSVILGFGIFEATSLERSKRSPLEAHELSNGSFHKQLPISALPS >LPERR07G08940.1 pep chromosome:Lperr_V1.4:7:8282181:8285283:1 gene:LPERR07G08940 transcript:LPERR07G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYIPFENAASFRNLQLIDGSGKGSKSDTQTKNMLQVQGSLRIHTFSSAAIVHAVETSDDDSDHPSHFAVVPHNSKKASRGKQVKKGIKKLLSSLRQKHHISNNEAVDIRGGAQSDMETFVSAKSSELRSFCTDDESELQSFRLSPLIFPTGGIEFHPPASPLKIIKKLPFGYVIGRQVDAPATTTSMKLSLSFKNLVPKLVKSKSKMVKNRVFRALKERFGRSRKQGSDGDGRVGEGKEFSDDGDGDGDDEDVFWRKDVRGLRCRRVDDNDLPY >LPERR07G08950.1 pep chromosome:Lperr_V1.4:7:8290176:8291754:-1 gene:LPERR07G08950 transcript:LPERR07G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAKKWRVDRSEGEETPDSAGLDLISGLPDAILGEIVSLLPTRDGARTQAVSRRWRPLWRSAPLNFAIDSRLSDQDRKRLIAFASTILADHPGPARRFSLCDFTLRHRYGKVDGWLRSDALSDLQELEFSYYIEPRVQWYPLPPSALRFAPTLRVVSLSSCHFPNEIAPSLRFPRLKQLTLYEVTISEDAFHGILSHCSALESLLLEGNSGISSLRLSSPTLRSFGFSSSSWNGYNATKLQEVVIEDAPCLERLLPLRPNSGPPTIRIIMAPKLEILGFLSNAISLLCLGKTKFQAIVLNIPWFLFQNMIAVNLTTTMRTVKSHQDKIMNSQKYDPLHPIECMELHLKTVVVRNYGGKWPDVNFAKFFVLNAKVLREMKFFARNICNEKWLANQHRRLQLKNKASQGARFIFKTAWGCDFILSHQAHDLSQSDPFGSSLCC >LPERR07G08960.1 pep chromosome:Lperr_V1.4:7:8301259:8301465:1 gene:LPERR07G08960 transcript:LPERR07G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTSNKGWHDEWFYYPDTEKSLGEYSSEYPIPCDSWNAKFSKSELQEIEPLMKKIANLKKDGLTGI >LPERR07G08970.1 pep chromosome:Lperr_V1.4:7:8316766:8318523:-1 gene:LPERR07G08970 transcript:LPERR07G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMLRRRRNSDDGPSPDLHRVGGELIDDEAMMANRISRLPKEILGTIVSLLSTKDAACTQAISHHWRPIWGSAPLNLDMNALSVNERKRINIAGSILAAHKGPVQNLVLVSNYLERCNTTFGNWLNNLPVLNNLSHLDFQFGTSSTTTIYQENSMTYSLVLVALRFSQTLQLVSLSNCCFHDDMMSRPLYFPKLRKLRLHSITASSDVLSAVISACPALESLMFNYTKGMRHLHVRSASLRSISIGTTNGLKKEVGFQEIDMEDAPLLERVIPTVLDDGPAIIRVISAPRLKVLGILPNSISIIEIGTAVIHEMPSASMAMLVPTVRILVLQSDGPNLAAVVNLLKCFPFLEKMYIKQSLNTTVKNDLRNCVPGPVQCLEHHLKSIVLQRYQAKTHVVNFANFFILNAKVLEVMKFGVQNTTRDNEKWMNDQHRRLQINNKACQDARFEFDSQYWCGYLDSSRIDDFSVSDPFDL >LPERR07G08980.1 pep chromosome:Lperr_V1.4:7:8342363:8343459:-1 gene:LPERR07G08980 transcript:LPERR07G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRPSILSREISSWCARGHYRRHGAIHIKVWGFSCCLPVPGTIHIYGNYLRTLENFGFSTGDCFRPGGAMLQEVVIEDAPCLERLLPLYGPATIRVINATLELSDGISELHLGTTIFQKMTAINLTTSVRTVKILVLDSDGPDLGAVVDFLKCFPCLERLYVASHPYKAIKNTGRYDPLHPIECVELHLKKVVVRNYGGKRQDVDFAKFFVLNAKVLREMEFGSSSNRNQKWLASQHKRLQLEKKASQDAQFTFKTTFRSDFTMNKPLVDNSVCCNNAKTWQEDQNSCNTYQ >LPERR07G08990.1 pep chromosome:Lperr_V1.4:7:8357044:8358345:-1 gene:LPERR07G08990 transcript:LPERR07G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLVLAALRFSQTLQVVSLSSCCFHDDLMSRPLYFPKLRKLRLHSITASGDVLGAVISACPALESLMFNYTIRMRHLRVRSASLRSICIGTTHGLKKEVVFQEIVIEDAPLLERVMPTVLDDGPAIIRVISAPRLKVLGILPNSISRIEIGTAVIQEMPSVSMAMLVPTVKILVLQSDGPNLAAVVNLLKCFPFLEKMYIKQSLNTTVKNDLRNYLPGPVQCLEHHLKSIVLQRYQAKTHVVNFAKFFILNAKVLEVMKFGVQNTTRDNEKWRNDQHRRLQINNKASPDARLEFDNKYWCGYLNSTRIDDFSISDPFDLSLD >LPERR07G09000.1 pep chromosome:Lperr_V1.4:7:8364980:8365700:1 gene:LPERR07G09000 transcript:LPERR07G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFDLVASFDTMLLYQALDVVMELCLQYGLNGMTPHPLPFSVLTFLILHVTSFGFCTLRNHEQLEGIILANLEELMLMVISNSEDTFHAMVMACPKLKSLLLIDNDAFRRVCVKSQSLVYHVQFEAKPSTLKILAFWVDEAGLGVAVYILS >LPERR07G09010.1 pep chromosome:Lperr_V1.4:7:8374950:8375416:-1 gene:LPERR07G09010 transcript:LPERR07G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSSMRSVKILALTESCPSLVSVINILKCFPCVENLYIEKTKQHAVVLDLDRIECLDHHLKKIMLKGYRGNKIELEFAKFFVLNAKVLEVIMFRAHRNEWRINDGSYL >LPERR07G09020.1 pep chromosome:Lperr_V1.4:7:8375706:8375971:-1 gene:LPERR07G09020 transcript:LPERR07G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELMSHDPDIEFYNEFWNRCLADFDAGCRRMLPMSVLRFAPSLRVLAIGSCNFPVEADVASLRLLDECSGFSRVRITSPTL >LPERR07G09030.1 pep chromosome:Lperr_V1.4:7:8386835:8388684:-1 gene:LPERR07G09030 transcript:LPERR07G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMHRIPRRRRNLDDALGLDLLRVGGEPMDGEASRTDRISRLPEEILGTIVSLLSTKDAACTQAISRHWHPIWGFAPLNLDMNAISIYERKRINIAGHILVAYKGPVQNLVLVSNRLERLPVLNNLSHLNFQFGTSSSTTIDQENSMTYSLVLTALRFSQTLQVLSFCSCCFRDDMMSQQLYFPKLRKLKLYSITASGDVLSAVISACPALEILVFNCTIGLRHLRVSSASLRSIRIGTTHGLKKEVVFQEIVIEDAPLLERLMPTVLNDGPAIIRVISAPRLKEMPSVSMAMSVSTVKILILLSDGPNLAAVVNLLKCFPYLEKIYVRVSLKIAVKNELRNFLPRPVQCLEHHLKSIVLKRYQAKTPVVNFAKFFILNAKVLEVMKFGVQDITHDNEKWMNNQHRRLQLDNKASQDARFEFDSKYWCDYYGPTRIDDFSISDPFDLSLD >LPERR07G09040.1 pep chromosome:Lperr_V1.4:7:8394280:8395786:1 gene:LPERR07G09040 transcript:LPERR07G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYLGVGIPSLQLGAALFCSLRAVRLAAALRSVKTLALGMVDPQEKPVVDFLRE >LPERR07G09050.1 pep chromosome:Lperr_V1.4:7:8400927:8414291:1 gene:LPERR07G09050 transcript:LPERR07G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLPAPGCLQKVGHIVNAERFMLNMSNCGIHNLLSFRCFASDGRGFGPGSTNKRKFKSKKRPKDVPQEPSKVISGGSENRDQWAPELGIGRESKSAKTVVDKQFLEKVEAVRRSALEKKKADENKNYLAIDYDTPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESTVVKKLSEEEKEKFKTALEGFEATLRTSPNDPTALEGAAVSLVELGEYQKASDFLEKLVKVIPEKAEAYRLLGEVKFELKDYDGSSSSYRKSLFASDNIDFDVLRGLTNSLLAAKKPDQAVDVILSCREKLNEKSQTQIANLAAAKDDDAPKAQDIDLIQVDLLLGKAYSDWGHIGDAVAVYENLIAEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPDAAKALVDRYAQR >LPERR07G09060.1 pep chromosome:Lperr_V1.4:7:8426432:8426743:1 gene:LPERR07G09060 transcript:LPERR07G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVALAVLLAVAKVALLPAPAMGDVCKMSNNQLMTCQPAAAATSNPTPSPSADCCSALSKADLKCLCSYKNSSWLSLYNIDPNRAMQLPAKCGLTMPANC >LPERR07G09070.1 pep chromosome:Lperr_V1.4:7:8458991:8468483:1 gene:LPERR07G09070 transcript:LPERR07G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTPGRTPSAGEAVAAAAASSWRGCLPFTVFGATPRGLAGGEPAPAAMSTVDDAGIARVDGVEQWQAKEMVAAATAACSHRGVLLTWDDLTVTAPVAGGGRAVILDGVSGQARPGEVLAIMGPSGCGKTTLLDALAGRLSPNMKMKGDILINGRREKLAFGTSAYVTQENMLMATLTVTEAVRYSAQLQLPESMPVADKRARADRAIRQMGLAAVADVRIGGRVCKGISGGQRKRVSICVELLSSPALLFLDEPTSGLDSAASYHVMSRIAGLARSDGMTVVAAVHQPSSEVFDLFHGLCLLAGGRTVYFGPASDAIEFFHANGFPCPLRMNPSDHFLRMINKDFEESDEEESTVKSPCAGEVIQTLIGSFQSRGSLTNVKEACATIDQGGSSIPRRQATFLTKTFVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFLNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIYRKERLSGHYGAAEFVISNTISSIPYLALISIVPGAIAYYLTGLQRGVDHFIYFAAVLWACTMLVEGLMMIIAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPIWKYPTYFISYHKYATQGLYKNEFLGMVFEDVGGGGLTIGGEYILRNYLQVELSYSKWVDLAILVAMIIIYRMLFLVILKISEIVKPRMFHLLCASNV >LPERR07G09070.2 pep chromosome:Lperr_V1.4:7:8458991:8468483:1 gene:LPERR07G09070 transcript:LPERR07G09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTPGRTPSAGEAVAAAAASSWRGCLPFTVFGATPRGLAGGEPAPAAMSTVDDAGIARVDGVEQWQAKEMVAAATAACSHRGVLLTWDDLTVTAPVAGGGRAVILDGVSGQARPGEVLAIMGPSGCGKTTLLDALAGRLSPNMKMKGDILINGRREKLAFGTSAYVTQENMLMATLTVTEAVRYSAQLQLPESMPVADKRARADRAIRQMGLAAVADVRIGGRVCKGISGGQRKRVSICVELLSSPALLFLDEPTSGLDSAASYHVMSRIAGLARSDGMTVVAAVHQPSSEVFDLFHGLCLLAGGRTVYFGPASDAIEFFHANGFPCPLRMNPSDHFLRMINKDFEGGSSIPRRQATFLTKTFVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFLNVGSNFASIQIYRKERLSGHYGAAEFVISNTISSIPYLALISIVPGAIAYYLTGLQRGVDHFIYFAAVLWACTMLVEGLMMIIAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPIWKYPTYFISYHKYATQGLYKNEFLGMVFEDVGGGGLTIGGEYILRNYLQVELSYSKWVDLAILVAMIIIYRMLFLVILKISEIVKPRMFHLLCASNV >LPERR07G09080.1 pep chromosome:Lperr_V1.4:7:8497761:8498093:1 gene:LPERR07G09080 transcript:LPERR07G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGQATTTTTAAVLAVALMLAASAGLAHGICNLSDEGLQACKPAAAVRNPVDTPSSKCCAALRAADLPCLCGYKGSASARLWGRLYGIDLNRAMTLPGKCGLTLPARC >LPERR07G09090.1 pep chromosome:Lperr_V1.4:7:8521850:8522179:1 gene:LPERR07G09090 transcript:LPERR07G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQPLSIPALLLLLVLVVSLAAAPGAHAICNMSNGEFKLCQPAAAVSDPTDSPSADCCAALGKADLACICRYKGVAGFWMRIYHIDAGRAMALPGKCGLTMPANCS >LPERR07G09100.1 pep chromosome:Lperr_V1.4:7:8530432:8541469:1 gene:LPERR07G09100 transcript:LPERR07G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHFQFPWPPNNPNPNSSSTSPTNPSPPIPNPFLPIQAGLTSFLSSLPLPRPAFPTPPWARISSSASASASAASALPVAEIEERLAGVPVYALANSSQEFVLVSSSRDGGKSARGAVPPPALGLLCFRKEDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGDVNVGFQGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATGAKQSNGS >LPERR07G09110.1 pep chromosome:Lperr_V1.4:7:8540859:8541752:-1 gene:LPERR07G09110 transcript:LPERR07G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSLLQLLLIAAVTSQFVSSHAGNIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCTSQSSDIKSCQSRGVKVMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGGALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSDVLPMIKSSGKYGGIMLWSKYYDDQDNYSSSVKTDV >LPERR07G09120.1 pep chromosome:Lperr_V1.4:7:8542531:8548558:-1 gene:LPERR07G09120 transcript:LPERR07G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGGLALLLMVKIGPLRELAMRGVEQAKEGKGPAAVKTVACTLLVIFLSSLASILRIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQNELQGKEDKSKEVKKLRSELSSLSEKMKKLKSESEEHERRRLEAETHVNALQKQSEELLLEYDRLLEDNQILQTQGVSVGLNVTRTGGSIGTYRRI >LPERR07G09130.1 pep chromosome:Lperr_V1.4:7:8557284:8562655:-1 gene:LPERR07G09130 transcript:LPERR07G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLLSSRPQPWPPLLQFAAAVLILLLPLLYLLRFRAGARKGKDGASAAGNLPPGPPSQLPVLGNLLQIGRRPHRYFQAMARRYGPVVQVQLGSVRAVVVHSPEAAKDVLRTNDVYCCSRPASPGKDVDEYYLLFHPPGPRMLSYNFLDVGFSPYSAYWREMKKILIVELTSMRRVQSFSYARVAEVSRLIDALAATPPGVPFDLSSALTTLSDRIIGTVAFGRVYGSSQWSSERSGFHEVLNETNLMLGSFNFEDFFPSSGLARWADTFTGIARRRRRIFRRIDRFFDAVIDKHLDPGRLAAGVQEDMVDTMVKMWREQQGKGFALTRENIKAVLMNIFAGGIDTTAIITTWVMSELMRKPRVMQKAQAEVRNIITNKARVDEEDVRNLKYIKMVIKETFRLHPPVTLLLPRQTMQSCNIGGYSVLSGTRIFINVWAMGRDPSIWNSPDEFFPERFEDKNVDFRGSHFELLPFGSGRRICPGITMGVATVELVVANLLYCFDWELPKGGMKEEDFDMEEEGQLTFRKKVPLYIVPVRKYGL >LPERR07G09140.1 pep chromosome:Lperr_V1.4:7:8567746:8568627:-1 gene:LPERR07G09140 transcript:LPERR07G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRRRPLLRRRRRTRARTRIRWRRSGVVVVCAVRGRDDDVAESSSSAASRAAVRDPIAARTDTLYRATAIATRRRRRDRPSRWESEESAAARRRQIEEEDAAESEAKRRSRRKARTLGELFLAPREIAFGGATFHGAKAHSAWRWRWLVVNVQAGGEAEFASHVQNRDVWANEAVARLVRDSLVLWQVDADADDGDDDGEGKKVCCYYGLADRADLPAVLFVDPITGQLMEKLHRVTDAGEFLAAADKFTKSKPARPVFRDNRSATALLPSPSPANIAEQEEAAGGKCAR >LPERR07G09150.1 pep chromosome:Lperr_V1.4:7:8573948:8580289:1 gene:LPERR07G09150 transcript:LPERR07G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQARATVLAVIDEKSSIWGGVVRHRLRRLLPSLSHLLLRPIAALLHHHRSDHRVGLQLHALSLSLGYSRHPFLLPRLLSLYTSHPSLLPSAVAVAADSPLPLPYNILISGCIRHGLPRQAIAVYQEMCGNGVVPDFFTYPSVLRACAEARDLALGRAVHTHAAAAGMDGHLFFQNALVSMYAKCGDLASARRVFDGMVERDVVSWNSMISSYAAAGRWAEAVELFRRMRDEGAEVNSVTWNTIAGGYIQMRDHRAAVGVIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGSAVRMCCDQVESVSNALITMYARCKEMECGHMLFRMLDCPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVQPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSLAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALKLFEQMIDSGIKPDHIIMVTVLSACSHSGLVSEGEELFDKMISSYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGQRAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELSEQMRSISNCNDLDILEEQGVFFSEMEPFHSATEQHAPWAPKRCLGSASKA >LPERR07G09160.1 pep chromosome:Lperr_V1.4:7:8585515:8590125:1 gene:LPERR07G09160 transcript:LPERR07G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLADGPATTTSSSSSPRWSQKQQGTTIATDQGTGLIPANSRQEKVSTAAPIPREEIKKQTKSSGAAKKRKKKKQNEQRIVMPASQQNNTPAAVSTPHQDDLAGLLRRAATTDRKVLVTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDSRAFQRCKAIHPFCYWFRVDGMDFAAEQLYMKGDYLEMMWRRNRFQQSILELGYTFLFTDVDILWFRSPFPHIPDSAELVMSSDFFVGDPNSPGNYPNGGLLYVKSCESTIKFYNHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGTRVQFLDTNYFGGFCQHGKDLGKICTMHANCCVGLQNKLFDLRNVLEDWKNYRARVNVGNFEYFSWRVPGRCIH >LPERR07G09170.1 pep chromosome:Lperr_V1.4:7:8593490:8597707:-1 gene:LPERR07G09170 transcript:LPERR07G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRPKLRTAAATAGVEDDGGGDGGRCRRRRRGDGRRAGRWKWGEIEIIASRPRAKRLGVASAPQAPHGHRIDLVSSRIPIEASSRERLHPRSSFGGSTEPPCPTVDPPVEKVEMGSQGVIAGGAAGVVVETALYPIDTIKTRLQAAKAGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSHFKTAPDAVRLIIRKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGHANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERSSRIQ >LPERR07G09180.1 pep chromosome:Lperr_V1.4:7:8599382:8603699:-1 gene:LPERR07G09180 transcript:LPERR07G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVMLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQPYGAD >LPERR07G09180.2 pep chromosome:Lperr_V1.4:7:8599956:8603699:-1 gene:LPERR07G09180 transcript:LPERR07G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVMLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >LPERR07G09190.1 pep chromosome:Lperr_V1.4:7:8608676:8613655:1 gene:LPERR07G09190 transcript:LPERR07G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPTSSPLFGTPSTTPAFGAPSTTPSFGTPSSTPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSTTPAFGAPSSTPAFGAPSSTPAFGTPSSTPTFGTPSTTPAFGVAPSPSLFGFQQQQATPSPSPFGFAGGGGGQITTQMAPVAPLPLSASDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSHRLKLQDEVLVSDTERLSMTHSNVKKLQRYFQADTYPWIQRLKQQEMVIQRRLLRVMRIVEALENRGYRIPLTKEEADLYERLAAIVKQVKGPSGDTHKRVYNLLSTSRLLASAGGTSGPMYIPSSAKVDERSVAELLEALQQQTEAVAKLGNVIKRDTRDLEIILSEDTDMADEGAARRALRM >LPERR07G09200.1 pep chromosome:Lperr_V1.4:7:8619747:8621464:-1 gene:LPERR07G09200 transcript:LPERR07G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCKRGRVGRCRSAMGALRRCCCAAGHYRSVAGTTPPLLPRCTVMALPWVRLSAAVGGCCRGQADASSFRRSVGPIPPSTSGACHSRDGRRDVAVAVAGCLSWGKTDTPPAGAGTAGAWLVQPPRAVVPEGWRRRCTAAAAGRRPKAAGSRPSSGEGANTPAAVTSTPGRRRRCHRRGELQRRCGHSRGIHIQPRRTHAGVLRRRLTAAHKNHRANMARKVKVLALAATVEDANGVVLATPAPVPPPPSPPCPPRRRIADTVMCDMRSSNWSSPPLCSHHRHHLLPRRS >LPERR07G09200.2 pep chromosome:Lperr_V1.4:7:8619747:8621464:-1 gene:LPERR07G09200 transcript:LPERR07G09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCKRGRVGRCRSAMGALRRCCCAAGHYRSVAGTTPPLLPRCTVMALPWVRLSAAVGGCCRGQADASSFRRSVGPIPPSTSGACHSRDGRRDVAVAVAGCLSWGKTDTPPAGAGTAGAWLVQPPRAVVPEGWRRRCTAAAAGRRPKAAGSRPSSGEGANTPAAVTSTPGRRRRCHRRGELQRRCGHSSNARCSTALRRTLQRQIQPRRTHAGVLRRRLTAAHKNHRANMARKVKVLALAATVEDANGVVLATPAPVPPPPSPPCPPRRRIADTVMCDMRSSNWSSPPLCSHHRHHLLPRRS >LPERR07G09210.1 pep chromosome:Lperr_V1.4:7:8645966:8663105:-1 gene:LPERR07G09210 transcript:LPERR07G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESALVLLALAALYAAMSLVAYRVIHMSHVAPLPADAPPGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAAQYIKGQLEELAARAGPEYRIEVEETLVSGSFSMIFLRHRVTLTYRNHKNIVMRISSNVSEDQDLSFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNSTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLFPGSIQARGENLFNLVKAFTNSSMLLKGNKRSIEAMPKTDDLRDIFFDYLTWFMVIYPHDVSLVLHSLPVAIFLLAPLFLKFPNITFKSWFLTVRDLVRGMLLHAFGVILAILIPAVAAALRLLFTKNAMNWFAHPYLAFLMFVPTSLAGLFLPRIIWALSEQAHFWGAFGLYSVYVLTGLSGGFLTFFISMSMLLGHLICSISRKHWKKQSLKLLAGFVVPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVADVIVGAVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQITVVGLAVSSQLFPYSTGAPKRIVLQHTFVTDANSIVESNYGFSVVDANSLEFVFNNAPEAAKWLKDNSELSFEEKYRSDRSSWLALYPVNFLFSGSLKFPSEKEEIRKHYQHFPQMINQKTMSNNEHRRANSSEPLRIDVAVLDQYLLDDTRELKSLFPSWADITAFTTFFSTYHL >LPERR07G09210.2 pep chromosome:Lperr_V1.4:7:8645966:8663105:-1 gene:LPERR07G09210 transcript:LPERR07G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESALVLLALAALYAAMSLVAYRVIHMSHVAPLPADAPPGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAAQYIKGQLEELAARAGPEYRIEVEETLDLSFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNSTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLFPGSIQARGENLFNLVKAFTNSSMLLKGNKRSIEAMPKTDDLRDIFFDYLTWFMVIYPHDVSLVLHSLPVAIFLLAPLFLKFPNITFKSWFLTVRDLVRGMLLHAFGVILAILIPAVAAALRLLFTKNAMNWFAHPYLAFLMFVPTSLAGLFLPRIIWALSEQAHFWGAFGLYSVYVLTGLSGGFLTFFISMSMLLGHLICSISRKHWKKQSLKLLAGFVVPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVADVIVGAVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQITVVGLAVSSQLFPYSTGAPKRIVLQHTFVTDANSIVESNYGFSVVDANSLEFVFNNAPEAAKWLKDNSELSFEEKYRSDRSSWLALYPVNFLFSGSLKFPSEKEEIRKHYQHFPQMINQKTMSNNEHRRANSSEPLRIDVAVLDQYLLDDTRELKSLFPSWADITAFTTFFSTYHL >LPERR07G09220.1 pep chromosome:Lperr_V1.4:7:8668855:8672384:-1 gene:LPERR07G09220 transcript:LPERR07G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSIIQVHIAESKNKDTYDNSASLNNSAALGALGEQDELDNGAGRGRGRGDGPGKAWQQDGDWLCPNTRCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQHTENAPTLPGSGRAGWEVEQRGSSGKESRERSRDRVRDHDHDERDRNRDRGNHGRERCRSRSREREKERGGRDRGRDHSYERSGERGAERDRDRHR >LPERR07G09230.1 pep chromosome:Lperr_V1.4:7:8684082:8684747:1 gene:LPERR07G09230 transcript:LPERR07G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQAGVTASPPSASTIISTATTGGHILKITGYSHARLLANGGRLESAKFKAAGHTWKILLYPHGTSRTEMGGVALFVKLVDRSRKAVDAEVRFSLHVNNETCVKPELRHTFESGGLRRRGSKRCGFSGRLMAVYELEEMRRKYDGEEDDSVVIQCDIKVMNKPEVRQISLGEIDVVCRCNDDTCKRQLHVRSLDQSAGMKPRPCGNVKGVFARVFSCFLA >LPERR07G09240.1 pep chromosome:Lperr_V1.4:7:8707076:8711614:1 gene:LPERR07G09240 transcript:LPERR07G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVVTSFSVATLPNTIIIGVPLIGGMYGRESKGLMKQILVLQFCVWYSVVIFLYECMRAGVDGTAKISPSPVEAAAAAADVSRERSQEMTVDIQVTGVVDEVGMCGDQAEDVTLPAVKHVFLIAVKKILRIPNTYGGFLGLIWALVAFRCGIKMPKIIDDSLFIIDSTAAGLCMFSSGTFIARQSRLVPCGYKIASLAMILKFLICPVMMLVASLVVGLRGTLLHIAVVQAALPLSLASFLYAEEYKVHADVMSTGSVS >LPERR07G09250.1 pep chromosome:Lperr_V1.4:7:8711667:8712761:-1 gene:LPERR07G09250 transcript:LPERR07G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTTLERRAPWSAPRGEGGTTGYVAGVPFVGGITSVASAWIYGGNMGSVRSSREEGSNYQIDRSDKQ >LPERR07G09260.1 pep chromosome:Lperr_V1.4:7:8719351:8725942:-1 gene:LPERR07G09260 transcript:LPERR07G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTRSPQPQCTRRYICIGMSVTRVAVSSADFFITGSADATIEFMDDDGAAPQGGPQAAGHIWWGWLLLLAAACALVYGRKNTGAIGQGTSAPEVPIEDPSLWTIIAAQFKDKTARRTVCEGQGYGTLKLGIGDGEGDEKTPATAWSALHGCATELHDEVEDIVKELESVASWCSYKLDCCREAAGTGAVQLCTSRRY >LPERR07G09270.1 pep chromosome:Lperr_V1.4:7:8751326:8755241:1 gene:LPERR07G09270 transcript:LPERR07G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGETEHSDNTPITRLHLTVKMVVFMAVSMMRRMLRLLLTSNVRNKKDATVQLHMPGVHMGVDEVLEAMQEAVAMQHMVSRMM >LPERR07G09280.1 pep chromosome:Lperr_V1.4:7:8773249:8774793:-1 gene:LPERR07G09280 transcript:LPERR07G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKQEGNISEQMSATTAPACETSKEIPASDVKHEKKAASSSSSSSSEEIDEDDFFQIEGPILSTQYSLSAPPSEGGNGDAKESLPAQASDESRDPKRIPSSVFARSKSSTPTEWSVTSNESLFSINVGNASFSKDHLFLYGKSGELGVNDPLPPLPKQSPSSSHMKGEMSTAEKPSTSKEKGDGRRLGDRNGEDNPDYIHSLSHRSDGSTTSFAFPILTGSAKTSGSLKDSHPELARQSTAQLTHPTETRDKNGNKETPVAVMEAPKVETPLPSQLQPQPPPPPPPQQQPPQPPPTTKWFPCCSCCPLCC >LPERR07G09290.1 pep chromosome:Lperr_V1.4:7:8776926:8777165:1 gene:LPERR07G09290 transcript:LPERR07G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMADWGPIIAAVVLFILLSPGFLFQLPARMRVVELGNMGTSALSILVHAILYFCILTIVVVAIGVHVYSTKPDPIV >LPERR07G09320.1 pep chromosome:Lperr_V1.4:7:8806250:8809446:1 gene:LPERR07G09320 transcript:LPERR07G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLMTPPLPNPPAMVTPHPAPMTSNPQRERDVRSTPTSSLRALFLRAVDPSRPASWSAAVADLLSSGDAIAALATFAAAVRANPAALLPALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDPYSASALLHMYHHCSHPVDARRAFDEIPDPNPVIITAMASGYMRNNLFHHSLGLFRDMIASDSASVIDEAAALVAFSASARVTDRGVTASLHALIVKIGLERNAGVVNTMLDSYAKGGSRDLGAARKVFDTMERDVVSWNSMIALYAQNGLSAEALGLYSKMMNVGGGIKCNAVALSAVLLACAHAGAIQMGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMACKAFQKIKEKNILSWSAMITGYGMHGHGQEALEVFADMKRSGLRPNYITFISVLAACSHAGLLNEGRHWYSAMKREFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKDMKVKPDAAIWGALLSACRIHKNVELAEISVKRLFELDTTNSGYYVLLSNIYAEAGMWKDVERIRLLVKTRGIEKPPGYSKVELKGYTHLFYVGDKSHPQHKEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALMNSVPGSVIHIIKNLRVCSDCHTAMKFITKITEREIIIRDLQRFHHFKDGLCSCGDYW >LPERR07G09330.1 pep chromosome:Lperr_V1.4:7:8810462:8814286:-1 gene:LPERR07G09330 transcript:LPERR07G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLIKTQALDPHGNSTDHASFITVVGSHVLEKTTTPYHDRQFALPTPASQAAAVVGDAQRRSQQKRRGREGEKERAQIGGEVPTAVASPATARGARQMREPLRQGVCLSGRPKRAAREAAPTRPRPHRSSPGYPAAPAPTASSPPRERPVSRPRDTVGSLSPSRPSVSLVLPFCGPLRAQAPGRRGRLEGEAADLLHLGSNEVKDQQYKPWTWKGVPPMKYHQLKLFWWEHCLLAPTWFVVKITFLLLAFCFTAMLSLAFFSSDFVIIGHVLLLVTIGTVLFVLLNRFLAETGLVSVEQQMKEMGIHKTEATEKDKGN >LPERR07G09330.2 pep chromosome:Lperr_V1.4:7:8810460:8814286:-1 gene:LPERR07G09330 transcript:LPERR07G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLIKTQALDPHGNSTDHASFITVVGSHVLEKTTTPYHDRQFALPTPASQAAAVVGDAQRRSQQKRRGREGEKERAQIGGEVPTAVASPATARGARQMREPLRQGVCLSGRPKRAAREAAPTRPRPHRSSPGYPAAPAPTASSPPRERPVSRPRDTVGSLSPSRPSVSLVLPFCGPLRAQAPGRRGRLEGEAADLLHLGSNEVKDQQYKPWTWKGVPPMKYHQLKLFWWEHCLLAPTWFVVKITFLLLAFCFTAMLSLAFFSSDFVIIGHVLLLVTIGTVLFVLLNRFLAETGLVSVEQQMKEMGIHKTEATEKDKGN >LPERR07G09340.1 pep chromosome:Lperr_V1.4:7:8816348:8817025:-1 gene:LPERR07G09340 transcript:LPERR07G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLSAYLREARSLPPEDVIRLALDVARGMEYLHARGVVHRDIKPDNLLLDGEGRVKVADLGTSCLEATCSDKKWCSSKTAPGTYRWMAPEMIRDKRCSRKMDVYSFGLVLWGLTTCVVPFPDLEPVQVAYAVGNENARPPLSTSCPQAINSLIERCWSVKPSTRPEFSRIVSELENYDRCLREGLPLVPPPTPPSPSLLTSLLGAFKIQSCKTSVGNRRVHP >LPERR07G09350.1 pep chromosome:Lperr_V1.4:7:8821645:8823884:1 gene:LPERR07G09350 transcript:LPERR07G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRSRKPSAPAGGDPDGGVNVDAAAVGEDGEDNKKKTTTTKAAAAAEAVIIISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVVVRGLKAEEDPAGVLEVVERRTGKKALLLSSSPAKPPPSSLGKKSTEAPKRDAAEHDTGKEMVDEDMEMVVVMRIDLHCEACCEEINRRILKIKGVDEVVPHMKSSQVMVRGKIEPATLVGHIHKWTGRRAAIIRAEPLHPLPPPSQSPPQSPKVGDEPPPAGETPQVKKETKEGDPSPDDGLQEKKGGEADEEKKEEEPAEKKGGGEEKVDADPKHQIDNPDDPINGAAGEESHNKKDHMFRVAFPESVVAVAPESQRLAMNNLHQCYYYPAYPYAYPCHQYYQYPQQNMHAVGNYPTMYGYYPYRVPEAFSDENPNICTVM >LPERR07G09360.1 pep chromosome:Lperr_V1.4:7:8827925:8833503:1 gene:LPERR07G09360 transcript:LPERR07G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALRCATWLGLHDAAARKARREAAITLKAGAAAEEPCLRRRGAAGVRCQRSSAAGAAVVEKKGYAAGVEPAREGGNAGHAEAELTVVMKFGGSSVASAERMREVADLILSFPEERPVVVLSAMGKTTNKLLTAGEKAVGCGATNVSELDELTFIRELHFGTVDQLGLDRSLVSGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKTGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWVTDPAIPILTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAQPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDNEAKQCVQALHSAFFETGFLPEVNDLVHDNSAAHSNGTIYRH >LPERR07G09370.1 pep chromosome:Lperr_V1.4:7:8847920:8850944:1 gene:LPERR07G09370 transcript:LPERR07G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPEDVSLPDFLSKRWILNLNETEEENEVETQTFISPFEGIHLKISSPILDGKQCLRCIADWLLLFDEVTKECYLTNIISHSKVHLPPLLEPLDNLGRYALSSPTTLPDSTIMFACSNFDKFVLYCRPGDKEWTKYYVDFQGIDDYFLGTIFGGNGRMYVETNWKNSCVVIHTSSSSIYVEKTGIAEPKTCPLHKPYTSCWVESNGDVFLVRLYCHSYHSSGVVNIDVHRMNTLKYVWERVDGIGDATFFLGINSVGLSSTYAGTQPNCIHFLLSCCDGIRLYTIKLDAQTISFTLLPGCANPDDSSYIAYWFNYWYGLYWAIPRSSKHQPSNSLDVITNKFNRILLSKEGTEQANEAQWSNIPIELLELLVPKLSFIDYFHVQATCKEWSLMTKPIQYTKTYTMLVSF >LPERR07G09380.1 pep chromosome:Lperr_V1.4:7:8852519:8853254:-1 gene:LPERR07G09380 transcript:LPERR07G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGAPAPGSLALASGGGGSTACAMATLRPGEREWRWRGRADAVAAQLSWSCGGRGGTMPSGGAAATLCRRRILRGRERAGAGPMGGAPAGGRWRQRGGRRWWRLLLLLMTVDGGGEASWMVPELALVHATSGETATWKASGGTSSSSVPWHFVGPSWWLCSEDVRLVTAVRSVLVLRLEARTTRRQCGGWAT >LPERR07G09390.1 pep chromosome:Lperr_V1.4:7:8876936:8882407:1 gene:LPERR07G09390 transcript:LPERR07G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFLTLLSTSILLLTSSAAAESTLTASATLGGNQTLVSPGDIFRLGLFPAVNRTRWFLGIWFTVSPDAVVWVANRDRPLLTQSAAVIAVNGSRGGGLVLLDATASNAVVWSSSSAAATAAQQAQLLDTGNLVVRDKEGNVVWQSFEHPTNTFLPGIRVGKDLRTGADWSLSSWRAADDPSPGDYRYVMDTSGAPELHVWSRGRRTYRTGPWNGVRFSGIPEMTTFEDMFEFQFTGADGASGAGEVSYQFRNRDGSPMSRVLLNESGVMQRMVWDRSAASWSNFWSGPRDQCDTYGRCGAFGVCNVVDATVCGCIRGFAPKSPEEWYMRNTSGGCGRKTPLQCGGDGFYLLRGVKLPETHGCAVDAGATLEECRRRCLSDCNCTAYSGADIRGAGSGCIQWFGELMDTRFVDGGQDLYVRLAESDLDAIKNTKKFVVVIALVITGFVLLLLSLGFLIWMKARRGKKVRVLDETGDFIAECPTYPFEIIRAATNGFSEGNKIGEGGFGFVYKGRLPDGQEVAVKKLSKENTVQGLKEFKNEVDLIAKLQHRNLVRLLGFCIHCTERLLVYEYMSNKSLDTFIFNKNEGRRATLGWKTRMNIILGVARGLLYLHEDSRHTIIHRDLKAANVLLDGDMVAKISDFGIARRFSSSGADQKDCTITDRVVGTLGYMSPEYAMDGKLSFMQDVYSFGVLLLEIISGKRNQGTSNLIAHTWRLWEEGRNTDLLEPAIRGECTGGELEQATTCIQVGLLCVQESPDQRPPMADVIHMLSREKALGQPRRPVMSTVATTYGHSGRLTITDLEAR >LPERR07G09400.1 pep chromosome:Lperr_V1.4:7:8890631:8896130:1 gene:LPERR07G09400 transcript:LPERR07G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGYSSNPCHCHVEERDDKSSTPKLQPDFSADELRATSTSGDSSLTHMKLLCIWSSVEDFFAEHVSSKDLDLDESKEVLESNGFRYKGSNELRDIAMYSLHAFFKTVFEMISLEGHSEDDVIQAIVYSALCFEFDGPITKIADSARTLLQSGKVVGSFPSKNLDTDLNMLSFYILSCASNLLKKYFPFFTWGDALWCILLCDMDLSIVHAFLSGLNADASEESEGLALNSQNPNGSSGLYGYSAAESLEECSLSRSLEVQRLWFNTLTNHIVSIQKSAGKDQVAFSGQDQVDSSGQIQKSSLPKTAVQNNSKSAKGTASKRSPIKSQQDSRKDKDFWESFQPVKSCCKTSMSKYKESKTVAAFFDSARDALAGTTKVANTKCLKSHTFVTTHPLSSLSTFKRAESPTVVPTPTPPVSCPVSHASSSSCNAKSGTKHQSLPQGYIHFSLPKTPADGFEFYFSHDGMRTAWVPKDRTEELALDLIRRLGELKLDFQVWTDWGTDRVMQSTSRLAIQKTILASLRKEVGKTEDCGVFNRKKLEATKKAIENTCHELDRADSRVLELTNEISHFRLEKEAAMLKEQQSDASFADILSKRNESIDKCKSVGTEKIHLQENLAAESSKLCKLEKDLERAKRCMDKLKKRCQEGEKMVGEAKEQVYLERSEIGRIEMSVRTESNLLLLNSQNELQGLQANVKHLEKQLHERLEFLHQSMKEAASSSNPWIIPGITNHPVFGLDAVQREQECVMCMEEEISVVFLPCRHQVLCVGCNQLHQDEGMTDCPSCRSPIKRRISARFADS >LPERR07G09410.1 pep chromosome:Lperr_V1.4:7:8898170:8908504:1 gene:LPERR07G09410 transcript:LPERR07G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSIPPEQQEEDVSKMTKKEKRREKKRKLAAEQEEAEASASAAATATAPEEVTKSGESSSKKKKKKGDKGEAENGDGKKAVEAESGGDGVGKVVAVSGKGSEDGKYAPLTSFAAAALPPQVLDCCKGFARPSPIQAHAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRKKLGEKLGEKDAKKRVPRVLVLSPTRELAQQIADVLCEAGAPCGTKSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGICRLNKVSFVVLDEADRMLDMGFEPEVRAILSQTASERQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDEWSRDSRLVALLDKYHKAQRNRVLVFVLYKKEAMRVEEMLGRRGWSAVSVHGDKAQHDRTKALSLFKEGTCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQLNKGLAGELVNVLREAGQVIPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >LPERR07G09420.1 pep chromosome:Lperr_V1.4:7:8931004:8934207:-1 gene:LPERR07G09420 transcript:LPERR07G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLCFLFALLAGGAAAGGERRGVYVVYMGAVPPRTSPNILQESHLRLVGGVLKRGQAAESVVVHQYKHSFSGFAARLSPSEAAVLRRKPGVVSVFADPLYHLHTTRSWDFLQQQQQLTTYQSRPRHRPSPRAAAASTTTADQADTIIGLLDSGVWPESPSFDDAGFGPIPSRWKGVCMTGDGFNSSSCNRKLIGARYYDDLGSPRDEAGHGTHTSSTAAGNSVSGASYYGLAPGTAKGGSAASRVAMYRVCSDQGCAGSAILAGFDDAVADGVDVVSVSLGASPYFRPDFSEDPIAIGVFHAVASGVMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRDFQSDVLLGGNNTAVKGGAINFSNLDKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTSKMVKIDELKSAGAVGSILVNDLEKAVVTAFIDFPVTEVTSAAAADIHKYIASTSEPVATIAPTITVTEHKPAPVIAYFSSRGPSVQTPNLLKPDVAAPGVNILASWIPTTTLPAGEKKPSQFNLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLDNAGAPMTTDSGSTATPYDYGAGQVNPTGALDAGLVYELGEDDYLRFLCNYGYGESQIKLIAATLPAGFSCGGNGSKDLISDLNYPSIAVSGLGTAGSRTVRRVVTNVGAQPEASYTVAVAAPAGLEVKVVPSKLEFTKSVKKLGFQVTFTTGNGAAAKGGDLSGSITWSDGKHTVRSPFVVSS >LPERR07G09430.1 pep chromosome:Lperr_V1.4:7:8939045:8943846:-1 gene:LPERR07G09430 transcript:LPERR07G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASSTAEDLSAAAASISKKQLKKDARKAEKAANRQPQQTQPQDGAGEDPHAGNYGDVPVEETQSKAISGRSWTTVGDLGEDAAGRPVLVRGAAQAIRPVSKRMAFVVLRQGMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKLYCINRAIPTLPINLEDAARSEAEFERAEQSGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFFLSKNFVGIHSPKLISGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFDRVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELDTINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGAQRIHTPELLTKRATECGIDASTIASYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >LPERR07G09440.1 pep chromosome:Lperr_V1.4:7:8950044:8950496:-1 gene:LPERR07G09440 transcript:LPERR07G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGKVLTGAVAGHGTPTRSGGRRCSARLGVEVHSGGGKVRCTFLLLLLASCSSLLAPRFRSAWPGRGSSVELRHPNGTAAMVWAWFTAVVGHGSGCGSSSSMRTAASAWFAARPSLAPAAFTRMSSLRRRYEQGRWSQAEENRAVASR >LPERR07G09450.1 pep chromosome:Lperr_V1.4:7:8960168:8963303:1 gene:LPERR07G09450 transcript:LPERR07G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAQKPTKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPVVWGEMLWPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVEDTNPESDGLNGINQRRLSWPSSIKRDQ >LPERR07G09460.1 pep chromosome:Lperr_V1.4:7:8965717:8970706:1 gene:LPERR07G09460 transcript:LPERR07G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQQQLVLAASSADAGVAAWDLRTGAEAIRHRSCASRPRALAVVADRFLAAAQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGVSGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLVSGSEDGSIKVWDLLTMLDEQSRLEAKTQYIYSFNQHALPVTDVACFHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAIVDSVALDPRSHIFYAGGRDGKIYVTAMGVDVTSPSSDDSSIIGALDDHSKAVTSLASSTDGLILISGSEDGPVTNVLLVTPKRVNLPPLQSLRKVCSANGESESRAVIVPQPENDVHIAGNFSSNFLERCLDALQPGSSSRLFESGAGTMYGSANQQGVEWRSKYLELQDLFVREVLDQMPSSKNT >LPERR07G09460.2 pep chromosome:Lperr_V1.4:7:8965717:8969885:1 gene:LPERR07G09460 transcript:LPERR07G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQQQLVLAASSADAGVAAWDLRTGAEAIRHRSCASRPRALAVVADRFLAAAQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGVSGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLVSGSEDGSIKVWDLLTMLDEQSRLEAKTQYIYSFNQHALPVTDVACFHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAIVDSVALDPRSHIFYAGGRDGKIYVTAMGVDVTSPSSDDSSIIGALDDHSKAVTSLASSTDGLILISGSEDGPVTNVLLVTPKRVNLPPLQSLRKVCSANGESESRAVIVPQPENDVHIAGNFSSNFLERCLDALQPGSSSRLFESGAGTMYGSANQQGVEWRSKYLELQDLFVREVLDQMPSSKNT >LPERR07G09470.1 pep chromosome:Lperr_V1.4:7:8972550:8973299:1 gene:LPERR07G09470 transcript:LPERR07G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGDLQIAIRQAAAATASSDAIRESSGTSSPFRGGSGSGAATTPPRPAPKGSRPSPPRAPPMTAMDKTLTSVANLASLLPTGTALAFQSLSPSFTNRGACLPSNRYLTAALLYLCVLSCLFFSFTDSFVGGDGKLYYGVATAKGFLVFNFDGDDSEDRRREAFGEDLRRLRIRWVDYVHAVFTAVVFLTVAFSSTAVQTCFFPDAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYSGQSTN >LPERR07G09480.1 pep chromosome:Lperr_V1.4:7:8979946:8985518:1 gene:LPERR07G09480 transcript:LPERR07G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAEAASGVGGGGSAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESLESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSATVESVFFKVPFEEVPDLVACRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGTSGHSLEEIMDKVKNRHYQLACTMTFEAAHGVSCDTGINHPNQYFSESQKVLKAKNQAVESQATT >LPERR07G09480.2 pep chromosome:Lperr_V1.4:7:8979946:8985518:1 gene:LPERR07G09480 transcript:LPERR07G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAEAASGVGGGGSAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESLESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSATVESVFFKVPFEEVPDLVACRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGTSGHSLEEIMDKVKNRHYQLACTMTFEAAHGVSCDTGINHPNQYFSESQKVLKAKNQAVESQATT >LPERR07G09480.3 pep chromosome:Lperr_V1.4:7:8979946:8984608:1 gene:LPERR07G09480 transcript:LPERR07G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAEAASGVGGGGSAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESLESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSATVESVFFKVPFEEVPDLVACRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGTSGHSLEEIMDKVKNRHYQLACTMTFEAAHGVSCDTGINHPNQYFSESQKVLKAKNQAVESQATT >LPERR07G09480.4 pep chromosome:Lperr_V1.4:7:8979946:8984608:1 gene:LPERR07G09480 transcript:LPERR07G09480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAEAASGVGGGGSAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVVSLVVTQFRCNISKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGTSGHSLEEIMDKVKNRHYQLACTMTFEAAHGVSCDTGINHPNQYFSESQKVLKAKNQAVESQATT >LPERR07G09490.1 pep chromosome:Lperr_V1.4:7:8986396:8991906:1 gene:LPERR07G09490 transcript:LPERR07G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCMRCSPGGAASAVRRGSAASPLPAALSFARCGLRGPVVAVGWRASTSAAATAVKGAMDADVKNAAISAPPSKVENGSPSHVILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSRTLTCRIDKRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLNVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPNDSITPTFAAAALFINNARWDGVPFLMKAGKALHKKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKWIAPELYPYGSRGPVGAHYLAAKYNVRWGDMTTEQKA >LPERR07G09490.2 pep chromosome:Lperr_V1.4:7:8986752:8991906:1 gene:LPERR07G09490 transcript:LPERR07G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVKNAAISAPPSKVENGSPSHVILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSRTLTCRIDKRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLNVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPNDSITPTFAAAALFINNARWDGVPFLMKAGKALHKKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKWIAPELYPYGSRGPVGAHYLAAKYNVRWGDMTTEQKA >LPERR07G09500.1 pep chromosome:Lperr_V1.4:7:8992242:8995426:-1 gene:LPERR07G09500 transcript:LPERR07G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVCKIGDQCPVKSFSGHQSEVNAIKWDPTGSLLASCLDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPYQQLLLASASFDSTIKLWEVEQGRLLTSVQLGWP >LPERR07G09510.1 pep chromosome:Lperr_V1.4:7:9003031:9005277:-1 gene:LPERR07G09510 transcript:LPERR07G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLVSKSNNHGCRGGGGGEEKGAEGQQGQVLALLLAALRRSVVLPCQMTDADDPAAAAAWGMEIGWPTDVRHVAHVTFDRLNGFLGLPVEFELEIPGHVPSASASVFGVSPESMQCGYDPKGNSVPKILLLMQDRLYAQDGLKAEGIFRITPENSQEENVREQLNRGVVPDGIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEECFELVRLLPPTQAALLNWVVEFMADVVEEEESNKMNARNVAMVFAPNMTKMSDPLTALMHAVHVMNLLKTLILKTLREREQDDDSEYSTISSQSSLSDELDEEHHDVDRVGDNESDNDNCGDDGCQNPKDVAKVLKQNVDNEQLIGISRRHTSTDFCLPHFSYSSDDDVSPNDIEECFLRRLEWSTVNKETSEIRSITVRSNQEALQLSFCGENNGYCSTNDDQTRSTKDSVCMKSTIPRETKRRTEITNDEVQDSAGGDLTLWH >LPERR07G09520.1 pep chromosome:Lperr_V1.4:7:9016104:9021776:1 gene:LPERR07G09520 transcript:LPERR07G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTRWVRAWVLGVLGLAELIVSAAVHLAYGAYIFFTAVAADLSQCLVDGVTTPVAGELISEAVLDAGVPPIVLVHGIFGFGRGRLGGLSYFAGAEKKDDRVLVPDLGSLTSVHDRAQDSRAVLLPQRRAGGLRGGAQKGSTGTLSLAEPTHAVRPRKLNYLSAPGILFYLPSADGRVAGHYTVWDEEHPVHFVGHSAGAQVIRLLQQMLHDKAFEGYDDTSERWVLSVISLSGALNGTTRAYLDGMQAEDGRSLKPACLLQICRVGCILYHWLDVPWLERYYDFGFDHFPMSRRTVGMHGLPTLLVGDVGPFATGDWILPDLTIQGAARINASVRTFPCTFYFSYASRRTAKVWGGSGILRIHPLLFIRVLQMCRWRHPSGPPYKGYRDEDWEDNDGAINTISMTHPRIPVEHPSHYVQVDSDCHPLQPDIWYYKIVEADHMTFVINRQRGGVQFDLIYDSIFQNCRKHVFRTAPPPTLPNQS >LPERR07G09530.1 pep chromosome:Lperr_V1.4:7:9022253:9028223:-1 gene:LPERR07G09530 transcript:LPERR07G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSGATTSFIYATCSPKPPKKPERGESPNLKAAAVSVPPPVSPLLFPTRRQPASHRARLLPLAASASEAAAKRARESGDAPAAAAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPVSNIEDDEYSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAN >LPERR07G09530.2 pep chromosome:Lperr_V1.4:7:9022253:9027882:-1 gene:LPERR07G09530 transcript:LPERR07G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAAAKRARESGDAPAAAAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPVSNIEDDEYSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAN >LPERR07G09540.1 pep chromosome:Lperr_V1.4:7:9029978:9033828:1 gene:LPERR07G09540 transcript:LPERR07G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTSRHMTASLWSCIYRSKEYTQYWCSGMLFSLAQISTTADPSLSLTQIASPYLIPAAAEDAGLPFRFGGDLWFLKLKFCRVVKLKFNPDTSSRIQLCTVSID >LPERR07G09550.1 pep chromosome:Lperr_V1.4:7:9059442:9075325:1 gene:LPERR07G09550 transcript:LPERR07G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPDWISFPQYEQGRSPLLLHPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVDSIVSDMLKWPHRLVVPLGVNVDTSALELKPQGRLTITVVKATSLKNKELIGKSDPYLPNRLAQPPCRLLLTPLLLVGSCAAATAMSRVYDEDKLQQDKKLGVAKLAVNNLQPEVPSEITLKLLQSLDSLKIKDTKDRGTLHLKVIYHPFTKEEQLEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQPEQPSA >LPERR07G09560.1 pep chromosome:Lperr_V1.4:7:9106870:9113387:1 gene:LPERR07G09560 transcript:LPERR07G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMKAATDLALLRPSKCPTARMDQYPTRINSSTRKRKKVKSSLARSSSSRSACSQALPVVFFWVLVYGSSCCSSVYQSVRDRNPGRSRKNKPPLFAGATEPLKVDVWIREVKRKLNTSSLARTSSSRSACSQALPVVFFWVLVYGSSGGSSVYELVSDWNPGRSWVVSGHRT >LPERR07G09570.1 pep chromosome:Lperr_V1.4:7:9141421:9142744:-1 gene:LPERR07G09570 transcript:LPERR07G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSCATASKQASSTSSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCKTTTVKTRYVVMSEEILRSYPELAEEGRPTMKQRLDISNKAVTQMATEASLACLRSWRGELSDITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGSRVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVVGADPTLDERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCRKLMQEHPEAAAITYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIIYVLENMVEESRKTRETAEGEDCEWGLILAFGPGITFEGILARNLQASNRD >LPERR07G09580.1 pep chromosome:Lperr_V1.4:7:9153355:9153907:1 gene:LPERR07G09580 transcript:LPERR07G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVLLSFFTAFPFFLLLQTSWTSLHTPRFPSKPRKQKRERELSLPWLPTPPLPAAGKIPSPTTTRNASVFHGRRSAAATSNPCSCFVSPRLWTTPNLGASPEDRTHRQKQDPLNIHPDPSFIGGEHPPPFQIAIELAGTPEFTVASAPFHE >LPERR07G09590.1 pep chromosome:Lperr_V1.4:7:9160915:9161449:-1 gene:LPERR07G09590 transcript:LPERR07G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKVLPPLHRHRQARQIGWLLGMHPAEPGHWPTVAGPSVACHHLSEPGAPLPLAGIWESLLEGWMHKSFRREWQQSADARFTNLQHMMQQQHNDLKAYFHFVEHHN >LPERR07G09600.1 pep chromosome:Lperr_V1.4:7:9184400:9188762:-1 gene:LPERR07G09600 transcript:LPERR07G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMFPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGVVLAERERKASAAAARRKAVEGAEVEGGEGKGRHWMDDDKVGFSRAGRGKVVYGNPGRLGKKRTGGEKLKVGAGEEDEKFPWSGTGLSTEKKPARKQHYYPKKKGVVLKQKRLKGSVQRRPKKVEA >LPERR07G09610.1 pep chromosome:Lperr_V1.4:7:9190468:9193295:1 gene:LPERR07G09610 transcript:LPERR07G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIDLHRKRHGRRLDYEERKRKKEAREVHKRSRDARQLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVDDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYTSLGVLTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >LPERR07G09620.1 pep chromosome:Lperr_V1.4:7:9195937:9201321:1 gene:LPERR07G09620 transcript:LPERR07G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGPSEDLSDRQKREIAVCLISPFRRGCADVRALLEDDAVYEAAAAEAFPEYNKAHLVSLELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQNCTKLRPAADEELPSAYIEEFRSALDVELSKYVGEAYPKGVCAVYCTSGKDLEVPGADFGLAAVISAARRSPQNFCNGSWRSIWTLEFNDGSQFVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTIFQSPEDCAVAITNIIRHHESEYLSSLEESYLNLSDATFKLPLPILCFTCVIDLRRKLPVTRTLFPWHNTLALSLTRDLAKELAIGK >LPERR07G09630.1 pep chromosome:Lperr_V1.4:7:9207595:9208755:-1 gene:LPERR07G09630 transcript:LPERR07G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPQPKRRFSAHMLIASLVFLVLLFVAGGAVTVDHNDRPTRISPSSKSPDATSAAAEEITTPLSRKMLRDNDRTGGGGHSDQPLPRGIVHGKSNLEMQSMVGDPEKDQQPTTTKKKTKSLLAIPVGIKNKAAVDKLVSKFPADEFAVILFHYDGAVEQWGDVESLQGRAVVHVAAAGQTKWWFAKRFLHPDVVAEYDHVFLWDEDVEVDAFDPLRYLDVVRREGLEISQPALARGSEIHHGITARQLNGGDVHRRFYRAAGPRCDEGSAAPPCTGWVEMMVPVFSRAAWRCAWGMVQNDLVHGWGLDYKLGYCAGGDWTVKVGVVDSEYVLHRGVPSLGGGRSASASRIAVRRRSYAEMQIFNRRWKEAVAADASWADPYPEK >LPERR07G09640.1 pep chromosome:Lperr_V1.4:7:9212944:9218463:1 gene:LPERR07G09640 transcript:LPERR07G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGSQRESARHSAGAEAEEYHCRDFEWEGLRAEVEANPAFAYHLSPFPTTTAAATSQPHRPPFSEAWRNFHRRHTSGMFFKVSFSSLLIVVTERRYLLKEFPELLNSKDSAKLVEVGCGNGSTVLPILRFSPSMTVYACDCSKETLEKANEIVCNTKGVDVKDRFHPFLLDVSKETFPDWLFCKSCRSSRGNDVDLLQGSNNCNLIAEQPIFPGDKQRCVGGVDFITMVGSTILAFFLIKALLLELECCLIFTLSAIPFDIMPATLERCVSVLKPGGLVLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTFSYFFTLDAVRELFRASGLVELDLEYCCVISVNRKNGKKMQRVWVHGKFQKPKSC >LPERR07G09650.1 pep chromosome:Lperr_V1.4:7:9232416:9244601:-1 gene:LPERR07G09650 transcript:LPERR07G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPVRTLSLHRHRQVLTRLMKILLDLYGFGRGLIAFGSGMYSRGHMWNHASCILSKKNQIKSVDDVEGIDALRWADQEKIRNYVGSVSATASSAAAISDKCTIEVAKSARTSCRRCGEKITKGTVRVSSKLEGQGWYHTSCFLEMSPAANVEKFLGWEIMSHEDKGAILDLVKKDTPNTEQTASKGSKRKNSNNDMHDCKAPKIDSNISEGAAKNKGKAVVSCDSYASSTDLQEKLKEQSDTLWKLKDELRKHVSTAELREFLEANGQDTSGPERHLLDRCGYVTEWSKCTYSTTKPVRSKKKWKLPDEMDNDCLTKWFKSQKVKKPERVLPPMSPEKSLCQSTQQNWSFVGEGLENLRVSIVGESKDVVDGWKQKLEDAGANFSAMVTKDSSCLILCGELENENAEVRKARRLKIPILRDGYLGECIRKNRVLPFDLYKVETALESSKGGTITVKVKGQSAVHESSGLQDTCHILEDGKSIYSTTLNMSDLTRGVNRQVIEEDNGSDCFVFRKWGRVGNEKIGGTKLEEMSKADAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQRPKQKDVDKTKSSLAPQLLDLMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLDNSGNQELAIRESLIVAASNRFFTLIPSIHPHIIRDEDDLMVKVKMLEALQDIEIASKLVGFDNDNDESLDEKYKKLRCAITPLPHDSDDYKLVEKYLLNTHAPTHKAMIFFYCTIQTYFSVLFYMGVDIFLFKSILKDWSLELEEVFSLDRDGEFTKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKDPVGLMLLSEVALGDMYELKKAMSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASMKASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >LPERR07G09660.1 pep chromosome:Lperr_V1.4:7:9262291:9263701:-1 gene:LPERR07G09660 transcript:LPERR07G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSFISTHDAGSSRLRRRIAVPLQAPTCRQALTAATAAPAAISKPTHRPSPPPHTPYRPRCRRHHSLRHAKPFPSEDQFRNRDDAGLLRSWGAAKVIIWDLEEERVVAMDLDGRTTSNIGPLIDEDYSAGKIEKTARSTMGTMRGRGWKYGSWFVDRVFPVLSPMEHNILEFVHKGTHVGIPSHNLFDDLLNVENWGPVILVCEQILHMNSFRPEFICHSLLIESAKKGS >LPERR07G09670.1 pep chromosome:Lperr_V1.4:7:9267063:9271529:-1 gene:LPERR07G09670 transcript:LPERR07G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGVRRPRPNVLVTGTPGTGKTTTCSLLADAVGLRHVNIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEDGGILVDYHGCEFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARESYKEEIVMPLRSDNVEDISSNVDTLIEWINNWRPSRS >LPERR07G09680.1 pep chromosome:Lperr_V1.4:7:9273069:9276154:-1 gene:LPERR07G09680 transcript:LPERR07G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLDRRPADAAVRRCVPAAPSVPADPMPRPRQRRVAGVAGRGVHFPEVDLAIAAGGIGRPLLPPVSAVLALEPRRIG >LPERR07G09690.1 pep chromosome:Lperr_V1.4:7:9293221:9295096:1 gene:LPERR07G09690 transcript:LPERR07G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWSSSSSASSLRWPLGAAGHNCMSWEAVYGDDGWLFLEEDSYRVVQETLFEKQEHEEQLQLQQQEEEEEEQEEEEPQVPCFLLSSLDDHIALMLPSF >LPERR07G09700.1 pep chromosome:Lperr_V1.4:7:9299305:9326842:1 gene:LPERR07G09700 transcript:LPERR07G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLPIRLMHNVWRGVADTLLVVLLAVVVGGGGATGQKPCPQQLCFYCGCSAVQTETSAMQDSLSVTLTVSDGRLLGGCVAGMPMEPAVEDCDSEGGSCARREGEVDHLASLEAMMRDEQEVTGMALDLDGMKESYLTPHRRGRAPGFIQSALIQPEFGCVSTINYATWERCSLPVDESEVSCCPHRGLQVV >LPERR07G09700.2 pep chromosome:Lperr_V1.4:7:9299305:9326842:1 gene:LPERR07G09700 transcript:LPERR07G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLPIRLMHNVWRGVADTLLVVLLAVVVGGGGATGQKPCPQQLCFYCGCSAVQTETSAMQDSLSVTLTVSDGRLLGGCVAGMPMEPAVEDCDSEGGSCARREGEVDHLASLEAMMRDEQKRDEAGPEVLNIAVSFKVPSFSLNLDVFPPSTMLLGSVAPYQLMNLRYHAVHTEAYKWFDVKFDKFGWTSSPQQTEVC >LPERR07G09700.3 pep chromosome:Lperr_V1.4:7:9299305:9324605:1 gene:LPERR07G09700 transcript:LPERR07G09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLPIRLMHNVWRGVADTLLVVLLAVVVGGGGATGQKPCPQQLCFYCGCSAVQTETSAMQDSLSVTLTVSDGRLLGGCVAGMPMEPAVEDCDSEGGSCARREGEVDHLASLEAMMRDEQPEFGCVSTINYATWERCSLPVDESEVCFYYSPSF >LPERR07G09710.1 pep chromosome:Lperr_V1.4:7:9332587:9345562:1 gene:LPERR07G09710 transcript:LPERR07G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPVQPPLSTAPRSLLPPRLTLSNATSIVVEQQKRLPFTAYLEVRHMVPPKPSLCQRELEAWSGGSSWSRLVTDKSYLILYD >LPERR07G09710.2 pep chromosome:Lperr_V1.4:7:9332587:9335552:1 gene:LPERR07G09710 transcript:LPERR07G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFSIELGRFCHKVEERERHVIVRKFKFEENRAALPSSGKEVIELLDILLRTHSAILAGTGRAAALGPQTPGAPEQQA >LPERR07G09710.3 pep chromosome:Lperr_V1.4:7:9335339:9345562:1 gene:LPERR07G09710 transcript:LPERR07G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPVQPPLSTAPRSLLPPRLTLSNATSIVVEQQKRLPFTAYLEVRHMVPPKPSLCQRELEAWSGGSSWSRLVTDKSYLILYD >LPERR07G09720.1 pep chromosome:Lperr_V1.4:7:9349882:9359073:-1 gene:LPERR07G09720 transcript:LPERR07G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSNAGRDAGGNGAGRKEDGRKGIVSCGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRRSSERVAIKRIDKNKMVLPVAVEDVKREVTILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNADELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMKTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPIVHR >LPERR07G09730.1 pep chromosome:Lperr_V1.4:7:9369637:9370468:-1 gene:LPERR07G09730 transcript:LPERR07G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPYATCPPTHAEVGTPTTMTNFRIPRQADVSSNTPKALTMRHQRSATWCPIHKNVRHDLRDCLVIRCLENKVERYQAARTTRFYPKPPAGQNGTLRTERSLVGALSSSSATDLARIARPAQYLNSLNQILRETPYDLVLAPDVEEWAHRLQEFATSIRIALAQAATQTREDPPRQYGDASITEIAPQTEDETSGSQGLSELATTEKNDDKE >LPERR07G09740.1 pep chromosome:Lperr_V1.4:7:9371151:9380959:-1 gene:LPERR07G09740 transcript:LPERR07G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQLLRHSRKLRSLHIAIDSERSALASYFSAASGSFPTKGNGVERRIGSPKFPLRNQPRKGLETSKLSLGLNRSYTYRRSPDNCIPNTISGLNVDRQLQQDISQAVQGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIKKFKDYKPSTSAAPVAPSESKAQSEPTDPKVEEKTPSKAPEPKAPKIEEASRPGDRILSSPLARRLAEDNNVPLSNVKGTGPDGRILKADIEDYLAKGGKKESFAAPGQSCYTDVPNTLIRKVTANRLLSSKQTIPHYYLTIDARVDNLIKLRGELNPLQESAGGKKISINDLVIKAAALALRKVPQCNSSWMNNFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINHPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSIAKPSGAEDYG >LPERR07G09740.2 pep chromosome:Lperr_V1.4:7:9371151:9380959:-1 gene:LPERR07G09740 transcript:LPERR07G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQLLRHSRKVYPSLACSGCLRIRLPFNMLERSTDLSDLALPVSAYAREPACGLMLAVQFLWHRDRSRISPCSVLCELTSNLLDFGRSGDLPNIPWSINRSHLLRSLHIAIDSERSALASYFSAASGSFPTKGNGVERRIGSPKFPLRNQPRKGLETSKLSLGLNRSYTYRRSPDNCIPNTISGLNVDRQLQQDISQAVQGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIKKFKDYKPSTSAAPVAPSESKAQSEPTDPKVEEKTPSKAPEPKAPKIEEASRPGDRILSSPLARRLAEDNNVPLSNVKGTGPDGRILKADIEDYLAKGGKKESFAAPGQSCYTDVPNTLIRKVTANRLLSSKQTIPHYYLTIDARVDNLIKLRGELNPLQESAGGKKISINDLVIKAAALALRKVPQCNSSWMNNFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINHPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSIAKPSGAEDYG >LPERR07G09750.1 pep chromosome:Lperr_V1.4:7:9473332:9473631:1 gene:LPERR07G09750 transcript:LPERR07G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGAAKRAPAAAYYECTFCKRGFTNAQALGGHMNIHRKDRGGSGGKSQGSGQQLEGGSGGGQQHGRDVHLGLTLGRDEEDGRDGVDLELRLGHAHYP >LPERR07G09760.1 pep chromosome:Lperr_V1.4:7:9483121:9484532:-1 gene:LPERR07G09760 transcript:LPERR07G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGLSLAEVIGRKSPPKYVIKAGEEKWKYKYGEPLVRPDLVRSLTTQMFKLHRWYIEACKEGTQFINVKIKDEHYFRAEDLINIDVEELLEMLRHKREGVYDVGFIDPYVVHSTNVVDQPKETERNILRFLRKQAHETKIFLPYVFTVWVRFTSKKPGEWKVSLSVNANKQREETNLCAFYVAESIMSHGQRTYSALSDLEYRRDRVAEEDKHKAIQEVLAGFLNDEVLDPKGEHYYDGRLEHASVDYNIDLDDPNFD >LPERR07G09770.1 pep chromosome:Lperr_V1.4:7:9494274:9497721:1 gene:LPERR07G09770 transcript:LPERR07G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSSKAAVDVSISDASGWPLSSIDEGKLNSLVKEGVLHRREVVAWRPARFDIFPSPNAGEAVVFTPFFFRVARHLLKYRINPLKEGVHPTFEYTGHPDPTRESEVDLEEKEVGNKLEALFADGVDIPTEKNKPRCRSFHIYRPPPREIYQLDSRPLSVANIARPAQASMPDGPAVDFFDELGNVEEEAAEAAAAATSVHADSLQGRKHKLIVASELDNEAADQSAPAPRLSSPPPLPAPKVRPFSPRLAKRGRLKVSTVKPNTSFTGKDDNTPPQPPTTSVDEKPVAVPTDSQSELVEEEAPSTILPPSPQATVMDICPATAQIATSSAIIPTVNITPSTTASIASAATSQATPSPTLALTTTIDVPSADKGKQVQCSPAAIEPSAGYDSEKTVSDEIIGWRYGPNPDQVALMDQIEDQKNMTRLIQLMSESSDLVLKVVKNSNAKDTLLSVLAPLVEEGENVRDELAILKAEMAKSKNSEQNFKDSLRDIAGPDPALLEAKKQAEEQVLKLRVELTLLQGNNEVVLNVKSHEQANYYKDKFESLLKKHEELKKKAAKELSATKAKHNEEFLKMKTELEEAQAAEPILDNLHAATAESNTSSLQSVIEHLQSAPARLKKIILESASVACGQTLAVIKSLYPKLDFEPITSGYAEGTTNKKALELLDEVDGMA >LPERR07G09780.1 pep chromosome:Lperr_V1.4:7:9508511:9512004:-1 gene:LPERR07G09780 transcript:LPERR07G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNPESVQLNYENYGSDACPTASVSPLSLRLLRRDSTLCAIAFELPIHFCFHDLHCTLKLFLALPKILNHADKPKCRAFYIYRQTSREYYQIDSRPPSVATITRPMQAPMPVGPAINYFDDEEEEEEAADSSKGRKHKALITGDSDEEVVNQLAPAPRLSSPPPPTIPKAKPISPHPVKRVRLKVSNVKPNTSFTGKADDIPPQSPTTSVVESPAVTPTDLQSNSAEVIMPEATVSLPPQSADTDICPAATQVATSFDITPTAETTPTAAAAALAIVTKATPSPAPAFTTIVDTPSADKWKQVQGSPVAIGPTAGSDSERTVSEEIIGWRYGPNPDQVALIDRVEDQKNMTRLIQLMSESFHLVLKVVKNSNAKDTLLSVLAPVVEEGENIRDELAILKAEMAKNKDSERNFKDSLRDIAGPDPALMEAKKQADAQIQELQKSLEMYAQENREKRLVQFTESYRKLKSESLEEIQSAHKKYVDLQKKFEEPILDALNSATIGSNTSSFQMVVQLLQSAPSRLKNIILETASVACVQTLAMIKSLYPRIDLQPITTGYADGTTAERAMELVSEVDDIAKIMSNDSLYPEEENNDESNLVGSIQKQSYFM >LPERR07G09790.1 pep chromosome:Lperr_V1.4:7:9532608:9532913:-1 gene:LPERR07G09790 transcript:LPERR07G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPKEREGQSDDAKHGSDDCVEVDAPNPQDITKYFSLLSVLSQMGAPNLLGGLSNYVNSIGNAFTLQEVWVQN >LPERR07G09800.1 pep chromosome:Lperr_V1.4:7:9532960:9539182:-1 gene:LPERR07G09800 transcript:LPERR07G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTGGSTAKMLGSSGTLGDITDLSAAELKNKRARERYASLSAEKKEEKKTKNREYKQRKKDSFIGIYKSGTDMVVDAGTVQARPQSFVTLTRMVSNDGATCNDNKENTDMPFRCIIQGGTQTNPTNGFGSDKIGRNS >LPERR07G09800.2 pep chromosome:Lperr_V1.4:7:9532960:9539182:-1 gene:LPERR07G09800 transcript:LPERR07G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTGGSTAKMLGSSGTLGDITDLSAAELKNKRARERYASLSAEKKEEKKTKNREYKQRKKDSFIGIYKSGTVQARPQSFVTLTRMVSNDGATCNDNKENTDMPFRCIIQGGTQTNPTNGFGSDKIGRNS >LPERR07G09810.1 pep chromosome:Lperr_V1.4:7:9535892:9537176:1 gene:LPERR07G09810 transcript:LPERR07G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPKEHEGQGDDDKQGSDDCVEVDTPNTQDITKYFSLLSVVFQMGAPNLLGGLSNYVNFIGNAFTLQKVWVQNSKPYLISLSVKKLQLIVKQDQPTDHETFNLVVRNFTYDDIRTMKETKGTVTRHYVDLRFWIFMAIQMMGSLFLFILEKEEKKLFILDPSPFSVGSSRSPLGPYLKKIVFASDYFMKAMRKSGWTEDIPLG >LPERR07G09820.1 pep chromosome:Lperr_V1.4:7:9546281:9546955:-1 gene:LPERR07G09820 transcript:LPERR07G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFTFTNKCGDAIYPGVLTAGSAPPFPTTGFELQPGASADYDGVPPNWSGNVWARRLYSTDGAGRFSCASGDCGTGSVECGGSGNGPPSTLVEFTLYGGSDGGTDFYDISNVDGFNVPVQVDAPGCGTVACAADINAACPAELAAKDAASAVVVGCKSGCLAFGRDDLCCRGAYGSPDTCAPSDYSKFFKAQCPQAYSYAYDDKSSTFTCTSGASYQITFCP >LPERR07G09840.1 pep chromosome:Lperr_V1.4:7:9601427:9603794:-1 gene:LPERR07G09840 transcript:LPERR07G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAAQTVARLRPRRRLLLLPPVAAALLLFLILPYAPGVLLRRANSLGRRCLLPPPPDHLVLLPRAAPRLSIAVVTLSDEGGASGGRCFRGVLAATARNKRSYVAAHGYGLAALPAAAVDPRRPPSWSKVLALRAHLRRHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNDVNAGVYSDGDFMVHFAGLDDKLGWINKILRERRLVR >LPERR07G09850.1 pep chromosome:Lperr_V1.4:7:9692763:9701742:1 gene:LPERR07G09850 transcript:LPERR07G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVIMFFREIVHEESSHALKVPFRRIHLTGDEKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYIPESYTARE >LPERR07G09850.2 pep chromosome:Lperr_V1.4:7:9692763:9701742:1 gene:LPERR07G09850 transcript:LPERR07G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVIMFFREIVHEESSHALKVPFRRIHLTGDEKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMSAEFLAARKTISGEQHGEAGGEIYIPESYTARE >LPERR07G09850.3 pep chromosome:Lperr_V1.4:7:9692763:9702328:1 gene:LPERR07G09850 transcript:LPERR07G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTVTQEELSIQVTKQEMAALQPSFSSAMAMKSLKFPKTALLPRFGGIARPQDVQDRSVNFTCSRPKAASVTDPEIVHEESSHALKVPFRRIHLTGDEKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMMGSNMVKLEGRSIFQKAIQLANKCDKLDYNVD >LPERR07G09850.4 pep chromosome:Lperr_V1.4:7:9692763:9702328:1 gene:LPERR07G09850 transcript:LPERR07G09850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVIMFFREIVHEESSHALKVPFRRIHLTGDEKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVMQGMNAMMGSNMVKLEGRSIFQKAIQLANKCDKLDYNVD >LPERR07G09860.1 pep chromosome:Lperr_V1.4:7:9709246:9712556:1 gene:LPERR07G09860 transcript:LPERR07G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKFAEGSYVANKLDMLSMASSVYAAPLFQSGRDSVYVPESGCVANSQEGPYPGLFISDGFVFPPSEHENLPIESEFAKSNNNCDGQEGSCAGNIDEGSKEPVKEVDDRSGENLCATSDLQSANETTVPNLEPPEEHADQGKDNTTCKCDLPCEGWWKRKSNCLSHRVKGMTTVCTIVAAGALMGAVIMGQRWHQDKVHLHHFQFSIGSEGVSRIVGIFSRCKEALPGSQQLWSLLQTRVLPEQPVSA >LPERR07G09860.2 pep chromosome:Lperr_V1.4:7:9709246:9712556:1 gene:LPERR07G09860 transcript:LPERR07G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKFAEGSYVANKLDMLSMASSVYAAPLFQSGRDSVYVPESGCVANSQEGPYPGLFISDGFVFPPSEHENLPIESEFAKSNNNCDGQEGSCAGNIDEGSKEPVKEVDDRSGENLCATSDLQSANETTVPNLEPPEEHADQGKDNTTCKCDLPCEGWWKRKSNCLSHRVKGMTTVCTIVAAGALMGAVIMGQRWHQDKVHLHHFQFSIGSEGVSRIVGIFSRCKEALPGSQQLWSLLQTRVLPEQPVSA >LPERR07G09860.3 pep chromosome:Lperr_V1.4:7:9709684:9712556:1 gene:LPERR07G09860 transcript:LPERR07G09860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGIEEIKFAEGSYVANKLDMLSMASSVYAAPLFQSGRDSVYVPESGCVANSQEGPYPGLFISDGFVFPPSEHENLPIESEFAKSNNNCDGQEGSCAGNIDEGSKEPVKEVDDRSGENLCATSDLQSANETTVPNLEPPEEHADQGKDNTTCKCDLPCEGWWKRKSNCLSHRVKGMTTVCTIVAAGALMGAVIMGQRWHQDKVHLHHFQFSIGSEGVSRIVGIFSRCKEALPGSQQLWSLLQTRVLPEQPVSA >LPERR07G09870.1 pep chromosome:Lperr_V1.4:7:9727555:9729667:1 gene:LPERR07G09870 transcript:LPERR07G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAWTVAAVLASWAFNAVVHLVWRPRAITRRLRAQGVAGPDYRFFSGSLGEIKRLRGDGSGLQLDVSSHDFLPIVQPHFRRIFWGTAKHMLGQRVHGKTGTVGQNENGLVLTDSEEWKRHLKVVHPAFNMDKLKMMTVTMSDCAQSMMSEWDLELGTKGGIVEIELSRRFEELTADVISHTAFGSSYKQGKQVFLAQRELQFLAFSTFLSVQIPGFSYLLTKKNVKTWSLDKKCKTFFFAGHDTTSHLLTWTMFLLSARPEWQERLREEVETACGGTDEVPTRDMLNKLKLLHMFLLETLRLYSPVSLIQRKAGTNLELGGIKVPKGAILTIPIATIHRDKEVWGPDADEFRPERFEKGASRAAKHPNALLSFSSGPRSCIGQNFAMIEAKTVVAMILQRFSFELSPKYVHAPTDVITLCPKYGLPMIFKSLKV >LPERR07G09880.1 pep chromosome:Lperr_V1.4:7:9735611:9738003:1 gene:LPERR07G09880 transcript:LPERR07G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFSGMLAAAAAAVLASWAFNAVVHLVWRPRAITRRLRAQGVAGPDYRFFSGSLGEIKKLRGDGAGLQLDVSSHDFLPIVQPHFRKWIPLYGSTFLYWFGAQPNICLADVSIVRKVLSDRTGMYTKNLTNPHFVRLLGKGLVLTDGEEWKRHRKIVHPTFNMDKLK >LPERR07G09890.1 pep chromosome:Lperr_V1.4:7:9742069:9742539:-1 gene:LPERR07G09890 transcript:LPERR07G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVFLSVCFQLALVIALAANVPGIAHGRVIESKFELTPAADTKTEPTPTPKPELETKHTPQPNPKPSPQPDPKQDPQPNPQPTPQPNPKPDPQPNPQLDPKQSPQPSSKADPKPIPKRKPQPDPSPNPRPDPKPEHKPEPSPSPKPRPDPKPEP >LPERR07G09900.1 pep chromosome:Lperr_V1.4:7:9748493:9749825:1 gene:LPERR07G09900 transcript:LPERR07G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPTREALPSHRALPVRQPSPVQEPSSHHLGLPSPPPTRAKTSRAGVAGGSSLTLGFEPLVHAEEEANTMVHDERDRTLCNAEAALANTKVSLHHAEEAVAKRERAVEREQQRLLNREMTVKEAEQGFSDREASMVAPDQAITERETDVRQREMAARRAQEEVTRRMTAIADREKQHLASGRLTSLPGSASWSGRSGPCHANPNLVPPGRRTRSRRHANTSRPWTLSIGPGSAWWRHAWYACGRWHGLWEWGTPPPRDSHHPRGLSRQLDELVAGLREVPAAVDEMAKSPSFNLARKVASLILASYQSRDPNFGPYIPTEDFPAGTEEVTWGRVEDAVEAVMVGFKGTEPAFQLAFRESDSDDDEEGDSSDKPAA >LPERR07G09920.1 pep chromosome:Lperr_V1.4:7:9783102:9783674:-1 gene:LPERR07G09920 transcript:LPERR07G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAALSLCFHLALVIVLLLANVPDLADGRVIERKSDPKPVDPKPEPAPTPKPEPETKPTPQPNPQPNPQPDPKPAPQPDPKPTPKPEPKQDPQPDPKPTPQPDPKPNPKPNPQPEPNPNPKPEPKPEPKPEPTPSPKPKPDPKPEPQPDPKPDPPSPKPKPDPKAQPEPSQPKPPPLSSAIIIGVPKN >LPERR07G09930.1 pep chromosome:Lperr_V1.4:7:9806188:9813302:1 gene:LPERR07G09930 transcript:LPERR07G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAVVTLNVGGELFQTTAATLSRAGASSPLASLGPAPHFLDRDPRLFASLLSFLRRGRLTPSASDPPSAALLAEFEAALLASLSPASAFSPLALRPSALLPLAGRAPASAVAVPPSPHHPASVFAAHGGVVTRFDAALALRGSVLTPLPAVDSLVAASPALALAAARDFPGVHLCRFPDDAPASAREVLSWPGSPSATVLSMVATEASSPWLFAGFESARRNSSAVVAFDLNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGGHNLLLAAGSHSGPAGVVGDICLWDVRASSTVPVWELREKEDCFADIAASDRLSSLFKVGAASGEVFMADLRMLGGGGVGVEPWVCIGDRQRAAAAVSAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMMRNWVGNGPSAVMTGVGGDERVKEKAKIVSWAFGGSRMALARYDKRSIEVWDSASGNICQPIQIARVYKRRQLFGEEQLRSGTEKVRLQKPGFRAMAGGALDFLPAAAATFFIILLGINGTTAATFTFHNKCPETVWPATLSSAGHAAFPTTGFALPPGASISVTGVTPTWSGRMWGRHRCTTSGGRFSCESGDCGTGQVACNGAGGAPPATLAEFTLGGGDGTDFYDVSNVDGFNLPVEVRPEEQGCRATSCPADINRVCPSELAVRGGRDGGGGAVACRSACLAFGTDEYCCRGAYASPDRCAPSRYSRLFKAQCPQAYSYAFDDRSSTFTCANATGYRITFCPGSVAPPPMLN >LPERR07G09940.1 pep chromosome:Lperr_V1.4:7:9816075:9816694:-1 gene:LPERR07G09940 transcript:LPERR07G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGGQIRWRRPGSDDPLLSALSHSPLRSALLSHHDNGGGNCGTETACGSAEATTSTARGLVASVRCPREAKDEGKDGVAILSSYDKTKVVLCYINSFVATIKFGVLGALWTFFTSISQLQSFMDAIIFLWPVGTRLLAAKIS >LPERR07G09940.2 pep chromosome:Lperr_V1.4:7:9814158:9816694:-1 gene:LPERR07G09940 transcript:LPERR07G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGGQIRWRRPGSDDPLLSALSHSPLRSALLSHHDNGGGNCGTETACGSAEATTSTARGWARRRRVRANRVGPRRCPREAKDEGKDGVAILSSYDKTKVVLCYINSFVATIKFGVLGALWTFFTSISQLQSFMDAIIFLWPGPVYEIAGLTLFFEVHFLSCAVISLIWILV >LPERR07G09940.3 pep chromosome:Lperr_V1.4:7:9816075:9816694:-1 gene:LPERR07G09940 transcript:LPERR07G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGGQIRWRRPGSDDPLLSALSHSPLRSALLSHHDNGGGNCGTETACGSAEATTSTARGWARRRRVRANRVGPRRCPREAKDEGKDGVAILSSYDKTKVVLCYINSFVATIKFGVLGALWTFFTSISQLQSFMDAIIFLWPVGTRLLAAKIS >LPERR07G09950.1 pep chromosome:Lperr_V1.4:7:9817942:9820616:-1 gene:LPERR07G09950 transcript:LPERR07G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQQRHHCSRGDQGGSNGHAVWQRAPRHSPSSSDGTASSNPLPEKLSLHSRLGAFMKEETNEDGDGTSLNSC >LPERR07G09950.2 pep chromosome:Lperr_V1.4:7:9817942:9820616:-1 gene:LPERR07G09950 transcript:LPERR07G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQQRHHCSRFRGDQGGSNGHAVWQRAPRHSPSSSDGTASSNPLPEKLSLHSRFVLVQTRLGAFMKEETNEDGDGTSLNSC >LPERR07G09960.1 pep chromosome:Lperr_V1.4:7:9824164:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFDLAMSAVRGAGAWYGGLCVQRARRSDRRTCGIRGEKCGDGEHDRERKAGDRHRAESIGGHRPAHGRGGRRPSKRRDVPCPSERRADVAGACRWTMRPVVDGGAVRSSRGGAAEAAECACGMWAWRAEVADGRGAVEPFEAGSKHKQRAHTTHTTVTNGPGCPDRVEMIRISLTAAAPRGADRTHHATTGSRPSARFALSGGRSRVSTTTRSVNYFNTKAKPNGRWTHAGRPLQPRIPTVVSSSFLHHASVPWSGRMKGASAAGGGGLEGEGFGASSDNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKLKLLSRMAILKDDGTVEVDIPANTEAGTLDLSLNDYSNEAFSGEPLESSDFHQKPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09960.2 pep chromosome:Lperr_V1.4:7:9824164:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFDLAMSAVRGAGAWYGGLCVQRARRSDRRTCGIRGEKCGDGEHDRERKAGDRHRAESIGGHRPAHGRGGRRPSKRRDVPCPSERRADVAGACRWTMRPVVDGGAVRSSRGGAAEAAECACGMWAWRAEVADGRGAVEPFEAGSKHKQRAHTTHTTVTNGPGCPDRVEMIRISLTAAAPRGADRTHHATTGSRPSARFALSGGRSRVSTTTRSVNYFNTKAKPNGRWTHAGRPLQPRIPTVVSSSFLHHASVPWSGRMKGASAAGGGGLEGEGFGASSDNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKLKLLSRMAILKDDGTVEVDIPANTEAGTLDLSLNDYSNEAFSGEPLESSDFHQKPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYAVLVLLVQLGAIYTEIFSLMPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09960.3 pep chromosome:Lperr_V1.4:7:9824164:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFDLAMSAVRGAGAWYGGLCVQRARRSDRRTCGIRGEKCGDGEHDRERKAGDRHRAESIGGHRPAHGRGGRRPSKRRDVPCPSERRADVAGACRWTMRPVVDGGAVRSSRGGAAEAAECACGMWAWRAEVADGRGAVEPFEAGSKHKQRAHTTHTTVTNGPGCPDRVEMIRISLTAAAPRGADRTHHATTGSRPSARFALSGGRSRVSTTTRSVNYFNTKAKPNGRWTHAGRPLQPRIPTVVSSSFLHHASVPWSGRMKGASAAGGGGLEGEGFGASSDNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09960.4 pep chromosome:Lperr_V1.4:7:9824164:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFDLAMSAVRGAGAWYGGLCVQRARRSDRRTCGIRGEKCGDGEHDRERKAGDRHRAESIGGHRPAHGRGGRRPSKRRDVPCPSERRADVAGACRWTMRPVVDGGAVRSSRGGAAEAAECACGMWAWRAEVADGRGAVEPFEAGSKHKQRAHTTHTTVTNGPGCPDRVEMIRISLTAAAPRGADRTHHATTGSRPSARFALSGGRSRVSTTTRSVNYFNTKAKPNGRWTHAGRPLQPRIPTVVSSSFLHHASVPWSGRMKGASAAGGGGLEGEGFGASSDNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYAVLVLLVQLGAIYTEIFSLMPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09960.5 pep chromosome:Lperr_V1.4:7:9825701:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKHNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKLKLLSRMAILKDDGTVEVDIPANTEAGTLDLSLNDYSNEAFSGEPLESSDFHQKPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09960.6 pep chromosome:Lperr_V1.4:7:9825701:9839897:1 gene:LPERR07G09960 transcript:LPERR07G09960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKHNSSLQNEGTSPRGMGNAECSESSSDRSNLESIKSEESALSSSIFDKKISIKKKLKLLSRMAILKDDGTVEVDIPANTEAGTLDLSLNDYSNEAFSGEPLESSDFHQKPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLASEIPIQRKEIKDIIFSLLPACKDPDTDTGAPFNADAIIANPAAYAVLVLLVQLGAIYTEIFSLMPTCEFPHPFSRVKQPAGYKLSYQIVDSFVWLGIRDIINDFRKRKLRLRPVTYLSNTHGYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNFNPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKESVYIIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHQLVGAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSPEKPQPAPPSTFMQPLLLPVKRCFGIAS >LPERR07G09970.1 pep chromosome:Lperr_V1.4:7:9843579:9846129:1 gene:LPERR07G09970 transcript:LPERR07G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCQDWDKVEEIKVATPAGVDCSRRCILPSIVCSSCYAPPAPSSSSSHGSSPPAAEACNGSRQMAHPNPEEGHSNHEPCTQKKPVKSNLKKAPPATAQDEKNRVLVVSRKVTWPDSHGKDLAHVLEFHPR >LPERR07G09980.1 pep chromosome:Lperr_V1.4:7:9859351:9860367:-1 gene:LPERR07G09980 transcript:LPERR07G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVRASHSTTSVISNSDNRTVERSSHYSMISRHLQSPRLGRHIDNANNQEPANSTLPVEDSIVLEECHDPMQSSADLKCPLCRGSVSGWIPAGEVRKYLNEKLRACSHDFCKFVGTYEQLREHARTAHLLANPAHVDLSRKRTWDRLEREQEFGDVISAIRSQNPGAIIVGDYVIETRDVMSPDENTGDESNDEWSSPVRASVESPDNRYGSSSLWLNETPESPIMWADERHGLPRLQSQNNRVFPRFSFSDRTSSRSDWHSIRRPSTHNMVRRGFLNRHNRNSSDYRGIRRPLFDRSNGGNHRSSINRSLDDPSFVPRRQRLRYTHRSHHARD >LPERR07G09990.1 pep chromosome:Lperr_V1.4:7:9860382:9862266:-1 gene:LPERR07G09990 transcript:LPERR07G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSVWRRSVADLGREYLIEAWTDAYVYSHHALLCIWNGTGFLALFVWSNHTMLFYLYAVPTRMAVDVTFVTQVIDTLIA >LPERR07G09990.2 pep chromosome:Lperr_V1.4:7:9860382:9862667:-1 gene:LPERR07G09990 transcript:LPERR07G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYLYAVPTRMAVDVTFVTQVIDTLIA >LPERR07G09990.3 pep chromosome:Lperr_V1.4:7:9860382:9862266:-1 gene:LPERR07G09990 transcript:LPERR07G09990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSVWRRSVADLGRSHHALLCIWNGTGFLALFVWSNHTMLFYLYAVPTRMAVDVTFVTQVIDTLIA >LPERR07G10000.1 pep chromosome:Lperr_V1.4:7:9871725:9882672:1 gene:LPERR07G10000 transcript:LPERR07G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSTHNPASSSLPLLASHSHSRRLAFAFASHPRVLRGGSAPAIRLTRDPHQPAGDRCGGRVGVSSSSSAAAAEERMASAAAAEMAWMRVLEEGVFRFDASEAARAAAGPSISFVDPRRREAARDGADCPAVVPACHLAGDVQKVLIKLPGGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTRRCEIDLREESTIKFSAPSAYPIITFGPFDTPAEVMTSLSHAIGTVSMPPKWSLGYHQCRWSYDSSDKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLRSIGCQAIWMLDPGIKKEEGYFVYETGSKNDVWIKKADGNPFIGEVWPGDCVFPDFTSERARTWWASLVYGMLMARSTYEGMAKANIDKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHMKGTPVAAPVFFADTQDPKLRRIETSFLLGPLLVCASTVPDKGAHECSHKLPRGIWLPFDFGDSHPDLPILFLRGGAILPIGRPIKHVSEASLEDDLSLIISLDENGKAEGVLFEDAGDGYGFTQGNYLLTYYVAELCSSVVSVKVMKTEGSWKRPKRNLNINILLGGGAMISSHGIDGEEVQLTMPSDSEVSSLVAISELEQKKRLEMIKPIPDMDKPAGQEGAELSKIPVDLKSGDWALKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVVRRYLEQSGEEESICLEGDIGGGLVLQRQISILKDNPKIFQIDSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSTQEITPESGELVLEGDMRPNGEWMLVDNCAGLSLVNRFDPSQVSKCLVHWGTGDVNLELWSEERPVSKDTPLRICHQYEVRQTN >LPERR07G10010.1 pep chromosome:Lperr_V1.4:7:9905979:9915310:-1 gene:LPERR07G10010 transcript:LPERR07G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDYLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSSLRQLGSDSDGLSSVSVSKTMFETIARNLLLERTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQESPVTVALFPVIQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPTDREKSVDLKPLKDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGSVEPVCDLVSQFDYALGALGAVVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLVDVNEINNRLDMVQAFVEDPELRQGLRQQLKRMSDIDRLTHVLRKRASNLQPVVKLYQSCIRVSYIKNVLQQYDGNFSALIRTKFLNCLDEWLTEDRFGRFASLVQTAIDLDQLENGEYRISPQYSSDLAVLKDELSEVENHINNLHKHTATDLDLTVDKQLKLEKGLLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTRSKLKNLGDQYQALFGEYTSCQKKVVDDVVEVSATFSEVFENFAAILSELDVLQSFADLATSCPIPYVRPEITTSEEGDIVLEGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVGAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSSAHNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMDGTKLAETVTKLKYDFQKDAADNPWLQQFLANYMIRDKSPAPLPSPLPLWPPIMQQKMSRRCSAEGVHRSVENDQVKAKRKPDYVIP >LPERR07G10010.2 pep chromosome:Lperr_V1.4:7:9905979:9915310:-1 gene:LPERR07G10010 transcript:LPERR07G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDYLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSSLRQLGSDSDGLSSVSVSKTMFETIARNLLLERTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQESPVTVALFPVIQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPTDREKSVDLKPLKDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGSVEPVCDLVSQFDYALGALGAVVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLVDVNEINNRLDMVQAFVEDPELRQGLRQQLKRMSDIDRLTHVLRKRASNLQPVVKLYQSCIRVSYIKNVLQQYDGNFSALIRTKFLNCLDEWLTEDRFGRFASLVQTAIDLDQLENGEYRISPQYSSDLAVLKDELSEVENHINNLHKHTATDLDLTVDKQLKLEKGLLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTRSKLKNLGDQYQALFGEYTSCQKKVVDDVVEVSATFSEVFENFAAILSELDVLQSFADLATSCPIPYVRPEITTSEEGDIVLEGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVGAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSSAHNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMDGTKLAETVTKLKYDFQKDAADNPWLQQFLANYMIRDKSPAPLPSPLPLWPPIMQQKMSRRCSAEGVHRSVENDQVKAKRKPDYVIP >LPERR07G10010.3 pep chromosome:Lperr_V1.4:7:9907432:9915310:-1 gene:LPERR07G10010 transcript:LPERR07G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDYLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSSLRQLGSDSDGLSSVSVSKTMFETIARNLLLERTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQESPVTVALFPVIQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPTDREKSVDLKPLKDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGSVEPVCDLVSQFDYALGALGAVVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLVDVNEINNRLDMVQAFVEDPELRQGLRQQLKRMSDIDRLTHVLRKRASNLQPVVKLYQSCIRVSYIKNVLQQYDGNFSALIRTKFLNCLDEWLTEDRFGRFASLVQTAIDLDQLENGEYRISPQYSSDLAVLKDELSEVENHINNLHKHTATDLDLTVDKQLKLEKGLLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTRSKLKNLGDQYQALFGEYTSCQKKVVDDVVEVSATFSEVFENFAAILSELDVLQSFADLATSCPIPYVRPEITTSEEGDIVLEGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVGAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSSAHNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMDGTKLAETVTKLKYDFQKDAADNPWLQQFL >LPERR07G10010.4 pep chromosome:Lperr_V1.4:7:9907432:9915310:-1 gene:LPERR07G10010 transcript:LPERR07G10010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDYLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSSLRQLGSDSDGLSSVSVSKTMFETIARNLLLERTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQESPVTVALFPVIQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPTDREKSVDLKPLKDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGSVEPVCDLVSQFDYALGALGAVVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLVDVNEINNRLDMVQAFVEDPELRQGLRQQLKRMSDIDRLTHVLRKRASNLQPVVKLYQSCIRVSYIKNVLQQYDGNFSALIRTKFLNCLDEWLTEDRFGRFASLVQTAIDLDQLENGEYRISPQYSSDLAVLKDELSEVENHINNLHKHTATDLDLTVDKQLKLEKGLLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTRSKLKNLGDQYQALFGEYTSCQKKVVDDVVEVSATFSEVFENFAAILSELDVLQSFADLATSCPIPYVRPEITTSEEGDIVLEGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVEFANFPEAVVALAKSKAEELEDFSSAHNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMDGTKLAETVTKLKYDFQKDAADNPWLQQFL >LPERR07G10010.5 pep chromosome:Lperr_V1.4:7:9905979:9906615:-1 gene:LPERR07G10010 transcript:LPERR07G10010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSRFLANYMIRDKSPAPLPSPLPLWPPIMQQKMSRRCSAEGVHRSVENDQVKAKRKPDYVIP >LPERR07G10020.1 pep chromosome:Lperr_V1.4:7:9929611:9930799:-1 gene:LPERR07G10020 transcript:LPERR07G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQERSPDAAAGSRCVQPPQSVLYVSMGSVAKVSRKVFDEMAWGLAASGRAVLMGRPSRSLVIGEDDSAPPPLPDGVDMSRGMVREVLAHPVTDGFWTHCGWNSTLEAIYEGLLMLAQPCFNDQTVNTRYVTHQWGVGMELGKVFDRVRVAEAVRELMVGEQGDRVYILNGH >LPERR07G10030.1 pep chromosome:Lperr_V1.4:7:9934966:9935823:-1 gene:LPERR07G10030 transcript:LPERR07G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLLPGFKFNPSDDDLVTSYLLHRLQGNPLPLHGVILEADPLTTPPWKLLAEHGLGDEGFFFAEARAKNGKGKRQKRTVEGGGFWQGQRMCGGGGVEGISWSKYMLSFFAEGEKGSSGWVMHEFAVTSPPELASSPVRLYRVRFSGHGRKRRREPESEEASTIAPKRTRTEDALLQELVPPADEGCSSVMDESSMVFGDLPELIDLSAEEADAAGTCLSQEEIQNKSLSGIVDGEAPALCDFDIPESLDEVFDCIDFSFLDTIDFSMDGLFDLPAD >LPERR07G10040.1 pep chromosome:Lperr_V1.4:7:9937378:9938281:1 gene:LPERR07G10040 transcript:LPERR07G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLLPGFKFNPSDDDLVTSYLLRRLQGNPLPLHGVILNADPLSSPPWKLLAEYGLGDEGFFFAKARAKNSKGKRQNRTVEGGGFWQGQRMCGGESVEGISWRKYMLSFFAEGEKGSSGWVMHEFAVTSPPDLASSPFSGHGKKRRREPESDEAETTAPKRTRAEDALLQELVPPLPALVDGDGSDGADQCCSSVTDESSTVFGDLSDLSTLPTEEAAVGDSTAGTSSLGEIQNNSLSGVMNGEHLALCDFGFPESMDELFSCMDFNTADTSLLDMDFSMDDLFDLPAD >LPERR07G10050.1 pep chromosome:Lperr_V1.4:7:9943935:9944792:1 gene:LPERR07G10050 transcript:LPERR07G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLLPGFKFNPSDDDLVTSYLLHRLQGNPLPLHGVILEADPLTTPPWKLLAEHGLGDEGFFFAEARAKNGKGKRQKRTVEGGGFWQGQRMCGGGSVEGISWSKYMLSFFAEGEKGSSGWVMHEFAVTSPPELASSPVRLYRVRFSGHGRKRRREPESEEASTIAPKRTRTEDALLQELVPPADEGCSSVMDESSMVFGDLPELIDLSAEEADAAGTCLSQEEIQNKSLSGIVDGEAPALCDFDIPESLDEVFDCIDFSFLDTIDFSMDGLFDLPAD >LPERR07G10060.1 pep chromosome:Lperr_V1.4:7:9956843:9957412:1 gene:LPERR07G10060 transcript:LPERR07G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPAARTRCVVRIRVPPAWTAEEDATLQRLAKENGFRHWSRVARSMPRRSARACRDRWRHDLARDVYDRPFTARDDDELLRLHYRLGDRWKDIGRAVYGRTSRVMKRRWRELRRSGFPASAAGCRVEQHAVDMESDQEEKAESVTRELERPVADVLASSFASCSIASDCAMDPFAGSLALGFACMAV >LPERR07G10070.1 pep chromosome:Lperr_V1.4:7:9961621:9972779:1 gene:LPERR07G10070 transcript:LPERR07G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMPMVWVRILEEGVFRFDASEAARAAAGPSLSFVDPRRREAARDGADCPAVVPXDGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGEVQRVLVEVICYCATLSKIDGTFVVFSCDVDISLILSPSKLDSCGLTGGTSNKQLPSGTSFYGTGESSGPLERTGKMIFTWNTDSWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTYRCAIDLREESTMKFSASCAYPVIMFGPFNTPSEVTTSLSHAIGTVSMPPKWSLGYHQCRYSYDSSEKVLKVVKTFRERGIPCDVIWMDIDYMDGFRCFTFDRDRFPDPKSMVDDLHSIGCKAIWMLDPGIKNENGYFVHDSGSESDVWVQKEDSSPFIGEAWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAIFDTTTKTMPKSNIHRGDANIGGHQNHPYYHNVYGMLMAKSTYKGMKLANPAKRPYVLTRAGFIGLQRYAATWTGDNVSNWEHFHMSISMVLQLGLSGQPFSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSTTGSLDHEPWSFGEECEEVCRLALLRRYRLLPHLYTLFYLSHMNGTPVAAPVFFADPQDLELRRLETSFLLGPLLVCASTCPDKGAHEFAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAILPIGLPVKHVGEARSDDDLLLIIALDENGKAKGVLFEDDGDGYEFTEGNYFLTYYVAELQSSVVTIKVAQSEGSWKRPNRKLNINILLGGGAMVSTHGIDGEELHLTMPAESEMSSIVATSEHEHKRQMTRPIPDIDKPSGQEGAELFETPVDLKSEDWLLKVVPSIGGRIISMTHLASGYQWLHSTVRINGYEEYNAVEDTAGCTEEYKVLRRYRGQSGKEEYICLQGDIGGGLVLQRQISICKENPSIVKIDSSIQEKQVGAGSGGFSGLACLRVHPTFILQHLTEVSVVFTSINGTKQEIFPGSGELAFEGDLRPNGEWMLVDRCANLSLVRMCMLHWGTDYLNMELRSEQRSVSKGAPLRICHHYDVRQIS >LPERR07G10070.2 pep chromosome:Lperr_V1.4:7:9961621:9972779:1 gene:LPERR07G10070 transcript:LPERR07G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMPMVWVRILEEGVFRFDASEAARAAAGPSLSFVDPRRREAARDGADCPAVVPXDGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGEVQRVLVEVICYCATLSKIDGTFVVFSCDVDISLILSPSKLDSCGLTGGTSNKQLPSGTSFYGTGESSGPLERTGKMIFTWNTDSWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTYRCAIDLREESTMKFSASCAYPVIMFGPFNTPSEVTTSLSHAIGTVSMPPKWSLGYHQCRYSYDSSEKVLKVVKTFRERGIPCDVIWMDIDYMDGFRCFTFDRDRFPDPKSMVDDLHSIGCKAIWMLDPGIKNENGYFVHDSGSESDVWVQKEDSSPFIGEAWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAIFDVYGMLMAKSTYKGMKLANPAKRPYVLTRAGFIGLQRYAATWTGDNVSNWEHFHMSISMVLQLGLSGQPFSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSTTGSLDHEPWSFGEECEEVCRLALLRRYRLLPHLYTLFYLSHMNGTPVAAPVFFADPQDLELRRLETSFLLGPLLVCASTCPDKGAHEFAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAILPIGLPVKHVGEARSDDDLLLIIALDENGKAKGVLFEDDGDGYEFTEGNYFLTYYVAELQSSVVTIKVAQSEGSWKRPNRKLNINILLGGGAMVSTHGIDGEELHLTMPAESEMSSIVATSEHEHKRQMTRPIPDIDKPSGQEGAELFETPVDLKSEDWLLKVVPSIGGRIISMTHLASGYQWLHSTVRINGYEEYNAVEDTAGCTEEYKVLRRYRGQSGKEEYICLQGDIGGGLVLQRQISICKENPSIVKIDSSIQEKQVGAGSGGFSGLACLRVHPTFILQHLTEVSVVFTSINGTKQEIFPGSGELAFEGDLRPNGEWMLVDRCANLSLVRMCMLHWGTDYLNMELRSEQRSVSKGAPLRICHHYDVRQIS >LPERR07G10070.3 pep chromosome:Lperr_V1.4:7:9961621:9972779:1 gene:LPERR07G10070 transcript:LPERR07G10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMPMVWVRILEEGVFRFDASEAARAAAGPSLSFVDPRRREAARDGADCPAVVPXDGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGEVQRVLVELPSGTSFYGTGESSGPLERTGKMIFTWNTDSWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTYRCAVVKTFRERGIPCDVIWMDIDYMDGFRCFTFDRDRFPDPKSMVDDLHSIGCKAIWMLDPGIKNENGYFVHDSGSESDVWVQKEDSSPFIGEAWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAIFDTTTKTMPKSNIHRGDANIGGHQNHPYYHNVYGMLMAKSTYKGMKLANPAKRPYVLTRAGFIGLQRYAATWTGDNVSNWEHFHMSISMVLQLGLSGQPFSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSTTGSLDHEPWSFGEECEEVCRLALLRRYRLLPHLYTLFYLSHMNGTPVAAPVFFADPQDLELRRLETSFLLGPLLVCASTCPDKGAHEFAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAILPIGLPVKHVGEARSDDDLLLIIALDENGKAKGVLFEDDGDGYEFTEGNYFLTYYVAELQSSVVTIKVAQSEGSWKRPNRKLNINILLGGGAMVSTHGIDGEELHLTMPAESEMSSIVATSEHEHKRQMTRPIPDIDKPSGQEGAELFETPVDLKSEDWLLKVVPSIGGRIISMTHLASGYQWLHSTVRINGYEEYNAVEDTAGCTEEYKVLRRYRGQSGKEEYICLQGDIGGGLVLQRQISICKENPSIVKIDSSIQEKQVGAGSGGFSGLACLRVHPTFILQHLTEVSVVFTSINGTKQEIFPGSGELAFEGDLRPNGEWMLVDRCANLSLVRMCMLHWGTDYLNMELRSEQRSVSKGAPLRICHHYDVRQIS >LPERR07G10070.4 pep chromosome:Lperr_V1.4:7:9961621:9972779:1 gene:LPERR07G10070 transcript:LPERR07G10070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMPMVWVRILEEGVFRFDASEAARAAAGPSLSFVDPRRREAARDGADCPAVVPXDGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGEVQRVLVELPSGTSFYGTGESSGPLERTGKMIFTWNTDSWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTYRCAVVKTFRERGIPCDVIWMDIDYMDGFRCFTFDRDRFPDPKSMVDDLHSIGCKAIWMLDPGIKNENGYFVHDSGSESDVWVQKEDSSPFIGEAWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAIFDVYGMLMAKSTYKGMKLANPAKRPYVLTRAGFIGLQRYAATWTGDNVSNWEHFHMSISMVLQLGLSGQPFSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSTTGSLDHEPWSFGEECEEVCRLALLRRYRLLPHLYTLFYLSHMNGTPVAAPVFFADPQDLELRRLETSFLLGPLLVCASTCPDKGAHEFAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAILPIGLPVKHVGEARSDDDLLLIIALDENGKAKGVLFEDDGDGYEFTEGNYFLTYYVAELQSSVVTIKVAQSEGSWKRPNRKLNINILLGGGAMVSTHGIDGEELHLTMPAESEMSSIVATSEHEHKRQMTRPIPDIDKPSGQEGAELFETPVDLKSEDWLLKVVPSIGGRIISMTHLASGYQWLHSTVRINGYEEYNAVEDTAGCTEEYKVLRRYRGQSGKEEYICLQGDIGGGLVLQRQISICKENPSIVKIDSSIQEKQVGAGSGGFSGLACLRVHPTFILQHLTEVSVVFTSINGTKQEIFPGSGELAFEGDLRPNGEWMLVDRCANLSLVRMCMLHWGTDYLNMELRSEQRSVSKGAPLRICHHYDVRQIS >LPERR07G10080.1 pep chromosome:Lperr_V1.4:7:9986213:9990237:-1 gene:LPERR07G10080 transcript:LPERR07G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHTHCHAMAMSVFTEGWHQLLSSSSARLCGAASSNALAHGLVAGQQPQSDAAAGDSAAGGCQLAGLHQVDN >LPERR07G10080.2 pep chromosome:Lperr_V1.4:7:9986213:9990186:-1 gene:LPERR07G10080 transcript:LPERR07G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMSVFTEGWHQLLSSSSARLCGAASSNALAHGLVAGQQPQSDAAAGDSAAGGCQLAGLHQVDN >LPERR07G10090.1 pep chromosome:Lperr_V1.4:7:9993984:9997625:1 gene:LPERR07G10090 transcript:LPERR07G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTARRSEPELVAPARPTPRETKPLSDLDDQWTLRYYETVVGFFRVSSKMAGLLLSAGDNVAAKVIRAAVAEALVYYYPVAGRLRILPADCGGNNKLAVDCTAEGVVFVEATADVQLEELGEPLLPPYPCVEEFLGDAGDTRAILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIADLAGGEPVPSTTPVWGREFFAARTPPSLTHVYPAYKPILDSLDRNKDDDVMLTTPPETMVMKYFNFGPKEIAILRSLIPPHLIKSTTTFELLTAVMWRCRTLALGYEPDQQVRLMFTLNLRGGWNHEATIPQGYYGNAHFSPLVVVTVDELIRQPLADTVELMCRAKSNTTKECMESMVDLLASWREQPAFPIERTYEVSDTKWVGGAGALRCGVAELVGGGTPFAGDLTSKLISYHMKCKDENGEDSIVVSMLLPKPAMEGFTKEMSFCLVEEP >LPERR07G10100.1 pep chromosome:Lperr_V1.4:7:10012639:10015044:1 gene:LPERR07G10100 transcript:LPERR07G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSTPELVAPARPTPHELKTLSDIDDSKALRYYQPFVEFFRRRRRGPAVDAVDPAKAIRAALAEALVHYYPMAGCLRKLPGGKLAVDCTGEGVVFVEADADVRLDELGHSVVEPPFSGREEFMCDVGDSGDVLGKPLFFLQVTRLKCGGFVTGFHTCHNMADGFGMIQFMKAITDIAHTDQLPIVKPVWERELLMARDPPCITHMCPAYGSLLSDAPEEDGDSITGSGDIILSTPPEAFVGRFFFFTAADVAALRAHIPKHLAKSTSTFEIVTAATWRCRTAALGYQKTQRVRLLFTVNARARCSSGVLPIPQGYFGNALFYPLVDCTVDELCGKPLEHAVGLVHNAKVDMAEKEEQMRSMVDVMAMLRELPPVAMERTHIVSDTRWIGEENLDFGWAERVSGGIPSPMLVGSMGMSEYMMCKNADGDDSTVVPMYLPGPAMDCFVKEIDRLLNRLE >LPERR07G10110.1 pep chromosome:Lperr_V1.4:7:10017808:10024026:1 gene:LPERR07G10110 transcript:LPERR07G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTKPWMGEGLLLPISMVLVQFFTIGALILSKLAFNVGMAPFVLLAYRNLIGTIIMVPFAFWFEREMMKKVNYKVLVWISLNALFGIVLAMGLHYYGLRATNAAYTVNFLNLIPIVTFVIAVILRLEKLKLKTRPGMMKVIGTIMCVGGTMVVSLYKGKLLHLWPTHLLKPAQLRAIGGDDTGSPNHQNMLIGTLYLCGSCLSYAFWFIVQLQQHLYCSETCNMQTKVSKEFPSKYFSSMLACLLGTIQSMVLGLAVDRDLSAWALKWDLQLLTVVYSGVFNTAASFCLISWAVMRRGPTYPSMFNSLSLIVTIILESVLLESDISVGSLLGAFMIILGLYAFLWGKGKEIQRQKQSHATTDVDQNKPTDSTSNEEVRIRIEDR >LPERR07G10120.1 pep chromosome:Lperr_V1.4:7:10053334:10053702:-1 gene:LPERR07G10120 transcript:LPERR07G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLAVVAIVTVFVAATVPGVTAYVVIKGWRHIQNISDPNIQELGQWAVTETNKVSPSSLLTFSKVTSAWKPELHFETTKYRLLLIDASRSGVMHNYEALLIVENAHTRKLLSFEGHHI >LPERR07G10130.1 pep chromosome:Lperr_V1.4:7:10096692:10097591:-1 gene:LPERR07G10130 transcript:LPERR07G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQSVTVNLVATVADVVGGWSPIEDINDLHIQELGEWAVSEHNKVSTTSALTFSKVTSGEQQVVNGMNYRLFIAASTSNSVGYPPSTD >LPERR07G10140.1 pep chromosome:Lperr_V1.4:7:10099983:10100353:-1 gene:LPERR07G10140 transcript:LPERR07G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSFFLAAIGIVAVCAAAVTAPGAMAATVDAWSPIKNINDPLLEENKVSQNVALTFSKVTGGEQQLQDVTDMKYRLYIDVSSGNNKNSSYMEVVLEQANMRKLISFSMSRC >LPERR07G10150.1 pep chromosome:Lperr_V1.4:7:10123398:10123835:1 gene:LPERR07G10150 transcript:LPERR07G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVILPPPLHSLLHLLECISRGCALPASLLFPSSDADADEHQLQLQLQLAAPPADTAATRAQADGIKSRLPVVRFAGSGCCDSRDEGECPRCAVCLTAVEEGAEVRQLGNCSHAFHLPCIDRWVDMGQFTCPLCRSLL >LPERR07G10160.1 pep chromosome:Lperr_V1.4:7:10132610:10148416:1 gene:LPERR07G10160 transcript:LPERR07G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDIRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPCTLALVEEEYDEESAVAHVRRLLDIVACTTSFGPPPPPPPPPSPKDAAADSAKEPSSGPKPAAAASGACPRLGAFYEFFSLANLTPPLHFVRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNYPYGFRANTWLVPPIAAQSPSTFPPLPSEDEIWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMETKDVSASANIDEVLHSETVGNFSIAVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKTKVNSSIKPIDITDQPDGGAHALNINSLRMLLNEANSTGEKKILNLPQNHKQEELTVAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKAVDKSTKETKIEGLGKPLKALKNSKNVDVADKGSSLGEKSTSDGTSSAENQKIKPSAAESPQGESNASENESLLKDLLSDSTFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVSTSSHNVRPLVWKWLVSFLKKRYKFELTEKHYHDVRKYALLRGLCHKVGIELAPRDFIMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEENKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTPANLEEEKQIIEPLQDDTVNIVAEAEIQQGLESVEYPASPEHPAERAEVITNLPKEVVLEETIEPEDGWQPVQRPKSAGGSGKQMKHFNPTTRKMYEPDNHAPQYMSQYKARNTYSNNRYYFLKKRTVVPATYTDPHQNVKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQLSGKAESQMAYSQVHNTTSINHKESEAHGTLVASSGNAPSYKDVALARPGTIAKTQIQKSRDDVLQNQLSLGQIIAQEMKDSLVDTQQVEQGSVSANTNNPNEESHIPEEIQHSEDMKVSDKERDTGNIDKGDVPNDEKSLNASEPVNGRTSQEPVSCSNENAPVELMESSNSAKDEQSKKSDMEIFKAALPTSIESITVSASTANTEGLGDSRNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPIHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPLPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGPAWSSSHPVDFTPTPHVVDPTSQSLADTHIQSDAAVVSIGPSLDSNTMAVNQEMEATMVVGGNLISDKRSGDDQDKQSKEAVRIELNPDMSGDKEHGICATDHPRNSMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRESDIFRPSTFSFAEVVSSGN >LPERR07G10160.2 pep chromosome:Lperr_V1.4:7:10132610:10150047:1 gene:LPERR07G10160 transcript:LPERR07G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDIRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPCTLALVEEEYDEESAVAHVRRLLDIVACTTSFGPPPPPPPPPSPKDAAADSAKEPSSGPKPAAAASGACPRLGAFYEFFSLANLTPPLHFVRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNYPYGFRANTWLVPPIAAQSPSTFPPLPSEDEIWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMETKDVSASANIDEVLHSETVGNFSIAVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKTKVNSSIKPIDITDQPDGGAHALNINSLRMLLNEANSTGEKKILNLPQNHKQEELTVAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKAVDKSTKETKIEGLGKPLKALKNSKNVDVADKGSSLGEKSTSDGTSSAENQKIKPSAAESPQGESNASENESLLKDLLSDSTFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVSTSSHNVRPLVWKWLVSFLKKRYKFELTEKHYHDVRKYALLRGLCHKVGIELAPRDFIMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEENKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTPANLEEEKQIIEPLQDDTVNIVAEAEIQQGLESVEYPASPEHPAERAEVITNLPKEVVLEETIEPEDGWQPVQRPKSAGGSGKQMKHFNPTTRKMYEPDNHAPQYMSQYKARNTYSNNRYYFLKKRTVVPATYTDPHQNVKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQLSGKAESQMAYSQVHNTTSINHKESEAHGTLVASSGNAPSYKDVALARPGTIAKTQIQKSRDDVLQNQLSLGQIIAQEMKDSLVDTQQVEQGSVSANTNNPNEESHIPEEIQHSEDMKVSDKERDTGNIDKGDVPNDEKSLNASEPVNGRTSQEPVSCSNENAPVELMESSNSAKDEQSKKSDMEIFKAALPTSIESITVSASTANTEGLGDSRNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPIHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPLPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGPAWSSSHPVDFTPTPHVVDPTSQSLADTHIQSDAAVVSIGPSLDSNTMAVNQEMEATMVVGGNLISDKRSGDDQDKQSKEAVRIELNPDMSGDKEHGICATDHPRNSMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENLKFSIQDFDRSNEYVFGPISTGAAVVRTAPASGSGAHASASSGGGGLVGERRGACVGEWRRQRATEAAPASASGGGGEQRRGRWGGAPALALPEIRRRRASSSGDAGRKGGG >LPERR07G10160.3 pep chromosome:Lperr_V1.4:7:10131744:10150047:1 gene:LPERR07G10160 transcript:LPERR07G10160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDIRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPCTLALVEEEYDEESAVAHVRRLLDIVACTTSFGPPPPPPPPPSPKDAAADSAKEPSSGPKPAAAASGACPRLGAFYEFFSLANLTPPLHFVRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNYPYGFRANTWLVPPIAAQSPSTFPPLPSEDEIWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMETKDVSASANIDEVLHSETVGNFSIAVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKTKVNSSIKPIDITDQPDGGAHALNINSLRMLLNEANSTGEKKILNLPQNHKQEELTVAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKAVDKSTKETKIEGLGKPLKALKNSKNVDVADKGSSLGEKSTSDGTSSAENQKIKPSAAESPQGESNASENESLLKDLLSDSTFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVSTSSHNVRPLVWKWLVSFLKKRYKFELTEKHYHDVRKYALLRGLCHKVGIELAPRDFIMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEENKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTPANLEEEKQIIEPLQDDTVNIVAEAEIQQGLESVEYPASPEHPAERAEVITNLPKEVVLEETIEPEDGWQPVQRPKSAGGSGKQMKHFNPTTRKMYEPDNHAPQYMSQYKARNTYSNNRYYFLKKRTVVPATYTDPHQNVKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQLSGKAESQMAYSQVHNTTSINHKESEAHGTLVASSGNAPSYKDVALARPGTIAKTQIQKSRDDVLQNQLSLGQIIAQEMKDSLVDTQQVEQGSVSANTNNPNEESHIPEEIQHSEDMKVSDKERDTGNIDKGDVPNDEKSLNASEPVNGRTSQEPVSCSNENAPVELMESSNSAKDEQSKKSDMEIFKAALPTSIESITVSASTANTEGLGDSRNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPIHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPLPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGPAWSSSHPVDFTPTPHVVDPTSQSLADTHIQSDAAVVSIGPSLDSNTMAVNQEMEATMVVGGNLISDKRSGDDQDKQSKEAVRIELNPDMSGDKEHGICATDHPRNSMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENLKFSIQDFDRSNEYVFGPISTGAAVVRTAPASGSGAHASASSGGGGLVGERRGACVGEWRRQRATEAAPASASGGGGEQRRGRWGGAPALALPEIRRRRASSSGDAGRKGGG >LPERR07G10160.4 pep chromosome:Lperr_V1.4:7:10133351:10150047:1 gene:LPERR07G10160 transcript:LPERR07G10160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDIRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPCTLALVEEEYDEESAVAHVRRLLDIVACTTSFGPPPPPPPPPSPKDAAADSAKEPSSGPKPAAAASGACPRLGAFYEFFSLANLTPPLHFVRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNYPYGFRANTWLVPPIAAQSPSTFPPLPSEDEIWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMETKDVSASANIDEVLHSETVGNFSIAVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKTKVNSSIKPIDITDQPDGGAHALNINSLRMLLNEANSTGEKKILNLPQNHKQEELTVAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKAVDKSTKETKIEGLGKPLKALKNSKNVDVADKGSSLGEKSTSDGTSSAENQKIKPSAAESPQGESNASENESLLKDLLSDSTFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVSTSSHNVRPLVWKWLVSFLKKRYKFELTEKHYHDVRKYALLRGLCHKVGIELAPRDFIMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEENKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTPANLEEEKQIIEPLQDDTVNIVAEAEIQQGLESVEYPASPEHPAERAEVITNLPKEVVLEETIEPEDGWQPVQRPKSAGGSGKQMKHFNPTTRKMYEPDNHAPQYMSQYKARNTYSNNRYYFLKKRTVVPATYTDPHQNVKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQLSGKAESQMAYSQVHNTTSINHKESEAHGTLVASSGNAPSYKDVALARPGTIAKTQIQKSRDDVLQNQLSLGQIIAQEMKDSLVDTQQVEQGSVSANTNNPNEESHIPEEIQHSEDMKVSDKERDTGNIDKGDVPNDEKSLNASEPVNGRTSQEPVSCSNENAPVELMESSNSAKDEQSKKSDMEIFKAALPTSIESITVSASTANTEGLGDSRNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPIHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPLPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGPAWSSSHPVDFTPTPHVVDPTSQSLADTHIQSDAAVVSIGPSLDSNTMAVNQEMEATMVVGGNLISDKRSGDDQDKQSKEAVRIELNPDMSGDKEHGICATDHPRNSMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENLKFSIQDFDRSNEYVFGPISTGAAVVRTAPASGSGAHASASSGGGGLVGERRGACVGEWRRQRATEAAPASASGGGGEQRRGRWGGAPALALPEIRRRRASSSGDAGRKGGG >LPERR07G10160.5 pep chromosome:Lperr_V1.4:7:10146954:10150047:1 gene:LPERR07G10160 transcript:LPERR07G10160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQHLKFSIQDFDRSNEYVFGPISTGAAVVRTAPASGSGAHASASSGGGGLVGERRGACVGEWRRQRATEAAPASASGGGGEQRRGRWGGAPALALPEIRRRRASSSGDAGRKGGG >LPERR07G10170.1 pep chromosome:Lperr_V1.4:7:10150104:10151061:1 gene:LPERR07G10170 transcript:LPERR07G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARDGRRQRRVVQGRTFAGQQYYGSEGGNGGYDGTMVVGGNLISDQCSEDDQDKQSKEAVRTELNPDLSGDKEHGICATDHPRNSMENEDEDATV >LPERR07G10180.1 pep chromosome:Lperr_V1.4:7:10153954:10155151:1 gene:LPERR07G10180 transcript:LPERR07G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLVVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLIAWAITAVAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHTGLFGGGGGGYRDQHDYGVGAGAAAAEPKGTARI >LPERR07G10190.1 pep chromosome:Lperr_V1.4:7:10161367:10161822:-1 gene:LPERR07G10190 transcript:LPERR07G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMSLAPRKEICVQLQQSTQRKKVQSPFILLMTVAYSGGHQRAIPNFSLMPFDLLLEDSFILKVRDFFASPQGSTSQQQGMQQEQEKNTEEQEQEKINLQLEEISSATVHQLVFLLQDMNTFTWQLELDLAQQSRSALSTLDSLEGEPSA >LPERR07G10200.1 pep chromosome:Lperr_V1.4:7:10166887:10168197:1 gene:LPERR07G10200 transcript:LPERR07G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETNKGGYYGARAKIEGYYYMSTGCYNLKCDGFVPANGAELICQGKLLLLHQLTMERIDINTGDWVLFRDDLDKPLFLSHFPMELCPKLIDGAPKMAWAGFVSYPKNEPGPAMGSGHFPGEGKRKAAYIKNIKFFDERTNAHEPSTKELLPVTDRPDCYKLSRVDHILKDRIMFYYGGPNGCNG >LPERR07G10210.1 pep chromosome:Lperr_V1.4:7:10175341:10176294:1 gene:LPERR07G10210 transcript:LPERR07G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPERTDDVVEQLSGDAPTTLSARTTAAVIRSTPSFAREMVAGGVAGVVAKTAVAPLDRVKLMRQVGAAPRGAALPTMRDIARREGLAGLYRGNGANALRGFQSKAIHFAAYERYRALLLPSLGGDGPIVDLLAGSAAGGKAAVLTYPLDLARTRLAVDAGGGGGGVRAVLRRAYEEGGSVRGVYRGLCPSLARVLPASGLNFCAYEALKARVLVMFPEREAAAKVACGLAAGMAASTATYPLDVVRRQIQMGRGGGGVGGTLRGLGDIVREQGARQLYAGLGITYVKKVPSVAVSMVAYDHMKTLLMLPPRDHKD >LPERR07G10220.1 pep chromosome:Lperr_V1.4:7:10179132:10180346:-1 gene:LPERR07G10220 transcript:LPERR07G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCHHHHHYLKVRALLCGGSGFSGGGGFPKPTKPSPSSPPLTHFLKHLLGSPASLSTAAGEPCSLTLHFLRNSCGLSEAAAARAAARVRLRSTKRAHAVLALFRGIGLSGADIARVVSLCPTMLSYRADVTLAPKVDFFRGELGLTDVQMRRLILASPYRVLSPSLDRIVRPNYLLLKELVGSDSNVTAAVVQSTEFIHGDLRGILLPKLKILRDHGATDDVIVKLITTHPKALMHRASPFEESLAAMKDLGVRPSSRMFPYIFGLFARLYPNKWNSKMDNYLSLGWTKEQVMDAFIVHPYCMSVSEDKLKRIWQFVAERLGWSPEYVSGSPMVLSLSYEKRILPRCMVLNSLASRGLFKGSIKICHMLLGEEKFMKKFVTRYQEEIPEVMEAYSARSAVAV >LPERR07G10230.1 pep chromosome:Lperr_V1.4:7:10182339:10187086:-1 gene:LPERR07G10230 transcript:LPERR07G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPEGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGKSPAMLPPPPCCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDANGVPLPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPEFAKGSCVTVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQDRLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPLPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQSSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGRARRKPRNLKQRRRKDLFSREQKTNHLHTHLVTLKRVLQIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMALFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >LPERR07G10240.1 pep chromosome:Lperr_V1.4:7:10210403:10213447:-1 gene:LPERR07G10240 transcript:LPERR07G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPDQHRRRWRLARFHDSAAAAPPSGRQLTTRAPPPSPSVPARTTAERLGSLREMRRVWWVCGVGYWVQGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLIAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLRTQEPKTMFTAFSILLGLQLALSLSTKETLPSSYGNLNSQHVRTSLSANLRKQFSNLMTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQYLKLDPSIIGLSKVVGQVMVLSLTVLYNNYLKKIPLKRLVAGVQMMYALAVLSDLVLVKQVNLMLGIPNEIYVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVYGVGLSSLIGVSGGDYSNFPLCILLQSLAALLPLGWISFLPEKWTADDKILKPR >LPERR07G10250.1 pep chromosome:Lperr_V1.4:7:10213458:10213841:-1 gene:LPERR07G10250 transcript:LPERR07G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIPTERRRGALNGPANTSPHTLIGPTHVMWGRHHKPPNRSGSDSLSPPSPFQNALLLSRRDAFPSSLATPPRPPTNTQLRASRPPLPIPTLLRRRGVVFRRNALRVSDHPLRAAPSPPAGAGQ >LPERR07G10260.1 pep chromosome:Lperr_V1.4:7:10216688:10217029:-1 gene:LPERR07G10260 transcript:LPERR07G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADYTAASSSSSSSSTTAAPSSSSFSSAAAAVSSSSSSLSLGARFNLPKSTLPAWIHAAASPYERPCLLHGPLELRHHNSGQAAVWRSAARRIPHWPPPATGRGGRREARVA >LPERR07G10270.1 pep chromosome:Lperr_V1.4:7:10231428:10232545:1 gene:LPERR07G10270 transcript:LPERR07G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKASTTAEGVLKKPRREETTVTDGGPNVGDETAVTPQGRPSSSGAPLSPSSKGAAPSSHRLIPPLSPHSGKVSSRPGPRKAPCPVGPSVKVAPHDVVKKKLEVSKADLAKMKELYLSSTNQYKNLEARLDELGGTWTS >LPERR07G10290.1 pep chromosome:Lperr_V1.4:7:10243128:10243697:1 gene:LPERR07G10290 transcript:LPERR07G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPAARTRCVVRIRVPPAWTAEEDATLQRLAKENGFRHWSRVARSMPRRSARACRDRWRHDLARDVYDRPFTARDDDELLRLHYRLGDRWKDIGRAVYGRTSRVMKRRWRELRRSGFPASAAGCRVEQHAVDMESDQEEKAESVTRELERPVADVLASSFASCSIASDCAMDPFAGSLALGFACMAV >LPERR07G10300.1 pep chromosome:Lperr_V1.4:7:10254621:10259163:1 gene:LPERR07G10300 transcript:LPERR07G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLYQMIALCWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVGAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSSAGNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMVGTKLAETVTKLKSDFQKDAADNPWLQQFL >LPERR07G10300.2 pep chromosome:Lperr_V1.4:7:10254622:10259163:1 gene:LPERR07G10300 transcript:LPERR07G10300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLYQMIALCWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFIPCDRASISVRDCIFARVGAGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQLVPNLGIANYHVGAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSSAGNFSDESKDEVGSKRKRVFSPDDVTRGAARARLLLEEFATLPLDEMVGTKLAETVTKLKSDFQKDAADNPWLQQFL >LPERR07G10310.1 pep chromosome:Lperr_V1.4:7:10267784:10268987:-1 gene:LPERR07G10310 transcript:LPERR07G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGDAARDDSDYSGEGQDEAASYKRTKRHSYVQIKQLESVFEKNNYVGQKQREELAKKLGMEERQIKFWFRNRRTRNKEENKTLLAENKELKEAICDQICLKCDSPIVCAAKTTQMQYLRFQNMRLHCELQRATAVLIQVTQDANACPPKVFPLTRDLAVAQISSPTINNQNSPAINYPTPLELENWISPNSVNSISPSKH >LPERR07G10320.1 pep chromosome:Lperr_V1.4:7:10298586:10301001:1 gene:LPERR07G10320 transcript:LPERR07G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGINNDDVYDHDGARDGQGKAMPYKNVKRHTYEHVKQLEGAFEKCSHPTEEQRVDLAKKLGMEERQVKFWFQNRRSRKKVYDERQEGMLLQEKSEILLLENKVLKEAMHDKICFMCSNPIIPAKQTLQQCFLRFQNMMLADELQHATAVLDQVVHDADAGLPLVFPLSGASDMPHYDGSQTVYSQTSQESGAIEAREAYEAIGDSTPAAAGDMLLVNGEPVSISSCGRHPGVGLHRDVGGVEERRGGDGDGGERDEAVVAAASMPAVTAASALVTLGEKATGVRGIEEEGEKNKTKRYFFSCN >LPERR07G10330.1 pep chromosome:Lperr_V1.4:7:10336727:10340510:1 gene:LPERR07G10330 transcript:LPERR07G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQSMATEQHKKMEGIMVSAATGVMNSVISKLTELLGEEYNLQKGVKQDIAFLKDELSSMNALLEKLADMDVLDPLTNDWRNQVRDMAYDIEDCIDRCMLQLHCESDKPAGIMGFFSDMIKKVEMLGTHHRMGKQIQELKTRIGEASQRRKRYKIDVVLNSSGTSILETIDPRLPALYVESSSLVGINGPTDELIKLVDDGEQSLKVVSIVGLGGVGKTTLANQVYIKLGQQFHCQAFVSISQKPDVKNILGNILSQINKGLVQDKNREECWLIDELRAFLKDKRYFVIIDDIWSTEAWKFIKCALPENTCGSRILLTTRNGNVAKICCYPQHGTVYEIRPLNEADSKGLFFRRIFGSEEQCPVHLKDVSVGIINKCGGLPLALITIASLLAVKSKNWEEWMGIRNMIGLGLQKNIGTDEMTRILYLSYTDLPRHLKTCLLYLSMYPEDRVIDVQQLVRRWRAEGFIKEKYGRNLLEEGESYLNELINRSLIRPENIGRDGRAKTCRMHDIILDFIVSKAVEENFVTFFSDKVLEGNARRLLVDFRGKEIFMPMLSTATANANVRSLGIFGYQEEMLHISSHMHALRVLNIHCSKIFRVEVCDIGKLLQLRYLRIEAINELPTSIVNLRRLKSLLVHSVRLPDGVGNMQALEELSRVNVDELSIQACCLHRISDWVASASLTSLTTLAITVQQVTQETIEILGNFPALLYLVIWSKGYDTAKRLNIYSNRFGCLKTLELDYSPVNLMFHAGAMPKLESIYFLIKPNSMQSACDHQNLGIRHLLALRCLDVGIDCQGVRVEEVEALEAAINNEASLLPDCSSKCVYRYFSDDIGLRISETSASPEHLAT >LPERR07G10330.2 pep chromosome:Lperr_V1.4:7:10336727:10340510:1 gene:LPERR07G10330 transcript:LPERR07G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQSMATEQHKKMEGIMVSAATGVMNSVISKLTELLGEEYNLQKGVKQDIAFLKDELSSMNALLEKLADMDVLDPLTNDWRNQVRDMAYDIEDCIDRCMLQLHCESDKPAGIMGFFSDMIKKVEMLGTHHRMGKQIQELKTRIGEASQRRKRYKIDVVLNSSGTSILETIDPRLPALYVESSSLVGINGPTDELIKLVDDGEQSLKVVSIVGLGGVGKTTLANQVYIKLGQQFHCQAFVSISQKPDVKNILGNILSQINKGLVQDKNREECWLIDELRAFLKDKRYFVIIDDIWSTEAWKFIKCALPENTCGSRILLTTRNGNVAKICCYPQHGTVYEIRPLNEADSKGLFFRRIFGSEEQCPVHLKDVSVGIINKCGGLPLALITIASLLAVKSKNWEEWMGIRNMIGLGLQKNIGTDEMTRILYLSYTDLPRHLKTCLLYLSMYPEDRVIDVQQLVRRWRAEGFIKEKYGRNLLEEGESYLNELINRSLIRPENIGRDGRAKTCRMHDIILDFIVSKAVEENFVTFFSDKVLEGNARRLLVDFRGKEIFMPMLSTATANANVRSLGIFGYQEEMLHISSHMHALRVLNIHCSKIFRVEVCDIGKLLQLRYLRIEAINVHLSDQIGELKFLETLDLSYCVYIKELPTSIVNLRRLKSLLVHSVRLPDGVGNMQALEELSRVNVDVKSSIDSLQQLERLTKLRRLDLLWSIPDKHNNESTYANTLALSLGKLLRYGLRYLEINNNLLSDCANIPSDFLSSPSHLLQELSIQACCLHRISDWVASASLTSLTTLAITVQQVTQETIEILGNFPALLYLVIWSKGYDTAKRLNIYSNRFGCLKTLELDYSPVNLMFHAGAMPKLESIYFLIKPNSMQSACDHQNLGIRHLLALRCLDVGIDCQGVRVEEVEALEAAINNEASLLPDCSSKCVYRYFSDDIGLRISETSASPEHLAT >LPERR07G10340.1 pep chromosome:Lperr_V1.4:7:10356254:10358455:1 gene:LPERR07G10340 transcript:LPERR07G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTINMASAATSRFLLAGGSGVGVSSRVSFAAGRFGRRMVLVRAEEEAAPTPAETAEAEEAAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >LPERR07G10350.1 pep chromosome:Lperr_V1.4:7:10358343:10365327:-1 gene:LPERR07G10350 transcript:LPERR07G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDGDRHNDDLSAEDSPWSEGSWSDDDDDEGSLSFEDSGDSGEGSDVESDEPDAAAAEESDSSEDEVAPRNTVGDVPLEWYKNEEHIGYDIAGSKIKKRDREGRIEAYLKNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHANVDPYPDYVDWFEYEGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVESTGQWIASGSSDGTIRVWEVETGRCIKIWNVGGVVHRIAWNPSPDRNILAAVVDHDLLLLNAGVGDEEIQMKTKGLLQIEELAPDEDTGDKKPAVKWVKHEQFNGIMLIHHKLSKKHSHHPFRKLPGLPIAAVFHPIQKMFFVATKKFVQVYDLQKAQIVKKLEPGVRELSSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSVVRLYCD >LPERR07G10360.1 pep chromosome:Lperr_V1.4:7:10368273:10371528:1 gene:LPERR07G10360 transcript:LPERR07G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGSSTRTRQGHDTLRAKGLIEGATMESIIVEADPDRLARYNVTHKGEDRDAERWGRTVMGWWQGAFSGTRSIRILPEEAASGS >LPERR07G10370.1 pep chromosome:Lperr_V1.4:7:10374323:10378187:-1 gene:LPERR07G10370 transcript:LPERR07G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFASVDRVNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMYCFATIPPPTVDTLKYHRISVLVCGGRRGRLRVEGGNGEGVVLSGRGGCCQVEGDRGHGGGGSVLAGSEGCGVEAGDGVKAGGGVEAGERKVGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDICQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >LPERR07G10370.2 pep chromosome:Lperr_V1.4:7:10374323:10378187:-1 gene:LPERR07G10370 transcript:LPERR07G10370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFASVDRVNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDICQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >LPERR07G10380.1 pep chromosome:Lperr_V1.4:7:10384109:10386771:1 gene:LPERR07G10380 transcript:LPERR07G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRGTVTGEATTLLHKETMTGLAMKTMSDDGEGSGFPLPCGMVRESVVWDNCEEVVAWGPSMRRMDATQYDGLGRHRCRIATARSKSPPSMQYGEGVGGVGDDSNDKMMTDDGDEGGEAREKGRSISEISHSLFSLSLASSIRRPPIHQFAISPTSLSPPLAVVVTVPLNLVDFAGERDGGGNGDGDGDWGWGWGWGWSGCGDGHIAVVLGAVVAGGVVGFHRP >LPERR07G10390.1 pep chromosome:Lperr_V1.4:7:10386873:10388669:1 gene:LPERR07G10390 transcript:LPERR07G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQALPPNPEEESLLAEYYRLATLYLSSGGAGAAGVLVPAPTPEASSQAVAQQPGSGSAKKRRPRSSELVRVSSLSVRDQIYFRDLVRRARITFESLRGILLRDDERAEALGLTGVGGIGAIDRRRVRADLRAAALMGDRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGVHGQVQAGIDFLTAGQSASGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDFWLDRGKSGFGVYKYKMLRMEGQEAMGSVNFRLAEQLKVNALTYRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAQGGGGCECTDSCSIGCYCAERNGGEFAYDKFGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLQNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIMSANGDCLVRPSRFPPRWLDWGDVSDVYPEYVAPNNPAVPDLKFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNIAYPHLMIFAMENIPPLRELSIDYGMIDEWVGKLTM >LPERR07G10400.1 pep chromosome:Lperr_V1.4:7:10425484:10443133:-1 gene:LPERR07G10400 transcript:LPERR07G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFMEEANRLHDTAVAQDNIHDYEIHYTKMKLIITYTDGSDDETDGEVPSSSQIHEKVEDLCVALNRKLKAGNFRTARDRTN >LPERR07G10400.2 pep chromosome:Lperr_V1.4:7:10425484:10425773:-1 gene:LPERR07G10400 transcript:LPERR07G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIITYTDGSDDETDGEVPSSSQIHEKVEDLCVALNRKLKAGNFRTARDRTN >LPERR07G10410.1 pep chromosome:Lperr_V1.4:7:10450200:10450546:1 gene:LPERR07G10410 transcript:LPERR07G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMAYSINGENAHYGMPTNPCIPGRVPAGSSSGSAVAVAANLVDFSLGTDTGGSVMVFAAYCASFGLRPSHGLVSTQNVIPMA >LPERR07G10420.1 pep chromosome:Lperr_V1.4:7:10455862:10457422:1 gene:LPERR07G10420 transcript:LPERR07G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGTTTSTFAVPSDEELLQAQGRPMAQHPHVPQANGAQVRRRARHPHRHPRPRRRGLAARAERRAPIPTCKQPFLPRLMRMLAASGVFTAVDATTYGLTPLSYILVEGVRVDGDAHQEAIVLSTSSKYHVEAAMGLAAWFRKNHATPNSPQEQGIPSSFEDVHGVVAFEESMARLDPVFDRVFHQALAAHDHMGIGVLLRQCGAHVFGGGLQSLTDCCGGDGTTARAIARAFPHVKCTVLELEHVIKNAPREEDGAVTYVAGDMFQSVPPSQAVMLKLVLHFWSDEDSVKILSQCKKAIPSRADGGKVIIIDIVVGSSSGPMLETELLMDMLMLHNLYNRPSCLGVYTYIALKK >LPERR07G10440.1 pep chromosome:Lperr_V1.4:7:10490521:10494484:1 gene:LPERR07G10440 transcript:LPERR07G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGEAGDIQAAAVAVFVRGRRRREKTIRFPLLGLLNLKPVSRISRQGPLAVASQNRFAGEARAHGAPTAAGGSRQHHSRTRVAAARGRAARDSGRARRRRRRAARRGQGAPPPRARPAALWSAAGGRAAGQAAPQQTAASSVRRAADLLSTIMAASECKAATMSQLVAIYIGADDGCFQVQGCNNVSIGYCLVLA >LPERR07G10450.1 pep chromosome:Lperr_V1.4:7:10496909:10502287:-1 gene:LPERR07G10450 transcript:LPERR07G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSAAHHAVATRDHAALRRVLDALPVARRPEEIRTEADSVAEEARAEAASAVIDRRDVPGRETPLHLAVRLGDAAAAELLMSAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTESVGAWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPAGGGEGQSDTFAGPRHSCVEPREREIPIEDLSLSGNGDSKHDKKGWFGNWGKRGQSSKLEGTKKMVPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDDNRRGKERDYRRPAASSENGLRRKESTKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNIKSPAAQSSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNTA >LPERR07G10460.1 pep chromosome:Lperr_V1.4:7:10521239:10525550:1 gene:LPERR07G10460 transcript:LPERR07G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLLAGRLMLFLSSTLAAAAVPGCQSRCGDVDVPYPFGIGPGCAMDGGLELTCTGNKLLIAKTSLEVTRVSEADGKLWMKNSIAKQCYNPATRSVESMTASVNFSSRPFFLSPVDNKIVVIGCRSLGYMQSDTYVVGCSATCGGDMIPDNGSCSGAGCCQADVPRGVRDYVSFFNDNYNTTQIWRETPCNYVALMETAAFNFRTSYLTTTEFSDRYSANLPVVVDWVISTKKCREAKYDRTTRYACISNNSACADNELDIDECLDNVTYPCGGICKNTLGGFVCSCPGGKHMMHGGVCMPNERPIWVVPLVGASAGIVILVIGITCSYLFRERRKLHIVKQKYFRQHGGLLLFEEMKSQQGVAFTVFSEEELRQATNKFHEEQILGHGGHGTVYKGVLKSGDVVAVKRCMTIDEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYQFIPNGTLFHLIHGDHGCQISLPTRLRIAHESAEALAYLHSCASPPILHGDVKSNNILLDGNYTVKISDFGASTLAPTDESQFVTLVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTRKKAFNLEGPEHEKSLSMWFLSMMKANKLMDILDDQIKNDENIEYLGEITELAKQCLEMCGENRPLMKEVAEKLDMLRKAMQHPWVQQNPEELDSLLGEWSAPGVVNTGNFSIEKKVVMGLKSGR >LPERR07G10470.1 pep chromosome:Lperr_V1.4:7:10530699:10543437:1 gene:LPERR07G10470 transcript:LPERR07G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVVADPGAVPLPVFNSLLSALAPSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPLHASSSAAGCLHAFSLRAGLLRADPVLANSFLLLYLRAPAPPGPGLARRLFDEMPTRTASTYNTLISHSTSEGAADVVWTVVRRMVADGCAPDRFTVSAALPACTSPRQGTEMHCFALKSGMCGAGDFHVGSGLVSMYFRVGRPVLARRVFDGMEHRNVVSWTAMVGGYTESGMFDDAVDAFRTMWMIGGVLPNRIALISVLSAVEALTDLALGKQGYGLHGNGAEAVALFDQMGISGYWKLLSKEILFLNDEAV >LPERR07G10480.1 pep chromosome:Lperr_V1.4:7:10544359:10546091:-1 gene:LPERR07G10480 transcript:LPERR07G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRVVWVNGPIVVGAGPAGLSVAACLRERGVPSVVLERADCIASLWQRRTYDRLRLHLPRAFCELPGMPFPDHYPEYPDRAQFVHYLHAYAARAQVEPRFNQSVTSARFDRAAGLWRVRAEDVSAGEEAGAVTEYIGRWLVVATGENAERVVPEMDGAGEFEGPVTHVAEYKSGEAYRGKRVLVVGCGNSGMEVCLDLCLHNALPSMVVRDSVHVLPREMLGVATFSVAVFLLRFLPLWLVDRILVVLAWLFLGDLAKLGITRPSRGPLELKNSKGRTPVLDIGALARIRSGEIVVVPAIRRLLRGGAELVDGRRVAADAVILATGYHSNVPQWLKGTDFFTEEGYPRVSFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATR >LPERR07G10490.1 pep chromosome:Lperr_V1.4:7:10597826:10609900:1 gene:LPERR07G10490 transcript:LPERR07G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATCKTGLGLKQSIEQTSAYLESHHTHTTQVHNTTHPNTKQQKIHSIPPPRLLGRLPDCAIHQILLFLAMEGGDTTSNGGGEWLRPMDTEQLRECGHRMVDFVADYYKSIESFPVLSQPGYLKELLPDSAPRQPDTLDSLFNDIRQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSNALGGGVIQGTASEAVLVALLAARDRALKKHGKQSLEKLVVYASDQTHSALQKACQIAGIFSENFRVVIADCDNNYAVSPEAVSEALSMDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKAHDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHICLAKHFEQLLMSDSRFEVLSGKFVLRFAVGAPLTEEQHVDAAWKLLQNEASKVLGSM >LPERR07G10490.2 pep chromosome:Lperr_V1.4:7:10597826:10609900:1 gene:LPERR07G10490 transcript:LPERR07G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATCKTGLGLKQSIEQTSAYLESHHTHTTQVHNTTHPNTKQQKIHSIPPPRLLGRLPDCAIHQILLFLAMEGGDTTSNGGGEWLRPMDTEQLRECGHRMVDFVADYYKSIESFPVLSQPGYLKELLPDSAPRQPDTLDSLFNDIRQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSNALGGGVIQGTASEAVLVALLAARDRALKKHGKQSLEKLVVYASDQTHSALQKACQIAGIFSENFRVVIADCDNNYAVSPEAVSEALSMDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKAHDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKVLSGKFVLRFAVGAPLTEEQHVDAAWKLLQNEASKVLGSM >LPERR07G10500.1 pep chromosome:Lperr_V1.4:7:10612528:10613139:1 gene:LPERR07G10500 transcript:LPERR07G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTLLRLRSSEGTVMVAPAWDERPPAAALPLDTGVPSRALENALCLWIRHALADGAADGDWLAEFRRRLRLDGLEVDDVAAAIDKLRCLSHAIARVIPDFDFSLYGTNGRRRRRVRRRRAEEEEEPAARRVERRKTRSQTAAAAAADAKSKRRRKIVIIDSSKCLPVPAPRKADGPSLRARRGLPELPALLQQPTCSTID >LPERR07G10510.1 pep chromosome:Lperr_V1.4:7:10615589:10626014:1 gene:LPERR07G10510 transcript:LPERR07G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDDVRSAAQSAIHGSSSSASTSSPIPEYPISAPAKPVLNFSIQTGEEFALEFMRDRAIPKKHLVPGMSHDQNVASGAGLKDPRQVLTTHRPGAESRFDAAIFLTNDIQQTEGIERKSFAENENKSRHTSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIFNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIEFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNVESNQPNSSRDGSNLYSVSASTTALPAMISGPLPLPVTLPNDNSANFHSYHIHGMQHVQGSDYSLPASNERFYDIEGQTSIPFSVPSGYRYTSQCTPYSRTTLQSFDQQSYHDSMMEGSMEEKQPSVRVPLQKNEMDYFQSLVNLNDPVINRDSSSTNYMNSDVPVTAFIQEGLKSSLQPSDSAKSLETYTAPKAMSTTQDSECNEDDRHSSGAFASGCSDFQVDMVENSNKNPLPRPGRVFHSERIPREQAGSLNRLSKSDDSLNPQFLILQSQSGVANESIAEASDPVIDGTEISNLAAEAINLNDPATVDTVIPEKECANNVQQPGTFSEQLFGEKRSSTDTSMRNAEKNMHAADNDVAKCNLNEATVDGIKTINQQGDHSAVPHHVSWNASNPAIPTDIGCDPFVPSTSLDDSHKEPIIPKKDNKDIAGGMGERTSPDILSDFFANTAAQSLSPFNDPVLSLNMPNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVHPLAHVEHDAVNMMNVASQNDAHVETYPVSAVINLDSSILPPGFISSQSDNPHTTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >LPERR07G10520.1 pep chromosome:Lperr_V1.4:7:10627347:10629142:1 gene:LPERR07G10520 transcript:LPERR07G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KINLSLTPNNKSKLRRLHKQTKASPRLVPATSKTKPETETKTTLRRTSRNRSIDDDETSVHRSHLLPPPRRRHGGHGRRRRAGEEARCSRVHRDREAPGRRRRHLRLPHLHPRRRSRQRGEGEGGVAVQLQDSRQRIRRQAHAAGARRAAEASCSSSGAP >LPERR07G10530.1 pep chromosome:Lperr_V1.4:7:10631489:10636516:1 gene:LPERR07G10530 transcript:LPERR07G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPVASPSPSAAVGPQAVPFPVEHFTSPNKGSLSSYVLSLLPTSNSGHERTSPSSNNMKSLPPESLPKRWRGHDLLCNGQPLELSDESGSESERDERNANINNKQPQQSYRSMDNSNGNEETSTSDCTDALCYLTEKSTFVSPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLVVEDMQGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTVNSIKFEVILVRKIYPGANRYYYLCLNDALAFGGGGNFALCLDEDLLHGTSGSCETFGNSCLAHSPDFELKNVELWGFTHSWGRST >LPERR07G10540.1 pep chromosome:Lperr_V1.4:7:10652074:10662762:1 gene:LPERR07G10540 transcript:LPERR07G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSTNQLYSSGIPVSVPSPLPAIPATLDESFPRLPDGQNVLRDRELSSTTLPPHQNQSTVAPLHGQFQSSTGSVGPLRSSQAIRFSSVPNHEQYTNANTYNSQPPSTGSSSALNYGPQYGGFEPTLSDFPREAGPTWCPDPVDGLLGYTDDVSGGNNMTDNSSIAATDELAKQSEWWNDFMNYDWKDVVDNTACPETQPQVGPPAQSSIVVHQSAAQQSVSSQSGEPSAVAIPSPTAAGNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDLPSIDLKGGNLDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASAEGSKRSPDLPESSTAKDVPESNQNGIAKQTESGDR >LPERR07G10540.2 pep chromosome:Lperr_V1.4:7:10652060:10662762:1 gene:LPERR07G10540 transcript:LPERR07G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSTNQLYSSGIPVSVPSPLPAIPATLDESFPRLPDGQNVLRDRELSSTTLPPHQNQSTVAPLHGQFQSSTGSVGPLRSSQAIRFSSVPNHEQYTNANTYNSQPPSTGSSSALNYGPQYGGFEPTLSDFPREAGPTWCPDPVDGLLGYTDDVSGGNNMTDNSSIAATDELAKQSEWWNDFMNYDWKDVVDNTACPETQPQVGPPAQSSIVVHQSAAQQSVSSQSGEPSAVAIPSPTAAGNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDLPSIDLKGGNLDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASAEGSKRSPDLPESSTAKDVPESNQNGIAKQTESGDR >LPERR07G10540.3 pep chromosome:Lperr_V1.4:7:10652738:10662762:1 gene:LPERR07G10540 transcript:LPERR07G10540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSTNQLYSSGIPVSVPSPLPAIPATLDESFPRLPDGQNVLRDRELSSTTLPPHQNQSTVAPLHGQFQSSTGSVGPLRSSQAIRFSSVPNHEQYTNANTYNSQPPSTGSSSALNYGPQYGGFEPTLSDFPREAGPTWCPDPVDGLLGYTDDVSGGNNMTDNSSIAATDELAKQSEWWNDFMNYDWKDVVDNTACPETQPQVGPPAQSSIVVHQSAAQQSVSSQSGEPSAVAIPSPTAAGNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDLPSIDLKGGNLDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASAEGSKRSPDLPESSTAKDVPESNQNGIAKQTESGDR >LPERR07G10540.4 pep chromosome:Lperr_V1.4:7:10652060:10660424:1 gene:LPERR07G10540 transcript:LPERR07G10540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSTNQLYSSGIPVSVPSPLPAIPATLDESFPRLPDGQNVLRDRELSSTTLPPHQNQSTVAPLHGQFQSSTGSVGPLRSSQAIRFSSVPNHEQYTNANTYNSQPPSTGSSSALNYGPQYGGFEPTLSDFPREAGPTWCPDPVDGLLGYTDDVSGGNNMTDNSSIAATDELAKQSEWWNDFMNYDWKDVVDNTACPETQPQVGPPAQSSIVVHQSAAQQSVSSQSGEPSAVAIPSPTAAGNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDLPSIDLKGGNLDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASAEGSKRSPDLPESSTAKDVPESNQNGIAKQTESGDR >LPERR07G10550.1 pep chromosome:Lperr_V1.4:7:10673948:10679959:-1 gene:LPERR07G10550 transcript:LPERR07G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGARARPFFSPHEAFALAPPSPLSTPPSSLLAPLPSPASASAVSDDSEVAVFRLLLPDAFSDADAMRLYAAIAPLRHRVPTLQVRVETLARASSSSSSDGDGEDGGGDGDDDLSRVAVVLGPASPSRRVEASSSSGATLELSPAQEALVAVLDSGGVLHLHDGGGDARVTCLVLVEADRFAAAFGEEILPAIARESGAEVRAETWGDDDDDGDDDAQPAEEIIEITGDGTTVRRALVAVSSCLQGERPLDSLTTSAHSASPMFTQTFPKVPEAELGSLQSDVSTECAKSSIPYIDCPQGVTGIEQTDCLQQFSFRLLCSVNVAGGLIGKNGMVVKAIEVKTGASVDVCTTLNECKERVVTISALENPGQKFSVVQNALLDVFDRMQKVESNLHLRPGNTLQRSARVLITKSQFGCLIGPGGETVKAMRNTTRTRIQILNETDVPACASQYELINGELTNVRNALLLVSEKLRNHIFSSKRTTYNDDNDISSIGQYTADKLSRVDHGLSQNEIESVQNSISAFHLECSGSPQIQKPSNGSGTEINKPIGAVQKPANGNGTGINNLNIVPQNDNGTNMSNHGITSLEENNLLRGIKTANIARITYEIAIWGDSGNVLAELRETLLLKIHFQGHLVQFTMAKLHDLIKPQVSSPDLHA >LPERR07G10560.1 pep chromosome:Lperr_V1.4:7:10696845:10698104:-1 gene:LPERR07G10560 transcript:LPERR07G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTKGVALLLLLCLSGAAVGAWARPVAAKGDDNADGDEKHLWLKKGFGKGLGAGLGGGDGIGGGIGHGVGGGFGKGGGIGGGIGPGIGGGYGKGGGIGGGIGGGFGKGRGIGGGGGLGGGGGLGGGIGKGGGIGGGFGLGGGGGSGIGGGFGKGGGFGFGGGGGGFGGGGGGGGGGGIGGKH >LPERR07G10570.1 pep chromosome:Lperr_V1.4:7:10724280:10726125:1 gene:LPERR07G10570 transcript:LPERR07G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDGTTAASSGGKTAAVASESGGGRYAHPPAGSCSGANVALRALLFAVSVSALVVLATAKQTVMVPVVLRPPLVFAPVAAKFSHSPALVYLLAALCATSLYSLITAVSSLKLLSGSSSSAKTLFVLILLDVFYAGVMASATGTAGAVAWLGLKGNSHTRWNKICNTYGKFCRHIGSSTVLGLIASIILVLLVFLNAYALYRRTR >LPERR07G10580.1 pep chromosome:Lperr_V1.4:7:10754322:10755099:-1 gene:LPERR07G10580 transcript:LPERR07G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSALSRLRHSHGPVVTLWAGNRPAIFVISRDIAHRTLVRDGAALAHRPQWPFTSSSRAIMFNRYGINSADYGDRWRRIRRNLSSFLAIADEFMPERFLDGGEGATVDITSCGAGSEIKMMPFGVGRRACPGADFAVTTLHFFVARLIERFEWRPAVGASVNFSQRAGIAHWREHYVADVQLLD >LPERR07G10590.1 pep chromosome:Lperr_V1.4:7:10802118:10802546:1 gene:LPERR07G10590 transcript:LPERR07G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVERISSLSDASQGEASPELSPTQALAFAPRQGIAGALPCKGGFTGGLPPKVFHWSLYQPWRTSTPTTRGSIPSLSQQKNPLCTDDLYA >LPERR07G10600.1 pep chromosome:Lperr_V1.4:7:10821397:10829122:-1 gene:LPERR07G10600 transcript:LPERR07G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNMFYRRKRSWRANDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDRPSASQGVPLGGMGCVLSDGCFTHLSRSGSISRGFRGEFKHWQIIPGSCEMSAVMANQFSVTRNPAMMVYHPGIGNYEVIVLHTMHYFLERGLSMMIVNTGKERAKVSLVMTWAVNSIGGLSHHSGEENMEFLACFYITSKTANGNPPVTFAVAACENQNVNVTVLPAFGLSGESSITAREMWGTLLQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPNGRCTVVFSLAWSSPKIKFKKGNEYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQSPILHDERLPEWYKVILFNELYFLVAGGTVWIDSESLMDDTNEMLNSRLPEDSDLPLCDSTRKSAVPLIGLTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDRSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATCDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARSLGHHDYAERCMVKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDSQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRSILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSLNCDEC >LPERR07G10600.2 pep chromosome:Lperr_V1.4:7:10821397:10829122:-1 gene:LPERR07G10600 transcript:LPERR07G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNMFYRRKRSWRANDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDRPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSAVMANQFSVTRNPAMMVYHPGIGNYEVIVLHTMHYFLERGLSMMIVNTGKERAKVSLVMTWAVNSIGGLSHHSGEENMEFLACFYITSKTANGNPPVTFAVAACENQNVNVTVLPAFGLSGESSITAREMWGTLLQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPNGRCTVVFSLAWSSPKIKFKKGNEYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQSPILHDERLPEWYKVILFNELYFLVAGGTVWIDSESLMDDTNEMLNSRLPEDSDLPLCDSTRKSAVPLIGLTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDRSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATCDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARSLGHHDYAERCMVKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDSQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRSILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSLNCDEC >LPERR07G10600.3 pep chromosome:Lperr_V1.4:7:10821397:10829122:-1 gene:LPERR07G10600 transcript:LPERR07G10600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNMFYRRKRSWRANDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDRPSASQGVPLGGMGCVLSDGCFTHLSRSGSISRGFRGEFKHWQIIPGSCEMSAVMANQFSVTRNPAMMVYHPGIGNYEVIVLHTMHYFLERGLSMMIVNTGKERAKVSLVMTWAVDFPIILAVTTANGNPPVTFAVAACENQNVNVTVLPAFGLSGESSITAREMWGTLLQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPNGRCTVVFSLAWSSPKIKFKKGNEYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQSPILHDERLPEWYKVILFNELYFLVAGGTVWIDSESLMDDTNEMLNSRLPEDSDLPLCDSTRKSAVPLIGLTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDRSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATCDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARSLGHHDYAERCMVKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDSQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRSILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSLNCDEC >LPERR07G10600.4 pep chromosome:Lperr_V1.4:7:10821397:10829122:-1 gene:LPERR07G10600 transcript:LPERR07G10600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNMFYRRKRSWRANDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDRPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSAVMANQFSVTRNPAMMVYHPGIGNYEVIVLHTMHYFLERGLSMMIVNTGKERAKVSLVMTWAVDFPIILAVTTANGNPPVTFAVAACENQNVNVTVLPAFGLSGESSITAREMWGTLLQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPNGRCTVVFSLAWSSPKIKFKKGNEYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQSPILHDERLPEWYKVILFNELYFLVAGGTVWIDSESLMDDTNEMLNSRLPEDSDLPLCDSTRKSAVPLIGLTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDRSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATCDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARSLGHHDYAERCMVKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDSQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRSILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSLNCDEC >LPERR07G10610.1 pep chromosome:Lperr_V1.4:7:10852027:10855658:1 gene:LPERR07G10610 transcript:LPERR07G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLLTSIPNIALLLGTLTAEQISRVSRVKDDVTKLKRQFEQMLDIVEELEQMNHHDAKTKHWMRQLRDYVYRTDDIIDLYRIDAERRKAIESAEKLERHIKSLLSCPRGISVGCRVSSSIHKLNLDIEKISRESSTLQRRYSTMHSQLTGSLSDTVPYHESNIVGRYVEDNKRKLVELIMTTTDQDTLVFAIVGTVGIGKTTLARQIYHNMEMLQAFDLQLWVCVNKDADYFALLKKIITAAGGNSLGLDHSREKLEIKLNSFIRGRRFFLVLDDVWDETTWENMLENQLQCAAPGSRILVTTRHKHVAKRMGASHIHHVSRMCGEDGWNLLRSRAKLDKDKETVYMQAIGKKIAERCDGLPMVIKAVGGVLRCCEPTCNEWQNVCNSIFSMLPDDVQTLIRLSYVDLPSPLKRCFLYCSLFPPDFVIRRRYVTQQWVSEGFIEATHNSSLEEVAEEHYRELIERSLLQPELGLDGEDGARMPNIFRWLAKELSHEENFSGDLGNMQCMFEPRRLSFASQPVETVPQGIRKLTQLRTLLFFGNQKLSSNGHGLARTFRRLTLLRVLDLHSSNVEHVPNALGNVVHLRYLNLSSTRVRELPDSIENLRLLQFLILNDCEDLNSLPRGVEQLRNLRSLEISGQNNLSLRQPKINLARLSELSCMRGFLVKASANGGNQFSASGWPLTELSSLSKLTSLQILRLETTTRDDASDAALSQKVNLRELELCCVNEQHNQEFEIRDIFEVLRPAPRLVVLKLDRYFGQEYPSWITESKLPNLQCLELHRCSCKKLPPLGELPQLRSLVLIDLQNLEIIGSELRGRIDANKAAFPRLEKLDFSGLDILLSWIDLKDDDLPFLHSVRFVRCWKLESVPTVLWSRTKLGNINIDLCPDLRWSPLAT >LPERR07G10620.1 pep chromosome:Lperr_V1.4:7:10863483:10869134:-1 gene:LPERR07G10620 transcript:LPERR07G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVRLDAAVFQLTPTRTRFDLVLIVNGRKEKIASGLLNPFLAHLKVAQEQIAKGGYSITLEPNSAVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSVEDHGGKLTENNEGTRANHNPDGDKAIVIYQPGSQPTPPVHDESTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLSYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGETNGEDASKAEHKSGQHMGYQAAYPPWAMHPPPYMQGMPYYPGVNPYYPPYPPVDDPRYHYSGRKSRKHSSDSKDSEVLDDGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSNSGSEDSDDSHSKTRRGKHKSSSSKKKEGAKTTFDNGDDYNKDESSYGQDGDQGNWNAFQSFLLRAEEKTRSNDADMFSGEKEPPSRKNKNVNTADPILLAGGDYGDVYEQRGPGFDPANGRSRAIRLQSNDELMMSGDGRRYMDGEIKEIEAGGGRYRRGTSEDFMVYGQERSMDRRSTLDPLAEARYKNPNQADKNGYGVADESFMIPLRSGSQDNLGPENRTAIDIDVELPTNTKKTSDAKATQLFYEPDELMPERESEDASFGYDPAMDYESNMLVKTVKIEDGNKEDVSHSNNGNLKKPEKKLRNTKDGLDKRNKDALLRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSFAGADQPSIDHSRRRSMA >LPERR07G10620.2 pep chromosome:Lperr_V1.4:7:10863483:10869134:-1 gene:LPERR07G10620 transcript:LPERR07G10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVRLDAAVFQLTPTRTRFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSVEDHGGKLTENNEGTRANHNPDGDKAIVIYQPGSQPTPPVHDESTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLSYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGETNGEDASKAEHKSGQHMGYQAAYPPWAMHPPPYMQGMPYYPGVNPYYPPYPPVDDPRYHYSGRKSRKHSSDSKDSEVLDDGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSNSGSEDSDDSHSKTRRGKHKSSSSKKKEGAKTTFDNGDDYNKDESSYGQDGDQGNWNAFQSFLLRAEEKTRSNDADMFSGEKEPPSRKNKNVNTADPILLAGGDYGDVYEQRGPGFDPANGRSRAIRLQSNDELMMSGDGRRYMDGEIKEIEAGGGRYRRGTSEDFMVYGQERSMDRRSTLDPLAEARYKNPNQADKNGYGVADESFMIPLRSGSQDNLGPENRTAIDIDVELPTNTKKTSDAKATQLFYEPDELMPERESEDASFGYDPAMDYESNMLVKTVKIEDGNKEDVSHSNNGNLKKPEKKLRNTKDGLDKRNKDALLRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSFAGADQPSIDHSRRRSMA >LPERR07G10630.1 pep chromosome:Lperr_V1.4:7:10877537:10880195:1 gene:LPERR07G10630 transcript:LPERR07G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVFAPSLQGIKDVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYSSDPSKYEQLHSMVQVEISSKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQVCSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLASVGMDDMKAS >LPERR07G10640.1 pep chromosome:Lperr_V1.4:7:10882517:10885207:1 gene:LPERR07G10640 transcript:LPERR07G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAQEMQIGFPTDVKHVAHIGWDGPSVPTNNAGAPSWMKDYHSAPLDSASFRSERGGSAASNPWASQEIVIDGGSLGDTSFRETRSEAGSIDITAGDSPPSPNTRRSRRHRSRGSAATSSMDCTGTEEKKDKAKKSSRGKNRKKDKSDKSAADGGGDGGAATTCQDLPAVPKKSNRRKNKGSSEASKADAAGAAAATDEAAAAAPLPSAAAEEAKDRD >LPERR07G10650.1 pep chromosome:Lperr_V1.4:7:10886278:10887408:-1 gene:LPERR07G10650 transcript:LPERR07G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFAILSCFYLCTVVLAARELISDDDSVMVARHGRWMAQYGRVYKDADEKTRRFEVFKTNVAFIESFNAGNHKFWLDVNQFADLSNDEFRATKTNKGFKPSTVRAPTRFRYENVSIDALPATVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSVSNSVATIKGYEDVPANNEGALMKSVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGILAIGYGKDSDGTKYWLLKNSWGTTWGENGFLRMEKDISNKRGMCGLAMEPSYPTA >LPERR07G10660.1 pep chromosome:Lperr_V1.4:7:10888916:10894149:-1 gene:LPERR07G10660 transcript:LPERR07G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEEVLYQQVNYGNTDGIRALRAQGAGKTPLMVASMRHDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMKENYGPGFLEAIVPQFMTRKIWAVILPREARNQTRPLKLELAIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKETRTRYKLLPVCEGDKQQLQWFYNACCGIAQATSISMVPAQPTNNPLPNPSSASSAPSGLSTPNKEDAELAMAINASIQSAIVEGVPNVQPMASTATNDWGNPPSNSLNGWGPPDTHAPLKTSGQAPVDTSNSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVETFYSGPIQYPSIDSTPVDVTMPSTEGGTAVSSAKPAENEDNGKPAESDANASSSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >LPERR07G10660.2 pep chromosome:Lperr_V1.4:7:10888916:10894149:-1 gene:LPERR07G10660 transcript:LPERR07G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEEVLYQQVNYGNTDGIRALRAQGAGKTPLMVASMRHDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMKENYGPGFLEAIVPQFMTRKIWAVILPREARNQTRPLKLELAIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKETRTRYKLLPVCEGDKQQLQWFYNACCGIAQATSISMVPAQPTNNPLPNPSSASSAPSGLSTPNKEDAELAMAINASIQSAIVEGVPNVQPMASTATNDWGNPPSNSLNGWGPPDTHAPLKTSGQAPVDTSNSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVETFYSGPIQYPSIDSTPVDVTMPSTEGGTAVSSAKPAENEDNGKPAESDANASSSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >LPERR07G10670.1 pep chromosome:Lperr_V1.4:7:10926865:10927401:-1 gene:LPERR07G10670 transcript:LPERR07G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGQRTYSALSDQEYRRDRVAEEDKHKAMQKALAGFLNDEVLDPKGEHYYNERLEPASVDYNIVLDDPNFD >LPERR07G10680.1 pep chromosome:Lperr_V1.4:7:10932532:10933891:-1 gene:LPERR07G10680 transcript:LPERR07G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCCSPLTGVDIMVLILLWFICFNGSRFASDVFERDKCNNGTVLVLECFLKDELHALKKPTAKSSIFACVLTFGVVSADWIAYNGLLQVQFLNP >LPERR07G10690.1 pep chromosome:Lperr_V1.4:7:10940761:10942767:-1 gene:LPERR07G10690 transcript:LPERR07G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASFFLQVFLLFSSGFRKRYSSRVLSVLLWLAYLSADPVAVYILGRLSLRASSGDLRNQQLVLFWAPFLLLHLGGQETMTAFSMEDNTLWKRHLLSLATQMVTAIYVVSKQLQGDGHLVAPMVLVFISGIAKYAERIWALRRAGSVAPGTTSSTSNLVSRASSNAVWDTQGYYSQLCYLIKRKQEKNFELILAVAAEGFRLSLGFFMDMTPSISLLPEDISEIKNSVEVFKSSEDIVHMSYKLAEINLSMIYDYLYTKFGTRHFHIVPFCIFFHLIISLALISTALTFFVRAMVGQRAHDAADVIISYILLVGAIVLEICSIFMSFISSCWAYKTIITLPLTCPLSRNFPGVIAALLSMVRHLHPDNRGEWLGKLAQYNLIEGCIQEKQAATGLFQRMMRYIGIDDSQAIKHICVSPEVKKLLLDKMLEIASTSRVQEWDLSVGKFHGQWAQWVVEAKQGNLHRAAEQVLLVSNIQGLEFVSSALLWHIVTDICLIVDEDADSGAGLRGCTRELSEYIMYLIADCGAMAGSEGHFVLRKGRLEVSRWLHEKGGSGDDRRKVIEDIRCEDSSFFANDYYPVLDRARRVSSDLLAIEEVGDRWELISSVWLEMLCHISYNCGAGFHAKHLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >LPERR07G10700.1 pep chromosome:Lperr_V1.4:7:10947419:10954847:-1 gene:LPERR07G10700 transcript:LPERR07G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKQQLVGGSCENIKVGDDDEEEEMSWIGKKLLLYNVTIGLYAMDWWERYLFSILIKQQLGGGNCENTKKVRDNVDEEMSWIGRKLFLYNITIGLHLKINRMQLPGSGNNSMVGLS >LPERR07G10710.1 pep chromosome:Lperr_V1.4:7:10956180:10957163:-1 gene:LPERR07G10710 transcript:LPERR07G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARRRRSVSLTLTTLVFFILFFVAGASVKLHHTAAPAAGDENASLLARKILRVKTLHTGESNVNMLRQDDGRQSQSSTSTAAPAPASSVDVDGSSSRPLPAGIVHGTSNLEMVSMVGNPEEHPSLSSKPKSLLAVPVGIKNKAAVEKLLAKFPAAHFAVILFHYDGAVQQWADLGREVVHVAASGQTKWWFAKRYDHVFLWDEDLDLHDTFDPLRYLDVVRRERLAVSQPALARGSEIHHAITARRTDGGEVHRHEGGWVEMMAPVFSRAAWRSTPRSSGTARVATGRSTSASSTASTSSTGGFPCSLVVGGTRSYAEMEVFDRR >LPERR07G10720.1 pep chromosome:Lperr_V1.4:7:10962870:10967489:-1 gene:LPERR07G10720 transcript:LPERR07G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQPPAVSASMGVMDSLLSKLNTSKDVATFKNVKNDLNSLKDELGDMKALMGKFAAQDEMDVQVKEWMRQVREVGYDTEDWIDSHPQQLTAGGFFNRNNRRSKLAELIKELKGRVKDASKRRSRYMLLNAPDVQEGTDDLGSSNVTVDPRLLYGIDGGLVGLQAPINELVTKLRPAGTEQQQFRVGSIVGAGGLGKTTLAMEVYRTIRGEFDCCAFVSVGQNPHIRAVLLDMLHQLNPQPQQCQEDDTEKQMDEPTAVAKLREFLEKKRYLITIDDIWTISAWKDIKCALPENTPGSRIVTTTRINDVAKSCSIRPTDFTYVMKPLNETDSKQLFLSRLQFVSKEAHFETDLEEVVFGDVWKICGGMPLAMIVMAGMLSRKSPYEDEEAIKEALEKYPTLQGMRRILRICYSDLSLPVKTCLLYLSIFPEGATVKKKRVIWRWIAEGFVPKQEQVTSWETAESYFNDLVSRRLIQAVDSGDVVKVKVHDVVLEFIASVAAEENLVTPGMVLRSRPRDVVRRASINSTDQYEASVGGLTQVRSLTVLGPCAKPMMSSVVYLQLLRVLDVKHTTGLTDDHIQGIERLFFLRYLGLGGKGVTKLPEKWKELQNLETLDVSETSVTELPEAIARRCKQLACLLASKLDVGEEIMDSMQQLEELSMVSVNDAASLHRMTNLVVSLTKLRTLGVTWSFDGTDDQNAQAALAASLLLIQNCSVEWLLLDVGEGKTRFSLDLLVDSWAPPRRLCKFSMVSSHYYFPKAPPKMASHESLAHLDISIAVLTKRDLDVFRSMPSLIYLKLRTKSSPPTLQTVDITNQGFQSLQVWWFSCQDGGLGFDFAEGAMPQLLKLHLHFTAGKQVNISSISNLCSLQQLHATVRCGEDDSEFKATEETIKQLVSEHSNKLTYEVNMELDKPATTILIP >LPERR07G10730.1 pep chromosome:Lperr_V1.4:7:10968189:10972698:1 gene:LPERR07G10730 transcript:LPERR07G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNTIDTVAVKINELITKDKELELKAKSRLDTIKSNLTLLQGIIEVLELGGEPGGVVATWITQLQELAYDMEDDIDLMASKKKTKAPMHLDRALRILGIRDQRSKYVLKTDSYESRLKDLLTNFENFMKSPTSAPTPAGLAGPNDEANDAAAGASSTALDIPPVGIEYPKKDLLDLLRHVDGQPKQLRVISIVGFRGVGKTTLAKAVYKESDSIGESFDYQAWVQVASRPVAARDEDDMSSMYKKNAASLLKETLRQLRLQANEDNINSPNNDVDSLIYGRYLIVVDNVLFSQVWEYMENAFPKEGSSRIMVTTSVQSVANACSSGGFVYKLRGLNEEDSKKLLCQVVGCEQDKLPGNLKKESDNIVRKCDGLPLALVGVAKYLRHQLRVKSSADYSRKISRNFGMYLARRSDDALRAAQMALAECYEGLNSHNLKTCLLSVSMFPNSHGFSRKSLVRRWMAERLVKADGGTDTSESFEQLVDRIMILQHDAADDELDKDKCRVHGVLHDFLLDKCATKSFARLIHNGVPLGALGSDDSLRRLAVVDDGAGTAAHREQDNKLVERVSDDRLSRVRLLTAVGTQLSGFKFKRCKVLRVLDIDGCTGVNDSVLRSICKLKVLRYLSLRGSDARCLPPEIAELRCLETLDVRGIEAVVFTVTWDILRLPCLKYLFGAFELPRDLPPTCSSWTWTKASKAPADAAAQLHLHTFAGFFVGNGGTTRVDDRERQCLQALIGHMKQLKKLKIWWRRTSSTGLTVADPKAPAPATAVLAELLRRRCFNGLESLSLDFGDQSLDFLDFAVESACKVSSIKLRGKLTSSLPGFIAGNNIASHSILKLHLSFTGLSCEALSVLQELSFLQYLKLVEDSSVFTNGTFKVEANRFQALVMLHIQAPKLPKVIIGGGAIHQLTILKLICGDVSGFQATDIARFQNLDQVVLLKNSLDMDSTQAWEEARKKHRNRPNLDLLETTSFTDQ >LPERR07G10740.1 pep chromosome:Lperr_V1.4:7:10993404:10993861:-1 gene:LPERR07G10740 transcript:LPERR07G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTRQGNHPGRGSLQATKSATRTLALRVNPETNVHLTSRHDLSECHSVWNLAERVQRYEQEKRDREKEARLNGKAPAELADNRRKEAKSAAPAGGEDDNLGYQDLKHIVATIDGGAHAQVSRRSLKAMRRELLAAAPSNEAARRSR >LPERR07G10750.1 pep chromosome:Lperr_V1.4:7:10995045:10995332:-1 gene:LPERR07G10750 transcript:LPERR07G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDHILRTTSGSLASCEGDLADNDIEEADTGEYSPYFRHRRQGRRAAPTSGTSSPHDGRSSGRSTTALSAPCRNGRRRQRGATTPWNGRCGCRL >LPERR07G10760.1 pep chromosome:Lperr_V1.4:7:11002550:11002957:-1 gene:LPERR07G10760 transcript:LPERR07G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTQLLQLDNSASANCRSNSPSPSNSKLENPLNRVYTMIGFSKSQSLSAQTLLPSPSNQRNTGSGPYKKSIGP >LPERR07G10770.1 pep chromosome:Lperr_V1.4:7:11032456:11033991:-1 gene:LPERR07G10770 transcript:LPERR07G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPITPQADALPLAKHFACSQAMPRNDISAPAPNASRSGRNIEYADAMSSPIFSAAAPTAKSYMANLPRLPIKKTATKRKALASAPISTSKAESQNKKKRMALMPDPSPDSEDIEQDIDAAAATIDDQPQSPTQSGNTLIVKTSSHQINSENDFGFNIHEFVTDETEEVISKPQAISDEILEKLNDIANRLKTRKI >LPERR07G10780.1 pep chromosome:Lperr_V1.4:7:11087432:11089412:1 gene:LPERR07G10780 transcript:LPERR07G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQGNRYFVREGLERGHATARGTGCGGRALGLRGFAATISIGVPCAAPFRGFGGRIELGLLRPDLAVERVAHGIQGEMDPAAGGSFLRFLQDPAAGPNHLKALVEAKDSQREEVEAIKIFQEKLANRRQETANTNRKADLEMKEAKKIEAKNKSMEMLTQMLQLDTSGMDPWTKQVHDRALAVLAEQALGAVHGEEAN >LPERR07G10790.1 pep chromosome:Lperr_V1.4:7:11092715:11093739:-1 gene:LPERR07G10790 transcript:LPERR07G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARAQGGWRRTDGQIGLPGVLPLLLSPMTEMRMSASSGAEGGFSGTGSGPAAAGSGGVPRSGRSGWSSSSGGIGGGNSSSAEPAGCEMPHPMALEVVGAMSSHIASARDGERISDEVAAMVLMLCLATRELGEQVEVLNAAARELAELEFDISLSDSEKVHLRLKVTKISLAIVGFLAGVLAAAW >LPERR07G10800.1 pep chromosome:Lperr_V1.4:7:11112267:11124877:1 gene:LPERR07G10800 transcript:LPERR07G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLEEDLIDLEICAALSGKIIQNAFLAQHRPQASHSTRYPSDFCALNTRSLHSFQECRLRLAENMRKSEKSLTVTFIAAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGWRRLRISIGHDSRISAHKLQNAVTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSDGGLNKADIKDILERASRIYEDSSHGSIKESEQTLKGEVTNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVFCDRYVKEQDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVGDNKADLGIIFDTDVDRSAAVDSSGCELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNRNVGSKVLTDLVEGLEEAPVTVEIRLKIDQNHADLKGGLLGWFSCQKRLSFCLSTPKRCCLEMKQDLIQGFSIIPAACLSWASQALCMTTGSFRDYGEAVLKHLENAISRDENLTKAPKNYEGLCFHVDVSCYTFNTQAPSKDDAIKLGLVVLTAASEFPALDITALNKFLQQ >LPERR07G10800.2 pep chromosome:Lperr_V1.4:7:11112266:11125082:1 gene:LPERR07G10800 transcript:LPERR07G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLEEDLIDLEICAALSGKIIQNAFLAQHRPQASHSTRYPSDFCALNTRSLHSFQECRLRLAENMRKSEKSLTVTFIAAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGWRRLRISIGHDSRISAHKLQNAVTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSDGGLNKADIKDILERASRIYEDSSHGSIKESEQTLKGEVTNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVFCDRYVKEQDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVGDNKADLGIIFDTDVDRSAAVDSSGCELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNRNVGSKVLTDLVEGLEEAPVTVEIRLKIDQNHADLKGGLLGWFSCQKRLSFCLSTPKRCCLEMKQDLIQGFSIIPAACLSWASQALCMTTGSFRDYGEAVLKHLENAISRDENLTKAPKNYEGLCFHVDVSCYTFNTQAPSKDDAIKLGLVVLTAASEFPALDITALNKFLQQ >LPERR07G10810.1 pep chromosome:Lperr_V1.4:7:11137450:11143163:1 gene:LPERR07G10810 transcript:LPERR07G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDIDVADNIGVYRDVTVYMQSIVATQMGKEVDVSTLEAGGVRDYTDPPPAPLVDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVALVKGFQSSFYDRYGGGANELSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNRNAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGHGSFRSNA >LPERR07G10810.2 pep chromosome:Lperr_V1.4:7:11129435:11137921:1 gene:LPERR07G10810 transcript:LPERR07G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDIDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDVSASGADAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSAFYDRYGGGANELSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPSISETLSRFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGIFRSNT >LPERR07G10810.3 pep chromosome:Lperr_V1.4:7:11141431:11143163:1 gene:LPERR07G10810 transcript:LPERR07G10810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGVRDYTDPPPAPLVDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVALVKGFQSSFYDRYGGGANELSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNRNAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGHGSFRSNA >LPERR07G10810.4 pep chromosome:Lperr_V1.4:7:11129435:11131130:1 gene:LPERR07G10810 transcript:LPERR07G10810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDIDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDVSASGADAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSAFYDRYGGGANELSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >LPERR07G10810.5 pep chromosome:Lperr_V1.4:7:11134962:11137921:1 gene:LPERR07G10810 transcript:LPERR07G10810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPRCHRRVRRHAPLPLRHGRHGDRVQAPDGRCRERRRRGRSAGVRRHDLRPRLLHRRRLRRPHQPGRHVRPLPRPQGVPRARHDVHGGAVRRRHLRRRARQGVPERSVRAARRRRQRAQRRVLHRHGDRRRDNWNICACVHRLLCYRSQAQCPRLACSGRAFLKL >LPERR07G10820.1 pep chromosome:Lperr_V1.4:7:11162340:11166123:1 gene:LPERR07G10820 transcript:LPERR07G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDTTAPGATADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLASGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAGIAAFYHQYILRAGAIKALGSFRSNA >LPERR07G10830.1 pep chromosome:Lperr_V1.4:7:11171949:11174908:-1 gene:LPERR07G10830 transcript:LPERR07G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLLSPLKRYHILSKLLWLARESFGLATAWLSHQKTGIFSVLMLQWLVQACINFLVK >LPERR07G10840.1 pep chromosome:Lperr_V1.4:7:11182877:11184880:1 gene:LPERR07G10840 transcript:LPERR07G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSRRTGTAFIEDERDRDITFSKRRHGLFNAVSNLSILTGASIAVVMENRARNKFHAIGTPTVQAVVDAALSDNMEATRPLVDEQQRARLAPLENELARLKEEEESHKEKTQASKDRYKEAKAEAEENGGDDDDVARMNRLLFSRPDDENLSLDEMKGLFAEMLQYQKQARELVPSDLGRRGGGDRLMLGSSSRARAPLPPPPPPPPRRQAANPVPWQPLQWPNLYRPRNQLLPAAEGSIQNNSFPTFSMGPFSFGSQSQQAPPAHDNTQLAPLPQTLEQQGPLMQQPFLFSDHAPTVAAAPLPLQAPLHYQSSTVAAAPLPLQAPLQMPVENHFPQGAPLLQVPFPFSGQASALAPLPTPLQMMPTPRLQLSPLMEEQFPLSDEAPRFAPIPAPSLMPVQPHFSHPPLPQEPLPLSNPTGPALASAPMPAPLQNPVEDHFPLSPMLQMQKLFDFSDQAPLFSPPPPSPLQTPMEAPMPLEAPLIQQPFQVPDQAPIHAPPQEPLQMPVDAHMPLNSPLVQEPFLHAPLHAPPQPAPLQMPVEADLPPTAEAYNQEVAEQQHPPEGYENYDHMFENIEPSQPLAAGAGDDNSFTALGNGNDNPFNNQQWSASPLYDGQFYMGTGIDDMGIVVGDHGGVAEDDWANVEESSSSGLGNDIFDDLWF >LPERR07G10850.1 pep chromosome:Lperr_V1.4:7:11196305:11197367:1 gene:LPERR07G10850 transcript:LPERR07G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERHARCFRGAPCLHHNALGYVKSLALKCAVDLGIPDTIHRRGGAASLADIASDAAVHPSKVGDLERMMSLLTTANIFTTTITIDDVDGRGAAVHYGLTASSLIAENTHAMPSLAQRCVASEPYLNRFIATEIGRSGGLIPVQGKLEIRENPLRKETISLTLAGPGSLSPVVQFIVSPFLVSAFLSLPDWLRGSTPPTEAASSLFEVAHGCSEWEMASKDAELNGVINAGMVADSQFMVTLSWTRGGTGLSSLVDVGGGHGASTRLIADEFPGIRCSVLDLAHVVERAPARDGKVQFVAGDMFASVPPADAVVLKVLECRGAI >LPERR07G10870.1 pep chromosome:Lperr_V1.4:7:11209720:11212866:-1 gene:LPERR07G10870 transcript:LPERR07G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLSAFVSACASKLAGLIADRIAAGLGVEDDVRTMQRRMERVAGVVADAERRRRPTSEHDTWLDELRDFLYDADDIVDLCRCRGGRLLSERRARSRSLNLVASVQKLHARFEIGAQIRRLNGRFEEICKDRLFLSLAVVDDSKAEDGSSAGRVRTRASTPLLDTNVVGKEIKSATEALVETIMRVDDGLGGEEGGVTVAVVVVGMGGIGKTTLAQRVFNSRRIAGGFPLKAWLCVSREYSETDMVKEAIRCCGGDYGRAETLAELQLILRSTVSGKHFFLVLDDVWDAGVWTSLLRLPFHGAATGRVLVTTRDQSVAVRVGARHIHQVKHLGVESGWELLHMTAHLDGDEIQSLRDIGMGIVAKCGFLPLSIKVIGGLLMTKSRSRAEWERVLGSDAWSMADVEIKGAIFLSYEDLPSHLKQCFLYFSLFPADFVYYRCHLCRQWIAEGFVAASGEFTMEEIAEQYYYDLITRSILQPHPDYLADQSRCTVHDVLRSFAQHLSKRENHLCGDLQTVGSSSLVKLRRLCLTNLEEVMLPCNVVSYDQKCLRTLFLVGIQKFDTKLIVRLSNLRTLLLIDCNINKLPDSIGDLMHLRYLGLESVNISALPESISHLRNLQFLNVKRCTLLTALPRTLTRIGSLRRLGIEETPIRLVPRGIGRLHSLVDLQGFIVGSGSDSSKMQQGWILKELDSLSQLRWLRIDNLERATIDIAATLITKRHLKRLELSCTVKSVTDGNPWDEMEVAKTEAIFEKLHPANCLEDLLIRGFFGRQFPAWMESSSLCSVTWLKLVDCKFSVKFPPLGQLPHLTFLKIVRADSIVTVGSEFYGQGGASTFPKLEFLWIGKMPNWEDWSLQIAQATCSSLVQLFPCLRQLELKDCPKLRALPEQLKHATKMQKLLIQGADALKKIENLPELSGSLHINGSRSLASISDLYQIRELYVSDCPELQFVNNLNALKWLYLEDETMELVPMWLSRLAERGENHSEDGLELELHCSTAMLDRCLMGNQDWTVIEQFTSVAAYCKEAYMCYSRHPVSYHTSKAGSNSK >LPERR07G10880.1 pep chromosome:Lperr_V1.4:7:11214802:11217406:1 gene:LPERR07G10880 transcript:LPERR07G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >LPERR07G10890.1 pep chromosome:Lperr_V1.4:7:11244000:11245292:1 gene:LPERR07G10890 transcript:LPERR07G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMLLLVSISCIGLLLCYCASSNNGNKRRLPPGPRVWLPLFGSLFIHAPNMASRSSALARLRHSHGPVITLWAGNRLAVFVMGRDLAHRTLVRDGAALAHHPQWPFTSSSRAISINRYGVNPEDYGARWRRLRRNISSFLATAASRSTSDRALRWSADRLVARLEHEVAAGDGVVAPTDAFRHAVFTFFAVLCFGEGVDDAVLCDLRRVHADILALTVDLGAFHLVPMVMMVPYLHRLWKLSGLQRRHHDIVSALISSRRQLSSVTVCCYVDTLLELELGEDEMASLCWEFMNAAPKTTSTSLEWTMARLTHHHCDIQRNLRLDINKTTNGGALVSPSPYLKAVVQESLCRHPLAHYLLAHTVDHDVALDEGGYVIPKGAIVNYAVAEIGRDPTAWMDVDEFMPEQLLVGGERAVVDVTSCGAASKSE >LPERR07G10900.1 pep chromosome:Lperr_V1.4:7:11283666:11285189:1 gene:LPERR07G10900 transcript:LPERR07G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLFLLVSIVVAVAGGSIVCLLSLRYGASNKRLPPGPRMRLPLFGNLFIHAPNMASLSSALSRLRHSHGPVVTLWAGNRPAIFVVSRDIAHGTLVRDGAAMAHRPQWSFTSSSRAISFNRYGVNTEDYGARWRRLRRNISSFLATAASRSTSDRALRWSADRLVASLEYEVATGDDGVVVAPTDAFRHAVFTFFAVLCFGEGVDDAVLRDLRRVHADILALTVDLGAFHLVPMVMMVPYLHRWWKLSGLQKRHHDIVSALISSRRRRSSVTVCCYVDTLLEMELGKDEMVSLCWEFMNAAAKTTSTSLEWTMARLSHHHGDIQRNLRLDITKTTNSGALVSPSPYLKAVVQESLRRHPPAHYLLAHTVDRDVALDEGSYVIPKGAIVNYAVAEIGRDATAWTDTDEFMPERFLVGGEGAGVDVTSCGTGAEIRMMPFGAGRRACPGADVAVTALQFFVGRLIEQFEWRPAVVSGVDLSERAGIVHVMRTPLRALLVPVSATSSSS >LPERR07G10910.1 pep chromosome:Lperr_V1.4:7:11306422:11307273:1 gene:LPERR07G10910 transcript:LPERR07G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMKSRDRELCGRRFFQRARWVDTENPVPDGRRWYSPLETTAQYLRRKAEFEAREADWRLRTIGLGSDLNAIVETIALWVSPPKDDFGAIIPPKVVSMYIQAKAEYEQKRTNPLRIPKWSERPKFYCIDRCKVTTSFDNATHGTRYFSCANKDDAFTWIDGDVPKYAGGPVT >LPERR07G10920.1 pep chromosome:Lperr_V1.4:7:11337091:11337863:1 gene:LPERR07G10920 transcript:LPERR07G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGSPDGVRYCHRVTPMLFDYTTGHAICTDCVLVLGNFYANRAAAAAKYVDGADDSNNRGRATDVGNGAAPLPPDSEVAAADDAGSAAPRMRGAVSDTSKALAEGFDAIANMATQLGLADTVINRGKDVLRNGAATRSTRRGLAADAQGAHHGDARRRGGGQEGHGKLLHYGSAVGMSEQEVSEPRRATRSCGIKSVREVSAATGVSVSTIKEAYKDLRPHAALLFGENHTATQHETAY >LPERR07G10930.1 pep chromosome:Lperr_V1.4:7:11338439:11338851:-1 gene:LPERR07G10930 transcript:LPERR07G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGIDETLISEFIQGWTCVELDGVDLQEDMEDTITWYRTTCGENNVKSTYMASLLTRFTQRRPRCASGTLRQRNTYSRIAPSQEDLGNRGSKNSLH >LPERR07G10940.1 pep chromosome:Lperr_V1.4:7:11346735:11351423:1 gene:LPERR07G10940 transcript:LPERR07G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYAFKRRSVVLLLSVLAIVGASTAWAASPAGDDGRRRLIDNGLGRTPQMGWNSWNHFGCNIDEMTIRSTVDALISTGLANKGYTYVNLDDCWAAYQRTNEGYMAADPKKFPSGIKDLADYVHSKGLKLGLYSSAGTRTCSQTMPGSLGFEDIDAKTFASWGVDYLKYDNCNGNGLRETVRFPRMSAALSNAGRPIFYSLCEWGVMNVATWGAQYGNSWRTTGDINNSWASMLSNINTNDQFASYAKPGGWNDPDMLEVGNGGMTHDEYVVHFSLWAIAKSPLIIGCDVRSMSKETLDILSNPEVIAINQDRLGIQGKKVRQYDNEIEVWAGPLSKRRTAVLLLNRGSSGSRQITAAWTDIGIKRGIAVEARDVWKHETVAGRLNGSLTAEVAPHSCKLFVLTHVGRQQ >LPERR07G10950.1 pep chromosome:Lperr_V1.4:7:11352418:11357819:1 gene:LPERR07G10950 transcript:LPERR07G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPFAVHLVTGGASSPELALLLRSLAAARVVALDAEWKPRRRGPGGDAPNSPPPATAAAPPPPPAPQFPTVTLLQVACRGDGAGEGGAAAAAEVFVVDLLAVPLADLWESLRELFERPDVLKLGFRFKQDLVYLSATFAAALGRGAGFVRVEPFLDVTNVYYYLKGHDLQKRLPRETKSLATICDELLGVSLSKELQCSDWSCRPLSKGQIQYAASDAYYLLDIFDLFHQKIRIEGKCLPTNELTSDGHFAPRDIEDAICSDSYLASIVVKYSEKISLTESDTKPHSSRRKGKQKFDANAKCKEKFEGSTKWQGPPPWDLSSGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRILLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDQLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSLCNISD >LPERR07G10960.1 pep chromosome:Lperr_V1.4:7:11359363:11361746:1 gene:LPERR07G10960 transcript:LPERR07G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKATPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKARDPKYPRISAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >LPERR07G10970.1 pep chromosome:Lperr_V1.4:7:11362259:11364594:-1 gene:LPERR07G10970 transcript:LPERR07G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRSYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFFNTIAVLVLVVAKLPNMHKISEDHMKNIRSSRIAAVSISQCGT >LPERR07G10980.1 pep chromosome:Lperr_V1.4:7:11367350:11371970:1 gene:LPERR07G10980 transcript:LPERR07G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATSAAARADAGAASAAASRTRRATTKPKSPSFLSPVAPPPSARARARTSSFSPSSPATPAAAADLSFLSSPGSSASPSPTPKPAPRSRSSRRATAKPASPLASPLAGAAAPAPSPASAAFSSVGELRNVAASQMEALKRRLDALHSRAHSDLDASLSRVSKRFKSQNQAFQQLTDEVDKDYKKTSDSIKENTEMIKAKYKQIMAEAQSSTSRVCKVTIPEMTKSVEKAIDGLRSRYNISMPV >LPERR07G10990.1 pep chromosome:Lperr_V1.4:7:11371791:11372537:-1 gene:LPERR07G10990 transcript:LPERR07G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRAHEVAIGELNSLHPSRAVYQKTGNLFFRKSVKSAVTSEQKQLDMAKARLQKLDQA >LPERR07G11000.1 pep chromosome:Lperr_V1.4:7:11384605:11385057:1 gene:LPERR07G11000 transcript:LPERR07G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVPPKTTRRTRQHQGGKERSTPDRFVGAIISDLEASTTRDDQDGYSASESDNSQAVYAVDGNDVSASALMTPTQRLATMQQILDESPTDAVASAEIASWTNRLREAARNLYSAVTEVKQPEQPSLSEAARRATTADGDATAANGPQT >LPERR07G11010.1 pep chromosome:Lperr_V1.4:7:11397230:11402581:1 gene:LPERR07G11010 transcript:LPERR07G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRPLFDLNVAHEDWDWEHQQEQEQLEEEPQEVVEGKKEVEAEAAEEEEEDPEEVIMEEAAEEVVEEVVEEVEGEVRRKGKEYEVLVGGIPRDASAEDVALALADAGDVDEVRLVRDPKEPRFNKGFAFVVFAAAWQARWAADDVRTALVNGETCGICKNDANETLHLRNICYDWTKDDLAEELKVYKLENLEVINLVEDPERKGKNRGYAFLDFRTDLDAVDALFKLHNREIYLGTDVRAHVSFSKTFSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIQLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIGEGSGKVRIKASLQRPRPTFNKRSCQGTNPMLGIRRGFIGKGYGDRERYGDRERYSDREHYHHDRERYSDRERYGDREAHPSRFGFSGHPRRDYSSNLDHHKYRHCMAIDVEERHVSSREHRSHYRRDSALSGPSHRCGRAHLGGRPREAFLESQYTSEFPRHRQSRHEEYIQRDAYRSKHGHSFLERSHRDSCPDCNPSDHSSSGYYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGPCNCGECYIEQDEAPASSQVVPRRRQMAKPSHEKGYIS >LPERR07G11020.1 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQFIVFAELMLTCVKSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSRLHLDSAQEACGLHLSLTGILGFRTIYQVDAKSQMVLVANTSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQVPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11020.2 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSRLHLDSAQEACGLHLSLTGILGFRTIYQVDAKSQMVLVANTSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQLLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11020.3 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQFIVFAELMLTCVKSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSRLHLDSAQEACGLHLSLTGILGFRTIYQVDAKSQMVLVANTSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQVPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKCFLTNNKTITFRRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11020.4 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQFIVFAELMLTCVKSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSRLHLDSAQEACGLHLSLTGILGFRTIYQVDAKSQMVLVANTSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQVPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11020.5 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQFIVFAELMLTCVKSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQVPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11020.6 pep chromosome:Lperr_V1.4:7:11406288:11428524:-1 gene:LPERR07G11020 transcript:LPERR07G11020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPPSPAAPPSAAASPPLRHHPLGAVAASAVAAVEAGEYAAALATAAPHFLPHVASDAPLSAERFYDALAAAAEEFLRGDGEEVGEGFECRCAVVLSAAVAALLAFMQQNVTGPPVKYSPFPFWTSSLDERWFSNLESEWDAWASARLTSIGSHVHGKFSLMQFIVFAELMLTCVKSLNPTDCCTVSWWLFRLSMVQQNIVDELSSALFDQVQEYKNKTLVRFGDLENVSSYWAPLLFDGEGSYFVSAACLEAGIAEYKYCRIDQSSRPASGEGKAREPPGTQDDAVALKNARSSVPSESDEVSDILRMPRLVENENVSGNENPKDLCKKTVLTAVQQAAILAECLHVSRRSRHDEMSGWDMAPYIESIDYQEETYFVVRSLCDILRIRWESTRNRTKQRALLMMQNLVEDVGNDFPVAAQRAKLVFGVQVPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNVDLLEKVMVMLEEQPTHVSNAQGAESVSSTSDATQETRKSNQLLDIIGDILQQIVRSGGSNSEIWGLFARWHKAKGNLIACSEALLKQVRSLQGSGLWHDESKFTKYAQASLQLCKVYMEISSSTGSRKELFSAEMHLKSSLKQNAGSNRGRWEDEGDQLLVAPMTCWTGLVEPFDSHLESRSPRQNIPEFPLKK >LPERR07G11030.1 pep chromosome:Lperr_V1.4:7:11438524:11441083:-1 gene:LPERR07G11030 transcript:LPERR07G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGFGKSQQVLANIPQQGSRHDEGREVPESATDGSKRRTIQRCMLVLADVLMLLVGEAMAPLLSRLYYNSGGNSLWMTTLMQSAGSPLLAIPLLLTPRAAAGEPLPAVFKMAAICVGLGLIIGCDNLMYSYAMLYLPVSTFSLMSSTQLAFNAVTSRLINSQRFTSLILNSVVVLTFSAMLLGVDNRDGSSGSNVVPHGKHVVGIILTLSASAVHALILSLFEVTFEKVIKATTLRWVLKMQIFTNMVALVVSMMALFASGEWRSIHGEMVMFKNGKVSYVVTLIGIAVGWQAAALGAMRLIARVSSLFANVTGTLALPLVPVLAVMLFGDRMTGIKVVAMLMAVWGFLSYVYHGRRAAAARKGRVHAVAGCIVCAGRISNV >LPERR07G11040.1 pep chromosome:Lperr_V1.4:7:11450290:11461769:-1 gene:LPERR07G11040 transcript:LPERR07G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLVGEAMAPLLSRMYYNSGGKSLWMVTLAQSAGSPLLIIPLLLTPRATAGEPRPVVSKIAAICVGLGLIIGCNDLMFSYAMLYLSVSTFSLAMALFASGEWRTIHGEMAEFKNGKVAYMLTLMGIAVALFGDKMMGTKVVTMLMAVWGFLSYVYQHYLDGQYVVAMRNGRGSSHDEGREVQESATCGLKRCANRRWMLVLADMLMLLVGEAMAPLLSRFYYNSGGNSLWMTTLVQSAGSPLLVIPLLLTPQAAVGEPRPTVFKMSAICVGLGLIIGCDNLMYSYAMLYLPVSTFSLMSSTQLAFNAVTSRLINSQRFTSLIVNSIVVLTFSAALLGVDNRDGSTSSSSVQNRKHVVGIILILCASAVRALILSLFELTFEKVIKATTLVWVLRMQIFTNMVASAVSVMVLFASGEWRTIHGEMAMFKNGKVSYVLTLIGIAVGWQAMELGAMRLIARVSSLFANVTSTLALPLVPVLAVALFGDRMTGTKVVAMLMAVWGFLSYVYQHYLDGRQAASARKGRVHAVAG >LPERR07G11050.1 pep chromosome:Lperr_V1.4:7:11478502:11481729:1 gene:LPERR07G11050 transcript:LPERR07G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGLGTTVCSQKAKELAFSATELRRKRDRERYASMSAEQKEAKNKKACEARLQKKECGGRLKEADLIDTKATLDIMKLYGLTLKL >LPERR07G11060.1 pep chromosome:Lperr_V1.4:7:11483208:11487674:-1 gene:LPERR07G11060 transcript:LPERR07G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCMKIHDLKLKERFEAELAQQCEKLVMELDRKIRRGRERLAQDVVVPPPIIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVSDDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKAREEERIAREKKAEERRKQREKEYDIGGRDGGSKREKSGERDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRGRARSRSRSPNRHGYGKSSPDHLNACMAMCEGGI >LPERR07G11060.2 pep chromosome:Lperr_V1.4:7:11484035:11487674:-1 gene:LPERR07G11060 transcript:LPERR07G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCMKIHDLKLKERFEAELAQQCEKLVMELDRKIRRGRERLAQDVVVPPPIIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVSDDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKAREEERIAREKKAEERRKQREKEYDIGGRDGGSKREKSGERDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRGRARSRSRSPNRHGYGKSSPDQ >LPERR07G11070.1 pep chromosome:Lperr_V1.4:7:11490147:11493819:-1 gene:LPERR07G11070 transcript:LPERR07G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIDRLVRRNSKTKTSRNIVDDIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNIVKAKEMFLNMLKWREDCAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVTSTDRYVKYHISQQEKTLALRYPACSLAAKKHIGSTTAIFDVKGLGMNNFSKSGRELFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSAAGGCLLQDKGPWTNQEISQASKGGQGQKSFDEMSTTVACKGFPVPGHQEPSVGKMHPISGWKRTLGMLLKENQVGNDMNDIQHNQVNEQISEKIQELENCVAQTQETLQTLLQKQHDLASHIDQLRKLLREAINADDKANVQILK >LPERR07G11080.1 pep chromosome:Lperr_V1.4:7:11498051:11503735:1 gene:LPERR07G11080 transcript:LPERR07G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGWLSSFCRPRRSGRPAGQLPQQQPDPPHPEPQPKEVEDHNTSDKVVMENILSNNDFSEGLNLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTHRTHNWQGLEQDITGKIATGTEYIVAAHVRVHGELQEPVEIKATLKLKGEDSSTDYQSIARISASKDCWEKLEGSFELKTLPRHLVFYIEGPPPGVDLLIDSMTISFKKTEKAASTLVRGAENIISNYDFSEGLHLWHPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTENWQGLEQDITDRVSAGTSYVVSAYVRVDGNVHGKVEVKATLRLHNLDDSTHYSPVGSVLVSKEKWEKMEGSFCLKNVPKRVIFYLEGPPAGVDLIIDSVNISCSGHQKLEKEVKVPSGIDTIIMNPHFEEGLNNWSGRGCNICRHELNAYGNVKPLNGSYFASATGRIHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGREQYLSLAKNQVSDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPATKLHAPPRPKIENVSYGANVIHNSAFTRGLSGWSPMGSCRLSIHTESPHMLSSILKDPSQKHIKGHYIHATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYEVKGAFKLEKQPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSNAANCFGSSIRIQQNENSFPFGSCIGRSNIENEDLANFFTKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLQFCRKHNIQVRGHCLFWEVEDAVQPWLRSLHGHYLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKFIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVQAENEHIRADDLEVFLREAFAHPAVEGIILWGFWELFMFREHAHLVDADGTINEAGKRYLALKQEWLTNITGNVDHNGELNFRGYHGSYTVEVATPSGKISRSFVVDKDNTVQVVTLNI >LPERR07G11080.2 pep chromosome:Lperr_V1.4:7:11497796:11503735:1 gene:LPERR07G11080 transcript:LPERR07G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWEESNFTLLFGPSAELHLPTVIDAAAPCRIYVGAFTGSTPSRLRPLAAEVEDHNTSDKVVMENILSNNDFSEGLNLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTHRTHNWQGLEQDITGKIATGTEYIVAAHVRVHGELQEPVEIKATLKLKGEDSSTDYQSIARISASKDCWEKLEGSFELKTLPRHLVFYIEGPPPGVDLLIDSMTISFKKTEKAASTLVRGAENIISNYDFSEGLHLWHPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTENWQGLEQDITDRVSAGTSYVVSAYVRVDGNVHGKVEVKATLRLHNLDDSTHYSPVGSVLVSKEKWEKMEGSFCLKNVPKRVIFYLEGPPAGVDLIIDSVNISCSGHQKLEKEVKVPSGIDTIIMNPHFEEGLNNWSGRGCNICRHELNAYGNVKPLNGSYFASATGRIHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGREQYLSLAKNQVSDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPATKLHAPPRPKIENVSYGANVIHNSAFTRGLSGWSPMGSCRLSIHTESPHMLSSILKDPSQKHIKGHYIHATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYEVKGAFKLEKQPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSNAANCFGSSIRIQQNENSFPFGSCIGRSNIENEDLANFFTKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLQFCRKHNIQVRGHCLFWEVEDAVQPWLRSLHGHYLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKFIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVQAENEHIRADDLEVFLREAFAHPAVEGIILWGFWELFMFREHAHLVDADGTINEAGKRYLALKQEWLTNITGNVDHNGELNFRGYHGSYTVEVATPSGKISRSFVVDKDNTVQVVTLNI >LPERR07G11080.3 pep chromosome:Lperr_V1.4:7:11498051:11503822:1 gene:LPERR07G11080 transcript:LPERR07G11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGWLSSFCRPRRSGRPAGQLPQQQPDPPHPEPQPKEVEDHNTSDKVVMENILSNNDFSEGLNLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTHRTHNWQGLEQDITGKIATGTEYIVAAHVRVHGELQEPVEIKATLKLKGEDSSTDYQSIARISASKDCWEKLEGSFELKTLPRHLVFYIEGPPPGVDLLIDSMTISFKKTEKAASTLVRGAENIISNYDFSEGLHLWHPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTENWQGLEQDITDRVSAGTSYVVSAYVRVDGNVHGKVEVKATLRLHNLDDSTHYSPVGSVLVSKEKWEKMEGSFCLKNVPKRVIFYLEGPPAGVDLIIDSVNISCSGHQKLEKEVKVPSGIDTIIMNPHFEEGLNNWSGRGCNICRHELNAYGNVKPLNGSYFASATGRIHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGREQYLSLAKNQVSDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPATKLHAPPRPKIENVSYGANVIHNSAFTRGLSGWSPMGSCRLSIHTESPHMLSSILKDPSQKHIKGHYIHATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYEVKGAFKLEKQPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSNAANCFGSSIRIQQNENSFPFGSCIGRSNIENEDLANFFTKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLQFCRKHNIQVRGHCLFWEVEDAVQPWLRSLHGHYLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKFIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVQAENEHIRADDLEVFLREAFAHPAVEGIILWGFWELFMFREHAHLVDADGTINEAGKRYLALKQEWLTNITGNVDHNGELNFRGYHGSYTVEVATPSGKISRSFVVDKDNTVQVVTLNI >LPERR07G11080.4 pep chromosome:Lperr_V1.4:7:11497796:11503822:1 gene:LPERR07G11080 transcript:LPERR07G11080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWEESNFTLLFGPSAELHLPTVIDAAAPCRIYVGAFTGSTPSRLRPLAAEVEDHNTSDKVVMENILSNNDFSEGLNLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTHRTHNWQGLEQDITGKIATGTEYIVAAHVRVHGELQEPVEIKATLKLKGEDSSTDYQSIARISASKDCWEKLEGSFELKTLPRHLVFYIEGPPPGVDLLIDSMTISFKKTEKAASTLVRGAENIISNYDFSEGLHLWHPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTENWQGLEQDITDRVSAGTSYVVSAYVRVDGNVHGKVEVKATLRLHNLDDSTHYSPVGSVLVSKEKWEKMEGSFCLKNVPKRVIFYLEGPPAGVDLIIDSVNISCSGHQKLEKEVKVPSGIDTIIMNPHFEEGLNNWSGRGCNICRHELNAYGNVKPLNGSYFASATGRIHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGREQYLSLAKNQVSDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPATKLHAPPRPKIENVSYGANVIHNSAFTRGLSGWSPMGSCRLSIHTESPHMLSSILKDPSQKHIKGHYIHATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYEVKGAFKLEKQPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSNAANCFGSSIRIQQNENSFPFGSCIGRSNIENEDLANFFTKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLQFCRKHNIQVRGHCLFWEVEDAVQPWLRSLHGHYLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKFIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVQAENEHIRADDLEVFLREAFAHPAVEGIILWGFWELFMFREHAHLVDADGTINEAGKRYLALKQEWLTNITGNVDHNGELNFRGYHGSYTVEVATPSGKISRSFVVDKDNTVQVVTLNI >LPERR07G11090.1 pep chromosome:Lperr_V1.4:7:11506759:11508257:1 gene:LPERR07G11090 transcript:LPERR07G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEKSEASCIRVKNPPLCHCGHPCKLQRPNIGVSAKFTPLFRCKLNTYDGWPMCDFQEYIYGLKSFWPTDEEVRLFETGKTHWPCERRPHPRCKCGNLATVGVVPSELGYGYYCGNVYDKYWKGRTCNWEDSPRRTKLRQQLGRQSEPLRKLRNKSEPLRKLRNKYDIPLPEREVEAMLSEDMRRHKGQPARGLHRDKYPADLTPEEKIAKRQKIEEERERQRRLSKEKARKDPNIVYPYGTWEYYFKTVEDKKRKAKKEEMEARARDAQMEAVRALVAELPSQLPVDKKGKGITNDNQGPNWYDGGDDDWGDDKLIYDGDSN >LPERR07G11100.1 pep chromosome:Lperr_V1.4:7:11517748:11527651:1 gene:LPERR07G11100 transcript:LPERR07G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRNCVVELRSNPQRRRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLADRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNMGLEITVAVAYEASSGNSSNESTGVGQGRSTYLGAASIVRCLENGKPHVVITSRVADAALFLAPMVGIGMTLGSYRKGHWLAIFWNVDANSLEDISCTLLLDLSLPYAEVSYKGEVCVGKAEGSGGLLSYSTCAEQLLYEVGDPANYVTPDLIVDFRDVKFHQISKDKVHCKGAKPSNPSRPEKLLQLLRTESGWKGWGEISYGGQACLKRAHAAEYLVRSWMNETYPGIEEKIISYVMGYDSLKAIGGNEDSSTKQVMDARLRMDGLFELEEHAVKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWRANMKKSNNPDKGQMHNQQEHKYPGSCFVITHHSSMDTLPSSVPAPSGTKIPLYHVAHSRAGDKGNDLTLSIIPHFPDDIDRLRGVITRDWVKNAVSPLLCSSSFPVDRANWVQNDLLEHVSIEIYVVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVILPP >LPERR07G11110.1 pep chromosome:Lperr_V1.4:7:11530145:11531602:1 gene:LPERR07G11110 transcript:LPERR07G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDTQGSSRDSELMPPTIHGKRSARPRGRNYTPWTPLQGLLNSIKKMKMYGNDESGSSKDMRSAEGDGMSSDSSENAHKLEENNGEDTKYKLLAIKTELTERIDPITRGKRSVRPRAKELALPASQDELQKVETGQNRRWDGLAVYTRKNKTISKGKEKSGTDNNAIKEVKNNTSAAANKKDGALSPDTPMESANALEPQPVAQAIDINHPMVDRNADSEAALASIYGEPSKWDLCITFAVKLLMDEMPLPEHAAEVEEFFRQKMNSANNTKAGRSVY >LPERR07G11120.1 pep chromosome:Lperr_V1.4:7:11536305:11538325:1 gene:LPERR07G11120 transcript:LPERR07G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAAAAPSRLLLPHRPGGCGAGAGASPRRIWAAELGAPTTLPLLIRRSFGCLCSPSPGEGKDGARQLFDEFSVLSPIIPWEAHDIWRTIGAYFFILHIPFSFGGLGVVAELLHCSSLDPLTTVSTTVVLQLTELSLALALLQYTTKKDHKIWPYFAGKLYPQQSWVKETVMGFILLMTMVSLTTIVGDTLIGLEDTYDPMLRKILFDSPTSRLLCIFLYCVMAPLSEETIYRGFLLTALSSSMKWKDAVIVSSIMFSIAHFSVNSSLQLFFIGCITGLAYCRTGTLSAPLTIHSLYNAAILYMTLMS >LPERR07G11120.2 pep chromosome:Lperr_V1.4:7:11537323:11538325:1 gene:LPERR07G11120 transcript:LPERR07G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEFSVLSPIIPWEAHDIWRTIGAYFFILHIPFSFGGLGVVAELLHCSSLDPLTTVSTTVVLQLTELSLALALLQYTTKKDHKIWPYFAGKLYPQQSWVKETVMGFILLMTMVSLTTIVGDTLIGLEDTYDPMLRKILFDSPTSRLLCIFLYCVMAPLSEETIYRGFLLTALSSSMKWKDAVIVSSIMFSIAHFSVNSSLQLFFIGCITGLAYCRTGTLSAPLTIHSLYNAAILYMTLMS >LPERR07G11130.1 pep chromosome:Lperr_V1.4:7:11540723:11542710:1 gene:LPERR07G11130 transcript:LPERR07G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTAADSKRLFFRRIFSSDEKCPPQLKEAASDILRKCGGLPLAIISISGLLASKPKTIDQWDRVKNRIRYRQEKSTDIEIMTWVLSLSYFDLPQYLKTCLMYLSIFPEDYVIMKERLVKRWIAEGFIDEKQGESLSEESYFNELINRSLLQPVDIEDDGQLTLQISHLIQLARFVAYHSIETLKEMSQCQQTCSDIMSDISDLPSQIGELQYLESIDIRSTHVKELPSTIVQLQRLAHLLVDCDVKLPDRIGNMQALEELTGFSVFMYPSTFLQEVGKIVNLRVLRVIWNYVDFEGNAETYMKNLAASLSKLGTCYFQSLSLDIHGQEEDDFSLDLWSPAPCRLQKFYMGRWHPISRIPNWTDSLTNLQYLHIYVKRIDQDDLRMLGSIPSLTIYSLCFRFSEGFQCLKFFKIHSDRIGLVFEAGSMPKLEYLRILISAFHVKSWDGSFDFGIQHLSCLTKVYAYINCYGLAAEEAEAAVNAIMISVDTIANRPTLQIDRRFAPL >LPERR07G11140.1 pep chromosome:Lperr_V1.4:7:11561674:11564903:1 gene:LPERR07G11140 transcript:LPERR07G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSAAADMAVALFSLTIAVAAPLIDAQSVLPRHLFPPQLVALKRWYADEFGDYLLVRPPGFLRGLVWLELAFLWPLAVATLYGVLARRRWAATTSLIAGVSTLTSMSAILGEIVGSKKATPKLLQMYVPFAVFAVIAILRGLCSCTPRGTAGSSVGPFARKKRV >LPERR07G11150.1 pep chromosome:Lperr_V1.4:7:11567200:11576454:1 gene:LPERR07G11150 transcript:LPERR07G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSSSYSSSSGGKKKRPRSPSDHNEHPVDRTQMYTSLEDALTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVRDRTQVDRDLESLKKDNVLRLFKLNTGQDDHAIMFMDDYLKQMAFAVKRSTSKDQDGTEVFEWFERYVIHSKLEASIHQRELCSLLSLGGDITDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPILKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHLRDLIGSGHIKTVQTPTDSGTNSIACV >LPERR07G11170.1 pep chromosome:Lperr_V1.4:7:11593685:11594056:-1 gene:LPERR07G11170 transcript:LPERR07G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANRLLLAIVAIISVCVAATALGATAYIVIGGWRHIQNISDPNIQELGQWAVTEANKVSPSRLLTFSKVTDALKPALHFETTKYCLLLIDASRYGVMLKYDVLLIVKNGNPRKLLSFEGAHS >LPERR07G11180.1 pep chromosome:Lperr_V1.4:7:11603166:11603528:-1 gene:LPERR07G11180 transcript:LPERR07G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGLLLAALAIVAICAAAAAPSVMVADVVGGWSPIEDINDPHIQELGEWAVSEHNKVSTTSVLTFSKVTSGEQQVVSGMNYRLFIAASTSNDTNGSYVAVVFEQASTRKLVSFSTNCC >LPERR07G11190.1 pep chromosome:Lperr_V1.4:7:11604612:11604980:-1 gene:LPERR07G11190 transcript:LPERR07G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSFFLAAIGIIAVCAAAVTAPGARAAAVDAWSPIKNINDPYIQKLGWWAVSEENKVSQSGALTFSKVTGGEQQLQDIADMKYRLYIDASSGNDKNGSYMAVVLEQANTRKLISFSMSRC >LPERR07G11200.1 pep chromosome:Lperr_V1.4:7:11611334:11612517:1 gene:LPERR07G11200 transcript:LPERR07G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEADRNRQEEEEQVVTPWEVSAPEGGAIDYDKLVDRFGCHRIDAALVDRRTASSAAASSSPTATSTTYSTSTNQGKNSTFTRGGGPRRSRFTSATSSPSCSQNICRTRSRCHSCYLGGAFYENVLRVAKRVTFNNLRGIFGITPEDHIGKVGFPPVQAAPSFSSSFPHLFPGNDQLPCLIPCAIDQDPYFRMTRDVAPKIGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDSCKQIKQKVNKHAFSGGQDSVELHRKFGANLDVDVSIKYLNFFLEDDDELEHIKEEYKAGRMLTGEVKQRLISVLSEMVATHQRARAQVTEQMVDEFMAVRPLPNIFS >LPERR07G11210.1 pep chromosome:Lperr_V1.4:7:11627397:11635484:-1 gene:LPERR07G11210 transcript:LPERR07G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRHHFLLLARRAAHHHHGLALARAIHAASDAADPSPPPPLPPSPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTIFADRGFGPFLALYRAATISFHVMKLTVWHLLLNDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAVRTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEVDYVLEGRNAERFARLYSHESGGNSSGGGTSIKVPKVYWNFTRKCVLTLEWIDGIKLTDAERIGKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVGDALRVSFGEGRRQSNDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSKSSNKSGDGYGDGENASDKPQWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEITTGEFYPEAVSLAPDAWTAMLFRTLLKPECQKFILDVFLTLAMHSRYKIPETSWICMSRFLNYLDRQAR >LPERR07G11220.1 pep chromosome:Lperr_V1.4:7:11640285:11644948:1 gene:LPERR07G11220 transcript:LPERR07G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKAQRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVPTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTEIKALKGISCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPNIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFTDRGSSDKVMRPRVLDSLYDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEDIVIAAPSG >LPERR07G11230.1 pep chromosome:Lperr_V1.4:7:11646425:11648008:1 gene:LPERR07G11230 transcript:LPERR07G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKQAKVKSNCAVKSDRLSSLPLEGKKNYHDAFGRWMLMLSLKSPSSISIGLTSAPKYRIPSCLFSISDLENLDIKNCIINLPQLFKGFECLTVLDLENFSSTDSDIEKLISCCPELSALILKSFEGISCLNIRAPELENLVVDGKFNDLHLDAPNLENADVTLHKGEAYQSVPLVHGGKSYLKQAFGSLSNIETLVINGYLLTYLSKGCILTNMFPVFDHLEMISLEICFWDQREILTTISLFQNYPQRT >LPERR07G11240.1 pep chromosome:Lperr_V1.4:7:11675788:11676340:-1 gene:LPERR07G11240 transcript:LPERR07G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRTYSALSDLEYRRDRVAEEDKHKAIQEALAGFLNDEILDPKGEHCYDGRLEPTSVDYNIDLDDPNFD >LPERR07G11250.1 pep chromosome:Lperr_V1.4:7:11696723:11698088:1 gene:LPERR07G11250 transcript:LPERR07G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGTAATKKHILRAQKSTNQLKALLQAEGGGGKSPGAVVEMILSDISDSLSQALASVELGASGESSIMAPPPEASLSSAYGGGRWPDPGDAYPWRKYGQKGILGSRFARNYYRCAAQRSGCSAKKQVHQSDDDPSQMEVTYIGSHTCDCDDRRPPSCTIPAAGAAVMSSALQKLEEHVPELDMMMAVHCNPSMEEEDMAAPWLFIPSPACSQSELLPEVAMEVPELKADADVDASQADPVELDRKTSKKANDEEFLALYDSVVPDLV >LPERR07G11260.1 pep chromosome:Lperr_V1.4:7:11707133:11709969:1 gene:LPERR07G11260 transcript:LPERR07G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGTAATEKLIRSAQKSTNQLKALLSVAEGGRVVEAILSDISDSLSQALASLQLGKSSSSDDRRLPAPTSLSAYGQSVENGGGRPVSRRRCQRRSRADGLSRRLLIQQGDRDDSYPWRKYGQKEILGARFARSYYRCAQTSGCTARKHVQKSDDDPSRLEITYIGEHTCDDDLPSPAIISPFSCHHRLPPAAVDVPPSTLQKLEEHLQAASDLMMPCTRSMETMEEMVASWLFIPSPACSQSELLPELEAEFHYQLQPDASLADPVEYKKGHGEEEFLPTDDFVVPDLM >LPERR07G11270.1 pep chromosome:Lperr_V1.4:7:11742392:11743764:-1 gene:LPERR07G11270 transcript:LPERR07G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVTAVAGDDDDVLPPPPFDPTVDLGAGALRRGHRFATSYSSFGTAASEDDFASDGGGGGGVFAAGFGMPMESNGGVAAYGYGEAEDVMNGHVDHQHGGDVGGVIGADAIDDDLFAAGAGEDDGPILPPPEAMKEEGILRREWRRQNALMLEEKERKERERRAEIIAEADEYKRSFAEKRKLNRDTNRTQNRDREKLLMANQEKFHKEADKQYWKAIAEMVPHEITALENKRGKRRETKQQQQPGVVVVQGPKPGKPTDLSRMHQVLMKLKQTPPPHMEPPPPPPPTVTATAKDGGDKDAKKDGKDVKDDGKGEAEKKAAGHEENEKKLAGGEKEAAVATTADPPVTAAAAAATEVQANKEAAEEPVKK >LPERR07G11280.1 pep chromosome:Lperr_V1.4:7:11753452:11760768:-1 gene:LPERR07G11280 transcript:LPERR07G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGKQKKRIISSTNSDQHRTVKKSKVQSSNCLISLKSQIALKWDDYHKRVVPKKEQVGILWSDLAPFIESRNKHCSGLADVTYVPREVFSLENLRSVLSYEVWDRYLTEAERKSSSLCHGDIHPDAVLNKEKQIRADEKAYRIDLNNYHSNMVESLKKWKKRWLSSDDPEIIFRDNLAKHKQGDVRLKGTSTEFPLKVAQSSDVSKFMSYIEVSRTQHNLVKSMKQSGDGIKAKHLTRVIGNLDKFHVKPYGTLLDDEQRRLHEHWLNICCNDLPAAFEVLKDRKVTTDKLRTSLGRELGEKNVSILRKADQLASIRKELGRHGACENDGSTDLQNAPVEHSSQDMWQGGNDHCPSSQYLDDETKHMETPADHHDAYMKNRDVMVDNGTDISSRSVENSDLHDQDHKGISHVDSSISFCASNLEEQNEDLLDVKFSNDGPDVKAEDIKGICYTNTAIIDHSPESQQIKTTCYTTPPIDTLESQNRQAQNLEGITYAGPSTQAHEQDQGLKGSSYKIMIDKGHGVNDIPLVSSYSEMNDVTMNLKEAESTIALALPSNSSALLSKTSGEQIPVEELHLTDQAAKGGKDMWELPGRHDSYYLPLENNSMYNVSDGLQIGHGHLRAGQQGSVICMENDILSQQQSQVTIASAFPMENPASFMQPCSDQQSNGQVQTLANDIAILPYSLGHTDCIGQSNDLDSLVNNQFSQSTEFPSPLQGQRLIDQSNSVLYDQLHKNPYSDVSFQTKGSNSIVQQRSFAACGSMDQRYNCFPQEHQPHDNWPVMDSNNCLPQALPVGISNTDGSLFDALAQYRQPSSLHMQSGRSNPSQLLEIRNQVPLSGNFVPRNQGTNLQVQNIYGYPQNLPSSSSSHIASVGSLNNMQWTNLIQQNPGMPNIMNRQFRGSWTR >LPERR07G11290.1 pep chromosome:Lperr_V1.4:7:11764104:11767202:-1 gene:LPERR07G11290 transcript:LPERR07G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLVSVLLLDILAFGLAATVVPDSEKLYDHCVYDSDIATGYGVGALLLLAASQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGTPVSCETLRKGVFAAGAAFTFFTAILSEFYYISYSKSRDAAGGAPYGGSNIGMGTYS >LPERR07G11300.1 pep chromosome:Lperr_V1.4:7:11769599:11773136:1 gene:LPERR07G11300 transcript:LPERR07G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRSSGIVGLVKVKVVRGTNLAVRDVFSSDPYVVLKLGNQEVRTRTIKKSTNPVWNEDLTLTVEDLNHLVVTLEVYDRDTFVDDAMGTAFFELQPLVEAAAEAASHRRRPYGTVDKGDGAVVLRTMAPGTGNYLAARSNVVWSVSEGTATQSLVLRLGGVECGEVELQLEWHVTTHAHGATR >LPERR07G11310.1 pep chromosome:Lperr_V1.4:7:11778811:11780415:1 gene:LPERR07G11310 transcript:LPERR07G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHEQLLQASTELTNHSLGYVKSMAVRCAARLGIGDAIHRSGGRASLADLHAALSLHPTKLAFLRRVIRVFVTTGLFAQDDDEDDNGGCYYRLTPMSSLLVTKTGDDDSSSSSSSLLPFVLLMVSPMCETAAMGMIEWLKSGGEEKTPFEMVYGAAFWSSCGRSPELGASFNDAMAADSAFIVDAGHVFDNINSLVDVAGGVGGAARAVAAAFPHIKCTVLDLPQVVDSIAAADHGDDVVQFVAGDMMDFIPKADALLLKFVLHDWSDKDCIRILKRCKEAIPSRDAGGKIIIIDIVMGSSSQAMCHGTQQLFDLIIAVLTPGKERDEKEWWKIFKEAGFTKYKISPVLGTRSIIEVFP >LPERR07G11320.1 pep chromosome:Lperr_V1.4:7:11781005:11782425:-1 gene:LPERR07G11320 transcript:LPERR07G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKNKLRKVNRLERYHSNCELQVVHSKRKRVQCDVTDLEASMSEQRSSCKTPNIKKSPHHHGLLILFDIKRCCKRAWTIHKIKYSKSCAFKEDMTFTNGGWLHSITHDNKISKHGSGICYLVKLEPLCKSRSWETSFGKCESTILCVKPRIL >LPERR07G11330.1 pep chromosome:Lperr_V1.4:7:11782751:11783007:-1 gene:LPERR07G11330 transcript:LPERR07G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTCKKLQAYVRMETLLSPYQGTDELQGSRLVYYFNYASDKVLVPSIMQELDIEVDGERLEAIRGIAKKDLF >LPERR07G11340.1 pep chromosome:Lperr_V1.4:7:11792716:11796248:-1 gene:LPERR07G11340 transcript:LPERR07G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDVDMGYEDEPPEPEIEEGAEEDPENNNEDALDDVVGAEVDDKEQEKTARPRKTSKYMTKYERARILGTRALQISYEDWGVDELIVEDSWKRQVGGG >LPERR07G11350.1 pep chromosome:Lperr_V1.4:7:11805623:11806638:1 gene:LPERR07G11350 transcript:LPERR07G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSLLPLRAISARAGALATSLPLTRAWRRLVHSGRAQEEAAAAELEVEEFPYAHVPRPGRKWERKPYATPMKKVIRRAKEERRARRENPCRLLDRAPENGLLVAHQVHAARQRLLRGLATLVDASSSTAAFTVPVWRCRFCPEVHVGGAGCGHEIARAMAPGARHPRVSHKEKYDVPRLLAILELCIQAGVDVAHYPTKRRTRPVYSVDGRIVDFEPDDEDEHIPEDTNPPPCPSSSPIEEVAWDTDAGDHIKNKYDPEPN >LPERR07G11360.1 pep chromosome:Lperr_V1.4:7:11806759:11807499:-1 gene:LPERR07G11360 transcript:LPERR07G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITRWLLASTVGILAAAMAAMASSSSSQLDCGTVTSLLTGCAAFVRNGADDADAEAAPSPGTPCCDGVAGLYAVAADSSDNWRAVCRCMARLVRRHSSNAFP >LPERR07G11370.1 pep chromosome:Lperr_V1.4:7:11822640:11827617:1 gene:LPERR07G11370 transcript:LPERR07G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSPRSGDASPSSPLLPSPTSPDRRGSGGGLLPGLRGAARFLGRTGSRRLMREQSVTVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLSEAPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGGADLGAAGDGDFKLSIVKHLESANTMFSFIWWILGFYWISAGGQALSHEAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAENAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEEV >LPERR07G11380.1 pep chromosome:Lperr_V1.4:7:11828913:11829566:-1 gene:LPERR07G11380 transcript:LPERR07G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRHVVWRQGTYRRMVWRQGPPPSGTRPLPPNGSAGGGYRQTNYWGDAPSLTQPTQPTEPPVGSTPPH >LPERR07G11390.1 pep chromosome:Lperr_V1.4:7:11829578:11831583:-1 gene:LPERR07G11390 transcript:LPERR07G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEEERRTPSLAESREWTERFLRHLGMEGELPASLERPDAYSALVRGILSSASVVASSQGLPRVSCTLTVSPASIVSPPVSSDPSPAESFPLPTRSP >LPERR07G11400.1 pep chromosome:Lperr_V1.4:7:11863470:11877671:1 gene:LPERR07G11400 transcript:LPERR07G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTIKAEKGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIIFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILLAEDIDDSLAYGLAKELEDSNGGMHENGTAANKHYMSALKSSSSCSNLAWSGDIHLEDVHFSYPLRSDVEILNGLDLIIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAEEDIRVFDKREWSRVVSLVNQDPVLFSVSVRENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSDGKIAELGTHAELVARGGRYASLVGTQRLAFE >LPERR07G11400.2 pep chromosome:Lperr_V1.4:7:11863470:11877671:1 gene:LPERR07G11400 transcript:LPERR07G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTIKAEKGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIIFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVVSDNISRDPLFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILLAEDIDDSLAYGLAKELEDSNGGMHENGTAANKHYMSALKSSSSCSNLAWSGDIHLEDVHFSYPLRSDVEILNGLDLIIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAEEDIRVFDKREWSRVVSLVNQDPVLFSVSVRENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSDGKIAELGTHAELVARGGRYASLVGTQRLAFE >LPERR07G11410.1 pep chromosome:Lperr_V1.4:7:11882879:11884069:-1 gene:LPERR07G11410 transcript:LPERR07G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKCILLAVFALFAIASLQPSAAARDSQMFYMSHDAVRQEQEAPKNINVADVDVTTQPSTSPGLPGLPPLPPIPSIQIPPLPPLPQIPSFQIPTLPPLPPIPSFQIPTLPPLPPIPSFQIPTLPPLPSLPTIQIPGLPPLPSLPTIQIPGLPPLPILPNFQIPRLPPLPPLPALTMPVSPGSPAAPQVATSFVSAPAQPTECLTSLMELMPCIEYLTKTDVPTPPTTCCDGFKSLVEKAPICLCHGINGNINNFTPAPIDFMRMMSLPATCGVTPPLEALANRTTSTVDACSCCSYSCCCSISGAISIIYRD >LPERR07G11420.1 pep chromosome:Lperr_V1.4:7:11884965:11886729:-1 gene:LPERR07G11420 transcript:LPERR07G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLEKSIKMHKVDVQPIDGQEKVMMKGLLSWVKSNLIKERPEMFLKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGVL >LPERR07G11430.1 pep chromosome:Lperr_V1.4:7:11893257:11894509:-1 gene:LPERR07G11430 transcript:LPERR07G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFCAAAASCRLTVSPPAVTAPAAPARVRARRGMVAVRAEAGVGGINPSIRKEEAKVVDTVLAEELSKPLTPYCRCWRSGTFPLCDGSHAKHNKETGDNVGPLLVKK >LPERR07G11440.1 pep chromosome:Lperr_V1.4:7:11902395:11905016:1 gene:LPERR07G11440 transcript:LPERR07G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVASVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQRYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKSASGKVTEGGKKTDDKSSSSKKLAVAAPAAVEST >LPERR07G11450.1 pep chromosome:Lperr_V1.4:7:11909198:11910060:-1 gene:LPERR07G11450 transcript:LPERR07G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSRIHRWLERWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGNLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >LPERR07G11460.1 pep chromosome:Lperr_V1.4:7:11917309:11924102:1 gene:LPERR07G11460 transcript:LPERR07G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRQVNPFLACTGLRALGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGASASGAAVILVAFHLLLAMIIWCYLMVVFTDPGAVPENWRVASEEDGIDIIGMLHTLLQRGKVLKDIVLDAKMASLLAVIIVLFVTDVCSKWTITVYTFVETVLDTLVLLPSFIEFFQDESKRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHSSLVTKNTTSVEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSTEDLHNIEALRGLEFPIRSDAVV >LPERR07G11470.1 pep chromosome:Lperr_V1.4:7:11934876:11935898:-1 gene:LPERR07G11470 transcript:LPERR07G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAISNAAEHNPIGFVLNPLAALGIDQFKHLEEANAQEQALADLLSSSGDSFPGSGYHPANRKSWMESIGPNRLRFHQVVWPGTHDSATNGIGNLMTRPFAECQTLSVYDQLSLGCRVLDVRVREDRTVCHGILSSYHVDVVLDDLKRFLAETTSEVVILEIRTEFDQQDPPDFARYLVDKLGDHLIPQDEQVFNRTIAELLPRRVICVWKPRQSPAPNPGDLLWSGGYLRDDWIDTDMPKTKFDSNLDKLSQNPPVSERKYFYRVENTVTPTTSSVSSLTVEPVTRRIHRFARLFISRAVATGNGSKLQVLSTDFIDEDFVDACAGFSMARIERNPGT >LPERR07G11480.1 pep chromosome:Lperr_V1.4:7:11955839:11959317:-1 gene:LPERR07G11480 transcript:LPERR07G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHALLALPLSALTLVFTFLVISPTPAVSSSAAAASCIPSERDALVAFRASLLDPAGRLSSWRSNGHSCCRWRGVQCDGTTGHVVKLDLRNSRRSYSDYDWISFYEFRSDIDFPSREDNALALRSTGLKELYLTDGHWFGSIPDALGNMSALQVVSLYGNYIDGDATEFFERLPRCSWSRLSKLDLRSTNLSGELPVWIGKLSSLHFVDLSENKLVGKLPVGFGALTSMIYLNLGSNNFTGLLFEEHFASLMNLRYLYLSGNSFKMVLGEDWVPPFRLEIAHLRSCHLGPQFPSWLRWQTEIRLLDASGTHINDSLPVWFWTVFSHAYILNLSDNQFSGTLPKTLEYTSAKVMNLGSNNLTGQVPRFPLNITYFDLSNNSLSGPLPSDLRALKLEELRLCNNYIIATIPASLCQSRRLVYLYMSSNHLTGEFPRCSDNYTVLPPADSPDLFSSPYFGYGMSTIDLSDNSLTGQFPPFLENATTLRFLDLSHNNFYGRLPSWIAKKIPYLRFLRLRSNMFSGHIPGELTNSFGLHYLDLADNNISGIIPQSLAGMKAMRRTTPDGNRGDVYTGSISSFTKGQELHYTFSNYNLVVLLDLSCNSLTGQIPEEISLLLGLKSLNLYGNHLGGKIPNTFGDLKELESLDLSHNGLSGEIPSSFSELTSLSWLNLSYNNLSGKIPSGHQLQALNDQEYIYVGNPGLCGPPLRNSCSMRGRHDELEDSMSNGMVAFYLGMSTGFAMSLWLVFCSLLFRKDWRIAYFMLFDQLCDKIYVQVACKLRSRSSVTVFSEFGGGARDVEGLLKVQECRHLGDTAGGGGMEGNKVEEERKMRPEGDREKER >LPERR07G11490.1 pep chromosome:Lperr_V1.4:7:11959975:11961713:-1 gene:LPERR07G11490 transcript:LPERR07G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGNQRRRPRKASDGGGRGARRGKRQRRRAASSGDGMQQASSGGGCGAESSGGGCGAASSSAGNGGWQANNGGRGAQHGNQRRQMRWMRCGKQRHLVQCAYSSGSVAREQEGGDARDCRGERAAEAASRKRHVQRQRTELGGRQGEISHAAGWSSAGGRVRSCMRRGGAREREGEQRARGRAHMRRGGARVWRASARVRRGGVLRVAGKGTGGLWAGARARWGTARQATRSCEGAGDGWGCGGASGSRGAEAFSAASSPPSAAPRMRTAGGGARPRQAATRSAPLVARRGDSRGGGMAGHGDAARRLGQRSSLVAAALLGGGWLGADADGVCSVEVGWRGLILFTGPEY >LPERR07G11500.1 pep chromosome:Lperr_V1.4:7:11964164:11965033:1 gene:LPERR07G11500 transcript:LPERR07G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTQAQQSPELIYSSQGKYVTVRSPNLPRLPPPCRLPAGVSEVAALLTFKKALTIPPTVAEFFATWDETATSPCNFTGVNCNNTNSGGSSVTGIFVEVLGVSAASVPFDVLCTSLPSLVTLSLPSNALAGGIDGVVGCTGLRELDLAFNRFSGDVPDLSPLTNLNVSHNSFTGEVPAEFSEFKNLVILSSLYNNNLTGELPRKLGSVSDLENVDVWTNSLSGPIQPHMCKRGALRQLFMPENNFSGEIPATYANCTTLELYGVKDNSMSGDVPEGLWALPIPQCPSH >LPERR07G11510.1 pep chromosome:Lperr_V1.4:7:11973023:11980061:1 gene:LPERR07G11510 transcript:LPERR07G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDPKDDVDRLFACFKCGVSPPPSAFRERPRRAGKRSRAASAADGGGGGSSSSTPAPPDVVEKHGVPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLQLLREIRIDLKKQTDLVPSTGVWATFPRQEEAIRFSKAHDHTRVFSYQDHLSGQRRFLFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRVANPNFDRLYITKDGSCTEPADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMNDKELFMESLICRLDDDCDKLLTCKLDLDCQKTLHFDSEASVLRIQGRNNKDSIGTYRSDFPLSNTYGKSPFPALDGFIESIASFGNVSGKIRCWYWFSHYGLMIYSMSRSSHGYATVMYIVEFQRGAYYQKCYDPDCQGYRSPLRPVPWDVIPEISSITDSAQREYQGEVVEINIAGSNRNEYISNGDEDDPSWWDEAIKFADSIENTNHAPGTCDQDNDFDDADWWMDAERIMVQIEEQIGSQSNA >LPERR07G11510.2 pep chromosome:Lperr_V1.4:7:11973023:11980061:1 gene:LPERR07G11510 transcript:LPERR07G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDPKDDVDRLFACFKCGVSPPPSAFRERPRRAGKRSRAASAADGGGGGSSSSTPAPPDVVEKHGVPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLQLLREIRIDLKKQTDLVPSTGVWATFPRQEEAIRFSKAHDHTRVFSYQDHLSGQRRFLFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRVANPNFDRLYITKDGSCTEPADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMNDKELFMESLICRLDDDCDKLLTCKLDLDCQKTLHFDSEASVLRIQGRNNKDSIGTYRSDFPLSNTYGKSPFPALDGFIESIASFGNVSACQGVGTVSILEESIKAIMRGAYYQKCYDPDCQGYRSPLRPVPWDVIPEISSITDSAQREYQGEVVEINIAGSNRNEYISNGDEDDPSWWDEAIKFADSIENTNHAPGTCDQDNDFDDADWWMDAERIMVQIEEQIGSQSNA >LPERR07G11520.1 pep chromosome:Lperr_V1.4:7:11981982:11982692:1 gene:LPERR07G11520 transcript:LPERR07G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAASSSKLVLLDFWVSPFGQRCRIALAEKNLPYEYSEQELLGAKSDLLVCSNPIHKKVPVLLHGGNDRAIFESLIILEYLDDAFPNHTPRLLPSTDNPYARARARFWADYVDKKVYPVGTKLWKLKKGEAEHVAVRGELVEALQTLDGELGERRFFGEGDEFGFVDVAMVPLMPWVYGFARYGDFSVEEVCPRVAAWARRCMERESVAKSLRSPEEVYDFIGLLRRYYGIDK >LPERR07G11530.1 pep chromosome:Lperr_V1.4:7:11990284:11991831:-1 gene:LPERR07G11530 transcript:LPERR07G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAFTAPPPIPAVLMATSIPFASPATEKIGTAISTGNSTGRTVVMYPGVGVGHLLPMVELAKVFIRHGLAVTVALVEPPPRHLGLPGFSAAVARVAADNPSVTFHVLPSPPPPPAADDGNASTGGDVVQAWIQHLDAMNAPLRDFLRAVAPPPRALVLDMFCFGALDVAAELDLPAYFFYPSGAGAVAVLLNLPTIINAGFNESTVNCPGVPPFKPSELPTIFNGGESETAKCFLRMSQRMAESSGILVNSFESLETRAVQALRNGLCVAGGRVTPPVYCIGPLVSGGNGGEHECLRWLDDQPDKSVVFLSFGSRGTFPKRQLEEIATGLEMSGQKFLWVVRSPDAVTNDDKAFAAGEPDLDELLPQGFLERTKGRGMVVKSWAPQVEVLRHRACGAFVTHCGWNSIIEGITAGVPLLCWPLYAEQRLNKVLIVEGMELGVEMVGYDEEIVAAEEVEAKVRWVMESDGGHALRRRAAAAKEAAAEALEEGGTSYMAYVQFLEDQTSNDRTKLSI >LPERR07G11540.1 pep chromosome:Lperr_V1.4:7:12003563:12005556:1 gene:LPERR07G11540 transcript:LPERR07G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCRLAAAPLGIAPLPRRTTDTASAFAAATTGVKYGGLKASRSVAMRAADGEGSTTEVPEIVKAAQDAWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIYQPDREALVSKIKSTYKEITGSST >LPERR07G11550.1 pep chromosome:Lperr_V1.4:7:12019543:12025432:-1 gene:LPERR07G11550 transcript:LPERR07G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFTVPMFGPEIGHGVDLETRNEQIRFSWDVLKPNSKKACVGAMAEEVESSRLQKGEKEEEIEEVMGGEKQMSDEKKEGGSKRKNKYAVACSIIGSIISILMGYGMDYFSRWGEQGMVDLKHELQQVLMFIAGRCLLGREPHQHVVSYLPTPAHRRRDRAHRRLKELIIHAIRSRRNSSHPCARENHDVLQHLIDSRYKDERPTTDEEVAGMLLAIMFAGKHTSSAASIWTGIHLLSHPNHLCATINELDQVMARHRDANHHLDYDILQEMRTLHFCIKEALRLHPMLVALARHALTNFTVQTKEGEKYTIPGGHMLISSILVNNYLPHVYKDPLVVFDPQRFAPGREEDKVTGPFTYLTFGAIRHACPGEFFAYTQIKVIWSYLLRNFELKMVSPFPQTKWNAVAPEPKGKVIVSYRRRQLTTM >LPERR07G11560.1 pep chromosome:Lperr_V1.4:7:12041358:12042226:-1 gene:LPERR07G11560 transcript:LPERR07G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAILFLAFALLAAAALPSSHAVRWPFLGGIRPWQPSPVPEPTAPAPPQEPPAIPTSPVSQGSSKPVTPSLPTMPVSPDNKPQDPSPAAPANDCFAPLVGLVSCFDYLNDPSATTPMESCCGAFGSVVDEAPMCLCHAITGDISQIMPEPVNAARIVSLLPRACGVGLPLQKLTHCSKLKGTVTWMARGSSNVAVRHQELKQ >LPERR07G11570.1 pep chromosome:Lperr_V1.4:7:12044699:12045789:1 gene:LPERR07G11570 transcript:LPERR07G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAILFLAFALLAAAALPSSHAVRWPFLGGIRPWQPSPVPEPTAPAPPQEPPAIPTSPVSQGSSKPVTPSLPTMPVSPDNKPQDPSPAAPANDCFAPLVGLVSCFDYLNDPSATTPMESCCGAFGSVVDEAPMCLCHAITGDISQIMPEPVNAARIVSLLPRACGVGLPLQKLTHCSTEPVPPLFPTRT >LPERR07G11580.1 pep chromosome:Lperr_V1.4:7:12052865:12053521:-1 gene:LPERR07G11580 transcript:LPERR07G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQSEDGDHARVVEVAAQPALVAMPPKEVAVVVVDDNQELAVVVEGNPPDDRAVGNFRGEGSGLFALRHDDRGVLLVVATLITTLSYQIGSSVPGGYWQDDAPGHHRAGEPIMRTQRRGMYRLFIWGSWIGFASSIGLAVALLTGMPPRSRFVRGLFVLAYSTLILTFVAQQWHTVAWVSAILWVAVIALIAAFVTNRTHRRLRWFVNWLCRDPDN >LPERR07G11590.1 pep chromosome:Lperr_V1.4:7:12082715:12085022:-1 gene:LPERR07G11590 transcript:LPERR07G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLATTWIGQLLANIADEEPVAMRELRASTTARLASLQLGISRTKNPFARCSRLPGILPRDGRCRELGGPACYDFRSFGEEARQHERMSCPSLSNVETGEVASRLEEIGRKRENRPKKTNSPDFMGIALIERSMQAHDAR >LPERR07G11600.1 pep chromosome:Lperr_V1.4:7:12092310:12092594:-1 gene:LPERR07G11600 transcript:LPERR07G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVTGGDVGPSPPPATGSHADRVFRALAVASLYILLRRWRAGGAGLGERPSPAEIAAGVALCASVAWLYALPALGIRRIGGISPHTQRWHQD >LPERR07G11610.1 pep chromosome:Lperr_V1.4:7:12093373:12094381:-1 gene:LPERR07G11610 transcript:LPERR07G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKGTQEFSLEASHGGYTQQLELLLKQLGFHKKPVHHGEQVIRGFQKNWRMKIYIQGQEEEHQGHVFKSVHLRAGKEAALQDAAREAFMRLYGRMQAIVSLYRQGRGTSSIGLAIYCACIRAAQSISNG >LPERR07G11620.1 pep chromosome:Lperr_V1.4:7:12100219:12108105:1 gene:LPERR07G11620 transcript:LPERR07G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAVGVNEQQQRGGRLRTSCGYGRAPWNDIYWPNEPTPLVHSLSRATVAMSAAAAAAAAAGGLLHPRAHRPPSPRIRWASCARGQQQQPRQRAAPPRAVAAAAEEAYTGPEAELLEALLGVQGRGRAEVESAVQALEALEGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVVNVVRFSESIGELKVEAEATIEDGKRILFRFDRAAFNFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRTGNIRISRGNKGTTFVLQKSADQRQMLLSAISAGTGVKEAIDDLASSRQGIEADLNTLAGEWQLLWSSETEGESWSSVASAGLKGVQIMKEDGQVKNLVKPLPGVNFNASGNICKNRDGNNFNLSINEGAIQAGGLQFPLDARGEFVMEILYIDNKIRISNVNQHKLVHLRIANRI >LPERR07G11620.2 pep chromosome:Lperr_V1.4:7:12100219:12108105:1 gene:LPERR07G11620 transcript:LPERR07G11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGAGVCGRAAAMDEHHGTEVESAVQALEALEGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVVNVVRFSESIGELKVEAEATIEDGKRILFRFDRAAFNFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRTGNIRISRGNKGTTFVLQKSADQRQMLLSAISAGTGVKEAIDDLASSRQGIEADLNTLAGEWQLLWSSETEGESWSSVASAGLKGVQIMKEDGQVKNLVKPLPGVNFNASGNICKNRDGNNFNLSINEGAIQAGGLQFPLDARGEFVMEILYIDNKIRISNVNQHKLVHLRIANRI >LPERR07G11630.1 pep chromosome:Lperr_V1.4:7:12108390:12112708:-1 gene:LPERR07G11630 transcript:LPERR07G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVKSALLSGHRGPHHLAASASAAAAPHQLSAAASFHSTLPLQRKRKTQWQHRFSYYEKRRKNRESKRTMLRNMSEYAEYLFQSWRDEDDKNDESSGPSWFRGHRWVDLNFAQAMRMNQKQSFAMLFVGTRHFLGLLTLMIFIGEISDILVLIQKVSDVGVMRLMMRMKHPCRERYLWHGRHLIPDMCTQMAPGSSQWLNKGKLWFI >LPERR07G11640.1 pep chromosome:Lperr_V1.4:7:12118213:12123886:1 gene:LPERR07G11640 transcript:LPERR07G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLPLVLLAGLAVRGVHFDGGGGGASAAVSPGADLLTLPSTPPPQQPALALPVGGGGGGDEGVSSTEIIAAPWPGRLGLIKPARSRSLPAKAVVQKAADIGSQLQFYDNGTIQLVDLLSKSPRWQFSTGPPLSKHITTSKPDLNYVIYLDGSETSDLIEVHNGSGVILPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVDADSGEIIYKRSLPAALDEVGGPLVEEIPSKLDAARSGTSAKMIVVVRTDYSISASDLGEHLFNWTRTSFTANYYVRYGHQDMLEQSSCLRGNIPCIRTEGLPLKLPLPDSSSDNAIVLRPANKVTARDNADVGDLKPLLIPKTLPQTAGKSNVALDSAQNQTADSAIGHFISADTELTNRFTEFPYRWLFPTFLVLLIMACLANASKSCRKFVIRFVKPFMREEKLMESRSKSEGASKRRKMRKKDGLVNKSEISPVSDKERNGSGGSIEAPSIEAHELPDGLNGRQIGRLCVYSKEIGKGSNGTVVFEGLYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQQHSIPSFSNTKGIGIELWRQDGLPSAQLLKLMRDVVAGIAHLHNLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQDDMTSVSHHGTGFGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDMKILNNPPDLFPVDHIPEAVDLISQLLRKNPEERPPAVYVMNHPFFWSPELSLSFLRDTSDRIEKTSETDLINALEGVSAEAFGKNWGEKLDVALLADMGRYRKYSFDSTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVRYFSRRFPKLLIEVYNIMYEKCKDEEAFGKYFHGSSV >LPERR07G11650.1 pep chromosome:Lperr_V1.4:7:12124191:12127438:1 gene:LPERR07G11650 transcript:LPERR07G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPTAPSPSSCLGRSLLIADFPDAGGRGLAAARDLRRGELVLRVPRAALFTSDRVMDDDPGIASAVANYRPRLSSIQTLIICLLAEVGKGKSSNWYLYLSQMPTYYTLLATFNDFESEALQVDEAIWVAQKALCGIRSDWEEATPLMKELGFKPKLLTLKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPDDENSSVDEDRDDMMHQETNKMLAQTDLDSSDRLTDGGYESANEYCLYARKRYRKGEQVLLAYGVYTNLELLEHYGFLLSENPNEKVYIPLDLDLYTISTWPRDSLYILPTGHPSFALLCSLRLRTTPTNRRKALSHHIYSGSLLSVENELEVLKWLVKKCEETLQQLPSTIEFDESLLVHLCNLQNSTSCIIGIDEPIFEREFAAFFRSHGFELDCSIHSKLPVHIQRSLERWILAVQWRCSYKRTLTKCIAHCISLVHELSLQQNQQ >LPERR07G11660.1 pep chromosome:Lperr_V1.4:7:12132792:12140284:1 gene:LPERR07G11660 transcript:LPERR07G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGRGGYGGQQQQQQSGGRGRGGDYGGRGGGGGDQGGGGHGGRGGYGGGGGRGGYGGGDGGGGRGGYGAGGDGGGYGRGRGGGGDGGNYGRGRGGGEGGGYGGRGRGDGGYGGRGDGGYGGRGGGGRGGGRGGGRGGYGQRPPPDLPQAPEPRLAEVYAPDMAALRAQFSGLMTSPFPSRPGLGASGHECLVKANHFFVGLKNDAFHHYDVAITPEPVGKGTGVFRAVMSRLVTDRQHTDLGGRLPAYDGKKNLYTAGELPFKSREFDVELAGNRKYKVAIRHATGIGLHDLWMLAAGCHADIPAQALQVLDIVLRDMVLTERNNMGYVAAGRSYFSPELGRKELGGGITAWMGFYQSCRLTQQGLSLNIDMSTTGFVEPLPVINFVERVIGEPVNTVNVAHFLNTYGNELMRTLRGVRVEVTHRANVRKTYRIAGFTMQSASALDLILSNLSLDLKTTRFETSPGINQTVQNYFQERHNLQLRYSNLPCLQVGTDQRPNYLPMEVCKIIPGQRYKKKLSPNQVSSLISLTSDAPCYREDSIRQTVKNNQYNSTNRANEFGIEVDSYPTAVKARVLKPPMLKYHDSGRVKICEPENGSWNMRDRKVVNGASVRTWVCVNFCKELDNRVIEVFCFELAKTSRKTGLDFADLTLPIFQASQEHVKAELPARYQEACTTLRGRKIDLLLVVLPDNNNTSLYGDVKRICETQMGVMSQCCRKGHVTSAKIQYCANVAIKINAKAGGRNSVFLHVQESLPVVSKNATIIFGADVTHPGALDDSTPSIASVVASADWPEVTKYNSVVRTQGSREEIIRDLEGIVRELLNAFKRDTRRDPQQIIFYRDGVSEGQFKQVVEREIPEIEKAWKSLYRGKPQITFIVVQKRHHTRLFPNNYNDQSSMDATGNIRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNKFTADQLQSLTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPTPGGVQWVLPQIKDEVKRSMFFC >LPERR07G11670.1 pep chromosome:Lperr_V1.4:7:12174856:12180727:1 gene:LPERR07G11670 transcript:LPERR07G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATMHDGHGDDSENFLELLNSRSLAPELFADPPPPPSCDDYLKKMEYGAGAGGGVSWSTSDHHHHHQFAAAAAAAAMEKHMASSYGGGAPVAAAERLTANLSDLVSNWSLAPPSPSCIAGAATTAACDNHGGGGEFLRPMGSSSSYSSMLGLSSRMYVGGGTAANAMDVPWGSNAGAARSLSDLISFGGGGAMAAEKPAAVAPASSPAARTSSSSADYKKQQEISSPAKTSSSGGGKEGKKKRSEQESGGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADADVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >LPERR07G11680.1 pep chromosome:Lperr_V1.4:7:12184592:12188441:-1 gene:LPERR07G11680 transcript:LPERR07G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEDARLRTPTNPAYAAVADALARAGHARGALLLLRHMRARGVFPDELSVGTFVRILKDEGRYSDAVVFFDNWCDGRFEVGFVELDRSAFDSGGPMQFLLDEMCYGDIDYPGTSGIQGIRRIPKLAVTYNTMIDLYGKSGRLKDAMDMFMGMPAYGVMPDTCTFNTMINVFGSHGDAKEAEALFASMVIRGIEPDIKTFNVMMTVFASKGDVEGVLKHYCRIGKMGLSADSVSYRIVLRVLCERKMVHEAEDVIDGIMRSGTCVLEQSLPVVMKMYIDQGLLDKANAFFERHCRGEEIASKTFAAIMDAFAERGLWEEAEHVFDSHRGVRRRDIVEYNVMVKAYGAAKRYDRVPPLLEGMNESGISPDECTFNSLIQMFSTGGFPQRAKKVLGKMKGAGFKPKCETYAAVITTYSRNYLVSEAIDLYNEMKASGVEPNVVVYGVLIDTFAETGQIQEALHYSNLMEESGIAPNQIILTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMIFLYKNMGLLNESVRIAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEDNILPDPSTYGMIFSIMKISQISPEEVSQLESAYSDNRSSARQAVVALLFLVAGMHAAALNVCEIYMNPVLTVDQCACNVAFKVYTSCGEVDKAFSLFTQMHELGLKPDTATYIHLATCYGKCGMLGGLRSANGFVGYQNNEVSLRKIVVPCKETGNNTFTVQTVKKCDVNSVQNTEFQIQCSDNLTRVWHAYGSMQNAKCRQLPYGNCTRSKVTFCQKHIVAKHQNVILPAQLLESYVGKPCFCGLLLAKAKRCCFFKVGIGI >LPERR07G11680.2 pep chromosome:Lperr_V1.4:7:12185130:12188441:-1 gene:LPERR07G11680 transcript:LPERR07G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEDARLRTPTNPAYAAVADALARAGHARGALLLLRHMRARGVFPDELSVGTFVRILKDEGRYSDAVVFFDNWCDGRFEVGFVELDRSAFDSGGPMQFLLDEMCYGDIDYPGTSGIQGIRRIPKLAVTYNTMIDLYGKSGRLKDAMDMFMGMPAYGVMPDTCTFNTMINVFGSHGDAKEAEALFASMVIRGIEPDIKTFNVMMTVFASKGDVEGVLKHYCRIGKMGLSADSVSYRIVLRVLCERKMVHEAEDVIDGIMRSGTCVLEQSLPVVMKMYIDQGLLDKANAFFERHCRGEEIASKTFAAIMDAFAERGLWEEAEHVFDSHRGVRRRDIVEYNVMVKAYGAAKRYDRVPPLLEGMNESGISPDECTFNSLIQMFSTGGFPQRAKKVLGKMKGAGFKPKCETYAAVITTYSRNYLVSEAIDLYNEMKASGVEPNVVVYGVLIDTFAETGQIQEALHYSNLMEESGIAPNQIILTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMIFLYKNMGLLNESVRIAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEDNILPDPSTYGMIFSIMKISQISPEEVSQLESAYSDNRSSARQAVVALLFLVAGMHAAALNVCEIYMNPVLTVDQCACNVAFKVYTSCGEVDKAFSLFTQMHELGLKPDTATYIHLATCYGKCGMLGGLRSANGFVGYQNNEVSLRKIVVPCKETGNNTFTVQTVKKCDGLACLWIHAKCKVSTAALWKLYAIQEHQNVILPAQLLER >LPERR07G11690.1 pep chromosome:Lperr_V1.4:7:12192401:12194595:-1 gene:LPERR07G11690 transcript:LPERR07G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQTAGRFFRRYPSVFGSLLFLVLLYKYFFGWFTVLLATSPIFVFAGFFLGIILAYGEPNIPENDHVYKKIENAYNRNVHDSGKSIGGVTLPRILSSEERLAKRNNNGKITKKKYHSGSSSSESGSGESDGSETDTHPMLHSFHHLRSAESSSRSSQDGDSNDSSIEDETDNQEGKDDNEHKEKNGKVVAWTADDQKNILNIGCLEIERNQRLESLIARRRARKYVDRNLIDFGSSDSLPTIEELSKFNVQIPAIFAPRKNPFDLPYNEENFPESAPSAPLKMLNKFDLPFEQVNESSSTSAANSSHVDSTPVLSQSQRDTMFRRHESFTQGAPFLSDFWQDMQPSRFKPYFVTEKMANEGIPVSNLDGEASEKSSVEDSDSTSSVTDQESHKLVLEESSNQNIRPPLSQMEEQFHPAQNVREVPLALDIEPPLLISDSSDDDISLPGGNINDWEEAQGSGNLNSSQNGSLEDPSVIEYPHEMEMTSNEFHQLSPHSDDIDSLSSSTEATEPSELNNIEQPAKEVEFIDEIPIADPVYDSSPSGSEKPASVGSAIDAVLLQEGNTHTSDVEVGMEGEDSPARIDAHSTEIALPSLASVPESKLREKETSEVREQSNTGHDGSNQDPVSHANPTAPDISSKPTTSSSSNGKFYD >LPERR07G11700.1 pep chromosome:Lperr_V1.4:7:12199096:12203812:1 gene:LPERR07G11700 transcript:LPERR07G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDIHTQKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVREICRSILIGLDYLHRELGIIHSDLKLENILLVSTIDPSKDPIRSGLKPNLERPEGNPNGEVILNPIEKKLKMRARRVLAKLAEKRKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGTGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKDYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYNDGKSCETLNTSDAKSASVACSSGTTDSSDSKSIDVAHNVGGMPVSCAERADAKRNTSRSIINSNADNSDVQPNTASIGSRNSKSNDANSNSGSITNKDSKSTGVKSSIESFANRNAKSSDAKTDTGSITNRDAATIDVKPNIGSNTSRGDSGSDAKSNTGSIANKDAKTIDAKPSTSSITSRDSKFGDARSNIEGIANRDTKTTDVKHNIRSVVHSYLKNFDVKRNTGNIADGDVKQPDLKPSTVSAANVDAKSISAKPTTGSFENSDARNIMKANTGIVANNDANNTDVQTNTESVTSTDVNDIDRKPNIGRVAASIQRLESSMGKVQIGRYR >LPERR07G11710.1 pep chromosome:Lperr_V1.4:7:12206471:12225014:1 gene:LPERR07G11710 transcript:LPERR07G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEESSPPPAPNQEEEEDAAAVVHEEPVPPVEEEQAAAVAAAPVEEEGAEAGGSDRDHSGGGGGERSREELERVVMELRFENDYLKSQIADSARPASGDESESELVRGLKEQVERMRKEVEEQRQTQKATEAALEHVNVAYADADAKVQGLTAKLAEAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEINQKAEQATSLQLTAQQELERARQQASEALRSMDAERQQLRTVNSKLRANLDEVRVALEARNNVLEKLRLSMLEKEQLLEQTQASLQSAEEKRNTSIAELTAKHQKQLESLEAQLAEVSAERTKASETIQSLQMVLVEKDSEIAEIEAASTGEAARIRASMEEVKGELAHLKGQHEKERQNWETTCESLRSKLEASESACLRSETESAKVKSQLELELSKQNQLLQAKDSDLLSAKEEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKEHEEAIREAEKEISAALAERDKAIYDLQVAQSKFGEEIEARDLALADIEKKLKNATEKLDSLTSKFLLEKESWEKNLASVEETWRLKCESMEAQSNGHAGDELKKNLVELTTKYEKLKEEHNSFRDIADRMLEEKDREVAKFLRENKDLHNSLEAKAAISSNGNQNPGSVKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEELTKCQHGVLSTVASSPANAVPDSVSTPNSFFSRFTFYRIAIDQNLHPQERIPSKSSSSSSSSAAAAAAAADDDESASSRPPLQFVVFVR >LPERR07G11710.2 pep chromosome:Lperr_V1.4:7:12220102:12225014:1 gene:LPERR07G11710 transcript:LPERR07G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPHRASAVSGRPPVACSVQTPPGLIKKATTPMRSSMCALPTPRHEPTPRAKLDFPAAPSPARASPAAGKENRHLVDDEMPLDLHLAAAAAAMPPPTSPLFQRGRLYDLYSARRNERLKRKHGFPVGEEEAEAMAADPCVAVELSKRRGAKKAIGVESSVRRSMPAAAATAVDLSAAGRATATLGLRSSLRSSKEMKKASAASSFAGAKSSAVMERRSSARSSARRF >LPERR07G11730.1 pep chromosome:Lperr_V1.4:7:12247067:12248084:1 gene:LPERR07G11730 transcript:LPERR07G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWCQHAGGHVGSEGFCSGWTWAFFRRRDWVWLQRLALLPLRLVHRERDRRRWSLAMGQLNLFCLCEANSKNNLYCSALQDSNILSPEKSIDLNMMRTWIRLKHWWTMTCHSLFVTISEGLMDMVATKLVPSMGQYRGRNTLETWGLYEKLGWSVGNKNLPLDLEEWNYKPLSLIESILLWHMATDVYLQSYREEIDQDGETSAVAKTAISLSNYMFFLVIWRQHMLPQSFYWRQYEWAVRDLTLFMQGKSAKQVTDILLGREDFNKQDFHKYEKKVNVSTILEAMISRELGTSCYGPNDCHTVGGDPELCGLRNQNA >LPERR07G11740.1 pep chromosome:Lperr_V1.4:7:12275978:12284756:1 gene:LPERR07G11740 transcript:LPERR07G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRVAVVGAGVSGLAAAHEAARGGGGGGGEVSLTVYEKEESLGGHARTVVVDGDAGPVELDLGFMVFNRVTYPNMLEWFEELGVEMERSDMSFSVSTQQDDTNMEWGSRNGLSGLLAQKSNAVSPAFWRMIREIVKFKDDVLQYLEEHESNPDLDRNETLGHFIQSHGYSRLFQQAYLIPICACIWSCPSQGVMGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVNRVREELENLGCQIKTSCEVQSVSALEGGGYRVVEAGGTEEVYDRIIFAVHAPDVLKILGDGATHEERRILGAFQYIYSDIYLHCDKSLMPRNPSAWSAWNFLGTTTNGVCVTYWLNLLQNIEESAGRGRRPYLVTLNPASEPGHVILKWVTSHPVPSVAAAAAAAELRRVQGCRGIWFCGAYQGYGFHEDGVRAGMAAARGLLLLQSGDHRDIAGDRLLPNPKQMVLSWTEAGARLLVTRFLAGYVSVGNLTILEEGGTMFSFGEAGKRCQAKSVMRVHDPLFYWKVATEADLGLADAYVNGYFSFVDKKQGLLNLLLILIANRDANKQSSTSASKTRGWWTPMLLTAGVASAKYFLRHVSRRNTVTQTRQNISQHYDLSNDFFSLFLDPSMTYSCAIFKDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCRYTGITLSEEQLKYCQTKVKEAGLEDHMTFLLCDYRQIPTSRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCIEHLENIGYHYYPTLIRWRDNFMANRGEISALGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLIPFADNPYATFPAA >LPERR07G11750.1 pep chromosome:Lperr_V1.4:7:12290134:12294605:1 gene:LPERR07G11750 transcript:LPERR07G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSSKHIFLVVFALFAIASLQPSAAVRDTQVFKPTVAADAVRHEAPEIVNAADVTQPSTLLPPLPPIPSIQIPPLPPLPSIPSFQIPTLPPLPPIPNFQIPTLPPLPPIPSFQIPTLPPLPPIPSLQIPTLPPLPPIPSFQIPTLPPLPPVPTIQVPLLPPLPPLPTIQIPPLPQIPTTPGSPGSSQSALEAPTMPQPTECLSSLMDLMPCMEYLITNAVTAPSSICCDGFKTIVEKAPICLCHGINGNVNKFMPAPIDFMRMMTLPATCGVNPPQALAKCSTGPVPPLMPAPAPAPTPAAAQSPGPSANTQKASLAIETMKMAPSSKHILVVFALFAIASLQPSAAVRDTQVFKPTVAVNDVRQEAPEIISAADVTQPSTLLPPLPPIPSIQIPPLPPLPSIPSIQIPTLPPLPPIPSFQIPTLPPLPPIPSFQIPTLPPLPQIPSFHIPTLPPLPPIPSIQIPALAPLPPVPTIQIPPLPPFPSLPTIQIPPLPQVPATTPGVPAAPILAVTSSQSAVMTPTISQPTECLSPLMDLMPCVEYLITDTMTAPPSICCDGFKALVEKAPICLCYGINGNINKLMPMPIDFMRMMSLPATCGVNPPEALAKCSTGPVPPLMPGPAPTAAPSPGPSA >LPERR07G11760.1 pep chromosome:Lperr_V1.4:7:12312717:12314479:1 gene:LPERR07G11760 transcript:LPERR07G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKHILVVFALFAVASLQPSAAARDAQVFKPTVVVDVVRQEAQEKVNAADVTQPSTSSSPGLPNLPPLPLIPSIQIPQLPPLPPIPSLQIPILPPLPQIPSFQIPQLPPLPQIPGIQIPTLPPLPPIPIFQIPTLPPLPQIPSITIPTLPPLPQIPGIQIPTLPPLPPIPGFQIPTLPPLPPLPSIQVPPLPSLPPLPTISTTSGSPSTPMQVLAASSQSAVVVPTTLKPTECLSSLMKLMPCMEYLTTNTVTAPPSICCDGFKALVEKAPICLCHGINGNANKITLASIDFMRMMSLPATCGVNPPRALAMCSTGPVPPLMPAATHAAAPSPGPSA >LPERR07G11770.1 pep chromosome:Lperr_V1.4:7:12321986:12323496:1 gene:LPERR07G11770 transcript:LPERR07G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLYPLLLLLIATTSSSSSPPPARWPDQFHARLFTNLTNTSKASTGPPLRITDLYYDWPRRRNLNLIRYQLSPDPLYDVEWNNGTTFYFDSASCRTEQFNVGVLPPGWLAAGEGTVYLGRRIAGGIECDVWDKLGFVVYYQEVATGRPVRWDFLDEFGIQQFVMSFEVGVTLDDSSQWQAPAHCFPASNGRGGDDDDGDEEEESSTKNYDHIESIEDGLDAARLLRRLAGAAAFY >LPERR07G11780.1 pep chromosome:Lperr_V1.4:7:12336679:12339153:-1 gene:LPERR07G11780 transcript:LPERR07G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLSSTFLVLLASSTLCASASERCVRQGKAAYSPSLSPLPAGGGGGCGYGAMAMELNGGFLAAGGPRQHRGGLGCGRCFQMRCRNAKVCSNAGVRVVLTDFHRSNSTDFVLGGPAFAGLAKPGMAHKLKKLDALPVEYRRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPDGPLQFRAVVTGGYDGKWVWADREVLPANWRPGQVYDTGAQIADVARESCVDCATLDWK >LPERR07G11790.1 pep chromosome:Lperr_V1.4:7:12362873:12363235:1 gene:LPERR07G11790 transcript:LPERR07G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISKKWHSASKVTSPTAAAAAAAACPRGHFAAYTRDGSRFFIPIAYLASDIFQELLNTAEEEFGSPGDRPIVLPCSADRLDQILAAFRVGAGKKSSPSSSGSGRSGGRSKIW >LPERR07G11800.1 pep chromosome:Lperr_V1.4:7:12374593:12376082:-1 gene:LPERR07G11800 transcript:LPERR07G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPISDLGVSRKRLLSPAQAKQHMLQKAQKSIELRGFPIPHSAGAASLLAAVAGRGIYPSSGDVAAFLQPSLLLLQFRPRLTVAMAGFTREDSFVRDKVVLDDVDTDEEEPADYYAEIVKTDAHMHKIKRRLLSEKEKIEEAEERKKKWRKQRQQWGFAKGNDDGPELNFEGEEGFKQSKKKRPGVSPGDSSGGLAKKGKQGKNRKSRDSKFGYGGRKGLKKQNSAETTNDFRGFNQMDKSQNKRRKIG >LPERR07G11810.1 pep chromosome:Lperr_V1.4:7:12377211:12386535:-1 gene:LPERR07G11810 transcript:LPERR07G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPASGGGRRRKAEAYREVLRRIQGGGYGPGLDDELWAHFHSLPASHAWLHALDRYALDVNVERVDDVLLHKRLLEQAREPMSGLVFDVLRSQAIILEGSTEVEQSTTFKQEEQDPQCSSSRDRRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVDVLRDALRRGVEKIKYKAWPLVQSMPVRMGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLFHGTYCSQDVAIKVLKPERVRHKNVVQFIGACTRPPILCIVTEFMRGGSVFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHVPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLAGLLQKCWQKDPALRPTFAEILDILNSIKEAVRSSGHQKRHPGRSYSRQRRSS >LPERR07G11810.2 pep chromosome:Lperr_V1.4:7:12377211:12386535:-1 gene:LPERR07G11810 transcript:LPERR07G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPASGGGRRRKAEAYREVLRRIQGGGYGPGLDDELWAHFHSLPASHAWLHALDRYALDVNVERVDDVLLHKRLLEQAREPMSGLVFDVLRSQAIILEGSTEVEQSTTFKQEEQDPQCSSSRDRRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWNHEVDVLRDALRRGVEKIKYKAWPLVQSMPVRMGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLFHGTYCSQDVAIKVLKPERVRHKNVVQFIGACTRPPILCIVTEFMRGGSVFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHVPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLAGLLQKCWQKDPALRPTFAEILDILNSIKEAVRSSGHQKRHPGRSYSRQRRSS >LPERR07G11810.3 pep chromosome:Lperr_V1.4:7:12377211:12386535:-1 gene:LPERR07G11810 transcript:LPERR07G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPASGGGRRRKAEAYREVLRRIQGGGYGPGLDDELWAHFHSLPARYALDVNVERVDDVLLHKRLLEQAREPMSGLVFDVLRSQAIILEGSTEVEQSTTFKQEEQDPQCSSSRDRRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWNHEVDVLRDALRRGVEKIKYKAWPLVQSMPVRMGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLFHGTYCSQDVAIKVLKPERVRHKNVVQFIGACTRPPILCIVTEFMRGGSVFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHVPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLAGLLQKCWQKDPALRPTFAEILDILNSIKEAVRSSGHQKRHPGRSYSRQRRSS >LPERR07G11810.4 pep chromosome:Lperr_V1.4:7:12377211:12386535:-1 gene:LPERR07G11810 transcript:LPERR07G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPASGGGRRRKAEAYREVLRRIQGGGYGPGLDDELWAHFHSLPASHAWLHALDRYALDVNVERVDDVLLHKRLLEQAREPMSGLVFDVLRSQAIILEGSTEVEQSTTFKQEEQDPQCSSSRDRRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWNHEVDVLRDALRRGVEKIKIPADATDVWEVDPRLLKFERKLASGSFGDLFHGTYCSQDVAIKVLKPERVRHKNVVQFIGACTRPPILCIVTEFMRGGSVFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHVPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLAGLLQKCWQKDPALRPTFAEILDILNSIKEAVRSSGHQKRHPGRSYSRQRRSS >LPERR07G11820.1 pep chromosome:Lperr_V1.4:7:12398598:12404221:1 gene:LPERR07G11820 transcript:LPERR07G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLNQPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDICIPGHLMQYGTARALDGRWMLKTEDGDELYLDIDDEGSIKGDGLGLLAWWAADEEEGEEVEAEE >LPERR07G11820.2 pep chromosome:Lperr_V1.4:7:12398598:12404221:1 gene:LPERR07G11820 transcript:LPERR07G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLNQPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDICIPGHLMQYGTARALDGRWMLKTEDGDELYLDIDDEGSIKGDGLGLLAWWAADEEEGEEVEAEE >LPERR07G11830.1 pep chromosome:Lperr_V1.4:7:12403092:12404009:-1 gene:LPERR07G11830 transcript:LPERR07G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAFLSTLAASSSLTTSPTPTPASRFSIPTASQRRPRRRGVAWAAPSGTDTEKPPSPSPKKKKSSDERVVKVHSAEEFDAALLAAKNRLVVVEFAASHSVNSSRIYPTMVELSRTCGDVDFLLVMGDESDATRSLCAREGIESVPHFSFYKSSEKIHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRDDVERLLADHRGDGEDGKGKLVVLDVGLKRCGPCVKVYPTVVKLSRSMAETTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGEIVGRYVGSGRGELVGEILRYNGVRVTY >LPERR07G11840.1 pep chromosome:Lperr_V1.4:7:12410189:12416248:1 gene:LPERR07G11840 transcript:LPERR07G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPAKRPLDDSSLYSSPSGKLMQSGSSDFHGSFEHDGRFSKVQRTEPRDDKRPSLAHRMPTGSTNFLDHSVSSDGRLESKQNKDARDNKVDAREAKADTRDVYTDSRVEFPGNKVEPDVKTDNRADDNEIRADRRTPANYKGDAKLEKDGHPPANSNITWKDSKEHRGKRNFDQPPDNEDWRFPGLQGTDESPKVAVPAEERSKDVHESTGENKTEPKTEDKFRDKDRKKKDEKSRDFGTRDNDRNDRRIGIQLGGSSGERKESQREDRDAEKWDRERKDSQKDKEGNDREKDSAKEPSVATEKENVVLEKIASDGAVKSSDQENKTIEQKTLKDDSWKSHDRDSKDKKRERDMDAGERHDQRSKYNDKESDETCPEGDIEKDKEALGSVQRRRMVRSRGGSQASQREPRFRSRMRDEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPESKNAETVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASYALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELCFSGEKARSVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >LPERR07G11840.2 pep chromosome:Lperr_V1.4:7:12411721:12416248:1 gene:LPERR07G11840 transcript:LPERR07G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPAKRPLDDSSLYSSPSGKLMQSGSSDFHGSFEHDGRFSKVQRTEPRDDKRPSLAHRMPTGSTNFLDHSVSSDGRLESKQNKDARDNKVDAREAKADTRDVYTDSRVEFPGNKVEPDVKTDNRADDNEIRADRRTPANYKGDAKLEKDGHPPANSNITWKDSKEHRGKRNFDQPPDNEDWRFPGLQGTDESPKVAVPAEERSKDVHESTGENKTEPKTEDKFRDKDRKKKDEKSRDFGTRDNDRNDRRIGIQLGGSSGERKESQREDRDAEKWDRERKDSQKDKEGNDREKDSAKEPSVATEKENVVLEKIASDGAVKSSDQENKTIEQKTLKDDSWKSHDRDSKDKKRERDMDAGERHDQRSKYNDKESDETCPEGDIEKDKEALGSVQRRRMVRSRGGKSEVSAIVYKAGECMQELLKSWKEFEATPESKNAETVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASYALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELCFSGEKARSVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >LPERR07G11840.3 pep chromosome:Lperr_V1.4:7:12410145:12411714:1 gene:LPERR07G11840 transcript:LPERR07G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRVPGDASSVHLFLLPFIPPLHKQRTPPSAVSSIPNPTTYTAAAAVSSFAGQRSYPLRRGSSGPSARPLPSHLGDLPVSSGLDSASSPPPPPPPLIISIRHVSTGAGRRSPAASASSSPGHRHF >LPERR07G11850.1 pep chromosome:Lperr_V1.4:7:12427801:12432531:-1 gene:LPERR07G11850 transcript:LPERR07G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLARLVAGQSPAAAFAQPPWLNKQLVTPVVHLARLPSSVTARPSGISATSVVQLLGSCAPPAARRFLVANKAGGSTPGHMEHDDSLLLWITATAQIVLDSLCSLENDIEVELKNTKDKLDRYNFVNKELKKVIGAFKMIVKEEKKYAGQSTS >LPERR07G11860.1 pep chromosome:Lperr_V1.4:7:12446994:12448772:-1 gene:LPERR07G11860 transcript:LPERR07G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGTAPHHFFSSLSPTTISSPPHFLHPFSLLSVEASTSNMRRRERRRPTCQPVDHTLILMEY >LPERR07G11870.1 pep chromosome:Lperr_V1.4:7:12539510:12543422:1 gene:LPERR07G11870 transcript:LPERR07G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAMPSSSSFSAMAAEGSCCIDALWRACGRCGAAAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGRYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLINRIPKTTFSATTNSDQETDNNCCAVCLQDFGASQFVRVLPHCQHTFHVRCIDNWLFRHASCPLCRAGVHIDHIHM >LPERR07G11880.1 pep chromosome:Lperr_V1.4:7:12544808:12552082:1 gene:LPERR07G11880 transcript:LPERR07G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGMNFACVLAALADGKIPEKGCLLPLASKLLGYGIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWLKALLYCGLAPIVLGGKIDPALFEVLYASQHAIFFCARIPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVILGSAIGIVMNGTLLGQIVMYQKPAPKKEKKRD >LPERR07G11880.2 pep chromosome:Lperr_V1.4:7:12544808:12552082:1 gene:LPERR07G11880 transcript:LPERR07G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGMNFACVLAALADGKIPEKGCLLPLASKLLGYGIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGNYFGCNHLLLLPANGNQDMVESFTCLSRYCGLAPIVLGGKIDPALFEVLYASQHAIFFCARIPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVILGSAIGIVMNGTLLGQIVMYQKPAPKKEKKRD >LPERR07G11890.1 pep chromosome:Lperr_V1.4:7:12550316:12553971:-1 gene:LPERR07G11890 transcript:LPERR07G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTRQSPSHLLPLLLLAAVFAAAAASPEYGGSSFLRLPTSDRLPVSTHLPRSAAVGLIRSLNLHPRDASPSPRRHAAGDDVIPAGTLVERPIHLASMASGSSGGGSSVKDLGHHAGYYRLPNTHDARMFYFFFESRKSKKDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSDSRDTRHDEASISNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNNEGIHINFKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQSNRINKIVPTCELAIKLCGTSGTVSCLGAYVVCNLIFTSIKTIIGNKNHYDIRKPCVGSLCYDFSNMEKFLKLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVDSMKWSGKEAFASSSEKPFTVDGKEAGVLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSDTSSSFQKLDFIM >LPERR07G11900.1 pep chromosome:Lperr_V1.4:7:12556318:12560141:-1 gene:LPERR07G11900 transcript:LPERR07G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKIVNNLMKAPELATTMQEFSREMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDTVRKQRINQASTSRVPEERQAVAEGADDEEEDLEEIRARLAKVRS >LPERR07G11910.1 pep chromosome:Lperr_V1.4:7:12561266:12566202:-1 gene:LPERR07G11910 transcript:LPERR07G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKDRRRPNTFFLLPSFFLSPPHPLLSLLLLHLNLFLSPPGRRRSSEGIRFDSVRLRLVAAWLPSSRFPRLAVAARCAPRHPACPLFLHRAAFRSDFPCDFCLRRRRKEVPRPSSASDNPKPPSLVYSCCIGNLSKSQPVPAFKPKTSVRKRPHHSSTRKRRQCSSGLEAMENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKETSREPIISWSTTYDDLLSTSERFQQPIPLSEMVDFLVDIWHEEGLYD >LPERR07G11920.1 pep chromosome:Lperr_V1.4:7:12590471:12591361:1 gene:LPERR07G11920 transcript:LPERR07G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLDAFASKLMGMLVGMAKEEVEMLLGVPGEITKLRSWMTLSRGAQGFSVHAINSANTMPSSSRNDFCRGSGIIMSEVVGRKVLQDEKDLVDLLLKVDARASSDNNGNIVVVAITGAGGMGKTTLARMVFKNSMMKNTFDKMIWLDINQNVDQI >LPERR07G11930.1 pep chromosome:Lperr_V1.4:7:12592383:12596171:1 gene:LPERR07G11930 transcript:LPERR07G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDPVPPPAASHPQKEWERERGRVALSQSNEIDLFTDIGMEIVAKCDGLPLAIKVVGGVLRGRRTNEWKNINDHGVWSLAWEEINKAVYLSYEDLSSELKQCFLLYSLIPKDRLISRGTITNMWIAEGYTQQGPMPSEYSADEYCNELISRRNLLETDKRVYEQGACIMHDVACYFAQYITEGEALHLSDWKGEDNNSRKPGNSKLRHLSMSNKATVEWGALIRQRQPSLRTLTIYGSINVDFNELKDNLSSLRVLNLSDVDVAEIPEYVCHLRHLRYLGISGTSIDRIPRDRGDLKFLQCLDLTGSNISQLPDSILNLQNLRFLNIEGTSITSVPRGIGRLVNLDSILGFPTHFDETPDGWCSLDKLASLSELKRLHINNIQDAFSGSMASGVNLSCKEHLLIVKFQFTPKGFKEMQLMDQVLSNLCPPPSIEELDIIGYYGVTLPWWMRMMESFQNLRRLDINYHPNCKHLPFGLGQLPFLDYFWVRQALSVTSIGHDLFGPSPGGDGNEVSSTSSASTSAVAKMNSRWPQIPFPKLRKLAFQDMLLWSKWEWDQKFPAMPAMEFLTISDWELEHLLPRGLVVHATALRYLDLRNWISLPLTELKVIGNGRLQVIANIPKLRHLHIHGCPRLISLHALPSLESIEWRHLQAEQLSNYMRSANTLYRLVTHCHAKLLKLISLKEAGIEWEKISHVQHIEAYGYSAKEDKRYIFYTWEPYSFHTDMEPDFSEAPDSVRRAPFLLDNPSAIPYFYQTTI >LPERR07G11940.1 pep chromosome:Lperr_V1.4:7:12630042:12632566:1 gene:LPERR07G11940 transcript:LPERR07G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAMALLVVAVAVTAAAMAVSPAGAQPSPGYYPSSVHRAMTFSRYYTNKWGPQHQTLSPDQSSLTVWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFIAHFDRFTVAGCAPTAPSTCRPVPASAAGAGLTPRQYAAMRWAQQSHMVYYYCQDYRRDHSLTPEC >LPERR07G11950.1 pep chromosome:Lperr_V1.4:7:12635871:12637551:1 gene:LPERR07G11950 transcript:LPERR07G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFLLLLLVVGAACVASGDDGGVIRQVTDGAWPAGLLPEAQFAAFVRRHRREYPGGAEEYARRLRVFAANLARAAAHQALDPTARHGVTPFSDLTQDEFEARFTGLSATAGAEGDEARRNRMPAAQAATEEEVSRLPGSFDWRDKGAVTRVKMQGACGSCWAFSTTGAVEGANFLSTGELLDLSEQQLVDCDHTCDAVKKTECDAGCNGGLMTNAYTYLINSGGLMTQTAYPYTAKQGTCRFDANKIAVRITNFTNIIIPPPSSSVNSDDQIRAALVRHGPLAVGLNAAFMQTYVGGVSCPLVCPRAWVNHGVLLVGYGERGFAALRLGYRPYWVIKNSWGEAWGEKGYYRLCRGRNVCGVDSMVSAVAVAAPPPPMPTS >LPERR07G11960.1 pep chromosome:Lperr_V1.4:7:12639512:12641950:1 gene:LPERR07G11960 transcript:LPERR07G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKGLLKPPTPRQQVREWQHRLRNERISLDRRIRELQREEKKVERAIREAAKRDDTVSAKILAKELVRSRRAVNRLYENKAQLNSVSMRLGQVIGTDRMVTQMSKSTEVMKMINNLMKAPELAVTMQQFRKEMMKAEVTEEMANDMIESALDSDNIEDEIEEEVDKVLAAVAAETATQLPVAARKQRINSASINTVSDPGERQAIAEDSDNVDDDLDENRARLAKVRS >LPERR07G11970.1 pep chromosome:Lperr_V1.4:7:12643544:12653118:1 gene:LPERR07G11970 transcript:LPERR07G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCAGEANRRCNGCGAVAYCSRAHQLVHRRFHKQECARLAEQMSRVDTLKNFPFTFSVEPPAPNQTFPSPRCFFLESFKLHQKGLWKSECICGPEVTSVKDLSISTDWSMGSTLCPCTDPENYVSTPLTSWKDYYRWRSLPLHSPVAVLLHWPLTLYHCVQLSHLQTSRLDGQDTLCIHYLGPEKELHQLVVFGELRALFPGVRLYIELVGPAVPKSRDGEVITISNYGHCSAGSCSCKSRIDSKDLSCSAVIFKLRKGLYHERYSDIVKDSNPHLIVAPNAGVAAYPSWMPTIEIIRKVGIPAIFTDFCEEAAHLASSCISSITGQPLRVPIQGSL >LPERR07G11970.2 pep chromosome:Lperr_V1.4:7:12643544:12651838:1 gene:LPERR07G11970 transcript:LPERR07G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCAGEANRRCNGCGAVAYCSRAHQLVHRRFHKQECARLAEQMSRVDTLKNFPFTFSVEPPAPNQTFPSPRCFFLESFKLHQKGLWKSECICGPEVTSVKDLSISTDWSMGSTLCPCTDPENYVSTPLTSWKDYYRWRSLPLHSPVAVLLHWPLTLYHCVQLSHLQTSRLDGQDTLCIHYLGPEKELHQLVVFGELRALFPGVRLYIELVGPAVPKSRDGEVITISNYGHCSAGSCSCKSRIDSKDLSCSAVIFKLRKGLYHERYSDIVKDSNPHLIVAPNAGVAAYPSWMPTIEIIRKVGIPAIFTDFCEEAAHLASSCISSITGQPLRVPIQLTVHAIRQA >LPERR07G11970.3 pep chromosome:Lperr_V1.4:7:12643544:12651838:1 gene:LPERR07G11970 transcript:LPERR07G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCAGEANRRCNGCGAVAYCSRAHQLVHRRFHKQECARLAEQMSRVDTLKNFPFTFSVEPPAPNQTFPSPRCFFLESFKLHQKGLWKSECICGPEVTSVKDLSISTDWSMGSTLCPCTDPENYVSTPLTSWKDYYRWRSLPLHSPVAVLLHWAFDSMVNPDQNILCLHLDNCTKKQSLLIRQNGIKTRAWIVSVDKKEENINYTIDFRDGEVITISNYGHCSAGSCSCKSRIDSKDLSCSAVIFKLRKGLYHERYSDIVKDSNPHLIVAPNAGVAAYPSWMPTIEIIRKVGIPAIFTDFCEEAAHLASSCISSITGQPLRVPIQLTVHAIRQA >LPERR07G11980.1 pep chromosome:Lperr_V1.4:7:12650788:12652918:-1 gene:LPERR07G11980 transcript:LPERR07G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGFPGFHHHGYDRDYARPLFRVASFSDNGVGEEQERHAPSPRGRSMSRTTSTLAATPPRLSPSVSKLSMKKLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTSHRLQPLPPEKKAMWNREMDCLLSICEYIVEFAPKLQAMPDGSTHDKFYPHGMSAQVMATSPRSDILMNLPALEKLETMLLDILESFDKTDFWYVDQRKQSFNDSTKSFQRSEDKWWLPEPCVPDSGLSDRMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >LPERR07G11990.1 pep chromosome:Lperr_V1.4:7:12671154:12672978:-1 gene:LPERR07G11990 transcript:LPERR07G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGSGVAPEGLTRNRSTELKCPYVVKDIKTSNLTGSMASHSKKKRVSDLGASRRSTVSD >LPERR07G12000.1 pep chromosome:Lperr_V1.4:7:12675466:12679431:1 gene:LPERR07G12000 transcript:LPERR07G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLENPQWAPPGGYGIEPRWVVHRRTYEHTSGHAPTYLVYVDHQHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGDVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQNRMIFYLAQTLLWRMFSSLLSGYLM >LPERR07G12000.2 pep chromosome:Lperr_V1.4:7:12675465:12679431:1 gene:LPERR07G12000 transcript:LPERR07G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLENPQWAPPGGYGIEPRWVVHRRTYEHTSGHAPTYLVYVDHQHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGDVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQDDFLPRTDTPLEDVFKSLVCLPCLLCGRCLIDTCIPESAMLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENDRTMKPPETQRMDNEIPIERDHDEEQKAALRRAVALGVADVNVPSTYGTFSENPTPEADEASPLLADSGRRRTLWDEWIARIFEKDESGKMIPRT >LPERR07G12000.3 pep chromosome:Lperr_V1.4:7:12675466:12679431:1 gene:LPERR07G12000 transcript:LPERR07G12000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLENPQWAPPGGYGIEPRWVVHRRTYEHTSGHAPTYLVYVDHQHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGDVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQNRMIFYLAQTLLWRMFSSLLSGNGTSYV >LPERR07G12000.4 pep chromosome:Lperr_V1.4:7:12677144:12679431:1 gene:LPERR07G12000 transcript:LPERR07G12000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENDRTMKPPETQRMDNEIPIERDHDEEQKAALRRAVALGVADVNVPSTYGTFSENPTPEADEASPLLADSGRRRTLWDEWIARIFEKDESGKMIPRT >LPERR07G12000.5 pep chromosome:Lperr_V1.4:7:12677144:12679431:1 gene:LPERR07G12000 transcript:LPERR07G12000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENDRTMKPPETQRMDNEIPIERDHDEEQKAALRRAVALGVADVNVPSTYGTFSENPTPEADEASPLLADSGRRRTLWDEWIARIFEKDESGKMIPRT >LPERR07G12010.1 pep chromosome:Lperr_V1.4:7:12681582:12686057:1 gene:LPERR07G12010 transcript:LPERR07G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSNRIRFEHKFTWVASDPRCHTPPHADAVIGIEDHRTEALRQACLHAVRLLAPALTMTTRFLVASNGVRRPGSRSAAFLHWFMIPPNLYGMRYKTLKASINTLNVKMDDILQKGSELGFLPINQEVMNERPQISLEVALDDYTVGGIQNEANKLIDILTDRKSAKTLVAIVGGSGTGKTTLAWKIHDDRRTRSAFVVTSAGGNPKEAKNRMQLELMLASMLKGKRFLLVLDDVRSHQIEENSLESLWHVYGHGSRILITTRDENVATKVNASYIHQVKKSTFQNCWSLLCHNACLNENLHGNTLRSIGIMIIQRCDKIPMAVKIVGAVLRRKEQTQEAWQRVYEFEGWSFNDLPGDIHGLTGAIYLAYHDLPSHLKQCLLYLSLFPEGSIIRQQFVSQLWISEGLIDQRDNCSAETIAEEYYEELISRNLLQLEIGNCDITRCIMHDQIRSFLQFFAKDKIFSGELNPSTNGTSNDGLRHVWISGNSPATNLEEIATVTSLKTVILYKNPLGNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRITELPESIKYLTNLQFLGLRYCSWLHTLPKGISNLHKLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPIHENDPSGWPLEHLKDLNALRSLQILKMEKLSDFSRVKEAMLEMKSQLKELEICCSNDDRLAEAGEDYSRMLKQIFDSLSLPQCLKSLKIVSYYAKHFPNWLPRLINLQRLVLSDCKFCEHMPDLSQLNQLKFLTITGCSKLLIMVQECTGATQAFPKLEQLHLKDMPKLESWTGFASGDIPSLVKFRLENCPMLKHLPDGLKYSRVLRSMQIRHADSLEVIQDLPVLTELDVQACNELKEVSNLPLLEVLMIRRCPRLEDVSGVHYLSHVRIIDKELRKIPDWLSKHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRHINKVYANLLDESPFFTYTKSSADFHIDQRIGEINKASIVLVPGIAHEGPGILSDLSVEMTSQIGLPRVPVTRTSTLRRVIRRYLVPYLIMVMVVMQVLSHYLQNRSTRDIWLVQTLFIFFATVFLLLLVFLD >LPERR07G12020.1 pep chromosome:Lperr_V1.4:7:12688112:12693215:-1 gene:LPERR07G12020 transcript:LPERR07G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDRTEIAGEKPASLLLAALRLRAGRAIPPVPVSAGYTKDVVKSLGDLAANEIARVLCVRNEINKLKRKLETMMAIIRDAEQTVVQYETTRDWLKKLRGIAYEAEDIIDRCRIEQERLQMFQPQECNPSSVFKCCRDVAVDYIIANDIHELNQKLESIRSESTLLRLNPVEEDQIRLDLDVAPHLEPDIVGREVENDSDNLIQLLTRDYITTCPLFAIIGTIGVGKTTLARKVYHKAAAMFETRLWVHISKDLRHLTMWSDGMFRKAETAEQQTLLLQHLQGRKFLLVIDDVWGENVWDGRLEIQAQYGAPGSRVLVTTRDERVARRMGAIHLHRVKMLNEDDGWWLLRTRAFLDESTGNMQDIGRRIVQKCNGLPMAIRRIGCHLRDVDPKEDDWGRVYSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPDGFVIERQRITRQWIAEGFIVTQTNSTQRHSTTVEEEAERCYDELLLRGLLLPENEACDVVGSKMPHLFRSFALLQSQDENYTGNPQDIDDVFKPCRLSVTCGGVEAIRNGIKKLKNLRTLLLSESTLNDRALSDIFLKFTHLRVLDLGNTHIECVTASLGRMAHLRYLSFANTQVREIPGTIENLRMLHFLILRNCIRLNALPESVGRLKNLRSLDMSGAGLNIVSFKFSLFTELNCLQGFLVSPGDAQHKSGWPFQELRSLSKLTSLQMLRIERELNVEGAKKSALREKRHLKELELCCSIDEQTTQMGKADDVKDVFEELAPAPSVVSIKMANYYGHEFPSWLSSAGLSQLQRLTLDGCSHCSHLPSLGEMNNLKYLAIIRSNLSATIGPELRGRPDNGVAFPKLEQLLISEMSNLKSWSGMKEGDMPSLVNFRLERCPKLESFPRWLEHCIALRSLRINHADSLKTIENLPALRGLEVHRNKKLKRILNLRSLEDLKVVHCLVLKVVQGVPSLRTVHSDDRNSTELPQWLQPQQPFILKRLEIIGTEELLDKCSSASSPYWSAIQHADHVFAHLPDDTFYFSYTKSNSSFHRSARSLARSSLYHSPSFIMPSVTQTSNVISTEESKSVSRQIGRSSSQSWVRTELFTVLLFVAAHLFFLSSTYASN >LPERR07G12030.1 pep chromosome:Lperr_V1.4:7:12716447:12719486:1 gene:LPERR07G12030 transcript:LPERR07G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETDQSCTSDKKKAVPQATADPQLLMASRRGDSKRLKELLGRLINPDDHDDGGVAVIVDVVPAAAAESSVLLDGVTIEGGLAAALHVVAACGDGDGFLRKILRARNGKGDTPLHCGRHRSRGGRRRRRIGGDAVPEDEQQFRGDRVAPCALSMVLGWLKKKPKTADMRRQHDQGSQGDWHVQMRSCTADYLLIELTSQRDKENGSTPLHLAASMDGLPSAHIGDHANLSAAYQPDNKGLYPIHVAASAGSLAAVETLLETCPDCATLRDLKGRTSLHAAAEKGRISVVERVCSKGELSLILNDPSIHTQRLLLTVGAPYGESRGDLFHGKCASITRDRKFKGGEEKMSENLTDAAQVLAISSVLITTVTFASAFTLPGGYRSASDGGGAAGTPVLAGGYFFDAFIICRCACL >LPERR07G12040.1 pep chromosome:Lperr_V1.4:7:12721906:12732000:1 gene:LPERR07G12040 transcript:LPERR07G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPCCEGQNSHMLPFLIYWLTGRLRSGISEMSPYLITFWRGQPFGQISPQSPVPVPRHNRKTMEEHAAAASSDPPATPPPEDTSQSHHSPSASSPAGAGPPPSPGPRELAAAMEAVERDAAAIADSYASLFASLRLALSNVTSTSAENMDCLGDVVGRLQESGLRRVKVFLQMKRSVIS >LPERR07G12040.2 pep chromosome:Lperr_V1.4:7:12721906:12732000:1 gene:LPERR07G12040 transcript:LPERR07G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPCCEGQNSHMLPFLIYWLTGRLRSGISEMSPYLITFWRGQPFGQISPQSPVPVPRHNRKTMEEHAAAASSDPPATPPPEDTSQSHHSPSASSPAGAGPPPSPGPRELAAAMEAVERDAAAIADSYASLFASLRLALSNVTSTSAENMDCLGDVVGRLQESGLRRVKVFLQMKRSVIS >LPERR07G12040.3 pep chromosome:Lperr_V1.4:7:12721906:12729226:1 gene:LPERR07G12040 transcript:LPERR07G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPCCEGQNSHMLPFLIYWLTGRLRSGISEMSPYLITFWRGQPFGQISPQSPVPVPRHNRKTMEEHAAAASSDPPATPPPEDTSQSHHSPSASSPAGAGPPPSPGPRELAAAMEAVERDAAAIADSYASLFASLRLALSNVTSTSAENMDCLGDVVGRLQESGLRRVKVFLQMKRSVIS >LPERR07G12040.4 pep chromosome:Lperr_V1.4:7:12721906:12725570:1 gene:LPERR07G12040 transcript:LPERR07G12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPCCEGQNSHMLPFLIYWLTGRLRSGISEMSPYLITFWRGQPFGQISPQSPVPVPRHNRKTMEEHAAAASSDPPATPPPEDTSQSHHSPSASSPAGAGPPPSPGPRELAAAMEAVERDAAAIADSYASLFASLRLALSNVTSTSAENMDCLGDVVGRLQESGLRRVKVFLQMKRIGQTTVDTDIL >LPERR07G12050.1 pep chromosome:Lperr_V1.4:7:12725760:12728792:-1 gene:LPERR07G12050 transcript:LPERR07G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLKLGVEVTSAHDLLPKEQGTSNPFVEIEFDDQKFRTAIKDGDINPVWNEHFYFNISDPSRLQEKDLEAYVYHVNRTSNSKTCLGKVRISGTSFVSHSDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSPPGQEFDFISTPTTAQEQAAANVIPNPFQETRAEQVRQFQHLPKEQHRPQPMTAQPYYPESSYGQQQQKSYAAVGNKVEGPPPPVMRMYAPGPQQPVDFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPHMDITGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPREVMQSTQLEVVVKDKDVIRDDYVGRVTIDLNEVPLRVPPDSPLAPEWYRLLGKEGYRDKGELMLAVWYGTQADECFPSAIHAGSAPIDSHFHNYIRGKVYPVPRMWYVRVNVIEAQDIIPMENHIPDVFVKMRLGHQVLKTRPARSPTRNFMWNEEMMFVAAEPFEEDLFITIEDRVAPNKDEVIGELLIPLARLPRRADHKPVRPAWFDLRRPGLIDLNKIKEDKFYAKVHLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLTPTKTRSERGSCDAYCVAKYGQKWVRTRTIVDNLNPKFNEQYTWDVFDHGTVLTIGLFDNCHITGDSNHNHSSSPSHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLLNVFFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMSLIGLWNYRFRPRVPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERIQSLLSWRDPRATSMFLLFCLLTAIILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPINFFRRLPAKTDSLL >LPERR07G12060.1 pep chromosome:Lperr_V1.4:7:12750179:12751729:1 gene:LPERR07G12060 transcript:LPERR07G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGLAVNDECKLKFQELKARRGFRFIVFRIDDKAMQIKVERLGETAEGYDDFAASLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIKARAH >LPERR07G12070.1 pep chromosome:Lperr_V1.4:7:12752333:12753914:-1 gene:LPERR07G12070 transcript:LPERR07G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQQRVLGHEHPIDHQNPSDAVWRGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGNTDATWSLWPEPVAGTSIVPSTSRSDGASAAGLRLRGTARTVNGSMPEVLSLVDRVREVLPHIPDELIIEVPFYFLSTLDVHAIIV >LPERR07G12080.1 pep chromosome:Lperr_V1.4:7:12753925:12759332:-1 gene:LPERR07G12080 transcript:LPERR07G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVNSLYVAAASTAASAAALQWWAASHVSVALLANLAAHVFLVAILALKGTFLPLVVPPNSQQIILWSSWLGLLCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSTDLLWIKLCVGFCKSCNSKIFWLLFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKSYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFLKRIKAYVKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTALSVISRTYKIYCNGGRKRSANH >LPERR07G12090.1 pep chromosome:Lperr_V1.4:7:12781767:12785671:1 gene:LPERR07G12090 transcript:LPERR07G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGDASSRPGASLDAVSAAFRSRVMELRDLVLARNMYPASVTPDLAAVDVSVTAMEAQVQAIRRRLQEECEAIPKAKKLVDQSLKQQQRLQHMLANMPSGMREDAFATLEQSSSKMLHQSLNFSSADPEVIDHDLKIKEETTAAPKKGKGPAPRWYISTEDLDSLSSYMRGRLTLEKVNIAINEVATYADANAHLVACAKKKLSEDTWEKALELRDIAATESVKGKHFFLETDIKGPGLKLDNTGKAILTVLRHLGRIHETRIGHHRVFILAKQH >LPERR07G12090.2 pep chromosome:Lperr_V1.4:7:12781767:12785671:1 gene:LPERR07G12090 transcript:LPERR07G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGDASSRPGASLDAVSAAFRSRVMELRDLVLARNMYPASVTPDLAAVDVSVTAMEAQVQAIRRRLQEECEAIPKAKKLVDQSLKQQQRLQHMLANMPSGMREDAFATLEQSSSKMLHQSLNFSSADPEVIDHDLKIKEETTAAPKKGKGPAPRWYISTEDLDSLSSYMRGRLTLEKVNIAINEVATYADANAHLVACAKKKLSEDTWEKALVLRHLGRIHETRIGHHRVFILAKQH >LPERR07G12100.1 pep chromosome:Lperr_V1.4:7:12791200:12803070:-1 gene:LPERR07G12100 transcript:LPERR07G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDEEKRKEMQDPRTKGIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFIERYTGKGISVVAGVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVISEEYVLEYGADCLEMHVGAAQPGDHALVVDDLVATGGTLCAAIALLERAGAQVVECACVIELPELKGRERLKGKPLYILVESHS >LPERR07G12100.2 pep chromosome:Lperr_V1.4:7:12791171:12803070:-1 gene:LPERR07G12100 transcript:LPERR07G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDEEKRKEMQDPRTKGIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFIERYTGKGISVVAGVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVISEEYVLEYGADCLEMHVGAAQPGDHALVVDDLVATGGTLCAAIALLGSREAQGEAAVHSSGVSLLAIYCLRPKM >LPERR07G12110.1 pep chromosome:Lperr_V1.4:7:12822112:12826294:1 gene:LPERR07G12110 transcript:LPERR07G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCANDGSTEYDDPASLEKMKEPVLPLKLMPLHTNGWLHDMKLSSPSAVFASNGTSSGAFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIVLFLDYDGTLSPIVDEPDNAVMSDQMREVVRNAALHLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGEHDSVTDRGSSINSNKKQGKEVKIFQAATEFLPMIDEVFRLLIEKTKAIEGVKVENNKFCVSVHYRNVEEKDWKVVAQCTNDVLKVYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLDSLELANCNNVLPIYIGDDRTDEDAFKVLRDDKRGFGILVSSVPKDSHALYSLIDPSEVMDFLKRLVMWIEGEALHKSQ >LPERR07G12120.1 pep chromosome:Lperr_V1.4:7:12825705:12834625:-1 gene:LPERR07G12120 transcript:LPERR07G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTESVISPTTLEFFQEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPRVVRVGLIQNSIATPTTSHFAEQKKAIMEKIKPMIDAAGDSGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIIWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGINGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYSSLLAKYLQPDFKPQNSEVYPKSQAGVDF >LPERR07G12120.2 pep chromosome:Lperr_V1.4:7:12825705:12829516:-1 gene:LPERR07G12120 transcript:LPERR07G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGKQPPQGEGEEAAPAGSIGGYESLHRLLESNLSPELFKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPRVVRVGLIQNSIATPTTSHFAEQKKAIMEKIKPMIDAAGDSGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIIWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGINGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYSSLLAKYLQPDFKPQNSEVYPKSQAGVDF >LPERR07G12120.3 pep chromosome:Lperr_V1.4:7:12826217:12829516:-1 gene:LPERR07G12120 transcript:LPERR07G12120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGKQPPQGEGEEAAPAGSIGGYESLHRLLESNLSPELFKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPRVVRVGLIQNSIATPTTSHFAEQKKAIMEKIKPMIDAAGDSGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIIWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGINGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYSSLLAKYLQPDFKPQNSEVYPKVSIKGLSYYCDLCNASPSIHITSLFKKSITCK >LPERR07G12130.1 pep chromosome:Lperr_V1.4:7:12838089:12844176:1 gene:LPERR07G12130 transcript:LPERR07G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLTPDTEGYDQIDSITTGASVLVEGLVASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRSVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASSYLQYVVGALFCLVLNSVKYILENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >LPERR07G12130.2 pep chromosome:Lperr_V1.4:7:12838089:12844176:1 gene:LPERR07G12130 transcript:LPERR07G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLTPDTEGYDQIDSITTGASVLVEGLVASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRSVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWVKYILENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >LPERR07G12130.3 pep chromosome:Lperr_V1.4:7:12838089:12844176:1 gene:LPERR07G12130 transcript:LPERR07G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLTPDTEGYDQIDSITTGASVLVEGLVASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRSVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >LPERR07G12140.1 pep chromosome:Lperr_V1.4:7:12845054:12846237:-1 gene:LPERR07G12140 transcript:LPERR07G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQEALVDIETDAEQLLLARHQLVENDRVRNGNREALTALRKRAKTTKTSVPTPFDAIMKELEGSSSKQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHSVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >LPERR07G12150.1 pep chromosome:Lperr_V1.4:7:12847907:12859462:1 gene:LPERR07G12150 transcript:LPERR07G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAGAGAGDGGRGGGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMIVIVPPNKTAADGMVGVSELVGKHLPANKKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSTKKKSLFQMLKTTKTTGGSRLLRANLLQPLKEIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIVLKTALDAIPFLSKVLKGAKSFLLCNIYQTICENPKYASMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLATKYREDFKLPNLKIPYKNRLGFYFVIPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNVRNRSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSRSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMEGLSELATLYPNVKILHFEVDLRNHRLDFKFRLKDGVRKVPRYGLLLAKVAGLPNSVIDTATSITSRITEQEMVRMDSNSEQYRSLQMAYQVAQRLICLKHSNQGEDYIREALQNLKDGYLAGRLT >LPERR07G12160.1 pep chromosome:Lperr_V1.4:7:12860177:12865914:-1 gene:LPERR07G12160 transcript:LPERR07G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLTGAGEAAAAALPPPEAAGAAVMGVFKYNFAAQFLSRIIPFLYNGWFVRQLSADDCAAYALQLPLFINCVMFLSREGFRRACLRNDSDSGDAISDEEILKVKKLRLSDTYAKAILIIGFACVLELLAEPLYILSQRKKYYQIRVYTEPVATLLRCLTTFIFVAKGHSKMEKLVVFGLSQVVYAACMFFGYWAHFLIFTDTKISDLLPFRLSAMMDYDKQLLHMCMLFTGQTLRKLMLQESEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNIVLIKSAAFIKGYFKGSFSFHNCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPVHLAIGIMCLGFASLEIYRGEKQFLTSIIKSLKSHDKLA >LPERR07G12160.2 pep chromosome:Lperr_V1.4:7:12860177:12865914:-1 gene:LPERR07G12160 transcript:LPERR07G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLTGAGEAAAAALPPPEAAGAAVMGVFKYNFAAQFLSRIIPFLYNGWFVRQLSADDCAVKKLRLSDTYAKAILIIGFACVLELLAEPLYILSQRKKYYQIRVYTEPVATLLRCLTTFIFVAKGHSKMEKLVVFGLSQVVYAACMFFGYWAHFLIFTDTKISDLLPFRLSAMMDYDKQLLHMCMLFTGQTLRKLMLQESEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNIVLIKSAAFIKGYFKGSFSFHNCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPVHLAIGIMCLGFASLEIYRGEKQFLTSIIKSLKSHDKLA >LPERR07G12170.1 pep chromosome:Lperr_V1.4:7:12879070:12886916:-1 gene:LPERR07G12170 transcript:LPERR07G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRARPTHLLVALCLTSLCLLSINVVVPAAAAPATSLIHFDFDFSNSSSFNVDDLNQQGDTQLNGTAGIIEMTHTDNTTFSTGRVTHRLPLRLWEDADEATGAAATVTSFSTRFSFFIDPTDRDRRADGMAFFLAGFPSTIPDFSYGGLLGVYNATGATRAIAVEFDPFHNWQWDPSGTDHVGIDLKSVVSDITRSLPDHSLVGKMTAYIQFDGVTKMLALTLNFDDNATLAPVHISTHADVRTLLPQEVVFGFSATTGSWVERHRILSWSFNSSYSINSTLTSVDKRPDSSTGGTTPRTGRVLAITLPIVGAILALVVLTCFCFWRRTSARKDSSMPFKTPTSKALVRFSLASRSGNCPFLRLTELTNPDEIQSIDSILIPLSTLRIAADNFAERNKLGEGGFGVVYKGVLPEGQEIAIKRLSQGSRQGIEELKAELVLVAKLRHKNLVSLIGVCLEEDEKLLVYEYMPNKSLDTVLFDFEKRKDLDWAKRIKIVNGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDYNPKISDFGLAKLFGWDQTQEVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNNSFISNSEQSIDLLSLVWEHWTTGSIEELLDPALGGHSASGQMLTLVNIALLCVQDKPADRPTMSAVNVMLGSNTVFATQAPSRPTFCIQEMDGIDTDMYSRGEFQSTSNSKTKAVTWLQPR >LPERR07G12180.1 pep chromosome:Lperr_V1.4:7:12893995:12895638:1 gene:LPERR07G12180 transcript:LPERR07G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQNHLEDEDLLADILLRLPPDDPARLVRASAVCKPWRRVLSHPTFSARYAAFHGPRSGPPVLGVLHNPDSRELDRFIPTTTSAFRPSSAGGARRKRHILDCRHDRVVMYDYDDRSSSGEPGYVVWNPITGDEHVIRNVIDELSHAAVVRTGDSSTSSVIVAFVGVDNPEEDCWVAQSQFYSPDTGEWSLHVYINLSLEGSYELQDRPAAQIGESLYFLGKSATLLRYRYGLARDYFERRARSDNVLTVIHPPADASRNLLRRGHAIVMAAPDQNEIRLGILYRQKLRLWAMVDKEYSPGTILNSVGQWGARIVVDLEPVLPWPVGDNNAKAKERVSLTVAAEIPNFIFATKGKDGVFALDLESLRIRKLCDMGESDGFFPFVSYYAHSWLLSVASSKLPESAGSR >LPERR07G12190.1 pep chromosome:Lperr_V1.4:7:12899039:12900496:-1 gene:LPERR07G12190 transcript:LPERR07G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAHVLVFPCPVHGHITCMLPFAAGLLGAGLHVTFLHSDHNLRRAGGADAQLATAAASSSPRLRYASIPDGLPVDHPRDVARIVELLESLRTKASVAYRSLLAKLVRRDGDGGGFLPVTCVVADGIMPFAVDIAEELGVPALSFRTDSACAVLAYLSQPTLLELGELPFKDAGDDLDEPVHGVPGMESFLRRRDLPSQCRDCVDPLLQIAYGFTAHSGSARALVLNTAASMEREALAHIAPRMRDVFAIGPLHAMFPAPLGSSSLWREDDGCLAWLDGQADRSVVYVSLGSLTVITLDQFKEFLSGLVAAGYPFLWVLRPGMVGASRNAAVQEAIVEAGNDKAHVVEWAPQRQVLRHRAVGCFLTHAGWNSTVEAAVEGVPMVCWPFFTDQQVNSRFVGAVWKTGLDMKDVCDATVVERMVKEAMESDEFRASAQALAQQVRQDVADGGSSTAEFKRLVGFIEELSTAAGRTPVATIKKDF >LPERR07G12200.1 pep chromosome:Lperr_V1.4:7:12911386:12912834:1 gene:LPERR07G12200 transcript:LPERR07G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVHVLVFPAPAQGHINGMMHFATGLAGAGLHVTFLHTDHSLRRLGGCASAVASPRLRFVSVPDGLPDDHARTVGDIVELLESLRTKGSVAYRSLLTSLVRARDGDDGFPPVTCVVADGTMPFATDVAEEMGIPSLVFRTASACSALAYMSIPRLIELDEMPFPVNGDLDEPVRGVPGMESFLRRRDLPSHLRGCTESSNDPILQVLIEITANSRRARAVVINTAVSLEASALAHIATHVRDVFAIGPLHAMSPAPAEATSLWRADDGTVAWLDGHADRSVVYVSLGSLTIISQEQFAEFLSGLVAAGYAFLWVLRPDMVGASQSAALREAVTAVGNSKARVVEWAPQRDVLRHRAVGCFLTHTGWNSTVEAAVEGVPMVCWPFFSDQQINSRFVGAVWRTGLDMKDVCDGAVVERMVREVMESDAIRASAQALARKVRQDVTHGGSSAVEFERLVRFIKELSMTDKPDKHNSSYSMAE >LPERR07G12210.1 pep chromosome:Lperr_V1.4:7:12913256:12913711:-1 gene:LPERR07G12210 transcript:LPERR07G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVLVFPCPLHGHINPMLHFATGLLEAGLHVTFLHTDHNLPTAAGSDDDSPRLRYVSIPDGHHRIAGGAGFRRLMESIQTQAGAYRTLLASLVRARDGAGGFPPVTCVVADGAMPFASDVAEELGVPGLNFRTSSACSFLYPNRVYMFH >LPERR07G12220.1 pep chromosome:Lperr_V1.4:7:12921679:12925367:-1 gene:LPERR07G12220 transcript:LPERR07G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGEKGEPWTEVLSWKPRVFLYHNFLKLNMMLLTPLYVMSLDFRRDPCRGEPEKDNGVLEI >LPERR07G12230.1 pep chromosome:Lperr_V1.4:7:12928155:12934719:-1 gene:LPERR07G12230 transcript:LPERR07G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHVLHVAGKDAPRYGMFVPTVFRIHRVGDNDGAWREVPLLEQSDLLDDSHADAPQQTRRTISLTMHGARSVTWRGNLHWMVQRGDSTGRLQVLVFQTAYERFQLMEAPPVGHGEEDLARSRVVALSDGKLYAVVVSPATRTMGMWVLDDADYSDAPTWRLTETIGLVMPDKCDLSKTFTLETQVAAVQLDVEGEELILHNDGRIDAYSLRRRAWTKVSISRKDFWSMDVALLAHRESVVPNNVSFGKAWQQLKLTVEAVELQVRAIAAPIETLMSPAPAKRAAHVVVYPWPVQGHINCMLHFANNLLGAGIHVTFLHSDHTLPAAAAAASPRLRYVSIPDGLPDGHPRNAGSAMRLIESVQTQAGAYSSLLASLVRGGAGGSPPVTCVVADGLMPFAVDIAEELGIPALSFRTASACSFLAYLSVPRLFELGELPFKEGDDLDMPVRGVPGMESFLRRRDLPSLCRTCTDLHNNPNMKRLVDFTSRSRNARALVLNTAASMEASAVAHIAPHMRDVFAIGPLHAMSPAPTATTSVWREDDGCLAWLDGQPDRSVVYVSLGSLAVISLEQFTELLSGLVAAGYPFLWVLRSDMVADSQSAALRETITAAGDKKARVVEWAPQRDVLRHRALGCFLTHAGWNSTVEAAVEGVPMVCWPSFVDQQINSRFVGAVWRTGLDMKDVCDAAVVAKMVREAMESTEIRASAQALSQKVRQDVSDGGSSAAEFKRLIAFIEELSTVGRLACARGHRG >LPERR07G12240.1 pep chromosome:Lperr_V1.4:7:12934846:12936591:-1 gene:LPERR07G12240 transcript:LPERR07G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLESTRFLIEILARLPPRTIAHCLAVCKAWRSAVSAPTFHRTRADRTAAVVAKVTARSVYCRDYDIHRLNEATDLPEWILSKYCTNCDVVLLDSFRGRWYRGNVHRTPPSPLGLAFPTGRSIRYRLVLGSWDGVLCVERGAPPIWGLLWKSYGN >LPERR07G12250.1 pep chromosome:Lperr_V1.4:7:12936727:12938139:-1 gene:LPERR07G12250 transcript:LPERR07G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVHVLVFPTPVQGHINCMMHFAAGLVDAGLHVTFLHSDHNLRRLGGAATAGSPRLRFLSVPDDHARGVGDLLELLRSFQTKGSVAYRSLLTSLVRAGDNSNDGFPPVTCVVADGILSFAIDAAEEIGVPAIAFRTGSACSILSYFSLPRMFQLGDLPFPAGGGGGDLDEPVRGVPGMESFLRRRDLPSQFRSCTENQIDPMLQYLIDVTAHSSSVRALVLNTAASMEASAVAHIAKRMRDVFAIGPLQDMFPAPAGAASLWRADDACMAWLDGKTDRSVVYVSLGSLTVISREQFTEFLSGLIATGYPFLWVLRPNMVEASKNASVQEAIEEARNCNGRVVEWAPQRDVLRHRAVGCFLTHAGWNSTVEATIEGVPMMCWPFFADQQMNSRFVGAVWKTGLDMKDVCDRAVVAKMVREAMESAEIRESARTLARMVRQDVADGGSSAAEFKRLVGFIKELSTTNK >LPERR07G12260.1 pep chromosome:Lperr_V1.4:7:12962741:12964237:-1 gene:LPERR07G12260 transcript:LPERR07G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKAVASDAAAPHVLVFPVPAQGHINCMMHFATGLVAAGLHVTFLHSDHNLRRLGDARAAPAADTPRLRFLSVPDGLPDDHARAIGGGIMGLLESLRTKGSVAYRSLLASLVRGAGDNSNDGFPPVSCVFADGLMPFAVDIAEEIGVPALVFRTASACSVLANMSIPRLFELGELPFRSGDDLDEPVRGVPGMEGFLRRRDLPSYFRTCTENHTDPMVKMLMDVTAHSCRARAVVLNTAASLEGSAVAHIATHVRDVFAVGPLHAMFPAPASNASLWRADDGCMAWLDGQPDRSVVYVSLGSLVTISKEQFTEFLSGLVSAGYPFLWVLRPDMVGASESAALREAVTSAGESKARVVGWAPQLDVLRHRAVGCFLTHAGWNSTVEAAAEGVPMVCWPFFADQQINSRFVGAVWRTGLDMKDVCDRAVVARMIREAMESPKIRASAKALARQVRCDVADGGTSAVEFERLVEFIKNLSTSGGSKRAFLQNGGFQSKLY >LPERR07G12280.1 pep chromosome:Lperr_V1.4:7:12972085:12974858:-1 gene:LPERR07G12280 transcript:LPERR07G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAMTPNLFDEMSYPFEAYEDDVILVMKEEKVTRDEVLRLLCDEWLDVNHRQEEKLDRIMENLNEIEAIMSKATEEISACITDTPLAATTNLQVSSSPPSEGSSSQTLPRCSTKCSNSNTTCTMENSSHISEERVLELGNGEDKDYTQYVDTPDNINLMPTKCSMICSNPDGDLNHTVAAVDTGVTTSMAPMEMMMGDDTSSSTYVVTLTIPRWRTPSVRRTASPSSVALIMLHMWKWKLLSRKLEPCCPFGPSDWKNGRAIQIDKQQQQRNLSNKIGMEPKPRRDGGGGRVAG >LPERR07G12290.1 pep chromosome:Lperr_V1.4:7:12978135:12980162:1 gene:LPERR07G12290 transcript:LPERR07G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTMQLAVGLAGVASSSPRLCTTATTSSVRKQSCSCSVRLQQQQQQRRRRRGPLRVRAVETDTAAGEAAAADVPEEPPSVDFAFVAPRLLPDGTPDVHYRTASGGQKLRDIMLDAYIDLYGPYDKVLLNCEGSGVCGTCIVEVVQGSELLSPKTDEEKELLKKKHTSDEIHVQKPKTWRLACQATVGNPDSTGQMVIQQLPEWKIHEWEK >LPERR07G12300.1 pep chromosome:Lperr_V1.4:7:12986395:12986979:1 gene:LPERR07G12300 transcript:LPERR07G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAEEFPIPFLPTEGEILHCLLRPKIAGAYIDSRFTHLVHEADVFSLPPDQLAAMHAHAPGARGDKAWYFFTTRIGSGGRGRRVGGDGTKRWCSVGSKKELAGGGGYCRRFRYREKTANGVVAPGWMMLEYGVVSQEHAVGDGVADLVLCRFFRSPRAARSDSKSPSSSASASASVGRKRKAEALLCSDG >LPERR07G12310.1 pep chromosome:Lperr_V1.4:7:13012852:13013125:-1 gene:LPERR07G12310 transcript:LPERR07G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRDFFHDFIYLYLIYMDWKDQKSQDDFIRAVQEQMMGLSTHKLLIPRGNSTTMEMLFIVSVL >LPERR07G12320.1 pep chromosome:Lperr_V1.4:7:13060647:13072891:1 gene:LPERR07G12320 transcript:LPERR07G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRMSGEATGKSGRRCPLQRCGMAALAPLNIGETAARVQAGQETIRGCGEHCQSAASHLIQNIISDDTATASAVRSSGRILMATPTLSLTTEISYVSVYYGVSTAAVTVGQEYLDEPTSPTLTMPKGKPDTTNANRFDIDGPQHMMPLTEIDWSSEEHRRCIAACIANGTSVLANKDYNHIAPPWWENFHFKLYKELKGDDEYAFGALYEFVGETAPSHPLTPAYVMAFRGTMLAHKKPLYDLSHIGKVITNDLRNCKHFDQACKEIGDLVKKSSAATVWLVGHSLGASFALEVGRHVMIENEFNLPTFLFNPPQVSMEPVIKCLNLPKKTKNDLYSFIYNVKYKLGKTKAMKAYTRNMEELFEKLSPWKPLLYVHEEDIICQGFIEYFEQRELLSERIPNVAQSAMVLSFRDMISSLFGEKKEQPHLLPSAILWKVTKESHNEDAHALKQWWMPNKALGWSSSETGKLYGWRCAPIDG >LPERR07G12320.2 pep chromosome:Lperr_V1.4:7:13060647:13072891:1 gene:LPERR07G12320 transcript:LPERR07G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRMSTEEYLDEPTSPTLTMPKGKPDTTNANRFDIDGPQHMMPLTEIDWSSEEHRRCIAACIANGTSVLANKDYNHIAPPWWENFHFKLYKELKGDDEYAFGALYEFVGETAPSHPLTPAYVMAFRGTMLAHKKPLYDLSHIGKVITNDLRNCKHFDQACKEIGDLVKKSSAATVWLVGHSLGASFALEVGRHVMIENEFNLPTFLFNPPQVSMEPVIKCLNLPKKTKNDLYSFIYNVKYKLGKTKAMKAYTRNMEELFEKLSPWKPLLYVHEEDIICQGFIEYFEQRELLSERIPNVAQSAMVLSFRDMISSLFGEKKEQPHLLPSAILWKVTKESHNEDAHALKQWWMPNKALGWSSSETGKLYGWRCAPIDG >LPERR07G12320.3 pep chromosome:Lperr_V1.4:7:13060647:13074936:1 gene:LPERR07G12320 transcript:LPERR07G12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRMSTEEYLDEPTSPTLTMPKGKPDTTNANRFDIDGPQHMMPLTEIDWSSEEHRRCIAACIANGTSVLANKDYNHIAPPWWENFHFKLYKELKGDDEYAFGALYEFVGETAPSHPLTPAYVMAFRGTMLAHKKPLYDLSHIGKVITNDLRNCKHFDQACKEIGDLVKKSSAATVWLVGHSLGASFALEVGRHVMIENEFNLPTFLFNPPQVSMEPVIKCLNLPKKTKNDLYSFIYNVKYKLGKTKAMKAYTRNMEELFEKLSPWKPLLYVHEEDIICQGFIEYFEQRELLSERIPNVAQSAMVLSFRDMISSLFGEKKEQPHLLPSAILWKVTKESHNEDAHALKQWWMPNKALGWSSSETGKLYGWRCAPIDG >LPERR07G12320.4 pep chromosome:Lperr_V1.4:7:13060647:13072338:1 gene:LPERR07G12320 transcript:LPERR07G12320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRMSTEEYLDEPTSPTLTMPKGKPDTTNANRFDIDGPQHMMPLTEIDWSSEEHRRCIAACIANGTSVLANKDYNHIAPPWWENFHFKLYKELKGDDEYAFGALYEFVGETAPSHPLTPAYVMAFRGTMLAHKKPLYDLSHIGKVITNDLRNCKHFDQACKEIGDLVKKSSAATVWLVGHSLGASFALEVGRHVMIENEFNLPTFLFNPPQVSMEPVIKCLNLPKKTKNDLYSFIYNVKYKLGKTKAMKAYTRNMEELFEKLSPWKPLLYVHEEDIICQGFIEYFEQRELLSERIPNVAQSAMVLSFRDMISSLFGEKKEQPHLLPSAILWKVTKESHNEDAHALKQWWMPNKALGWSSSETGKLYGWRCAPIDG >LPERR07G12330.1 pep chromosome:Lperr_V1.4:7:13083605:13086545:-1 gene:LPERR07G12330 transcript:LPERR07G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGSQECKREGKLGMGGVHPSSAYQMFDEMSSPHELFEEDFLLVMKEGKVSRDKADFNVSIMELTPTTEAAPSSPPLTTPSPMPTKCSMACLNIGITCTTSSSSLLIKMHVPSTTMGPVVSEDKHRSSCINTTDLPKVMPAKCSTLSFDIITGTDQAEVVFQIMMSASKVVPTSVQSVDNFSSRIIIDIKHDTSMHIRGDASSSQESEDDGHTT >LPERR07G12340.1 pep chromosome:Lperr_V1.4:7:13103975:13104804:1 gene:LPERR07G12340 transcript:LPERR07G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLASC >LPERR07G12350.1 pep chromosome:Lperr_V1.4:7:13105405:13114792:-1 gene:LPERR07G12350 transcript:LPERR07G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDALIGSEIHGFLTYADLNYEKLVAEAAVRWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHSWKKKKDGRTVQEAHEKLKENAMAAPNSEPEAADLPTVNLVHTSPLTSADSTSAHTEQSYSTAVPEEINSHGGISASSETSNHDSSLEEFWANLLESSIKNDPKSGSFSSSQQINNGPKNSENIIFNTSMSSNAIPALYMASETYPTNHGLNQVTADHFKALKNQGDQTHSLFTSNVDFQSDQFISSLVKSSMDGKVSDPNDVPARQNSLGLWKYLDDDNPGLEDNPGSVTQTNKTLFKITEISPECAYSTETTKVVVVGNFDEQYKHLTGSAMYVVFGDQCMAADIVQTGVYRFMVGPHTPGQVDFYLTIDGKTPISEIRSFTYHVMHANSLGGRFTPSEDEYKKSNLQMQMRLARLLFATNKKKIAPKLLVEGSKVSNLISASPEKEWTNLWNILSNSEGSEGVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGFSLDFRDSSGWTALHWAAYHGRERMVAALLSAGANPSLVTDPTPEFPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTTQSPSKMKLTKLQSEKFENLSEQELCLKESLAAYRNAADAACNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMRIQHAFRNYNRKKTMRAAARIQSHFRTWKMRRNFINMRRQAIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAELASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKLEFSEGQLGAA >LPERR07G12350.2 pep chromosome:Lperr_V1.4:7:13105405:13114792:-1 gene:LPERR07G12350 transcript:LPERR07G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDALIGSEIHGFLTYADLNYEKLVAEAAVRWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHSWKKKKDGRTVQEAHEKLKENAMAAPNSEPEAADLPTVNLVHTSPLTSADSTSAHTEQSYSTAVPEEINSHGGISASSETSNHDSSLEEFWANLLESSIKNDPKSDTSICGGSFSSSQQINNGPKNSENIIFNTSMSSNAIPALYMASETYPTNHGLNQVTADHFKALKNQGDQTHSLFTSNVDFQSDQFISSLVKSSMDGKVSDPNDVPARQNSLGLWKYLDDDNPGLEDNPGSVTQTNKTLFKITEISPECAYSTETTKVVVVGNFDEQYKHLTGSAMYVVFGDQCMAADIVQTGVYRFMVGPHTPGQVDFYLTIDGKTPISEIRSFTYHVMHANSLGGRFTPSEDEYKKSNLQMQMRLARLLFATNKKKIAPKLLVEGSKVSNLISASPEKEWTNLWNILSNSEGSEGVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGFSLDFRDSSGWTALHWAAYHGRERMVAALLSAGANPSLVTDPTPEFPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTTQSPSKMKLTKLQSEKFENLSEQELCLKESLAAYRNAADAACNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMRIQHAFRNYNRKKTMRAAARIQSHFRTWKMRRNFINMRRQAIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAELASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKLEFSEGQLGAA >LPERR07G12360.1 pep chromosome:Lperr_V1.4:7:13117581:13123100:-1 gene:LPERR07G12360 transcript:LPERR07G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAAARISAATESRLLSRAFSKAGAPAPQPPCRAFSKASAAAAASRGPTAAAAAATSSAAGARPEVRLNSMFLSKPCSLALPPDSPLRVEDPKFTGIKHFLLTLLLFYSKQSKSIRGANVIFHRITSQVDKSDIYDVFQLEKTFKTTFALLVLHMWLVLRRLKEEGKDGVKFGQYIYEVYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNVYAEEGIGTVHTEGGNLPITDRSYVLWQLQIYDPAAEFSQSSEACAMNHDMVDIPYGDW >LPERR07G12360.2 pep chromosome:Lperr_V1.4:7:13117621:13123100:-1 gene:LPERR07G12360 transcript:LPERR07G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAAARISAATESRLLSRAFSKAGAPAPQPPCRAFSKASAAAAASRGPTAAAAAATSSAAGARPEVRLNSMFLSKPCSLALPPDSPLRVEDPKFTGIKHFLLTLLLFYSKQSKSIRGANVIFHRITSQVDKSDIYDVFQLEKTFKTTFALLVLHMWLVLRRLKEEGKDGVKFGQYIYEVYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDAAISPEARQDDLALARYTRREATCLSLTDKEAMFSGNFKFTTLLPNSPSPAKPVR >LPERR07G12370.1 pep chromosome:Lperr_V1.4:7:13123340:13129559:1 gene:LPERR07G12370 transcript:LPERR07G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVHHVGSWTGYGPSERLNPIGFGSGWLIPFVSGSSRRRAEAKRRGAAPREEEMELVSSSRSVLRHRGGVSAASSMAASARYRSKGARRFVCALGCDGVADGAVRRRAALALLLASPAMSVAFSAQGKTKSMNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLMYEDIKVGTGNSPKDGQQVIFHYVGYNESGRRIDSTYIQGSPAKIRLGNKTLVLKKGYEI >LPERR07G12380.1 pep chromosome:Lperr_V1.4:7:13132453:13139359:1 gene:LPERR07G12380 transcript:LPERR07G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTTGRGGGGRGGGEDGDGGGGRAVPPFAGNNVDHNPRELSRRRIDLRGELEIPDASPAEAAPAPARGGRGDARRVNGAGGAAVTNGNGVEAEARRKQAEEAEAAAKRKKAAEEEEARRKKEEEERDAELAAYYQEQWANEENGGGGGGGGEPVASETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPSMGGSDEDTATVISTILLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYSGLMSLLLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLLLLCTLYLRKVSLLGNRIFLIYAVPLSVAIVWAYAFFLTAGGAYNFKGCNSNIPSSNILMDSCKRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMIIVSLVASVDSLSSYHATSLLVNLSPPTRGVVSRGIGFEGITTLIAGVWGKIGALLASIPVSLAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASNGPVRSGSNGLNFAVNALLSINVVVALLVALILDNTVPGSKQERGVYIWSDPKSLEMDPASLEPYRLPQKISCWFRWAKCVGI >LPERR07G12390.1 pep chromosome:Lperr_V1.4:7:13137973:13142578:-1 gene:LPERR07G12390 transcript:LPERR07G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVVENRGAFGSKRSRNDVSVREGDWSCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPARVPPPAAGGYDRPHLFYGSAGAPPSIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYGQPGPMGSPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVETNDSTASRKRRGGPDGLSEGDWKCPKCDNVNFSFRNNCNMKKCGAPRPNPGSNAAPPRKDNKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPASAN >LPERR07G12390.2 pep chromosome:Lperr_V1.4:7:13137973:13142578:-1 gene:LPERR07G12390 transcript:LPERR07G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVVENRGAFGSKRSRNDVSVREGDWSCPQCGNVNFSFRNVCNRGACGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVETNDSTASRKRRGGPDGLSEGDWKCPKCDNVNFSFRNNCNMKKCGAPRPNPGSNAAPPRKDNKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPASAN >LPERR07G12390.3 pep chromosome:Lperr_V1.4:7:13137973:13142578:-1 gene:LPERR07G12390 transcript:LPERR07G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVVENRGAFGSKRSRNDGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVETNDSTASRKRRGGPDGLSEGDWKCPKCDNVNFSFRNNCNMKKCGAPRPNPGSNAAPPRKDNKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPASAN >LPERR07G12400.1 pep chromosome:Lperr_V1.4:7:13145680:13148747:1 gene:LPERR07G12400 transcript:LPERR07G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWILRGCRDECSATDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >LPERR07G12410.1 pep chromosome:Lperr_V1.4:7:13149139:13153812:-1 gene:LPERR07G12410 transcript:LPERR07G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGTLPALLPDQVRKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFASGRDLTPVQLNNMIDTLSDWLGTSDNLLHQIQDKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKEATTNGVDSVKYLPAFPLVEVLTPTLRHFGTIVEETRHQLFRVLPLKRVFVIIVTLLEKILVLVRDVKEITLTVAFVCR >LPERR07G12420.1 pep chromosome:Lperr_V1.4:7:13165590:13171091:1 gene:LPERR07G12420 transcript:LPERR07G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMRRFCHVPRGRRRVAAAPYDGHHHPPPEWIEPYTDLSDPTPYASAPTPSPWLPRVISLVLRSPPSTLAADLRAFCSTFLLRLSPAFVAAALRSPHLLPHPLPSLRFFRCSLNAAAADHPQHLVSCYVSLLRSFAQLSSSSRVGEGAIYVPDDAAVAHARRLVAELRAHGDGVLMRHLAPSAAASLVRSLAALGLAEELMWAWKAMRDAGVKPSRLIYNCLLDGLVNAGLIDTAVNVFDAMSTEDRVRPDVVSYNILIKGYCRAGRLQDAMARLGEMRERAKLAPDKVTYLTLMQYHYSEGCVGLFQEMEERGLGNDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRDCAANVAIYTALIDSMGKFGREKEAMVLFERMKDSGLKLDSVTYGVVVNCLCRFGKLDEAIACFRNCEEKGIAVNAIFYTSLIDGFGKAGMVDRAKELFEEMIAKGFVPDSYCYNVLIDGLAKSGKLDDACALYKRMEGDGCDQTVYTYTILIDGLFKEHRNEEALKFWDAMIEKGITPTAAAFRTLANGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRFLKIVEGEMESNLVEGEMGALERPSRWP >LPERR07G12430.1 pep chromosome:Lperr_V1.4:7:13176403:13184610:1 gene:LPERR07G12430 transcript:LPERR07G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAVDGSPGSSPESAAARSGELAAASDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIIAGTKRHFFGSFLSRDEAYRIIVEGWEQHVSDARLILERQDAKSGNSSDENGYVLLEGAKETKQEDDSSPSDRSINGTAVTSGNDSADSDINISKRSSEGPENESEDKCASATVLDPFILGPFDDDAPNVSEPFTLITESKFQVPVEVLFKLLLSDSAFGFLDDYHKKCGDKGAKFGTCQEVQKLRVYKNRHLMMQTSQQIGDAPYADHFTVEGIWDVESLDESSCYLRVYTNVAFSKKTIFRGKIDQSTKDECRDVFGLWIKLGHDLLKQDTSCHSRGPSISINADDPSGTTLNTENPLENTDPGSTSTPDEPVVRSIVPPIHHQQQSIGRGLITSTSQEIWCSLLSYIRSNQLGPVLALTLVAIIVTIIVLLFRSPKVYMVNHETSPSGFSYSKESIEWVQKRLNLLGEEMRMAESHMEMMRQEFVWLKSHLERLQRLRSSSS >LPERR07G12440.1 pep chromosome:Lperr_V1.4:7:13185056:13187087:-1 gene:LPERR07G12440 transcript:LPERR07G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSSSSHAFTSYRSHPRRRRNVAAVPSPRAAARRTETEEIVIVGAGVAGLATAASLRRLGVSATVLEQSPSLRAGGTSLTLFRNGWRVLDAIGVADDLRANHLRIQGMKMRSSSPARGVLREFSFEEEAPGQEVRAVERRALLEALASTLPPDAVSFSSKVRRVVAAASGGGGATELELEDGRRIAARVVVGCDGVRSPIARWMGFSEPRYVGHMAFRGLARYDGGGGGGGGGGQPFEAKVNYIYGRGIRAGFVPVSTTRVYWFICFNRATPGPKITDPAALKREALDLVRGWPDDLLGVMRDTPDDAVVRTPLVDRWLWPGLSPPASSRCGGVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAASRRDGDYGEAMRAYERERWGRVFPLTARAGVVGKLVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGVLEPVAP >LPERR07G12450.1 pep chromosome:Lperr_V1.4:7:13187182:13189895:-1 gene:LPERR07G12450 transcript:LPERR07G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFIMIKPDGVQRGLVGDIISRFEKKGFYLRGMKFLNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGIAEWRSNLHPWIYEDKCGS >LPERR07G12460.1 pep chromosome:Lperr_V1.4:7:13191275:13202818:-1 gene:LPERR07G12460 transcript:LPERR07G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKENASPLPLHRGDPSSLLPLKRPPPPPQSPCPPPRIPLADVTGNALRQRGSGEEGGCGYGYGYGHGYSTPASKAPRSSSCGFLLEDDDDECVDEAFLREVDAMCEEHERSSARKDKEVAEPSASVAGDFGLESGESGDALRKEENAICEEDDAQPVAISQEEMEEADEEEISELWFGDDSLPPAISIATEGGEFEDAFWKVNAIREEVHHTDSSAECQEDMDEKNGSVAPCDDPSVSSVICIEQGEGQIVDAFLEDVDAAHQGDAVKGQEESTKMELEIEENEGCIPKKYYEYLQSLNDKQREAACSDVTIPLMIVAGPGSGKEIPPSNILAMTFTTAAASEMRDRIGAVVGKSVAKEIIISTFHSFCLQLCRTHAEKLGRTSEFIIYGHGQQRRAVIEAERLLENENNNVLGDAIKHYNGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINASITLLTKFPEVYEECQNTWQAIVVDEFQDTSAMQYYFLKILASHNRITIIGDEDQSIFSFNGADVSGFDSFRRDFPNRKEVRLSKNYRSTRAIVEAATTLIHNNTKRQCHKLVETDNPSGNKITVKECHSEDSQCAFVIDKIIETTSSSAEGCDFGKIAVLYRRQITGKAFQASFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDDGPWHQAFKALLPGDKEEKKKIINHIEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVERKYLLEKRAIVDADGSKLLNEDNDIRSSKGLEWDVVFIVQLFFTLVLPFAQANDSEIPLLHEYNGTVKEAGSTLELLQPSRFLREIPIHLLEVQGESTVQKTPEQPSDIPFGQPEGDTSVEKPLAVQNETSPFPEMDQPLANDFLKRFEIDDRAVVSHIFHQWAKKQAFQNPKRLLDKIGFVIDERIRGKGYKRKDVLCKLKSFLSGDEAFGYAQYEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >LPERR07G12470.1 pep chromosome:Lperr_V1.4:7:13205849:13206409:1 gene:LPERR07G12470 transcript:LPERR07G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAKEFPIPFLPTDGEILHCLLRPKIAGAYIDSRFTHLVHDADVFSLPPDQLAAMHAHAPGARGDKAWYFFSTRVGSGGRGRRVGGDGSKRWCPVGSKKELAGGGGYCQRFRYREKTATGVVAPGWMMVEYGVAQEHAVGDLVLCKIFRFPRSAHSDSKSPASASASIGRKRKAETLLCSDG >LPERR07G12480.1 pep chromosome:Lperr_V1.4:7:13207025:13209827:-1 gene:LPERR07G12480 transcript:LPERR07G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPRNAASQIRVVVAQSVNRTQISQVAANFPTAKLVPLCSSHDLTHRFLPHHPGRPSSWQCNAAGSISYGPRRRPPGKFLTGELVPAGATAATAAAERSVIRVRLTTSAALPNAGLREPGPAQPRHRIVISDHL >LPERR07G12490.1 pep chromosome:Lperr_V1.4:7:13212471:13214149:-1 gene:LPERR07G12490 transcript:LPERR07G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATAADVHSDSRWALLERSITVCPLSHPGAAAAATVSTTTSTGRAVRVSLHLATPPASSSCVHFYTDDPGANNKSLVRKPIPRLMAADEDLLLIHMTITPEGDLLDPNGMPIYYYRPDNFFVLNDWAGNPRATGIMRSGKGEEYVVASLMPDAVLDGQEERLVFTLWIYRSTTREWRSRQLDSPDGPHGAGECGWDNDAVFPFQGYMCWVNYHRGILFCKLVLDDDDDAELRLGFVPFPGIEMSTRDLFPQPDMYRTVSAVGGLLKFVDVDNGDMRSSTGGDCTIITTWTLRSLGLGFDRWELDDKIRLEDDLWSLPNYQESPLPRIVPRFPVFSMNSPNAVHFILNRPGYSDKCWMITVDMKNKSLASYSLYNKASAQDCDQDGSNLST >LPERR07G12510.1 pep chromosome:Lperr_V1.4:7:13240038:13244609:1 gene:LPERR07G12510 transcript:LPERR07G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTEFVPNGNLSELLHNNNGMYPVSLETRLQIALDVAEALVYMHSSQNHPILHGDIKPSNILLGNKCVAKLCDFGISRLLCMDSDEYTGFVIGSKGYVDPVFCQTGRLSPKCDVYSFGVVLLELITKKKGIDDMKVCLAEVFTRASRKGDGHELFDMDIVTNENMEFLEGVGSLALECIKFEVEARPEMKEVLDCLWNLKRSRDSKIHEMQVVRREIEVLWIRCGFGRFIIRKERVDDMTHNLRTVTKECTLGKAYIGKFYNTPLMAIKMSTAATEKWKNILWNELNIQSRINHWNVTKLIGCCLDHPDGPVLLYEYGLMSLYDVLFRDASEKSLFRCNLRLKIAIGAAVGLAHLHSLGMVHGNVSIDNILMDDLSVLEISNINWVFPVKIAGYGTPGLIDMGNQYSGFFAGDWLETADGEKKDDVYCFGLVLLTLFTWKKVSSQEADTVFEQLWDIEPPHDVNSEPEIPGPQHKEAIRRCHHLEEVKSLASRCLASEVTKRPSMVEVTKCLQNTCELHDSNACHELAIYQSRNAFGLG >LPERR07G12520.1 pep chromosome:Lperr_V1.4:7:13246576:13251495:1 gene:LPERR07G12520 transcript:LPERR07G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLEQLWRIKRPNILKRERRFAELRDRRIMTLMEIEEVFRGSVFETFVTKANKDPIIGDSKQESTSEVFSEKSVVPMDCAIGKVYMGHLKNIPLIMIKMSVEVDEDWKQTFFHEMNVQCRIKHWNVAKLFGCCLEHVDAPVLVYEYGEMALHDALFGNAWQRIQCPFSSKVRLEIAVGAAEGLAHLHSLDVVHGDVRTANILLDYFSGSELEVPKRISAFPAKIAGYGIPKLLSMDKAQYARFLTENVHYKDPHFLVTGLMAKEYDVYGFGVVLVELFTGNKIQMHDTNTVIIYFDSIFARCHHLGEIKELASGCLAPKVTERPAMAKVVQCLRAVLEDLRSDRESPCPCMSMY >LPERR07G12530.1 pep chromosome:Lperr_V1.4:7:13255982:13260963:-1 gene:LPERR07G12530 transcript:LPERR07G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPIPPNVVPIKADGVESESPANKPTKPKRFPMARPGLGKKGQPIQLLANHYKVSVKSTEEFFFHYNVVLKYEDDRLADGKGVGRKVIDKLQQTYQSELSNKDFAYDGEKSLFTIGALPQVTNEFTVVLEDGGSGKTAANGSPGGNDSPGGGDRKRVRRSYQTKTFKVELCFAAKIPMSAIAQAIRGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWQKAKRALKNLRVKTIPANSEFKIIGLSDRNCNEQMFSLRQRNDNNGDSDAVEITVYDYFVKNKGMDLRYSDNLPCINVGRPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALKRSNYDSDPMLRASGISIAQNFTQVEGRILQAPKLKAGNGDDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRSLIRDLIRNAAAKGIQMDEPFDVFEENPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNVLLKINAKLGGMNSLLQVEASPAIPLVSNRPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTLIVAQKNHHTKFFQAGSPDNVPPGTIVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSIPVPELPRLHEKVRSSMFFC >LPERR07G12530.2 pep chromosome:Lperr_V1.4:7:13256005:13260963:-1 gene:LPERR07G12530 transcript:LPERR07G12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPIPPNVVPIKADGVESESPANKPTKPKRFPMARPGLGKKGQPIQLLANHYKVSVKSTEEFFFHYNVVLKYEDDRLADGKGVGRKVIDKLQQTYQSELSNKDFAYDGEKSLFTIGALPQVTNEFTVVLEDGGSGKTAANGSPGGNDSPGGGDRKRVRRSYQTKTFKVELCFAAKIPMSAIAQAIRGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWQKAKRALKNLRVKTIPANSEFKIIGLSDRNCNEQMFSLRQRNDNNGDSDAVEITVYDYFVKNKGMDLRYSDNLPCINVGRPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALKRSNYDSDPMLRASGISIAQNFTQVEGRILQAPKLKAGNGDDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRSLIRDLIRNAAAKGIQMDEPFDVFEENPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNVLLKINAKLGGMNSLLQVEASPAIPLVSNRPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTLIVAQKNHHTKFFQAGSPDNVPPGTIVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELLLRFAMPILLLLRWEHSSSLRICQTHHPAKEAIHLWGAYRCLSFLASMRK >LPERR07G12540.1 pep chromosome:Lperr_V1.4:7:13273948:13280664:1 gene:LPERR07G12540 transcript:LPERR07G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFQSFRDTAKEVLAKADIDPNVKCFTRRQMTRITNNYSTVLGNGGFSVVYKGRLNDGRSVAAKQYNWRTQKKEFMKEVIIQSQFSDKNIVRASLIGNAKRVIYCPQADAPILVTEFVHNGNLSDLLHGNSDPLPVSLETHLRIATDVAEALNIPSSWANNGLSGYPVPIFSTLLGDKHLAKLCDFGISRLLCMDSDEYTGFVIGSRGYMDPVYRETGRLSPKLIFGVVLLELITRKKGLDDMKVCLAETFTRASRNNRHELFDNEIATNENIEFIQGVADLALDCLKSELEDRPQMKEVLEQLWGLKRKMLEQQTKIAELERASAVLTEPRVVALREIKAMLQEAGFKNFITKNKIDAIIGDSEQVSTSETFSGKRIVLTECAMGKVYLGHLKNISVVVIKMSAEVDEDWKQKFFKEMIRQFVPWDTNVARFFGCCLDHVDAPVLVYEYGVMNLHDSLFGNGWQHVRRFNCKARLEIAVGAAQGLVHLHSHNMVHGDVRTANVLFDKAPYDFYPFRVITRISGFGMAKLLDKARYARFLTENVCYKDPEFLKTGVMAKENNVYGFGIVLLELFTQKKIEMHDVNTLLEKEYGYDASYHVEEIKELASKCLAPKVTERPSMDEVAICLRVLSQDLPGDRNSPCPCMLRC >LPERR07G12550.1 pep chromosome:Lperr_V1.4:7:13287796:13289751:1 gene:LPERR07G12550 transcript:LPERR07G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWYEKLSQSFRESAKEVLARADIDPNVRCFTRKQMKRITNNYGTVLGKGGFSVVYNGKLDNGHPVAVKQYNWRTQKKEFTKEVIIQSQCSHKNIVRLLGCCVDTDTPMLVTEFVPNGNLSDLLHGNNDQLPVSLKTRFQIALDVADALVYMHYSQNNPILHGDIKSSNILLGGKHVAKLCDFGISRLLCMDNDEYTGFVIGSRGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDQNRSLVGMFACSSRDKRHELFDNEIATDDNMDFIQGIVNLALDCLKSEIEDRPQMKEVLEQLCSLKRRSEILRQERAIAGLRQSWMREIEAKLQRSGFKNFLTKTRIDAIKADPNQLSTSKTFSGRSTAECAMAKVYTGHFQEDNPLVVIKISDEVDEGSKRRLIHQMIMESRFVHRNVAKLVGCCLDHVDAPVLVYEYGVMNLHDALFGGKLFDPSYFQCELRLEIALGAALGLLHLHRSDVIHGDVRTANILLDVDYRTHKFTAKIDGYGITKFLTKAQYARFLTENICYKDPELLKTGVMTKENDVYGFGLVLLELFTQEKIETTNDLNTLLEIKRGFDAVATYHVEEIKKLASDCLVPEATERPSMATVGDRIHTLLRDVIRVHCLLCNPALRVLFSHAYPSFQ >LPERR07G12560.1 pep chromosome:Lperr_V1.4:7:13292109:13295548:-1 gene:LPERR07G12560 transcript:LPERR07G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRTPPSPAAAAPPPLPAPLTPQQTTPASEAAARWSRPCSSPFSSCSSRPPSPTGFGERSNSERGSLSGRIAFWRIHWIISRTSMFTSEISEKRNTIKCCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYAFVMRPESLPKSYQDFIQKTGPVVEPVYKAVRDSCRGVNVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVTSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQGAICLHRKVATKDHKLVYWFAGLLSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNPNASYSYLQKLNALEVEQTRTQPGPENGQVSSEKYNLEAIPGL >LPERR07G12570.1 pep chromosome:Lperr_V1.4:7:13297538:13303701:1 gene:LPERR07G12570 transcript:LPERR07G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLLLVALVSLLPLASSVAAGGADGEGFCSASSECSGGPPLYWKVTNPTLAPTHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWVNTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSIVLSVESGNTHSLLVDSYAYLPANMKHSVVSDDLTTLVIFERRYSNIEDRHPDLIVGSTDKQPLLDTPGEVFQLRKLLPTSLPYDFNIHIMDFQPGEYLNVKRDKEYTDWETAGTQCKQVTPFGWHLLCLNELAYDLALQKSVLANPMKS >LPERR07G12570.2 pep chromosome:Lperr_V1.4:7:13297538:13303797:1 gene:LPERR07G12570 transcript:LPERR07G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLLLVALVSLLPLASSVAAGGADGEGFCSASSECSGGPPLYWKVTNPTLAPTHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWVNTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSIVLSVESGNTHSLLVDSYAYLPANMKHSVVSDDLTTLVIFERRYSNIEDRHPDLIVGSTDKQPLLDTPGEVFQLRKLLPTSLPYDFNIHIMDFQPGEYLNVKRDKEYTDWETAGTQCKQVTPFGWHLLCLNGMLPLEKTRQGICCIKMSIGIP >LPERR07G12580.1 pep chromosome:Lperr_V1.4:7:13305701:13310264:1 gene:LPERR07G12580 transcript:LPERR07G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGGGGGGAGLERKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGGRDHQRLVVPSSASSMPKGLCSEGESESDSEASNVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >LPERR07G12580.2 pep chromosome:Lperr_V1.4:7:13305701:13310321:1 gene:LPERR07G12580 transcript:LPERR07G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGGGGGGAGLERKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGGRDHQRLVVPSSASSMPKGLCSEGESESDSEASNVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >LPERR07G12590.1 pep chromosome:Lperr_V1.4:7:13314708:13317795:1 gene:LPERR07G12590 transcript:LPERR07G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFYLRERNKNKKQSRSSPTLTADRNDSRDEMSMKTNKSCSSVVAASPRSIMELYEERAHELHSFRFAELKSATSNFSRELKIGEGGHLGFKNDSGNVVVAIKKLNSNGMQGHKQWLAEVQFLAVVDHPNLVKLLGYCATDNGEQGPQRLLVYEFMPNKTLEDHLFNKAYPPLPWKTRLSIALGVANGLHYLHEGLEIQVIYRDFKSSNVLLDEEFRPKLLDFGLAREGPVDGQTHVSTAVMGTYGYAAPDYVETGRLTARSDVWSFGVVLLELLTGRRAFDRSFPRGDQRLVDWARRHPPGTRWFPRAVDPRLEGMYPYRAAEGVAALAARCLAERGADRPSMAEVARALEQAVEVMDGPPPPQLPPDEGSPPRDHCHAGAAAQSAAATRRRMAHLAKLAAARRRRGGLRR >LPERR07G12600.1 pep chromosome:Lperr_V1.4:7:13319472:13321905:-1 gene:LPERR07G12600 transcript:LPERR07G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLVSTRYAAAAAGGRSRALSTAAVPAEPSDDSAFVEAWKKVAPNIEPPTTPMSIMQPRPPTPAAIPSKLTVNFVLPYKSEIANKEVDMVIIPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDTTKYFVSSGFAFVHANSIADIVAVEAVPLDHIDPALVQQGLAEFNAKLGSASTDLEKAEAQIGVDVHSALNAALTG >LPERR07G12600.2 pep chromosome:Lperr_V1.4:7:13319470:13321905:-1 gene:LPERR07G12600 transcript:LPERR07G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLVSTRYAAAAAGGRSRALSTAAVPAEPSDDSAFVEAWKKVAPNIEPPTTPMSIMQPRPPTPAAIPSKLTVNFVLPYKSEIANKEVDMVIIPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDTTKYFVSSGFAFVHANSIADIVAVEAVPLDHIDPALVQQGLAEFNAKLGSASTDLEKAEAQIGVDVHSALNAALTG >LPERR07G12610.1 pep chromosome:Lperr_V1.4:7:13325436:13328383:-1 gene:LPERR07G12610 transcript:LPERR07G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRAAAAGRSAAAAVPAEAEADDSVFVEAWRKVPPTIDAPAARPIFPTPTTTLPFSTKLVVDELVRPYSSEISNKQADCYGSWRRHCVLAVQRLPMGTTAAAKALSWEAPSRDTLLRTIDAALNDGNIDDALQAFANYKTLHGLPEPRVLDRMIMSLSYTSSRRWLQRAFDLVLLVYRCNGNLLNCGSLMRLALGLARDQMPVPASTVLRIILESGKLPDVDMLAIVFLHMVKSEVGCYLAADILCETCECFLDQIHDRRELKKLDPIRANVTLFNMVLRSCVDFKCLIKAQRTMELMSLAGVVGDINTVAIASSVFEMVGQRDELMNMKRSIDSFASLSLIQQYQHFYESLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFLGDSIHKQGIIQIGSGNLKTGYRIMFDPMKVDKGFVLDIESQFGLLAVVDGNTLPSEKALAKLIVGCLKASKVRTLSTFLISIHKEDLKGLYLTDVISACIQLGWLHAAHDILDDLESAQIHVPIGTYMSLLRAYEKENKPEEVNRFLQQIQNKAYTMADFHINPSFTIKGVARIVKDEMPLRNSSFLSSLVEEREHYNSGEHLTFEFNNSILFFCKANMMDDALSTYKRMRQQNIRPTLHTFCHILCGYSSLGMHREITMLWGEIKCRFQYGELVMDRDLLDCLILNFLKAGYFARVMEVLSYMSNHKMHCDKWKYRQPFSFANGWIQFLSTHCSR >LPERR07G12620.1 pep chromosome:Lperr_V1.4:7:13329645:13338565:-1 gene:LPERR07G12620 transcript:LPERR07G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAEEFSIPFLPTEGELLHCLLRPKIAGAYIDSRFTHLVHDIHDVFALTPDHLAAMHTHAPGARGDKVWYFFSTRIGSGGRGRMVGGDGTKRWFPVGSKKELAGWGGYCRRLRRKGVVAPGWMMLEYGVVAQEHAGSEDGISELTMASETEFPIPFLPTDGELLHCLLRPKIAGAYIDSRFTDLVHDVDDVFALPPDQLAAAHSHAPGARGDKAWYFFSTRIGSGGHGRRVGGDGSKRWCSVGSKKELAGGGGYCRRFRYREKTEKGVVAPGWMMLEYGVVAQEHAVGDGIAELVLCKIFRSPRWHSGSPSSSTSASASVGRKRKAGEFSGREMPDAAFSED >LPERR07G12630.1 pep chromosome:Lperr_V1.4:7:13341232:13351907:-1 gene:LPERR07G12630 transcript:LPERR07G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSTPPPAVPQGPGSSSGSSPPAPTSSSWPPPPPPPPDASQDADLAPREVTTPPASSSSPSPRASGSKARAAAAADGLAAGVAALSFEETPGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYTGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGMQGASFGAAGTTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPPPGNRNFGPRGNTGGPIGGHLAHQQNSQQAMGGMGSTFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGAYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAGNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMAGPGPLQSQALMNPLYSQSYAHYNTQPQSLQPPPQSQK >LPERR07G12630.2 pep chromosome:Lperr_V1.4:7:13341232:13351907:-1 gene:LPERR07G12630 transcript:LPERR07G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSTPPPAVPQGPGSSSGSSPPAPTSSSWPPPPPPPPDASQDADLAPREVTTPPASSSSPSPRASGSKARAAAAADGLAAGVAALSFEETPGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYTGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGMQGASFGAAGTTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPPPGNRNFGPRGNTGGPIGGHLAHQQNSQQAMGGMGSTFNFPGLENPSSQPSGGGPMSQTGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGAYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAGNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMAGPGPLQSQALMNPLYSQSYAHYNTQPQSLQPPPQSQK >LPERR07G12640.1 pep chromosome:Lperr_V1.4:7:13355864:13358812:-1 gene:LPERR07G12640 transcript:LPERR07G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSNNANSSQSQAQAGGCSC >LPERR07G12650.1 pep chromosome:Lperr_V1.4:7:13366067:13374452:1 gene:LPERR07G12650 transcript:LPERR07G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRSLLRRSRRGGGGGGELLLLLARGFVTAECHRPVVLHKRGADILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQIYFCYPHHTKHYAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVFSTSAHERIRLDLWLMLSLSALILPIEQVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFNDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEVEETVDYVQQNMWSPVYPTIVYKKD >LPERR07G12660.1 pep chromosome:Lperr_V1.4:7:13378241:13379677:1 gene:LPERR07G12660 transcript:LPERR07G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRRNLLAIFSILLFFSRALADANFTVSRAAYYPNSDTKGTENGACEYGAFGATLNSGDVSASASLYRDGLGCGACYQVRCTHPYYCSPNGVTIVITDSGTSDGTDFILSQHAFARMGQNTDASTALLTLGVVGIEYRRVSCSYPNNNIIFKITESSNFPHYLEFEIWYQQGNQDIIAVQLCETVSLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSGAPRGGDKKWLVPTNIVPQNWTAGATYDSGVQIQL >LPERR07G12670.1 pep chromosome:Lperr_V1.4:7:13384105:13384433:-1 gene:LPERR07G12670 transcript:LPERR07G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVVRRLHQEASRCVTSLWWHRRPSARGEGGGAGATSPCCPTGSIIDIAASSSTTGRRPRATASQATTSATTGTTNRSAEELIQLLVQDTAQLASNEMAWKTRGKR >LPERR07G12680.1 pep chromosome:Lperr_V1.4:7:13396415:13398172:1 gene:LPERR07G12680 transcript:LPERR07G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLPTASTALVHQYTRLLFVATTSSSTSATASLRALLPIHGRAVVLGISANLAFTTSLLAAVAPASLTYACRVFDATPHRDAYMWNTLIRAHAHSHSHSHSHSHAAIDALALYRHMRAAGVAPDHYTYPIVLPACAVAHALWLGRAVHGDAVRFSLARDGFVSCALIALYCQEGEVADAERVFEESSDARTVVSWTAMVAGYAQNCFFGKAVSLFGVMVSGGVLPNEITLISFLPCLQGQEWLPVGEMFHGFVVKLGFDANIPMVNALISMYGKCGSPPMARALFHGMSSRTLVSWNTMVSMYEQHGDGLQSIKFFRRMLTEKVGFDSVTLVSVLSACARLGALETGKWVHELARSHELDTDARIANVLVDMYAKCGEIAYAREVFDGLCRRSVVSWSAMINAYANHGEAEEALKLFSLMKGEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIAADYQISPTLEHYACMVDMLGRAGRLVEAYAIIRGMPIQPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRVAMKEMELKKTAGQSLVCTDGERIAVVR >LPERR07G12690.1 pep chromosome:Lperr_V1.4:7:13402910:13403482:1 gene:LPERR07G12690 transcript:LPERR07G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETEFSIPFLPTDGEILHCLLRPKIAGAFIDSRFTHLVHDIDDVFALPPDQLAAAHSHAPGARGDKAWYFFSTRIGSGGHGRRVGDDGSKRWCSVGSKKELAGGAGYCRRFRYREKTAKGVVAPGWMMLEYGVVSQEHAGGADGISELVLCKIFRSPRSDSGSPSSSTSASASVGRKRKAEALLCSDG >LPERR07G12700.1 pep chromosome:Lperr_V1.4:7:13406071:13406616:1 gene:LPERR07G12700 transcript:LPERR07G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEEFPVPFLPTDGEILHCLLRPKIAGAYIDSRFTHLVHDIHDVFSLPPDQLAASHAHAPGAQGDKAWYFFSTRIGSGGRGRRVGGDGSKRWCPVGSKKELAGGGGYCRRLRYREKTAKGVFAPGWMMLEYGVAQEHAVADLVLCKIFQSPHSGSGSPSSSKSTSASAGRKRKADALLC >LPERR07G12720.1 pep chromosome:Lperr_V1.4:7:13414052:13415056:1 gene:LPERR07G12720 transcript:LPERR07G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLRLLLLLAAVAAAAVFAGGAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWDPAAQSVLRDFLSSLSSPSPFPAVSDWWARTPRLYADQTGANVTGNLAVAGEHSDSGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGVYLVLTSPDVQVEQFCRAVCGFHYFTFASVVGVTVPYAWVGNSASQCPGKCAFPFAAPDYGGGGGGGGGEVLRPPNGDAGVDGMVIVLGHELAEMATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRDAAGASYNVNGVNGRRFMVQWLWNPVRGACYGPNSAAAA >LPERR07G12730.1 pep chromosome:Lperr_V1.4:7:13417081:13419645:1 gene:LPERR07G12730 transcript:LPERR07G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEANILASLGRVRLCDLAAADGLPSDSYKMCVSALTQSLAQYSAAVVELPPADAALLRSGLDSARLFFHRRGYGSGDAVSGGGGGEDDAREWCKTSGYYSDPQMWLEMYDFRPGITAAEPNGAAELPPSGLPDIFSVLGKVSREVLDAISFSLNLRSCTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQEQQIDKTLVTVVKSDRSGLYIKDLRGQWILVDGGLGPHDVVVYPGLALYQETAGYVNPAVHKIEVGNLQECVFGRCSLVFKLMPRSVARLSGSEMMAAGHGVDSQFQVPILVNDFMQPDHSANQLFPKNNEPSPHGEQEASYNFVMKRKKEGRKTKQLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHTQSLERPCENIRIEVGWPPGVPFVHPHDLPNKAKLRFLEAYEPGWTASQQDVELSFTEP >LPERR07G12740.1 pep chromosome:Lperr_V1.4:7:13420891:13433531:-1 gene:LPERR07G12740 transcript:LPERR07G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSSLCDSAAGGNWGLKYKRKRSKLTVSPSSDHDASSPILDSPMNNGSVKKKNKHDISSSTKKLRGRDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLDNADADTSKRERTRRMRGSTTSDSPSPHNKVSFNRRSPTQENSESNEQGKLSHGAAIKDDETSGKGNVVEKRKPFIVHLKKRSTKELSTDTTPSKSGILGKPSEEKHEKHESPLKVKKNLHPMELSPKKYKTKKQHSHRESKRSEAKKVKYLESDVDSDSSMEPSTSLDHSESPPPKRKSLDGRTTASSTKKGKKKVKFVDRKHPENAVHITEKEHGGVGDKIISQEDRQVDRILGCRLQTSQIISPGHDSSDQIESTNLHLEDMAPPASRAPEPSQVLSKGFHEENHSSNSDINATEDACADELANHGGENHMDCSEAPKESNGRSHGNKESLSTKEITNTVSVRSTDQIVNGEDETVTGIPEEKNGTKKSVSKADEKVHTKQEHTPESELHEKIQETEGKEHEGTTYEFLVKWVGRSNIHNSWISESELKVLAKRKLENYKTKYGTSLINICKEQWCQPQRVIALRVSSDEVEEALIKWCGLPYDECTWERLDEPTMVKCAHLVTQFKKFERQALDKDMGGKYANARDCQELNVLVEQPKELQGGMLFPHQLEALNWLRKCWYRSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQIGKIKKSHKFNVLLTTYEMVLVDAAYLRSVPWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTAERVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLISGTEPESGSPEFLHEMRIKASAKLTLLHSMLKVLHKDGHRVLIFSQMTKLLDILEDYLTWEFESQKEVEDIIRWGTEELFRNSDGTSVKDNNEASGSKHDVTEIEFKHKRKTGGLGDVYEDRCADGSAKFIWDESAITKLLDRSNLPSTVAESIDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNNDNDGCEQASETKQDAASRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYGKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLNESTAEIRQSSKKTKRYPEVPQELYAKLPGNASSSKHHPKATDISNPGTPHHLLPVLGLCAPNADQMNSYKGSIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSLPLKHMKSVPDLFPNLSLGTSNEYLRNCAPELPNSSLLPSFMADIAGTSKQKNKFMADMSGLFPGLAINPVQPIHSSMPENHKKVLDKLMMRAQYSSSKLLKNASNKFLKKSSKPDSWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSYRSIEELALRWISEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSNFSKLRMEPPKLQSHLTDIQLGCNEIPTIFSHVEPTSYLHRTEAGPSLTKIRPGYSVDLPGTLDKWEKPDIGLIPPFMPNPFMKESIGSLPINRHNSSSIQQNEVGSSSHESILRGISDEQAKLFHEMQRRVKLGKLPIEMNLNDTKLSDTVQNSGDFERSKSNKLPHWLQEAVRAPPSKPPECELPAAISAIAQSVYLLLGDKKPAIPPFPIPGPRLSLPKDPRSAPKKRRIHKVQQASSHAEHSRITVGQGDHNSTPSASLSKEATPASPVGIKSSDTPSLNLNSPSSSSAGSRGQDVSTPIFEEPHKTPEATEPAAATCPSGLEASETAVDDMVVGSCSRSPVRDNPDPDNLKSELSGSGNTPTEFSGSPVVDAPGSSSKPAVVPVSSDEDDLTQEGVSDKAVSTGDQEKPTPPDESENSGAANSVLAAQTADEDKVDEMFIDEH >LPERR07G12750.1 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQEDPAAGETGEHELEYDTEHGLEILRFQIFSLTSVPPELQKIVVEADGSVVGEGTDLEAISEGLRLVAITEEEEEEDAAAAEAAKAQEKSDEELARMIQITVEADGLPVDGGNNKVSICEGFRIVVISEGESEDAAVVEAATVQKADEELARMLQAEEEALLLQQYSIRNDGGAEFRERVEPYMHQVLMYEDPMRQEAARKTVPIDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAAPCDSCGRETFNVGMGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKKLGKGAVENGPIALHSIVELLDMMLVWWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.2 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKLLETRKGRCGEWANCFTFYCRTFGYDARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.3 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQEDPAAGETGEHELEYDTEHGLEILRFQIFSLTSVPPELQKIVVEADGSVVGEGTDLEAISEGLRLVAITEEEEEEDAAAAEAAKAQEKSDEELARMIQAEEEALLLQQYSIRNDGGAEFRERVEPYMHQVLMYEDPMRQEAARKTVPIDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAAPCDSCGRETFNVGMGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKKLGKGAVENGPIALHSIVELLDMMLVWWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQIAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.4 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQEDPAAGETGEHELEYDTEHGLEILRFQIFSLTSVPPELQKIVVEADGSVVGEGTDLEAISEGLRLVAITEEEEEEDAAAAEAAKAQEKSDEELARMIQAEEEALLLQQYSIRNDGGAEFRERVEPYMHQVLMYEDPMRQEAARKTVPIDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAAPCDSCGRETFNVGMGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKKLGKGAVENGPIALHSIVELLDMMLVWWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.5 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKLLETRKGRCGEWANCFTFYCRTFGYDARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.6 pep chromosome:Lperr_V1.4:7:13441779:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKKLGKGAVENGPIALHSIVELLDMMLVWWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.7 pep chromosome:Lperr_V1.4:7:13443281:13452646:1 gene:LPERR07G12750 transcript:LPERR07G12750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKKLGKGAVENGPIALHSIVELLDMMLVWWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWVLEGSIDGGSTWNTIDTRSSVIFEGRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKNA >LPERR07G12750.8 pep chromosome:Lperr_V1.4:7:13441779:13451172:1 gene:LPERR07G12750 transcript:LPERR07G12750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLPSEIKFGANRVEIYRCNYCSGTTRFPRYNDPYKLLETRKGRCGEWANCFTFYCRTFGYDARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISNDGVRDVTKRYTRKWHEVLSRRIITSEDNVSAVLSSITGKYRSGLSIDRLAVIEKRDKKESEELSKAAYLEVDTTISLPGRQSGSVEWRKARSELGQVDSLTSSACPVRKCVDAHVSKVYDALSSLLSHFCDENIPKERAIEVFDTLKRVMQNLKDANFKSRRVTLDKKTQQIFEEIFPSIERLLCAMSLKAELGTDGECSATAVGNKIHTSLALPVAMDAVDEILSNYKSDVFCTKVHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIHSSKWEEPDGSKGCWIIYKMLDDQTCELDSYDLMSANDVPERDPMDWYNNFVYLHTLLCRAP >LPERR07G12760.1 pep chromosome:Lperr_V1.4:7:13456659:13458110:-1 gene:LPERR07G12760 transcript:LPERR07G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVHYISEHGHSCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKTLLHGVPAANPSGNNNSNNNLAHFHSNGGAAAATAATTPFTISSPSKAAAAFEVAPPAMYDVVVPNHHATGGMMMGHDHNHHVAAAAAAAMARAGYHPYAENGNGGGVLMSFRDQNGGGVVHAAASMDFMNGSSSSSSMEHHGGGGGMAANGNGFSASMAAAFMDEEAAMWATAVETPVSMAGLGGMDLPHQHQQQQLLVQESAAVVGPRPPTATLMMHGGGGAGAMVDKGVVEMVDVSSEVYGGGAGAGAGATAFDLDLMVESCGMFGAGGGNAGIEQLQWDC >LPERR07G12770.1 pep chromosome:Lperr_V1.4:7:13465382:13467044:1 gene:LPERR07G12770 transcript:LPERR07G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTWSDLPSDLLGLVIARLPFPADRARLRSVCRAWHSAVRLHVAAAPQLPWIVLSDGNFVTVSNDGGLHHMTFPNSNTTFVVGSTDGWLALHRTDVDATGTKTHKFFLHNPFTGATSPRWRQSSTTTVIVRSSGPDGGGHLIAVMTNSWKCPIILCLPGKGAWTPEQLTMPFIRVIDIVFFGDKLYLITETNDLFAVEIVDDEVGRPTVTNIERIIKHPRNTDNNSNVDARFRWSDTEEEDDDNVIDDEELDNDGDDYYDEASSEDSDSESEDDDVDAIDNDAAGSSSNSDMESAGYSDDDEQLNVVYDEELDWSCSKYEILEDYDSRITTWNLLEASGKLLMVRREWIVAAFTPTGHTRSVDADMDAGKWIPVTGGLGGQAIFLSQVFSKSVHAPAHGEVEEDVIPT >LPERR07G12780.1 pep chromosome:Lperr_V1.4:7:13470481:13471382:1 gene:LPERR07G12780 transcript:LPERR07G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFGMCLIPNIFRIQIVLS >LPERR07G12790.1 pep chromosome:Lperr_V1.4:7:13474171:13478106:-1 gene:LPERR07G12790 transcript:LPERR07G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAMAWTVVVMAAVVLVSSVVAPAAAAGDGEALMDVKNAFVEDPGGVLQGWGVAGGRGGEAAFCNWAGVECDAAGTRVTGLNLSGAGLAGEVPGAALARLDRLETIDLSTNRLSGPVPAALGALERLTSLLLYSNHLAGEIPRSLGALAALRVLRLGDNPAISGHLPASLGGLSNLTVLGLASCNLTGAIPGELGRLGSLTALNLQENSLTGQIPPELGDMVGLEVLSLADNELTGVIPPELGKIAGLQKLNLANNSLDGVIPPELGKLGELVYLNFMNNRLSGELPRSLAALSRVQTVDISGNLLSGELPAVVGQMPELNFLVLSGNRFSGRIPGELCGDGTGPTSLEHLMLSTNNFSGEIPEGISRCRALTQLDLANNSLSGEIPAALGELGNLTDLLLNNNTLSGELPPELFNLTDLKILALYHNELTGRLPDEIGRLGSLEVLYLYENDFSGEIPATIGDCSRLQMVDFFGNRFNGSLPATIGNLTELVFLHLRQNELSGQIPPELGGLVNLAVLDLADNSLSGEIPATFGNLRSLEQLMLYNNSLAGAVPDGMFDCRNITRVNIAHNRLAGGLLPLSGSARLLSFDATNNSFSGGVPAGLGRSPSLQRVRLGSNALSGPIPPAIGGAAALTMLDVSGNALTGGIPDELSRCTRLSHVILSNNRLSGSLPPWLGSLPELGELRLSGNELTGELPVEVSNCSKLIKLSLDGNQINGTVPPEIGSLTSLNVLNLAHNQLSGEIPASLAKLINLYELNLSHNFLSGPIPSDIGKLQELQSLLDLSGNDLTGSIPPSLGSLSKLETLNLSHNDLAGAVPSQLAGMSSLVQLDLSNNQLQGRLGNEFSRWPRGAFAGNARLCGHPLSSCGGGGGGGSALRSATVALVSAAVTLSVVLLVIVLVLIAARRRRRLSGEVNCAAFSSSLGGGGGGGNTNGLRQIVVKGSAARREFRWEAIMEATANLSEQFAIGAGGSGTVYRAELPTGETVAVKRIAHVDRDMLLHDKSFAREVKILGRVRHRHLVKLLGFIANTGGGGGSILVYEYMENGSLYDWLHGGGSVARDGGGERKKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVIHRDIKSSNVLLDGDMEAHLGDFGLAKSVAENRKDFAADSTTSCFAGSYGYMAPECGYSLKTTEKSDVYSLGIVLMELVTGLTPTDKAFGGDVDMVRWVQSRVEAPSPGREQAFDPALKPLAPREESSMTEVLEVALRCTRTAPAERPTARQVSDLLLHVSLDYYRAGEHKR >LPERR07G12800.1 pep chromosome:Lperr_V1.4:7:13496327:13504249:-1 gene:LPERR07G12800 transcript:LPERR07G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDASNGASSSTVKAGDDPEPTIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEAAGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAAEGQHSSGTSDENTHANVNVAGNGGLLGDISREIIEAMNSEGMIDDLARSVRDILGSLGLAVPGGMPNTTFSVPLATAPEGANNANGRTQPGNYALPGFSILNHHIQVSQLQPGGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSTTQQPTRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSASSALSNLAQRIQRDSGTSDASVRSQIQNESVQLGVSMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSGGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSGGNVHGTSTTSGSSAGMTTASVGAVNEGRQNVERTQVGNPSANSMHGLPTRTVIAAIPARSTAEAPNHVVSVILPVQVRSQVAVPNQSTVLQGSQTAVGSGSQPNSTHTVPQASVTAQVANALSANQQRQVSSSVQNTADQGSRSITTNGVDNVHSVSSASTQLSNELSDSSNGCTSLNAQSLVTGAGISPSNTSASEDSSTENASNISSTQQHAGMEGIHSDNVVKPSGESVSANLPGQITATGTDVNRSAENSSQDNMSSEGISAQSIKPSASSKPEPVGLGGGLQPKRRSRTAKPPGSTGEALNSSGISNSQDAVSMGQQVLQALASQNTNVSRSRVADAQPPSTTSQFSGGMLPRRQSGEGQVDFGSMISSVLNNPVFGNLLSNVADQAGMGSAGDLRSMVEECAQSPAIMDTMNNIVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGAHPTGTNSGQSRLQPRNSDMRVDDASDYGNSLIDLNQAREHIEQHDSPRDIFSAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLFQQVRQRIQLASQSRNQSS >LPERR07G12800.2 pep chromosome:Lperr_V1.4:7:13496193:13504249:-1 gene:LPERR07G12800 transcript:LPERR07G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDASNGASSSTVKAGDDPEPTIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEAAGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAAEGQHSSGTSDENTHANVNVAGNGGLLGDISRSVRDILGSLGLAVPGGMPNTTFSVPLATAPEGANNANGRTQPGNYALPGFSILNHHIQVSQLQPGGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSTTQQPTRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSASSALSNLAQRIQRDSGTSDASVRSQIQNESVQLGVSMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSGGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSGGNVHGTSTTSGSSAGMTTASVGAVNEGRQNVERTQVGNPSANSMHGLPTRTVIAAIPARSTAEAPNHVVSVILPVQVRSQVAVPNQSTVLQGSQTAVGSGSQPNSTHTVPQASVTAQVANALSANQQRQVSSSVQNTADQGSRSITTNGVDNVHSVSSASTQLSNELSDSSNGCTSLNAQSLVTGAGISPSNTSASEDSSTENASNISSTQQHAGMEGIHSDNVVKPSGESVSANLPGQITATGTDVNRSAENSSQDNMSSEGISAQSIKPSASSKPEPVGLGGGLQPKRRSRTAKPPGSTGEALNSSGISNSQDAVSMGQQVLQALASQNTNVSRSRVADAQPPSTTSQFSGGMLPRRQSGEGQVDFGSMISSVLNNPVFGNLLSNVADQAGMGSAGDLRSMVEECAQSPAIMDTMNNIVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGAHPTGTNSGQSRLQPRNSDMRVDDASDYGNSLIDLNQAREHIEQHDSPRDIFSAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLFQQVRQRIQLASQSRNQTQVFGGHD >LPERR07G12800.3 pep chromosome:Lperr_V1.4:7:13496327:13504249:-1 gene:LPERR07G12800 transcript:LPERR07G12800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDASNGASSSTVKAGDDPEPTIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEAAGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAAEGQHSSGTSDENTHANVNVAGNGGLLGDISREIIEAMNSEGMIDDLARSVRDILGSLGLAVPGGMPNTTFSVPLATAPEGANNANGRTQPGNYALPGFSILNHHIQVSQLQPGGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSTTQQPTRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSASSALSNLAQRIQRDSGTSDASVRSQIQNESVQLGVSMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSGGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSGGNVHGTSTTSGSSAGMTTASVGAVNEGRQNVERTQVGNPSANSMHGLPTRTVIAAIPARSTAEAPNHVVTAQVANALSANQQRQVSSSVQNTADQGSRSITTNGVDNVHSVSSASTQLSNELSDSSNGCTSLNAQSLVTGAGISPSNTSASEDSSTENASNISSTQQHAGMEGIHSDNVVKPSGESVSANLPGQITATGTDVNRSAENSSQDNMSSEGISAQSIKPSASSKPEPVGLGGGLQPKRRSRTAKPPGSTGEALNSSGISNSQDAVSMGQQVLQALASQNTNVSRSRVADAQPPSTTSQFSGGMLPRRQSGEGQVDFGSMISSVLNNPVFGNLLSNVADQAGMGSAGDLRSMVEECAQSPAIMDTMNNIVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGAHPTGTNSGQSRLQPRNSDMRVDDASDYGNSLIDLNQAREHIEQHDSPRDIFSAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLFQQVRQRIQLASQSRNQSS >LPERR07G12800.4 pep chromosome:Lperr_V1.4:7:13496327:13504249:-1 gene:LPERR07G12800 transcript:LPERR07G12800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDASNGASSSTVKAGDDPEPTIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEAAGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAAEGQHSSGTSDENTHANVNVAGNGGLLGDISRSVRDILGSLGLAVPGGMPNTTFSVPLATAPEGANNANGRTQPGNYALPGFSILNHHIQVSQLQPGGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSTTQQPTRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSASSALSNLAQRIQRDSGTSDASVRSQIQNESVQLGVSMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSGGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSGGNVHGTSTTSGSSAGMTTASVGAVNEGRQNVERTQVGNPSANSMHGLPTRTVIAAIPARSTAEAPNHVVSVILPVQVRSQVAVPNQSTVLQGSQTAVGSGSQPNSTHTVPQASVTAQVANALSANQQRQVSSSVQNTADQGSRSITTNGVDNVHSVSSASTQLSNELSDSSNGCTSLNAQSLVTGAGISPSNTSASEDSSTENASNISSTQQHAGMEGIHSDNVVKPSGESVSANLPGQITATGTDVNRSAENSSQDNMSSEGISAQSIKPSASSKPEPVGLGGGLQPKRRSRTAKPPGSTGEALNSSGISNSQDAVSMGQQVLQALASQNTNVSRSRVADAQPPSTTSQFSGGMLPRRQSGEGQVDFGSMISSVLNNPVFGNLLSNVADQAGMGSAGDLRSMVEECAQSPAIMDTMNNIVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGAHPTGTNSGQSRLQPRNSDMRVDDASDYGNSLIDLNQAREHIEQHDSPRDIFSAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLFQQVRQRIQLASQSRNQSS >LPERR07G12800.5 pep chromosome:Lperr_V1.4:7:13496327:13504249:-1 gene:LPERR07G12800 transcript:LPERR07G12800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDASNGASSSTVKAGDDPEPTIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEAAGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAAEGQHSSGTSDENTHANVNVAGNGGLLGDISRSVRDILGSLGLAVPGGMPNTTFSVPLATAPEGANNANGRTQPGNYALPGFSILNHHIQVSQLQPGGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSTTQQPTRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSASSALSNLAQRIQRDSGTSDASVRSQIQNESVQLGVSMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSGGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSGGNVHGTSTTSGSSAGMTTASVGAVNEGRQNVERTQVGNPSANSMHGLPTRTVIAAIPARSTAEAPNHVVTAQVANALSANQQRQVSSSVQNTADQGSRSITTNGVDNVHSVSSASTQLSNELSDSSNGCTSLNAQSLVTGAGISPSNTSASEDSSTENASNISSTQQHAGMEGIHSDNVVKPSGESVSANLPGQITATGTDVNRSAENSSQDNMSSEGISAQSIKPSASSKPEPVGLGGGLQPKRRSRTAKPPGSTGEALNSSGISNSQDAVSMGQQVLQALASQNTNVSRSRVADAQPPSTTSQFSGGMLPRRQSGEGQVDFGSMISSVLNNPVFGNLLSNVADQAGMGSAGDLRSMVEECAQSPAIMDTMNNIVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGAHPTGTNSGQSRLQPRNSDMRVDDASDYGNSLIDLNQAREHIEQHDSPRDIFSAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLFQQVRQRIQLASQSRNQSS >LPERR07G12810.1 pep chromosome:Lperr_V1.4:7:13509756:13514336:1 gene:LPERR07G12810 transcript:LPERR07G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSLAAGVRRRGGTLLLLLLPLPLLLLLFLRLISSPPRTPSSAVLIGAAGGEPRERRRACDYASGEWVHEDEASSAPRYDETCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPIRFLERHRNTSIGFVGDSLNRNMFVSLVCILRGASGGVRKWRPAGADRGFTFVRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWAEAPSFHDGLNPGQQLPSRWPFATAPWWAPSKFDPVQSPMLFFEKGIPVIPPLLPSAGLDLALNYMIIFVNKAMRPNGLKFFRTQSPRHFEGGDWNEGGSCQREKPLSAEENNTLLNWHSSLKVEELFSLDNNGTNVEVRLVNQHLMRALEKSTFKVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLVTIEG >LPERR07G12810.2 pep chromosome:Lperr_V1.4:7:13509756:13514575:1 gene:LPERR07G12810 transcript:LPERR07G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSLAAGVRRRGGTLLLLLLPLPLLLLLFLRLISSPPRTPSSAVLIGAAGGEPRERRRACDYASGEWVHEDEASSAPRYDETCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPIRFLERHRNTSIGFVGDSLNRNMFVSLVCILRGASGGVRKWRPAGADRGFTFVRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWAEAPSFHDGLNPGQQLPSRWPFATAPWWAPSKFDPVQSPMLFFEKGIPVIPPLLPSAGLDLALNYMIIFVNKAMRPNGLKFFRTQSPRHFEGGDWNEGGSCQREKPLSAEENNTLLNWHSSLKVEELFSLDNNGTNVEVRLVNQHLMRALEKSTFKVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLVTIEG >LPERR07G12830.1 pep chromosome:Lperr_V1.4:7:13534593:13539956:1 gene:LPERR07G12830 transcript:LPERR07G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHDSTPWRDPSSRPSRGFFNILKLSGTSSLPDDDELDAAAVGKEVAMSQSAPPSPAPMRAAAAETSAAGIINGAKPTQLVVRTVRGRRAMEELVAMMAHRRRCELAAVADRHVVSLFAHKGRIQSMLRLRLLRQGFKVKDEVWTLPRPVRPRLPKREPEACIIRNDIKYTGLHDADNFIQENNGKCIANEQHKDGQLLDEKSISSFGRLVTSDGSGNEHYDGKNTGGNQCQEACTNTVKLCPQSQDYSEASSFVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREDNQSLNGSWEERALWISSLGWPAPVDAMSPDSWNQDTIEDIENHRQIEFNDRPWIDSPNSWRSLCVATQADSRALSGNADICNLLESKKVSKSLESDFSNKMNNMLLTILHKQRQQRMIDDFEGYYDESLYWRQNDEPQNTDQGVSASCSLAPVPRLDIHQQESWQHSSFEHQHHENHNILEMEVRVRSEMSQVHHEIYELRKLVESCIASQVKIQHSIKEEVCSALREAGLMPSQPDTTAKRGSCCICHQTQVDSLLYRCGHMCTCFKCADQLKSSSRSCPICQSPIEDVVRAHMNF >LPERR07G12840.1 pep chromosome:Lperr_V1.4:7:13541672:13542736:-1 gene:LPERR07G12840 transcript:LPERR07G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTVFQVSHVDDYEEKEPEERQIAVDPFSLRQFSRLNIDMPLPIPSVSVDHPAPHRPPLFAGASASVPCSAATSPRGRVSAAAPPTRWDAHLAVVAPASSSKAMAMAPPRAAMSRSRSCAGAGVGAVEAEMEDDEFDVILSSFERNAIAPQRWGSDVPLISAAVEDGTRGGRHGRRRRRAKRGAAAAPFTCCLYLPGLARRAAKPPPTAAERASSSSSPPPTFRGGGGGVESDPGTARPSLAVSLERFDCGSCSTSSRSGLEASSSYFDLPLELILECNGDGDGEADLPVHAAFMFDSDGIRKSVLKKGVRRAATAAVGKMSTDGSGRISGRHVRFSLTAGSSPTSAFDGET >LPERR07G12850.1 pep chromosome:Lperr_V1.4:7:13547166:13548755:1 gene:LPERR07G12850 transcript:LPERR07G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCFAKLLQTVEFLTTVRHNHPTRVKCGGASNPRKCVVGIYTVGATPVLSCHHQRPAFPLKPPKNLN >LPERR07G12860.1 pep chromosome:Lperr_V1.4:7:13565603:13565881:1 gene:LPERR07G12860 transcript:LPERR07G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITLCTLRRDFVTRISLSDGKYTIVKPPRGFEAMPKDCDLQLGKSKDGVCAFGFGFLMNHAVKSIGS >LPERR07G12870.1 pep chromosome:Lperr_V1.4:7:13573137:13576133:1 gene:LPERR07G12870 transcript:LPERR07G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTQIMADSNHSSLSLQMWRVVQSIVEHCHGKAFEFVKLTKLYYAGSHGIDTKGHAKTSPQNKAKAKGILIQPARSCLTAILLLLLPLLVTPTKIILGNNPNHNRNRWPQAQPPNSFPTISSIETDVTVYPDSIQELRGLLLEDTAPKITVPEDHPVLAPQYDDSVPPARLLLPKLVANGYEAILVWRDSNIYFIGFANKRRQYFSFKGRTDVPPEFQAIWSGLQRTRWQSG >LPERR07G12880.1 pep chromosome:Lperr_V1.4:7:13589260:13590582:1 gene:LPERR07G12880 transcript:LPERR07G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAWSDLVGLVIACLPFPADRARFRAVCRTWHSAVSRHVAAAPQLPWIVLPDGTFVTVSNGGGVHHMNFPDSNAICVGSTDGWLALHRTDVDGAGTKRHTFFLHNPFTGATVPLAELGTILDDSFFETFSVCKVIVRSSGPDGGGHHLVAVMTDNWHCPSILCRPGKGTWTPEPRTMPFVRVIDITFIGDKLYLITKALDLFALDLVDDEDGRPTVTNIERIIRHPRRPDDSDSARFKWSDTEDENDDDVDNGHAQNNDGAIDDVGLYNNEDDYDDEAINEDDQSDDDEQYWNPEGVGWTWSYRVDKIEEVCNEINQIIATWNLIESGGRLLMVRREWLVAGRTPTENTREVDVFEADIDGGVWVPVTGGLGGHAIFQSEVFCKSVPAPAHGEIEGDVLYFVDTQDVWDMSSGGRKPFKGWTWVYELGMTWLFPPELVV >LPERR07G12890.1 pep chromosome:Lperr_V1.4:7:13595099:13598209:1 gene:LPERR07G12890 transcript:LPERR07G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYVVLCYGSQKVKTSVQKKNSNPVWNEVLQLSVTNPTKPVKLEVFDEDKFTADDSMGIAELNVIDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVIQDITLKLTKVDSGLIVLQLEWVHVPGVTM >LPERR07G12890.2 pep chromosome:Lperr_V1.4:7:13595473:13598209:1 gene:LPERR07G12890 transcript:LPERR07G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYVVLCYGSQKVKTSVQKKNSNPVWNEVLQLSVTNPTKPVKLEVFDEDKFTADDSMGIAELNVIDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVIQDITLKLTKVDSGLIVLQLEWVHVPGVTM >LPERR07G12900.1 pep chromosome:Lperr_V1.4:7:13614861:13616222:1 gene:LPERR07G12900 transcript:LPERR07G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPAMGAGSIAVDLYPFLRVYEGGHIERLVRNTAAVAPSHDGTMTAPAAGRLARDGVATRDVVIDEETGVSARLFLPGGVRTRLPLVLYFHGGAFVTGSAFGRLFHRYASSLAARAGALVVSVDYRLAPDHPLPAAFADGWAALRWATSLADPWLARYADPARVFLAGESAGATIAHNVAVRAAGPDGDYMDDDIEGVALLQPCFWGKKRLASEAAAGERDEPPMLAPYRLDALWPYVTGGAAGNDDPRIDPPAEDVSSLPCRRALVAVAEKDVLRERGRRYAAQLRRGGRDVTLVESEGEDHCFHLYRPARPSAVELMDRVAEFISPTSCRLQQKDLLHHLHGWQHNTSLLLDEYDHNTRAASSGAPKRDFIASGEWTDATSSCSGAGRKLDRPKAKVCGGPTCKAQTALVIGRRTGKAQWYGFVGIGSLMPSRAHKYSASATALRVLC >LPERR07G12910.1 pep chromosome:Lperr_V1.4:7:13624294:13626683:1 gene:LPERR07G12910 transcript:LPERR07G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPANGAATATATTQEIRRAQRADGPATVLAIGTANPETYVSQDEYADYYFRITKSEHLPELKDKLRRICNKSGIDKRFMYVNEDVMEAHPEFADRNQSSLNARVEIASKAVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPSGDRLLASLLGLRPNVSRTTLSLHGCYGGGRALQLAKELAENNRGARVLVACAEMTLIAFYGPEVGCNDTIVGQALFGDGSGAVIVGADPVDAAGERPLFEMAFASQTTVPDSEGAITMQHTKGGMDYHIGSGIPEMLAGNIERCLADAFDSIGVAADWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREFGNMSGTTVIFVLNELRRRFKANGAEGADWGALMAFGPGVTIETMLLRVAAGLKGN >LPERR07G12920.1 pep chromosome:Lperr_V1.4:7:13627747:13629040:1 gene:LPERR07G12920 transcript:LPERR07G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSASASARLREIHRSQQADGPAAVLAIGTANPPACVTQDDFTDLLFRATNTDHLAALKDKFKRLCKHACYFGQKLGVERRYLHHTEETLAAHPEFADHEAPSLDARLDIAAEAVPELAARAARKAIAEWGRPAGDVTHLVVTTNSGAHIPGVDFRLVPLLGLRPTVRRTMLYLNGCFAGCAALRLAKDLAENNRGARVLVVAAELTVMLFSRPEEGCFQTLLNQGLFGDGAAAVVVGADPLVSVERPLFEIVSAAQTIIPESAHAINMNLTKGGFDGNISTREVPGFIGDNIERCLMDSFEPLGGIAGDGKWNDLFWAVHPGSPAILDKIESVLGLEPEKLTASRRVLRDYGNMFGVTVIFVLDELRRRWEREAAGEWRELGVMMTFGPGLTVETMVLHAALK >LPERR07G12930.1 pep chromosome:Lperr_V1.4:7:13631125:13637286:-1 gene:LPERR07G12930 transcript:LPERR07G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGEARALVGVNDDIQKLRDKLMWLQAFLREADAKRRAVSDEVTKVWVLQTRDAVFDAEDALDHYYLQLDKSRYPRWARPTMRCVTTFTTQAHMRHKLARKIERINTRLEDIIQNKHKYKIDEVQKGTYLTWKASTSLPYTRRKMDDLHESNVRIYEKQQEELEKALIEQPGEQHDKEQCRPMIITVYGKSGVGKTTLVRDIYNEMAKKKHFDVQAMECFAPYLSATNIIQQIVQQLTEDNRTCRRSEVMQMFKNELEGKKYLLVIDGEVSSTEWKNILDMLPSERGKDSKIVHITQSKPEEPPSGYHQVTIKLRTLDEHAAMTLFQKRLLRMEEQDQENKDYQKELYHITEGLPLAIVLLSGLIRSKERGERPKVFQYLKSLQSYHVDNIMSVCFDDLPQALKCCLLYFSAFPPNITIEARILVSMWVAEGFLTPQVGKSMEKIGYFYLKELIARNLVNLVQMDDDSSVGNMFVTIQNKVHDFLQFEAHEASFIEVHSGDDVPTLTSARRLSLQNYTDKYAILAKPLPKLRSIFSQFELVPIKDQKTMAKRSKAILFRSPQQIVASKRKKTIKSHIKELFHGSEFLRVINIQGIEIGEKLTHAIGNVVHLQFLGITSCSLEHIPSSIGRLTNLQTLDVRETNVRELPNVFWTIKTLRHVFGFILKLPKQIGNLKQLQTLDSIKLEDFEQTLDMTLGEMIHLEHLVIWSITNGNVHSLPKALTKLESLWSLILHGKIVPSSVFTTLAFRRLKYMVLDGELLYPSDLNGMDRLCLPNLIMLSLKETNVNQEFINKLAELPCLATLALGHGSYMEKTLVFSSAKFQCLKKLKVDVKELEKVQINLGMLPKLKEWEIHSGHTHQPYEHEVSTKECGQKMIFNLDLLVENAIEITETI >LPERR07G12940.1 pep chromosome:Lperr_V1.4:7:13638606:13638875:-1 gene:LPERR07G12940 transcript:LPERR07G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKPQEGRQTWGRWRGVRERLDAKAGEPASGLFTRADACAISEAATCLVDLGESRPSASASFNSLRQTLTKRYFGRTGQGKGVGSGG >LPERR07G12950.1 pep chromosome:Lperr_V1.4:7:13638711:13642352:1 gene:LPERR07G12950 transcript:LPERR07G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVNLAICDPLTHSSDPYVVLRLGSQKVKSSIKYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFCILDFVEIAKQDLSHVADGTVMKTIHPEKENCFATESQITWKDGKVSQDIVLKLRNTETGEIILHLQWVNIPGVAR >LPERR07G12960.1 pep chromosome:Lperr_V1.4:7:13638995:13646514:-1 gene:LPERR07G12960 transcript:LPERR07G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSLGVLLLWLLAVSASIVVLAADPSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGAENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDSAYKFGPLWGKGTVLRTAKRLTCASGKPAFDEDLNGVHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEQERVFDVLINGDTAFKDIDIIRMTGERFTALVLNKTIVVTGTTLTVILQPVKGTHAIISAIEVFEIITAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDTKDHWVIDGLGLDNQGLRGVISGDISKLQHLQSINLSGNSIKGNIPLTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILNLNGNDLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGQGKLLMQNLGPILHGTCKWPNISVHMKALEAATMKARHICFLISCQRWEFLIERHTYLGAEAEDDVGVAAVGEWVADGQVHAAHHAHLEQPKKALHRSRPSSLN >LPERR07G12960.2 pep chromosome:Lperr_V1.4:7:13638995:13646514:-1 gene:LPERR07G12960 transcript:LPERR07G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSLGVLLLWLLAVSASIVVLAADPSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGAENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDSAYKFGPLWGKGTVLRTAKRLTCASGKPAFDEDLNGVHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEQERVFDVLINGDTAFKDIDIIRMTGERFTALVLNKTIVVTGTTLTVILQPVKGTHAIISAIEVFEIITAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDTKDHWVIDGLGLDNQGLRGVISGDISKLQHLQSINLSGNSIKGNIPLTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILNLNGNDLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAGKKQYKLLKSEQGQGKLLMQNLGPILHGTCKWPNISVHMKALEAATMKARHICFLISCQRWEFLIERHTYLGAEAEDDVGVAAVGEWVADGQVHAAHHAHLEQPKKALHRSRPSSLN >LPERR07G12960.3 pep chromosome:Lperr_V1.4:7:13638995:13646514:-1 gene:LPERR07G12960 transcript:LPERR07G12960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSLGVLLLWLLAVSASIVVLAADPSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGAENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDSAYKFGPLWGKGTVLRTAKRLTCASGKPAFDEDLNGVHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEQERVFDVLINGDTAFKDIDIIRMTGERFTALVLNKTIVVTGTTLTVILQPVKGTHAIISAIEVFEIITAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDTKDHWVIDGLGLDNQGLRGVISGDISKLQHLQSINLSGNSIKGNIPLTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILNLNGNDLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAEAEDDVGVAAVGEWVADGQVHAAHHAHLEQPKKALHRSRPSSLN >LPERR07G12960.4 pep chromosome:Lperr_V1.4:7:13638995:13646514:-1 gene:LPERR07G12960 transcript:LPERR07G12960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSLGVLLLWLLAVSASIVVLAADPSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGAENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDSAYKFGPLWGKGTVLRTAKRLTCASGKPAFDEDLNGVHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEQERVFDVLINGDTAFKDIDIIRMTGERFTALVLNKTIVVTGTTLTVILQPVKGTHAIISAIEVFEIITAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDTKDHWVIDGLGLDNQGLRGVISGDISKLQHLQSINLSGNSIKGNIPLTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILNLNGNDLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGIERHTYLGAEAEDDVGVAAVGEWVADGQVHAAHHAHLEQPKKALHRSRPSSLN >LPERR07G12970.1 pep chromosome:Lperr_V1.4:7:13649129:13656076:-1 gene:LPERR07G12970 transcript:LPERR07G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAALVGLVVVIALALPPQAAALRPLRERVASAGAASSSGSWGDEHAFFKRDENEISPYSWNITGTYKGSWSLAGSTNGSSRFLEFTKIKGDSVLELLSTPTKISGVHYVQGSVTFHDVIDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHSFIRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTMTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKIEDNTNQPVDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >LPERR07G12980.1 pep chromosome:Lperr_V1.4:7:13661022:13661803:1 gene:LPERR07G12980 transcript:LPERR07G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDGEEEEEKHPFVNEADLEAKIVLRPFIDSMMSMMMVKDLHRLYFGPSMIDNDASFDEKPGRDKDQLRQGAGDDVMIMPALTGSRDMVNQLRWEDGNVDTMMTDKHDFDKLKICSGFGHVVDLDGQQRKYGNM >LPERR07G12990.1 pep chromosome:Lperr_V1.4:7:13663345:13668418:-1 gene:LPERR07G12990 transcript:LPERR07G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFRQVRGAHAPPLPLPLPLPLPFPHRPPRATTTHPPRATRGLHIHSFASHRIHHHHSPISTSSSSLQDHKGAGRHQPPPRHRRRRENIIIPCCGRGRDDAAEDSMSSAGAAEPLLAGGKVGGGGMEVEQARSSWVGRLVDREEAWAQSKFAVPMVLTNMSYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARMYRMLGLYLQSSMLMSAAVSVLISVLWCFTEPLLLLLRQDPSVSAAAAAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVVCSLAPFLLHVALAHVMVNVLGLGLAGAAAAVSVTFWVSCLMLLLYVLRSEKFADTWNGFSVEAFKFVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAVIVAEFAAVTPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITIRTKWSKIVDAMQEKKASYVA >LPERR07G13000.1 pep chromosome:Lperr_V1.4:7:13678207:13679664:-1 gene:LPERR07G13000 transcript:LPERR07G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANVLLLPEAGSGHLMSLIEAGKRLLAHGEGGDGPAVTVTVLVVQPSTAEVDAHVRRVEASGLGVRFHRLPAVEPPMERDADNVQEFKSRYMQLQAPHVKAAAAELGAAALVIDFFATGVIDAAREAGVPTYVYFTSTAALLALMLRLPALEQEVDFEGFDGTVDIPGMPPVPGGSIPAFMVRKKSPNFTWFVYHGRRFMDTDGIIVNTVAGLEPGVLAAIADGRCVPGRHAPPLYPIGPVLDLGAKQQPSNEPHCVIQWLDAQPVASVVFLCFGSMGWFNAAKTHEVANGLERSGHRFLWALRGPPAAGTVNPTDANLDELLPEGFLERTKRRGLVWPTWAPQKEILAHAAVGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQRLNAFELVADVGVAVPLKVDTKRDNFVEAAELERAVRSLMGGESGEGRKAREKAAEMKAVCRNAVEEGGSSHAALQRLRGAIRSGVSVTGAGAGAATQL >LPERR07G13010.1 pep chromosome:Lperr_V1.4:7:13682825:13685661:-1 gene:LPERR07G13010 transcript:LPERR07G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSIADPQGCSLGGCPGEEAPMALKVDTPVSPPRPPSTNPRRLAAAPHLKTSSPSSRHSLYLALDLQPPSLPLRPSPRCFTVRRRCTMRPPPPPPPAGQQYSSPEIPLEIDDELTGGRQGLAGGDDEV >LPERR07G13020.1 pep chromosome:Lperr_V1.4:7:13692445:13693911:1 gene:LPERR07G13020 transcript:LPERR07G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPALVLLPEWGAGHLMSMLESCKRVLLGGGEAFSITLLVMRPPTDEATSEVEAHVRREAASGLDISFHRLPAVETPSDAAGVEEFIARYIQLHAPHVRDAVAAMAPRPVAGLVLDMFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHERITVEFDEVDGGVVDVPGLPPVPPAFMPCPVVDKKSPNYTWFVHLGDRFMDATGIIANTADALEPGPLAAIADGRSVPGRAAPPVYPIGPVISLGSSGKSEHECVAWLDGQPVASVVFLCFGSMGWFEAAQVVEITEALERSGHRFLWVLRGPPTGNGEAGAPDGSEHPTDANLDELLPEGFLERTKGRGLVWPTWAPQKDILAHAAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKRDNFVEAAGLERTVRSLMDGESEEGRKAREKAAEMKAVCRNAVADGGSSHAALQRLSVALHRGAAVPKK >LPERR07G13030.1 pep chromosome:Lperr_V1.4:7:13698373:13706416:-1 gene:LPERR07G13030 transcript:LPERR07G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKQPHVQCWPLNNRRHPHSPVPRSTPVGGRRAPAGGRLRGRAPGRQGGGHAAAQIRDGATAELPGGAAAQIRDDKAVGSRRRSSRTTRRRSRDGGGAAPISGRVTKRTEDPPSSTAFLHAAFTSAAFSLAFLPSSDSPPINDLTARSSSAASTKLFLFLSTSIATATPRAATIMNAFSGHGTIGTPNHRLSSVEFHPPCFGFFLSITGNGSDDGGTGGNPGMSTSPFWKKLELIEAPPKRPFVRLLLNHENVVVYENPPSRPPGMAEETWTLILQLDGATLEHESVQREMDKVIQDLTCYIHVVD >LPERR07G13030.2 pep chromosome:Lperr_V1.4:7:13698476:13706416:-1 gene:LPERR07G13030 transcript:LPERR07G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKQPHVQCWPLNNRRHPHSPVPRSTPVGGRRAPAGGRLRGRAPGRQGGGHAAAQIRDGATAELPGGAAAQIRDDKAVGSRRRSSRTTRRRSRDGGGAAPISGRVTKRTGWLRKPGH >LPERR07G13040.1 pep chromosome:Lperr_V1.4:7:13723844:13737054:1 gene:LPERR07G13040 transcript:LPERR07G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGSPDGVHYCQNCDRVTPMLFDYTTGNSICTECVLVLSNVYANQPCRAAAAKDVDSADNSNNPGRATDVSNGTAPLLPHNEVAAAGIAPPKLQADDAGSAAPRMRGAVVPKMPKMRGAVSDTNKALAEGFDAIANMATQLGLADTVINRAKDVLRKLEESKACPKGRSRDALYAACLHTACRIEGSPRTLKELIMTTPDAAATKRDMGKFINAIKRHVDLGKEESGQDQPEDMKRTGGMVVRAGDYLLRYGSAVGMSEQEVIEAQRAASRLEESLDVRRNPQSIAAAIIHMAVQRSSSCRNKSVREVSAATGVSESTIKEAYKDLRPHAALLFECVLVLGNVYANQPCRAAAATDVVGADDSNNRGRATNVGNGAVPLTPDSVVAAAGIAQPKLQADDAGSAAPRMRGAVVPKMPKMRGAVSDTNKALAEGFDAIANMATQLGLVDTVINRAKDVLRKLEESKECPKGRSRDALYAACLHTACRIEGSPRTLKELIRATPDAAATKRDMGKFINAIKRHVDLGKEESGQDQPEDMKKNGGVVVRAGDYLLRYGSAVCEAQRAASRLEESLDVRRNPQSIAAAIIHMAVQRSSSCRNKSVREVSTATGVSESTIKEAYKHCQRVTPMVLDHTTGDAICTECVFVFANVYADQPLTAAPAIGDGAAAAHADPLPPAGSEVATPVLRADDADSKPRMRGAVVPKMRGAAQPKMRAAVPETNKALAEGFGAIADMARRLGLADAVSERAKEVLRKLEEAKACPKGRSRDALYAACLHTACRVEGSPRTLKELITATPDAAATKRDMGKFINAIKRHVDLGNEEAGQEQADTKTNGSGASGFVVRAGDYLVRYGSAVGLSEQEVNTARRAASRLDERLDVRRNPQSIAAAIIYMAVQRSDGGRSKSFREVSAATAVSESTIKDAYKDLCPHATLLFG >LPERR07G13050.1 pep chromosome:Lperr_V1.4:7:13734959:13735575:-1 gene:LPERR07G13050 transcript:LPERR07G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALLQPIRCTSLVGSVAKKVVATRPHCHSSPAPCSPAVEKGSVILALPTPLTAARATSAALRDEAIKEGALVRVRTRVSKICAGGLTIHLVLRLNAIVVSADEDGFLDVIYEVKFPSDDPLQIVRVARDQVKVMPSDNANAAAPCSASTKSKEKGAPRPTTAGKSLRLLTKVLEEERKLAGATMPS >LPERR07G13060.1 pep chromosome:Lperr_V1.4:7:13755519:13762027:1 gene:LPERR07G13060 transcript:LPERR07G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSVNASTRLYSELAIWWLDGNVISCASWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVDNDDSITLFDHHFAIDLLTSQLWFGKGSQGIELSQHCTF >LPERR07G13060.2 pep chromosome:Lperr_V1.4:7:13755519:13762027:1 gene:LPERR07G13060 transcript:LPERR07G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSVNASTRLYSELAIWWLDGNVISCASWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVAGNLWFGKGSQGIELSQHCTF >LPERR07G13060.3 pep chromosome:Lperr_V1.4:7:13755519:13760718:1 gene:LPERR07G13060 transcript:LPERR07G13060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSVNASTRLYSELAIWWLDGNVISCASWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVS >LPERR07G13060.4 pep chromosome:Lperr_V1.4:7:13755519:13762027:1 gene:LPERR07G13060 transcript:LPERR07G13060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSSWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVDNDDSITLFDHHFAIDLLTSQLWFGKGSQGIELSQHCTF >LPERR07G13060.5 pep chromosome:Lperr_V1.4:7:13755519:13761771:1 gene:LPERR07G13060 transcript:LPERR07G13060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSVNASTRLYSELAIWWLDGNVISCASWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVS >LPERR07G13060.6 pep chromosome:Lperr_V1.4:7:13755519:13760718:1 gene:LPERR07G13060 transcript:LPERR07G13060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGCPDGVRYCHRYQRVTPMVLDHTTGDAICIECAFVPGKLYSDPSRRAASGDDSDDGGSVGGGLASPADPLLPDCEVVAKLQADDAAPRMRKMRGSVNPDMNKALVEGFEAIDDMATRLGLPDTIIDRGKGLLRKLEEAKACRIAKGRSRDALYAACLHTACRMEGSPRTLKELISATSDAATTKRDMGKFINASKRHLGKEVEEAGQEQDQAEDMKRIGGGGGDVVVLRMNAPRRAAGILQEGESIDVRRNPQFIAAAIVYMALQLSGDGRGKDFLEVSAATSSWVGMAAVMNSFRSLRCKAMLHVVCTEGPERVKEFIAMGASFDHGEDGRLHFAREVS >LPERR07G13070.1 pep chromosome:Lperr_V1.4:7:13770339:13773021:-1 gene:LPERR07G13070 transcript:LPERR07G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKDHRKEKARKASVSSVAASMAALAAAAAAGDMLPRQEARPPSPMEEDKNPRLMVGSASLPPPPPAAAGGAASSPSSPVAGGSGGGGGGGAARRGAGGRAAGGGVAAGGGGGGPRCQAETCGVDLSDASRYYRRHKVCQHHSKEPVVLVAGLRQRFCQQCSRFHELAAFDDIKKSCRDRLAGHNERRRKGSADANGSGGSGGNCRDTDHDGRSHPGNPPYHIR >LPERR07G13080.1 pep chromosome:Lperr_V1.4:7:13782357:13787223:-1 gene:LPERR07G13080 transcript:LPERR07G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLESVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLLRRSAAGQCHYHCPLPGVLVFPVARKRRISNLLPAYLQPTDQEGGVLVSRRQGVPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILMITLQLLGIYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRTKFEKKNE >LPERR07G13080.2 pep chromosome:Lperr_V1.4:7:13782357:13787223:-1 gene:LPERR07G13080 transcript:LPERR07G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLESVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILMITLQLLGIYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRTKFEKKNE >LPERR07G13090.1 pep chromosome:Lperr_V1.4:7:13788603:13791140:-1 gene:LPERR07G13090 transcript:LPERR07G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWIRWFCMLPFSDGTVQKPFVTVKSLEVSVVQKVVHNSEYDSHRSRVDQLTEDASTHYRDLQAKGRGQGQDKD >LPERR07G13100.1 pep chromosome:Lperr_V1.4:7:13812650:13820091:1 gene:LPERR07G13100 transcript:LPERR07G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAVAEIDSSASSPSERLSDEQDTSKSSPNSIQSPEIASKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATVKNSTLEDRIVHLDGALKECVRQLRRAKEEQDQNVQDALAHQSRQWESEKTDLELQIVEFKAKLEAKSEFSVNTTDNDASSRLASLEKENSALKVQLLTKSEELELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRKLQANARKPSFSIELRRAPSSVCTESVTDYQSDCSDSWASALITELDQFKNDKSSTRSASITAADIGIMDDFLEMEKLALANTPSKGEVEDASGQLVKLEEKIKKLTTEKADREKALHEAQRELRNTCHRTMVAEEKSVELQRLLNLVKGEKHSMETEMEVIENKRTELEGKLELAHAEIASLMDRGRILEERLESEKALTLEVATKYHKMDALEAERKELHVQLEASQSEARKLSDKITSLERKLEEKASSARLGAKCHGIEALEEKNKGIEHDLESAREEITSLQKNVSNLELKIQEEKALSAKLATRSRDLEALDVQTNELRSQLKSANSEIASLNEKVKVLEAVLENHKPLTAGLESQLKLAQAETMRLKDNVSSLEKKLESQKNLSSAYITALDASEAQKNKFVSRFELKEAEVEELHRKIRLLEEQICKEKAQSSELGVQCENLKEQFSSRALSQPMKPMANKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSITGESWEDGDLKPFDSASNYAAQLGCLAVK >LPERR07G13100.2 pep chromosome:Lperr_V1.4:7:13813607:13820091:1 gene:LPERR07G13100 transcript:LPERR07G13100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAVAEIDSSASSPSERLSDEQDTSKSSPNSIQSPEIASKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATVKNSTLEDRIVHLDGALKECVRQLRRAKEEQDQNVQDALAHQSRQWESEKTDLELQIVEFKAKLEAKSEFSVNTTDNDASSRLASLEKENSALKVQLLTKSEELELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRKLQANARKPSFSIELRRAPSSVCTESVTDYQSDCSDSWASALITELDQFKNDKSSTRSASITAADIGIMDDFLEMEKLALANTPSKGEVEDASGQLVKLEEKIKKLTTEKADREKALHEAQRELRNTCHRTMVAEEKSVELQRLLNLVKGEKHSMETEMEVIENKRTELEGKLELAHAEIASLMDRGRILEERLESEKALTLEVATKYHKMDALEAERKELHVQLEASQSEARKLSDKITSLERKLEEKASSARLGAKCHGIEALEEKNKGIEHDLESAREEITSLQKNVSNLELKIQEEKALSAKLATRSRDLEALDVQTNELRSQLKSANSEIASLNEKVKVLEAVLENHKPLTAGLESQLKLAQAETMRLKDNVSSLEKKLESQKNLSSAYITALDASEAQKNKFVSRFELKEAEVEELHRKIRLLEEQICKEKAQSSELGVQCENLKEQFSSRALSQPMKPMANKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSITGESWEDGDLKPFDSASNYAAQLGCLAVK >LPERR07G13110.1 pep chromosome:Lperr_V1.4:7:13819138:13822962:-1 gene:LPERR07G13110 transcript:LPERR07G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSVVDSPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDADRAVLAASLADRAVDPVLAVARKNGVVELLNPLNGDTLAGVKAAGTAPADSSAEEDPVAALHLFRRHAPDSSMLGTFLSCTEKGKAYVKSVAKENAASDMAVGPSSSWDVTNLGVVKFSSVDAGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRGNSLKIFSAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDIASQRRPVISVDFRESPIKAVAEDPNGYAVYIGTGRGDLASFDMRTGLDSYLRIWDTDTRQLLSAVFLKQHLTAVVIDSHFSTEELEETKSKQPIPSVETMAEVRKERKEKKNRTSEEVEDGTSMLDRDDSGAETYTPKRKKSGEKSKGMKKKSKKQQVA >LPERR07G13120.1 pep chromosome:Lperr_V1.4:7:13824209:13827693:-1 gene:LPERR07G13120 transcript:LPERR07G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSLSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSNPKTAIRNAYQLTDEKILERAAELGRGGSTAVTAILISSEKAVNLVVANVGDSRAVISKNGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDESTDFIILASDGLWKVMTNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >LPERR07G13120.2 pep chromosome:Lperr_V1.4:7:13824211:13827693:-1 gene:LPERR07G13120 transcript:LPERR07G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSLSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSNPKTAIRNAYQLTDEKILERAAELGRGGSTAVTAILISSEKAVNLVVANVGDSRAVISKNGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDESTDFIILASDGLWKVMPIKLIPTVMTNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >LPERR07G13120.3 pep chromosome:Lperr_V1.4:7:13824211:13827693:-1 gene:LPERR07G13120 transcript:LPERR07G13120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSLSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSNPKTAIRNAYQLTDEKILERAAELGRGGSTAVTAILISSEKAVNLVVANVGDSRAVISKNGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDESTDFIILASDGLWKVMTNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >LPERR07G13130.1 pep chromosome:Lperr_V1.4:7:13830947:13835173:-1 gene:LPERR07G13130 transcript:LPERR07G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPNPNPEGAAAAAAPPPMQSQVDEVYEFCAPKFFDFVNDETEEEISAAERWFEASASHAPSPFAPRIKESRAEVKIASLCDFADAEPAPKEVAVVEAPVDAMSESPPAEEEKEESPKSFEFVPSEQLDGGSSTPKIQRPPPPTTVRTVTAVKTAATPKVQVTNSSRGLAPTTGSKPIPSASKQSSMSVKRSVVKCPRELLAGKAATAANDIAQENQAIKRQKLDDGRTRQILNVKTRTLPHKGRGGLAGSTEMSLSSMRKHSEDSLSLKGAAPYVSAAEMVKKFESGTRELAIPHHRSLAHEDAATALQRRPKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTTERAMRHADTCSEASSVGTFRSHSSKPLTLTEPKPPQLETALRARPPRVKSSQELEQEELEKAPKFKAKPLNKKILESKGDMGVFAIPKAQATAPKEFHFSTDDRLGPPAVADLFDKLSLCSESSSYHGKKEPKLTIPNPFNLHTDERGHEKERQLAAQLLQKQLKEEKARIPKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHELEQRRIAEERQRMEREEAQKRIVKAQPVLKEDPIPLPEKERKPLTEVQPIKLHIDERAIQRSEFDNMVKEKETTYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >LPERR07G13140.1 pep chromosome:Lperr_V1.4:7:13836046:13843177:-1 gene:LPERR07G13140 transcript:LPERR07G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRELIGRGSFGDVYKGFDKELKKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPFITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDETSIACILKDLLQAVEYLHSEGKIHPANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKAMKEFVSQCLRKNPAERPSAKDLLKHRFIKYARKSPKLLERIRERPKFPVKSSVDATENGGIHTDEDDGTGTIKVERATRDVVSPSSQGTIRKAAGWDFPDRSEGTGTVRGGSRPPQTTSAKESRFDIPHSPNTPKRTADRENQWRISSTGSEESISTNMSHREAQSEYGRLGSSMEDNDQSVSGSGTVVLRSPRASQVYPAATNYSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKASNTSLEDSATNLAEAKAALQAALRKGNTRERQVVSKHEKESHEPRVSGVNSHDDPSENVDVQKGRKPLQLRDGQSAPRASASVSSPALTSLVVPSLKEATGDKFERPVVHALLDSLMDLENELPGSCEVLVGRLLHRLGSSKESSLQSLQETATSIFAKKSEPPSEPASNKKLANAPPLAAPTVSPLARFLLTRWQNQVSQDLNNV >LPERR07G13140.2 pep chromosome:Lperr_V1.4:7:13836046:13843153:-1 gene:LPERR07G13140 transcript:LPERR07G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRELIGRGSFGDVYKGFDKELKKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPFITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDETSIACILKDLLQAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKAMKEFVSQCLRKNPAERPSAKDLLKHRFIKYARKSPKLLERIRERPKFPVKSSVDATENGGIHTDEDDGTGTIKVERATRDVVSPSSQGTIRKAAGWDFPDRSEGTGTVRGGSRPPQTTSAKESRFDIPHSPNTPKRTADRENQWRISSTGSEESISTNMSHREAQSEYGRLGSSMEDNDQSVSGSGTVVLRSPRASQVYPAATNYSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKASNTSLEDSATNLAEAKAALQAALRKGNTRERQVVSKHEKESHEPRVSGVNSHDDPSENVDVQKGRKPLQLRDGQSAPRASASVSSPALTSLVVPSLKEATGDKFERPVVHALLDSLMDLENELPGSCEVLVGRLLHRLGSSKESSLQSLQETATSIFAKKSEPPSEPASNKKLANAPPLAAPTVSPLARFLLTRWQNQVSQDLNNV >LPERR07G13140.3 pep chromosome:Lperr_V1.4:7:13836046:13843153:-1 gene:LPERR07G13140 transcript:LPERR07G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRELIGRGSFGDVYKGFDKELKKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPFITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDETSIACILKDLLQAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKLDEHFSKAMKEFVSQCLRKNPAERPSAKDLLKHRFIKYARKSPKLLERIRERPKFPVKSSVDATENGGIHTDEDDGTGTIKVERATRDVVSPSSQGTIRKAAGWDFPDRSEGTGTVRGGSRPPQTTSAKESRFDIPHSPNTPKRTADRENQWRISSTGSEESISTNMSHREAQSEYGRLGSSMEDNDQSVSGSGTVVLRSPRASQVYPAATNYSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKASNTSLEDSATNLAEAKAALQAALRKGNTRERQVVSKHEKESHEPRVSGVNSHDDPSENVDVQKGRKPLQLRDGQSAPRASASVSSPALTSLVVPSLKEATGDKFERPVVHALLDSLMDLENELPGSCEVLVGRLLHRLGSSKESSLQSLQETATSIFAKKSEPPSEPASNKKLANAPPLAAPTVSPLARFLLTRWQNQVSQDLNNV >LPERR07G13140.4 pep chromosome:Lperr_V1.4:7:13836046:13843153:-1 gene:LPERR07G13140 transcript:LPERR07G13140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRELIGRGSFGDVYKGFDKELKKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPFITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDETSIACILKDLLQAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKAMKEFVSQCLRKNPAERPSAKDLLKHRFIKYARKSPKLLERISQGTIRKAAGWDFPDRSEGTGTVRGGSRPPQTTSAKESRFDIPHSPNTPKRTADRENQWRISSTGSEESISTNMSHREAQSEYGRLGSSMEDNDQSVSGSGTVVLRSPRASQVYPAATNYSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKASNTSLEDSATNLAEAKAALQAALRKGNTRERQVVSKHEKESHEPRVSGVNSHDDPSENVDVQKGRKPLQLRDGQSAPRASASVSSPALTSLVVPSLKEATGDKFERPVVHALLDSLMDLENELPGSCEVLVGRLLHRLGSSKESSLQSLQETATSIFAKKSEPPSEPASNKKLANAPPLAAPTVSPLARFLLTRWQNQVSQDLNNV >LPERR07G13140.5 pep chromosome:Lperr_V1.4:7:13836046:13843153:-1 gene:LPERR07G13140 transcript:LPERR07G13140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRELIGRGSFGDVYKGFDKELKKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPFITDYYGSYLHQTKLWIVMEYMAGGSVADLVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKAMKEFVSQCLRKNPAERPSAKDLLKHRFIKYARKSPKLLERIRERPKFPVKSSVDATENGGIHTDEDDGTGTIKVERATRDVVSPSSQGTIRKAAGWDFPDRSEGTGTVRGGSRPPQTTSAKESRFDIPHSPNTPKRTADRENQWRISSTGSEESISTNMSHREAQSEYGRLGSSMEDNDQSVSGSGTVVLRSPRASQVYPAATNYSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKASNTSLEDSATNLAEAKAALQAALRKGNTRERQVVSKHEKESHEPRVSGVNSHDDPSENVDVQKGRKPLQLRDGQSAPRASASVSSPALTSLVVPSLKEATGDKFERPVVHALLDSLMDLENELPGSCEVLVGRLLHRLGSSKESSLQSLQETATSIFAKKSEPPSEPASNKKLANAPPLAAPTVSPLARFLLTRWQNQVSQDLNNV >LPERR07G13150.1 pep chromosome:Lperr_V1.4:7:13845084:13851656:-1 gene:LPERR07G13150 transcript:LPERR07G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSQDVVTQQQKTSGRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDEQQQLVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVRRMCLHYLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTFNEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESIAGHDATGYSRSTSQNFSSMVEDLKNDLPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPPQNNRRKDQAAQGQNSNARSKNPRKRGKPSFFQDPSSPPNSRADRFPGALIPYQDSKCDMVLDGTVPLLNGAAEEFKEQGIMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDNEEIRLPRDTENLRRSGRLCVQLKMFKHRDGMESAEAAEPTNKGCIQGNRELAVCQTTEKVETWKLNEQQQQLVDESGLGNLIHTASLVIDRAVLTAFFELWSTETNTARFNDFEMAPSLRDVAYILGVPVTGRVVTTGVVLNKLVDELCFQYLGQVPDCRDCRGSHVKLSWLQSKFSRLSKRPSDDEIMYSTRAYLLFLIGSVLLPERERGYVSPKYLPLLSDFDKVHEYAWGAAALAHLYKALSIAVAPSARKRRILYEYIPAMCPDMDDAPANIFPRVHRWAGNNISQPTRKASDIMKAFSLLRVSDVNWEPYKGVDPASIPNHCIAPDNLCFSRTWLISFNLKEIYVPDRYARQFGQEQHHPLNDVPAFQRHQWSHLVDWSLTYASEIEQFQQLINTPDGNHTSAPGACNGGGGGAHVFTPATIARASLGLSLIAVVEGVRTEIPTVARFLEQQRQQQRGGLLLPAELARSLSRIRDLVEGSQPPAIAVVPPPEARKQAAGAGRDGTPSPTPPPPPREAEDAEAVGDDGGDAVPEREVEEEQKRRVGAVRRSSRSCVQAKRIRRVGGKGSQWSYPIEL >LPERR07G13160.1 pep chromosome:Lperr_V1.4:7:13857417:13866304:-1 gene:LPERR07G13160 transcript:LPERR07G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGVVVVERGRRRVVATAAAGEGGVRRWITVAAGHGRSRRNPWLVRARMTQGEILRKQPRVLYEFLMGNGDLPPNSTDLHTLSFGFRRRRLLARLSLPPPPPPLRTQPGELPAAAGGRPYVAADPRAAPASPASMDGNGKLDLEATMTTLGPEDDTAQGLILPNRDRVMYRPPPGKSALGLDLLAHRKRESEGSNAFKPPPQKVVAAATSTDEDEKPGPAESDDAKSLTSGHHGSVSRRYRGTNSDERTSLKGPTYSCNVMVNQPSLMRMEGVLRQVIETDPIDKITTYLEVRKVPTLAALLVNMMTMMIEDPVINMEKGRDLHPLAIVAAEGGGTGMIENHIIGVMSVRDLHLLTTRISEVGMSIAQEHLQDLIGTVVDGNGKIHLVGSTVMIAQIPIGNIQHPASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSCPRSGGRSHQLTFSSTAGSNDMEADRSPSAADGNHEITEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELTGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKVEGKFSQHLEKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDRVGYAIRFEDMTSPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGAGDILIFMTGQEEIEATCYALAERMEQLVSSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTEIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYTVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKDDKTAMEEEMEKLRREQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >LPERR07G13160.2 pep chromosome:Lperr_V1.4:7:13857417:13866304:-1 gene:LPERR07G13160 transcript:LPERR07G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGVVVVERGRRRVVATAAAGEGGVRRWITVAAGHGRSRRNPWLVRARMTQGEILRKQPRVLYEFLMGNGDLPPNSTDLHTLSFGFRRRRLLARLSLPPPPPPLRTQPGELPAAAGGRPYVAADPRAAPASPASMDGNGKLDLEATMTTLGPEDDTAQGLILPNRDRVMYRPPPGKSALGLDLLAHRKRESEGSNAFKPPPQKVVAAATSTDEDEKPGPAESDDAKSLTSGHHGSVSRRYRGTNSDERTSLKEPTITDEDGRGPSPSHRDGSYRQDNYVSRSSQGSHSRSTPRKHDDYDDRGSRDKHGERERSASIGYSSSGRRGYRDDRESHNRRDERERSTSVDYTNKRSRHEHSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHSTPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSCPRSGGRSHQLTFSSTAGSNDMEADRSPSAADGNHEITEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELTGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKVEGKFSQHLEKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDRVGYAIRFEDMTSPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGAGDILIFMTGQEEIEATCYALAERMEQLVSSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTEIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYTVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKDDKTAMEEEMEKLRREQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >LPERR07G13170.1 pep chromosome:Lperr_V1.4:7:13866423:13870565:1 gene:LPERR07G13170 transcript:LPERR07G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHVLLGQNQRLAATHVALVQEVAAVRHELGRTGRGLAAAQEEGDLRLREVYERSMKMEADLRAVEEMRAELGQVRLDIQKLGVARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYADSYEQGQEMQKKLISVAAEVEKLRAEVANAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNMNQAHPQANTADSGSQYAPGSTHGAWGAYDMQRANARR >LPERR07G13180.1 pep chromosome:Lperr_V1.4:7:13871457:13878144:-1 gene:LPERR07G13180 transcript:LPERR07G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKFYTSTRSARDFQKDIVRAAEGLVSIGSRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEDFNRILSSQTIDPLRAMATGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAENTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTISSHKRAEKAQYFLAEAVHNFNGSTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >LPERR07G13190.1 pep chromosome:Lperr_V1.4:7:13881167:13887516:1 gene:LPERR07G13190 transcript:LPERR07G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLARSPAVVPAAAAAAASSPLRRSSPRVQQEAASPPSDPILPYIRSISKAMCELGTGPNLDATALDQLKIYVTECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKVYKQLEEKRMFLEHALLYDAYALFLCTKGKVLEADKVYQVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKMEPILVDPWSGATMNNLLEKINVSLKKFAGYHKSNKVYPGKVPLTSSQNALRNRVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQRPPFPWEFYIYRQLDMRISDIERPSFGYTHEVHVYSDVSVLVCDYLPYGTLLDVINSHIVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGDFTDETFKGETRSERNQGLCLVDWGRGIDLNLFPAGTEFQGDCRTSGFSCVEMQEERAWTFQADTYGLCVIAHMMLHGTQMSVEKSPRPGGGYLYQPKSPFKRYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEHLCSNRQLVGKLNQQLAKQKTTLCLA >LPERR07G13200.1 pep chromosome:Lperr_V1.4:7:13918512:13919591:1 gene:LPERR07G13200 transcript:LPERR07G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGGGAATAAPAARSGGGGGGTAAAAAGAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGAAAAGAAAAAAGRGGAVSAAGGGGTSPGVAMAQQQHGGASVMGLGGGGATSLASLLLGTGGGGGDQLGLFQAAMQSVDVAAAYEMQQQQQSQVDHLLGLGYGQIQAAKSAAPSWMHDAAGVGGLLDGFYAPLLSGSIVPGLEELHVKAEAAAGGAGEHHHQHKTSAAGAAAGGEQQGWDMPTPSPSSNVEASIIASDALMAAAAAASMNPGAVGGGVSTAPTTAQSLLYSWGNGGGIGAAAAAWPDLANCGSSIATLF >LPERR07G13210.1 pep chromosome:Lperr_V1.4:7:13929652:13935124:-1 gene:LPERR07G13210 transcript:LPERR07G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERAGDRKLEPVWGSTTAVETTLGRSIVLALQTLGVVFGDVGTSPLYTFDIMFNKYSIASKEDVLGALSLVIYTLILIPLLKYTLIVLWGNDDGEGGTFALYSLICRNSRASLLPNQLRSDTRISSFQLQVPSVELERSLKIKEQLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAILIVLFSLQRFRTSKVALAVGPVLFIWFCCLAGIGIYNIKTYGSAVLRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYIGQAAFLMENLTENQQVFFLSIPSIAELGVMMMTTVLVTIIMLLIWQINIIAVLCFLMLSLGLELIFFSSVLGGVADGSWVLLVFATVLYLIMYIWNYGTKLKYETEVKQKLPMDVLMELGCNLGTVRAPGIGLLYNELVRGVPAIFGQFLATMPAIHSMVIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDVRKDNGHPAFEQLLIDSLEKFIRREAQERSLESDQYDGTDSEEEVASASSRVLVGPNGSIYSLGVPLLAESAGTENPTIGSSMSFDGSLDEAMDGRGSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIATLGIPPARMMQVAMQHMV >LPERR07G13210.2 pep chromosome:Lperr_V1.4:7:13929652:13937664:-1 gene:LPERR07G13210 transcript:LPERR07G13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGGGGRRLPKTESAEMRWVLPGGAYEEDEIESSDDGGGTPTANSGSRGGGFSNSDDDNYEEAELLRQRLVRTGPRADSLDVEAQDVAGMSIVLALQTLGVVFGDVGTSPLYTFDIMFNKYSIASKEDVLGALSLVIYTLILIPLLKYTLIVLWGNDDGEGGTFALYSLICRNSRASLLPNQLRSDTRISSFQLQVPSVELERSLKIKEQLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAILIVLFSLQRFRTSKVALAVGPVLFIWFCCLAGIGIYNIKTYGSAVLRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYIGQAAFLMENLTENQQVFFLSIPSIAELGVMMMTTVLVTIIMLLIWQINIIAVLCFLMLSLGLELIFFSSVLGGVADGSWVLLVFATVLYLIMYIWNYGTKLKYETEVKQKLPMDVLMELGCNLGTVRAPGIGLLYNELVRGVPAIFGQFLATMPAIHSMVIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDVRKDNGHPAFEQLLIDSLEKFIRREAQERSLESDQYDGTDSEEEVASASSRVLVGPNGSIYSLGVPLLAESAGTENPTIGSSMSFDGSLDEAMDGRGSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIATLGIPPARMMQVAMQHMV >LPERR07G13210.3 pep chromosome:Lperr_V1.4:7:13936084:13937664:-1 gene:LPERR07G13210 transcript:LPERR07G13210.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGGGGRRLPKTESAEMRWVLPGGAYEEDEIESSDDGGGTPTANSGSRGGGFSNSDDDNYEEAELLRQRLVRTGPRADSLDVEAQDVAGICKTDD >LPERR07G13220.1 pep chromosome:Lperr_V1.4:7:13939704:13943324:1 gene:LPERR07G13220 transcript:LPERR07G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAVSSIRSRRHVARLGFSRAAASSSQAAVEAWDGRFRLHKPRGQHLLTNPRVLDAIARHAALRPGDAVLEVGPGTGNLTVRLLSSPAAHVSAVEIDLRMVEAVTARADALGLSHKLTVITGDAVEVEFPEFDVCVANIPYGISSPLIAKLLFGGRGHYRFRAATLLLQKEFARRLVAAPGDPEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIVPRPADAAAAPGVDLPEWLAFTRACFGQKNKTLGAIFKQKRKVLELFRRSRDAEGRHDDDDSGSSSSSSLVALGGDDDGEISDGDSNESYDGAGGFSEEEIAAFKAKIGGALESSELAGKRPSKLSNEELLRLLRLFNDRGIRFQ >LPERR07G13230.1 pep chromosome:Lperr_V1.4:7:13942421:13943268:-1 gene:LPERR07G13230 transcript:LPERR07G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPQILPSPRLAAASASPHSAFRRAPRLLHSSVSAGRRARGRLATAAGASGSGSSSSPEPYHPPESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGGSGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRTKPYLVAADAEALASDVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >LPERR07G13240.1 pep chromosome:Lperr_V1.4:7:13944875:13947717:-1 gene:LPERR07G13240 transcript:LPERR07G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSVALRDLKAARIGAVKQQVAAAPAARGNQRARAAVDYEALAQELQGASPLEIMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIHIEYMFPDAGEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALRGLRAWITGQRKDQSPGTRASIPVVQVDPSFEGLQGGEGSLIKWNPVANVEGKDVWTFLRTMDVPVNALHAQHEREGRWWWEDATAKECGLHKGNIDKEAKSGAGVNGGANGNGSAGAPDIFESKHVVGLTRAGVDNLLKLENRAEPWLVVAYAPWCPFCQAMEASYVELAEKLAGSGIKVGKFRADGDQKAFAQAELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >LPERR07G13250.1 pep chromosome:Lperr_V1.4:7:13958475:13963135:1 gene:LPERR07G13250 transcript:LPERR07G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGACRGSRSSPAFHAGGFLPGRPVVVSPVPARSGKAIAPSRRFIVSNRVAWVGDELMEISESEEESPARLKKRPPLRRGKISPQLPVPEHIPRPSYVGSNRPQELSSVRQIHSAEGISGMRAACKLAARALDFAGTLVKLSVTTNEIDREVHNMIIEAGAYPSQLGFRGFPKSIFTSVNECVCHGVPDTTQLQTGDIINIDVNVFLNGYHGGASRTFVCGEVDESIKHFLKTAEECLEKGISVCKDGVNYKKIGKKISKLAYFYGYYVVERFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKTETVTWDDGWTTVTADGSWAAQFKHTVLVTRTGAEILTKVHTWQDR >LPERR07G13260.1 pep chromosome:Lperr_V1.4:7:13964343:13970938:1 gene:LPERR07G13260 transcript:LPERR07G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRGGRCRLLLLLLHLHSSLLPPWSPVSAAGGGSNSGEPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRLLSALASSGARAIVGVPNDELLALGSSPASASAWVARRVLPFAGGPNSTASIITAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSPIPISTPLPFSLVLDPFPPSQAFFNQSLAKSFLIPLLSHLANTSSPLMLNLYPYYSLMQSNGVVPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVRNLNVTGGDAVPVLVTETGWPSYGDRKAEPYATRDNADAYNSNLIKHVAGDKPGTPMRPAAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCVASDDADEKAVQAAMDWACGPGRTDCSAIQPGQGCYMPNDVRSHASYAFDSYYQLQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSGGENTTTTQTSDAEGSTIWRLRTGREIRFLFVLRWLLSSMVVLTTMNSNFWT >LPERR07G13270.1 pep chromosome:Lperr_V1.4:7:13972166:13972849:-1 gene:LPERR07G13270 transcript:LPERR07G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAHWKYTNTATGRGYMEADIIPETAPIIHIATTQLESSDPQTKQTCSMERYMQAEHAVAALSRAKNVVLGGDMSWDDRTDMPFPLPAGGGWVDAWTALGKSCESGHTYDGVWNEDLAVFNGYTALFSSLKRRSDRFVCKLQDYKLERIEHKALLRHSHSCGDNILKLNPSCHLGVVLTVAAAPDEPPPRHDQPAAAAVAEKQGVASQAGGQNCMEMWEDDDDDY >LPERR07G13280.1 pep chromosome:Lperr_V1.4:7:13975839:13976686:-1 gene:LPERR07G13280 transcript:LPERR07G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIFIVNTGARLEHSVLAAIADGRCTGGIPHPPVYLIGPVLSLTLSKTEPKQQLHDECVRWLDSHPPDSVLLLCFGGKGIVTPPRVTAIAAALDRYTEHRFLWVLRGPPVDRRRDNIVEAAELERAVRTLMGVDSEEGRKARNMAAEMMAAYRKAVKKGESSDIAFKRLTKEIWQGAVVPKK >LPERR07G13290.1 pep chromosome:Lperr_V1.4:7:13986426:13989129:-1 gene:LPERR07G13290 transcript:LPERR07G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDESVLSAEHDFNTTAAAPWNREPRTMGCMTKLEEVKEKEVKKICCFPMPLGLPWRNSGGVEIMLSRKD >LPERR07G13300.1 pep chromosome:Lperr_V1.4:7:13991458:13991937:-1 gene:LPERR07G13300 transcript:LPERR07G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFGKKLLRTSGGALSLTVLVMPAPTAQAASDIADLVRREEATTGDDIRFVWLPAVEIPTDHTGIDEFISRVVRSHVPHVKAAIAGPVAALVVDIFCTPALNASRELAVPTYMYFTSCAAMLALFLRLPALDEEVDGDLLEIPGLPLPLPASALPTTT >LPERR07G13310.1 pep chromosome:Lperr_V1.4:7:13994866:13996308:-1 gene:LPERR07G13310 transcript:LPERR07G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVALLPVWGAGHFMPMIEAGKRLLRASDGALSLTVLLMPAPTPEAEVVIAAHVKQEEASGDDDIRFLHLPPVEIPTDHTGVEEFISRIVRSHGPNLKAAIAGITSPVAALVTDIFCTPAIDVSREIGVPAYVYFPCSASMLALILRLPALDEEAAVEFEDIDIPGLPPVPPSGLPVTMLDRTKSTYSWFVSTGKGYMNATGVIVNTAAELEKSVLAAIADGRCTGGNTAPKVYPIGPVLSFPPPPPPHDCVTWLDTHPPNSVLYLCFGSKGLLPPAKVAAIAAALDRCIDHRFLWVLRGPPKDSRQGQREPTDADLDELLPEGFLERTKGRGLVWPTWAPQKDILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDADQHFNAFVLVAHMGVAVAMEMDRKRDNFVEAAEMERAVRALMGGESEEGRKAREKAEEAKAVCRKAVEVEGGSSRAAFRKLTEDVLGRGVVPPEKRG >LPERR07G13320.1 pep chromosome:Lperr_V1.4:7:14000960:14002438:-1 gene:LPERR07G13320 transcript:LPERR07G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRASGGALSLTVLLMPAPTPEAVVDIAAHVKREEASAGADDDVDISFHHLPAVEMPNDSTGIEEWISRIVRSHGAHVRAAVAGLACPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLALLLHAPALDEEIPGEFAEMDGTVTLHVPGLPPVPPSALPATMLNKKKPTYKWFLDTGRGYTKAAGFIVNTAAELEQSVIDAIADGRCTRGIPAPAVYPIGPVLAVAGATPLPEQQQPHECVQWLDNQPPASVLLVCFGSKGLLPPPKIAEIAAALERCGEQRFLWVLRGPPKDSRAGQREPTDADLEELLPEGFLERTKGRGLVWPTWAPQKDILAHAAVGGFVTHCGWNSILESLWHGVPMLPWPLDNEQHINAFLLVNVMGVAVPVELDRKRDNFVEAAELERAVRLLMGGESEEGRKAREKAREMMAACRKAVEKGGSSDVAFQRLTEEIRRGAVVPKK >LPERR07G13340.1 pep chromosome:Lperr_V1.4:7:14007346:14007948:-1 gene:LPERR07G13340 transcript:LPERR07G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSAKVHLKLGSMGSGNDDDGEGKSGESERQRPSDRCYSLHVSTCRLAAPTPSDVRAMERVSKASRLVDTLHLAGKTDNAVLGGDMSWDDDIDGPFPIEGLVGWVDAWSALRGDGGDGWTYDAVENPMLSEYCRKPEIRKRPDRFVCKLRDFRLRSIEMVGVEPIPGVTHCDDGGYFLPVLPSHHFGLLLTIVPKSFYE >LPERR07G13350.1 pep chromosome:Lperr_V1.4:7:14016647:14017824:-1 gene:LPERR07G13350 transcript:LPERR07G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEKIKFMTYNVWSCEHVAVYRRIKAISGLIAHHEPDVIFLQLSKLDACVPRWYGSESPRADATTPGLLSASVYKTFPSEHPVIAGNIQFGPQRQYVRVATCRLEGPTPEDVGAYNVVLGGDLGWDDDIDGPIRLRPGWVDAWKELRGGDEDGGGGGAWTYDTVANPMLRGRGKTERKRPDRFLCMLTDFRLECIEMVGVEPIPGVTSHYDDEGNVLPVLPSHHFGLLLTIAPKPKSTHFHGTKRLKPF >LPERR07G13360.1 pep chromosome:Lperr_V1.4:7:14022974:14031430:1 gene:LPERR07G13360 transcript:LPERR07G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNAVAVLLLLVAASAVLVAGDDPYRFFTWTVTYGDITPLGVKQQGILINEQFPGPQIEAVTNDNLIINVFNKLNEPFLLSWNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGAIRVLSRPMIPVPFPPPAADYPLLIGDWFKANHTDLKYMLDSGKTLGFPDGLLINGRSWDGYTFNVQQGRTYRFRISNVGLSTSLNVRFQGHTMKLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYANSQGKAPGSLPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTTTRTIRLANSAATINGKQRYAVNGVSYVNADTPLKIADYYKIGGVFSVGTISDSPSGGGGAYVQTAVMGASYRDYVEIVFENSENEVQSWHIDGYAFWVVGMDGGKWSSASRQSYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >LPERR07G13370.1 pep chromosome:Lperr_V1.4:7:14032179:14036662:1 gene:LPERR07G13370 transcript:LPERR07G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAPAPSSASTSSSSLVSRARTAIHSAAARVLTDIKADLRDADGSGGLRAPSPRASADRQAATVAGSSPRDEVPNIIPLPDGDSSNIEPESTSSTKMAFPSVLVVKQLVAAIDHGKNFKSMNDMKSNGDQLLKDKGGLSLSVVKSLVRREKDERSSSEFVGDNETQSLMYSLFKLEEHVPHVKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVNKMAFFWQSLVLELKKLWSDGQPVPRMPKDAAPDLNSCLLHQEIQVINCCIARKKRRKVAKESLDSLLKRASTNNSNHFYPNGESPDSEMYVKGSAGDNVLRLGADHPSENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCATSSAEAGEGSSRRGRLSERMQTKEGNLWKELWEAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLTVILSVCFVAAELVLPAGSNLSKLFYECKDYIISIYQDDMSKEKLDEICQVYETMEAVVTRPEETLQIMETTPDDKSLENRNRFKLKINFIGKDRQPLWKRTPKEPKDEKKSSPKEEKKAPEDRSTKIFSNLLDKKVSLFSKKNAKSSEVPPPPPPSSALGTFDDNEWTIL >LPERR07G13370.2 pep chromosome:Lperr_V1.4:7:14032179:14036662:1 gene:LPERR07G13370 transcript:LPERR07G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAPAPSSASTSSSSLVSRARTAIHSAAARVLTDIKADLRDADGSGGLRAPSPRASADRQAATVAGSSPRDEVPNIIPLPDGDSSNIEPESTSSTKMAFPSVLVVKQLVAAIDHGKNFKSMNDMKSNGDQLLKDKGGLSLSVVKSLVRREKDERSSSEFVGDNETQSLMYSLFKLEEHVPHVKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVNKMAFFWQSLVLELKKLWSDGQPVPRMPKDAAPDLNSCLLHQEIQVINCCIARKKRRKVAKESLDSLLKRASTNNSNHFYPNGESPDSEMYVKGSAGDNVLRLGADHPSENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGRLQIQDVSWKTSLDGTLHQIGLKIVQQAVQKLERAHLGGAD >LPERR07G13370.3 pep chromosome:Lperr_V1.4:7:14032750:14036662:1 gene:LPERR07G13370 transcript:LPERR07G13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVLVVKQLVAAIDHGKNFKSMNDMKSNGDQLLKDKGGLSLSVVKSLVRREKDERSSSEFVGDNETQSLMYSLFKLEEHVPHVKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVNKMAFFWQSLVLELKKLWSDGQPVPRMPKDAAPDLNSCLLHQEIQVINCCIARKKRRKVAKESLDSLLKRASTNNSNHFYPNGESPDSEMYVKGSAGDNVLRLGADHPSENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCATSSAEAGEGSSRRGRLSERMQTKEGNLWKELWEAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLTVILSVCFVAAELVLPAGSNLSKLFYECKDYIISIYQDDMSKEKLDEICQVYETMEAVVTRPEETLQIMETTPDDKSLENRNRFKLKINFIGKDRQPLWKRTPKEPKDEKKSSPKEEKKAPEDRSTKIFSNLLDKKVSLFSKKNAKSSEVPPPPPPSSALGTFDDNEWTIL >LPERR07G13380.1 pep chromosome:Lperr_V1.4:7:14053412:14054685:1 gene:LPERR07G13380 transcript:LPERR07G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQVGKLACAFLVAFLCFSCFARAHHFDDDQYGPGGFGGGPGFGRGPKGFGHGPGFGRGPFGRDCRFGRCRGGGGGFGGGGGFGGGGGTGGGGGLGGGGGFGGGGGGGLGGGGGGGLGGGGGGKGGGFGGGVGGGSGAGSGLGGGGGGGFGGGGGGGGGQGGGFGAGGGVGGGGGTGGGLGGGGGGGFGGGGGGGLGGGGGKGEGFGASGGVGSGSGGGGGGGMGGGGGGGDDLTVEAGGGRGGGGKGGGFGAGGGMGGGSGGGGGLGGGGGGGMSGGGGGGMGGGAGGGFGGGAGGGAGQGGGLGGGGGLGGGNGGGLGGGGGAGGGLGHGGGLGHGTGLGGGGGFGVGIGVGVGVGFGAGAGGGAGGGGR >LPERR07G13390.1 pep chromosome:Lperr_V1.4:7:14073362:14081415:1 gene:LPERR07G13390 transcript:LPERR07G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSGSTSRGRRRPREDEDEDEEVEEEDEVPSDDSADSDFVADTDEEAAAEDEYGDEFVSDRDDAAPAAPPGPPPLPLAVAMLRPQPKRQRKGKGKGKKGAGDDGPPLPWKVWEDANEKWLDEREVEDLAAAADEGEPSESPAAAVPTAEPAPEVVLPLLRFQKEWLAWALAQEASPSRGGILADEMGMGKTIQGISLVLTARRLRPPQRPASSSSLRWAGCTLVVCPVVAVIQWAQEIERHTAEGTARVLVYHGARRVGQKYDFNKYDFVITTYSTIEADYRKHIMPPKIRCHYCEKLFYPNKLKVHLKYFCGPDAQRTEKQAKQESRKWGSSKKKTWKKRAQKNDGSDGEDFEDDEEGSGSQSRGKSPLHTVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYLCKDCKCEILDTLLKKQCDCGHSSVRHFCWWNKYITRPIQYGSASHDGRRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAEHKNEGIGTMESQCGICHNLTEDAVATSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTQSSGEKLTANMKGGKRSGILGRLQNLADFKTSTKIDALSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVKRIAASPFYLLSPESPEHSKQAIELVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLRFLFQN >LPERR07G13390.2 pep chromosome:Lperr_V1.4:7:14073362:14081415:1 gene:LPERR07G13390 transcript:LPERR07G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSGSTSRGRRRPREDEDEDEEVEEEDEVPSDDSADSDFVADTDEEAAAEDEYGDEFVSDRDDAAPAAPPGPPPLPLAVAMLRPQPKRQRKGKGKGKKGAGDDGPPLPWKVWEDANEKWLDEREVEDLAAAADEGEPSESPAAAVPTAEPAPEVVLPLLRFQKEWLAWALAQEASPSRGGILADEMGMGKTIQGISLVLTARRLRPPQRPASSSSLRWAGCTLVVCPVVAVIQWAQEIERHTAEGTARVLVYHGARRVGQKYDFNKYDFVITTYSTIEADYRKHIMPPKIRCHYCEKLFYPNKLKVHLKYFCGPDAQRTEKQAKQESRKWGSSKKKTWKKRAQKNDGSDGEDFEDDEEGSGSQSRGKSPLHTVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYLCKDCKCEILDTLLKKQCDCGHSSVRHFCWWNKYITRPIQYGSASHDGRRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAEHKNEGIGTMESQCGICHNLTEDAVATSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTQSSGEKLTANMKGGKRSGILGRLQNLADFKTSTKIDALSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLRFLFQN >LPERR07G13400.1 pep chromosome:Lperr_V1.4:7:14103305:14110822:1 gene:LPERR07G13400 transcript:LPERR07G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPLPDRKALDMILEKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEYNAPDTIYFRQAHCIQELARKKFQELRDEGIPTEIQIKSEQKIRPNSCNREPVKKSVMRYPDDDLGLSHKEQASRPNPKDSDSDLKFKDQVKKPISRNSEDVLSSSFQKEWVKKSNFRNSDNDLSSSLHKEQVKRPIARNSGDDLSSSFHKEQPRKAISRNSENDRISSFHKHHDKKPTPRSSKDELPSQTKHIRKPVCRNGEDPGFSSHKDSVENPVCTNGEHIGVLSPKRPVEKPIFRNRDDLGRSNKKESNNKPICGDEQEQDDMGYSCNGETAKKPVRMNSLDALGSDVSAATIASAGDGSNGLSISQANAAEPIGCTTANGFVDKDISSPLDEIRSEKTDDISARESSVKPSYKSIVVDETRRKTYDTYEEPPSSESDTIFDVFFEEPKELVGPHSEHSYARSLARFAGSFGTQGWRLASECIQQVLPTDVKFGRGWVGEYEPPLPSILFVENQSRSLVSTGANVQKSASITRNNGRIRPTESVNPKDMSLSLLNRINTGNNVVGVPGPLESPEIKPRLFGVTAEPQLRSTDVSSLPENHRVPGSVAKTKRAPSEQTRKGNSSSSSRPLQKQPQRPDCSKGASSVLDMPSLNKMAGQPRPFFQPVEAARTPQMKKSEPSKSSHPLEMAPQRLECAKGASSGVHDMPSSNNTSGQPKQFFQSQEAAVPQPRSENTWVYHGRPGDGKYGTSDKSRPMSSMGFITKNQPVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKITAVPQFFRQPVQMVRESPVQNKGLVIFPQLVQTDFSRSQGQPQWQGLTPQMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >LPERR07G13410.1 pep chromosome:Lperr_V1.4:7:14108859:14113935:-1 gene:LPERR07G13410 transcript:LPERR07G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSIIARINEEAIVWVKAGTIKLKGSPGTTTEEMVLKSFADCIAVPCNTFVAALEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVDIELCLLALPGVQKNDLRTVFSHPERNVDHCAAGAEIISMQSLGDAGVIGSAQAAELYGLDIVECNFQDASPNLTRYLILARTADIPKEYGPYKTSIVFGLEEGPGILFKALGAFWMREISLTKIESRPNKREPMRTQGDEKHFNYIFYVDFGASTAEVRVQNALKDLKEMATFLRVLGCYQKIAELVVNQEKGTQCLSLGGAYPCLASVASGESGLAIVVGPGILKSLSGPTGGILPDPCFALDFP >LPERR07G13420.1 pep chromosome:Lperr_V1.4:7:14118548:14118969:-1 gene:LPERR07G13420 transcript:LPERR07G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVLFAAATRRAAGAGAYARVDDGGCEGMTTRRRRRCLAVPGINTMAGAGAVRLRPSANGKGN >LPERR07G13430.1 pep chromosome:Lperr_V1.4:7:14121669:14124398:-1 gene:LPERR07G13430 transcript:LPERR07G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLAASVSAALDRRDVPGGDTPLHLAVRLRLPPLASALAAAGADPTLQNHAGWTPLQEALCLGCRDIAACLLRAHRLAAWGKLRRRAPQLSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGAQLRADTTLAGFDGLRIRRADHSFLFFGEEKAAVEDGASGGRHLPPGSLLVLHRGKREVHDAFAAAAAAGDEDSATSDAAAYRPGLNISAARLVPRTTWLRKEKTESVGEWKAKVFDVHNVVFSFRTLKAANAGGGGGGHRKDFSFDFAGDDDEDGEDEDDEFLPLEIRDDDEDGDFLVADIPPLPSRRSCYVPGRRSVAGPPSHMMMTPQRRRNSVDVPRRLSACASVGRGEDGVFGRHATAGGAKWKEEETVKTLRPTVWLTEDFPLAVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLASPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVSLSQVADNTDPFTIPSDYTWVSLGSKSQDKKSSKAKKGKSKETKLDK >LPERR07G13440.1 pep chromosome:Lperr_V1.4:7:14127827:14130233:-1 gene:LPERR07G13440 transcript:LPERR07G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSFKLEHPLERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >LPERR07G13450.1 pep chromosome:Lperr_V1.4:7:14131974:14132408:-1 gene:LPERR07G13450 transcript:LPERR07G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVRREEEEEFDEGEVWDVLHQDPRKEATSAAAALAAVRIKAKTTKQKHEEGEAARRSRGMRSSAPVAIPAAGSSSSRRGGGGGEDDEEEEMMMLPPHEWLARKMERMSAAAPEIGRGRSKGREMRKVRDAVLSKTAFSSEQ >LPERR07G13460.1 pep chromosome:Lperr_V1.4:7:14143285:14144019:-1 gene:LPERR07G13460 transcript:LPERR07G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPGLSFLPSESVLLDRYLQPKIAGSSLDDLGCKAIMHDVDVYLLPPDELTAKYEHAPAGSDGAKVWYFFCPITKPKNGRTARRSRTICGDIRRWYHSEGSKKVVNGCAAVGGYYQKFTYKEKTESGGNVKPGWLMTEYGVTPEHGGVDLVLCKIYMSPRAPSRKRKAAEEEHVDAPPPTVQRVLQAIVEEEEETASCGDAPTVVEAAEEQGDASGNGWDDIDMTELFNGDTEDEPVRSD >LPERR07G13470.1 pep chromosome:Lperr_V1.4:7:14145652:14150934:-1 gene:LPERR07G13470 transcript:LPERR07G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSSPRDLDRRKKLAVNKSSAAAPAVTSVSFKKGDVVSVRTPLGRLGPTTMRLVMWLGATVVSDTTDDGHLNVIYSGDFPRDDPFRTVRVATKDVKFPAVAAVDSAAPRGRPTTAGKSLRLLKNLVKETRAKAFYVLVDRYLLPKITGSSLDDLASSKAFMHDVDVYSLPPDELTAKYKHAPTGSDGAKVWYFFCPITKPKNGRTARRSRTIGGDSTKWYHSEGSKKVVNGCAAIGGYFQKFTYKEKTASGGNVKPGWLMTEYGVAPEHGGADMKFTYKEKTASGGNVKPGWLMTEYGVAPEHGGADMVLCKIYMSPRAPSRKRKAAEEEHVDAPPPTVQRVTQVAVEEEETASCSWGAAAPRMNDSTLAHAMALHRSSARDLDSRKKLAVKKAPAPAPAVTSVSFKKGDVVRVRTPLGRLGRTTKRLVMWLGAVVVSAADDDGHLEVIYRCNFPRDDPFQTVRVATTDVKFPASATAVVDNAGRTTRPAAVDHGGQVAAAAAEEA >LPERR07G13480.1 pep chromosome:Lperr_V1.4:7:14156847:14158304:-1 gene:LPERR07G13480 transcript:LPERR07G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHQLFDEMASPPDVVTYGIMVHGYCAIGDLETALKLLSEMATRGLELNPVAYTSVIALLCDNGQVSDAVRIVEDMVVHGVVLDAVVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTVLINGLCQAGDLKEAERVLQEIEKKGLDVDAVTYTALIDGYCKVGKMTEAFLVHNRMVQKRVTPNVVTYTALSDGLCKQGDVQAANELLHDMCNKGLELNVFTYNSLINGLCKAGNLEQAIRTMMDMDEAGLKPDVYTYTTIIGALCQSGELDRAHNLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLDWMLEKNIRPNTTTYNSLMKQYCIDKNMKSTTEIYKGMCSQEVPPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNSLIRLLNKKKKFIEARSLFEKMRKEGLTAEPDVYNFYIDLSFNEDNLESTLAFCDELVEVTLMKSKADMDDGFTEQHIK >LPERR07G13490.1 pep chromosome:Lperr_V1.4:7:14160662:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNLRARAAVAAAARRRGREPASPGRLGGLRRGRRRPRRACEEEEKPQDRFKRVLADNSYSRFKHLRRQGAEPVGSCRHSGEAQPPPQESSQKVHPLGEEITSVLNNPPDFQNFMPGSQCPEMSTSYNWIDTDAQLENLTRLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMGMLRPIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMILYARCDAHYLLYIANCLALELHAKTYDGSDSPGDKVNFFFEASHRSNMVCMQLYAKEIEFPPGASSAASIFSRNIQSHGLVSSRSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVNVPRGPTEVCSAISETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHSGRRDFGDFSVYKPPKKAPDVEQSETLTDSDILGTSLPIGQAKGSQK >LPERR07G13490.2 pep chromosome:Lperr_V1.4:7:14160662:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAYETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHR >LPERR07G13490.3 pep chromosome:Lperr_V1.4:7:14160662:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAYETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHSGRRDFGDFSVYKPPKKAPDVEQSETLTDSVP >LPERR07G13490.4 pep chromosome:Lperr_V1.4:7:14160662:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNLRARAAVAAAARRRGREPASPGRLGGLRRGRRRPRRACEEEEKPQDRFKRVLADNSYSRFKHLRRQGAEPVGSCRHSGEAQPPPQESSQKVHPLGEEITSVLNNPPDFQNFMPGSQCPEMSTSYNWIDTDAQLENLTRLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMGMLRPIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMILYARCDAHYLLYIANCLALELHAKTYDGSDSPGDKVNFFFEASHRSNMVCMQLYAKEIEFPPGASSAASIFSRNIQSHGLVSSRSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVNVPRGPTEVCSAISETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHSGRRDFGDFSVYKPPKKAPDVEQSETLTDSIGQAKGSQK >LPERR07G13490.5 pep chromosome:Lperr_V1.4:7:14165680:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAYETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHSGRRDFGDFSVYKPPKKAPDVEQSETLTDSDILGTSLPIGQAKGSQK >LPERR07G13490.6 pep chromosome:Lperr_V1.4:7:14166436:14171115:1 gene:LPERR07G13490 transcript:LPERR07G13490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAYETDMSNSTMYPSLPSPSPIVVAHIEELRNLIEDTTVSMEDIFQNMLEKYKDPSGLCRLSVYNYNLVSQLCLKQQNIFSFASSGEKLLTAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLITDASLSDDKSNKTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLTKKKGISYRAQAQNIIRNSKSNNITNNNGHDSDNGYALHEHFSKDGAESNSQPDIDENNNQVDVDDTTSQPDSRNNDQVHDPNMSQESTNCSLSMDDPISVSTMETDPVHQANIGGSPVNGDLDRDPHNIDNSNQTVPQNGDKKISLLGHGHHGKQIVGLLLSNGGEEAINQFCQRWRQVFVESVHPRHLPSGWNIKHSGRRDFGDFSVYKPPKKAPDVEQSETLTDSDILGTSLPIGQAKGSQK >LPERR07G13500.1 pep chromosome:Lperr_V1.4:7:14172990:14173409:1 gene:LPERR07G13500 transcript:LPERR07G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSSARDLDRRKKLAVNKSSAAAPAVTSVSFKKGDVVSVRTPLGRLGPTTMRLVMWLGATVVSDTTDDGHLDVIYSGDFPRDHPFRTVRVAIKDVKFPAAASVENDPPLAAQGRPTTAGKSLRLLRSLGKEMRANS >LPERR07G13510.1 pep chromosome:Lperr_V1.4:7:14178260:14178658:1 gene:LPERR07G13510 transcript:LPERR07G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRSSARDLDRRKKLAVNKSSAPAPSVSVSFKTGDVVSVRTPLGRLGRTTRRLVMWLGAVVVSDADDDGHLEVVYSGNFPRDDPFCTVRVAVKDVKFRASAAAVVDNAGRTTRQAAVDYGGQVAAAAEES >LPERR07G13520.1 pep chromosome:Lperr_V1.4:7:14191320:14191613:1 gene:LPERR07G13520 transcript:LPERR07G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRMELKQIKNPTRSQVTFSKRCNGLLKKAFELFVLFDAEVALIVFTHGRLYEFASAPRYTKKPSSSSSSYHPVSAFPLPRFSLAEKPEIDQSCS >LPERR07G13530.1 pep chromosome:Lperr_V1.4:7:14193839:14194162:1 gene:LPERR07G13530 transcript:LPERR07G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKEKRALCNKMSKLAKQNNWHDRLGSGGYARKEEEWQKDDDTLIAQGKDPLLSKSEAQIANMIKKIEALMNDGKFESC >LPERR07G13540.1 pep chromosome:Lperr_V1.4:7:14197590:14198651:1 gene:LPERR07G13540 transcript:LPERR07G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLPGLSFLPSDTVLVDRYLLPKIAGTSLDDLGCKAFMHDVDVYSLPPDELTAKYEHAPTGTDGAKVWYFFCPITKPKNAGKGTIRRSRTIGGDIKRWYHSEGSKKEVNGCAAIGGYYQKFTYKEKTASGGNVKPGWLMTEYGVAPEHGGTDMVLCKIYMSPRAPSRKRKAAEEEHVDAPPPTVQRVAQAAVEEEETASCSWGAAPIAEAEQGDTTGNGWDDIDMTELFNGDTEVEPVRANEEDQTETTTHTPPLSSCELAAGDEESNNGWERNDVDMTALFSSIDDDLDLNALFNGEFNDLFFLESSSSMPEPSTAAAIQPAEEQSGQIFFSDAGYSIDDLFDCAAACG >LPERR07G13550.1 pep chromosome:Lperr_V1.4:7:14200861:14201280:1 gene:LPERR07G13550 transcript:LPERR07G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRTSPRDVDYHRSSKLAVEAKARVSKKPSGSLAYKKGDVVSVRTPLGKLGPTTLRLVMWLGAIVVSDTTDDGHLDVIYSGDFPRDDPFRAVRVATKDVKFHATAAVDSAAPRGRPTTAGKSLRLLKSLAKETRTNS >LPERR07G13560.1 pep chromosome:Lperr_V1.4:7:14202635:14203676:1 gene:LPERR07G13560 transcript:LPERR07G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPHDVDVYLLPPDELTTKYEHAPAGTDGAKVWYFFYPITKPKNGRTTRRSRTIGGDSTKWYHSEGSKKVVNGCAAVGGYYQKFTYKEKTESGGNVKPGWLMTEYGVTPEHGGVDLVLCKIYMSPRAPSRKRKAAEEEHVDAPPPTVQKVAHAVVEEEETASWGGAAAVAEAEQGDASGNGWDDIDMTELFNFNGDTEAEPVRATEEDRNGFSLQSDQTETSQTLPLPSCESAAAEEESNNGLFSNIDDDVYLDALFDCEFDLGDLCFPEPSSSSNSMPEPVPTPASIEPSEEQSEFSIEDLYIEGIF >LPERR07G13570.1 pep chromosome:Lperr_V1.4:7:14207855:14214786:1 gene:LPERR07G13570 transcript:LPERR07G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARRKAAALAALAAPAPDKSPKGGVDAPISDLLDALNSHPDLFTTSSCSGRISVLAQPPPPQAELGGAKTKKKARGGGWVYVSHDPADPEALVELLFGGKEGGGGGGGDELVFRFEPMIVAVECRDAAAAAALVAAAVSAGFRESGITSLQKRVMVALRCSIRMEVPLGQTEELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLLAKGLLGSSKGCATTNDESLVAKKSSNSYNGDAANNCDNGFGDSSLDASYLDSQGVVLQNGAKHGFGNAKRHVLISLSFYLFRIFPLTQEEALPALSGNTSHHLCTATLEVTGESIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLLNHRSGSLTELEVTETPSPRMGHTVTAVGNYIYVVGGRGGPTYILNDVWVLDSTNSRWSKVDCDGDLFRPRHRHAAAAVDCKVYVFGGLSDDGICSCMNIMDTESTQWNVISSDDKWPCARHSHSIVSYGSKLFMFGGHDGQRALNDLYSFDTTTLKWNKENTNGRAPSPRFSHCMFIYKDYLGVLGGCPITESSQEIALLNLKHKFWFHVPIPSLSQCLCVRSSSVVIDDDLVIVGGGASCYAFGTRFNRPIKIDLHLLDSLFKLAYNKEKEMVVQHDSVSNVDLEGHEKNCITSDNEKAVIDTAALGSAPLVLQLEKKFAKVAKDILKKFGWLDLSRKARVSQDNIHVLFPVSKTFHALITDKHLEPDDSCIFEELLPLSENKLVGASISFQKALDILLLCRGSFLKDELAISRKASKTPQAIMRELVSALLDRKGLPCQLLEQLPTRWETLGDITVLPKTCFKDPLWESVRGDLWPLVAKSLGAQRIARQGKITPNGTRDSTLELLVGNNGWLTHHENGICYSLDATKCMFSSGNRSEKLRIGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALRRNVMDNHVEDRCIILEGDNRMTAPKGIADRVCLGLLPSSECSWDTAVRALRVEGGMLHIHGNVNDTDETLWLVNVVDSISNIAKSHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRPT >LPERR07G13570.2 pep chromosome:Lperr_V1.4:7:14207855:14214786:1 gene:LPERR07G13570 transcript:LPERR07G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARRKAAALAALAAPAPDKSPKGGVDAPISDLLDALNSHPDLFTTSSCSGRISVLAQPPPPQAELGGAKTKKKARGGGWVYVSHDPADPEALVELLFGGKEGGGGGGGDELVFRFEPMIVAVECRDAAAAAALVAAAVSAGFRESGITSLQKRVMVALRCSIRMEVPLGQTEELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLLAKGLLGSSKGLTQEEALPALSGNTSHHLCTATLEVTGESIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLLNHRSGSLTELEVTETPSPRMGHTVTAVGNYIYVVGGRGGPTYILNDVWVLDSTNSRWSKVDCDGDLFRPRHRHAAAAVDCKVYVFGGLSDDGICSCMNIMDTESTQWNVISSDDKWPCARHSHSIVSYGSKLFMFGGHDGQRALNDLYSFDTTTLKWNKENTNGRAPSPRFSHCMFIYKDYLGVLGGCPITESSQEIALLNLKHKFWFHVPIPSLSQCLCVRSSSVVIDDDLVIVGGGASCYAFGTRFNRPIKIDLHLLDSLFKLAYNKEKEMVVQHDSVSNVDLEGHEKNCITSDNEKAVIDTAALGSAPLVLQLEKKFAKVAKDILKKFGWLDLSRKARVSQDNIHVLFPVSKTFHALITDKHLEPDDSCIFEELLPLSENKLVGASISFQKALDILLLCRGSFLKDELAISRKASKTPQAIMRELVSALLDRKGLPCQLLEQLPTRWETLGDITVLPKTCFKDPLWESVRGDLWPLVAKSLGAQRIARQGKITPNGTRDSTLELLVGNNGWLTHHENGICYSLDATKCMFSSGNRSEKLRIGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALRRNVMDNHVEDRCIILEGDNRMTAPKGIADRVCLGLLPSSECSWDTAVRALRVEGGMLHIHGNVNDTDETLWLVNVVDSISNIAKSHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRPT >LPERR07G13570.3 pep chromosome:Lperr_V1.4:7:14207855:14214786:1 gene:LPERR07G13570 transcript:LPERR07G13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARRKAAALAALAAPAPDKSPKGGVDAPISDLLDALNSHPDLFTTSSCSGRISVLAQPPPPQAELGGAKTKKKARGGGWVYVSHDPADPEALVELLFGGKEGGGGGGGDELVFRFEPMIVAVECRDAAAAAALVAAAVSAGFRESGITSLQKRVMVALRCSIRMEVPLGQTEELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLLAKGLLGSSKGCATTNDESLVAKKSSNSYNGDAANNCDNGFGDSSLDASYLDSQGVVLQNGAKHGFGNAKRHVLISLSFYLFRIFPLTQEEALPALSGNTSHHLCTATLEVTGESIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLLNHRSGSLTELEVTETPSPRMGHTVTAVGNYIYVVGGRGGPTYILNDVWVLDSTNSRWSKVDCDGDLFRPRHRHAAAAVDCKVYVFGGLSDDGICSCMNIMDTESTQWNVISSDDKWPCARHSHSIVSYGSKLFMFGGHDGQRALNDLYSFDTTTLKWNKENTNGRAPSPRFSHCMFIYKDYLGVLGGCPITESSQEIALLNLKHKFWFHVPIPSLSQCLCVRSSSVVIDDDLVIVGGGASCYAFGTRFNRPIKIDLHLLDSLFKLAYNKEKEMVVQHDSVSNVDLEGHEKNCITSDNEKAVIDTAALGSAPLVLQLEKKFAKVAKDILKKFGWLDLSRKARVSQDNIHVLFPVSKTFHALITDKHLEPDDSCIFEELLPLSENKLVGASISFQKALDILLLCRGSFLKDELAISRKASKTPQAIMRELVSALLDRKGLPCQLLEQLPTRWETLGDITVLPKTCFKDPLWESVRGDLWPLVAKSLGAQRIARQGKITPNGTRDSTLELLVGNNGWLTHHENGICYSLDATKCMFSSGNRSEKLRIGKLDCRDEVVVDLFAGIGYFVLPFLVKYVYMIALGYMLNLKWFHIKTVPSYDRANAKLVYACEWNPHALEALRRNVMDNHVEDRCIILEGDNRMTAPKGIADRVCLGLLPSSECSWDTAVRALRVEGGMLHIHGNVNDTDETLWLVNVVDSISNIAKSHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRPT >LPERR07G13570.4 pep chromosome:Lperr_V1.4:7:14207855:14214786:1 gene:LPERR07G13570 transcript:LPERR07G13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARRKAAALAALAAPAPDKSPKGGVDAPISDLLDALNSHPDLFTTSSCSGRISVLAQPPPPQAELGGAKTKKKARGGGWVYVSHDPADPEALVELLFGGKEGGGGGGGDELVFRFEPMIVAVECRDAAAAAALVAAAVSAGFRESGITSLQKRVMVALRCSIRMEVPLGQTEELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLLAKGLLGSSKGLTQEEALPALSGNTSHHLCTATLEVTGESIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLLNHRSGSLTELEVTETPSPRMGHTVTAVGNYIYVVGGRGGPTYILNDVWVLDSTNSRWSKVDCDGDLFRPRHRHAAAAVDCKVYVFGGLSDDGICSCMNIMDTESTQWNVISSDDKWPCARHSHSIVSYGSKLFMFGGHDGQRALNDLYSFDTTTLKWNKENTNGRAPSPRFSHCMFIYKDYLGVLGGCPITESSQEIALLNLKHKFWFHVPIPSLSQCLCVRSSSVVIDDDLVIVGGGASCYAFGTRFNRPIKIDLHLLDSLFKLAYNKEKEMVVQHDSVSNVDLEGHEKNCITSDNEKAVIDTAALGSAPLVLQLEKKFAKVAKDILKKFGWLDLSRKARVSQDNIHVLFPVSKTFHALITDKHLEPDDSCIFEELLPLSENKLVGASISFQKALDILLLCRGSFLKDELAISRKASKTPQAIMRELVSALLDRKGLPCQLLEQLPTRWETLGDITVLPKTCFKDPLWESVRGDLWPLVAKSLGAQRIARQGKITPNGTRDSTLELLVGNNGWLTHHENGICYSLDATKCMFSSGNRSEKLRIGKLDCRDEVVVDLFAGIGYFVLPFLVKYVYMIALGYMLNLKWFHIKTVPSYDRANAKLVYACEWNPHALEALRRNVMDNHVEDRCIILEGDNRMTAPKGIADRVCLGLLPSSECSWDTAVRALRVEGGMLHIHGNVNDTDETLWLVNVVDSISNIAKSHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRPT >LPERR07G13580.1 pep chromosome:Lperr_V1.4:7:14217833:14222527:-1 gene:LPERR07G13580 transcript:LPERR07G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVVPPVVAQPKPAPDTILGKAYEDVRTVYSLGKELGRGQFGVTYLCTEIATGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDGHLFKAFQYFDKDNSGFITRDELEAALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGIQQPMRLK >LPERR07G13580.2 pep chromosome:Lperr_V1.4:7:14217833:14221191:-1 gene:LPERR07G13580 transcript:LPERR07G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSRATSPDSGRGANGYGYSHQTKPAQTPPSYNHPQPPPPAEVRYTPSAMNAPVVPPVVAQPKPAPDTILGKAYEDVRTVYSLGKELGRGQFGVTYLCTEIATGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDGHLFKAFQYFDKDNSGFITRDELEAALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGIQQPMRLK >LPERR07G13590.1 pep chromosome:Lperr_V1.4:7:14233030:14240347:1 gene:LPERR07G13590 transcript:LPERR07G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIYQCSWQKFAWSATGSSSRSLREISISSTDVQRLDNSSTEFVANLNDHNLEIGQHMEDSAHNIINATANVTSEWSIVKEEFTFLAGSAPFNSCHASTIVETEKDSFLVAYFGGSNEGAPDVKIWLQRYSDGYWHTPQVADEQDEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSRDGGLTWSAREQLPPGILGPIKNKPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYLTTNGTIRVLLRSFETIGRVCMADSTDGGLTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDELIHITYTYNRTQIKFDLPALSSQNGSWHSPEVVDEIPNVPMWNPVLFQLPSGEILLFYKVGRTVEG >LPERR07G13600.1 pep chromosome:Lperr_V1.4:7:14250483:14251352:1 gene:LPERR07G13600 transcript:LPERR07G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQLKVGEEEEDTGRCRRKVTFGYHLAEGKMPHGMEDRHVAVLRRLNDGNEVGLFAVFDGHAGSNVATYLRNHLFDEILSEPGFFPHPDSDDDTTIAAIRRAYDRTDRKLLQNQTYNDDGSGSTAVTAILINGNKLVVANVGDSRAVACDAGSGRARQLSVDHEPVGREREAVEGRGGFVVEFQGDVPRVDAQLAMSRAFGDAGVKEHISADPDVRVEEDVGGVEFVVVASDGVWKVMSNQEAVDVVRRRGMAGGDDAREAAVEIVREAVGRGSTDDIACVVVRLR >LPERR07G13610.1 pep chromosome:Lperr_V1.4:7:14251944:14254724:1 gene:LPERR07G13610 transcript:LPERR07G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAATVTRVAAAAPAAELRLPLAAGLGRASFAVTARFRPVSASLSTAAAAAVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSENVEEQTEQVMKNMGEILKASGASYASVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >LPERR07G13620.1 pep chromosome:Lperr_V1.4:7:14262341:14262886:-1 gene:LPERR07G13620 transcript:LPERR07G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKPQQQSGAFTAAAERFLGFHHHRGGSSVAPAYDDDDLPNLDEADVWYSPSTTTEGSSADRRGGGLEVGGGGGGGRKAVGGLSRAFADGRQAAASAPVEVPAWPSRFASDPDPYAAAAEEERRRDEEDGDDGWVPPHVLLARRQARSSVVEGAGRTLKGRDASRVRDAVWSRTGFDG >LPERR07G13630.1 pep chromosome:Lperr_V1.4:7:14270675:14271010:1 gene:LPERR07G13630 transcript:LPERR07G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFERSRGGAVDVGADAAHCAAENKRAAFAEAAAAEEEEMKQQKGEKVTEVKIRITRKQLEELLRRLEKEKDGGDGGAAVVSELLCMSSSCNFRHRPEQWRPSLQVIPE >LPERR07G13640.1 pep chromosome:Lperr_V1.4:7:14274221:14275093:-1 gene:LPERR07G13640 transcript:LPERR07G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPKIRLVGKGTGGSELEGMLCVVLANRFEEELDIWKLDHGQWDRAYKVYLKGWPGYSLGANVVVPMAVDPKDGRILLNTGRKLGLYNPTKRVIEDLYCIDKLLPVKHTDEMHHIKHTKRSSHQLKCQHSVRKFRIWLSPLEHDRFFYEPPPALSWRNSSCSSDMSSSKELYPLDNEIMPLVPILYEDSLASYPLAIKPRCLFR >LPERR07G13650.1 pep chromosome:Lperr_V1.4:7:14275128:14281739:-1 gene:LPERR07G13650 transcript:LPERR07G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGVLRRSGVDVRRDGVAMASITTVAASQHDRTTLHVCTDVALSSPLSKLVKEILLRLPDDYVVQFKLASKQWHRFIESEIFTREYSFCKNMDRRPKIRLVGKGTGGSGFSFATIKKLLENSPSKGTWLDAKLVCPKPCHGMNLISTEMEDYLYNPSTGYRYVHGTRGALVHMPRITGDRFAQEDHAFTVDNKNEHVIVEIFYQWKNFKTRRTHDIEQPPLPVSGMPPAYLAGFLYWMSEPRLSQSNTRAIVSFEIATKTFDVIYAELWPCQSFVIELEEMLCVVLANRFEEEMDIWKLDHGQWDRAYKVYLKGWPGYSLGANGGNLAQWKEPCGRKRRKVAVASPPVLLPEEMMMEVLQWLPVKFIIRFRAVCPSWAVALSSEKFCSLHTAKTKTKSLPPKLFFISRTAGFDSTSVCTSSSSGPVNDQLFTLDNVRGDFIEMTPTPCHGLTLLHDAMALEYYVFNASTRSISRLPPCQTVPFRTAGTHGNITSLRYKLLPVFVNGFLHWLTGTLFASLRPHGAILSFSLTQETFRLVRSPPFEVSGVHLVKLSDKLSMVRDLRRISSMLEIWKLNDIYSSDWSLDHRIDLSEHPDVIKVIGSASSATSRKKVIVATSNRKVIAYDPTSETLETILTIKETHLPYQTAHSALGISLFEESLVPVCKTNEEKALSSPLSKLVKEILLRLPGDYVVQFKLVSKQWHRFIE >LPERR07G13660.1 pep chromosome:Lperr_V1.4:7:14295636:14298752:1 gene:LPERR07G13660 transcript:LPERR07G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVDGRLEALLSGGGAGEPWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSTLMLTATGVPLAALYACSERVLLLLGQSPEISHAAAGFTYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAAVLAASFALHLPMSWAAVRWLGLGLPGAALALSATWWVLVAGQFAYIVWSPRCARTWRGFTWAAFDDLAGFARLSAASAVMLALELWYFQILVLLAGLLPDPQIALDALTVCTSIQCWVAMISVGFNAAASVRVGNELGAGNPRSAAFSSWMVTVLSAFIAAIAGVVVMLFRDKLSYIFTQGEAVSRTVSDLCPLLFGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTFIQTLILLWITFRTDWNKEVEEARRRLDKWDDTKQPLLVNKQ >LPERR07G13660.2 pep chromosome:Lperr_V1.4:7:14295636:14298822:1 gene:LPERR07G13660 transcript:LPERR07G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVDGRLEALLSGGGAGEPWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSTLMLTATGVPLAALYACSERVLLLLGQSPEISHAAAGFTYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAAVLAASFALHLPMSWAAVRWLGLGLPGAALALSATWWVLVAGQFAYIVWSPRCARTWRGFTWAAFDDLAGFARLSAASAVMLALELWYFQILVLLAGLLPDPQIALDALTVCTSIQCWVAMISVGFNAAASVRVGNELGAGNPRSAAFSSWMVTVLSAFIAAIAGVVVMLFRDKLSYIFTQGEAVSRTVSDLCPLLFGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTFIQTLILLWITFRTDWNKEVEEARRRLDKWDDTKQPLLVNKQ >LPERR07G13670.1 pep chromosome:Lperr_V1.4:7:14301156:14301515:1 gene:LPERR07G13670 transcript:LPERR07G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRAPAPFAYAKVEKVDADVARHLQAQYLIHKVMDESFRVPATRRRRLPATAAAELLGRGRAGVKARQIGVRLRRLRLAARSVRLRLCRGLQRHLRSLRRLVRCGGSTTARQLGSP >LPERR07G13680.1 pep chromosome:Lperr_V1.4:7:14304894:14306565:1 gene:LPERR07G13680 transcript:LPERR07G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPAPAMNGQHAPPPPPQVSGAPPPPQPHYQQPPPQPYYQQAPPPPYYQAGPPPPAPMWGQPPPTQPQQMVPPPQYAPPPPQHQYAPPPPQQYAPPPQQYRAQMAGGPAPAGGDEIRSLWIGDLQYWMDEGYLYNNFASMGQQVTNVKVIRNKQTGYSEGYGFIEFQSRAAAEYALANFNGRMMLNVDQLFKLNWASCGAGEKRAADDGSDHTIFVGDLAADVTDSMLQEAFKAHYPSVRGAKVVVDKVSGRSKGYGFVRFGDENEQTRAMTEMNGTMLSTRQMRLGLAANKKNMGTQQTYSTNGTHSAYFFQ >LPERR07G13690.1 pep chromosome:Lperr_V1.4:7:14310271:14313558:-1 gene:LPERR07G13690 transcript:LPERR07G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRSRDAAKQTRLRETDEILLLDDWIKAGKPARGTRPPPLSKSPSSNAAAAAAKRGGKAADDEYSEYGACTRFDELPLSRKTKDGLRKAGYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFEVFQKVGKFHGFSGACIVGGRKGLEEEKAVINSLNILVCTPGRLLQHMGETTNFDCSQMQILVIDEADQVLDKNFQQQVDDVVSQLPKDRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEASTVTPDGLEQFAMIMPLEQKLNMLWSFIKRHIESRTLVFLSSVKQVKFVYEVFKKLRPGIPLRCIHGRMKYEVQQAVVAEFKEKASVLFSTDIFARGLDIKDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAESKIPIHIRKRYAEMLREMKEHDKEDKLEHKRILREKKLQKKLKLKRKRNEEMSVGSEDSGSESDRDQNTASKGKKRYFNSDEEGDDTVKDGDVLAQQEALALKLLSKMHS >LPERR07G13700.1 pep chromosome:Lperr_V1.4:7:14323548:14324050:1 gene:LPERR07G13700 transcript:LPERR07G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQIRHGEDSDSSEYIPEEDEGGMGGGTDLDEEQITITTSKVPSPERRAALKKSVARKKLATKPRMPPGSSRASKRVLASSPADSTPGVATRSSKRQVNQEPMSNVDQANPFVEEDLHDDGVPPSVQQNQTIVAGK >LPERR07G13720.1 pep chromosome:Lperr_V1.4:7:14325816:14330249:1 gene:LPERR07G13720 transcript:LPERR07G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQADFEELKKKQDASDLIRILAISQFPEEACIKIPPKLIDDISTVLTIYNVVNQDKAKSNDEIKVVGRMQDY >LPERR07G13730.1 pep chromosome:Lperr_V1.4:7:14336938:14337922:1 gene:LPERR07G13730 transcript:LPERR07G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESDRIRTPERRICNGLLLPDQPRLRRPIHSWQWQLLGLLSSSSSSPAAERNNNHVSWEETAAAHLYSASLPGVRKEEIRVEVEDARYIAIRTEFDGDGGDGRRSFARKFRLPAMVDVDGISAEYTHGVLRITVPRLHTRARPVVNFAGAGAGFDPVARAA >LPERR07G13740.1 pep chromosome:Lperr_V1.4:7:14338892:14345187:1 gene:LPERR07G13740 transcript:LPERR07G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEINGEEGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENSSTQANENDPSTVANEEDDVFSGLDDVPVEARTTLDKNIDLDDLQRKIVKPPANLLVFEGDCLDSEASELDSYLLATCGFFGDFLLLDPCDAPAVSDFLRGKHFGNKDKFSNRGSSARSKSRANVFSSPNGRSGGTGHRPTSGKVQEGNPDQTQENNPDQSQEMNANQTQESINDLNINDWSDHHVDHDFPDNDMPHPDDADAGCPYAAGDSDSEDDDSDPWKPLNPHEPGNLKIRSYRKVKGFARQVIGAPKRKIIASLFPMEKMDGTSFKEHLSQQETHHASESSPVYEKLMKSLEHGEPESHHVFGDLKDGNEPDICANDFDDIHEPDMPDDVCDMDVDIDISTYPDKNNDATLDGTQGTQDSIDAHENLEDLCRSHLDALLASIAEAEQLTELDARDRNPPFDIGSYGEQIIDTLSSRTDNSGIASFGEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNDLVCYTASNTFHVKLIGPNQRPEIEARFARKRVKSPLKNKGSEPCTAQQKSPKKQTHKNGKVPVKTSIKLTPEGKRRRRSTQLLRPINLESS >LPERR07G13750.1 pep chromosome:Lperr_V1.4:7:14345106:14352090:-1 gene:LPERR07G13750 transcript:LPERR07G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAASPFRDLSNLRTPNPKAANPKSSASLHFFTASKDPLPSATPTPRRRRVPPTPHPDGVTPTPLGRRLRALELDQSRSARRAESSRDGALRSFASSASSWLSLLLRDPSACGCAPSSAAAMVTRDTPAVAVGKRDAVDGERARGRSPKRHRGGDRGGAKRKTMTPAMAASLRQSLMEVCSLEDVRERMGRYMSTEACEEVLVMMSQICKNIDDGRLKMKEHCPLVSDLGLRNKAIRIFMSYNPEWLRIGLHIVLGGDSLMQKGSQKKDKELVGFLKFVLDKQLFPQMISKSSPVNKVAVGLRRACCGEEVGNIILKRIFLLIAALDRAKMESGLPLESGIDGLDGGSPLLFCHQTEIKSSQQIVQESLGEVMHGEGDLLMHLTTMGYKLNYQQLALSEYDFTIRNLFDDLQDGIILCRIVQLLTSDASIMLKVIAPSDTHKKRLHNCTMAIQKIKQAGVPLSDADGLSISPEDIADGDKELILSLLWNVFISMQLPVLVNKTSVANELLRLQAPVSESISETKSQIGLLYDWIRVICAKYGISVESSSQIDRRALNYFINYYLNISIPNFPLKESLSDCRKELFNCHKPDTMANITAHPSKNIGKVLAQFLQDIPACDILANDVSFDEKSAIILLAFLSSHLINDRRLEQLKNLIDSKLSHQSPVTEISARRRSRGTNDMKCQFPQTDETASRSSQEWAATVIQSQARRIDAISKYCKLKNAALSCNAGHDPVASSSPQKNIADSSSVSSSTVSATKFVCEDDVDCSIKSCQTLFCHEDPISTKVDFLLCRKVMAARKIQFAYRRFARRILSRISAAIKIQKNWRGFSVRIRFKKQIQNITVIQAAARGVLCQRSFQKQRHSATVIQRLFRGWLARKELLVLRLQRWWRKVLLYRSIRTSAISVQSFVRGWLAQKQVNKILCSIYLIQRWWRQVLFLESRKRSVIVIQAHVRGWIARQIACRNKKSITIIQTYVKAYLVRKRSKQEITNIRYRLQKSSEQVDDSMRLINRLIAALSQLAHCRSTSCIRQTCATLSMATEYSEKCCETIISAGAVKILLKQIHLLNRGVPDQEVLKQVLLTMRNIARFPNLRSVLASTPQAIEIIFQEMLRNKTEGFFVACDILKRLCESEEGHEIARAPQHHIRRLGGLVQELEKKVELDKRNGRTGVAKDHNLQRLGEVVTLRHLLTNDR >LPERR07G13760.1 pep chromosome:Lperr_V1.4:7:14353293:14354770:-1 gene:LPERR07G13760 transcript:LPERR07G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMDPSPAVAAADAYRRALRTAASVAAYAVLARSMARELLPDELRATARWGASKLSARLGWRRGELRTLVVRSHAGRSSAGIVSDDNLLYDAARTYLASRLDPPPPDMPRLGLTVSKAKDDDGGGVDGWKTRLFIEPGDFTTDVFDGVEFTWRSVPLPDGEKKKNAGAGSAGGDRDYFLELSFDAEHTATALERYVPFVMESAKETKRRERGLKICMNEGKMWYIINHHHPATFDTLAMDSDLKSSIIADLDLFTRRKDHYRRIGKAWKRGYLLYGPPGTGKSTLVAAMANHLRYNIHDLDLSHSGSNLTLQWLLLSIQNRSILVIEDIDCCCDAVTREGRHLQVTLSGLLNLIDGLWSASGDERIIVFTTNYKERLDAALLRPGRMDMHIYMGYCGWDGTPSRRSPTTTS >LPERR07G13770.1 pep chromosome:Lperr_V1.4:7:14356389:14357923:1 gene:LPERR07G13770 transcript:LPERR07G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPLSAAAASPSLAKAVDTYRKAVSTAATVTAYVVLARGMARELVPDDLRAAASWAASLVRAGLQPRDRPAERRTIIIKSTDDGGEGDGGHPQGLGCYFENHLFADARAYLATKLDPHSMARFCLSGGSGEIDRNVRLSMVPGDTMCDVFEGVEFVWTSVPGDGAKYADSSSLELTFDAEHTDMALGKYVPFIREEVYMARRRERELKIYMNENASWRGIVHHHPATFDTLAMDTGLKNSVVADLDRFMKRREYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDLYDLDISEVRGNSSLQRLLIAMPSRVILVVEDIDCTISARSREDNVSDMEEDADAADLSKKAEPERLTMSGLLNFIDGLWSTSGEERVIVFTTNYKERLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLELLADVEVTPAEVSEMLLRSEDADAALGGLVEFLEEEKKKQAMCESK >LPERR07G13780.1 pep chromosome:Lperr_V1.4:7:14367780:14369003:1 gene:LPERR07G13780 transcript:LPERR07G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYQPPILASRTGRPSPLRRAGHRLRLPLEAGCASPFTSTSTRRRRPRFVAGDLHALPAASIRHRQPRCSCRSRSASMSTKRFFLKAQAKRRAGVQLL >LPERR07G13790.1 pep chromosome:Lperr_V1.4:7:14369069:14370594:1 gene:LPERR07G13790 transcript:LPERR07G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQHLALVVDGRIKKALATAASVAAYSVLAQRMARELLPDELRAAARSGAAFLRARLGGAAAKERHTLVIRRRAADGGFDETDALYDAARVYVATKIDPRAMRRLGIARALSKEPDGSFGWRTRLSMEPGDTTTDVFDGADTDKRSKPGSCHKSLELSFDAEHTATAIERYIPYVMSTVDNMYRQEHVLRIYMNEYRSWHGVKHHHPSTFDTLAMDPALKRDIIADLDRFMKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVHCNTSLQKLLMAMPNKSVLVIEDIDCCFTTKSREAGKTAAVPEPAAGDGDSDSDSDDSDEEPERRAWEMQNQQSLTLSGLLNFIDGLWSTSGEQRLFVFTTNYKERLDAALLRPGRMDMHIYMGYCGWDAFKTLVYNYFLVDDHPLFPEIKELLADVEATPAEVSELLLRSEDADLALQGITKLLADRRREASNKAEIIKSDAEIKSDPLPVPSLEM >LPERR07G13800.1 pep chromosome:Lperr_V1.4:7:14370034:14377672:-1 gene:LPERR07G13800 transcript:LPERR07G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVRHATKAVDTYRKAVATAATVTAYVVLARGMARELVPHDLRAAVSWAASLVRARLEPLPAVRRTVIINRADGFDGRSDNCFYADARAYLATKMDPLSMRRFCLGGRRGGRNMLSMVPGDSMTDVFEGVEFKWSSVPADGKSKEARLELSFDAEHTEMAMGRYVPFITEEVELARQQDRELKIYMNEGVTWRGIVHHHPASFDTLAMDPELKRSIVADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVYGNSALQKLLMAMPNKSILVIEDIDCCFSTKSREGGKARRTPSRTNNDTDEADDEDEDSSNYDSSERAQAGGKIQAGPEGLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLVDDHPLFPEIQGLLDAVEVTPAEVSEMLLRSEDVDDALSGLVEFLEDKKQAMCEDNQNWASGKSVHANCLPSPVREELRDALKREVGVLAAQQQLRHLGRRRLHVGEQLLYLREQRVVVDEEVVVDERLKGVPAAVAHVDVHVHPPRPQQRRVEAFLVVCREHEQALLAARRPQPVDEVEQARQRQALLILHLPRSALRFFVAVVGVGVGIAIAGGGLRHRGRLAGFP >LPERR07G13810.1 pep chromosome:Lperr_V1.4:7:14377836:14379036:-1 gene:LPERR07G13810 transcript:LPERR07G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLLPGELRRAAARWGAAFVRSCLVGAAAKHRHTLVIRRRDDSEYDERDALYDAARAYVATKIDPRAMRRLGLSRSLSKQADGSSSWRTMLSMEPGDSTTDVFDGVEFKWTSVEAVTDDDGDGKKCKVRLSLELSFDGEHTATAIERYIPFVMSTVDQMQRRERVLKIYMNDTRSWHGVKHHHPSTFDTIAMDPALKRDIVADLDRFMKRKDYYRRIGKAWKRWYLLYGPPGTGKSSLVAAIGKHSDDDEEEMERRPWEVQRQQSLTLSGLINFIDGLWSTSGEQRVFVFTTTNYKERLDAALLRPGRMDMHIYMGYCGWDGTPSRRSRTTTSSSTITRYTGAACRRVEVEVTPAEVSEMLLRSEDADLALQGLTRLLADRRREASSKAEIKDD >LPERR07G13820.1 pep chromosome:Lperr_V1.4:7:14382066:14388218:1 gene:LPERR07G13820 transcript:LPERR07G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLVAASSVPAPASQHGRVLDAYKKALTTAASVAAYTVLVRTMARELLPDELRAAARWGAAFVRARFFGAAEKQRHTVVIRRQIDGGYNDNQLFEAARAYLATKIDPRALRRLSLARSRCKEPDGSSSWSTLLCMEPGDSTTDVYDGVEFKWTSMETGGDDGKKGRGGDRGQRPPRESLELSFDAEHADTALERYIPFVMATAEQLQRRERVLRIFMNEVRAWHGFNHHHPATFDTIAMDLELKKNIIDDLDRFQKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSILVIEDIDCCFDAKPREDRKSTAAAMESSAVDFDDYPSDFDDDTPHKSSYRTGEMQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLVDDHPLFPEVQKLLAEVEATPAEVSEMLLRSEDADVALRLLTEFLRDRRRRAVRKDAQIKNDGVVETKDSVVALE >LPERR07G13830.1 pep chromosome:Lperr_V1.4:7:14388032:14390385:-1 gene:LPERR07G13830 transcript:LPERR07G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPAFRRHFRAVHPTPLLGLFFVAPTLAQAPNNPAFPSFDPARPRDRDMAAAVRSGDFFLTALQERLEDEEQNWDIANCCRGYCLLMNSDDGFFVVFNPLTRRTEFDVVTASPQSFRIVVLTLDDSRVHANICSSDTGEWSSLPWVDMPEPVRSNDSNFWLQSEAGMQANGYLYWVYKDRRYLVSLDTATMVFSVAQLPRCLRHCWFDVGETKDGTTCIVYANQLNIGVLLHTKDDDGAERWVVDKVLPLGNELERVFQAGLPDEVLKDLEVDSPNDETGKAVSQDIDDDVHPYIMAWPPSLVGNYGRWGSDYVLRLWKLHQIPKARLFLWRLTHRRTVACQNEHKQQGYGDRYKMSGVSQIGREWWALFLHVQAG >LPERR07G13840.1 pep chromosome:Lperr_V1.4:7:14397537:14398594:1 gene:LPERR07G13840 transcript:LPERR07G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMYRACNGWMRRPLQDGSYWNPLLSPAASLDTISLTALSCRKSPPSRCCCDALLYAINEQPDNVPDRGMCSLCVYIVTISP >LPERR07G13850.1 pep chromosome:Lperr_V1.4:7:14399363:14400625:1 gene:LPERR07G13850 transcript:LPERR07G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSAGLVPDFFIRLPSLAALVRAALTCREWRRAVASSPEFRRRFHATHPDPLLGLFFSIPGPAQTPNLPAFPSFAPTCCRDCDTSAAVRGSDFFLTSLQARLEEEHQNWDIIDCCRGYCLLMNWDDALLAVFNLLTRRIEFVVYMTSPEFFKEACGNRHPALLNARLLCSDDNGQPKSFRLVVLSLDDSRIRANICSSDTGEWSSLPWVEKMPTPLRSTESFRSNCWLQNQGSMQANGVLYWVYKDWRYLVSLDTATMVFSVVPLPQCLIHCSFDVDETKDGATCIVYEDGLNVGVLLHTKDDDGADRWVVDRVVFLRYELDEILRAGLHDDSALFHLVDNSRWLYVLTVQDGYAYLSTHEKLHDPETPCWFLSLCLETMKLERLFRRTFDGDVHPYIMAWPPSLVGDYGRFAVEDTT >LPERR07G13860.1 pep chromosome:Lperr_V1.4:7:14402554:14404826:-1 gene:LPERR07G13860 transcript:LPERR07G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAPMRHERGMQPAGDRAESQPSPRDAQQLRRAVGPGPDRQVRPGVEAVAVRQADGLLAGAAANKFVFFSGALALQQPRSVQRILGERSILELVGADHRRVRGALSEFLRPEMLRSYVGKIDGEVRRHVEENWAGGRDAVVTVLPLMKRLTFDVIASLLFGLDGGCGGGARRDALAGEFERMMEGMLAVPVDLPFTAFRRSVAAGDRARRMVAEILRERAAALARGEATRGSDLIACLLSLRDDRGERLLSDEEIVDTAMVALVAGHDTSSILMTFMIRHLATDQTTLASMDLTKMKLTWRVAQETLRTVPPILGNFRKALEDVEFDGYVIPKGWQVFWVASVTHMDGSIFPDPSRFDPSRFESQSSSASAAPPCSFVAFGGGPRICPGIEFARIETLVTMHHLVRRFRWKLCGKEDTFARDPMPTPRDGLPIQIEHI >LPERR07G13870.1 pep chromosome:Lperr_V1.4:7:14408019:14411925:1 gene:LPERR07G13870 transcript:LPERR07G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMLFALLLALFIPILLHLITRRKYSSYNLPPGSFGLPFIGQSISLLRALRSNTDYQWYQGRIKKYGPVSKMWLFGSPTVMMAGPAANHFIFSNTDLLFTGTSSLNLLTGRNTLMLSGEELKQVRSALQNFLCPEMVIKYVCKMDKEVRRHVKLNWVGHKTVKVLPLAKKLTFDIICSVIFGQEACSVRKALATDVQTLVKAVLSIPVKIPFTRFSKGLSASGRIRKVLRGIAHERETLLQQDHGTSSDDFFTYMLASRAEGVHSLTVEDIVDNAIFLLIAGYETSSVLITFMLRHLEKEPEVLGKITEEQDEIARSKGPEDPLNWDDVSRMKYTWKVAMETLRTIPPVFGGFRTASKDIEYHGYHIPKGWLVFTAQPVTHLDANLFPEPSKFNPARFENHSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKMCCKDEGYKKDPSPTPILGLPVELEPRLPT >LPERR07G13880.1 pep chromosome:Lperr_V1.4:7:14412533:14415004:1 gene:LPERR07G13880 transcript:LPERR07G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYSSYNLPPGSLGFPLIGQSISLLRALRNNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANHFVFSNQDLIFTQTKAANALIGRSLLTVSGEELKQVRSAVQSYLRPDVLSKFIWKMDEEVRKHIDQNWVGHKTVTVVPLARRLTFNIICSVLFGQEAGPISETMATDFGTLVQATLSIPVNIPFTNFNKGLRASRRIRKFLRQIAREREAAWKQGHCSSADDFFTYMFALRSQGTHFLTVEDIVDNAILILGAGYETSSVLITFLLRYLANEPDILGKMTEEHEEIARNKRPNEPLTWDDVSRMKYTWKVAMETLRTVPPIFGSFRTTIKDIEYQGYHIPKGWQVFTAQILTHLDPKFFYDPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEENYRKDPTPMPVLGLPIELEAKSPSECARA >LPERR07G13880.2 pep chromosome:Lperr_V1.4:7:14412533:14414957:1 gene:LPERR07G13880 transcript:LPERR07G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYSSYNLPPGSLGFPLIGQSISLLRALRNNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANHFVFSNQDLIFTQTKAANALIGRSLLTVSGEELKQVRSAVQSYLRPDVLSKFIWKMDEEVRKHIDQNWVGHKTVTVVPLARRLTFNIICSVLFGQEAGPISETMATDFGTLVQATLSIPVNIPFTNFNKGLRASRRIRKFLRQIAREREAAWKQGHCSSADDFFTYMFALRSQGTHFLTVEDIVDNAILILGAGYETSSVLITFLLRYLANEPDILGKMTEEHEEIARNKRPNEPLTWDDVSRMKYTWKVAMETLRTVPPIFGSFRTTIKDIEYQGYHIPKGWQVFTAQILTHLDPKFFYDPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEENYRKDPTPMPVLGLPIELEAKSPSECARA >LPERR07G13890.1 pep chromosome:Lperr_V1.4:7:14415692:14416126:-1 gene:LPERR07G13890 transcript:LPERR07G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWRVAQETLRLVPPVFGSFRKAPIDIEYEGYVIPRGWQMFWVPSVTHMDPAIFPEPARFDPTRFENPSAPPFSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCCREKENTFVRDPLPTPFNGLPVELEQIAPLP >LPERR07G13900.1 pep chromosome:Lperr_V1.4:7:14416243:14428396:-1 gene:LPERR07G13900 transcript:LPERR07G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGSPTVLLTGPAANHFVFSNQDLIFTQSKAVNALVGRSLLTVSGEELKQVRSAIQGYLRPEIMSKYIWKMDEEVRKHIDLNWAGHKTVTVAPLAKRLTFNIICSVLFGQEAGPISEPDILGKITEVPPIFGSFRTTIKDIEYQGYHIPKGWQVFTAQIVTHLDAKFFDDPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFARTEKLVAMHYLVRQFMWKLCSKEEIYRKDPTPMPVLGLPIELEAKCPSEYARTKRNKVRSSIGSMAATVAVALLVAILTPLIVYLVRTRTRPPRRNLPPGSLGLPLIGQSLGLLRAMRRNDAERWVQERIERYGPVSKLSLFGAPTVLLAGAAANKLLFLHDALAPKQPRSLAAILGRRNILELTGDDHRRVRGALMQFLKPDMLRRYVGKIDGEVRRHFAGRWAGGGGGVVTVLPLMKTLTFDIIATLLFGLDRGEIREQLAAAFAGMLEGMWSVPVDLPFTPFRNGLRASERARRILEATVREKKANLEQGKSSPSDDLISCLVSLRDGDDDGRQLLTEEEIVDNAMLALVAGHDTSAILLTFIIRHLATDPTTLAAMAQGKFITTD >LPERR07G13900.2 pep chromosome:Lperr_V1.4:7:14416245:14427548:-1 gene:LPERR07G13900 transcript:LPERR07G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTWKVAMETLRTVPPIFGSFRTTIKDIEYQGYHIPKGWQVFTAQIVTHLDAKFFDDPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFARTEKLVAMHYLVRQFMWKLCSKEEIYRKDPTPMPVLGLPIELEAKCPSEYARTKRNKVRSSIGSMAATVAVALLVAILTPLIVYLVRTRTRPPRRNLPPGSLGLPLIGQSLGLLRAMRRNDAERWVQERIERYGPVSKLSLFGAPTVLLAGAAANKLLFLHDALAPKQPRSLAAILGRRNILELTGDDHRRVRGALMQFLKPDMLRRYVGKIDGEVRRHFAGRWAGGGGGVVTVLPLMKTLTFDIIATLLFGLDRGEIREQLAAAFAGMLEGMWSVPVDLPFTPFRNGLRASERARRILEATVREKKANLEQGKSSPSDDLISCLVSLRDGDDDGRQLLTEEEIVDNAMLALVAGHDTSAILLTFIIRHLATDPTTLAAMAQGKFITTD >LPERR07G13900.3 pep chromosome:Lperr_V1.4:7:14427695:14428408:-1 gene:LPERR07G13900 transcript:LPERR07G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGSPTVLLTGPAANHFVFSNQDLIFTQSKAVNALVGRSLLTVSGEELKQVRSAIQGYLRPEIMSKYIWKMDEEVRKHIDLNWAGHKTVTVAPLAKRLTFNIICSVLFGQEAGPISEPDILGKITEGE >LPERR07G13910.1 pep chromosome:Lperr_V1.4:7:14434052:14435074:1 gene:LPERR07G13910 transcript:LPERR07G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVVVALLVAFLTPLIIYLVGRRTRPSSPPPPPAHNLPPGSLGLPVIGQSLGLLRAMQRNDAERWVQERIERYGPVSKLSLFGKPTVLLAGVAANKLVFLHDALAPKQPLSQAAILGRRNMLESTGDDHRRVRGAMMQFLKPDMLRRYVGKIDAEVRRHLADRWARGGVVTVLPLMKTLTFDIIATLLFGLDRDEIREQLEDAFAEMLKGMWSVPVDLPFTTFRNGLRASATARRILEATVREKKANLEQGKSSPSDDLISCLVSLRDGGDDDGRQLLTEEEIVDNAMLALAAGHDTSAILLTFIIRHLATDPTTLAAMAQGKQLLILLINPPKTRIN >LPERR07G13920.1 pep chromosome:Lperr_V1.4:7:14435168:14435608:1 gene:LPERR07G13920 transcript:LPERR07G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWRVAQETLRLVPPVFGNFRTAAVDIEYEGYVIPRGWQVFWASSVTHMDPAIYPDPARFDPTRFENAAAPPCSFVAFGAGPRICPGMELARVETLVTMHYLVRHFRWKLCCGEKENTFVRDPLPTPLNGLPVELEQIAPLHCN >LPERR07G13930.1 pep chromosome:Lperr_V1.4:7:14437579:14443289:-1 gene:LPERR07G13930 transcript:LPERR07G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSKSAAKPHPRSPTTAQPPPNNGSAAAAAAVGGGGAAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALTSDSPGLASPARRESLRLLATLCAAHPDAAAPHLSKVMAHLARRLKDTNSDTSVRDACRDAAGQLSAVYLRALAASAAAEAGNATVTLFVKPLFEALGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATCSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQIWKKLAGEDLNADGKNKESADSEGKLDSKRSAQNLDSPNNNDKMKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEQEDADGDPVGPANSNGSADDEANLTQLRASSNFQNIRDRWARGNRNKEAKARPSDVEDRNESSAKDSASAATNIPGEGSSLNKTSSLAIQRQLSHLERQQASLMNMLQDFMGGSHDSMVTLESRVRGLERVVEEMARDISLSSGRRGGGSMMGFESSPGRSSMKYNGFHEYSNSKFGRDRDGRMGFAERYFSTDGMASGVRSSEPWDSYTYSGSRSGMNANRAPRNERSNDQAGPRRGWEKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGDDNGTSRAAARVAIPELDGETLNDDNQEDERGPIWESWTRAMDAVHVGDMDTAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEENFYDIALSWLQQLTDLVMENGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPIQIMKQLASSWRIDLQQLIS >LPERR07G13940.1 pep chromosome:Lperr_V1.4:7:14452338:14455995:1 gene:LPERR07G13940 transcript:LPERR07G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPELANGEAAGAVKVGTTGTIGSLMTRELEAINKSEPATPRRRRQGSPVSVPCVASPRKIIALRKSSTFNNVSDSGRRTDRVSAEEADAGKLTARRSSTAAASSSPMLRSDGSEIVDGRRRSKAKKAAAAAGCRVAGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >LPERR07G13950.1 pep chromosome:Lperr_V1.4:7:14459026:14461370:1 gene:LPERR07G13950 transcript:LPERR07G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTFQLSARAVASSARGARAPPRLGWLRPSRLSAVVPASESGRVGPTCFFKFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQEIHPVDILLMLAASEGDKPKIEELLRAGAKYDVKDVDGRTALDRGSDETREFILGFAATLA >LPERR07G13960.1 pep chromosome:Lperr_V1.4:7:14460849:14468338:-1 gene:LPERR07G13960 transcript:LPERR07G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGLGWKRSSEIFHLTLDYGDFAEGPRDHHHNQPPSQPASPPTQSSAGGSGGSTPLSSSSPTARSHGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDVVCVDLVATRGEDGGEGEDDAAVAVEMRVVRRREALRSVRVARAVGSAVGSGDGAGVLARLIRSNLAPAPAVDGAAATGVPVLADHWRSVAVLSLCNCGLLMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLKVLTVDSNMLISVPAELRQCILLEELSLEHNKLVRPLLDFRSMPKLRILRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENSSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMQLIKCDIMKPIEAVLKSFDEEELVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSPVMTESQSPSISSVGTPVSGAPVGSKPFNTVGTVVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNFQDFKNVCELLVPRYQEEEKPSEITKSMSFSRFKSSNSGFSEGNPTLGWRRVVLLVEASYSPDFGKKLNHARSLETFCSLNGIRLTLMNSASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGNPTAKSSPPTSPLKSWQPSVHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMLHGRKRKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAFMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSSIEPPDSQAIVSHGMDTNGSLENGKFVIADDEASESDPEPASPTSDWEDSDAEKNGERSKDFDDEEYMAQFICFLYDKLFREGVTVDTALQQALRSHPKLKYICHLPHVL >LPERR07G13970.1 pep chromosome:Lperr_V1.4:7:14470416:14472327:1 gene:LPERR07G13970 transcript:LPERR07G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNTRFAPFRDAPFALRGALGSSNSSFSNMDYLRQPSSSGQARSYTSSPLGAVRPMMSPSGSRFLHTSRPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >LPERR07G13980.1 pep chromosome:Lperr_V1.4:7:14475570:14476922:1 gene:LPERR07G13980 transcript:LPERR07G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTDNYRTTNQNDKLMEVLSHSSNLRVSDLSNIKMEPTNCPRSNLQASRSSTKTEYISPPDVIHTFEHLRFLDLSYTKITKIPESFCKLYLLQVLGLRGCHFTSLPRRMNELINLRYLYAEGCTLSLIEKIGKLTNLQELEEFHVSETNRIVELRNLNQLSGQLCIANLEKVSLTADAAEAEFCRKTYLDRLVLKWNDPILSDYYVCMSIIEGLEPPRDLKDLKIQGYRGLIFPKWAGMGQDFKYLQSIHISDCENLECLPPLGLFPALKILLLHKLSSIKQIGSAFYGDEKTVFKSLMELSFHSMLAWEKWSDIEKWQSIPPLKKVRIENCPCLKEAPLQSFRETLLELVLSNCGPILGSRRCPEGFTKLEHLKIREYSGSTTLPYPLLTSLFVLNVSNNGSRTDFSAGIAQLPNLRWLVIDGIVQVIPDKTEPVVQAKMSSGRILRI >LPERR07G13990.1 pep chromosome:Lperr_V1.4:7:14477860:14478489:-1 gene:LPERR07G13990 transcript:LPERR07G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADSSPSPLDLGALMSDAIASAAQKLRAFLGDDYAAHESALLALDVIEAQLLSLPLRGLRGLDDAHVDAEVRLARNEWVLRMDAATNEVEDVVGEMEAEVGRAAWWCWAAPWRRRRCGSGGREEAEVVGAWLRGDAGNKVRMELAVGRLAGVYVQGGELFGDDVVAVATEVTDVSPTSTTDASSSSSGTVALTEVSMPSSSASDE >LPERR07G14000.1 pep chromosome:Lperr_V1.4:7:14493818:14509750:1 gene:LPERR07G14000 transcript:LPERR07G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITPSASRRSWLSSGAASLARSSMRADGGEDPFGRSQAASRRDAGDDEENLRWAALEKLPTYDRMRRGIIRKAVDGGGGEGDGAAFKPDEVDIANLDPREGRELMDRVFKAVEDDNERFLRRFRDRLDQVGIELPKIEVRYKNLSIEADVYVGKRALPTLLNATINTLEGLISRFVSSNKRTINILKDVNGIVKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFSEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQRNIVTDLVLKALGLDICADIIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIILISEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWSLEQDHYHYVTVEEFAQRFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFFRTKMPHEKFSDTAKFIGALTASLITIMFNGFAELQMTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIILTYYVVGFAPAAGRFFRQFLAYFCTHQMALALFRLLGAILRSMVVANTFGMFVLLIIFIFGGFLVSRKDIKPWWIWAYWTSPMMYSNNALSVNEFLASRWAIPNNDTSIKATTIGKAFLQSKGYFTGEWGYWLSIGAMLGFMILFNILYLCALTFLRPISGSSTVVSDDDTKSDLETESTNQEQMSEVINGTNGTENRRSQKGMVLPFQPLSLSFNHMNYYVDMPAEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESLVYSAWLRLSSEVDENTRKVFVDEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYAGQLGLHSQILVDYFEAIPGVPKITEGHNPATWMLEVSSPLAEARLNIDFADVFANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCMANTWKQFLSYWKDPPYNAMRYFMTLLYGLVFGTVFWRRGKNVESVTDLNNLLGATYAAVFFLGAANLMTLLPVVSIERTVFYREKAAGMYSPLSYAFAQGLVEFCYSAVQGVLYTILIYPMIGYEWKADKFFYFLFFMIAAFTYFTLFSMMLVACTASEMFANILLSFVLSSWNNFAGFLIPRPLIPIWWRWFYWANPVSWSIYGVIASQFADSDRVVTVPGQSTTKVVKDFLKENMGFEHDFLGYVVLAHFGYIIVFFFLFGYGIKYLNFQKRWFY >LPERR07G14010.1 pep chromosome:Lperr_V1.4:7:14509760:14510273:1 gene:LPERR07G14010 transcript:LPERR07G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTIYGVIASQFADSDCKVTVSGQSTSTVVKDYLKENMGFEHNFLGYVVLDHFGCHHIFHPIRIRDQVLELPKTRVCATKGGGHG >LPERR07G14020.1 pep chromosome:Lperr_V1.4:7:14512657:14517864:1 gene:LPERR07G14020 transcript:LPERR07G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSAQFAALAVCVCIFASGAMVDGQNVTGGGTRPAELHIGVLFTFDSVIGRAVMPAIELAVADVNADPGVLPGTNFSFIMQDANCSGFVGTIKALELLAKDVVAVVGPQSSSIAHVISHAVTELHVPLVSFAASDPTLSSFEYPYFVRATTSDYFQMSAVASIINQYRWRDVIAIYVDDDYGRGGITALGDVLAKEKSKISYKAKLPPGASMTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSSGYAWIATDWLSTVLDASEHINPDRMELTQGVIMLQQHVADSGIYHSLLSRWNNLTRNGGHSSLSSYSMRTYDSVWLVAHAVEEFLSEGNAISFSTDPNLRDTKGSNLQLASLRSLNNGEKLLEKIWHANFTGASGQVQFTVGRDLIHPAFDILNIVGTGFRTIGYWSNVSGLSVVAPEELHSGSLNSSINNVQLHGVIWPGQTAAKPRGWVFPHHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKAAIGLLPYPVSFDFILFGDGLKNPSYNDLVQKVSDNYFDAAIGDIAIVTNRTRIVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWMFVVLIINSSYTASLTSLLTVQEITSGIQGLDSLIAGSGAIGYQVGSFARSYLIQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKYKTVGQVFTKSGWGFAFPRDSPLAVDLSTAILTLSENGNLQRIHDEWLTGTKCSTDDSVGSSRLSLSSFWGLYLICGFACVLALLIFFSRICCQYRKYNNQVGLECHEPELITRPARPARLTTIKSMISFVDKREEEVKNALKKKPNDCLQPRIGTIGEQSTLPL >LPERR07G14030.1 pep chromosome:Lperr_V1.4:7:14528581:14531846:1 gene:LPERR07G14030 transcript:LPERR07G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVAMDVTKAVTQLGGGGGGGGRRRGNRPAVLRLDLRWGRLLRLAVISRVVRLVSLLGDCQIGKTSFMVKYVGDEEEHNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLTNIIDWYERARKWNKTAIPILIGTKFDDFVQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >LPERR07G14040.1 pep chromosome:Lperr_V1.4:7:14533056:14538652:1 gene:LPERR07G14040 transcript:LPERR07G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >LPERR07G14040.2 pep chromosome:Lperr_V1.4:7:14533056:14538652:1 gene:LPERR07G14040 transcript:LPERR07G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >LPERR07G14040.3 pep chromosome:Lperr_V1.4:7:14533056:14538507:1 gene:LPERR07G14040 transcript:LPERR07G14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >LPERR07G14050.1 pep chromosome:Lperr_V1.4:7:14539596:14541693:-1 gene:LPERR07G14050 transcript:LPERR07G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKLSTAAGNGGAVPNKMQAFKPIHLPPLFTAAGLRPVTVSLSARPLYLSAATRTVASPAPPSATADGARPVEAAAAPAETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEPPSTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAITELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQKAVTEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQAKQ >LPERR07G14060.1 pep chromosome:Lperr_V1.4:7:14557738:14558149:-1 gene:LPERR07G14060 transcript:LPERR07G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILRVWSANLMLIVSSNVATPEMLCAGVLFAGLVYAGVPDCTLCRDSNIPENFAEEDHIIMEQQDRAKINQRFIVPSNIILPQEG >LPERR07G14070.1 pep chromosome:Lperr_V1.4:7:14559087:14559377:1 gene:LPERR07G14070 transcript:LPERR07G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDREYSRAGKRMRGHRRSMETGAAAHGRGRTHAPRTAEDGDGRGGTRPRSPTRRQRGWMGSRGPRLAARTQVADLGDGRSGSAEAMAMEWVDG >LPERR07G14080.1 pep chromosome:Lperr_V1.4:7:14559485:14559799:1 gene:LPERR07G14080 transcript:LPERR07G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVAPRNERGRRRRMRWRRRQGAAVRGRRRVWRSGGGWRRRLRRGWMPCVEGPKEAADGGGGGGGGGSRGEPGMAMDRE >LPERR07G14090.1 pep chromosome:Lperr_V1.4:7:14567456:14568107:-1 gene:LPERR07G14090 transcript:LPERR07G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHFPEHGILSSSRSTLSQDQKPSGSPRAASSSSLPASSLPSPFLSACIVNLTAGLPVSRRSSAHFLRRLRPLHATGLRHRHRLCILEVWLGEIILPSRVTRKRGRRSPRQLSGSAAGQALSSPTPAQATACRATSPASLPFHPRGSARSNRPLVPRAARSSPPPFLWPSTAAVVDLLLEPSL >LPERR07G14100.1 pep chromosome:Lperr_V1.4:7:14584972:14599474:1 gene:LPERR07G14100 transcript:LPERR07G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLGVIRNEALLLRSVRDDVQFIKEEMESMKSFLTHLARWAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRARGGLRRYIWWVSWSLHRIVAQQNAALQLRQLKERARDVGERRLRYGVEVPAKAEAGAGGTGPGRVPSLSTKAATPSAAVDSAAGDDDDEDREDQLITVATPMTHHSRCRRKALCEIHTLDDHVKGKLLEWTDGIPPGAGLTYSIAIMAPNSTYQTALALARDTLVVTPTYDQAGYHRDILVNIPAVHLDFLPLQPKDVLYYILRKLEHAKSRTQKQGIDQGERGEEDDEAGDEDDDEDEEDLDSWQAYYKKRRIYHEKKWELSKIKEIIQKMKIYEKLDKIKDDIQVRQQEGKRNQQQQLPLDLGEMEGVDADKLDLDVLLLLLHESATADAFKQDLVKNKDINNLPAWDENIILKIAKKLKKHMEADGRGLEEMEEIAQQDEGDHQEWEEEQQRQEEKQNNEMERGEDKQGEEQGHEKEKQDEEMEKGEEESKEEKHNEEEEDGKEQDHNEEINKEEEEEEEEEEEEEEEEEEEDDDDDDDDDDDDDDDEDDDDDDSEDDDDEGPIRLHKNQYAQILRQVFPKATSSKPLPAQKQDRLVAKQDIMSATTTLDEERIKQMIHEAKQDVLRELQETKPDKNQATGEPGVQDENQKDGFEEIVQKIEKMKQELKEQLKINRIVNKIKHYLEGECPLIILKVDEMMDGSRWEEIRQALSLLKCSADAVIFTTTKSPQQAKGFYYPAREPIDYSLVGLYHDKVLEVTSQQNNEDDLSPEVFREILEECEPHEFCMKIFTHALYANPKRSNEELLKLHSTLKDSPKSLNTLAKKMFMYSYNDLPKEYKSCLLYLAIFPKDQKIRRSTLIGRWVAEGLTFKEDWPSSVRQAHRCFDALISRWLVYPADIGATGKVKSCVVGDLVHGFITAIARKQHFVETRLSHHLARHFSVFNELQLRSSDKIDKFFQMLSKSSRVSLLKVLDLEGCRCFDGGKNQRYLKDICNKMLLLKYLSLRGTDITQLPSEINNLRELEVLDIRQTKVPVYATVNILLLKLKRLLAGDTDPSPGNSNSFCSVQIPSRIDKMVKVEVLSNVKAKNSNDLKDIGKLWQLRKLGVVINDKKSHLKNLLQTVSDLHECLRSLSITLPGDTPQEGASSSVELPSYIGSFLRHRPKILESLSISGITMTGNLFPVITKGDNNKLAKVTLSSTQLNQDDLNILAKLPNLRCLRLRHVTYTKHMITFKEDEFICLKYLLIEGSDLTKITFEERSALELEKMVLTFTGTGSICGADNLPKLNELELYSSFCGQLLSSFDNAKQIAKVTLCDTLLKQDALQLLAKVTNIRCLVLSHKSFDGRKNQITFKKDEFIWLNLLVVDCSAITKIVFTKRSAPRLEKIVWKSFKPLHSIKCLSGIDKLPRLKELEFNGDLVPKEVKEAIEKHKNKPILKENKSETQDQAKGEEQEYDDDDTRFPFCWKKQYDLTGFCNSKMNWIENTPSQISPPHAKSMPPLAPPPDAIPVAPLSRPELNSDSAVAHEDPLSDSAACPPHIPLRRPRRPELPSERES >LPERR07G14110.1 pep chromosome:Lperr_V1.4:7:14595567:14599380:-1 gene:LPERR07G14110 transcript:LPERR07G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPVKVKTIFSSSSADLSSKVILVKSEPSMRRYLRHMNSSSLNVIMCLVYVTWRSLRHRKFGSLARMFRSSWFSWVLLRVTLASLLLSPFVMTGKRFPVIVIPLMLRLSRILGRCRRKEPI >LPERR07G14120.1 pep chromosome:Lperr_V1.4:7:14606447:14608097:1 gene:LPERR07G14120 transcript:LPERR07G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSGSSVSVLSCSSEEKAQPEESTWTDYFVDFMMSEEKKRQDDASYCTHGGYDTYGDCSDQKEEEEEESMISDAASCAPVATLPDRYKELKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALSQLELSPKRRCNIRDLTKEIGVCDDHGRERMDYRGQRVDGVRFVDQSQKSITPCAELKDKGLCLFPLSMLLHYHG >LPERR07G14130.1 pep chromosome:Lperr_V1.4:7:14620791:14627472:1 gene:LPERR07G14130 transcript:LPERR07G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMELSNYLAVNTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHSGPIPTVSKHGDDVEENHDDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRIILGKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPKEAHVLALEDKTNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSEKMFYEFKRLTPYLGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >LPERR07G14140.1 pep chromosome:Lperr_V1.4:7:14628434:14629214:1 gene:LPERR07G14140 transcript:LPERR07G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPDPGGRRAERAAVGGVGAGNWEAVGALHLQIWPSFFSTSRSNHSFYPVPASNGERKASNGEGGRKMEPTMTTVAAPSLGKFLDAVRPGAGASLVGIWREDGVPDSVTPGFALRQVTERTPTKYLCENGIVTELQCCPLPIT >LPERR07G14150.1 pep chromosome:Lperr_V1.4:7:14629853:14630428:-1 gene:LPERR07G14150 transcript:LPERR07G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKKKQALICCGVILAVILLIATILIALSLTVFRPRSPHVTATIVNTRVSGFALLPAPVLNLTFDVAVSAYNPNRAAFEYGEVVTAVRYHGDGVGEAVVPAGRIGARASAEVRTAVEVDAVGVVTSPYFPAEGIAGALPFETATTVAGKAVVLRVFRVPARSVVTCGVTVFPLRSESTPPQCTSTVHVG >LPERR07G14160.1 pep chromosome:Lperr_V1.4:7:14633662:14634267:-1 gene:LPERR07G14160 transcript:LPERR07G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSKTKKHHKKACCLLLAAIVILGILALIFYLVYRPRPPRIVATPVDIAIELFSLVPPKLKALVGVHVVVYNPSNSPYRYGASLASVTYHGEHVGTSVIPAGEVGGKATSVIEPETAVDVVRVAESPHFADDAVAGVLPFVAVSRVEGKALVLQAFEVSVSVEVVCLVEMYVFRGESSSRCVSTVRTATETISTGDRRA >LPERR07G14170.1 pep chromosome:Lperr_V1.4:7:14639996:14640820:1 gene:LPERR07G14170 transcript:LPERR07G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFPSLFSFTQNEDISVFSILEAEHMDEIFNLPLSMGAYQELIAWQEVRNNHIFKGINATLHIWKRNFKEDIALLWHRVKHTNQASFQLWIDSL >LPERR07G14180.1 pep chromosome:Lperr_V1.4:7:14656212:14657975:-1 gene:LPERR07G14180 transcript:LPERR07G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKVYATVVLIRLIYAGMHILTKASFNEGSSTTVFVFYRHAVAAIFLLPFAYFLEIRKKQAPPLTFRLSAKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMYRMETLNLKTTHGMAKASGILLCIGGVIVLALYQGPAFKSFNHHQLLSHASAAARTHSKKDWALGIFLMTTSVVIWSLWTVKQGPLLLEYPSKLMNTTLQCIFASVQSLVIALVLERDFARWILPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREERHSSSGSDSVVTIVGPGAAAAVAGGEKEGAVTTADVMMAKV >LPERR07G14190.1 pep chromosome:Lperr_V1.4:7:14669249:14671768:-1 gene:LPERR07G14190 transcript:LPERR07G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGTKAYAAVVLIRIMYSGMHVMSKVALDHGMNPLVFLFYRHTTAALVLIPAAFILERRKAKPVTLKIVGKMFVHALYGVTACGDLFNLGLNYASATSSSALYNVQPVRYPHTTECKIMHFNPSLKNLFALCNSMESMKLKKFHGNVKAAGILFCLAGVTVLAFYEGLMFKSFNHRHLFHQSSSSSSAGNTYSKKQWAFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAAERDFSKWKLGWNVELGAVIYSVIELLMQRFQGVIVTALSYYMQMWTITKRGPVFLAIIFAGILLIGGLYNVLWGKSMEEKDDMNKIGSDKTGLELPDREAQVLDDDAAKV >LPERR07G14200.1 pep chromosome:Lperr_V1.4:7:14679220:14686048:1 gene:LPERR07G14200 transcript:LPERR07G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKVQAKKP >LPERR07G14200.2 pep chromosome:Lperr_V1.4:7:14679220:14686144:1 gene:LPERR07G14200 transcript:LPERR07G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKVQAKKP >LPERR07G14200.3 pep chromosome:Lperr_V1.4:7:14679220:14687016:1 gene:LPERR07G14200 transcript:LPERR07G14200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKWKALMKPEEALVIDGWVLRLQETVAQLRPPAGIG >LPERR07G14200.4 pep chromosome:Lperr_V1.4:7:14679220:14686144:1 gene:LPERR07G14200 transcript:LPERR07G14200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKVQAKKP >LPERR07G14200.5 pep chromosome:Lperr_V1.4:7:14679220:14687016:1 gene:LPERR07G14200 transcript:LPERR07G14200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGILWPIVSFTFILHFTPLPISYENFKPMIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKWKALMKPEEALVIDGWVLRLQETVAQLRPPAGIG >LPERR07G14200.6 pep chromosome:Lperr_V1.4:7:14679220:14686144:1 gene:LPERR07G14200 transcript:LPERR07G14200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWSIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSQNTSDSASTSSKNGNVDDSATRITDKHIIDWKLTLHQIGILWPIVSFTFILHFTPLPISYENFKPMIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKISRSKLRGVRHFGKRDKENAKNGAEDTEGPVPISVFMVASVLKEKREKLLLEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLRKVQAKKP >LPERR07G14210.1 pep chromosome:Lperr_V1.4:7:14691687:14693040:-1 gene:LPERR07G14210 transcript:LPERR07G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPVSIHDIRRAQRADGTAAVLAIGTANPATCISQDDYPDYYFRMTNSEHLTDLKEKLKRQCEASGADKRYFHHTEELIAAHPELLDRAAPSLDARLDIAGAAAPELAASAAEKAIAEWGRPATDITHLVVSTNSSARAPGVDHSLATLLGLRPTVRRTMLHLAGCSAGAASLRLAKDLAENTGGARVLVVCVELNVVAFHGPDEGYPQTLTVQGIFGDGAAAVIVGADAVHGVEHPVFDMVAVSQVVIPGTEHALNMRLTEHGLDGHISIKDLIPLAASNAEDLLSDLFRRQLGLAAGVVVWNDLFWVVHPGSPLILDQFERALRLDPGKLAASRRVLREHGNMLGATLMFVLHEQRRRMGEEGGDGAEWGVMMGFGPGFTIETMVLHCTRPNA >LPERR07G14220.1 pep chromosome:Lperr_V1.4:7:14706578:14707903:1 gene:LPERR07G14220 transcript:LPERR07G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKTAVVGDVAVDLHPFIRTYNDGRIERILRSTFVPASEDVAATRSAVVTRDVIIDEANGVSARLFLPSDAAAASDDGRRRRLPVVLYIHGGSFCTESAFCRTYHRYATSLASRAGVIVVSVEYRLAPEHPVPAAHDDAWAALRWAASPLSADPWLADHADPTRLFVAGDSAGGHIAYRTAVRASRNGVDIEGLVIIHPYFWGARMLPSEAAWDGGSVIRPETVESLWPFVTSGQSGNNDPLVDPSMDEIAGLTCRRALVAVAEKDFLRHRGLRLAARMRWAGGREVTVVESEGEDHGFHLYSPLRATSRRLMESIVQFINHRSESETASGWPAGVLPELHECAPLRACKGKVSRAQPLLSVPSRPYQGVFLNGLDLQARRGPRAMEINSSLTIGLRKMSNRGFGLFTARPKPNNCKASMGTLSASASVSSTTVGKNFL >LPERR07G14230.1 pep chromosome:Lperr_V1.4:7:14708749:14710062:-1 gene:LPERR07G14230 transcript:LPERR07G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSAPLSSFRRLQRADGLACVLAIGTANPPNCVPQADFPDFYFRATNSDHLTNLKHKFKRLCKHSHHFLLLSHLVANARFTVCVLSVAAGDKTDVKRRYLYYTEDMLAARPELADNAAPSLDARLDIAAKAVPELAAKAAEKAIAEWGSPAADVTHLVVTTNSGAHVPGVDLRLVQLLGLRPSMRRTMLYLNGCSAGCAALRLAKDLAENTRGARVLVVAAELTLMLFTGPEEGDSGFMTLFNQALFGDGAAAVIIGADTMDHVEHPLFEIVSAAQTIIPESDQTITMSLTSRRLDGNICTKHAPGLIGSNVEHCLLDSFGPLGFGGRNRWNDMFWVVHPGATTILDQIDAVLGLEPGKLAASRRVLSEYGNMFDITVFFVLDEMRRRMEDGAEGESPEWGAMMAFGPGLTVETMVLHATPTERKCNLHENGNAM >LPERR07G14240.1 pep chromosome:Lperr_V1.4:7:14716902:14718226:-1 gene:LPERR07G14240 transcript:LPERR07G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPVTVNDLRRAQRADGPAAVLAIGTASPANCVTQAEYADFYCRVTDSEHVAGIKEKLDTICVSGSCIEKRFFHHTEEMITVHPEFVDPASPSLDARLEIAAAAVPELAASAATKAIDRWGRPATDITHLIVTTNAGAHAPGADVRLATLLGLRATVRRTMIHLNGCSAGAAALRLAKDLAENNLGARVLVACVELTVLTFRGPDRPHTVTCQALFGDGAGAVIVGADAAVQPVEHPLFEMVSVSQTLIPGTEHVITMRLTEHGLDGDIAAKELIPLAADNVEKCLADALAPLGLGGVEWNELFWAVHPGSTLILDPLERALGLKQGKLAASRTVLRENGNMLGSTLIFVLDEQRRRMDEEGDGAEWGVMLGFGPGFTIETMVLHAPDCRKKN >LPERR07G14250.1 pep chromosome:Lperr_V1.4:7:14736891:14738252:1 gene:LPERR07G14250 transcript:LPERR07G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERIVRAALAARNIVFSDDVAVNLYPFMLTYTDGRVERLLTSSYVPASEDAGGIGRVATRDVVVDRATGVSARLFLPSAAAAGGKRRLPVVLYFHGGSFITESALCRTYHRYATSLASRAGAIVVSVEYRLAPEHPVPAAYDDAWAAFRWLASASESDPWLAEHGDLGRTFVAGDSAGGNIAYHTVARAGRENGDGAIGVQGLIMVHPFFWGPERLPSENVWNGVSVFPAFGVDWLWPFVTAGEAGNDDRRINPTDDELASLSSSCGRVLMAVAGKDTLCHRNQHLAARMRGAGGDVTVVESDGEDHGFHLYSPLRATSKKLMESIVQFINQPAPAPPLRWPATIMSELQEWSTHGDVDDTTNSSSQILLGVPTRAYKAIFVDRLDKKSKAGSSSSNTAVSASLNIGSGGRAPTSTSFGIPFGRIRAYNYRGISAASASQCQRPFRGGVPW >LPERR07G14260.1 pep chromosome:Lperr_V1.4:7:14751011:14752616:-1 gene:LPERR07G14260 transcript:LPERR07G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGGPGSSGGRRICGSAASRRGLEVARRVTTTAAAGGGDAAQADPPQLVGPQAGVEAGRKRMTAGATAGVMEGRDEEGMDAGTR >LPERR07G14270.1 pep chromosome:Lperr_V1.4:7:14754427:14758303:1 gene:LPERR07G14270 transcript:LPERR07G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDHGMNPFVFVFYRHGTAALVLIPAALILERPKAKLVTLKIAAKMFVHALYGVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCITGVIVLAFYQGPMFKSFNHHRLFQKGNIDSSAGNTHSKMQWVFGIFLMTLSNVLAGLWTVLLGPLIDETSKLMNTTLQISWAAAQAFVVAFAAERDFSKWKLGWNVELATIVYSGVIVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDATSLGSIFAGILLIGGLYNVLWGKNIEEHDEPDNDIGATKPDLELQRKEAQAHDDNATKV >LPERR07G14280.1 pep chromosome:Lperr_V1.4:7:14763004:14765599:1 gene:LPERR07G14280 transcript:LPERR07G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGVKSYAAVFLIRIMYSIMQVLNKVSLDQGMSPLVFIFYRNTTAALVLIPVAFILERGKAKTLTLRNSGKMFIHALYGVTACGVFFNLGLNYASATSSSALYNVQPVVTFILAVLFGLESMKLKRFHGNLKAAGILFCMGGVIILAFFEGPKFKSFNHHRLFKQVSSNSPTGNNHSKKQWALGIFLMILSNILGGLWNVFQGVIVAALSYYMQIWTIEKRGPVFLAMSMPLTLIFTIIISSFILGDAVSLGSILAGILLIGGLFNVLWGKNIEERDELTNIYPANPDLEMQDKEGQVPDDGVTKD >LPERR07G14290.1 pep chromosome:Lperr_V1.4:7:14778075:14779379:-1 gene:LPERR07G14290 transcript:LPERR07G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVGDVAVDLHPFMRKYTDGRIERMLFSSFVPASEDPAASRGVATRDVIIDETNGVSARLFLPSDAAASDNGRRRRLPVVVYIHGGSFCTESAFCRTYHRYATSVASRAGALVVSVEYRLAPEHPVPAAHDDAWAALRWAASPLSDPWLTEHADLTRLFVAGDSAGGHIAYRTAVRASHDGIHIEGLIVVQPFFWGAEMLPSEVAWVGDSVIDPHRVGDLWPFVTAGQAGNDDPWLEPSVEEIAGLTFRRALVAVAEKDFLRHRGLHLAARMRWAGGREVTVVDSEGEDHGFHLYSPLRATSRRLMESIVQFINHRSESETASGWPAGVLPELHECAPLRACKGKVSRAQPLLSVPSRPYQAVFLNGFDLQARHGPRAVEMNSSLTIGPRKTSKRGFGLFTARSKANNCSASMVTLSATTSVSSITVGKKFF >LPERR07G14300.1 pep chromosome:Lperr_V1.4:7:14795164:14798577:1 gene:LPERR07G14300 transcript:LPERR07G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAETSPPSTPTTASCPTPRPAVATPPTMSPSLLRAARSGDERRLVKALLADPAAPDLEAAAARALAAADPGLAGLRGGAGESPMYMAAAAGSLAMVRLLTKTYRSDEEDEEESPVLRSCTGLGGRTVLHAAVLTSNEMTQGLLQWKPELVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSKGRNFLHIAVEHKKWKVVWHFCRTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKSVMPNIVNSQGLTPLDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKTSGDELKKFTNISQNLVVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPISERIAIVVCLSAFTTLLLRNPSNWQLGFLFMPIKRRLGWRGAFKAHLPQETRSRLTVGVGSNFASLIFWRMLGMLSTYSFIFLLALL >LPERR07G14310.1 pep chromosome:Lperr_V1.4:7:14801187:14806878:-1 gene:LPERR07G14310 transcript:LPERR07G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGWSMVAKKGFNLPTFLFNLPLVSLAPVINLLHLTKKAKMDLHCTCYCMKGALGMVSYSHSERTEMKQLFERLSGWEPELYVHEKDIICEGYIDYFEQRQQVQQERRFPGIADLGMMLSLHNMLWTNTYFRDGHAHDLQQWWKPDGDLRLSDRRYPAIQDRERI >LPERR07G14320.1 pep chromosome:Lperr_V1.4:7:14807611:14811147:1 gene:LPERR07G14320 transcript:LPERR07G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELERDIEMGNRNSENKSDYGLEEFFQEVREIEMLLDKMSSISHKLQEANEEYKSVTKVSAMKGIKGRMEKDINEVGKITLSIKVKLEKMDRANLENRKMPGCGKGTGVDRSRMSMTIALKNKLKERMKDFENLRDIIQEEYRQVVARMVFTVTGEQPSNEVIDHLIETGSSEQIFQNAIQGIGRGQIIAMVEEIQERHDVVMEIEKRLLELQQIFADLAALVETQGETLNDIENQIQNAVNYLQDGTDALRTAKSLQKKSRKCMFIAIIILLVIAAIVVFSILKPWAK >LPERR07G14330.1 pep chromosome:Lperr_V1.4:7:14811083:14813216:-1 gene:LPERR07G14330 transcript:LPERR07G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFSVPSLIMEEEGRFEAEVAEVEAWWKTDRFRLTKRPYTARDVVLLRGTLRQSYASGDMAKKLWLTLKSHQAQGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLPRSERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLATVLSDAMSSGKNGRELQAIEDEWLATAQLKTFSDCVKDAIASLNTNEHEKQRKLQEWIAATSYDNCVPLEQARDIAAGLGVGNVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPDVAECTAFAEGVRAASPDTMLAYNLSPSFNWDASGMSDADMSEFIPRVARLGYVWQFITLAGFHADALVVDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGGISSTAAMGKGKKLSNLRVTEEQFKGSWTGPGSESSSQHVLAKSRM >LPERR07G14340.1 pep chromosome:Lperr_V1.4:7:14825164:14826890:1 gene:LPERR07G14340 transcript:LPERR07G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATTASSLLKTSFAGARLPSAARNPTVSVAPRTGATICNSISSSNTPPYDLNALRFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSVSVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGVAYDEQEDYVVIKHAALFTSTVMSRVLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMLSGQKAAHLALKALGRPNAIDGTIKKATAAATHPELILASKDDGETVDA >LPERR07G14340.2 pep chromosome:Lperr_V1.4:7:14825164:14826890:1 gene:LPERR07G14340 transcript:LPERR07G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATTASSLLKTSFAGARLPSAARNPTVSVAPRTGATICNSISSSNTPPYDLNALRFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSVSVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGVAYDEQEDYVVIKHAALFTSTVMSRVLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMLSGQKAAHLALKALGRPNAIDGTIKKATAAATHPELILASKDDGETVDA >LPERR07G14350.1 pep chromosome:Lperr_V1.4:7:14829973:14832784:1 gene:LPERR07G14350 transcript:LPERR07G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRDQRPLVTTVMIVAAAVFCFRGASAADPSFGDNFEITGAEDHVKTSADGQTWFLYLDNKTGVGFQTKEKYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPERDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNKFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGTAAAASPATSPPSCAAATGNSWWDQPPAWALDDAQREDSAWVARNLVIYDYCDDRVRYPSPSEECALRTTSS >LPERR07G14360.1 pep chromosome:Lperr_V1.4:7:14834617:14836533:-1 gene:LPERR07G14360 transcript:LPERR07G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >LPERR07G14370.1 pep chromosome:Lperr_V1.4:7:14840561:14848996:-1 gene:LPERR07G14370 transcript:LPERR07G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRVRVGTLIPLAEGNAGSSNGSVSSIPIYQGSNVVGRNHLVAVDKRVSRKHLSLHATVDGSIEVVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGKYFVKYVNVGDNHKISTSMGSRELIKGKRHIEEDSLVVKRNRQIMEDEAFARSLQENLAEEGATISGQVSNQISSPLDSAGSSERNKERTHSLGPLKDVLSLNFRLMRVQGLPPWTNTSSVTIQDVVQGEVLLAVLSNYMVDIEWLLTACPSLRKVPHVLVIHGEDGGSLERLKKTKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDGKDVNKSISFENDLVDYLSTIKWPEFRVNLPVVGDVNIDAAFFRNFDYKSSSVRLIGSVPGYHVGSNIKKWGHMKLRSVLEGCIFDQQFCKSPLIYQFSSLGSLDEKWMSEFAFSLSAGKSDDGSLLGIGKPMIVWPTVEDVRSSIEGYAAGSCIPSPQKNVEKDFLRKYWARWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPKTFQSVPQFSCMGKNRSHLDNLAPGKEVKTKLVTLCWKNDEEKESSTEIIKLPVPYQLPPQPYGTGDVPWSWDRVYTKKDVYGSVWPRYG >LPERR07G14380.1 pep chromosome:Lperr_V1.4:7:14850941:14851375:1 gene:LPERR07G14380 transcript:LPERR07G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKHNNEVDFLEPSVLLDETHYQAGFKNGYNDGLVTGKEEARQVGLKNGFQVGEELGFYQGCVDVWMSVVSIDQDAFSARVRKNIEQLAALVRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGAKLEYQGRPTSSKQDVEDL >LPERR07G14390.1 pep chromosome:Lperr_V1.4:7:14852276:14853859:-1 gene:LPERR07G14390 transcript:LPERR07G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDWTTLTGVLIVLIVERLLADDVTEYIRFRAVCSAWRQQTEDPCVNEGLHPRYLPLSWMMLEETSEAPLRHRFLNTRTGEVKAVKFPEEIDRDNDVMGPTLDGLLTLREKDTHYLSLFNPFTGYVTELPSLVTMIHTMAHDPTLVEPQYHQPTAIGLSDDSAWWDRPVVAIFCKMVNKIAVARPGGKEWRWASFKTLNLQSAASLMGRFYACSQDMLFHLRSDGGWSDPKLEPVVNVNLPILLQPGGIPPNRYTLAAHAGDDARDNKKLTLVREVYYWPNGHPPPPDGFDTLTMPRFCIVYAVDVSTRVVNLSHLDGHALFIGDDRVVWVRPGAFSSRLLREGTVYTCRSHRLFTVGDLRVEPHGPLIVELHGHHVADGSRFECEFLSDNSEEVNPMGIVETLATYVGSDRGGLARPPLYMPADGW >LPERR07G14400.1 pep chromosome:Lperr_V1.4:7:14855417:14857853:-1 gene:LPERR07G14400 transcript:LPERR07G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDESDISDDLSYKELGVLVDCETKFQAKNDLSGQRKEKDLPDPAVFIHGQECGHRITKICNTGVPPAITID >LPERR07G14410.1 pep chromosome:Lperr_V1.4:7:14866290:14872907:1 gene:LPERR07G14410 transcript:LPERR07G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSPRLGTMISAKVASPPPSLLLPPSPRLQGRRLTPPFSCLPEPGTPAIPSPGPEKQPERDASSATVPRSPKQLGSSQLNRWSRARAHRSGRRLEWPTIRDRSGSGVSAPLTPSGEAAAAAAARVAVEEEEDGGYGVMGTGRDEAAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFKRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFSQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRY >LPERR07G14420.1 pep chromosome:Lperr_V1.4:7:14871314:14873419:-1 gene:LPERR07G14420 transcript:LPERR07G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVLAASDLGQSNLDANPVTAAAGGGFIALDVSALSSLAGDVPDTAAPPRTPKVVRSLSRKGERKPADGDGGNGTPGKRPPLSPLFVHVAASDDMSGLRLVHTPVAGTPGGKSRRLGRQPAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGGGGSSDAR >LPERR07G14430.1 pep chromosome:Lperr_V1.4:7:14877485:14879101:-1 gene:LPERR07G14430 transcript:LPERR07G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERHHEHLDSQAVVYRSTCNLDSLNLSGRLNSELSPYAIVEHLDSLEHTGCLPGKQSLLTDKLPGFDSFWRWCKTTKVMQLMMASVHLRSSNDVDTKNLGRSGFLYRIRECSETQVGVARCPVEVWRGDQVARGPGGVSEEAHTERPIVTCGLLSRSMGSGSTNGNLQRIQCAGRWASLVDVDGHGDVRCDDEGAADSGAIGITWRAARRQRCGLASQVVWVAKLRWSRRRCYEVDVIGLQRSPFQTYVLLR >LPERR07G14440.1 pep chromosome:Lperr_V1.4:7:14889636:14891405:1 gene:LPERR07G14440 transcript:LPERR07G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFVALVAAAALICSRSVQAQLKVGYYRDTCNGAEETVRQEVASVLSVEPRLAGALLRLHFHDCFVRGCDGSILLDSLAGGAVAEKEAETSAGLRGFDVIDSIKEKLEQACPGTVSCADILALVARDAVYLSNGPFWPVPTGRLDGKISNAAETSDLPPPNSDMSQLQAAFAVKNLTAKDLVVLSGAHTIGFSHCQPFHDRLYNFTGGHRLNDVDPELDAAYIGELRSKCGAAADADPGMMVEMSPKKSPKFDTGYYMQVARRRGLFRSDAVLLADEFTRGYVEKHATGLFDMEFFGDFGEAMVNMGNLRPTPGSEGEVRRKCSVVN >LPERR07G14450.1 pep chromosome:Lperr_V1.4:7:14894865:14903369:-1 gene:LPERR07G14450 transcript:LPERR07G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIQHALPILPPPIGRRGLTTRTPRTPQHGRHFLSLCRSTSLQICAAFEWNCAEMDSGSGELRSSIKKWNIIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKEDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKSGKKKK >LPERR07G14450.2 pep chromosome:Lperr_V1.4:7:14894865:14898111:-1 gene:LPERR07G14450 transcript:LPERR07G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSGELRSSIKKWNIIYPVYLNSKKTVAEGRRIAAGKACPDPTCVEIADCCSYLKIPHAIELDKAYPRDFFQVGRVRVQLKKEDGSAINPAIKTKKQLLIQIAELVPKHHGRTKKQEPAASSAAGTSKGGKSGKKKK >LPERR07G14450.3 pep chromosome:Lperr_V1.4:7:14898287:14903369:-1 gene:LPERR07G14450 transcript:LPERR07G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIQHALPILPPPIGRRGLTTRTPRTPQHGRHFLSLCRSTSLQFPEKQKPQEYTLQTSWLIEGDKKLGNMMAFRANN >LPERR07G14460.1 pep chromosome:Lperr_V1.4:7:14904230:14904577:-1 gene:LPERR07G14460 transcript:LPERR07G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGGDDDGDDQDEYWGATAEKKDDGAAGSSSPARRVKIRMTKGQLRLLLDGAGRGAAVEDVVAEIMRMGEVHVEPVEAADDGRRPPQSTKLDTILEDLDE >LPERR07G14480.1 pep chromosome:Lperr_V1.4:7:14916697:14917305:1 gene:LPERR07G14480 transcript:LPERR07G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYRLPMYHRQSPAVRCLNFLCAVLLTMVLITGIIMFVLWLSLRPHKPRFYLNDFSIPNLNRQSGAVNLPVRFTVDERNPNQKIGIHYEAIYGSVYYGDLLVASGPVHQPFYQPPKGDTQLLGDLTASGPTSGDGSAWQRFAGDAAAGNVGLRLMLNSTVRFQVKVWDTKEHNMKVDCEFSLRGDGTLQQQDKNKQCLLYF >LPERR07G14490.1 pep chromosome:Lperr_V1.4:7:14918067:14921914:-1 gene:LPERR07G14490 transcript:LPERR07G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAGDIELDRPNLEDYLPSDSLPQESPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRGLTLLVGWLAFFAAFFPVHFLFKGQKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >LPERR07G14500.1 pep chromosome:Lperr_V1.4:7:14921520:14933117:1 gene:LPERR07G14500 transcript:LPERR07G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGNADELASSKKARKSKPKEETKHKKSKHERPAAAAAADEAQEGKGKKRKHKEEEEKHGKRKGQGVAEEASRGDDEKVRRAMEDERFAAARTDPRFRPMRRKEAKVELDSRFTGILTDPRFSSSAAPVDKHGRRRKKKGGRENPMLQYYLNQEEEGGEKKKAKLIEGEEEEEEDEVEEEHEEEEESSSSDDDGDEDEDDDDEVYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSVYPSEFGLECMKIESTKGPAALVDVNGSDGEKSGGDDDDDDDDDDDDEDSSDTEHDSEAENNKLRSYELNRLRYYYAVVVCDSSITANHLYMSLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPSYKEPNFETRALQHSRVKLTWDDDEPERKKVLRRKFADDQLDDLDMYLASDDSASDDEGADNCGDESLQNGVAKKKLTREERLALLLQGDKSEEEQTDGEDMEITFNTELEDLSKRIINRKINNEKTVWEKHQEKMKEKRKSRKRSSKDDGDGNDYSSEDSPDEHDDFFDEEMSDDESKITKKQKAKTKEKGKRKGKDKLPEKHLEDEATREELELLVAADKDAANGAKGYNLKRKKGKKGKKGKEQSVEDKLPEIDISKDERFSAMFTSHLFAVDPTDPQYKRSAAFMRKQTGKQGAHEPSLGGKGTLPPDEMPTNTDDQKSEGTSMEKHETMSAVKSLKRKLNAFKNTRSDR >LPERR07G14510.1 pep chromosome:Lperr_V1.4:7:14928873:14932328:-1 gene:LPERR07G14510 transcript:LPERR07G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAFGAVNLVLGLIQDEARLLGGIREDLRFIMQEMESMNNVLRHIVANKGSAAASDYQLRPWMKQVLELAFDSRNCVELYTQSGSGRCGWLPWVMVARHRIVTRIHELKIRAREISERQARYGIAVSSHPQPINMSMTMEPMGDSVHNQRRPGVYAGSSNNPSRRAILDDRWFGDYSSVDEVLSRLKPFTEYCYREEKPPALLNDDDKQQPRLQLVSGNKHDDKGQLPPPLDDRWFGDYKEDEILSRLKPLSEYSCPEVKLPVQLTEDNKQQSCLQLDGGDKHDDNEQMLPPQSDGGDKGQQSDGENKEKLPPPHLGGCIKLKQLVQSDDNAKQPPPPQSDGSSKHDDKGQPPPTQSGVKQQSPQSDDNGRQPPLALPDGDNKHDDKEKEQPQLPQTDGVKLQPPPLVQPDGGFKLELPPPQLDSGFKLSSPVEKKQHIRVAAICVQDGTDESVVGETVLERYKSHWRRPWSQLLHVYVHRPPILSEITKVIVDKLRDTADRETDNELEDRNMLAKKLVNSEVLLVLSGLNYPVLWHQVLELLSSTGCPESAVILCTNDSKMAKYCCDSAKDRPPVIYSLVDIYLNRALALLPCRYDEGHLKGILHNILAQCCPDVFCMKMLLHALYYNADTTEHQLEVLKISLGKESTDHGRQDRIMAFCYQALPNNYKNCLWYSTVFTRGITMPDGVRRASLLRRWVAQGLITQVEHSSAEDEAEHCFEAMIRQKLIVPSGFSGARKVKSCIVHPVVADLIDRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKAHDIHTVCKIHKLKYLSLRNTDVAQLPKQIGQLKLLETLDIRGTRVHVFHTVLPMLKHLLAGCTIDCPVEDIVKSNESFSTVYMPHAVASMEKMEILSRVNVSNSAKDLSDIGDKLKHLKKLGVVLSGKKANLVDLFLQVDKLHRCLRSLSVRMDPPGNWDAIDVILLRPPKLLESLHICSIRHGLPPRIKELHHLAKITLRDTFLNQNALDVLSMLKGLRYLRLCYHSFAEGTLRFENFKNLMDLVIEDDIVTSITFGISTRNYQNPGRMLEKIVWSFTHMEKLSGVHTLESLTYLELNGGTCNPQNLEELKREVDDHSNRITFTLKST >LPERR07G14520.1 pep chromosome:Lperr_V1.4:7:14958637:14959716:1 gene:LPERR07G14520 transcript:LPERR07G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRGKEEHRRCVAACHVKGVYVIESDIHKRRVYSRDALAPSWWNTFGFRPLDVIKDDKLIFGIIYEYEAAAGLVHHPSAPHYVVAFRGTMLRHRKAMTEDLRHDIKVMVNTLPESTRFNISREMVEKLLHGVTNNANVASCNVWLAGHSLGASHALDVGRFMMTEKGFNLPTFLFNPPQVSLAPVIDLLRPIEAVKEGIYTASYFLKARLGEGLQKPHKDNMIELFKKLAPWTPELFVHEKDPICQGYIYYFEQSQNVQERFHGVARSAKTLSYRDMLCAAFGKEKERPHLLPSARLWKNSAMVDVDEDAEDQHKCKPLRYLQRLKKQAVKAHSLKQWWKPDSELSLNSTLYNYPSP >LPERR07G14530.1 pep chromosome:Lperr_V1.4:7:14962135:14963454:-1 gene:LPERR07G14530 transcript:LPERR07G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTATALALILLLLRPTTLAGDHHGFRATLTRIHQLSPGKHSEAVRRDSHRLSLLSSYATTSSGSVSVQATVENGAASYHMNLSMGTPPLTFPAIIDTGSDLLWTQCSPCTKCAFKQPTPLFDPAKSSTFSKLPCNSTFCQSLPSTFRTCNATGCVYNYRYGVGYTAGYLATEKITIGDTTFPSVAFGCSTVNDGTRDNTSGMVGLGRTPLSLVSQLGVGRFSYCLRSNTAAGDSPILFGSLAKLTGGNGVVQSTPLLQNPGMPDSAFYYVNLTGITVGTTDLPITSTTFGFTGTGAGGGTVVDSGATLTYLTKDAYAMVKKAFLSQMANLTTVSGAPYDLDVCFKVTGAGDVHEIVPKLVLRFEGGAKYDVPFHNYFDGVDVDSQGRVTVACLLVLTMIDDQSLSIIGNRMQMDMHVLYDLDGGMFSFAPADCAKV >LPERR07G14540.1 pep chromosome:Lperr_V1.4:7:14965731:14966365:-1 gene:LPERR07G14540 transcript:LPERR07G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVFLHSGGDSIPFWDPRRRAEKSTTAVRWIGSRRIDLHPLVRAREIGHRRINLAASRRSDRSGGGSIPFWLVCHVLDGDEDLKMAIGE >LPERR07G14550.1 pep chromosome:Lperr_V1.4:7:14977966:14980565:1 gene:LPERR07G14550 transcript:LPERR07G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGTTRLLGVTSNGSTALRVVASHGHIELAALICQRAPSLVATRNRCLDTPLHCAARTGHREVAACLLRTLQAAVMEHVEVTLQAKNKTGATALHEAVRHRRFEVVDLLMEAAPWLASVTTEGGVSALYMAATVDSLRMVTIIEALLRPSQIGEPSLASVAGPEGRTALHVAATGIKEIAEAILRWEPEGPELLTRVDSSGKTPLHFAIIYGMLDIVRLFLDGYASLDLASISDKEGSYPLHAAAMFGRTRIIDERVKKCPNYYELVDDKGRTFLHIAVEHDREMVVRHICQNDMFAMLLNSTDSDGNTPLHLAVKYGYPRIVYLLLGTGVDTCITNNDGHTARDLACCALAPGQFYYFLYPHFLVYKCLKHVRAPYSLEGVHALNLDRKPVVDDDASKQQDNMRKNGTIASVLIASVAFAAAFTVPGGIITDDHARAGTAVLARRFTFRVFVVTDAMAFLCSIVATCYLIHGTARETPRDQRLIYYLLAAGLVSWGAQFLIGAFALGFHLVLGTANRGLMIFV >LPERR07G14560.1 pep chromosome:Lperr_V1.4:7:14982303:14982969:1 gene:LPERR07G14560 transcript:LPERR07G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIARASSLNNLLKYSLASISDNEGSYPVHAAAMFGRTRIIDELVKKCPNYYELVDDKGRTFLHIAVQHEKETVDRHICQNDKFAMLLNSTDSHGNIPLHVAVKYGYPRIVCLLLGTSVDTCICTIIEQLCCIHEILIIDR >LPERR07G14570.1 pep chromosome:Lperr_V1.4:7:14994876:14996450:1 gene:LPERR07G14570 transcript:LPERR07G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSIVPSSMKGLHLDLDRFDISGPTHMMSKHGAGSSPLLIDWGKEEHRRCVAACLVKGVYVMENDIHKRRVYSSDALAPPWWNTFGFRPLDVIKDDKLIFGIIYEYEAAAGLVHHPSAPRYVVAFRGTMLGHLKTLTEDTRYNVMVMRNTLPESPRAKISRKEVEKLLRRITNNANATRFTTAVHDGNKTHATNCDVWLAGHSLGASVALDVGRVMVAKNGFNLPTFLFNPPQVSLPPAIDKLTSRLEKLKKNMYMTSYIMKAGLGKVVKPFKPHKERMKKLFEKLSHWAPELYVHEEDMICKGYIDYFEQRQQVQERLLIVARSAMMLSYRDMVCHVLGKEKEQPHLLPSARLWKNTSMHGGAHDLQLWWKPDGELNLNATKYSYP >LPERR07G14580.1 pep chromosome:Lperr_V1.4:7:15002820:15006670:1 gene:LPERR07G14580 transcript:LPERR07G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAQAIQEHRVTPFRRSHASLSSSFHCQPCHRDVNVSTSTILRKTTPKRVPVLPRHVPVVSSLRNPETSEEPRFFPRFRRVHRRRVRARLPWPTSPCHRVTDADPPSQTSISFTPYARAVIPSRIRCRRSSSVVVVVAWTSPTRSNCVVLDAEC >LPERR07G14580.2 pep chromosome:Lperr_V1.4:7:15003356:15006670:1 gene:LPERR07G14580 transcript:LPERR07G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPPSAPAAAPRHRLLLRSLPWPTSPCHRVTDADPPSQTSISFTPYARAVIPSRIRCRRSSSVVVVVAWTSPTRSNCVVLDAEC >LPERR07G14590.1 pep chromosome:Lperr_V1.4:7:15019397:15020140:1 gene:LPERR07G14590 transcript:LPERR07G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGYVLRTAFRSLASRERDLAEEEIEEIDVGDHSPNPQHRHSRRRAPPPPSSPPVAVAAAGAAPPPPPLAEVAAVGEGGLASHEVADMAAGSGPRQSERAGEPCRGDVHRRLEFSTPKGALYVAEALLRHPPVTPGEGSNAKRWFDDMAKLVNTAQRQLAADLASSSHRPRGSYTAVTSSSRRRARRAVAAVRAEEAPPAAAQAEASRDPLYAERDARVRIEQLPGERRAGRAPNCAFSSGGAKAR >LPERR07G14600.1 pep chromosome:Lperr_V1.4:7:15066566:15067138:1 gene:LPERR07G14600 transcript:LPERR07G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNATDSEGDTPLHLSVKYAYPRVLSSLLQTANVEPNIVNKDGLTAADIAHHAFAPGQSYYFLV >LPERR07G14610.1 pep chromosome:Lperr_V1.4:7:15081161:15083217:1 gene:LPERR07G14610 transcript:LPERR07G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSATVEFGPQNMWLDEELLQVLAAGNKVRFEELLRGEDSGGGNDSRQQTNDQVAINFHSAEPAPEPTRRGGTCCLHGVTSNGSTALHIVASHGHAELAALVCERAPWLAATRNRCLDTPLHCAARTGHRDVAACLLRTMPPTAVQARNKTGDTALHDAVRHDRVGIVDILMTTVPWLASITTDDGVSPLYMAAAAQSKRMVQVLLHPMQNGGPSPASAAGPEGRTALHVAATGMKVQLFLNGYTSLGLASISDNEGSYPVHAAAKFEETRILDELVEKCPNYYEMVDDKGRNLLHVAVEDERETVVRHICKNDNFTTLLNAEDSNGNTPFHLAVKYSYPQIFGLLLGTTTVDMCITNKDGHTAKDLALRALAPDQMHYFLINRTMPDNRAKTVFVGGYMGHPLLIA >LPERR07G14620.1 pep chromosome:Lperr_V1.4:7:15084143:15084607:1 gene:LPERR07G14620 transcript:LPERR07G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCAIVATGFLIYGSAREIPGRHRLYYSLLASGLVPWAAQFLIGAFALGFHLMLGAKNRGLVIFVYVVSSAAVLFGSPGIWGPFRLGLGRAIWRRAGWRGVINIHKRPSGLLNCVCLVFTGPLFHIRRTLFAVLICATFVVAIALDITMPNI >LPERR07G14630.1 pep chromosome:Lperr_V1.4:7:15088095:15091977:1 gene:LPERR07G14630 transcript:LPERR07G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASAEFGPQHNLWLDDELLRALVAGSKVSLQKLLREESPQTNGQITINLRGTAPAGVAAAPELSGSCRLIGVTSNGSTALHVVAIHGHAELAGLICERAPSLAATRNRCLDTPLHCAARAGHRDVAACILRTMMQASTEAADSILQARNKAGATALHEAVRHGRVGVVDLLMETAPSLAAVTTDGGVSPLYMAAAAGSADSVQMVHAILRPLRNGAPSPASAAGPEGRTALHAAAIRSTKEISEAILSWEPEGPTLLTRDDLSGKTPLHFAVIYGRLDIVQLFLGDHASLRLTSISDNDGSYPLHAAAMFGRTKIIDELVQKCPNYYELVDDKGGNFLHIAVQYEMEMVVRHICQNDIFAMLLNATDYDGNTPLHLAVKQGYPRIFGLLLETTGVDMCITNKDGHTATDLACCALTPEGLRYFPDPQITVLACLWWVREPFSLNHRALHIHDLHALEDEPSSKQQHSMTKNITIGSVLIATVAFAAAFTLPGGVVADDHPRAGTAILSSRFAFRAFVVTDTMAFLYSIMATCFVIYGKSREIPRIHRQACSLLASGLFPCGAQFLIGAFAFGFHLVLGTANRWLMIFVYMVSSMAVLLCFPSIWAPFRFGLRRAIWRRYGWRGLINMHRRPSSPLDFFVLVFTGPLIDFRRTLFALVISATFVIAIALDIAMPNY >LPERR07G14640.1 pep chromosome:Lperr_V1.4:7:15095529:15096851:-1 gene:LPERR07G14640 transcript:LPERR07G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAAILALLVLVLIPPITAARDHHGFRATLTPIQQLSPGKHSDAVRRDSHRIALLSYAATAVSKATTNSSVNVQALLENIQGGYNMNLSIGTPPRTFPVTVDTGSSLIWTQCAPCTKCFDLPDTPPFQPASSSTFSKLPCTSNFCQVIPNSSRSCNATGCMYHGTYGVGYTAGYLAMETINVGESSFPNVAFGCSTENGADNSSSGIVGLNRGPLSLVSQLGVGRFSYCLRSYTDAGESPILFGSLANLTDAKVQSTPLIKNPALTRSSFYYVNLTGITVGSTDLPITRTTFAFTETGLGGGTIVDSGTTLTHLIKEGYAMVRQAFVSQMGNLTAVNGTQFGLDLCFGTSGGGELQGVPALVLRFEGGAEYAVPRRSYFDVVAVDSRGRPAVECLLVIPAREDLSISIIGNVLQMDLHVLYDLDGEMFSFAPADCTKV >LPERR07G14650.1 pep chromosome:Lperr_V1.4:7:15102755:15104065:-1 gene:LPERR07G14650 transcript:LPERR07G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRASILALLIFVLIPPITTARDHHGFRGTLTRIHQLAPGKHSEAVRRDSRRIDFLSYTSTVVVAATNPSASAQGVVKTGGGAYHMNLSMGTPPLTFPVIVDTGSSLIWTQCAPCTKCFPQPTPLFQPSSSSTFSKLPCDSSFCQSLPDSIRKCNATGCIYDYHYGIGYTAGYLATETLSVGEKSSFRNVTFGCSTENGGPMDNTSGIVGLGRRSLSLVSQLGVGRFSYCLSSDPAGSSPIMFGSVANVTGTNVQSTPLVENPVAPGASYYYVNLTGITVGATDLPITSSTFGFASDGTGGTIVDSGATMTYLAEAGYAMVKEAFLSQMGNQTRVNDERIGLDVCFTSNGGGDVPVPRLVLRFAGAEYAVPRKGYFDAAAVDKQGRVVVECLMVLPPKGNISLSIIGNMMQMDKHVLYDLDGRRFSFAPADCAKV >LPERR07G14660.1 pep chromosome:Lperr_V1.4:7:15109796:15118320:1 gene:LPERR07G14660 transcript:LPERR07G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGAPILSAFLLIVLQALAISATTLPDGFRGSLIHKKVTVDGGAHPLHSGRWLADVTGSTLKSTAAYYVMKLAVGTPAVTVQALIGGSDLCWVECAPCSGCTSKRLYSRANSTSFSPLPCSSKPCKALREPVRTTCTDTQCGYRYVYGGDGGNGTYSNYVQGTLGTETLRFGGSSEVAVKGFAFGCTDKIYRDDIFDGHFISNRNTGVIGLGRSNLSMVGQLGLDRFSYCLSSITKVASPILFGSKATFTGNAAVLSTPLLYDYVDYYVNLLGISVDGKRLTIPNGTFVLDTKTGRGGVSFDTSSPYTLLVDPAYTLVVEALKKGMSPAYKAVANNGTQLCYRVSEKSGNLTVPAMTLHFEGMDLDLSPRNVFAFDVQQSDLLCSVIDKSTTDSVIGNFMQMDFHVLFDLKKSVLGSLIRKTATLNGDSPIHAGERSRRRLSEPTGTHIKPFMGTISMYYVMEIAVGTPAVKVQAGVGSGDLCWVECSPCSGCNAPPRARPLYDRANSSSFSPLSCASQFCKNLQKQSHTTCTDAECGYRYAYEGNDTDHNNYVEGTLGTETFRFGGSDEVAVPGFANTGSIGIGRSHLSLVGQLGLVRFSYCLSSNLQASSPILFGSKAAMTGNGAISSTPIVSNYIDYNVNLLGISVGGTRLPIPNDTFVLDPNNGQGGVAFEIGATPTLLVDPAYTLVVEAFTARMSTAYKVVNGSSLPCFLVDGSASKNVTAPCQR >LPERR07G14670.1 pep chromosome:Lperr_V1.4:7:15118338:15118535:1 gene:LPERR07G14670 transcript:LPERR07G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLSFKNYFAFGGKINGDDVLCLMIGKSATTTLIGSIMQMDFQVLYDLNKSVLSMQPTDCSKL >LPERR07G14680.1 pep chromosome:Lperr_V1.4:7:15126416:15127900:-1 gene:LPERR07G14680 transcript:LPERR07G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAADFGPNNLTLGDELLQTLVSGNSVRLGELLRGETRGGGEAQLQTDGQVAINFHGAEPAAAAPARGGPSRLLGVTSNGSTALHIVASHGHPELAATTNKMGATALHEAVTHGRVEVVDLLMTRAAWLASVTTDGGVSPLYMEAASHSVQMVQALLRPTQTGQPSPASAAGPEGRTALHVAATGIKGTSFYFFVAIFFSLKFGA >LPERR07G14690.1 pep chromosome:Lperr_V1.4:7:15130968:15137370:-1 gene:LPERR07G14690 transcript:LPERR07G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRVIAALVLATALAVSVGVDAASGGVRVGLTRVHSTPGVTASQFVRDALRRDTHRRARFLASSPSPSVSAPTRKDLPNGGEYIMTLSIGTPPLSYPAIADTGSDLVWTQCAPCGDQCFKQPGKLYNPSSSPTFRVLPCNSSLNLCAAALAGRAAPPLSGCACRYNQTYGTGWTAGLQAMETFTFGASPSNQVRVAGVAFGCSNASSDDWNGSAGLVGLGRGSLSLVSQLAAGMFSYCLTPFQDTDSKSTLIIGASAAINDTGVQSTPFVPSPSRQPMSTYYYLNLTGISVGSTPLSIPPNAFSLRADGTGGLIIDSGTTITSLPDAAYKSVRAAVASLVKLPVSADGSNATGLDLCFALPSSSSSAAAAPPANTLPSMTLHFGGGADMVLPVENYMIVDGGVWCLAMRGQADGELSTLGNYQQQNLRILYDVSPLLCLLLVLPCVAISSTPNRGVRLELTHVDDRGGYTGAERVRRAADRTHRRVNGLLAAVDDPSSTARTRSDGAAAAATVHASTATYLVDIAIGTPPVSLTAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCLSPMCRPLQTPWSRCPAAPPDDTGCPYSFSYGDGTSTDGVLATDTFTLAADTAVLPGVAFGCGTDNRGSTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNSTATSPLFLGSTARDSSSAAKSTPFVANPRGGAGAAWRKSSYYYLSLEGITVGETLLPIDPSVFRLTATGDGGVIIDSGTTFTALEERAFVAVARAFAARVGLPLASGGARLGLGLCFAAAAPERVEVPRLVLHFDGADMELRRESYVVEDRIAGVVCLGMVSSRGMSVLGSMQQQNMHVLYDLEQGVLSFEPANCGEL >LPERR07G14700.1 pep chromosome:Lperr_V1.4:7:15140016:15145767:1 gene:LPERR07G14700 transcript:LPERR07G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPFHVLPLAPTGAAAFAFSPVSSRSRPRVASSLGGLCSACRTPPPAAVSGGAVTWAGDLGRAVPWKAAVNGALALTLSFSCFVGVVNAKTGVNKPELLPKEFTTVIDVAGFLSEGQENRIRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWKVDDQTIVFVADPTFGNIINFNVGSLVDLDIPRSFWSRVSGKYGNMFYWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >LPERR07G14700.2 pep chromosome:Lperr_V1.4:7:15140016:15145767:1 gene:LPERR07G14700 transcript:LPERR07G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPFHVLPLAPTGAAAFAFSPVSSRSRPRVASSLGGLCSACRTPPPAAVSGGAVTWAGDLGRAVPWKAAVNGALALTLSFSCFVGVVNAKTGVNKPELLPKEFTTVIDVAGFLSEGQENRIRQEIEDLEKDTGYKLRVLAQNYPDTPGNIINFNVGSLVDLDIPRSFWSRVSGKYGNMFYWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >LPERR07G14710.1 pep chromosome:Lperr_V1.4:7:15153243:15157648:1 gene:LPERR07G14710 transcript:LPERR07G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLTSPPSPAAGDRWFCGDGNAGTYTPNSTYMSNLDSLAGSLIAGATKLNSATGAAGAGSDRVYGAVLCRGDSTGADCGDRLRAAFAGIVNVNVTGAGACERHKDVALYNELYQLRFSDEDFLSSFSNSPEWVDVTNTNRVANAVLFEEVVGELLGALAEAAARRPERYAADEARWAAAAERERTVYGLVQCTRDMPPERCRGCLDGVIAERQRKIAGGEMGGAIHGVRCSLRYETDTQFFTTTGKFVRQKKGHAIVIIGAVYSLSIVCTRLFFCFLSIRRKQKRAKINSMEQTTDMDEVMKLWKMEDAGSEFSLYDFSQIADATNNFSANNILGKGGFGPVYKGLFPDGRELAIKKLAAQSSQGLVEFKNEIQLVAKLQHKNLVRLFGCCIHEEQKILIYEYLPNKSLDHFIFGTFSSLAIGNQTAVVILRSTNPTRRALLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDAELDPKISDFGMARIFPLDASQAKASRLVGTYGYMAPEYASEGLLSVKSDVFSFGVLLLEIMSGRRSAGFQQHGEFQNLLEYAWGMWKDGRWRDFIDQSFNDEYKPGEMMKCLVVALMCVQEKSVERPTMSDVVAMLSSDDIPLSEPKQPAYSHIRVDVSEDVNVSYSKNEMTITINDGR >LPERR07G14710.2 pep chromosome:Lperr_V1.4:7:15153243:15157648:1 gene:LPERR07G14710 transcript:LPERR07G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLTSPPSPAAGDRWFCGDGNAGTYTPNSTYMSNLDSLAGSLIAGATKLNSATGAAGAGSDRVYGAVLCRGDSTGADCGDRLRAAFAGIVNVNVTGAGACERHKDVALYNELYQLRFSDEDFLSSFSNSPEWVDVTNTNRVANAVLFEEVVGELLGALAEAAARRPERYAADEARWAAAAERERTVYGLVQCTRDMPPERCRGCLDGVIAERQRKIAGGEMGGAIHGVRCSLRYETDTQFFTTTGKFVRQKKGHAIVIIGAVYSLSIVCTRLFFCFLSIRRKQKRAKINSMEQTTDMDEVMKLWKMEDAGSEFSLYDFSQIADATNNFSANNILGKGGFGPVYKGLFPDGRELAIKKLAAQSSQGLVEFKNEIQLVAKLQHKNLVRLFGYPTRRALLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDAELDPKISDFGMARIFPLDASQAKASRLVGTYGYMAPEYASEGLLSVKSDVFSFGVLLLEIMSGRRSAGFQQHGEFQNLLEYAWGMWKDGRWRDFIDQSFNDEYKPGEMMKCLVVALMCVQEKSVERPTMSDVVAMLSSDDIPLSEPKQPAYSHIRVDVSEDVNVSYSKNEMTITINDGR >LPERR07G14720.1 pep chromosome:Lperr_V1.4:7:15163009:15184717:1 gene:LPERR07G14720 transcript:LPERR07G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPPQWADNSTAPSAALDTCRRRCKPRRRTAVVAAKRVPPHQAPHPLPKLLRKMQMLLVALLLLLLSSPKLLAAEQLPFCSNANTITHIPESTYKTNLLQLAENLIADVNVTKMQPHSANSTAGKGADMVYGAVLCRGDSAGVNCADRLQRVLDTANINVTSDSSYSQSQKSVTLYDHEFQALLRFSDQDFLTSFSNTPECTVSAYLNPPPDADSTQFSLLFSELMKEITANMVSRRANYWTGRGWFERKSQTVYGLVQCMDGMLPESCRTCLGSIIDEGKKMVGSGLTGGAVLGVRCSLWYQTGIQFFAGDPEVSLHMPTASKFWIWVTIGSFSLMVSISWLLVHIWIKQERKREKARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFAQIKEATKNFSRENKLGQGGFGPVYKGILPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYMQNRSLDAFIFDFVKGAKLNWSKRLRIIDGIAQGILYLHCHSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFCSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTADFYSYDGKLYNLISYAWQLWRSGQGHELVCCHIGNNHEVIERCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPKQPAYFYVRSSGPDVSLCNNSISITLARQWFNAVYNTTPPLAPKCLQLAPTMRVLFLLLLLAAAAASSPAPAAGDDGGAAPILNAIATVCNSTAGKNRTFQPNSTFESNLHALFASLTANASASGYAASSLGAAPDTAYGLVLCRGDSTGGNDCTAARLDGSLLDAVAFCGYSRDVTVYHDQYQLRYSDRDFLAGADNSPEKVAWNMNNVSDVAHVAEFDALVAKLVNAVADRAASNGSSSLRSYAAGTAGFAPEGMTVYAMAQCTPDLSPEQCRGCLAGIIGQMPRWFSGRVGGRILGVRCDFRYEKDLFFKIPNDMIVLSPLPDPSSSQGSSSSKNNSVLWIVAIVVPVTVLIFGFVGCFLWIRSRRRRVINMSGTVSLPTMSMEMEQVLKLWRIEESGSEFMLYDFDQIAEATDNFSDAFKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDAEKGATLNWEKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQDGQWHELVDPALGEDFPAMEVMKCVQVALLCVQDSADERPNMSDVIAMLGSEGLTMPEPRQPAYFNVRITSLAVSSDSFGDGESYGISNISLVEEEGR >LPERR07G14720.2 pep chromosome:Lperr_V1.4:7:15163009:15184717:1 gene:LPERR07G14720 transcript:LPERR07G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPPQWADNSTAPSAALDTCRRRCKPRRRTAVVAAKRVPPHQAPHPLPKLLRKMQMLLVALLLLLLSSPKLLAAEQLPFCSNANTITHIPESTYKTNLLQLAENLIADVNVTKMQPHSANSTAGKGADMVYGAVLCRGDSAGVNCADRLQRVLDTANINVTSDSSYSQSQKSVTLYDHEFQALLRFSDQDFLTSFSNTPECTVSAYLNPPPDADSTQFSLLFSELMKEITANMVSRRANYWTGRGWFERKSQTVYGLVQCMDGMLPESCRTCLGSIIDEGKKMVGSGLTGGAVLGVRCSLWYQTGIQFFAGDPEVSLHMPTEKARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFAQIKEATKNFSRENKLGQGGFGPVYKGILPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYMQNRSLDAFIFDFVKGAKLNWSKRLRIIDGIAQGILYLHCHSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFCSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTADFYSYDGKLYNLISYAWQLWRSGQGHELVCCHIGNNHEVIERCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPKQPAYFYVRSSGPDVSLCNNSISITLARQWFNAVYNTTPPLAPKCLQLAPTMRVLFLLLLLAAAAASSPAPAAGDDGGAAPILNAIATVCNSTAGKNRTFQPNSTFESNLHALFASLTANASASGYAASSLGAAPDTAYGLVLCRGDSTGGNDCTAARLDGSLLDAVAFCGYSRDVTVYHDQYQLRYSDRDFLAGADNSPEKVAWNMNNVSDVAHVAEFDALVAKLVNAVADRAASNGSSSLRSYAAGTAGFAPEGMTVYAMAQCTPDLSPEQCRGCLAGIIGQMPRWFSGRVGGRILGVRCDFRYEKDLFFKIPNDMIVLSPLPDPSSSQGSSSSKNNSVLWIVAIVVPVTVLIFGFVGCFLWIRSRRRRVINMSGTVSLPTMSMEMEQVLKLWRIEESGSEFMLYDFDQIAEATDNFSDAFKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDAEKGATLNWEKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQDGQWHELVDPALGEDFPAMEVMKCVQVALLCVQDSADERPNMSDVIAMLGSEGLTMPEPRQPAYFNVRITSLAVSSDSFGDGESYGISNISLVEEEGR >LPERR07G14730.1 pep chromosome:Lperr_V1.4:7:15185304:15185807:1 gene:LPERR07G14730 transcript:LPERR07G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQSQVTRLTDDLVVEILRRLPAISICRSWRDLIADHDHRKKLPQTLTGFFYESHSLERCPEFAHHFSNVTRRVMPLVYPSFSFLPKCDRVAVMDCCNGLLLCSFYPSVESTRFNYAVCNPATKEWVMLPDAHWDIDETQTACLCFDPAISSHFHVVEYVGAEDF >LPERR07G14740.1 pep chromosome:Lperr_V1.4:7:15185991:15205037:1 gene:LPERR07G14740 transcript:LPERR07G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTQLTSIKVEALNTHVLTLIQPVRVQTNLRCPRPVTQHSQPCIHAYLTYKASTRNSLSSTPLLRAPPVACTKTNYVQHYTNLGHLYAFNADLDDVFKLSVWILEDYDTDNWILKHNINSLEVFGGNRYRLDFDYQLIAVHPECNLIFFIYGWQNTLMSYEMDRKEVRFIRHLGHDSRHPYLPYVFLVTGGHHRREFAGECARFPAILRAQQRSDAPSKADQRIERTHDKNLLRATTDKGHNRAMVRRNPAARLSDDLIVEILSRLPVRSVCRFKAVCRSWHNLIADRDNNRKLPQTLSGFLYTSFREDRIPNTAHHFADLTGKGMPLTCPSSFSLVDFCNGLLLCRCFQAGPCYSDGSRPFHCLVANPLTTEWVILPDACWDNDESRICLAFDPAVSPQFHVLEYVEGEGDGCVIAVQIYSSETGLWSVHESQWSDHVIARQCAGSRSVFLHGFLHSVAPTGEVLAVDMEGKKWREIHMPEPDDIYSIIHQTQGNLCAITVDPVDETKLSIWILEDYDTDNWILKHTVSTLRLFGGMGYHFDYNYHVIAVQPECNLLFFVYGQNNTLMSYEMDRNQLLGANEVVAVDMEGKKWRTFPMPVSDGDGIIHQTQGCLCAFVVDPDDGFKLTIWILEDYDTDNWILKHTVGTLRLFGGKKYRFGFDYQIIAVHPECNLVFFVYVWDNTLVAYEMDRKEVRVICDLGHDSSQPYLPYVPHFSGSLADGR >LPERR07G14740.2 pep chromosome:Lperr_V1.4:7:15185991:15195461:1 gene:LPERR07G14740 transcript:LPERR07G14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTQLTSIKVEALNTHVLTLIQPVRVQTNLRCPRPVTQHSQPCIHAYLTYKASTRNSLSSTPLLRAPPVACTKTNYVQHYTNLGHLYAFNADLDDVFKLSVWILEDYDTDNWILKHNINSLEVFGGNRYRLDFDYQLIAVHPECNLIFFIYGWQNTLMSYEMDRKEVRFIRHLGHDSRHPYLPYVFLVTGGHHRREFAGECARFPAILRAQQRSDAPSKADQRIERLQLHLMENRAMKRNQAPPSLTDELIVEILSRLPVRSVCQFKCVCRSWRKLIADHENRKKLPQTLSGFLYKSWNHERCPESAHHFTNVTGKGQPLIYPSFSFLPSCDSVFVLDCCNGLFLCKCYVSRGTMQFHYAVCNPATKEWVVLPDANWGADENRIACLCFDPAITSHFHVLEYLQDEADDCVRAVEIYSSKTELWTLHESGWPDEAVVCVGSNGRSVFLNGCLHSLTLVDGIAVVDMEGKKWRQIPMPDPDYDVGIIHQSQGHLYAFSTDLNNIFKLSVWILEDYNTDNWILKHTVSSSMLFGGKRYWFDIDYQVIAVHPECNLIFFVYGKHNTLMAYEMDRKEVRVIRNLGHESHQPYLPCVPLFSKSLAGGC >LPERR07G14740.3 pep chromosome:Lperr_V1.4:7:15195071:15205037:1 gene:LPERR07G14740 transcript:LPERR07G14740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGENRAMVRRNPAARLSDDLIVEILSRLPVRSVCRFKAVCRSWHNLIADRDNNRKLPQTLSGFLYTSFREDRIPNTAHHFADLTGKGMPLTCPSSFSLVDFCNGLLLCRCFQAGPCYSDGSRPFHCLVANPLTTEWVILPDACWDNDESRICLAFDPAVSPQFHVLEYVEGEGDGCVIAVQIYSSETGLWSVHESQWSDHVIARQCAGSRSVFLHGFLHSVAPTGEVLAVDMEGKKWREIHMPEPDDIYSIIHQTQGNLCAITVDPVDETKLSIWILEDYDTDNWILKHTVSTLRLFGGMGYHFDYNYHVIAVQPECNLLFFVYGQNNTLMSYEMDRNQLLGANEVVAVDMEGKKWRTFPMPVSDGDGIIHQTQGCLCAFVVDPDDGFKLTIWILEDYDTDNWILKHTVGTLRLFGGKKYRFGFDYQIIAVHPECNLVFFVYVWDNTLVAYEMDRKEVRVICDLGHDSSQPYLPYVPHFSGSLADGR >LPERR07G14750.1 pep chromosome:Lperr_V1.4:7:15207106:15212212:1 gene:LPERR07G14750 transcript:LPERR07G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPSFLFLFLFLFSSPLAVAGADPDTYTEYHCNGTLGNFTAGSAFERNLDVLAASLPTNASSSPSFYASASVGAAPDTVYALALCRGDTRDAAACARCVAGAFRRLRTLCVALRDATFYHDLCTVRYSGDDFPSRGADDNSPVINALDVNASTYAGWDGRNATTRSFFLSLVGTLFGEMATYGSYNSSVRRYASAVMFVNTQLPTVYGLAQCTPDLSPPECWRCFQGLQEQNRQWWDGRLGGRILGVRCNFRYESYQFYDGKPDVRIGLKEEPSESTGNSGNNHKKTLIIVLSVSITAFCLMLIGCLLLMRRLRKQAGKSSRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYDFYELASATDNFSEDNRLGRGGFGPVYKGALSDGAEIAVKRLATHSGQGLKEFKNEIQLIAKLQHTNLVKLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREGRGCELIDPTLMSESNDATAIARCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSSDYGCDDDGGVGGAGAGAGDGRSRWRFTDSCSTNDVTITTIEEGR >LPERR07G14760.1 pep chromosome:Lperr_V1.4:7:15214551:15216136:1 gene:LPERR07G14760 transcript:LPERR07G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATILLLVLALIAPPLAAAAIDAAADDNHGTPIHWCGTGRGQFNPNSSYESNLRHLAATLPAMVNESSSSSSSSSNSTFVSVLAGERPDMIASSAFCNSSSGSGCAACVARAFRYARWLCGYSRHAVVDLHACRVSYRVALDVFVVNEHTSSWWTKVLIHDFPMMVAFQVIGVAWVLFLFLMEWRDGKSRRAQANRLP >LPERR07G14760.2 pep chromosome:Lperr_V1.4:7:15214551:15216136:1 gene:LPERR07G14760 transcript:LPERR07G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATILLLVLALIAPPLAAAAIDAAADDNHGTPIHWCGTGRGQFNPNSSYESNLRHLAATLPAMVNESSSSSSSSSNSTFVSVLAGERPDMIASSAFCNSSSGSGCAACVARAFRYARWLCGYSRHAVVDLHACRVSYRVALDVFVVNEHTSSWWTKVLIHDFPMMVAFQVIGVAWVLFLFLMEWRDGKSRRAQANRLP >LPERR07G14760.3 pep chromosome:Lperr_V1.4:7:15214551:15216136:1 gene:LPERR07G14760 transcript:LPERR07G14760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATILLLVLALIAPPLAAAAIDAAADDNHGTPIHWCGTGRGQFNPNSSYESNLRHLAATLPAMVNESSSSSSSSSNSTFVSVLAGERPDMIASSAFCNSSSGSGCAACVARAFRYARWLCGYSRHAVVDLHACRVSYRVALDVFVVNEHTSSWWTKVLIHDFPMMVAFQVIGVAWVLFLFLMEWRDGKSRRAQANRLP >LPERR07G14770.1 pep chromosome:Lperr_V1.4:7:15218439:15220657:1 gene:LPERR07G14770 transcript:LPERR07G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAADLLVLTLVALPIAAAAATDAVVDDGHRAPFHMCGILPGPQPAASRRHAPSQSERIRIILRGSSSSSFFSSVDFFDGERPNLIAASAFCNSSSGFNCADCLAGAFRYAQRLCPFSRGAMVDLRSCRVSYYDVERTEHGEVLMFSSEAITKELFNYSESPVFCSYSFRNGDTVQVEEPRPVGYRRWSICSVQVVNSLCKPTDEIK >LPERR07G14770.2 pep chromosome:Lperr_V1.4:7:15218439:15220657:1 gene:LPERR07G14770 transcript:LPERR07G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAADLLVLTLVALPIAAAAATDAVVDDGHRAPFHMCGILPGPQPAASRRHAPSQSERIRIILRGSSSSSFFSSVDFFDGERPNLIAASAFCNSSSGFNCADCLAGAFRYAQRLCPFSRGAMVDLRSCRVSYYDVERTEHGEVLMFSSEAITKERENGDTVQVEEPRPVGYRRWSICSVQVVNSLCKPTDEIK >LPERR07G14780.1 pep chromosome:Lperr_V1.4:7:15233050:15234410:1 gene:LPERR07G14780 transcript:LPERR07G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPPPLFLLLHAATYGHRRRGQYLLHGLRQNGQVQGQQHLPKERPIFNLLPSRLRHLHYRHRLCKELRRRPARQGLRPGHMPWRHSRQSHLLRVPLYGFRGGADTLPVRQGCYPLLRWVHHALLRSGFPLRQREFTGGRPQHHGEKASRGGKQLRHMLVDSLVAKTVERAAASDDPSRKMATGEAVFDADNQQQITKVYALAQCTPDLTELQCTACLKGIMETLALRLPGAVGERVAGVRCNIRFEVYPFYIGEAMVRLEGAEASSPSPLPSSPPLHPVEPVVSND >LPERR07G14790.1 pep chromosome:Lperr_V1.4:7:15237709:15242624:1 gene:LPERR07G14790 transcript:LPERR07G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRDERCGSVTTRLVRGHKLETFSPLSLETDEKGDDEELTAAPHIALNVTGQASGLSLGSKCGSWRLISLPALLPAERSAGRGVEGINIKIWIIALIASLVLVLFCTLLAFMWTRSRHGDEGRMNSQFEEVAPHGTEETTTIWRMEEGSLEFTMFDFPQIVHATNNFSEGHKLGEGGFGRVYKGQLPNGLEIAVKRLAPHSGQGQNEFKTEIHLIAKLQHTNLSFWDCHTYVDTTRGALLNWNRRRHIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDDNMNPKISDFGLARIFGSNETHANTSRIVGTHGYMAPEYALEGMFSIKSDVFSFGVLLLEIISGKRNIGFHQTGNFSNLIGYAWLLWKEENWCELVDPCLGANHKNTEIMRFINVALMCVQSNAVDRPTMSEVISQLMNENTSLPDPKEPAYYNINIRSKAEYPIVLEDLHSTNDVTNSPPGGR >LPERR07G14800.1 pep chromosome:Lperr_V1.4:7:15245314:15245835:1 gene:LPERR07G14800 transcript:LPERR07G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHLLFSTYYGVSLFVSASLLASNERHGHVSLPPRGHHRGLAATLLISPLVPGQPLGKFCGDSAGNYTRNDTYQSNLARLSTTLTKNASSSATLFATATLGAVPDIVYALALCRGDTTNASASACAACVAATFQDAQQLCPYNKDATVFYDPCAIRFSTPELHRLNNQR >LPERR07G14810.1 pep chromosome:Lperr_V1.4:7:15245855:15270971:1 gene:LPERR07G14810 transcript:LPERR07G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNASSAPAKVFDGAVGVLINATADYTAANSSRRFGTGEEGFGGDKIYGLAQCTPDMAMADCRSCLAGIIGMMPNYFSGKRGGRILGLRCNYRYDLYSFFNGVSLLQLPPASLGAPRRLHLPRRAGNYTRNDTYQSNINRLATTLPKNASSSRTLFATESLGAVPDIVYALALCRGDTNASACAACVATAFQDAQQLCPYNKEATVFYDPCTIRFSNQNFIASPTGGGGGAALILMNTQNVSSAPAKVFDGAVGVLINATADYAAANSSRRFGTGEEGFGGDKIYGLAQCTPDMATADCRSCLAGIIGMMPKYFSGKQGGRVLSLRCNYRYEIYPFFTGVSLLQLPAAQLGAPPAPSLAPVNMTPPATTGGGNTTGRVLAITLPIVAAILATVVICFYLWKRSERARKPSIAVNTTNSEDIERIDSLILSISTLRAATDNFDESNKLGEGGFGAGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEEQEKLLVYEYMPNKSLDTILFDPDKSSVLDWGKRFKIVNGIARGLQYLHEDSQLKIVHRDLKASNVLLDSEFSPKISDFGLARLFGNDQSKDVTNRVVGTYGYMAPEYAMRGHYSIKSDIFSFGVLILEIITGRKNNVSYDSEQSVDLLSLVWEHWIAGTAVELADSSMGGNCPGDQILKCIHIGLLCVQEDPTDRPMMSMVNVMLSSSTVTYQAPSRPAFCIQKSSVNSDTYSEPFRGVNQSTDRSPMSPNEPWQFCGSGRDFSPKSTYQSNLALLSASLPNKASSSPTLFAAGSAGAGGNGTVYALALCRGDTSNATDCAGCIATAFQEGQQLCAYAREVAIFYDLCYLRFSDRNFLAAADDNFAAFFPKTRNVSAPAEVFDAAVVALLNATADNVSAAAAADTSTRRFATGVAAFGGWGVEAIYALAQCTPDMSPAGCRSCLAGIISWVNDPRYFSGSPTGRVLGVRCNYWYDVQQFFPGNPLLRLETTAAAPAPVPADTAQPAAGGGRKMTAVTISTSVACSIVFVLIISGSVLIFLKRRKASKSQNTPIIGATNKIERGNCTIFDLPTLQNATNNFSDSNKLGAGGFGTVYKGKLLNGQEVAVKKLSQTQYTREGLNQLHNEVQLLAELQHKNFVRLLGFCSLQDEIMLVYEHIKSGSLDNFLFSTFLYGFDPYDLSTLYFVETDTSRGKTLNWEQRYNIILGIAKGILYLHEDSSIRIVHRDLKANNILLDENMNPKIADFGLARLLGGGHTQTKTARVVGTYGYMAPEYALFGKVSPKIDIFSFGVLVLEIVTGRRNISSDNRCSVVNLLTEVWNSWSKGTALQRADQSLDGYSNSRVLRCIHIGLLCVQENPVDRPSISSVILMLTRRRINLRPPRQPAFFFGGEFSSVSQQHRHRNYMYDKSGRVSARQAAMARRLAAALLLAFLLAPPAAAQWKFCGDSAGNYTANSTYQSNLQRLSTTLPQNASASATLFAKDTLGAVPDIIYALTLCRGDTTNATACRGCVATAFQDAQQLCPYNKEVFIVYDACYLRFSNLNFLASESDNGSPMILTNANNATAPAGVFDAAVATLLNATTGYAAAANSSRRLGTGEEAFDAANPTIYGLSQCTPDMSPDGCRRCLGDIIAWIPQYLSRKVGGRVIGTRCNYRYEVYTFFSGGPMLRLPSPAALSPLPAPANTPPPPPTTTTGERKKNKSGTALAIALPLGVVSLATVAICLCFQRRRRSRSKQQPPYPIPMVEDIKSTDSLFIDLSTLRAATGNFSESNRLGEGGFGAVYKGFLPNGEEIAVKRLSRSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDHDKRKELDWGKRSRIISGIARGLQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGMARLFGGDQTREITSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTNHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSNTVSLQSPSKPSFFIPKSGTDSDIYSETYPLTSRSTASTGRSGGMSVNDVSVTELEPR >LPERR07G14810.2 pep chromosome:Lperr_V1.4:7:15245855:15270971:1 gene:LPERR07G14810 transcript:LPERR07G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNASSAPAKVFDGAVGVLINATADYTAANSSRRFGTGEEGFGGDKIYGLAQCTPDMAMADCRSCLAGIIGMMPNYFSGKRGGRILGLRCNYRYDLYSFFNGVSLLQLPPASLGAPRRLHLPRRAGNYTRNDTYQSNINRLATTLPKNASSSRTLFATESLGAVPDIVYALALCRGDTNASACAACVATAFQDAQQLCPYNKEATVFYDPCTIRFSNQNFIASPTGGGGGAALILMNTQNVSSAPAKVFDGAVGVLINATADYAAANSSRRFGTGEEGFGGDKIYGLAQCTPDMATADCRSCLAGIIGMMPKYFSGKQGGRVLSLRCNYRYEIYPFFTGVSLLQLPAAQLGAPPAPSLAPVNMTPPATTGGGNTTGRVLAITLPIVAAILATVVICFYLWKRSERARKPSIAVNTTNSEDIERIDSLILSISTLRAATDNFDESNKLGEGGFGAGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEEQEKLLVYEYMPNKSLDTILFDPDKSSVLDWGKRFKIVNGIARGLQYLHEDSQLKIVHRDLKASNVLLDSEFSPKISDFGLARLFGNDQSKDVTNRVVGTYGYMAPEYAMRGHYSIKSDIFSFGVLILEIITGRKNNVSYDSEQSVDLLSLVWEHWIAGTAVELADSSMGGNCPGDQILKCIHIGLLCVQEDPTDRPMMSMVNVMLSSSTVTYQAPSRPAFCIQKSSVNSDTYSEPFRGVNQSTDRSPMSPNEPWQFCGSGRDFSPKSTYQSNLALLSASLPNKASSSPTLFAAGSAGAGGNGTVYALALCRGDTSNATDCAGCIATAFQEGQQLCAYAREVAIFYDLCYLRFSDRNFLAAADDNFAAFFPKTRNVSAPAEVFDAAVVALLNATADNVSAAAAADTSTRRFATGVAAFGGWGVEAIYALAQCTPDMSPAGCRSCLAGIISWVNDPRYFSGSPTGRVLGVRCNYWYDVQQFFPGNPLLRLETTAAAPAPVPADTAQPAAGGGRKMTAVTISTSVACSIVFVLIISGSVLIFLKRRKASKSQNTPIIGATNKIERGNCTIFDLPTLQNATNNFSDSNKLGAGGFGTVYKGKLLNGQEVAVKKLSQTQYTREGLNQLHNEVQLLAELQHKNFVRLLGFCSLQDEIMLVYEHIKSGSLDNFLFSTFLYGFDPYDLSTLYFVETDTSRGKTLNWEQRYNIILGIAKGILYLHEDSSIRIVHRDLKANNILLDENMNPKIADFGLARLLGGGHTQTKTARVVGTYGYMAPEYALFGKVSPKIDIFSFGVLVLEIVTGRRNISSDNRCSVVNLLTEVWNSWSKGTALQRADQSLDGYSNSRVLRCIHIGLLCVQENPVDRPSISSVILMLTRRRINLRPPRQPAFFFGGEFSSVSQQHRHRNYMYDKSGRVSARQAAMARRLAAALLLAFLLAPPAAAQWKFCGDSAGNYTANSTYQSNLQRLSTTLPQNASASATLFAKDTLGAVPDIIYALTLCRGDTTNATACRGCVATAFQDAQQLCPYNKEVFIVYDACYLRFSNLNFLASESDNGSPMILTNANNATAPAGVFDAAVATLLNATTGYAAAANSSRRLGTGEEAFDAANPTIYGLSQCTPDMSPDGCRRCLGDIIAWIPQYLSRKVGGRVIGTRCNYRYEVYTFFSGGPMLRLPSPAALSPLPAPANTPPPPPTTTTGERKKNKSGTALAIALPLGVVSLATVAICLCFQRRRRSRSKQQPPYPIPMVEDIKSTDSLFIDLSTLRAATGNFSESNRLGEGGFGAVYKGFLPNGEEIAVKRLSRSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDHDKRKELDWGKRSRIISGIARGLQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGMARLFGGDQTREITSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTNHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSNTVSLQSPSKPSFFIPKSGTDSDIYSETYPLTSRSTASTGRSGGMSVNDVSVTELEPR >LPERR07G14820.1 pep chromosome:Lperr_V1.4:7:15268894:15272215:-1 gene:LPERR07G14820 transcript:LPERR07G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASCLSLLVAVALLATRAAAYPWQVCGTTGNFTANSTYKSNLDAIAAALPGNASSSPSLYATAVVGALPNQVSALALCRGDANATACSACLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVRFLGAGEVGNVAAASTSRVNLQNVTSDPARFNAMVARLVNATADYAARNSTRRYASGEAVLDQESEFPKVYSWAQCTPDLTPAMCGDCLAAIVAKLPRLFTNRIGGRVLGVRCSYRYEINPFLAGPVMVRLTAPPVPISSPPSGLTSPATAAGDSSNSSEPENVENVESMLIDISTLRAATGCFAECNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSAQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNCSLDQILFDSEKREQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVVGTYGYMAPEYAMRGNYSVKSDVFSFGVMVLEIVTGKKNNDCYNSRQCEDLLTLVWEQWTARAVSEVVDPLMGGGFSWSDVMRCIHIGLLCVQGDPAERPVMSSVVMMLGSDTVSLRAPTKPAFYARRNSGGGSGGASSVGTSPVAGSSTTASVQS >LPERR07G14830.1 pep chromosome:Lperr_V1.4:7:15271388:15276472:1 gene:LPERR07G14830 transcript:LPERR07G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCTMPPWLLSSVSEFPRQSSLCAELQGKRVRLARRRKNTQTNSSIDSLRRAMLTVVFVVAAVLLLLPPPLSMAQPMEFSTCASGAYVANSTYESNLAVLAAALPANASSSGGYATATVGAVPDKVSALALCRGDDNATACRACVAAAFGVARRDCPGSKDATTYQDGCIVRFSDQRFLDFIGVNSPVSEDYADAADNITAAVPVAWFNAAVVALMNATVNATVALAAAGDNSTTNSKKYFATAEEDFDPNHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSISWFLNAKPGGRVLGIWCNLRYSVKPFYDGRPMLHLSAPPPPPLAASQPGAGRRGRVAGISAAVACFVVLMLILSACAFIRSKRKKAAKKDDLLKKMARGKCTIFDFLTLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQIHNEVLVLAQLQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFDVSRGNTLSWDQQYNIILGIAKGIMYLHEDSSIRIIHRDLKANNILLDDDMDPKIADFGLARLLGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGITDTVNLLSEVWSCWINGVISQVIDQSLEGNFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDEMELQPPTQPAFFFDRDSNSDSQPSEQRVFVYDRSGAIYEQDMSVNGITLTDIYPR >LPERR07G14840.1 pep chromosome:Lperr_V1.4:7:15277469:15278012:-1 gene:LPERR07G14840 transcript:LPERR07G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFYNSHQSEDLLTTIWERWMAGTVMEMIDPTMSDFLAIDVRKCIHVALLCVQGNPADRPVMSSVVMMLGSETVSLQVPSRPAFFVRNGGVKSGGVSDDESTVSVVQQDRS >LPERR07G14850.1 pep chromosome:Lperr_V1.4:7:15278108:15280191:-1 gene:LPERR07G14850 transcript:LPERR07G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFILPVAIVAVALFTPLAAGEPWQVCGEDFDFFTPNSRFFANLKLIASTLPRNASASPLLYATATNIGAAPDQVTAAALCRGDVTAASCLACLTQAFANLPNGCGSTRDAAIYYDRCMAAYSAVDFLSPDFVPPRNAEGYTVNNLGKVATETARYNRLVAALVDATADFAAYNSARRYASGEAEFSKEFPKVYSLAQCTPDLTPARCRSCLANIIATEIGTYKDSIGGRTMSLRCSFRIETSPFLNGTMMVRIPATAPPSGSPAAPAPPVNVSPPAATPEREMKYKVPRLVLIILLPILAAINLVVCFCVWRKKRPVTKAKQTDTNYYAEAEDDDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLADGNEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFLPNRSLDLTLFDTEKREKLEWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKASNVLLDANMNPKISDFGLARIFGRDQTQAVTKRVVGTQSVTT >LPERR07G14860.1 pep chromosome:Lperr_V1.4:7:15286965:15289638:-1 gene:LPERR07G14860 transcript:LPERR07G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHHTLSMSLAAAAAAAVALLLITPIEAGYPYGMCDDEPDSTFPARRSSYLANINLIAATLPRNASASPNLFATAENVGAAAPDKVSAVALCRGDANASSCLACLTQAFRDLPNACAYRKVAAIFYDSCQLSYTNSTIAAGDFKAKIQAYVYSTTSFNVTTEAARFNRVVAALVNATADYAARRNSTRRYASGEAGFNREFPKVYSWAQCTPDLTPAMCRRCLAQIIGRYLPYFENNVWAFTRSVRCSFQYGTSPFLDGPMLVRMQGTSGGSPAPAPAQAPSPAIVVPSVNVTPPAATMPTLEGDASYPTETEDIDSLDSMLIDISTLRSATDDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGLEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYRIINGIARGLQYLHEDSQLKVIHRDLKASNILLDADMNPKISDFGLARIFGRDQTQAVTKNVIGTYGYMAPEYLARGNYSIKSDVFSFGVMVLEIVTGRKNNHCFNPQQSEDLLTMIWEKWAAGTVLEMVDPSMNSSFSESDVMRCIHIGLLCVQSDPAFRPVMSSVVLMLGTDTVELHAPAKPTFFARNGSDKSGVASGMSIVSLEEQS >LPERR07G14870.1 pep chromosome:Lperr_V1.4:7:15292829:15295831:1 gene:LPERR07G14870 transcript:LPERR07G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYGILAVVVAVLMLPSGTPAEMFECEMGVTSTYQSNRTFEANLNLLAANVSSAPAGYAVATVGAAPDQVYGLALCRGDVVVANASACRACVAAAYADGKRNCSGVSGVTMYEDACVVRFSRQRFMDSLNADQWNVEAMIWRQEVSPSSVKVPEVGWFNAAVTKILAALINNAVAATGNSSTMKYFVTGEVKDFDPKIYGFAQCVPELTSKQCNECLTTLLFYEKQAYLGKSLLWVRTNSVWCRLTYDLQPFYGGRAMLQVSAPPSPPAVETPVLIPGPGAGKRKSVAGIVAGVASSVVVLLILSIFAYVFFRRRTKVTQTEHSLKKITRGQCMIFDLSVLQQATENFSQNNKLGEGGFGAVYKGVLSDGHEVAVKKLVGTTEHGLDQLHNEIQLLAELQHKNLVRLQGFCLHQGQTLLVYEYVKNRSLDNFIFDTSRENALKWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGDDMEPKIADFGLARLLAEGHTHTMTSRIVGTYGYMAPEYALDGNVSTKIDIFSFGVLVLEILTRIRNRHSDHHDLASEVWNCWTKGTVTKMVDQSLDGFSEKQALRCIHIGLLCVQSDPDDRPHISSVIFMLTRENTELQPPAQPAFFFETESASSSP >LPERR07G14880.1 pep chromosome:Lperr_V1.4:7:15308231:15311658:1 gene:LPERR07G14880 transcript:LPERR07G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGYGILAVAVAVAVVLMLPSATRAQIADCDMGDATGYAANSTFGSNLNLLVASLAANASASPSGYAATSAGAAPDQVYALALCRGDVNASSCAACVAAALVDGKQSCGNNKSVTMYEDDCVLRYSGLRFMDAISSDQWQPSQIIWRLDRAPWSEKVQSVGWFNAAVTKILSALANYTGDMAGNLTSKKYFATGEMNDFNPKIYGFAQCVPDMTPQQCKECVRTLGDYTEQTYKGNSLRWVSTYSVWCRVMYSVRPFYGGRTMLQISAPPPPAPAGDTPVGTTPEAGAGKKKSAAGIAAGVACSVVVLLVLSVLSFVRFKRRTKAVETDHPLKKITRAQCMIFDLPALQEATENFSENNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEVLLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDNILFDISRENALKWDQQYNIILGIAKGILYLHEDSSVRIIHRDLKSNNILLGEDMEPKIADFGLAKLLGEGHTHTRTTRVVGTFGYMAPEYVSDGNVSTKIDIFSFGVLVLEIVTRRRNDSGDHDLVNLLSDVWNCWTKGTISKLIDQSLDGYSQSQALRCIQIGLLCVQSDPDDRPHISSVIFMLTRENMELQPPAQPAFFFGTESVSSSSSHGQRSYVYDRYGFANISVNDVTLTEPYPR >LPERR07G14890.1 pep chromosome:Lperr_V1.4:7:15315899:15318109:-1 gene:LPERR07G14890 transcript:LPERR07G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHLLHVLLLGGVIQLFFSRSEAGEIGVCWGTVADNLPDQSNVVQLLKQNSITMVRIYDTDAKTLRSLANTNIKVMVSLPNELLNSAASSPSYALQWTKDNVASYYPATLINGVAVGNEVFNLAPSLTPQLLSAMKNVQAALASLGLADAIKVSTPIAFNSLKVSYPPSKGEFKDDLVSVMSPILDFLEQTGSYLMVNIYPFYAYADPSNHISKEYATFGTNPGVFDNGVKYYSLFDAELDAVYYAIDNISGGSVRASLAQAGGRHNRRIPVRASETGHPSAGRVNPPRSMATLADDDSDSVATKENAQNYNNGLIKRVLSGATDMPDVSAYIFALFNEDNKGGPSIERNFGLFYPNMQPVYEVDFVPTMASWCVAKTDASDARLQAALDYACGHGADCSAIQRGGRCFFPDTKLAHASYALNDYYQRNNRASGTCDFGGVGSIVNQAPNLCDPNQASWCVAKAEVGDARLQAALDYACGHGADCSAIQKGGRCFDPDTKVAHASYAFNDYYQRNGRASSACDFAGAGSVVYQAPSKFTCTRTLYLARSCD >LPERR07G14900.1 pep chromosome:Lperr_V1.4:7:15334350:15336244:-1 gene:LPERR07G14900 transcript:LPERR07G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRLLRVLILAVAFPLLFVSHTDAGEIGVCYGRDANNLIDPPAVVKLLQAHGVNVVRIYDTDETVLNALANTNIKVMVALPNRDLASAGQDLSSATNWVNKNVVPYRNRGTLINGVAVGNEVFKQQPDLNGMLVSAMQNVQKALENLNLANDIKVSSPVAFDALDVSFPPSDGRFKAEYAQSVLKPMIDFLKRTDSYFMVNLYPMYAAADPNSHISIEYATFRPNDGVRDTNTGKVYFNLFDAELDAVYAAIDKLVGRSSLRTSLAQGGGAYLDVKVPESGHKNGGRRNPRFSTLADAGVDSIASIENAQAYNNGLVKRVLFGESGMRDVSVYIFSLFNENLKPGEGDFGMFYPDGSEVYKVDFHGGSPPPSPSGACPTKATWCVAKTGASNDALQKALDWACSNDADCSAIQQGKACYEPNNMVAHASYAFNDYYQRKGQASGTCDFSGAATIVYKPSSSICDPNPSWCVAKPEVGDTRLQNELDYACGSCADCSAIQSGARCFNPDTKVAHATYAFNDYYQTSGRASGSCDFNGAASIVRQQPSNIFYY >LPERR07G14910.1 pep chromosome:Lperr_V1.4:7:15343334:15344374:-1 gene:LPERR07G14910 transcript:LPERR07G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTIAAAAAHMRVPHSQREMGFCGLLREPVGCWVREDRRSEQRRPSTDASGGHRAGGLRVEKTAGKRDDGRSSGGRARRCRGGGARTHVEAAPVQRWLAGEARRTVRQQLRCGGCLLRRSMASGGRRSSTAVRRHAVAGRGC >LPERR07G14920.1 pep chromosome:Lperr_V1.4:7:15345705:15347720:-1 gene:LPERR07G14920 transcript:LPERR07G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQLLPVLLIGAVFPLLFFSRSEAGEIGVCWGTIADNLPDQSKVVQLLQKNSITMVRIYDTNPKALRSLANTNIKVMVSLPNEMLNSAASSPSYAFQWAKNNVAAYYPATLINGVTVGNEVFDQAPNLTPQLVPAMKNVQAALARLGLANAIKVSTPIAFDSLKESYPPSHGAFKDNLVPVMSHILDFLEQTGSYLMVNLYPFYAYADPSNHISREYATFGPNAGVSVDGVTYYSLFDAELDAVYYAIDRVSSSSASTSFVQGRVRRRKVPVKVSETGHPSAGRVNPSRSMATLADDGSDSVATKANAQAYNNGLTKRVLFGASDMPDVSAYIFALFNEDKKGGPSIERNFGLFYPDETPVYEVDFHGGGGGGGGGACPTKASWCVANSAVGNDRLQGALDWACGNGADCRAIQQGQTCYEPNDLVAHASYAFNDYYQRKGQASGTCDFSGAASIVYRPSSSICDPNPSWCIANAAVGDTRLQAALDYACGSCADCSAIQSGAQCFDPNTKVAHATYAFNDFYQTSGRASGSCDFNGAASIVTQQPKIGNCALPPNNV >LPERR07G14930.1 pep chromosome:Lperr_V1.4:7:15373782:15374526:-1 gene:LPERR07G14930 transcript:LPERR07G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRALAAVSAIAAAAMAYARFATSRLSPGLPRLAALFPVLALLPFLPFAFSSIHLRTISAFSLVWLSAFKLLLLSAGAHDGPLHPSLPLPRFVASAALPIKLVDDDDNNNKKNPSTSRCFRPDFALSYVGVRRVGGDARGGVLRRVMATAMTLAFVTGTGSWLFFAPVTRSGLDRAIVAECEGVVAFLEEAGWKARLVPS >LPERR07G14940.1 pep chromosome:Lperr_V1.4:7:15376848:15378872:-1 gene:LPERR07G14940 transcript:LPERR07G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLLHVLLTAAAALPLLFVSPADAGEVGVCYGRDADNLMDPSSVVNLLKANSITMVRIYDTDPTVLTALANQNIKVMVAIPNRDVASVGRNQGSALDWVKKNIVPYYNKGTQINGVVVGNEVVDAARDLQPLLVPAMMNVQAALASLRLADAIKVTTAVKFTAIKVTYPSTGEFEADIAQSVMKPMLDFLQQTGSYLMVNIYPFYAYEANPDKISRGYATFGNNDGVYDPGNGVTYKSLFDAELDAVYYAIDRVYGASFRASHSIIQANSGRPTRRVLVRASETGHPSGGRVHPRLMTMLDDGGDDWVATKANAQAYNNGLIKRVLFGAPDMPDVSAYIFALFNENKKEGPSIENNFGLFYPDGTKVYDVDFHGGGGGGSGGGGSCPTKASWCIANSAVGNARLQAALDWACGNGADCSTIQQGKTCYEPNNLVAHASYAFNDYYQRNGQASGTCDFSGAASIVYRPSSRDTRLQAEIDYACGSCADCNAIQSGAQCFDPNTKVAHATYAFNDFYQTSGRASGSCDFNGAASIVKQQPKIGNCVLPPNNV >LPERR07G14950.1 pep chromosome:Lperr_V1.4:7:15387086:15395353:-1 gene:LPERR07G14950 transcript:LPERR07G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDAAAPAAIKLSISGAALAALLQRCVTATGDCDGLLSGHASRVPAPPPSFSDYDDPSPAQSTPAISISITGHSSLSQPSSLSDPLGRFHLPSSASASAAASIGFFSSRRRTVLRPSMREVALAHSLSKTLALTHPLLFLVCSSTPNLSTHSYDYRAFLLIGSRLVPTSLIVVNLGPGSRDQYNSFVPMSPMPSLPSAAAGDTDAIGDQNVMDGIVDGFGVGRLQGITGSAGGQMVEMNGMYAGMLRRLEKLAREVEKSNLLVLKQRKWWCTGVWLVYAAMAKGPPHSGQVGPTETAIISHRSQSQHTKKTNSKAIWRVGTQQSDWREQPFVFIFSSALQCGVCSLQPLPTILLSSPSKLLLALFSGDAMRRHALLLLAAAALLVISHIPFRPVAAQPWQMCGTNGNYTVNSTYQSNLNQLAAALPRNASTGGSLFASGAVGTVPDAVYALAICRGDANATACRDCVATIFQDAQQLCPYNKDVSIVYDTCYLRFSNLNFLASTDNSGVVDLYNTGNVSGDVGRYDRAVTGLLNATAAHAAANSSRRFFATGVMVGFDAQFPRIYAMAQCTPDLSPADCRRCLDAMVGRWWRTFEPNTQGARSVGARCNMRVELYSFYDIPSMLQLQAEAVAPSPSPAPPLKPPAVPDTTTGGKGRSGAGKILAIVMPIVAAVLAAAMIGFCFWRRRRPAKTPPPRPLQFASRSEDIESFESLILDLSTLRTATDNFSENNKLGEGGFGVVYKGSLPYGQDIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEDHERMLVYEYMPNRSLDTILFDPEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLILEIVTGRRNNGSFCSEQSVDLLSIIWEHWTMGTIMEMVDRSMSEGASGGEIVRCIHVGLLCVQENPASRPAMSTVNVMLSSGTVSLHAPSRPAFYIRKGSDGDGGTGSYSESFVGTLPSSGRSVPMSPNEMSITELEPR >LPERR07G14960.1 pep chromosome:Lperr_V1.4:7:15397102:15401534:-1 gene:LPERR07G14960 transcript:LPERR07G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKRKSSWSQVVKNGRPTNLSIPTRNLPPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHISYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVAMRVKVWCVPIAESQFRDAIVANYYQKTPGATSQKLHFFQFELDHAQTHALMNMFTPSPSTNNFWMPPVAQPANEHVRLSWAPVYGGNNGFKSDKVVKSYADVVNKKKFEQLETRNVDAEHVSSGNEYLSGFDDLDCGEASPESEEYAVSGQEIEVQQHQHQQQQQSDQQNKLSFKGVLEKLKKLSVQQKNSDFYANATETEGVDAYGCKDVQDVKCAILDVRSTLPENLDSELDQLSWGHSNLLMQSLNSESWTEAKLVGIVKELHERIEVMEKKQAWSNKELKYLQGVNEMLLKRVVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSENVIYLVGGFDGFSFLPSLDSFSPSLDILTPLKPMAVGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRRRDDWTKCPSLSHDKGSLAAVSFNGKIYAFGGGDGNQCFADVEVFDPAYGNWINYQPMLEKRFALAGVELNGAIYAVGGFNGAQYLSSAERLDPREPSWKRLPTLSAGRGCHTLAVLNDKIFSIGGYDAEAKAMVATVELYEPRMPSWVMAEPMNSTRGYHSSAVLGGSIFTFGGVKGEADAILDVVERYREGCGWVNTELKSIGRRCYCSAIVL >LPERR07G14970.1 pep chromosome:Lperr_V1.4:7:15404943:15410832:-1 gene:LPERR07G14970 transcript:LPERR07G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVDHVLLLLAAFFLLPPIAAQPWQICGGTGNYTANSTYEDNLKLLGDALPKNAYSSGALFVSGAISTDPESAYAYYSANGTYQDNLIQLAAALPKNGSGAGVFTVPESTFSLSFCRGDTSNATVCRDCVTTAFQDAQQLCPYSKDVSIVYDTCYLRFSNLNFLSSTNNSGVVDLYNTGNISGDISHYTRVVTGLLLATAAYAAVNSTNRFATGEVEGFSTECPKIYSMAQCTSDLSPAQCRRCLDGVVGQWWQTFEPNTQGARSVGARCNMRFEVYSFYKIPSMLQLPADAAARLTLPAFTEPIEGHNDRKNRNRIRKILAIVLPPTMAAILASAVICFFLWRRRPTKTPQQGPPPTASPLVDMDNVDFLILDLSTLRIATQNFAENNKIGKGGFGAVYKGCLPDGQTIAVKRLSQNSGQGIGELKNELVLVAKLQHKNLVRLLGICVEEYEKMFAGLEEEAEYHKRDRSGGYMSPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNNGSYNIEQSADLLGTIWEHWTMGDIAAILDASISGQAPAGWQIARCVHVGLLCVQENPEQRPAMPAVNLMLTSGTVSLQAPSRPAFFWKSDDSGAAAAAVVSPNEVSITEPEPR >LPERR07G14970.2 pep chromosome:Lperr_V1.4:7:15404943:15410832:-1 gene:LPERR07G14970 transcript:LPERR07G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVDHVLLLLAAFFLLPPIAAQPWQICGGTGNYTANSTYEDNLKLLGDALPKNAYSSGALFVSGAISTDPESAYAYYSANGTYQDNLIQLAAALPKNGSGAGVFTVPESTFSLSFCRGDTSNATVCRDCVTTAFQDAQQLCPYSKDVSIVYDTCYLRFSNLNFLSSTNNSGVVDLYNTGNISGDISHYTRVVTGLLLATAAYAAVNSTNRFATGEVEGFSTECPKIYSMAQCTSDLSPAQCRRCLDGVVGQWWQTFEPNTQGARSVGARCNMRFEVYSFYKIPSMLQLPADAAARLTLPAFTEPIEGHNDRKNRNRIRKILAIVLPPTMAAILASAVICFFLWRRRPTKTPQQGPPPTASPLVDMDNVDFLILDLSTLRIATQNFAENNKIGKGGFGAVYKGCLPDGQTIAVKRLSQNSGQGIGELKNELVLVAKLQHKNLVRLLGICVEEYEKMVIYEYMPNKSLDTILFDPIRSSLLDWRRRLNIINGIARGLQYLHEESRLKIIHRDLKASNVLLDSEYNPKISDFGLARLFGDDQSQDVTNHVMGTYGYMSPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNNGSYNIEQSADLLGTIWEHWTMGDIAAILDASISGQAPAGWQIARCVHVGLLCVQENPEQRPAMPAVNLMLTSGTVSLQAPSRPAFFWKSDDSGAAAAAVVSPNEVSITEPEPR >LPERR07G14970.3 pep chromosome:Lperr_V1.4:7:15404943:15410832:-1 gene:LPERR07G14970 transcript:LPERR07G14970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVDHVLLLLAAFFLLPPIAAQPWQICGGTGNYTANSTYEDNLKLLGDALPKNAYSSGALFVSGAISTDPESAYAYYSANGTYQDNLIQLAAALPKNGSGAGVFTVPESTFSLSFCRGDTSNATVCRDCVTTAFQDAQQLCPYSKDVSIVYDTCYLRFSNLNFLSSTNNSGVVDLYNTGNISGDISHYTRVVTGLLLATAAYAAVNSTNRFATGEVEGFSTECPKIYSMAQCTSDLSPAQCRRCLDGVVGQWWQTFEPNTQGARSVGARCNMRFEVYSFYKIPSMLQLPADAAARLTLPAFTEPIEGHNDRKNRNRIRKILAIVLPPTMAAILASAVICFFLWRRRPTKTPQQGPPPTASPLVDMDNVDFLILDLSTLRIATQNFAENNKIGKGGFGAVYKGCLPDGQTIAVKRLSQNSGQGIGELKNELVLVAKLQHKNLVRLLGICVEDLLDWRRRLNIINGIARGLQYLHEESRLKIIHRDLKASNVLLDSEYNPKISDFGLARLFGDDQSQDVTNHVMGTYGYMSPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNNGSYNIEQSADLLGTIWEHWTMGDIAAILDASISGQAPAGWQIARCVHVGLLCVQENPEQRPAMPAVNLMLTSGTVSLQAPSRPAFFWKSDDSGAAAAAVVSPNEVSITEPEPR >LPERR07G14970.4 pep chromosome:Lperr_V1.4:7:15404943:15410832:-1 gene:LPERR07G14970 transcript:LPERR07G14970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVDHVLLLLAAFFLLPPIAAQPWQICGGTGNYTANSTYEDNLKLLGDALPKNAYSSGALFVSGAISTDPESAYAYYSANGTYQDNLIQLAAALPKNGSGAGVFTVPESTFSLSFCRGDTSNATVCRDCVTTAFQDAQQLCPYSKDVSIVYDTCYLRFSNLNFLSSTNNSGVVDLYNTGNISGDISHYTRVVTGLLLATAAYAAVNSTNRFATGEVEGFSTECPKIYSMAQCTSDLSPAQCRRCLDGVVGQWWQTFEPNTQGARSVGARCNMRFEVYSFYKIPSMLQLPADAAARLTLPADAYQMTIAVKRLSQNSGQGIGELKNELVLVAKLQHKNLVRLLGICVEEYEKMFAGLEEEAEYHKRDRSGGYMSPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNNGSYNIEQSADLLGTIWEHWTMGDIAAILDASISGQAPAGWQIARCVHVGLLCVQENPEQRPAMPAVNLMLTSGTVSLQAPSRPAFFWKSDDSGAAAAAVVSPNEVSITEPEPR >LPERR07G14970.5 pep chromosome:Lperr_V1.4:7:15404943:15410832:-1 gene:LPERR07G14970 transcript:LPERR07G14970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVDHVLLLLAAFFLLPPIAAQPWQICGGTGNYTANSTYEDNLKLLGDALPKNAYSSGALFVSGAISTDPESAYAYYSANGTYQDNLIQLAAALPKNGSGAGVFTVPESTFSLSFCRGDTSNATVCRDCVTTAFQDAQQLCPYSKDVSIVYDTCYLRFSNLNFLSSTNNSGVVDLYNTGNISGDISHYTRVVTGLLLATAAYAAVNSTNRFATGEVEGFSTECPKIYSMAQCTSDLSPAQCRRCLDGVVGQWWQTFEPNTQGARSVGARCNMRFEVYSFYKIPSMLQLPADAAARLTLPADAYQMTIAVKRLSQNSGQGIGELKNELVLVAKLQHKNLVRLLGICVEEYEKMVIYEYMPNKSLDTILFDPIRSSLLDWRRRLNIINGIARGLQYLHEESRLKIIHRDLKASNVLLDSEYNPKISDFGLARLFGDDQSQDVTNHVMGTYGYMSPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNNGSYNIEQSADLLGTIWEHWTMGDIAAILDASISGQAPAGWQIARCVHVGLLCVQENPEQRPAMPAVNLMLTSGTVSLQAPSRPAFFWKSDDSGAAAAAVVSPNEVSITEPEPR >LPERR07G14980.1 pep chromosome:Lperr_V1.4:7:15424179:15427200:-1 gene:LPERR07G14980 transcript:LPERR07G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAAFLYTPQNPVRAGRVVGGGSDRGRERGASGVRRWFLPRNRPEFPSCLTGLLSSSSAPRTAPAPSASLTRNVPVQELRLYFHKDYQVTQKT >LPERR07G14990.1 pep chromosome:Lperr_V1.4:7:15428425:15432158:-1 gene:LPERR07G14990 transcript:LPERR07G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARLLSSHLAGVAAVTFLLATVPHAPLAAGEDESPPWHLCSPYPPSGNYTKNSTYQTNLDRLSTTLPKNTSSSSSPAMYATDTVGDVPDKVYGLALCRGDANASACERCVAAGFRDAPRLCPLVKDVLVFYDLCQLRYSNRNFFVDDDYFVTTYTLQRSRLVSAPSRAAFDAAVGVLVNATADYAANSSSRRYGTGEEEGVDGESDRPKIYALVQCTPDKSPDVCLTCLRTIIGRLSDFSGRTGGAIFGVWCNFRYEVFPFFSGRPLLQLPAFVEAPPLPAPPSTRSGEKRRTRTGTVLAIVMPAVAAILFITVACFYCLKRRRPEEQTFLPNSISSDDIQSIDSLILDLPTLRVATDDFAETKMIGKGGFGIVYKGVLPDGQEIAVKRLCRSSRQGIGELKSELVLVAKLYHKNLVRLIGVCLEEQEKILVYEYMPNRSLDIILFDTEKNNELDLGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKIFGGDQSEDVTHRIAGTYGYMAPEYAMRGHYSIKSDVFSFGVLVLEIVTGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSLGNHPPIDQILKCIHIGLLCVQKKPANRPTMSSVNIMLSSSTVRLPSLSRPAFCIQDVSASDNSNPYSERYRRPRSFGYTDNSAVVSSNDVSISELFPR >LPERR07G15000.1 pep chromosome:Lperr_V1.4:7:15436141:15441441:1 gene:LPERR07G15000 transcript:LPERR07G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRRLALSYNLAALALFLELALHHAPPLAGAQPLPWQLCNATSGNYTEGSTFQANVRALAKALPGNASSSASLFATGAAGAAPDKVYAIALCRGDTNASSCAACVANAFNNAQQLCALNKRATMFDDPCILRYSDQDILANVTDNRGMFVAWNYNNVSAAKAQAFDAASGRLVNATADYAAADSVKRFGTGEVGFDDVTYPRIFSLAQCTPDMSAAECRSCLGDIIRRMTPRYFVGKPGGRVFGVRCNFRFESYSFFAGRPLLQLPGPPPPPANMTPPAPGISQGRKTTHRTGRVLAITLPIAGAMLALVVFACFCSWTRRTSARKASSVPLPCSTNPDDIKSIDSLLLPLPTLQIATDNFAEKNKLGEGGFGAVYKGVLPEGQEIAVKRLSQSSRQGLEELKTELVLVAKLRHKNLVSLVGVCLEEDEKLLVYEYMPNKSLDTILFDYEKSKDLDWEKRLKIVNGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDYNPKISDFGLAKLFEWEQTQDVTSHIAGTYGYMSPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFASNSEQSIDLLSFVWEHWTTGTIEELLDPAMGDRSANGQILKLVNIALLCVQDNPADRPMMSAVNVMLGSNTVSIQAPSRPTFCIQDMDGADTDTFSRGAFRFQSTGTRKTNAAPSPNEVSLTELEPR >LPERR07G15010.1 pep chromosome:Lperr_V1.4:7:15443309:15452606:-1 gene:LPERR07G15010 transcript:LPERR07G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRYLAAASLVVVLLLLQQAPLIADAQPMPWRRCNVSSGNYTENSTYHANIEYLATSLPAYASSSPSLFASGSSGTIPDTIYALALCRGDTTNASACAACVSSAIETAQKHCALVKTVNIYDDPCIVRFSSQPFPISSPYSLAAFDAEIYPKIYSLAQCTPDMTAANCRSCLEDIIYLVGRKGGRVLGIRCNFRFETYPFFFGQPLLQLPGPPASSSAPVTGESSKHKSSAVVGILVPAIVLSSILVWFCSWNWRRRLAARSLQPIPTESSTDDMQSIGSLLDLSTLRVATDDFSEHKRLGEGGFGVVYKGDLPKGQQIAVKRLARTSKQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAQKIKELNWGQRFNIINGIARGLQYLHEDSQLKIVHRDLKASNILLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLILEIITGRRNFGSYGSEHNVDLINVTWDYWIRGEAIELIDPSLGGHYPIDKALKCLHIGLLCVQPKPADRPLMSAVNVMLSSTVRIPSLSKPAFWFQEIGDSSDLASSYLPFHPNIAATCVHSAMRRRTLLVVHAVLLLAAVTAQLPLAAGQPWSLCPTGGGTYAPNSTYETNLQSLISELQQNASTSPTLFAAGSLGAAPDAVYGVILCRGDVSSSDCYDCGTRSGQDVAGACNRTRGDAVLVYNQCYTRFSDTDFLTSMNNSGEAPLMNSDNVTSADVAGYDRAVTDLLDATLRYAVENASTSTSTRMLFATGQRVGADPGFASIYSAAQCTPDMSPAMCRSCLEDLVGKWWKIFPRTTIGARIVGTRCNLRSEVDKVQFYTGEPMLKIWADGLSPASSPGVVPGTSRGQNNSANKILEIVLPILTVAIVAAISFCLWNKRKKSRWGKADHFAGPDTSEDFESVKSTLLPLASLQVATDNFNESMKLGEGGFGVVYKGVLSGQEVAVKRLAKGSNQGLEEMKNELVLVAKLHHKNLVRLLGFCLEEGERLLVYEYMSNKSLDTFLFDEEHKRQLDWMTRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITKHIVGTFGYMSPEYVTHGQYSTKLDVFSFGIIVIEIVTGRRRNNGPYYFEQNEDIISVVWRHWAEGNIAEMIDHSLGRNYPEEEVLKCINIGLLCVQQNPVDRPTMADVMVLLNSDANSTLPALVVHRPTSLSDESSGYSQTVTQLSPR >LPERR07G15020.1 pep chromosome:Lperr_V1.4:7:15473683:15483172:1 gene:LPERR07G15020 transcript:LPERR07G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYPIANAVHLILAVAVVALPLAAAQPWPICGTGGNYTAGSTYESNLLKLATTLRANASASPTLFATGVRGTAPDAVYGLLLCRGDVSASDCADCGTRVFQDVGQVCNRTRDASLVYNQCYAQFSDRGDFLAATNNSGDVSLLISGTNISSNDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDPGFRNIYSMAQCSPDLSPALCRRCLDGLVGQWWETFPLNGEGARVAGARCYLRSELGTGPFYTGAPMVLLRADGLSPASAPAPDLVPATTGGSNNSATKILVIVLPIVAVAIVAAISLCLWNMRKNIRSTKAEHFSGLDSSEDLESVKSTLLTLASLQVATDNFDESKKLGEGGFGAVYKGLLYGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFGKQVRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFSEQNEDLVWRHWTEGNIVEMIDYSLRRNYPEAEVLKCVNIGLLCVQQNPVERPTMADVVVLLNTDATCSLPAPAAHRPTFFLDGSSGYSQTITQLSPRPKQAELAPLPWPVCGSSANSYTVGSTYETNLGNLAFDLRTNASSSTLFASGTVGSTPDTVYGLLLCRGDVSTSDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVVAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADELTPVPAPAPPDGVPATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSVKSEHFSGHDRDEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGSVYKIVVSTQIVRGLLSGQEVAVKRMSKGSNEGLEELKNELVLVAKIHHRNVVCLVGFCLEKGEKLLVYEYIPNKSLDTILFDREQRRWLDWATRFRVIEGLLFGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEARKTARLGNTDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMARYVIRGQYSTKSDVFGFGIVVLEIVTGQRNSGPYFSEQNEDLVWRHWTEGNIVEVLKCVNIGLLCVQQNPVERPTMADVMVLLNSDATSSLPAPAAHRPTVFLDGSSGYSQSITQLSPR >LPERR07G15020.2 pep chromosome:Lperr_V1.4:7:15473683:15483172:1 gene:LPERR07G15020 transcript:LPERR07G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYPIANAVHLILAVAVVALPLAAAQPWPICGTGGNYTAGSTYESNLLKLATTLRANASASPTLFATGVRGTAPDAVYGLLLCRGDVSASDCADCGTRVFQDVGQVCNRTRDASLVYNQCYAQFSDRGDFLAATNNSGDVSLLISGTNISSNDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDPGFRNIYSMAQCSPDLSPALCRRCLDGLVGQWWETFPLNGEGARVAGARCYLRSELGTGPFYTGAPMVLLRADGLSPASAPAPDLVPATTGGSNNSATKILVIVLPIVAVAIVAAISLCLWNMRKNIRSTKAEHFSGLDSSEDLESVKSTLLTLASLQVATDNFDESKKLGEGGFGAVYKGLLYGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKKQVRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFSEQNEDLVWRHWTEGNIVEMIDYSLRRNYPEAEVLKCVNIGLLCVQQNPVERPTMADVVVLLNTDATCSLPAPAAHRPTFFLDGSSGYSQTITQLSPRPKQAELAPLPWPVCGSSANSYTVGSTYETNLGNLAFDLRTNASSSTLFASGTVGSTPDTVYGLLLCRGDVSTSDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVVAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADELTPVPAPAPPDGVPATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSVKSEHFSGHDRDEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGSVYKIVVSTQIVRGLLSGQEVAVKRMSKGSNEGLEELKNELVLVAKIHHRNVVCLVGFCLEKGEKLLVYEYIPNKSLDTILFDREQRRWLDWATRFRVIEGLLFGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEARKTARLGNTDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMARYVIRGQYSTKSDVFGFGIVVLEIVTGQRNSGPYFSEQNEDLVWRHWTEGNIVEVLKCVNIGLLCVQQNPVERPTMADVMVLLNSDATSSLPAPAAHRPTVFLDGSSGYSQSITQLSPR >LPERR07G15020.3 pep chromosome:Lperr_V1.4:7:15473683:15483172:1 gene:LPERR07G15020 transcript:LPERR07G15020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYPIANAVHLILAVAVVALPLAAAQPWPICGTGGNYTAGSTYESNLLKLATTLRANASASPTLFATGVRGTAPDAVYGLLLCRGDVSASDCADCGTRVFQDVGQVCNRTRDASLVYNQCYAQFSDRGDFLAATNNSGDVSLLISGTNISSNDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDPGFRNIYSMAQCSPDLSPALCRRCLDGLVGQWWETFPLNGEGARVAGARCYLRSELGTGPFYTGAPMVLLRADGLSPASAPAPDLVPATTGGSNNSATKILVIVLPIVAVAIVAAISLCLWNMRKNIRSTKAEHFSGLDSSEDLESVKSTLLTLASLQVATDNFDESKKLGEGGFGAVYKGLLYGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFEQVRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFSEQNEDLVWRHWTEGNIVEMIDYSLRRNYPEAEVLKCVNIGLLCVQQNPVERPTMADVVPWPVCGSSANSYTVGSTYETNLGNLAFDLRTNASSSTLFASGTVGSTPDTVYGLLLCRGDVSTSDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVVAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADELTPVPAPAPPDGVPATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSVKSEHFSGHDRDEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGSVYKIVVSTQIVRGLLSGQEVAVKRMSKGSNEGLEELKNELVLVAKIHHRNVVCLVGFCLEKGEKLLVYEYIPNKSLDTILFDREQRRWLDWATRFRVIEGLLFGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEARKTARLGNTDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMARYVIRGQYSTKSDVFGFGIVVLEIVTGQRNSGPYFSEQNEDLVWRHWTEGNIVEVLKCVNIGLLCVQQNPVERPTMADVMVLLNSDATSSLPAPAAHRPTVFLDGSSGYSQSITQLSPR >LPERR07G15020.4 pep chromosome:Lperr_V1.4:7:15473683:15483172:1 gene:LPERR07G15020 transcript:LPERR07G15020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYPIANAVHLILAVAVVALPLAAAQPWPICGTGGNYTAGSTYESNLLKLATTLRANASASPTLFATGVRGTAPDAVYGLLLCRGDVSASDCADCGTRVFQDVGQVCNRTRDASLVYNQCYAQFSDRGDFLAATNNSGDVSLLISGTNISSNDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDPGFRNIYSMAQCSPDLSPALCRRCLDGLVGQWWETFPLNGEGARVAGARCYLRSELGTGPFYTGAPMVLLRADGLSPASAPAPDLVPATTGGSNNSATKILVIVLPIVAVAIVAAISLCLWNMRKNIRSTKAEHFSGLDSSEDLESVKSTLLTLASLQVATDNFDESKKLGEGGFGAVYKGLLYGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFEQVRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFSEQNEDLVWRHWTEGNIVEMIDYSLRRNYPEAEVLKCVNIGLLCVQQNPVERPTMADVVVLLNTDATCSLPAPAAHRPTFFLDGSSGYSQTITQLSPRPKQAELAPLPWPVCGSSANSYTVGSTYETNLGNLAFDLRTNASSSTLFASGTVGSTPDTVYGLLLCRGDVSTSDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVVAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADELTPVPAPAPPDGVPATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSVKSEHFSGHDRDEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGSVYKGLLFGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEARKTARLGNTDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMARYVIRGQYSTKSDVFGFGIVVLEIVTGQRNSGPYFSEQNEDLVWRHWTEGNIVEVLKCVNIGLLCVQQNPVERPTMADVMVLLNSDATSSLPAPAAHRPTVFLDGSSGYSQSITQLSPR >LPERR07G15020.5 pep chromosome:Lperr_V1.4:7:15473683:15483172:1 gene:LPERR07G15020 transcript:LPERR07G15020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYPIANAVHLILAVAVVALPLAAAQPWPICGTGGNYTAGSTYESNLLKLATTLRANASASPTLFATGVRGTAPDAVYGLLLCRGDVSASDCADCGTRVFQDVGQVCNRTRDASLVYNQCYAQFSDRGDFLAATNNSGDVSLLISGTNISSNDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDPGFRNIYSMAQCSPDLSPALCRRCLDGLVGQWWETFPLNGEGARVAGARCYLRSELGTGPFYTGAPMVLLRADGLSPASAPAPDLVPATTGGSNNSATKILVIVLPIVAVAIVAAISLCLWNMRKNIRSTKAEHFSGLDSSEDLESVKSTLLTLASLQVATDNFDESKKLGEGGFGAVYKGLLYGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFEQVRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFSEQNEDLVWRHWTEGNIVEMIDYSLRRNYPEAEVLKCVNIGLLCVQQNPVERPTMADVVVLLNTDATCSLPAPAAHRPTFFLDGSSGYSQTITQLSPRPKQAELAPLPWPVCGSSANSYTVGSTYETNLGNLAFDLRTNASSSTLFASGTVGSTPDTVYGLLLCRGDVSTSDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVVAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADELTPVPAPAPPDGVPATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSVKSEHFSGHDRDEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGSVYKIVVSTQIVRGLLSGQEVAVKRMSKGSNEGLEELKNELVLVAKIHHRNVVCLVGFCLEKGEKLLVYEYIPNKSLDTILFEGVARGLQYLHQDSQKKIVHRDMKASNVLLDVDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMARYVIRGQYSTKSDVFGFGIVVLEIVTGQRNSGPYFSEQNEDLVWRHWTEGNIVEVLKCVNIGLLCVQQNPVERPTMADVMVLLNSDATSSLPAPAAHRPTVFLDGSSGYSQSITQLSPR >LPERR07G15030.1 pep chromosome:Lperr_V1.4:7:15485202:15488849:-1 gene:LPERR07G15030 transcript:LPERR07G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSCSATVYGLLLCRGDVSASDCYDCGTRVFQDVGGFCNRTRDASLVYNQCYAQFPDNDFLAFTNNSGGMVIQLRSGTSISTGADVAAYDRAVIELLNATVRHAVGNPNRRLFATGQRRGADPGFRNIYSMAQCSPDLSPAQCRRCHDDLLGRWWKMFPVNGEGARVAGPRCYLRSELGPFYTGDPMVLLLADGLTPAPAPAPPDGVVATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSAKSEQFNGLATDNFHENKKLGEGGFGSVYKGLLSGQEVAVKRMSKGSKQGLEELKNELVLVAKLHHRNLVRLVGFCLEKGEKLLVYEYMPNKSLDTILFGIARGLQYLHQDYRKKIVHRDMKASTILLDGDMNPKIGDFGLARLFAQDQTREITSHIARTFGYMSPEYVMRGHYSMKSDVFSFGILVIEIVTCQRRNSGPNLSEQNDEDILSIVWRHWEDGTTADIIDHSLGRNYPEAEVLRCVNIGLLCVQQNPVDRPTMADVMVLLSTDTTSSLPAPAPMPTYLIDGTSGYSQTTEQWSGR >LPERR07G15030.2 pep chromosome:Lperr_V1.4:7:15485202:15488849:-1 gene:LPERR07G15030 transcript:LPERR07G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSCSATVYGLLLCRGDVSASDCYDCGTRVFQDVGGFCNRTRDASLVYNQCYAQFPDNDFLAFTNNSGGMVIQLRSGTSISTGADVAAYDRAVIELLNATVRHAVGNPNRRLFATGQRRGADPGFRNIYSMAQCSPDLSPAQCRRCHDDLLGRWWKMFPVNGEGARVAGPRCYLRSELGPFYTGDPMVLLLADGLTPAPAPAPPDGVVATTGGKNNSARKILVIILPIVAVAIVAAVSLCIWNMCRKNRSAKSEQFNGLATDNFHENKKLGEGGFGSVYKGLLSGQEVAVKRMSKGSKQGLEELKNELVLVAKLHHRNLVRLVGFCLEKGEKLLVYEYMPNKSLDTILFGIDHRSRIIEGIARGLQYLHQDYRKKIVHRDMKASTILLDGDMNPKIGDFGLARLFAQDQTREITSHIARTFGYMSPEYVMRGHYSMKSDVFSFGILVIEIVTCQRRNSGPNLSEQNDEDILSIVWRHWEDGTTADIIDHSLGRNYPEAEVLRCVNIGLLCVQQNPVDRPTMADVMVLLSTDTTSSLPAPAPMPTYLIDGTSGYSQTTEQWSGR >LPERR07G15040.1 pep chromosome:Lperr_V1.4:7:15503662:15507073:1 gene:LPERR07G15040 transcript:LPERR07G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSSIIHAVLLLFAAVALPLAAAQPWPVCGSSANSYTAGSTYETNLGNLAFDLQGNASSTLFASGTVGSTPDTVYGLLLCRGDVSISDCADCGSRVIQDIGQFCNRTKDKILVYNQCYAQFSDNDFLAATNNSGGYSLLISGTNISSGADVAAYDRAVIDLLNATVRHAVGNPNRRLFATGKRVGTDPAFGAIYSLAQCSPDLSPAQCQRCHDDLLAQWWETFPVNGRGARVAGPRCYLESELGPFYTGDPMVLLLADGLTPAPVPAPPDGVPTTTGGKNNSARKILVIILPIVAVAIIAAISLCIWNMCRKDRSAKSEHFSGLSIKSFGSLPDDTDEDFESVKSTLLSLASLQVATDNFHENKKLGEGGFGSVYKGLLSGQEVAVKRMSKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLENGEKLLVYEYMPNKSLDTILFDIERRRLLDWATRFRIIEGIARGLQYLHQDSQKKIVHRDMKTSNILLDGDMNPKIGDFGLARLFAQDQTRDVTSCIAGTFGYMSPEYVMRGHYSTKSDVFSFGILVIEIVTGRRRNSGPIFSEQNDEDILSIVWRHWEEGTTAEIIDYSLGRNYPEAEVLRCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPAPAPMPTYLIDGTSGYSQTTAQWSDTGR >LPERR07G15050.1 pep chromosome:Lperr_V1.4:7:15520045:15520807:1 gene:LPERR07G15050 transcript:LPERR07G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSAALLAAVMAIAAAASTAVAKDYTVGGSYGWDTYVDYDKWTSGKTFIVGDTITFTYEPYHNVLEVPETDYDSCTANNAVSVHSGGNTTFELTAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAAAAAGPSPAVAPLPAADEQHKSMSDSASNSPAAAPTAASSSAPRNRQQPAVAVAGLALAALVAMTA >LPERR07G15060.1 pep chromosome:Lperr_V1.4:7:15521884:15524310:-1 gene:LPERR07G15060 transcript:LPERR07G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCSLMDDDLAAAGFDTAPASGLYWPPPAPQLVPPPALPLQPVAPAAAAPNACAEINGSVDCEHGKEQQTNKRPRSESGTQPSSKACREKLRRDKLNERFMELGAVLEPGKTPKMDKTAILNDAIRVMTELRSEAQSLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPATAFAAAQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDLKSCPPVA >LPERR07G15070.1 pep chromosome:Lperr_V1.4:7:15532879:15540577:1 gene:LPERR07G15070 transcript:LPERR07G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSLPSGQPVRLQQRWTCRSRAVSPAPLLSPPTTRRLVADFRRKTVASLRVRGVAAEREQDDSPLPEPPPALTDEEKMLANYVPVYVMLPLGVVTAENELEDAGSLREQLRRLREEARVDGVMADVWWGIVEGAGPGMYEWRAYRELFGMAREEGLKVQAIMSFHACGGNVGDDAVCIPLPRWVRDVGDVDPDVYYTSPRGVRNQEYLTIGVDDRPLFHGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPENQGWEFPGIGQFQCYDKYLNEDFKAAATAAGHPEWDLPDANDTGDYNDTPDDTRFFAAGTGTYLTDAGRFFLTWYSTKLLSHGDRILDEANIAFLGCTLKLAAKVSGIHWWYLHPSHAAELAAGYYNVAGRRDGYAPVARVLARHDGAVMNFTCAEMRDSEQPEEAMSSPEALVRQALSAAWREGVDAACENALSRYDRRGYNQMLLNARPNGVVVGGDATPRRVAAVTYLRLSEELLTGSNFRAFRSFVRKMHADQDYCPDPARYGRPMRPLERSGPEVPVERLREATAPVPPYPFDGETDMSVGGGLAEFIDWVFDKVEWIFSPERPATFIKPSSVSNNKVKLVFSEHTQAATMAGSLLANYVQVNVMLPLDAVTVDNKFEKGDEIRAQLKKLTEAGVDGVMVDVWWGLVEGKSPGVYDWDAYRQLFKLVQEAGLKLQAIMSFHQCGGNVGDVVNIPIPQWVRDVSKSDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWAFPGIGEFICYDKYLEADFKAEAAKAGHPEWGLPDDAGEYNDTPEKTRFFTGNGTYVTEKGKFFLTWYSNKLIEHGDKILDEANKAFLGCRVHLAIKISGIHWWYRVPNHAAELTAGYYNLDDRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLNVACENALGRYDATAYNTILRNARPTGINKNGPPEHKLSGFTYLRLSDELLEGQNYNTFRSFVKRMHANQDHNSNVDPIKPLQRSTPKMPIGEILQAAQPKLEPFPFDENTDLPV >LPERR07G15080.1 pep chromosome:Lperr_V1.4:7:15538907:15542924:-1 gene:LPERR07G15080 transcript:LPERR07G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTIAMVVTGATASLSCMRRARAARRFRAYPSIPPAGIGGEDEDFGGGGLVSSSELSCMVRNPVPPVNAGDLPANI >LPERR07G15090.1 pep chromosome:Lperr_V1.4:7:15545672:15547919:1 gene:LPERR07G15090 transcript:LPERR07G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLISKIGTVLAMNSATFGASLLCKEASALKRLFGEICDIKEELEIMQAFLRGAERFKDTEETTKIFVKKIRGIAFEIEDVVDEFTYKFEDKHGGFTAKMKKRMEHAKTWQRLALKLQEIKRRLKHVDKRIVRYNFTVMDKGAGGSGNNGKSANESLISGREDNLVGIDENKKLLLQWLTDDPKQQSIVASVLGMGGVGKTTLVAHVYNILKTHFDAAAWVTVSSSYQIEDLFRKIAREFCIPVDVANMEMINLVKNIHDYLRSKKFVLVLDDVWGVEVWFKVRDAFPTDSTSRFLITSRILEVASLATGNCVLQLEPLELEHSWELFCREAFWKSEDKRCPLELQHLAHMFVEKCKGLPIAIACLGRLLSCKQPTYAGWENVYKDVNIILKVSLEDLPYELKNCFMHCAIFPEDFLIKRRRVIRHWITAGFIIEKGKTTLEDVAEGYLNELVNRSLLQVVGRNEIGRLKYCRMHDIIRILALNKANEEWYCDVLDGSRTSSENSHHLSIQSKNIQQLSRYGGTHLRALYVFENEISIDVFKPILTSSNLLSFLDLQETCIHALPNEVFDLFNLCFLGLRNTAIECLPEAIGRLQNLEVLDACETKLVSLPDSIAKLRKLRYLYALTLTGRENYNPFGGVRVPTGIKNLTGLRALQCVKASRKILREVGVLKELRTFGVSHVRCEQSSDLCSTIMKMNHLIHLEITALGEEEVLQLEGLDLPITLSVLGL >LPERR07G15100.1 pep chromosome:Lperr_V1.4:7:15548631:15550405:-1 gene:LPERR07G15100 transcript:LPERR07G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVCPVPSPPNRAPAVVGSSSQTPVAGIGGEDEASVGRARWLSKLVLSVDDTNITREYMPQLYRKVTS >LPERR07G15110.1 pep chromosome:Lperr_V1.4:7:15552884:15556150:-1 gene:LPERR07G15110 transcript:LPERR07G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMERDGGDKLYMGSVKLGPKAGKSELFAELPGYPDNMTSDGVALHREKTQKSYGSENHLLAVRVGRNGKIVQQVRGPKNVRPTEVIERDGGKLYMGSVELGRVAVVKATPTPKN >LPERR07G15120.1 pep chromosome:Lperr_V1.4:7:15561568:15561855:1 gene:LPERR07G15120 transcript:LPERR07G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGSRPSGDAGEPRWGDVHRRLEFGTPEGALQAAEALLRHPPETSGEGSNAKRWFDDMAELVNTAQRQLATDLAFSSHRPRGSHTAVSSSSR >LPERR07G15130.1 pep chromosome:Lperr_V1.4:7:15573358:15574425:-1 gene:LPERR07G15130 transcript:LPERR07G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALAVVVMLLLLPTNALAATFAKDTTAELVETLPLPTQLVGPESVAFDEYGEGPYSGVSDGRVLRYGGADNGWMTFATPPGYNFAKCKAPKLRPAEQTESMCGRPLGLRFDNTSGTLYIADAYKGLMRVAPRGGEARVMVKEADGVPLHFTNGVDVDQKTGEVYFTDSSMRFTRAQHEMVTATGDSTGRLIKYDPTSGHVNVLESGMTYPNGVSLSADRSHLVVALTGPCKLVRHWIKGPKAGTSEPFAELPGYPDNVRSDGKGGYWVALHREKTEKPYGSDSHLLAVRVGRNGKIVQQLRGPKNVRPTEVMERDGGKLYMGSVELGHVAVVKATPTPTD >LPERR07G15140.1 pep chromosome:Lperr_V1.4:7:15590289:15591362:1 gene:LPERR07G15140 transcript:LPERR07G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALAVVVMLLLLPTNALAATFAKDTTAELVETLPLPTQLVGPESVAFDEYGEGPYSGVSDGRVLRYGGADNGWMTFATPPGYNFAKCKAPKLRPAEQTESMCGRPLGLRFDNTSGTLYIADAYKGLMRVAPRGGEARVMVKEADGVPLHFTNGVDVDQKTGEVYFTDSSMRFTRAQHEMVTATGDSTGRLIKYDPTSGHVNVLESGMTYPNGVSLSADRSHLVVALTGPCKLVRHWIKGPKAGTSEPFAELPGYPDNVRSDGKGGYWVALHREKTEKPYGSDSHLLAVRVGRNGKIVQQLRGPKNVRPTEVMERDGGKLYMGSVELGHVAVVKATPTPTSKH >LPERR07G15150.1 pep chromosome:Lperr_V1.4:7:15593376:15593633:1 gene:LPERR07G15150 transcript:LPERR07G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHHVSKTTITYYHVSKTEKLYGSDNHLLTVKFGRNRKIVQQPWGPKNVRPTEVIERDGSKLYMCSVQLSHVAVVKAMPTPTP >LPERR07G15160.1 pep chromosome:Lperr_V1.4:7:15596357:15599588:1 gene:LPERR07G15160 transcript:LPERR07G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGLSQGVMPAGPMRAGRSRLAVVRASAAEGDNVQIASRRAVLGLVATGIAGGALAESALAAAKSIKARDTDLPLKERFYLQPLSPTEAAARAKESAKDIINLKPLIDKKQWPFVRDDLRLKASYLRYDLNTVINSKPKDEKKGLKALAGKLFDTIDGLDHAAKIKSPTEAEKYYAETTSVLGDVLAKLG >LPERR07G15170.1 pep chromosome:Lperr_V1.4:7:15598929:15600682:-1 gene:LPERR07G15170 transcript:LPERR07G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPGELMESMKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAEMEVGFFPPEEEAKIEQGFEEARAAKREYRREARRALAKQSQLEGGNTHHDQTGKVAGTNEDVAVKS >LPERR07G15180.1 pep chromosome:Lperr_V1.4:7:15602480:15603352:1 gene:LPERR07G15180 transcript:LPERR07G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAAVVCLLIIAMDVVAGVLGLHAEKTQHQGRRLRILFIECRQPVRQAYELGVAAAAVLAASHAIANVVGGCACACSRDRRPSPNRQMASFALVISWIVMVVGLALLILGALPNAKAKVAECGLPRHRFLSIGGILCFVHGIFCLVYYVSANAAKREEGRM >LPERR07G15190.1 pep chromosome:Lperr_V1.4:7:15605122:15605406:1 gene:LPERR07G15190 transcript:LPERR07G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAKKTTECPVSCETKVRSGRRMRQQAEMEFGRARSLRIHAIRMRLRAQVDLGRARALCNFAVHMRQQAQMDIARARMVRLHALRMRLQEKAS >LPERR07G15200.1 pep chromosome:Lperr_V1.4:7:15607428:15607735:-1 gene:LPERR07G15200 transcript:LPERR07G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLNQPFKWDEVDDLEGEIPDLNYNYVWYSESEVCFIGEMKKTSTMQPPPPPAMTAAWKMKVERMM >LPERR07G15210.1 pep chromosome:Lperr_V1.4:7:15608240:15609481:1 gene:LPERR07G15210 transcript:LPERR07G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >LPERR07G15220.1 pep chromosome:Lperr_V1.4:7:15611194:15614477:1 gene:LPERR07G15220 transcript:LPERR07G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >LPERR07G15230.1 pep chromosome:Lperr_V1.4:7:15613656:15618666:-1 gene:LPERR07G15230 transcript:LPERR07G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCRREWLLTLCLISLQLLIPTGCEGLLVAANLSPPALTPALIKQVDQLVEHAWLKCGLDKGTLEDVRRHFDYNHVFDILRRMSGQDSKDTPESSVLSPEIRHSILNCLSKQNFLSVAGQDGAKTLSVDYIKALIASLRRDLVLGSSAAKSTPEEATQAGKPVPGKTPTPKPAKKPSDSTSTPTEKEVPTTKSVAKKKDSSGIPTIAIIGLSIAGVALMALLCLCCCMCRGNKTSSYDLKDDKPLLTLNLSNLSAASKSNQGNPIDVNKLGVLSLKSEAAQNGDVKLNSQESAKNVVHPVGSMPGLTPMPPPVMPPPAPPPPAPKALAPPAPQAAAPPLIASPVPPSEPSPTAAPKAAPPPPPPKSTGPPRPPPPAMPGSSKTRPPPPLKKSGAKVGAVEDSNEAKTKLKPFFWDKVTASPDQSMVWDHLKSGSFQFNEAMMESLFGYNSTDKKSSDTKKDLSSKDGAQFVRILDPKKAQNLAISLKALSVSPLEVCSAVKEGNELPCDLIQTLLRWTPSNDEELRLRLYTGELSQLGPAEQFLKAIIDIPHIFQRLDALLFIAILPEEASNVKQSFATLEVACQELRNSRLFLKLLEAVLKTGNRMNVGTFRGRAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSSAPSVNTDDLTDDNNEQTEDGYKQLGLKVVSCLGDELQNVRKAAILDADQLTMLVASLGHKLVKTNEFLNTSMKSLDEDSGFHRKLSHFVEQSQADISFLLEEEKKIRSLVKTTVDFFHGSAGKDEGLRLFVIVRDFLGMLDKVCKEVKEASKVAPRKPKAKQPSQSSQSFRDPRVNIFPAIKDRRADSSSSSSDEES >LPERR07G15240.1 pep chromosome:Lperr_V1.4:7:15632348:15638279:1 gene:LPERR07G15240 transcript:LPERR07G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQAKYQTLSPLSPHPPLSASSWWAPPRTNLFISLPPHPCLIGRSRTPNRQREIRHQHEPSDPDPTANSGVQTPLQRQRRDRVRTCPRASLTRDREVHVTDARRRRIGIVRPSGVPTPPSSVGRDAAALTAAGDGRTYVAVTASVVTHGGEGILLQSPSGKRREEERRGLGSDIIIAHTKRGGEGGERIRTREPAEREREKKKSWREREVVELDWGIPAAVQIGGGHEQLISLLKYRLTSANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQAAILLRENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEELKPAQLVRQRSRTWSHESRQPLPGVGRPQFASGGYPTASYEFRPEKRQRELREDPQIIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSANGAIAEALRSENNIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGDGLDIVGNMLKSMSKEFNIPLEFTPLNVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMDYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >LPERR07G15250.1 pep chromosome:Lperr_V1.4:7:15638985:15642275:1 gene:LPERR07G15250 transcript:LPERR07G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSRQSLRKLASFSLLYLSRKAPVSPSPQFLRPAVPSSGNCLNPFYQFGPSWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFLTPEARLKCKIEKAKLKEAMLVEKLKKYEVAKAQGPAAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGIFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPVNIIGNDTIVFYRGKNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVKRFIAVSEKELELYYRHVALYGNPQSQNVDSVYCDGRTISPLETEKLSQGQEQVSHVGTNGFSDITDFSESDEDDNCSEYDDHHDEIGDNAGTILYDHGGFKVTE >LPERR07G15260.1 pep chromosome:Lperr_V1.4:7:15643551:15646800:1 gene:LPERR07G15260 transcript:LPERR07G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFSLRLGPRTAGTPPPPGAAKGGGRGGARPTGGRALVSKKPNKEHHLWIRKDSAGSGKKALRLVDTVSKLPNEKEAVYGALDNGKSFGNVEKTETMAKNHPGKVAKWLMSKGQVLTWTTYDTLLMALFMDGRMDEAEMIWNTIIQTHTRSVPKKLFSRMILIYDSRNCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLQKYLKKWKYIHFNGERVRVRRGGPLS >LPERR07G15260.2 pep chromosome:Lperr_V1.4:7:15643551:15646800:1 gene:LPERR07G15260 transcript:LPERR07G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFSLRLGPRTAGTPPPPGAAKGGGRGGARPTGGRALVSKKPNKEHHLWIRKDSAGSGKKALRLVDTVAKWLMSKGQVLTWTTYDTLLMALFMDGRMDEAEMIWNTIIQTHTRSVPKKLFSRMILIYDSRNCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLQKYLKKWKYIHFNGERVRVRRGGPLS >LPERR07G15270.1 pep chromosome:Lperr_V1.4:7:15647646:15656849:1 gene:LPERR07G15270 transcript:LPERR07G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGEDAGMDAVQKRLMFEDEGLWGFGGGLTPRGGSSGGHVTMVLPAAAGDLNFGSCILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVLPNPDEVADVKYVSREDLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLNSPTQNQPSPAQLINLATKSQSTQRAPNILPNLNHLLTRNTPDTTGAKSQKKSPHPTRHKPFPLRRRELAERAQRGGDTWKRENPIKPSAAPTREGEEKEKRRRPAAPSPPPADALLADDGERGGRRHVEEEGGHDDDAQGLPWRLHPLRAPPPLRPRRLRAPGGSPRVVPVGCSGRVRSPPRSGHLRGCCCGGGGQIWSATRIAQASAVEKVISGRWSSSKPSSPPAAPVSVPVMETHVAPPEMERPKSVVGVRELEGGMERGVAPVRPASHEGRVGEARGVEVQERPRAGDVLERPRVGDVPERPKLKLLPRSKPIETAEPSPVYAEEKQVHQVPVGVNAIQGEMIHDVHQNMLAAKTGVAGADAENRAVERPRLNLKPRSNALGQSDESAPKERHLFGGARPREQVLRERGIDALATDLEKTSPGGRSKNEFAKVEQKVETLSINPSGEKVESFPAGTRGPRNADKKDYRRDTDRSDVYRPTRREDNRRIARDVEKTEQPRPEPETWRKPVEPPKPEVTAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPPSPGARDQVGFSRLTDNRALHSGAQRKINGY >LPERR07G15270.2 pep chromosome:Lperr_V1.4:7:15647646:15656849:1 gene:LPERR07G15270 transcript:LPERR07G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGEDAGMDAVQKRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVLPNPDEVADVKYVSREDLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLNSPTQNQPSPAQLINLATKSQSTQRAPNILPNLNHLLTRNTPDTTGAKSQKKSPHPTRHKPFPLRRRELAERAQRGGDTWKRENPIKPSAAPTREGEEKEKRRRPAAPSPPPADALLADDGERGGRRHVEEEGGHDDDAQGLPWRLHPLRAPPPLRPRRLRAPGGSPRVVPVGCSGRVRSPPRSGHLRGCCCGGGGQIWSATRIAQASAVEKVISGRWSSSKPSSPPAAPVSVPVMETHVAPPEMERPKSVVGVRELEGGMERGVAPVRPASHEGRVGEARGVEVQERPRAGDVLERPRVGDVPERPKLKLLPRSKPIETAEPSPVYAEEKQVHQVPVGVNAIQGEMIHDVHQNMLAAKTGVAGADAENRAVERPRLNLKPRSNALGQSDESAPKERHLFGGARPREQVLRERGIDALATDLEKTSPGGRSKNEFAKVEQKVETLSINPSGEKVESFPAGTRGPRNADKKDYRRDTDRSDVYRPTRREDNRRIARDVEKTEQPRPEPETWRKPVEPPKPEVTAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPPSPGARDQVGFSRLTDNRALHSGAQRKINGY >LPERR07G15280.1 pep chromosome:Lperr_V1.4:7:15656470:15658546:-1 gene:LPERR07G15280 transcript:LPERR07G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRMSSSSSSPATAEEPAAAVAAMVEEAAAAPAEKRRRRPSSSSGTASWKPTLGAISEDAAVVSNAAAVKAKAKQAKPSPAAKSKAKARPPPRGARSDYDDFRHFEAPTVLPAFAPTAFLF >LPERR07G15290.1 pep chromosome:Lperr_V1.4:7:15669907:15674661:1 gene:LPERR07G15290 transcript:LPERR07G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAKWKRQREIKTPALHIPQSSPASSPLAVWQRLSVAQDPPTSLAGPSLSSPKASVSCNPIAAIDHSSEKLPRHLAIWKLGLSLWIRGGAIPLYYCFHPHHCSLFFLLCHVALKLVVVARANKKMGCVLEVDVDGEEVFLVDKEVLAPFCGRIKNLAAGGEGLAGAAAAPARRVVLLGFPGGAEVFELVARFCYTGGRGGGVEVTASNACALRCAAEFMDMAAAPSLVKMTNKVLDEMPQWPWHSVVDALKQCQRVLPIAESTGVFDKVLAALASHVSVSVLPGGGAGDATPTSSSPESSSAFRFSCDTKSSCLSTTTTRCRASWWHDDLAAVLSPFALERVTGAILARDHHDGGAAVSRFLFYYLKSRAVAGVAAAEKRSMIESTIAAMSTLDRSSLSCKGLFGILRISSPLKLAAARHDALVGMIGAKLDHATLDNLLVPAPPGATGGMYDVALVVRFVDAFLAVAAAAGGEAARVRKVGKLIDLYLAEVAPDTSLPPARFAELATALPAAARECHDALYRAIDVYFQVHTRVTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKTLLRGDHHHLKPLSSSLPPATAKHAGVGGGEDDDDDLAGGGAGQVVLYARRLEMSIESQNLRSLLDGMHWRVMELEKVCSRMKSQMGKMKAARRGGGGGGRASSRSLPRMCS >LPERR07G15300.1 pep chromosome:Lperr_V1.4:7:15685096:15690025:1 gene:LPERR07G15300 transcript:LPERR07G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTRGDRDGRGAVDGGLGRVPVSAAAGDGVDLISDLPDALLELILSFLPAIDAVRSSVLSRRWRRVWTRAYALNLSDEQHQGRFLAIAGAVLKGYAKPDIPSLNVAITHPSNLGQDTAWWLLDAMERAVCSLSVMVTGPCTMDRFVLPATVRAKAVSLQLSNHFARHGVLVLPEPKEATDFTRLMELSLSKLRAPPNLGEFLSRCCPQLRKLRLSSGKPAMWPLVLDMDMLKELEVNAIQTLSSLEVSATNLQSLAVH >LPERR07G15300.2 pep chromosome:Lperr_V1.4:7:15686392:15690025:1 gene:LPERR07G15300 transcript:LPERR07G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSEQLLEMCPVADKLYVSIKISDGTNQSMVLYLHMVGILRFIHCPITPIFSAFLRRCPNLTRLYIDLSMLHQFSELHPNELILPVENNDATTQQQGHADHPCEIAPRDQLQLALLRDIRLNGFVGTDGEMELANLLFGAGAPRPVLQRISITSFPELRGGAHGIAAKMTAQLPLLGGHWEVCSRNLELTWTRTTTRC >LPERR07G15300.3 pep chromosome:Lperr_V1.4:7:15685096:15686219:1 gene:LPERR07G15300 transcript:LPERR07G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTRGDRDGRGAVDGGLGRVPVSAAAGDGVDLISDLPDALLELILSFLPAIDAVRSSVLSRRWRRVWTRAYALNLSDEQHQGRFLAIAGAVLKGYAKPDIPSLNVAITHPSNLGQDTAWWLLDAMERAVCSLSVMVTGPCTMDRFVLPATVRAKAVSLQLSNHFARHGVLVLPEPKEATDFTRLMELSLSKLRAPPNLGEFLSRCCPQLRKLRLSSGKPAMWPLVLDMDMLKELEVNAIQTLSSLEVSATNLQSLAVH >LPERR07G15310.1 pep chromosome:Lperr_V1.4:7:15688861:15691841:-1 gene:LPERR07G15310 transcript:LPERR07G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCPRLLLAAALASPCPSSAAHRRFSSAGVTHVSVPCISSRLRRPSVRVQSASSGSGSQSSVAESSEATEWAMQDFYALRKDVELAVARVDEIRQSAGLNQLEEEIASLEKKSGDSSLWDDPSKAQEILVALTEVKDRVKLLNYFKSQVEEADTIVKLTEELDSIDISLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSSAAD >LPERR07G15310.2 pep chromosome:Lperr_V1.4:7:15688861:15691841:-1 gene:LPERR07G15310 transcript:LPERR07G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCPRLLLAAALASPCPSSAAHRRFSSAGVTHVSVPCISSRLRRPSTWVNTVQSASSGSGSQSSVAESSEATEWAMQDFYALRKDVELAVARVDEIRQSAGLNQLEEEIASLEKKSGDSSLWDDPSKAQEILVALTEVKDRVKLLNYFKSQVEEADTIVKLTEELDSIDISLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSSAAD >LPERR07G15320.1 pep chromosome:Lperr_V1.4:7:15693260:15698103:-1 gene:LPERR07G15320 transcript:LPERR07G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >LPERR07G15320.2 pep chromosome:Lperr_V1.4:7:15693260:15698103:-1 gene:LPERR07G15320 transcript:LPERR07G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >LPERR07G15330.1 pep chromosome:Lperr_V1.4:7:15711143:15717313:1 gene:LPERR07G15330 transcript:LPERR07G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMCRAHTTKSIAAAADRSYHGPDLISSLPNELLHRVLTFLTTPETIRTSVLSRRWIDVWTRVPRLVVLDHIPSIELMNGVLRRYAADVDITDLTIWYHYDFPEVDEAQATAWAEFAAQRVTGRFSLSVETQYRIPDEFIELPCFKRTMEISLYSVGMVVLFPPPVADVGGDDKFTRLTKLQMSELRFSDNGEGISDVVSQRCPRLEILELERSGELDVISLTLRSESLLILRIVSLSRLRRLEVEAGNLRDVQVEDSFAESYSADAIPTAMRLSTPALEVFRWGDRYPDEFDLTTLPMFLKELFFRAFAHHIVEMMEYFSRVDVLKLNPILLCALGSEELESLIHRVQLPYYSDLDLGMIANGHMSFGPFVIHILKRNSSIRNLTLTHIDYYPEPMFNGHCIPDCTCRKPLKWWHQERITLDYLEQLAINISGEHEERQLIYYIMRNSKVLKKESGATYKGPHHISSLAHGLLHQILVFLPVVEVIRPCVLSHCWIHILKLLDIYSGMRELIIRSTSLLTLLLLSISDLLRLEVDAAILTSMQVYLCAFRMQ >LPERR07G15340.1 pep chromosome:Lperr_V1.4:7:15721033:15733562:-1 gene:LPERR07G15340 transcript:LPERR07G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLYSAEMIVRFPLLAAVVGSRDDKFTCLTKLQMSELWFSSNGEGMSDVISRRYPRLEILKFESIGQRDVVSLMPSATVAIVSGSSRWKQGTYTSTSTPARSERRASVSGAAYEGPDRISSLADELLHQILVLLPVVEAIRTCVLSRRWIRVWTGLPSLRFDDDAAEEVESFAGLVDGVLGRYDAAVGLRDLVVSVHGDDVGFGNDDVISCVDAAARLVTGSFTLDVPRGIDMLEEDYDYEDEEEVPDLLELPCFERATEIAICVSDMEVRLMRRGGDDGRTFALLTKLHLSQVVLADDGEYLSDVVSRGCPQLETLELVDIYSGVTELTIRSTSLLTLLLWSISDLLRLEVDAENLTSMQVRECFDMEETGSVMSLSTPAMKEFFWEDCCPEEVKLVREPAGCLQKITCIDSSWKHSLTINQTQSYYTRILELFSSTCTDVLRIEFPIKPESEEHTRFVHNVKLPYYSELQLVVRKNGHTLAPTIVHLLKKNRWIKRFSLKISLENNTIQCEPFCTCRQPSNWRDQQISLGSLEGLAIQGCRGTSDEKELLYYITENSKALKKSVVVTIIIGNQKSTLDFLHNVRLPYHSELQAVVRKNKHTLPSHSTPSKEKQVDQKVFLKIYLEVCFLLSAM >LPERR07G15350.1 pep chromosome:Lperr_V1.4:7:15733794:15738122:-1 gene:LPERR07G15350 transcript:LPERR07G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMSRAPENNSAAAGHGYHGPDLISGLPDELLHHVLTFVPTLDSICTSVLSRRWIDVWTRVPQLILRDEILDIELMTDILSRYAADVYITDLKICYHWDYPQLYEAQVTSWAEFAAQRVTGRVDLSVTTQFETAWPNEFVDLPCFGWATEISIYSSGMAVRLPPPAAVTGGGDEFTRLTRLQMSHLRLSDDGEGISDVVSRRCPRLEILELDHIGDGVYDDVISLSLHSESLQSLRIVSVCCLRRLEVDAANMHEMRVEYCFAESNGPTAMRLSAPAMEVLGWEDKCPNEVELITLPMFLKELFYSEIPDPRSYRVAEILEHFARVGVLRLSLIHRVQLPYCSELDLSVITKQHMSYGSCIIHFLKRNSTIRSLALTLHAYHPEMCRVHKSNSIAAAAGHSYNGPDLISSLPNEIFHHVLIILTTPETIRTSVLSHRWIGVWTQLF >LPERR07G15360.1 pep chromosome:Lperr_V1.4:7:15746524:15746972:-1 gene:LPERR07G15360 transcript:LPERR07G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFFWEDCCPKEFFWEDCCPEEVKLVREPAGRCFQKIICIDSSWKHALAINQNQPYYTRILELFSSTCTDVLHIEFPIKPL >LPERR07G15370.1 pep chromosome:Lperr_V1.4:7:15759877:15761774:-1 gene:LPERR07G15370 transcript:LPERR07G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRKIRPYGVFSYGLDQALNRSSQMFLNLYPSTDCLELLVIIEPFLEEVEINNFRGEKHELTFRSS >LPERR07G15380.1 pep chromosome:Lperr_V1.4:7:15761817:15777867:-1 gene:LPERR07G15380 transcript:LPERR07G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDDGNSGSTNPAPIDIHGDGRVRGVESDHADDDGRNRGGEEGDRADDGGRDRGGEGDPAAADGRDRGGEGDHADDDGRERDRGGEGGSGSLAAGVDRLSALPEDILARILGNLRDTRAIFSTGFLSKRWRDVWTWVSNIALVLRDPNDSSPVCRVLRGHAASAATNINDLIVRSNYSATPDSTVSWLRDAAPLVTGILVFENRFKATDEMLDAELVEHGIEDRGAFELPCFTRATAIVFRLGFLGLSLPSSGVFAALRDLQLIYAQFHGKWTLDDAMFPFLERLGIRESSGLASLTLRLKPLIKMHLERVVGMRRLNAMVPRLKKLTVAFCFHRNLEAVSIVAEDLEELQWIDSCKPGLVSFNGMRSLQMLQPLDVYSYGRKQALYNPWCQGILDLYDRIKRLELYVVIEPGPGTDGVAPLMKGITQLPYIRNLSIELQTQGHAYGASVLHILTMCTGIAELTLINQEKFQVENACLPNCICNRITNWRETSISMKLLKEVEVLNFRGEQHELDLLELLVKASPALRRIRITCHRSFADRETLYGTVQSYVRHETSVEEMLDEELGFEDHEIEDRGTFELPCFTGATTIFLNLGFLGLSPPSSGVFAALRFLRLKNVQFHGEWTLDDAMLPCLGGLGIRDSRGLASLTLRMKPLIDVHLSNMLGMRRLNAMVPELKQLVVDDCFGPDLEAVSIVAEELERLQWLDPYMIQRVNFNKTPRLRKLSIPAVYSFGWRQADFNPNVQRLLNLNPSIDRLELLVVIEPGHVPRVANTGHTYGASLLHILTMCTGIGELTLINQEKFQVENNCPPNCICDRITNWRNTPITMKLPEEVEVLNFRGEQHELELLRLLVTGAPALRRIRITCHRSFAGWKTLSVNVQSYARRETSVERTGTAMAVLVAIDGDGDGGADSAAATGFPAPASAPAPVPMDIDGDGDGHNRGGEGDSTATASVQADGDSAAAPALTDTDGDGRDRGGEGGGASRARADGGAVVPAAGMDRLSDLPEDLLACILGRLRDTRAAARSSVLSRRWRHVWTVVTSLFLHHYKPDDSSSVHVALTNHRKGGATEIHGLSVLSPNSATPDATVSWLRVAAPLVTGELFFHNRSSVRGEIITGELFDEVIERRGAFELPCFTRATKITLALGFLGLSLPPSGVFAALREMRLLHVQFHGEFTLDDTMMPSLRGLEIYGARGLAYLTLSLKHLICMNLSTMRGLRRLNAVVPELKALRVSYCFRSSLLQHCMEGVCIVAEKLEVLDWAEWYHPRLVKFNPMPHLWKLSAIPFYPCGKRFLFNPSCDSFLKLFSRIHSLRMLLCIEPHLGGNGIMQLKKRITRLPEIMILHLELLTHGHAYGAGVLAILSMCTGLTQLKVEGNYCEVQNACPLNCICEWPRNWRDIHISMTSLREVQMLNFRGKKHELDLLRVLVRAAPALRSIRITCHRSLTTRDRETVFASVRSFAREATCVQVSQATTPFCSYSSLFAAQADGEEGESTSESEISQMIPASSSTYGATSGRGRPESSRLALAAHAACAAANIDRLDVGCLHSAMPAGRHSLFSWLRDARAPRQRRAFLLQQVPGFVGDARQGGAIEFALNSRESPRARPVPGRALDDAVFPLLELLEIKSAIPLAWLPDAPAEVSDPDESGKRRRDSSAQRHGMVGLNNLVVACCFGADLQAVNIVADELEEL >LPERR07G15380.2 pep chromosome:Lperr_V1.4:7:15761817:15777867:-1 gene:LPERR07G15380 transcript:LPERR07G15380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDDGNSGSTNPAPIDIHGDGRVRGVESDHADDDGRNRGGEEGDRADDGGRDRGGEGDPAAADGRDRGGEGDHADDDGRERDRGGEGGSGSLAAGVDRLSALPEDILARILGNLRDTRAIFSTGFLSKRWRDVWTWVSNIALVLRDPNDSSPVCRVLRGHAASAATNINDLIVRSNYSATPDSTVSWLRDAAPLVTGILVFENRFKATDEMLDAELVEHGIEDRGAFELPCFTRATAIVFRLGFLGLSLPSSGVFAALRDLQLIYAQFHGKWTLDDAMFPFLERLGIRESSGLASLTLRLKPLIKMHLERVVGMRRLNAMVPRLKKLTVAFCFHRNLEAVSIVAEDLEELQWIDSCKPGLVSFNGMRSLQMLQPLDVYSYGRKQALYNPWCQGILDLYDRIKRLELYVVIEPGPGTDGVAPLMKGITQLPYIRNLSIELQTQGHAYGASVLHILTMCTGIAELTLINQEKFQVENACLPNCICNRITNWRETSISMKLLKEVEVLNFRGEQHELDLLELLVKASPALRRIRITCHRSFADRETLYGTVQSYVRHETSVERTGTAMAVLVAIDGDGDGGADSAAATGFPAPASAPAPVPMDIDGDGDGHNRGGEGDSTATASVQADGDSAAAPALTDTDGDGRDRGGEGGGASRARADGGAVVPAAGMDRLSDLPEDLLACILGRLRDTRAAARSSVLSRRWRHVWTVVTSLFLHHYKPDDSSSVHVALTNHRKGGATEIHGLSVLSPNSATPDATVSWLRVAAPLVTGELFFHNRSSVRGEIITGELFDEVIERRGAFELPCFTRATKITLALGFLGLSLPPSGVFAALREMRLLHVQFHGEFTLDDTMMPSLRGLEIYGARGLAYLTLSLKHLICMNLSTMRGLRRLNAVVPELKALRVSYCFRSSLLQHCMEGVCIVAEKLEVLDWAEWYHPRLVKFNPMPHLWKLSAIPFYPCGKRFLFNPSCDSFLKLFSRIHSLRMLLCIEPHLGGNGIMQLKKRITRLPEIMILHLELLTHGHAYGAGVLAILSMCTGLTQLKVEGNYCEVQNACPLNCICEWPRNWRDIHISMTSLREVQMLNFRGKKHELDLLRVLVRAAPALRSIRITCHRSLTTRDRETVFASVRSFAREATCVQVSQATTPFCSYSSLFAAQADGEEGESTSESEISQMIPASSSTYGATSGRGRPESSRLALAAHAACAAANIDRLDVGCLHSAMPAGRHSLFSWLRDARAPRQRRAFLLQQVPGFVGDARQGGAIEFALNSRESPRARPVPGRALDDAVFPLLELLEIKSAIPLAWLPDAPAEVSDPDESGKRRRDSSAQRHGMVGLNNLVVACCFGADLQAVNIVADELEEL >LPERR07G15390.1 pep chromosome:Lperr_V1.4:7:15787188:15806460:-1 gene:LPERR07G15390 transcript:LPERR07G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPCAPPSTPMRRRRPRTSTDLPAWLNLGFLGLSLPPTGVFSALSDLQLKHVQFHGECTLEDAMFPCLEWLGIPMSRGLDSLTLRLKHLIWMNLSNMQGLRRLNAVLPRLRTLSVTYCFLTHLEAVCIVAEELVVLHWADWYLRGLVNFNKMPHLQMICPYPEQGVNVVEPLLQGITRIPYIRTLFLELNTEGHAYGASVLHILTMCTGIAELKLDENACPPNCICDRIPKWRKTAISMKLLQEVDVFNFRGEQHELDLLELLVKASPALRRIRITCHQSFAAWEKLYDNVQSYARRETSVEEPSKNTREERRVEKKKRCRFQFGGSNPIFVKSAIGGPNANDAAPMDIDGDGDGDGRDRGGGGGRVSLDLELSLSPPGSNGGRVDRFSILPDEVIACILDLLMDTHAVFRTSFLSNLWRRRRLWRWVPNLYMEIHEPNNSRHVSLVLEAYASPDAATSIKLLSVVSMCSATANSTASWLRDAAPLVTGELFFENRFTATTARLNVELRLEDRLNAVVPGLKKLSVCMCFYEHLEDVSIIAEELEKLQWVDSYMPGLVNFNRLPHLLTLRPPTVFSYGRLQDTFNPSCQRLLNLYPSIHRLKLFIVIEPRQDADDVAPLMEGITQLPYIWILLIELNTQGHVYGASVLHILTKCTRIQKLTLRIKEHSQVESACPQNCICDRTTNWRNTDISMVSLKEVEVLNFRGEQHERDLLRLLVTAAPALRRIRITCHRSFANWETLSINVKSYAHPQTSVECRGSRARLPARQHGAVHSELRDRGARAARRRGGPIPTALDLAGAVVPRGAAALAEDSNSQPEPNHAVDLATSAEDDAGVATGGAREIGKRRERRWEWERGRGRIVRVWDPGQSQTFCPWADTLEV >LPERR07G15390.2 pep chromosome:Lperr_V1.4:7:15796583:15806460:-1 gene:LPERR07G15390 transcript:LPERR07G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPCAPPSTPMRRRRPRTSTDLPAWLNLGFLGLSLPPTGVFSALSDLQLKHVQFHGECTLEDAMFPCLEWLGIPMSRGLDSLTLRLKHLIWMNLSNMQGLRRLNAVLPRLRTLSVTYCFLTHLEAVCIVAEELVVLHWADWYLRGLVNFNKMPHLQMICPYPEQGVNVVEPLLQGITRIPYIRTLFLELNTEGHAYGASVLHILTMCTGIAELKLDENACPPNCICDRIPKWRKTAISMKLLQEVDVFNFRGEQHELDLLELLVKASPALRRIRITCHQSFAAWEKLYDNVQSYARRETSVEEPSKNTREERRVEKKKRCRFQFGGSNPIFVKSAIGGPNANDAAPMDIDGDGDGDGRDRGGGGGRVSLDLELSLSPPGSNGGRVDRFSILPDEVIACILDLLMDTHAVFRTSFLSNLWRRRRLWRWVPNLYMEIHEPNNSRHVSLVLEAYASPDAATSIKLLSVVSMCSATANSTASWLRDAAPLVTGELFFENRFTATTARLNVELRLEDRLNAVVPGLKKLSVCMCFYEHLEDVSIIAEELEKLQWVDSYMPGLVNFNRLPHLLTLRPPTVFSYGRLQDTFNPSCQRLLNLYPSIHRLKLFIVIEPRQDADDVAPLMEGITQLPYIWILLIELNTQGHVYGASVLHILTKCTRIQKLTLRIKEHSQVESACPQNCICDRIPNWRNTNILMRNLREIEVLNSRGEQHELVLLRLLVTAAPALRRIRITCHRSYADLDTLSVNVRMLNLRLLWK >LPERR07G15390.3 pep chromosome:Lperr_V1.4:7:15787188:15796536:-1 gene:LPERR07G15390 transcript:LPERR07G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPTCSDLNGVDRLSILPEEVIACILDLLMDTRAVVRTSSISSRWRRVWTWVSNLYMELRQPNDSEPVRVVLKAYAAPNAATSINLLSVVAMCSATADSTATWLRDAAPLVTGTLFFENRDLYLNRAKFDGEWTLDDAMLPFLERLDIGMSRGLVSLTLRLKNLIRMNLLSMVGMRRLSAVAPRLKKLSVYMCFYEHLEDVSIIAEELEKLQWVDSYMPGLVNFNRMPRLLTLRPPTVFSYGWMMDAFNPSCQRLLNRYPSIHRLKLFVEIEPEQDDNGVAPLMEGITRLPYIWILFLDLKTQGHVYGASVLHILTKCTGIQKLTLRTKEHSQVESACPQNCICDRTTNWRNTDISMVSLKEVEVLNFRGEQHERDLLRLLVTAAPALRRIRITCHRSFANWETLSINVKSYAHPQTSVECRGSRARLPARQHGAVHSELRDRGARAARRRGGPIPTALDLAGAVVPRGAAALAEDSNSQPEPNHAVDLATSAEDDAGVATGGAREIGKRRERRWEWERGRGRIVRVWDPGQSQTFCPWADTLEV >LPERR07G15400.1 pep chromosome:Lperr_V1.4:7:15811638:15813142:1 gene:LPERR07G15400 transcript:LPERR07G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEKSEASCIRVKNPPLCHCGYPCKLQRPNIGVPAKFTPFFRCKLTTHDGWPMCDFQEYIHGLKSLWPTDEEEGRTCNWEDFPGRRKLWQQLGSESEPLRSNTMDKIRRKLRSKYDIPLPEREVEAMLSEDMRRHKGQPGRGYYTYEECITYWRLHRDKYPAGLTREEKTAKRQKIEEERERQRRRSKEKARKDPISDYPYGTWEHYFKTVENRERKGKEEEMKARARDAEMETVRALVAGLPAQLPVDKKGKGIAKPNWYDGGDDDWRGDEFIYDGDSN >LPERR07G15410.1 pep chromosome:Lperr_V1.4:7:15824667:15829094:-1 gene:LPERR07G15410 transcript:LPERR07G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHLGNATFLNNLRDLWSSPRGTVLRIEAITLVAIALSFFIAAFGSYRRWSNHWIIQKGFIISNGLFLSLGTYSIGLMQSSSVKSEMYPIWAVSLLGLLCCIDPATTSGLDYKVELWKMLYQLSLYCGYVMLMSMSTISSDIGSVAIFLLSCITFMKGFHRSMAIVLPSSMQKMIRGIPEERAHFFFKYPSDVAKLIVDEPLDVVGSRTTVYMSDISTRTGEDFKLTSNLDACKDVCFSFSLSHLLQRRFIGAMEEALPMEMGEPLVRSFERVFKVVEVELAFLYDIFFTNNAFLHYYEAKAASIWAFASVIGICFVGVVAAIPGTRTNRRTAHGTIIVDTTIADVIITEVVLLSLALLQVFQLLRCWTSNLARVAFACDCVRYPQVKGIRWRMRQLRASLIKINLFGKYLWQNKLGQHSIVESASMGCCVGFLDFILGSSCLRKLKAFMEVFIIDPLCSLCSGMLGLHYIRRVLREMLWDSKTGDPIELHADVKAAIADFVGQLDSNEASRWAQEGRDDKGFLECTDSEKAAVEKDHRVATALSKYCAYLVVSAPLLLIGVSAEDMRMMYDKVRQDVSRALRGKDDKLGAMESARGSAQTSIFCLGVEQGMGLRSQTTPERWKALAEFWVKALVYAAPAVDADVLEEHRRHLSQGGELITHLWALLYHAGINLWHYNEPEWWGQWEDASASQIGPRKEHSTPEPMQHRQDGASSSQLPPLSP >LPERR07G15410.2 pep chromosome:Lperr_V1.4:7:15824667:15829094:-1 gene:LPERR07G15410 transcript:LPERR07G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHLGNATFLNNLRDLWSSPRGTVLRIEAITLVAIALSFFIAAFGSYRRWSNHWIIQKGFIISNGLFLSLGTYSIGLMQSSSVKSEMYPIWAVSLLGLLCCIDPATTSGLDYKVELWKMLYQLSLYCGYVMLMSMSTISSDIGSVAIFLLSCITFMKGFHRSMAIVLPSSMQKMIRGIPEERAHFFFKYPSDVAKLIVDEPLDVVGSRTTVYMSDISTRTGEDFKLTSNLDACKDVCFSFSLSHLLQRRFIGAMEEALPMEMGEPLVRSFERVFKVVEVELAFLYDIFFTNNAFLHYYEAKAASIWAFASVIGICFVGVVAAIPGTRTNRRTAHGTIIVDTTIADVIITEVVLLSLALLQVFQLLRCWTSNLARVAFACDCVRYPQVKGIRWRMRQLRASLIKINLFGKYLWQNKLGQHSIVESASMGCCVGFLDFILGSSCLRKLKAFMEVFIIDPLCSLCSGMLGLHYIRRVLREMLWDSKTGDPIELHADVKAAIADFVGQLDSNEASRWAQEVSDTFTCILTWHVATCYCELAQGRDDKGFLECTDSEKAAVEKDHRVATALSKYCAYLVVSAPLLLIGVSAEDMRMMYDKVRQDVSRALRGKDDKLGAMESARGSAQTSIFCLGVEQGMGLRSQTTPERWKALAEFWVKALVYAAPAVDADVLEEHRRHLSQGGELITHLWALLYHAGINLWHYNEPEWWGQWEDASASQIGPRKEHSTPEPMQHRQDGASSSQLPPLSP >LPERR07G15420.1 pep chromosome:Lperr_V1.4:7:15882977:15887777:-1 gene:LPERR07G15420 transcript:LPERR07G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSIDGEIDGGIGAVINDPAPIDINGDDGDGRDGGGEGEGASRVPADGDSAEIVPTAGDGGGFPRRRIGLSDLPDDEAMASWLRVAAPLVTGELMFHNRCSVEQRGAFEMPCFTRATRIWQNLGFLGLSLPPTGVFAALRDLQLKHVQFHGECNLNDAMFPCLEWLGITMSRGIDSLTLRLKHLIWTNLHVRGLRQLNAVLPRLRTEGAGDAPVGRLVVWYLRGLVNFKKMPHLQMICPYPVHPYRSAQYYHNQICLKLIVMILAQKKKKLIVMIQPE >LPERR07G15430.1 pep chromosome:Lperr_V1.4:7:15889199:15892708:-1 gene:LPERR07G15430 transcript:LPERR07G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRLASTYAAVPADEWHSLLRDLAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLGLLREHGFLPDLASYSHLLASLLNTRDPPDAALLDRLLGDLRESRLEPDAPLFSDLISAFARAKLPDEALELLASAQAIGLTPRSNAVTALISSLGSARRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEHVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESNCPPGTTTYNIMINLLGEEQRWEGVEAMLAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >LPERR07G15440.1 pep chromosome:Lperr_V1.4:7:15894957:15898204:1 gene:LPERR07G15440 transcript:LPERR07G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRGNRRGDRAIPPARGLLRGVSGDKSACARGVALLRRTSFDKSHRNLGGAGRIKLLWLCPPRRVVMYGNGRN >LPERR07G15450.1 pep chromosome:Lperr_V1.4:7:15905915:15915381:1 gene:LPERR07G15450 transcript:LPERR07G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDGGEGEAGSTNVVPDTSPAPAPMDTEGDGDGRDRGGEGGSVPPGGNGDRADRLAEYGEGDECNANDAAPMDIDGDGDGSDRRGGGGGVDRISALHENILARILDHLVHLNDTRGAIRTCVLSKSWHDVWTWVPNFVLELRQHDDSSPVCRILRAHAASAATNIKDLLVFSVSAHSATPDAIVSWLRDAAPLVTGLLLFQNRSMATFNTLSQELWLEDHEIEDRGTFELPCFTRATTISLRLGFLGLSLPSSGVFAALRNLRLHNVLFNGEWTLDDAMFPFLEGLEICQSHGLASLTLRLKTLIQINLKSVVGMRRLNAMVPGLKQLDVSGCFGDVEHVEAVNIVAEELEELRWVDWYMSGLVNFSEMSHLQVLRPKRVFSYGWQRFFNSWCQGLLDLNDRLERLELFVVIAPGPDDDGVAPLMEGITRFPYIRNISIKLQTQGHAYGASVLHILTMCTGIAELTLINQEDFKVKNACPQDCICDRIPNWRDTDISMKFLEEVDILNFRGEQHELDFLELLVKASPALRRIRITCHRSFAAWEKLYDNVKSYARRETSVKVTQTLKTYRSAWHGGSGQRAGANRPTEFSHAWREDGGAVAAYQEQEGGCGANHEQPTRQRPTHRKKKPASMVKLVLIDDGGEGEISSSNVVPDTNPAPASAPMDVEGDDDGRDRGGGGSISLELDLSLSPPSGNGGSADRLPEYGSANDAAPMDIDGEGRDRNRRRRKRLARLGIIVIPARVDRLPRGVDRLSILPDELIACILNLLMDTHAVFRTSFLSNSWRLRRVWTWVPNLYMEIHQPNNSRHVSLVLEAYASPDAATSINVLSVVSMCSATANSTASWLRDAAPLVTGGLFFENRFTATTAMLHVELRLSDHEMVDRGSFELPCFTRVTTIVLYLGFLGISLPSSGVFAALRSLYLDGAKFDGECNLDDAMLPFLERLEIVMSRGLASLKLRLKNLIRMSLDSVVGMRRLNAVMPRLKKLSVYMCFFDHLEDVSIIAEEVEKLKWVDSYMPGLVNFNRMPRLLKLRPLDVYAYGWGQNLYNPSCQRLLNLHPGIHRLKLFVVIEPEQDAHGVAPLMEGITQLPYIWILFLELERQGHVYGASVLHILTKCTGIQKLTLRIKEHSQVESACPQNCICDRIPTWRNANISMKVLKELEVLNFRGEQHELDLLRLLVRAAPALRRIRITCHRSFAGCETLSVNVQSYAHPQTSVEVTQSD >LPERR07G15450.2 pep chromosome:Lperr_V1.4:7:15905143:15915381:1 gene:LPERR07G15450 transcript:LPERR07G15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQRAGANRPAEFSRAEREDGGAVATYQEEAEQTTSSRRQTHHDDGVAPLMEGITRFPYIRNISIKLQTQGHAYGASVLHILTMCTGIAELTLINQEDFKVKNACPQDCICDRIPNWRDTDISMKFLEEVDILNFRGEQHELDFLELLVKASPALRRIRITCHRSFAAWEKLYDNVKSYARRETSVKVTQTLKTYRSAWHGGSGQRAGANRPTEFSHAWREDGGAVAAYQEQEGGCGANHEQPTRQRPTHRKKKPASMVKLVLIDDGGEGEISSSNVVPDTNPAPASAPMDVEGDDDGRDRGGGGSISLELDLSLSPPSGNGGSADRLPEYGSANDAAPMDIDGEGRDRNRRRRKRLARLGIIVIPARVDRLPRGVDRLSILPDELIACILNLLMDTHAVFRTSFLSNSWRLRRVWTWVPNLYMEIHQPNNSRHVSLVLEAYASPDAATSINVLSVVSMCSATANSTASWLRDAAPLVTGGLFFENRFTATTAMLHVELRLSDHEMVDRGSFELPCFTRVTTIVLYLGFLGISLPSSGVFAALRSLYLDGAKFDGECNLDDAMLPFLERLEIVMSRGLASLKLRLKNLIRMSLDSVVGMRRLNAVMPRLKKLSVYMCFFDHLEDVSIIAEEVEKLKWVDSYMPGLVNFNRMPRLLKLRPLDVYAYGWGQNLYNPSCQRLLNLHPGIHRLKLFVVIEPEQDAHGVAPLMEGITQLPYIWILFLELERQGHVYGASVLHILTKCTGIQKLTLRIKEHSQVESACPQNCICDRIPTWRNANISMKVLKELEVLNFRGEQHELDLLRLLVRAAPALRRIRITCHRSFAGCETLSVNVQSYAHPQTSVEVTQSD >LPERR07G15450.3 pep chromosome:Lperr_V1.4:7:15905915:15915443:1 gene:LPERR07G15450 transcript:LPERR07G15450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDGGEGEAGSTNVVPDTSPAPAPMDTEGDGDGRDRGGEGGSVPPGGNGDRADRLAEYGEGDECNANDAAPMDIDGDGDGSDRRGGGGGVDRISALHENILARILDHLVHLNDTRGAIRTCVLSKSWHDVWTWVPNFVLELRQHDDSSPVCRILRAHAASAATNIKDLLVFSVSAHSATPDAIVSWLRDAAPLVTGLLLFQNRSMATFNTLSQELWLEDHEIEDRGTFELPCFTRATTISLRLGFLGLSLPSSGVFAALRNLRLHNVLFNGEWTLDDAMFPFLEGLEICQSHGLASLTLRLKTLIQINLKSVVGMRRLNAMVPGLKQLDVSGCFGDVEHVEAVNIVAEELEELRWVDWYMSGLVNFSEMSHLQVLRPKRVFSYGWQRFFNSWCQGLLDLNDRLERLELFVVIAPGPDDDGVAPLMEGITRFPYIRNISIKLQTQGHAYGASVLHILTMCTGIAELTLINQEDFKVKNACPQDCICDRIPNWRDTDISMKFLEEVDILNFRGEQHELDFLELLVKASPALRRIRITCHRSFAAWEKLYDNVKSYARRETSVKVTQTLKTYRSAWHGGSGQRAGANRPTEFSHAWREDGGAVAAYQEQEGGCGANHEQPTRQRPTHRKKKPASMVKLVLIDDGGEGEISSSNVVPDTNPAPASAPMDVEGDDDGRDRGGGGSISLELDLSLSPPSGNGGSADRLPEYGSANDAAPMDIDGEGRDRNRRRRKRLARLGIIVIPARVDRLPRGVDRLSILPDELIACILNLLMDTHAVFRTSFLSNSWRLRRVWTWVPNLYMEIHQPNNSRHVSLVLEAYASPDAATSINVLSVVSMCSATANSTASWLRDAAPLVTGGLFFENRFTATTAMLHVELRLSDHEMVDRGSFELPCFTRVTTIVLYLGFLGISLPSSGVFAALRSLYLDGAKFDGECNLDDAMLPFLERLEIVMSRGLASLKLRLKNLIRMSLDSVVGMRRLNAVMPRLKKLSVYMCFFDHLEDVSIIAEEVEKLKWVDSYMPGLVNFNRMPRLLKLRPLDVYAYGWGQNLYNPSCQRLLNLHPGIHRLKLFVVIEPEQDAHGVAPLMEGITQLPYIWILFLELERQGHVYGASVLHILTKCTGIQKLTLRIKEHSQVESACPQNCICDRIPTWRNANISMKVLKELEVLNFRGEQHELDLLRLLVRAAPALRRIRITCHRSFAGCETLSVNVQSYAHPQTSVEVTQSD >LPERR07G15450.4 pep chromosome:Lperr_V1.4:7:15905915:15915381:1 gene:LPERR07G15450 transcript:LPERR07G15450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDGGEGEAGSTNVVPDTSPAPAPMDTEGDGDGRDRGGEGGSVPPGGNGDRADRLAEYGEGDECNANDAAPMDIDGDGDGSDRRGGGGGVDRISALHENILARILDHLVHLNDTRGAIRTCVLSKSWHDVWTWVPNFVLELRQHDDSSPVCRILRAHAASAATNIKDLLVFSVSAHSATPDAIVSWLRDAAPLVTGLLLFQNRSMATFNTLSQELWLEDHEIEDRGTFELPCFTRATTISLRLGFLGLSLPSSGVFAALRNLRLHNVLFNGEWTLDDAMFPFLEGLEICQSHGLASLTLRLKTLIQINLKSVVGMRRLNAMVPGLKQLDVSGCFGDVEHVEAVNIVAEELEELRWVDWYMSGLVNFSEMSHLQVLRPKRVFSYGWQRFFNSWCQGLLDLNDRLERLELFVVIAPGPDDDGVAPLMEGITRFPYIRNISIKLQTQGHAYGASVLHILTMCTGIAELTLINQEDFKVKNACPQDCICDRIPNWRDTDISMKFLEEVDILNFRGEQHELDFLELLVKASPALRRIRITCHRSFAAWEKLYDNVKSYARRETSVKVTQTLKEQDAHGVAPLMEGITQLPYIWILFLELERQGHVYGASVLHILTKCTGIQKLTLRIKEHSQVESACPQNCICDRIPTWRNANISMKVLKELEVLNFRGEQHELDLLRLLVRAAPALRRIRITCHRSFAGCETLSVNVQSYAHPQTSVEVTQSD >LPERR07G15450.5 pep chromosome:Lperr_V1.4:7:15905915:15915443:1 gene:LPERR07G15450 transcript:LPERR07G15450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLIDDDGGEGEAGSTNVVPDTSPAPAPMDTEGDGDGRDRGGEGGSVPPGGNGDRADRLAEYGEGDECNANDAAPMDIDGDGDGSDRRGGGGGVDRISALHENILARILDHLVHLNDTRGAIRTCVLSKSWHDVWTWVPNFVLELRQHDDSSPVCRILRAHAASAATNIKDLLVFSVSAHSATPDAIVSWLRDAAPLVTGLLLFQNRSMATFNTLSQELWLEDHEIEDRGTFELPCFTRATTISLRLGFLGLSLPSSGVFAALRNLRLHNVLFNGEWTLDDAMFPFLEGLEICQSHGLASLTLRLKTLIQINLKSVVGMRRLNAMVPGLKQLDVSGCFGDVEHVEAVNIVAEELEELRWVDWYMSGLVNFSEMSHLQVLRPKRVFSYGWQRFFNSWCQGLLDLNDRLERLELFVVIAPGPDDDGVAPLMEGITRFPYIRNISIKLQTQGHAYGASVLHILTMCTGIAELTLINQEDFKVKNACPQDCICDRIPNWRDTDISMKFLEEVDILNFRGEQHELDFLELLVKASPALRRIRITCHRSFAAWEKLYDNVKSYARRETSVKVTQTLKEQDAHGVAPLMEGITQLPYIWILFLELERQGHVYGASVLHILTKCTGIQKLTLRIKEHSQVESACPQNCICDRIPTWRNANISMKVLKELEVLNFRGEQHELDLLRLLVRAAPALRRIRITCHRSFAGCETLSVNVQSYAHPQTSVEVTQSD >LPERR07G15450.6 pep chromosome:Lperr_V1.4:7:15905143:15905559:1 gene:LPERR07G15450 transcript:LPERR07G15450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQRAGANRPAEFSRAEREDGGAVATYQEEAEQTTSSRRQTHHVSRTSAHVSFPFYQ >LPERR07G15460.1 pep chromosome:Lperr_V1.4:7:15928570:15931637:1 gene:LPERR07G15460 transcript:LPERR07G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRPLLPLLLLLAAAIPLVLSRAAPRTAVSVAAGGGSTADTIHELLRSHGLPGGLLPRGVLSYSLDEANGLLEARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASADSDAADAARWKDRQGVPGLRFREEASGGENHQDQR >LPERR07G15470.1 pep chromosome:Lperr_V1.4:7:15934510:15938705:-1 gene:LPERR07G15470 transcript:LPERR07G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMENCYAFLYIKEEKGKKKRVLVKCLVIGDVLAIDVLDLEAQDKGPYNIQINVKDFFSEEQPKNYGDMYKNFADLIETMNSNALCKLDEKDAGTAKNPQVEPSSSLHSSENPGLRTTEPGLVYPPIAPLGHDDVFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPAPFGGPGSIPPGGRYDPIGPPDVPGFEPSRFVRRPRPPGGSSHPDLEFFQQGPQGPF >LPERR07G15480.1 pep chromosome:Lperr_V1.4:7:15941844:15942188:-1 gene:LPERR07G15480 transcript:LPERR07G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLLFCLVSSQLAITAVMGRPFPVFFGGMAASIADAPSSSDSAAGDGHLHVYSFLESSFAESPLSSHHHNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKTEPKS >LPERR07G15490.1 pep chromosome:Lperr_V1.4:7:15946086:15947808:-1 gene:LPERR07G15490 transcript:LPERR07G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAAQLARRPLLLLRSKVLPSSSSSSSTRSLLPGPSVRPPPPPGGGEWRRGFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLGDFVRRHVARLLKADKLAVLGEMERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKNKKMDEAMVVWGNMRDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKRSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >LPERR07G15500.1 pep chromosome:Lperr_V1.4:7:15950869:15959641:1 gene:LPERR07G15500 transcript:LPERR07G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARGGGGSGEEVKLEDDFVDSVLNFAGGGGGGEGEEEGDDGEEDQQQQQPAAAGKEFKSKNLAAERRRRSRLNGNIFALRAVMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPPENTHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTVEVKDVFSTSLLELIPGEVVSNTHDAGEPTPQSLAPLASCSVESLAPDRCGFFVGDFTGFDRIQRWGRFDLIRGVNLSALAGGLAAVLGLAAMDHAELTTDQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEETVEYVLALIDEMLAGGNLVAGDMIALESARPKIQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPAHPNCSIPTAMHCLATLLREQYVRALFVQLDGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVDVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEVGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSTDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >LPERR07G15510.1 pep chromosome:Lperr_V1.4:7:15959953:15962956:1 gene:LPERR07G15510 transcript:LPERR07G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAGFRRMFSVSGFTPPPPPAARAVADPCNNLFVSGLNKRTTSDGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAAASGYQYSN >LPERR07G15510.2 pep chromosome:Lperr_V1.4:7:15959953:15962956:1 gene:LPERR07G15510 transcript:LPERR07G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAGFRRMFSVSGFTPPPPPAARAVADPCNNLFVSGLNKRTTSDGLREAFSKFGQVIEGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAAASGYQYSN >LPERR07G15520.1 pep chromosome:Lperr_V1.4:7:15963813:15964293:1 gene:LPERR07G15520 transcript:LPERR07G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR07G15530.1 pep chromosome:Lperr_V1.4:7:15965304:15967924:1 gene:LPERR07G15530 transcript:LPERR07G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRYHSFRFLHGGAQPPPTTFAALRVLNIGCSVFHVSEMERLISSLCPRLQDLTLQTYFELEGVFNFSVRSETLERLDLRVSRVNGRLTVEAPRLVRLAVSEIFITPSLDPQHAARIAAPKLAEVSWYDVYDALRHQFVVAPRRLRKLQVRTCYMDERNMRWSKLAAGLLQRFDAVDELELDLDIVIGQIPYKLFLKDTAKLPECKVLKIRFISNCSELQHCASSTVMHLISKCTGITRLDIRLDRSLWFRQIGENLQQITCPTFRNRRGENNCPCWQPEDEITDGIILDSLEELYIINFTGADDEVDMVKLLLRCKLIPRRVAFRLPNDMNNEMCEKVREKITSLFLPNTKLEIYWNRTLLQKSKSIGIPNLFG >LPERR07G15540.1 pep chromosome:Lperr_V1.4:7:15972745:15977092:-1 gene:LPERR07G15540 transcript:LPERR07G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAMAAAGAMRPLALLPLLLFPLFLLRPTAFASDTILANSSLSDGQTLVSSGDIFELGFFTPPGSTSPAARFLGIWYKGISPQTVVWVANREPPVSGTAGNLSITGTGGRLVLADGSGRVFWSSAPPSNVSVRSSNSTARLLDSGNFVLVDGGGGGLLWQSFDYPTDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDARGGSPEGFIWYNGSAPPVYRNGPWNGLRFSGEPEMEPTNPSFRFDFVANRTHVYYTYTASSSAAVILSRFVLNQSSAQRYVWLDGGWSLYWSVPRDQCDQYAHCGAYGVCDAGTSAMMMCGCPTGFEPASPRNWALRDSSGGCARSTPLNCTGGGGGDGFLRMAGVKLPDTTNATVDAAVTVDQCRARCLANCSCVAYAASDVRGDGSGCIMWSSPLVDIRRFSYGGDDLFVRLAASDLPTNGDDSSRKNTVLAVVLSLSGCLLLALGAFFIWNKFFKIKGRFQRTQRLTSFDSSIPLNQVQERKLEVKTRHSTDLNITLFDINTIALSTDNFANSAKLGEGGFGPVYKGELDGGQVVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMDNKSLDTFIFDKARSSELNWSRRFNIILGIARGLLYLHQDSRFKVIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEVVSGRKNRGMYSNGEQTSLLSHAWKLWRDGNALALLDEAVAGDGGAYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVAPQPRHPGFCGDRGGSTSTDGEWSSTCTVNDVTVTIVEGR >LPERR07G15540.2 pep chromosome:Lperr_V1.4:7:15972745:15977092:-1 gene:LPERR07G15540 transcript:LPERR07G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAMAAAGAMRPLALLPLLLFPLFLLRPTAFASDTILANSSLSDGQTLVSSGDIFELGFFTPPGSTSPAARFLGIWYKGISPQTVVWVANREPPVSGTAGNLSITGTGGRLVLADGSGRVFWSSAPPSNVSVRSSNSTARLLDSGNFVLVDGGGGGLLWQSFDYPTDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDARGGSPEGFIWYNGSAPPVYRNGPWNGLRFSGEPEMEPTNPSFRFDFVANRTHVYYTYTASSSAAVILSRFVLNQSSAQRYVWLDGGWSLYWSVPRDQCDQYAHCGAYGVCDAGTSAMMMCGCPTGFEPASPRNWALRDSSGGCARSTPLNCTGGGGGDGFLRMAGVKLPDTTNATVDAAVTVDQCRARCLANCSCVAYAASDVRGDGSGCIMWSSPLVDIRRFSYGGDDLFVRLAASDLRRFQRTQRLTSFDSSIPLNQVQERKLEVKTRHSTDLNITLFDINTIALSTDNFANSAKLGEGGFGPVYKGELDGGQVVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMDNKSLDTFIFDKARSSELNWSRRFNIILGIARGLLYLHQDSRFKVIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEVVSGRKNRGMYSNGEQTSLLSHAWKLWRDGNALALLDEAVAGDGGAYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVAPQPRHPGFCGDRGGSTSTDGEWSSTCTVNDVTVTIVEGR >LPERR07G15550.1 pep chromosome:Lperr_V1.4:7:15980661:15982058:1 gene:LPERR07G15550 transcript:LPERR07G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSFTVTRSARELRPLSDIDDQDGLRFYRSGDGPPLLPTDAGAAAVDPAVIIRRGLADALVHYYPVAGRIREEEPGRKLVVDCTGDGVLFVEADDEVSLSDFGDVLCPPFPCYQELLCEPDGRCAAVVGRPLLFVQVTRLRCGGFVFGLQICHNIADAAGTVQLLRAIGKMSRGMPAPTVSPVWAWELLMARSPPVVTTHGHPEYDDTAAGGNHDMFADQPFVHRAFFFGPKEMSALRDLAGAGARVSRFDMLAAFLWQRRVAALEYADDDEVRVMFVVNARARMVSPAPLPAGFYGNAFAFAVAACAVARRGASARCRSGTWWARATANGYVQSVADLMAQRGRRPRFGRAARTYLVSDVTRAGFEGVDFGWGEGAYGGPAAATLATFHLTVKGAGGEELIVVPMCLPAAAMERLEFDLQTWLNH >LPERR07G15560.1 pep chromosome:Lperr_V1.4:7:15983473:15985054:1 gene:LPERR07G15560 transcript:LPERR07G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAPLPAGFYGNAFAFAVAACAAGRLREMPLGDVVGMVADAKARAVDTVRALGEDVPGVGRDAGRVRGRRDFGWGEGEYGGPAAAPLATFHLKVKAPGGEEVIAVLMCLPSAAIYGKARVGPGDVAESLNVYL >LPERR07G15570.1 pep chromosome:Lperr_V1.4:7:15994084:15999208:-1 gene:LPERR07G15570 transcript:LPERR07G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAIAALLLLLCAHARDGGVDAANTLSSLRGQRLAGADTLVSANGAFKVGFFTPAGGDQGKVYLGVMYAQAKEQTVMWVANRDAPVSAASSYSANVSASGELLVKEGDRVAWRSTSVTTSSGGNHTLTVTNEGNLRITDDATGFVSWQSFDHPADTFVPGMEIGLRQHNSNNNNGLSASSSFDRTLYTSWRSDGDPATGDFTMGIDPSAQLYIWRNGGNNSSSNSTYWRSGQWAGTNFVGIPWRALYVYGFKFNGDPPPSGGDMSYTFTTFNASLYRFVLRPNGTETCYMLLGSGDWEMVWSQPTIECHKYNKCGPNAECAAAADGGAICSCLKGFEPKSAAEYNNGNWSQGCVRSLPLTCSERNTTAGAVAAGGGGGDDGFTVIKGVKLPDFAVWGSIVSDLDSCRKACLANCSCGAYSFSIGSCLTWGQDLVDIYRFPNGGAYDLYVKVPPSLAEKLGIGGKKKPDLPLLLRPAREAKQDFSGPAQSEHEKSEEGKNCELPLLEFEVLAMATDNFSSSNKLGEGGFGCVFKGRLPEGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPEKRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDHDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDKGEQLIDPAIRGTCSVKEALRCIHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPMPRPPTFTLQCTSSSSGTRDMYYDRGDKEESYSANDLTVTMLQGR >LPERR07G15580.1 pep chromosome:Lperr_V1.4:7:16008396:16014728:-1 gene:LPERR07G15580 transcript:LPERR07G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPHLLRLLLVWGAVVAAAAAATDTLKQGGSLTGAATMVSSPEGVFEVGFFAPDPKLPSRLYLGIWYRSISPRTVVWVANRAAPATASSPSLTLDNTGELRVLDGASSDAPLLWRSNASAAQATGGGGGYRAVIQDTGSLEVRSDDGTTVWDSFWHPSDTMLSGMRITVRSPGRGPSEMMRFTSWTSETDPSPGRYALGLDPGNSGQAYIWRDGNVPIWRSGQWTGQNFVGIPWRPLYLYGFKPANDQNLGSYYTYTASNTTLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTTVQDGKAKCTCLKGFQPKLPDQWNIGNWTQGCVRSPPLGCQVNQSGDGFLSIPNVKWPDFSYWPSTVSDENGCMNACLNNCSCGAYVMSTIGCLLWGSELIDMYQLQSGGYTLNLKLPASELGSHHAVWKIATIISAVVLFVLLVCLFLWWKRGRNIKDAVHKSWRSIHTSTRSQQNSGILDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKFPGGEEVAVKRLSRKSGQGHEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARMFGGDENQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLMLEIITGRRALSFHGQQDSLNIAGFAWRQWNEDRGEELIDPLIRGSCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRAVETSKSSEKDQSHSIGTVSMTQLHGR >LPERR07G15590.1 pep chromosome:Lperr_V1.4:7:16020762:16021565:-1 gene:LPERR07G15590 transcript:LPERR07G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSASHPVDLPLAAPPPPVKAPTPRPPTPASMQPESPGVFFTAAAAAAPVGSSHRRIAIAVDLSDESAYTVRWAVANYLRPGDAVILLHVRSTSVLYGADWGSVDLSLPANPSPGGEPPSAEDDAEAAARRMEDDLDAFTASKADDLAKPLKDGGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPEDGVAEGGEAGGKAELAVGEDVLHPVPEEDAEYHDATEEHKGN >LPERR07G15600.1 pep chromosome:Lperr_V1.4:7:16026158:16030895:-1 gene:LPERR07G15600 transcript:LPERR07G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAAGRGGGNAGLADPLLAAGDIDGARQVGGDAKDKYWVPAEEEDELICHGGGLGDGRQPPLLYRTFRVSGVLLHPYRLLTLIRLIAVILFLVWRMKHRSSDAMWLWWISVAGDFWFGVTWLLNQASKLNPIKRVPNLSLLKQYLDDDDRLLPAIDVFINTVDPIDEPMLYTMNSVLSILATDYPADRHATYFSDDGASLVHYEGLLETARFAAAVWVPFCRKHRVEPRAPEFYFAAAKAAGTYEGLAPEEFVGDRRIVRREYEEFKARLDAIFAVIPQRSEAGDGGGNDKGRSVKATLMADGTQWPGTWTEPAENHKKGQHAGIVKVVLSHPNDEPQLGKPASSESDHAMDFSAVDIRVPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFVINFDGDHYINNSQAFRAAMCFMLDARHGDDTAFVQFPQRFDEVDPTDRYCNHNRVFFDATLLGLNGVQGPSYVGTGCLFRRVALYGTDPPRWRPNDDAKAIGCTAKYGNSMPFISTISSDCSIASLPAMAELEDVAKCAYEDGTDWGRDVGWVYDVATEDVVTGFRLHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLRAMQRVAYTNMTAYPVSALFIVVYDLLPVVWLSYHHRRRGGEFHIQKPFPEYVAYLVAVIAMIEVIGVVEIKWAGLTMLDWWRNEQFYMIGATGVYPTAVLHILLKRVFGMKGVRFKLTAKQLAVGGARERFAEMYDVHWAPLLFPTMVVMAVNVVAIGAATGKAVVGGWTAAQVAGGWTAVQIAGALVGLLFNVWILVLLYPFALGIMGSWSKRPWALLALLVAACAAVAAGFVAVHAVIAAGFGPSWLGWSRGASVCVTAVLPSGWRV >LPERR07G15610.1 pep chromosome:Lperr_V1.4:7:16034746:16047617:-1 gene:LPERR07G15610 transcript:LPERR07G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPNEIYIQRPFVTYIVCLAMIIAMVHMIGLIEIKWAGITWLDMWRNEQFFMIGSTSAYPTAVLHMTVKVLTNKGIYFRVTSKQTPTDDDEKYAYLYVVRWAPMLIPTVIVFAANVMAIGVAMGKAIVYARVWSTAQKWHAGLGLLFNLWIMVLFHPFALAIMGRSGKRPVVLFIMLPITFLVVFLTYILVHSSKLKGMAANTAANGGAGGHGRSNNGGVAEPAVNGGGKGARRVRVSPMDKYWVPADEREMAAAAADGGEDGRRPLLFRNFRVSGLLIHPYRLLTLVRLIAIVAFFIWRIRHPYADGMFFWWISIIGDFWFGATWLLNQVAKLNPIKRVPDLALLEQQFDLPDGNSKLPVLDVFINTVDPIDEPMIYTMNSILSILAVDYPVDKHACYLSDDGGSIIHYEGLLETAKFAALWVPFCRKHSIEPRAPESYFSVKSRPYTGSAPEEFLSDHRCMSREYDEYKVRLDSLFTIIPKRSDAYNGAQAKEGTRATLMADGTEWPGTWIDPSENHKKGQHAGIVQVMLNHPSNQPQLGLPASTDSPLDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRPDDVSIVGSSKKFGNSDSFINSMPIAANQERSIISPPALEESVLTELSDVMACVYEDGTEWGKEVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPIIAGRRLNFMQRIAYINMTGYPISSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIVMTEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPTAVLHIVLKLFGLKGVSFKLTAKQVASSTSEKFAELYAVQWAPLLFPTVLVIAVNVCALGAAIGKAVFGGWSLMQMADASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFILIVIACIIIALADIAIQAMRSGSVRLHFKRSGGASFPTSWGF >LPERR07G15620.1 pep chromosome:Lperr_V1.4:7:16051058:16054117:-1 gene:LPERR07G15620 transcript:LPERR07G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAADAGKLGGGDTGLGDALLVNGNGDRLTGVEGKYWVRAEEAKAGEDGQPPLFRTYKIRGFLLHPYRLLILLRVIAVVAFFAWRIRHKSHDDGWLWTLSVVGDVWFGFSWLLNQLTQLNPIKRVPDLAALADRHGSKELPGIDVFVTTVDPVDEPILYTVNSILSILAADYPVDKYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPENYFAMKTQAYKGGVPGEFVSDHRRVRREYEEFKVRIDSLFSTIRQRSDWYNAKHDGENATWMADGTHWPGTWFEPADNHRRGQHAGIVQVILNHPSCEPRLGFPASADNPLDFSGVDVRIPMLVYISREKRPGYNHQKKAGAMNAMLRVSALLSNAPFVINFDCDHYTNNSQAFRAPMCFMLDRRHGGDDTAFVQFPQRFDDVDPTDRYSNHNRVFFDGTLLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAAASQIKAMDKANKFGTSTPFVGKMLDAANQERSITPPVAIDESVVSELAALTECTYEDGTSWGRDVGWVYNIATEDVITGFRMHRQGWRSMFATMEPGAFRGKAPINLTERLYQILRWSGGSLEMFFSHSNALLARGRLHPLQRVAYFNMSTYPIVALFIFAYSFFPVMWLMSDQFYIQRPFGTYLLYLVGVIAMIHVIGMLEMKWAGLTLLDWCRNEQFYMVGATGVYPTAVLYMALKLVTGKGIHFRLTSKQTTASSSDKFADLYTVRWVPLLVPTILVLVVNVGAVGLAVGKAAAYGLLAETGRLPALGTLFNVWILVLLYPFALGIMGKWGKRPAILFALLVLAVAAVAVMYIALHAM >LPERR07G15630.1 pep chromosome:Lperr_V1.4:7:16063964:16067254:1 gene:LPERR07G15630 transcript:LPERR07G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVTRRINAALHVDATNGNGVAGGDAAAATGSHTAKRNISDGGKDDDVWVAVDEADVSGASGGDGGGRPPLFRTYKVKGSILHPYRFMILLRLIAIVAFFGWRMRHKNHDGAWLWTMSMTGDVWFGFSWVLNQLPKLNPIKRVPDLAALADWHGSSGELPGIDVFVTTVDPVDEPILYTVNSILSILAADYPVDKYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLFSTIRQRSDAYNAKHAGENATWMADGTHWPGTWFEPVENHRRGQHAGIVQVILDHPNCKPRLGLPASVDNPFDFSGIEVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDCDHYTNNSQAFRAPMCFMLDLHHGGDDTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAASRQIKDMNKANKFGTSTPFIGTMLDGANQERSITPPAVIDESVSCELAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYASMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGCRLHPLQRVAYLNMSTYPIVTVFIFAYNLFPVMWLVSEQFYIQRPFGTYLLYLVGVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLVTGKGIYFRLTSKQTTACSGDKFADLYTVRWVPLLIPTILILVVNVAAVGVAVGKAAACGVISEPGRLAALGMVFNVWILVLLYPFALGIMGQWGKRPAVLFVAMVMAVAAVALMYVVFRAPYQADQWLGVAASLGKTASLTGSSG >LPERR07G15640.1 pep chromosome:Lperr_V1.4:7:16069334:16072240:-1 gene:LPERR07G15640 transcript:LPERR07G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVTRRNNAALRVEANKGNDGSAAADGDNGRWSPATKREDDGAKDDVWVAVDESAVSGATAGGSDGGRPMLYRTYKVKGRILHPYRFFILLRLIAIVAFFLWRIRHKNSGWLWTMSMAGDVWFGVSWLLNQLPRMNPIKRVPDLAALADQQHSSTGELPGVDVFITTVDPIDEPVLYTVNSILSILATDYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLFSTIRQRSDAFNAKHAGENATWMADGTHWPGTWLEPAENHRRGEHAGIVQIILNHPSCKPRLGLAASVDNPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDCDHYINNSQAFRASMCFMLDGRSYGGENTAFVQFPQRFDDVDLTDRYANHNRVMFDGTMLSLNGHQGPTYLGTGTMFRRVALYGVEPPSFGAPASQIKAMDKANKFGTSTSFVATMLDTASNQERSITPPVTVDESVAGELFALTACAYEDGTSWGRDVGWVYDIATEDVVTGFRMHRQGWRSVYTSTEPAAFRGMAPINLTERLYQILRWSVGSLEVFFSHSNALLAGRRLRPLQRLAYLHMSTYPIFTVLILAYNLFPLIWLFSDKFYIQRPFGPYFICLVTIIAMIHVIGMFEVRWSGITLLDWCRSEQFYMIGSTGVYPTAVLYMVVKLVTGKSIPLRLTSKQTTASSGDKFADLYAVRWVPLLIPTILVLAVNVGAVGVAVGKAAVYGRLTVLGMLFNVWILVLLYPFALGIMGHWGKRPAVLFVVLVMAVAAVALMYVAFRALYYPAEWSVVAASLGKTASLTGTSE >LPERR07G15650.1 pep chromosome:Lperr_V1.4:7:16094957:16098076:-1 gene:LPERR07G15650 transcript:LPERR07G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASGAGAGEGNGNASLINPLLVSRISSIGADRKAAAGVAKGKYWAAADKAERRAAKENGGEDGRPLLYRSYKVKGALLHPYRALIIAHLIAVLLFFVWRIRHNNSDIMWFWTLSVVGDGWFGFSWLLNQLPKFNPVKTIPDLAALRQYCDLPDGSSRLPGIDVFVTTADPIDEPVLSTMNSILSILAADYPADRSACYLSDDSGSLILYEALVETAKFATLWVPFCRKHSIEPRAPEKYFELEAPSYTGSALEEFKNDSRIVHLEYDEFKTRLETLPDNIRKRSDVYNSMKPDQEAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDHPIRRHNLSLKDSTGNNLNFGCTDVRLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSLALRAAICFMLDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRLFFDATMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRPENITPTASKFGNSILLLESVSEALNQDRSTTPPPLNDIFVDEMEMVVSASFDKGTDWGKGVGYIYYIATEDIVTGFRIHGQGWRSMYCTMEHDAFYGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIAGCRIQSLQRVSYLNMTIYPVTSLFLLIYAVSPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEITWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIQFRVTSKQTTADNNDKFADLYEMRWIPMLIPSVIVLIANIDAVGVAIGKTVVYMGVWTTAQKRHAAMGLIFNMWVMFLLYPFALAIMGRWAKRPIILAVLLPVAFVIIALVYVMTHILLANIIPF >LPERR07G15660.1 pep chromosome:Lperr_V1.4:7:16103880:16108267:-1 gene:LPERR07G15660 transcript:LPERR07G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFFLLLFSQIILCTAVDTINSTTPLSGTQKIVSKGGKFALGFYTPPQGNNTASSSGNYYIAIWYNNIPLQTTVWTANSDVPVSDPTTASLAIGSDGNLVLFDQPKNRLLWSTNVSVASNSTVAIIEDIGSLNLVDATNSSIIYWRSIDNPTNTWLPGGKLGLNKTTGLSQRLIPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFQFINNASESYFIYSMKDESIISRFTIDVNGQIKQSTWVPASQSWILFWSQPRTQCEVYALCGAYGSCNLNALPFCNCVKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMANVRLPDNARSAVATSSQDCQVACLNNCSCNAYTYNSTGCFVWHGDLINLQDQYNGNGRGTLFLRLAASELPDSKKSKKVIIGAVVGGFAVALIVLAVVLFILFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTNNFSEKLGGGAFGTVFKGKLPDSTPIAVKRLDGFHQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEFMPKGSLEVQLFHSETTALSWAVRYQIALGTARGLHYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHLEEGKSSFFPTLAANKLHEGDVQTLLDPRLNGDANIDELTKACKVACWCIQDDESTRPTMGQIIQILEGFLDVNMPPVPRSLKALDESPNAINFFSDVSSSQISQMHNSTASSQAKSSTSDNYRPTMGKIVHILQGTQDVDLPPIPVFLQKQVEDYDSTSYSESLVNCMVVV >LPERR07G15670.1 pep chromosome:Lperr_V1.4:7:16114436:16117306:1 gene:LPERR07G15670 transcript:LPERR07G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKFQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEVISYPQQVVVACSGIIWARWGMVITPINWNLSSVNAAMSVTGVCQLTRKIRHDYFSDEKSATASLEG >LPERR07G15680.1 pep chromosome:Lperr_V1.4:7:16119015:16119656:1 gene:LPERR07G15680 transcript:LPERR07G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACFSAAAVADEAEAGAQAARHRSSRRRRRSSLRNISKLFGGGSSSKKHSSKSSSSSTRRHDDGSVYDVVASFGEEAPTPTPWSSSSLRSSLSSSASTASLDSACSLSTTASSCSGSVSPSLSGALSLARRMERRRSPAAGVAAVILCLVMVMLCGRVGATVLTSAAFYLFPRRWPAATASPEHDLPAAAAVETMKRKVVKEGFLVRNRKK >LPERR07G15690.1 pep chromosome:Lperr_V1.4:7:16124265:16127062:-1 gene:LPERR07G15690 transcript:LPERR07G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAREEEASTAARSRQDESEGEEETMAVLDFDMLCASVALAAERRKDSAAAAVATVETGGGGGGGGGVQRMWEGDVVLDCLEDRRIALESACCPCYRFGKNMRRANLGSCFLQAMVYFISLVAILVSLIAFSVTRHNIYLYMGLSAVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSSGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSAQPEQ >LPERR07G15700.1 pep chromosome:Lperr_V1.4:7:16130892:16133853:1 gene:LPERR07G15700 transcript:LPERR07G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPVVALTNDLLAEIFLRLPTPEDLVRASAACVSFRRLVTDRPFLRRFRSLHAPPFVGFLDHKGFHPALPPHPSAPSARAVSDAADFDLSFLPSSPPGSSWMVRNVRDGRVLVDRDPKPEGGNEGGGEEPSLFTEIAVCDPLRRRFVLLPPIPDDLADSVERPMRVHLERYCEIFLVPRSEEGAAAEADDASFKVIWMAQCRAKLCTFVFSSSTGQWCAGASPSSVDLLTGTGLSSSPSPVFSGRQYACGCFYWGMDWRTKLLVLDTRVMKFSITDLPPGCHRGQTAVVEAGEGMTGMFALQGDIAAGTFSLYYTIRRKERESHPEQWQMEKIIPLDSGYRHYIRGASEKLLLLARFPGSGIGFIPEKPDLEYLVEFIVTEVFQSIELK >LPERR07G15710.1 pep chromosome:Lperr_V1.4:7:16138220:16138717:1 gene:LPERR07G15710 transcript:LPERR07G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQPVPHPLQDPEQPRQLPALTDELLEEIFLRIGSPAEIVRTSAACVSFRRLITDRHFLRRYRSFHRPLLLGFVHLGGFEPAQPPHSSAPIARALASAADFSFAHLPPGRWPKGWHPCHSRDGLVLLECSPVQMIHAASSRTSRHTTLCPGGTWCSHPFLTA >LPERR07G15720.1 pep chromosome:Lperr_V1.4:7:16157782:16178606:1 gene:LPERR07G15720 transcript:LPERR07G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEVTLATGGDCFRGRRILPRWTTAEAAGDEVDGDGEKCPSETEPAGEWPAFGMASPARQRGHRRLDAAKELALPDDILAEVLIRLPTLADIGRACAVCSSFRRVVAGAAFLRRVRALHPPALLGFYGLPGRRFHPAQAPHPSAPAARAVLRAADFGFSFLPSPRSWIVRDVLDGRFLLGCQFGEDGGGIYEKLAVCDPLFRRHLLLPPIPEDLAASVCQPRRVASFFAPTGEEQPTAAAADETSFKVIWIAQCPDKPVCFVFSSVTGQWRAIASPSWSDLSPAFSHSAFCSLFWRRRSYAYGCFYWLMGTSGNLLVLDMCRMDFSVLKLPSNPSRHFILECAVVEAGEGKLGLFALRRSTPSYALELYSKAMQNEGKGASKWSFDSAIMMPSLDEFCVLGVTGRELFLQVSPSMLGCYSLEFSTNPSDQKLEFVRGLIDGRQQKYVFAAAPPHRSAPFAHALARAANFRFSFLPSYPSAQWIVRDGRVLLDSDDDGRVFTKLAVCDPVFRRHLLLPPIPPDLAASVEKPHALDLNRKSEVFLAPSGEDGAAAETSFRVIWMAQCPTKLVAAVFSSAIGEWVAVAAPNWGDLKPSKSYTERRVLFCRSYAYGCFYWMMSISPHLLVFDLSKMEFSVLKLPSGHSGQESAIVEIREGKIGMFALRGYMAQRELDLFSTVRGNEGDGEWRLENKAILPYQYSYCLLGAANGELVLQGTLEYVDDDFLEPNLGYLSLKFSNLQCERVCRMVDEYMVSPPFLYIGSPPSLLPQITVLLKLNFLQLLEEVFLRLPNPADLARASMACTSFRRLITAHAFLRRFRRLHPPPLLGILGMSFLAAQPPHLSAAAARAFADPDAADFSCSFIPSSDRWCRRDLCDGRCLLSAVPEGSDPFSARYDRHALVKSFAVCDPLYRRYILLPAIPDDLAALVNQGYIVDFEPEEDTMFRVICLAQCETKLVAFIYSRGAGQWHAVEFGGWRDLTRGTSNRNPSDQTELYSRYYAHGCFFWVMNWLGKLLVLDTRSYEFSYIDLPPGPKPEQMVIVEAVEGKLGLFALCFDPENGLFCLIYAILENDDSDTLQWCIKFMLPLHEHHHYGILGVAGGYLLLMGIPSGSRSNYICFSLNVRTFELEWFCRVTYGLLSPPLYAGRCAPVNDDELHKFKIPVLGPEIELACHLLEEVFVLLPTAADLARASMACASFRRLITAHAFLRRFRRLHPPPLLGILSAGFLAAQPPHPSAAAARAFADPDAVDFFCSFIPSRDQWHRRDFRDGRSLLSANPEGSDPDRLCHDPRALVKSFAVCDPLYRRYILLPAIPDDLAALVNQSEIAVFEPFLCPAAEGEEERMFRVICLAQCEAKLVAFIYSRTSGHWHAVEFDGWSDLTRGTSNPYPSNEPELSRRCYAHGCFCWIMHWVSKFLVFNTRRLEFSSVNVPPEHPLPNRAIVEAVEGKLGLFTLYCDFENAFLYYAILQNDDKGTLKWCLKEIIPLHEKYNYNIMGAAGGYLLLQGFCAENVDRRPTKICLSLNVRTFEVEQFCETTYLIISPSLPHTVEASAMPCAVGLHLCHALLGLVALCCKDGLDK >LPERR07G15720.2 pep chromosome:Lperr_V1.4:7:16157782:16176921:1 gene:LPERR07G15720 transcript:LPERR07G15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGEVTLATGGDCFRGRRILPRWTTAEAAGDEVDGDGEKCPSETEPAGEWPAFGMASPARQRGHRRLDAAKELALPDDILAEVLIRLPTLADIGRACAVCSSFRRVVAGAAFLRRVRALHPPALLGFYGLPGRRFHPAQAPHPSAPAARAVLRAADFGFSFLPSPRSWIVRDVLDGRFLLGCQFGEDGGGIYEKLAVCDPLFRRHLLLPPIPEDLAASVCQPRRVASFFAPTGEEQPTAAAADETSFKVIWIAQCPDKPVCFVFSSVTGQWRAIASPSWSDLSPAFSHSAFCSLFWRRRSYAYGCFYWLMGTSGNLLVLDMCRMDFSVLKLPSNPSRHFILECAVVEAGEGKLGLFALRRSTPSYALELYSKAMQNEGKGASKWSFDSAIMMPSLDEFCVLGVTGRELFLQVSPSMLGCYSLEFSTNPSDQKLEFVRGLIDGRQQKYVFAAAPPHRSAPFAHALARAANFRFSFLPSYPSAQWIVRDGRVLLDSDDDGRVFTKLAVCDPVFRRHLLLPPIPPDLAASVEKPHALDLNRKSEVFLAPSGEDGAAAETSFRVIWMAQCPTKLVAAVFSSAIGEWVAVAAPNWGDLKPSKSYTERRVLFCRSYAYGCFYWMMSISPHLLVFDLSKMEFSVLKLPSGHSGQESAIVEIREGKIGMFALRGYMAQRELDLFSTVRGNEGDGEWRLENKAILPYQYSYCLLGAANGELVLQGTLEYVDDDFLEPNLGYLSLKFSNLQCERVCRMVDEYMVSPPFLYIGSPPSLLPQITVLLKLNFLQLLEEVFLRLPNPADLARASMACTSFRRLITAHAFLRRFRRLHPPPLLGILGMSFLAAQPPHLSAAAARAFADPDAADFSCSFIPSSDRWCRRDLCDGRCLLSAVPEGSDPFSARYDRHALVKSFAVCDPLYRRYILLPAIPDDLAALVNQGYIVDFEPEEDTMFRVICLAQCETKLVAFIYSRGAGQWHAVEFGGWRDLTRGTSNRNPSDQTELYSRYYAHGCFFWVMNWLGKLLVLDTRSYEFSYIDLPPGPKPEQMVIVEAVEGKLGLFALCFDPENGLFCLIYAILENDDSDTLQWCIKFMLPLHEHHHYGILGVAGGYLLLMGIPSGSRSNYICFSLNVRTFELEWFCRVTYGLLSPPLYAGRCAPVNDDELHKFKIPVLGPEIELACHLLEEVFVLLPTAADLARASMACASFRRLITAHAFLRRFRRLHPPPLLGILSAGFLAAQPPHPSAAAARAFADPDAVDFFCSFIPSRDQWHRRDFRDGRSLLSANPEGSDPDRLCHDPRALVKSFAVCDPLYRRYILLPAIPDDLAALVNQSEIAVFEPFLCPAAEGEEERMFRVICLAQCEAKLVAFIYSRTSGHWHAVEFDGWSDLTRGTSNPYPSNEPELSRRCYAHGCFCWIMHWVSKFLVFNTRRLEFSSVNVPPEHPLPNRAIVEAVEGKLGLFTLYCDFENAFLYYAILQNDDKGTLKWCLKEIIPLHEKYNYNIMGAAGGYLLLQGFCAENVDRRPTKICLSLNVRTFEVEQFCETTYLIISPSLYVGFPPSLSPPTI >LPERR07G15720.3 pep chromosome:Lperr_V1.4:7:16138732:16158176:1 gene:LPERR07G15720 transcript:LPERR07G15720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNPHQFLALLVPCGDVETTFKVIVRAHSVSKLVVFVFSSDSGQWSIGASTSWGALSLTLPPSPHNYMLQCGSYCAYGCFFWKLNYKSKLLMLDLSKMKFSTVDLPSDHARKNVDVVEAGEGRLGILVSDIGYATDNSTPVYFIRQNKGHGVQEWQMESIVENMAQLSVNCDYSTIGVVEGFLLLYCVQKPLAPVPSIPYFSLDVRTMNLERVSQDFRTYWISPYEIFLRIGSPTDLVRTSAACVSFCRLIIDPYFLRRFRTAHPPLLLGFLHLDGFEPVQPPHPSAPAAPATAQAADFSFGFLPPEGRPRRAFTTRWLRCYCFRRPHLHGSRGVRPLVPAVRAAPAHTRFTSRLCATRIGNHQPFRLLPCPASKLVVFVFSSDSGQWIIGACTRWDALISSPDDVMPVCYRNFAYGCIFWKLDKRNMLLMLDLSRMEFSTVNLPSDHEEKNVVVWLSRVH >LPERR07G15730.1 pep chromosome:Lperr_V1.4:7:16184172:16189418:-1 gene:LPERR07G15730 transcript:LPERR07G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLEGLILFSQVPSDAATLVPSASSHQPSSAAESGSSLRPPAASISSSIDDRWFYRQRERGKPGQLKLPGNPRKQYDSTCQDRISELPDDLLTIILGHVDTRTSAANSVLSRRWQHVWKSVPKLRFSQHSILPKTELSRFLRAHEYIFFKPSLCPWKQQVRVNPERLIRLADSYRTRILLSSLTGYLHKSNAGDKNTKISSLILHCNMEDRYADLIDKLISIAICGGVEHLDLETNLYYAGQRPSTAPYKFPFSLFTGGNGLSLTKLILGECTLNIPLGFAGFKSLVELSFTLMDISEDMIQTLIENCPNLECFYLSLCRGASHLKIASPHLQLREIVVKNCLQIRHIELVAPKLQQFTYKGPCISVVLCSVPLMEHACLDYEDSRDGKSVKYILGKLSQDFSLLTSLSIVVNTYRLKDPVILQGPPTAFKNLKSLTLNVVMYSNDDVAWAAILLELAPALESFQIELLTNDERKHPGGVVWEPSDFEHHHLRQVKFYRFRIKQRDVALAGLLLARAPLLQTMTFSRGFVHHLPGKGSHNQGDDLSAAADASKPAARGRRRKMNRRPAACAREKEDGPAARGQRMRQRWIWGEEDDEPMAGGGSSSSPATASSPACASSTPLSMPSFPPPSLLCLRRRR >LPERR07G15730.2 pep chromosome:Lperr_V1.4:7:16179129:16189418:-1 gene:LPERR07G15730 transcript:LPERR07G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLEGLILFSQVPSDAATLVPSASSHQPSSAAESGSSLRPPAASISSSIDDRWFYRQRERGKPGQLKLPGNPRKQYDSTCQDRISELPDDLLTIILGHVDTRTSAANSVLSRRWQHVWKSVPKLRFSQHSILPKTELSRFLRAHEYIFFKPSLCPWKQQVRVNPERLIRLADSYRTRILLSSLTGYLHKSNAGDKNTKISSLILHCNMEDRYADLIDKLISIAICGGVEHLDLETNLYYAGQRPSTAPYKFPFSLFTGGNGLSLTKLILGECTLNIPLGFAGFKSLVELSFTLMDISEDMIQTLIENCPNLECFYLSLCRGASHLKIASPHLQLREIVVKNCLQIRHIELVAPKLQQFTYKGPCISVVLCSVPLMEHACLDYEDSRDGKSVKYILGKLSQDFSLLTSLSIVVNTYRLKDPVILQGPPTAFKNLKSLTLNVVMYSNDDVAWAAILLELAPALESFQIELLTNDERKHPGGVVWEPSDFEHHHLRQVKFYRFRIKQRDVALAGEDASAVCCFLCGHYPPEGGEGSE >LPERR07G15730.3 pep chromosome:Lperr_V1.4:7:16184172:16189418:-1 gene:LPERR07G15730 transcript:LPERR07G15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLEGLILFSQVPSDAATLVPSASSHQPSSAAESGSSLRPPAASISSSIDDRWFYRQRERGKPGQLKLPGNVRGLATALVRLADSYRTRILLSSLTGYLHKSNAGDKNTKISSLILHCNMEDRYADLIDKLISIAICGGVEHLDLETNLYYAGQRPSTAPYKFPFSLFTGGNGLSLTKLILGECTLNIPLGFAGFKSLVELSFTLMDISEDMIQTLIENCPNLECFYLSLCRGASHLKIASPHLQLREIVVKNCLQIRHIELVAPKLQQFTYKGPCISVVLCSVPLMEHACLDYEDSRDGKSVKYILGKLSQDFSLLTSLSIVVNTYRLKDPVILQGPPTAFKNLKSLTLNVVMYSNDDVAWAAILLELAPALESFQIELLTNDERKHPGGVVWEPSDFEHHHLRQVKFYRFRIKQRDVALAGLLLARAPLLQTMTFSRGFVHHLPGKGSHNQGDDLSAAADASKPAARGRRRKMNRRPAACAREKEDGPAARGQRMRQRWIWGEEDDEPMAGGGSSSSPATASSPACASSTPLSMPSFPPPSLLCLRRRR >LPERR07G15730.4 pep chromosome:Lperr_V1.4:7:16184612:16189418:-1 gene:LPERR07G15730 transcript:LPERR07G15730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLEGLILFSQVPSDAATLVPSASSHQPSSAAESGSSLRPPAASISSSIDDRWFYRQRERGKPGQLKLPGNPRKQYDSTCQDRISELPDDLLTIILGHVDTRTSAANSVLSRRWQHVWKSVPKLRFSQHSILPKTELSRFLRAHEYIFFKPSLCPWKQQVRVNPERLIRLADSYRTRILLSSLTGYLHKSNAGDKNTKISSLILHCNMEDRYADLIDKLISIAICGGVEHLDLETNLYYAGQRPSTAPYKFPFSLFTGGNGLSLTKLILGECTLNIPLGFAGFKSLVELSFTLMDISEDMIQTLIENCPNLECFYLSLCRGASHLKIASPHLQLREIVVKNCLQIRHIELVAPKLQQFTYKGPCISVVLCSVPLMEHACLDYEDSRDGKSVKYILGKLSQDFSLLTSLSIVVNTYRLKDPVILQGPPTAFKNLKSLTLNVVMYSNDDVAWAAILLELAPALESFQIELLTNDERKHPGGVVWEPSDFEHHHLRQVKFYRFRIKQRDVALAGLLLARAPLLQTMTFSRGFVHHLPGKGSHNQGDDLSFP >LPERR07G15730.5 pep chromosome:Lperr_V1.4:7:16184612:16189418:-1 gene:LPERR07G15730 transcript:LPERR07G15730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLEGLILFSQVPSDAATLVPSASSHQPSSAAESGSSLRPPAASISSSIDDRWFYRQRERGKPGQLKLPGNVRGLATALVRLADSYRTRILLSSLTGYLHKSNAGDKNTKISSLILHCNMEDRYADLIDKLISIAICGGVEHLDLETNLYYAGQRPSTAPYKFPFSLFTGGNGLSLTKLILGECTLNIPLGFAGFKSLVELSFTLMDISEDMIQTLIENCPNLECFYLSLCRGASHLKIASPHLQLREIVVKNCLQIRHIELVAPKLQQFTYKGPCISVVLCSVPLMEHACLDYEDSRDGKSVKYILGKLSQDFSLLTSLSIVVNTYRLKDPVILQGPPTAFKNLKSLTLNVVMYSNDDVAWAAILLELAPALESFQIELLTNDERKHPGGVVWEPSDFEHHHLRQVKFYRFRIKQRDVALAGLLLARAPLLQTMTFSRGFVHHLPGKGSHNQGDDLSFP >LPERR07G15730.6 pep chromosome:Lperr_V1.4:7:16181243:16184052:-1 gene:LPERR07G15730 transcript:LPERR07G15730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGRRSKTGRRRMGGRRTDDELDGGAAGTTAAARRGQHRLGRRWRDVVGERAVNPGSSARVGAPSPKQIEGVAVRGDRRRLVRLRRAAAALAVACAAPPISDISKTTIGFARLISPFSLLAASQIGQPIVAGTAIFGRQPLPALL >LPERR07G15730.7 pep chromosome:Lperr_V1.4:7:16179107:16183918:-1 gene:LPERR07G15730 transcript:LPERR07G15730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFSATVAPGRDTWPTVKGLRPLSTWRPTRTRPQSSNLLSHGGSKHAILMERTPALCVVSCVVTTLLRAVRAVSRFLFSTA >LPERR07G15740.1 pep chromosome:Lperr_V1.4:7:16183933:16184112:1 gene:LPERR07G15740 transcript:LPERR07G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRRRRRPRRAAVELVVRPSPSHAPPARLTPPPERRHPLLAARRQGQPAACLPSKL >LPERR07G15750.1 pep chromosome:Lperr_V1.4:7:16184221:16184463:1 gene:LPERR07G15750 transcript:LPERR07G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVEEAHAGEEAVAGDDELPPPAIGSSSSSPQIHRCRILCPRAAGPSSFSRAHAAGRRFIFLLRPRAAGLLASAAALQ >LPERR07G15760.1 pep chromosome:Lperr_V1.4:7:16202837:16204488:1 gene:LPERR07G15760 transcript:LPERR07G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFGMDVEASERRAAAQARPPQHDAGSGSKITMTVTKKKDLKYLNTKLHMVEIPDTLRPVVIRQLYHAAQRNGFTVTAKTVSVTSEYLKKGWKHGGN >LPERR07G15770.1 pep chromosome:Lperr_V1.4:7:16211213:16215005:-1 gene:LPERR07G15770 transcript:LPERR07G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGAGMKKMAMGSSDASRSDGNDHGERQRFTQRAHDPMGISRVSIVILDFELLEYAWISNSAIVEDYIMYFSRYVLAARGLHKRVYARVKDLKSYWMAMGSEMAGLWARTGYAEGGVVVAKGGHFVMADNPFFLVLAICKWIFFLIFNKEMFHSKAVVLVISWAEQQKRRESGLGQFFQSILLGRLTPKS >LPERR07G15770.2 pep chromosome:Lperr_V1.4:7:16210210:16215005:-1 gene:LPERR07G15770 transcript:LPERR07G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGAGMKKMAMGSSDASRSDGNDHGERQRFTQRAHDPMGISRVSIVILDFELLEYAWISNSAIVEDYIMYFSRYVLAARGLHKRVYARVKDLKSYWMAMGSEMAGLWARTGYAEGGVVVAKGGHFVMADNPFFLVLAICKWIFFLIFNKEMFHSKPCK >LPERR07G15770.3 pep chromosome:Lperr_V1.4:7:16211213:16215005:-1 gene:LPERR07G15770 transcript:LPERR07G15770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGAGMKKMAMGSSDASRSDGNDHGERQRFTQRAHDPMVTSTSSIWFLDRAGTGYQSRHDHDSREPAIVYARVKDLKSYWMAMGSEMAGLWARTGYAEGGVVVAKGGHFVMADNPFFLVLAICKWIFFLIFNKEMFHSKAVVLVISWAEQQKRRESGLGQFFQSILLGRLTPKS >LPERR07G15780.1 pep chromosome:Lperr_V1.4:7:16218535:16221716:1 gene:LPERR07G15780 transcript:LPERR07G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPPNASQRRRSRPPSPSPTASSVGSSPAFPPRKRRKSGRQPPLLGLVCDDGFYPARDPHPSATRALAVARKADFGFHFVPPVPKKAWGWSPLDARDGRVLIQSKYFPEDPDGDEFPRPRFMNYAVCDPLFKRYVLLPSIPDDLTVDEGKLGGFVLCLAGSQEDEEDTSFRVICVAKYSTKLVALVFSSVTRRWGIAASSSWSSLGTEEPSNHGLSRFSYVDGCFYWTEPWADKILVFDALKMGFAHGVKDGSQACVAVDREGNPGMLTVAEYIGNGKFRFSRIAKQSDRGSPSGCLSENIIELPSYINNYFTLCAAEGFIFLRCDPEDEDPEEDFYIDPEDVEYYSLNVKTAEFQYICGMALDKYYFHVCPYFRFSSPPTAKPWMKSSLLSPFSYRASVSVRGSAGGSTWCCSSAHWDVLELGYVYQN >LPERR07G15790.1 pep chromosome:Lperr_V1.4:7:16222820:16231221:1 gene:LPERR07G15790 transcript:LPERR07G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACRNSLQGQAQLLLIWMSKNALPEGHKVTSSGPVPPAPKPQQLQQPKQQLQPQPQLQQTRKDVVSSNQSSKPGNTHIPSQVKREVHVIPPSVPNVAQTRPPVQQISGIPMSIPYHHQQPLQFGHNPQMPPQGVVSSPLQVAQQMYIPNIPTLQHHHQLQQPMMHQGPGLSFVNSATAHQLTTQLGMNVGVGAQYAPQQANKYVGPARKTTVKITHPDTHEELKLDKRMDSSGQRGGLPSVQQQSQPVSSYGSHMGFYPTMQQNSYNQSPIFFPPNTGVSQVSAVSQGPPRFATYPVNQAGPFINQSMNTAPGSNKDIIAGPTTLGSKPHPSGLPMEKSGVHMVTISAPPGKSDTTKLKPTGDAMLHRPKVNEAVTKSGSENDSKAPVITEKHTTSVSQPLQALGANPKATEPASSIVNSLSGADGKGKESILKTDSFKDSNNGATKKDRKLSEEPQSASSSGLKVQTSVKVVCDGASLMEAEGINKESELTNAASAALPENKISPKSSTAEADSKTLKATDASSTDRSSARSTFETTEKPQEVGEFDVATGESEQSEITCKTSSDLTKDEISNPNGNKLYEVCTAGLAEQSSVEASNPDNLDATTSVADQGQNQTAEEISYPVVDSLASVASSLTSAESIIHNANAKGDTSGNQETESAVSNTIPDVLSVTHSVTSEDPVKPESMKDQLNTAPATAVRPVSREKPSVEVSRTKFAASKKKIKKEMLSKADAAGSSDLYNAYKGPEEKLDFVGTTESLDSSSIADHELPDESSEKEVNMDDDEGKKFELDDWEDAADMSSTPKLESSDSSNQATEANGRKRYSRDFLLTLAPNCTNLPVGFQMVEFASVLFPNLAGKSYVVDHPSPGRGADRPTSRGDRRGAAMEDDRWGKSGNPFGSCRDMNLDNGPPNMMHRGAPGGNHGVLRNPRGVLVAPQMARSGSDADRWQQKGLFPSPVTPMQVMHKAEKKYVVGSVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDSVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNERITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLARGPVVGSAPRRGAAPMDYGPRGSAAGLASPSSQQQVGHRGISSHSRGFGTQDIRFEEKNPFDSRSFPIPQRKDEPVTLLPQGGLAKGMSSAVDNRRMVSGPNGYNSASSTARDETSSRIPDRSGRIAPSTQFTGPSNRPSSQEGSGNKSYSEEDLKEKSISAIREYYSAKDEKEVALCIEELNAPNFYPCVVSLWVNDSFERKDLERELLTKLFVSLCNGRKNLLSKPQLTKGIAIVLESLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEQGGENPGELVHRGIGADVLGAVLESIRVEKGDSFLNETKTSSNLKLENFRPQHLNRSKLDAFM >LPERR07G15800.1 pep chromosome:Lperr_V1.4:7:16232471:16233292:1 gene:LPERR07G15800 transcript:LPERR07G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEKPIDSAPPDTDNILLPDEILEEIFLRLASPADLARASTACVSFRRVVTDRPFLRRFRSLHKPPLLGLLGARDFCAAKPPHTSAPAARALAQAADFEFSFLPSPGRWKPRDCRVVLARLPMAFVCSDYDSDDEGELVPVADKVKDDVITDLAVCDPISRRSLILPAIPTDLATLVEQENLLVFEPFLAPAAVEEMDGTSFRVFARAHYASKVVIFVFSSSTEEWCSFKFVRWSVLVAVLRMQARQCSLTLRHLSYFPHDTMRTAASTGC >LPERR07G15810.1 pep chromosome:Lperr_V1.4:7:16233331:16261054:1 gene:LPERR07G15810 transcript:LPERR07G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVVDSKKDQENAILEAEEDMVGVFSLRGFSERTRLSYTSRRIKADDANGTLLHLDKTITLPLPMPYYFDIIDAAQGYLLLEGWRLNRQFGPSLKDTPDILYFSLDPKTMLLEKKRIDPASPDAVSIFLADELLEEIFLRLASPADLARASIACASFRRIVTDRCFLRRYRSIHKPPLLGLLWEDFYPAEPPHSSAPAAQAVAQAADFKFSFLPSPGPWKVRDCRDGRVLVQRDEGMVVTDNDDDDEEGELAPIVPINHKRDMAELVICDSISRRYVIIPPIPDDLVFSDERYNVLVYEAFFAPAAEGETADTSFRVMVKTHYESKLVVFVFSSLTKEWSSSKSFSWSIMADDPSWFGSPPKAQRWVFPRYYAHGCFYWVINLMNKLLVLDTCKMVFFAVDFQSDLAITIMEAEEKGMIGLYALTKHSGRTHICYTTRRIEADAANGPLLNLDKTIPLALPFDYYFLLQAAQGYLLLKGNLRCWLRHSSEEDEPDTLYFSLEPKTLLLEKMSSRPEKQIHRASPAMGSIFLADEILEEVFLRLASPADLARASTACASFHRVAADFTFSFLPPGGRWMPRDCLEGRVLLARLPIPTMDWIFPPSDSDDGVDRVPFDESGEITDLAVCDPIWRRYTILPRIPEIETACNERNDFLDFETFLVPAGEDETDDTSFRVVARARYGKKLSVSVFSSRTGEWRTSEFVDWSVLDTDGIIHFFGRRQYAHGCVYWVLDWMHKLLIFDSWKMVFSIADIPRDVDCMNTAILEAEDGMVKIFTIRRDLIIRRNQLSYKVWRIKADGANGLRLDLDKGIPLPLPLDYGFTILGAAQGYLILRGFPRRHLSRDSFEVEAMSFGVYFSLDPNRLMIERVCELSNMVLNSAIYTDSLTSPPIPSPAAAGDAMSSPPEQRIRRNSPAPGNTTLADELLEEIFLRLASPADLVRASIACVAFRRVATDGSFLRRYRSIHKPPPPRIPESFYPAEAPHPSAATARALAQAADFTFSFLPPGHWVPRDCRDGRVLLARIPMRSKARIAPLDGPRSDSDDEDELVPVDERDALVNLAVCDPISRRYVILPFIMEHQHAILRERRVLLDFETFLAPAGEEEGMEDTSFRVMARAHYTGRLFIATPERRTFDFGSWSTLSADGILPADINLFPKRQYAHGCFYWVLDWMHKLLIFDSWKMVFSIADLPRDINSLYTAIVEAEDGMVGVFAIKRDTTTYEKKLDYTIRRIKADRANGQPLELDKTIPLPLDYNFTLQGAAHGYLILRGYPSHYLSRDSLDIVLDMVYFSLEPKRLVFEKVCRVNTLLLKAEIYTGFPPFLSPASMPSSATVGMHGSWSRNEKSPR >LPERR07G15810.2 pep chromosome:Lperr_V1.4:7:16233331:16261054:1 gene:LPERR07G15810 transcript:LPERR07G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVVDSKKDQENAILEAEEDMVGVFSLRGFSERTRLSYTSRRIKADDANGTLLHLDKTITLPLPMPYYFDIIDAAQGYLLLEGWRLNRQFGPSLKDTPDILYFSLDPKTMLLEKKRIDPASPDAVSIFLADELLEEIFLRLASPADLARASIACASFRRIVTDRCFLRRYRSIHKPPLLGLLWEDFYPAEPPHSSAPAAQAVAQAADFKFSFLPSPGPWKVRDCRDGRVLVQRDEGMVVTDNDDDDEEGELAPIVPINHKRDMAELVICDSISRRYVIIPPIPDDLVFSDERYNVLVYEAFFAPAAEGETADTSFRVMVKTHYESKLVVFVFSSLTKEWSSSKSFSWSIMADDPSWFGSPPKAQRWVFPRYYAHGCFYWVINLMNKLLVLDTCKMVFFAVDFQSDLAITIMEAEEKGMIGLYALTKHSGRTHICYTTRRIEADAANGPLLNLDKTIPLALPFDYYFLLQAAQGYLLLKGNLRCWLRHSSEEDEPDTLYFSLEPKTLLLEKMSSRPEKQIHRASPAMGSIFLADEILEEVFLRLASPADLARASTACASFHRVAADFTFSFLPPGGRWMPRDCLEGRVLLARLPIPTMDWIFPPSDSDDGVDRVPFDESGEITDLAVCDPIWRRYTILPRIPEIETACNERNDFLDFETFLVPAGEDETDDTSFRVVARARYGKKLSVSVFSSRTGEWRTSEFVDWSVLDTDGIIHFFGRRQYAHGCVYWVLDWMHKLLIFDSWKMVFSIADIPRDVDCMNTAILEAEDGMVKIFTIRRDLIIRRNQLSYKVWRIKADGANGLRLDLDKGIPLPLPLDYGFTILGAAQGYLILRGFPRRHLSRDSFEVEAMSFGVYFSLDPNRLMIERVCELSNMVLNSAIYTDSLTSPPIPSPAAAGDAMSSPPEQRIRRNSPAPGNTTLADELLEEIFLRLASPADLVRASIACVAFRRVATDGSFLRRYRSIHKPPPPRIPESFYPAEAPHPSAATARALAQAADFTFSFLPPGHWVPRDCRDGRVLLARIPMRSKARIAPLDGPRSDSDDEDELVPVDERDALVNLAVCDPISRRYVILPFIMEHQHAILRERRVLLDFETFLAPAGEEEGMEDTSFRVMARAHYTGRLFIATPERRTFDFGSWSTLSADGILPADINLFPKRQYAHGCFYWVLDWMHKLLIFDSWKMVFSIADLPRDINSLYTAIVEAEDGMVGVFAIKRDTTTYEKKLDYTIRRIKADRANGQPLELDKTIPLPLDYNFTLQGAAHGYLILRGYPSHYLSRDSLDIVLDMVYFSLEPKRLVFEKGEYFTSQGRDIYRFSTFLVTSEYVNKACLAY >LPERR07G15820.1 pep chromosome:Lperr_V1.4:7:16241275:16243090:-1 gene:LPERR07G15820 transcript:LPERR07G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSFIRVMAKAHYECKVVVFAFSSLTEEWCSSKSFSWSVLADDPWFSSPAQARHWSSPRYYAHGCFYCVMNLVEKLLVLDTCKIVFFTVDFNWGQNIDILEAEEGMTAVFSLKRYSGRTHLCYTIRQIEADAANGPPLILDKTIPLPLPSDYCFFIIDAAQGYLLLQGNRLESLIYSSEVVDKPDNLYFSLEPKTLLLEKVCGPTRIIICAKIYTGFPPSLLVWHDDNDIESGQSCRAALVTLTRPSLV >LPERR07G15830.1 pep chromosome:Lperr_V1.4:7:16287615:16289294:-1 gene:LPERR07G15830 transcript:LPERR07G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAAAHEYDEFEFDLENPFTSPADEPIASLLDAEGHHSPSVSAASSAARRDAASFISKVQYDGKLHVHPRVAYLALNYVDRFLSKSRLPFEHTPWAPRLLAISCLSLAGKMQRDSAISVADIQRGEEASFDEATIRRMERLVLDALDWRVRSVTPLAFISFFLSACFPPPRHPPLLAAIKARAVDLLLRVQPEVKMAEFCPSVAAASALLAAAGEVAAGAHLPDFQAGVTACPFVNSEKLRECGEVMAAACCVGPGRTAAAAASSGETPVTVLGHHRSISSESERTSTVGSAANSSDAKKRCMGPPRQWG >LPERR07G15840.1 pep chromosome:Lperr_V1.4:7:16296653:16300135:1 gene:LPERR07G15840 transcript:LPERR07G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSTASNPTQLCRSRASLGKPVKGLGFGRDRVPRTTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPSVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVVAEEWLKTHDKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >LPERR07G15840.2 pep chromosome:Lperr_V1.4:7:16296827:16300135:1 gene:LPERR07G15840 transcript:LPERR07G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSTASNPTQVSVSATAAAVLDLLLFMDDKLLCGYCVCAILSRASLGKPVKGLGFGRDRVPRTTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPSVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVVAEEWLKTHDKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >LPERR07G15850.1 pep chromosome:Lperr_V1.4:7:16300098:16301234:-1 gene:LPERR07G15850 transcript:LPERR07G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSTPRAAGGGGCGNGGSQHTPPLPPAPHGNGHGQRQGGGGGGEHVRLMCSFGGRIMPRPGDHQLRYVGGETRIVSVPRAATFDALVAALAKVAPAHFPAGGPAPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFREIAAASIKPPRLRLFLFASSPDQGAFGSVLSGAGTGDASSDQWFVDALNAPAPPPPIERGRSEASSIISEVPDYLFGLDTNSDEPSPVTGGSRPKVVDTEMAHPDDDALAPARGAAPPSPYATETAPWPAPPPPYMTQQVYYVPVRPVHYLDPSSQGGYMPGPVYHIVGGGGSEARGGDLYSTGGSVGGVYGVPHPMQPYPPMMYGHAPPPPGSVIYSSEGKPPPAAAEGGAHAA >LPERR07G15860.1 pep chromosome:Lperr_V1.4:7:16312072:16319803:-1 gene:LPERR07G15860 transcript:LPERR07G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLVALRISIGDVLFYTSQLQQSHEVGNRRAIINNFRHVIVIQSVGVDALMAILVTINMAYSKEGQILDTNKGKYWGIFICWLLGNGCLFGFNSMLTIEDYYTYLFPKYHPTRLVTLTYQPFVLSTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIILDVATSGRGGVAPFVGVCVIAAAFGIADGHVQGGMNGDLSLMCPEFIQSFFAGLAASGMITSALRFITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVTADLAAGGIQNRPNPLSEEDPSCVERLSTKQLLLQNTDYALDLFLIYILTLSIFPGFLAEDTGSHSLGTWYALVLIASYNVWDLIGRYIPLIKHAKLRSRKGLLIAVTSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLHVLSLLGGIFCGAILDWLWLIVRAYPRNFLEKAQTYHPTRILTLAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDIGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSKNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGLDTDQHIQAEEDPKKFDRLSTKQLLMQNIDYAFDIFLIYVLTLSIFPGFLSEDTGSHSLGTWYALTLISMYNVWDLIGRYLPLIKCLKLTSRKGLTCAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTGPEQNALGNVLVVCLLAGIFSGVVLDWLWLIGKGW >LPERR07G15870.1 pep chromosome:Lperr_V1.4:7:16323287:16325623:-1 gene:LPERR07G15870 transcript:LPERR07G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSYSVIPGDQSEIITGYDEDDHGLAKTQGKKWGIFICWLLGNGCLFGFNGMVTIEDYYVYLFPNYHPTRMITLIYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIILDVASSGRGGIAPFIGLCLIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGIAASGAITSALRFLTKAIFDNSRDGLRKGAMLFSAIACFFELLCVLLYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQSDPLAEEDPAYAERLSNRQLLHQNMDYRIGHTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEKIKLTSRKWLLIAVILRFLFIPAFYYTVKYCDEGWVIMLTSFLGLSNGHLTVCVITEAPKDYKGPEQNALGNLLVFFLLAGIFCGVILDWMWLIGKGW >LPERR07G15880.1 pep chromosome:Lperr_V1.4:7:16326927:16332374:1 gene:LPERR07G15880 transcript:LPERR07G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRYRGRGRGRGRGRGRGRGRGKAADAAPPVEEVEEDAKSEEEAAGSGGDSEEEKEKEKEDEASESEGDAMDEESKEGVAEKEEDEEMEAEADGDEEKSEEMEADGDEEKSDEMDAEAEAGAAETEAKDAEVEGEGAGSDSEREAEETDGENEEEEEEAAETAGGNEGEGAESDPEGEASDDAEEDASEESPPPPPTRGRHRRKRAAAPDPTPEDDEEEEGTPAPPRRRRRRKAGDRGDSPPPVPDHLRCRRSDGKKWRCAARALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNKRKGRRNVNASPASPPAATSDVTRDLPNGLMRISPGSSEPAGSQPSPVTTKVGVDIPVPMRRCYRSKNAEPLPVGPVKVVPRAMGMTKARQKTCHRCGMKKAARIVQCKNCDSRYFCNSCINKWYSGMSKKDIKTRCPVCCGCCDCEACTLRQTKGAMSKESSGDQDKLINIKICNHQLYNLLPVELNQEQLDELEIEAKIQETKLSNVRVQVADEQSGSLNCNNCKLSVNQFLRSCPRCPFKLCLSCCQKIRDGSISATTPEDKFNQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSEQSEEVSSGDELDGPGNHSGVKDAVAESNGGADRLSVGQQETVSS >LPERR07G15890.1 pep chromosome:Lperr_V1.4:7:16357395:16358756:-1 gene:LPERR07G15890 transcript:LPERR07G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCVAAQFIGEPATQMTLNTFHFAGVNAKNISPWLLCIELYREIMGDKKLSMADIGENHEFEDNLPCIFCDDNADKLILRVRITNGETPKGEIQDESAEDVSSRKFGNMLIEMALSGIPCINKVFIKHGKVNKFKDNDGFKPDKERMLETEGANLLVAIHEDVDATRTTRSSHFIEVIEVLGIEAVRRALLDELRVVVSFDGSAVNYRHLAILCDTMTYTGHLMATTRHGINCNDTETLDILLDAAVYAESDYLRGVTEDIMLGQLAPIGTGGCTLYLNDQMLPHAIELQLPIYVVGLDFGMTPALLPIYGTPYHEGMKSPSYLQSPDIRASPITDAQFSP >LPERR07G15900.1 pep chromosome:Lperr_V1.4:7:16359921:16362184:-1 gene:LPERR07G15900 transcript:LPERR07G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALHVVVFTDISLEKAAASDSYCHNHQLPIAFIKSEVCGIFGSIFCDFGPEFTVLDVDGEEPHTGIVASAMTTLRFINESLGDSKLEELDKKAPAPFYKWFQGCSEPHGWIFLWYLDQEVVEACSRKFHPLYQFFYFDSVESLPVEPLEPGELKPENTMEHKSIQNKFDQAKMFMVGSVELGCEFLKNLALMERLKDQSYMTLIFGLLQK >LPERR07G15910.1 pep chromosome:Lperr_V1.4:7:16370404:16372394:-1 gene:LPERR07G15910 transcript:LPERR07G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHIAVALLLALLAPASTTDVPSYPLSQAQSPANTSSGGGAGGSSNSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPNATPTAAVKELEKSCRNASYAGCSRCVQSLQKLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYSARPTESASAGAAPPRCSPDQENMPLAVDSLQFERASTASSAAATAVRRGAVDLVVGMVLGWLVFDVFP >LPERR07G15920.1 pep chromosome:Lperr_V1.4:7:16379617:16384487:-1 gene:LPERR07G15920 transcript:LPERR07G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGIGERLLAVGTNRRAAYFSQPSHRSGYYTAVRDNGLSTQRKIPAVFSRLFSHYKVIVRKNKAGDHKCRNRISRTYRTFSGANSSATHQAQLAWKRLSHTCTYSSPRFPLISRVACALGLSLTRFHVVPGVMALAFGKVALSRPVMADSQYLPTMDGIVTNARDTRQFLSSMVRSIWEGITLLFRAVHLAILFFPATALSPFADSLSVGFRRRWLCLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFSYSKATIEKAFGRKLSEIFETFEENPVASGSIAQVHRATLRDQHPGKHVAVKVRHPGVGESIKRDFLLINLLAKASNVVPGLSWLRLDESVRHFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSHFMDEIEGNTRMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKPKRNFFSRRPKPHIVFLDVGMTAELTRADRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKAFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPLDFFSTLAE >LPERR07G15920.2 pep chromosome:Lperr_V1.4:7:16380395:16384487:-1 gene:LPERR07G15920 transcript:LPERR07G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGIGERLLAVGTNRRAAYFSQPSHRSGYYTAVRDNGLSTQRKIPAVFSRLFSHYKVIVRKNKAGDHKCRNRISRTYRTFSGANSSATHQAQLAWKRLSHTCTYSSPRFPLISRVACALGLSLTRFHVVPGVMALAFGKVALSRPVMADSQYLPTMDGIVTNARDTRQFLSSMVRSIWEGITLLFRAVHLAILFFPATALSPFADSLSVGFRRRWLCLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFSYSKATIEKAFGRKLSEIFETFEENPVASGSIAQVHRATLRDQHPGKHVAVKVRHPGVGESIKRDFLLINLLAKASNVVPGLSWLRLDESVRHFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSHFMDEIEGNTRMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKPKRNFFSRRPKPHIVFLDVGMTAELTRADRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKAFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPLDFFS >LPERR07G15930.1 pep chromosome:Lperr_V1.4:7:16396809:16398146:-1 gene:LPERR07G15930 transcript:LPERR07G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSSLLLKPSAAATSYYPTQADLDTLRALEPLAGYPDLLRLAASTLLPAATTGAAEQAQLLLPWLLQAQMAQQSAAAMAPAPQSAATGGEQFACQMPGLVHASPTAQQQMAQDHVAATCVQHASYDDASHQLDYVSPALMQMASTTTDASNLQQQWSSTVTSSNNYNNNNVNSRVSTPSSSPAAAGRMNSSSTTKTTTYGLNASGDVIVDDAGLLDMHLSELLDVSDYM >LPERR07G15940.1 pep chromosome:Lperr_V1.4:7:16408860:16417408:-1 gene:LPERR07G15940 transcript:LPERR07G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLPPHHRLLLVGGRRRQLLHPPPPRHSRVCVRATLSAEVEVAAAEAYALPFPSEGAAHHGELAAAAAAVERACRLCVDVKRSLLSCETKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSNVLVESISSAVADHVSNTDSPLTHDDVLRAIDKGGKVAASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGCPNWANDTIVDRKDDSFASRYDRGILMISHVGCGTWTRRLSDEFGQFATVQNTWDRCFVDSCSVVHKARYCLSDNQTWNMIPLSIVFNSTTDESKPRNENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGEVKRTLLLGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNADDNGSNVLVESISDAVTDKVSNTDSVSNADDVLRAIDRGGKNAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNEEVVAGVMGCPNWSNDTIDSRKEDSAAAQPDRGILMIAHVCCTSHYLYVAIPDFQFKYGANEQAKSYFNLCKYLTVASGRASVFVLRARTKNLKVITCLQFPSKLNKAVDLSWDHAVGVICVQEAGGKISDWSGKPLDLAADLTGRRDIYPSGGVLITNGALHGKLVEMISANHK >LPERR07G15950.1 pep chromosome:Lperr_V1.4:7:16419583:16420806:-1 gene:LPERR07G15950 transcript:LPERR07G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRVVARFGFGGGKKPAKKPAKSPTTTSDRPLWFPGAVAPDYLDGSLVGDYGFDPWGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >LPERR07G15960.1 pep chromosome:Lperr_V1.4:7:16421996:16425366:-1 gene:LPERR07G15960 transcript:LPERR07G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRRAADCRPSTARSAAGAAVSGGVVLSVRVRRGSRSVVRCVATAGDIPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVDFSSRDGEIEAILKDISERAQGKGNFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETTPKSNEAVTKFDGNPYSMRP >LPERR07G15970.1 pep chromosome:Lperr_V1.4:7:16427442:16433491:1 gene:LPERR07G15970 transcript:LPERR07G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYGAAAAAAGDSGGGKLWNLCRMPFWQPGGSPAQAPPSSSSSAGIHHSAGGRYGHEGAGEGGAQGGAPAGSISSVAKSLLPARRRLRLDPANKLYFPYQPGQQVRSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGSKIVGEGLVIDEWKERRERYLAQQQGEVVDSV >LPERR07G15980.1 pep chromosome:Lperr_V1.4:7:16441924:16442145:1 gene:LPERR07G15980 transcript:LPERR07G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSRVWAAATVAAVRAQRERAPAARERVAGLAPQAATLAAARAAADADGRRQAGADESLRKAMYLSCWGPS >LPERR07G15990.1 pep chromosome:Lperr_V1.4:7:16444497:16445896:-1 gene:LPERR07G15990 transcript:LPERR07G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLSCFGRGNATASAPEPADDPSAAAAATPGPVLLELFCSQGCGASPEADAVAARMAHEDQQRAADAGGSGERGVVVLAFHVDVWDYKGWKDPFASSAWTVRQKAYVEALRLDTLLTPQAVVQGRAHCVGTEQDALASAVRDAPRYPAPAMKVTFQRPNPTTLQATFTGALRSRMEGGNGSVLVALYESGLVTDCGRGENKGKPLLNDHVVRRLEKVAAVRDGASAKKSVSGSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNA >LPERR07G16000.1 pep chromosome:Lperr_V1.4:7:16451044:16453054:-1 gene:LPERR07G16000 transcript:LPERR07G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPSVYRKEQEAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVSGRKWSMFGGGVTFLIGAALNGAANNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDVEEEYSDLVAASEESKLVSHPWKNILQRRYRPQLTMAVAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFTGVAEIPKAYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMRANGKVDPAKVAK >LPERR07G16010.1 pep chromosome:Lperr_V1.4:7:16462275:16464182:-1 gene:LPERR07G16010 transcript:LPERR07G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAQEAERDEQGSVTAALDAITALVSASLAASLFPFKWQLIRERLNRLHAGLAEITGGGERHNAFSELLREVVETGRAASELVPRSQCRHYGGGKLRLRSDLDVVAATLDALVARVDEVHASGELTRARALVVSRPGAGATRDDVRFYVRDLFARLRVGGEMRREAAAALGDALRDGGERCARVVVSDVADGVGVLVWLLECPDGFVQEEAMEAVSVISGFEAFRGDLVVAGVIAPVIRVLDSNGAAAATPAARESAARLLCKLTENSDNAWAVAAHGGVTALLNVCSGAGAGELVCAACRVLRNLAGVDEIRKYMVAEAGAAPVLVSLIRHGGADEAAQIQAMELLAAVAAGDASVLQNGAVEALVGVLDPISPRISKSREVALRAIDALCFTTSPPTPTPAPCSNTIRLLLAAGFLDRVLFFLRNNNNNNNGGDGGGGVTLQHCALKAAHRLCHVSEDTKKAMGDAGFMPELVGILHAAKSLEAREMAAESLCAMVSVHRNRKRFVQDDRHVAQLLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHVRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >LPERR07G16020.1 pep chromosome:Lperr_V1.4:7:16486944:16488220:-1 gene:LPERR07G16020 transcript:LPERR07G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRQAKTKSTLATSLSFPSNSSTRILGRKRVAVSPAPSPSGPHSPVRTLRKQRSIRFHMDDTVSLLESLPQDVLIKVLCKVDYSDLRQLLLVSKQVSEATLVAKEQHFAFATPKKAAVRGDDDEAPWAPKQRRVAGWPICRKDMASVSVNLFDSFMSEV >LPERR07G16030.1 pep chromosome:Lperr_V1.4:7:16494511:16495317:1 gene:LPERR07G16030 transcript:LPERR07G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAAVVAAAASSQPAAANPPLVGRVVIVTGASRGIGRAIAAHLSALGASLVIGYASSAAEADALVASLPRAVAVRADVADEAGVRSLFDAAESAFGAPPHIVVTNAAVLDDKYPSLADTATADFDRVLAVNTRGAFLCLREAANRVPRGGGGRVVAVTSSVVGSHPTGYSVYTASKAAVEAMVRTLAKELKGTRITANCVAPGATATDMFFAGKSEERVESIKATNPMERLGEIDDIAPVVGFLCTDAAEWVNGQVIRVNGGATV >LPERR07G16040.1 pep chromosome:Lperr_V1.4:7:16501665:16503281:1 gene:LPERR07G16040 transcript:LPERR07G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATTTPLLASYKAKPTTKAPSIDDAIETYIGDTGAGQLFKAILLAFAWAFDAQQVFISVFTDAEPHWHCTGYDTSCSPAASTASSPCALPHGAWAWDRPAETSVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLLSLVSMSVAGVLTAFSPNVWAYAALRFVCGFGRSMVGTCALVLSTEIVGKRWRDTVSVAGFACFTVGFLSLPALAYAFRDASWRNMYLYTSLPSLAYSVLLYFLVQESPRWLLVRGRKHDAIQTVRQIASLNGGGITCSFSMLHACATECDDAGGVGGGGVFATLQSMWERRWAMRRLAAIMTASFGVGMVYYGMPLNVGNLGGSNLYLSVTYNALAEFPSSILSWLLMGRINRRSSVIALTALAGVCSLACVVIPEGSAFRMAAEVFSFFATCTAFNIILMYSIELFPTTVRNSAVGMVRQALVLGGVAAPMLVALGRERSFWSFGVFGLAVGCLGLFAACLPETRGRSMSDTMEEEEIKEAAAAVLSSTATSDMDDDNAVLV >LPERR07G16050.1 pep chromosome:Lperr_V1.4:7:16526553:16528094:1 gene:LPERR07G16050 transcript:LPERR07G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMVATPLLTSHDAKTPSIDDAIETYIGATGARQLLRAMLLAVAWAFDGQQVFVSVFTDAEPQWHCTGAAVNCSPCALTPGAWEWDRPAVTSEVSEWALTCGSPALVSLPASSFFAGNLAGGFLLTTLADTLLGRRKMLLLALVTMSVAGVLTAFCPNVWVYAALRFVCGFGRSMVGTSSLVLSTELVGKRWRDTVSVAGFVFFFVGFMSLPALAYALRDASWRYMYLYMSLPSLGYSVLLYFLVQESPRWLLVRGLKQEAIETVRQIASLNGTTTSSFSMLDTCAVEIDAGGEGMFATLWSMWERRWAFRRLAAIMTASFGVGIVYYGMPLNVGNLGGGSNLYLNVAYNAAAELPASIVSWLLMGRTNRRGSLLALTTASGLCSLACVVIPEEGTGTGASAGLRMATEVASFFASCTAYDVILMYSIELFPTSVRNSAVGLVRQAVVPGGVLAPLLVAIGRDRSYLSFGVFGVAVGGLGMLIACLPETRGRRMSDTMEEEERSTPCPVLA >LPERR07G16060.1 pep chromosome:Lperr_V1.4:7:16530567:16530959:1 gene:LPERR07G16060 transcript:LPERR07G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLKGQLAEELAAAAEMSARMADLDQHLDRFERGVDDFGRVMDEMMEEQEELEQLFPELRQRSPWEKAKEAIRLRRRRSGAKVAPATAESEMITLGPALTRLLERSGNTQVLEEIAALNQAIMQSYA >LPERR07G16070.1 pep chromosome:Lperr_V1.4:7:16533410:16534351:1 gene:LPERR07G16070 transcript:LPERR07G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMAPTSRVLAAKTPFLGQGRSPNAASLRDVSASANGRITMSNELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLQKWVRVEFKEPVWFKAGSQIFTEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGYRINGLPGVGDGNELYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFAPGS >LPERR07G16080.1 pep chromosome:Lperr_V1.4:7:16535190:16544042:-1 gene:LPERR07G16080 transcript:LPERR07G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPAAPKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSAKRPSLPSGVKLENGAAKGAVADTLMADKNPGVEKKIGGQEVKEENVVKTRIFGSKRKFSNGEVLEESPYEDKFHDERKEMTFFKEPPSVSSRAKINGKPVFTDTMDYVLQKKLRVWCSSPDATWELGQIQSISGDDVEILLVNGEVLTLSPERLLPANPDILDGVDNLIHMSYLNEPSVLYNLQFRYSRGLIYTKAGPVLIAVNPLKEVPLYGKDFITQYRKKLNDDPHVYAVADLAFHEMLRDGINQSIIISGESGAGKTETAKIAMQYLATLGDACGMESEVLQTNVILEALGNAKTSRNDNSSRFSRVVRRATGERSYHIFYQLCSGAPPLLKKKMFLKEANYYNYLKQSACLRIDGVDDAKRFSMLVDALDIVQVSKDDQMKLFSMLSAVLWLGNISFSVIDSENHVEIVSNEGLATAAKLLGCSAPQLVNAFTARKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWIVEQINHSLGTGRHHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYIEDGIEWADVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQHLRGNSAFRDEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSESIQLLSSCKCELPKYFASVMVADSQNKSSQSAVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVTHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLQSIASQDPLSVSVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENGKNQMLHGALRIQKNFRGLHTRQEYQGLKKGAMTLQSFIRGEKARIHFDHLVKRWRAAVLIQKYARRRLAATMFIDQLKYVVLLQSVMRGCLARNKYKSLKEERDSKEMNGDYPQQPVITELHGRVSKAEASLRDKEEENAMLKQQLEQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTSDDVATRAARTDAAPTNAHYDSEDTSTGTHTPEGTEFKYQSHNPEARVAAQNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGNHEEKSRKRWWGKKSTK >LPERR07G16090.1 pep chromosome:Lperr_V1.4:7:16553366:16556634:1 gene:LPERR07G16090 transcript:LPERR07G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKKGGGGIAAMDTSEGAPAASTQLGAPEPMDTSEGKQASSVSAALTSINKKIKKGVQIKRSQNVRKMKAVARAISKNEKSEEKVLKAKSKKSRVQSSKSLYD >LPERR07G16100.1 pep chromosome:Lperr_V1.4:7:16560835:16567021:1 gene:LPERR07G16100 transcript:LPERR07G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKAQSPSEQEPKAKALSESVRVGGSAAEATLSGGELAWRPSGGGGERRSLELDSEVLGVRVDGRALKVATCARGDEAAAASRPVTCGGGRGGGDGRVREVVVEMESEEAAATWGDAMRDRLAALGRPKRLFIIVNPYGGKRGGRQIFQTKVLPLIEASGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWDTAIKVPLGIIPAGHKRPLDVTSVVQGTTRFFSVLMLTWGLVADIDIESEKYRWMGSARIDFYSLLRVVSLRRYNGRVLFVPAPGYEGFGDPVEQISSCKSYGASTGLQGDRSNDFNDETRAYTGPSLEADLEWRSLDGPFVSVWVSGVTFAGENIMTAPEANFGDGYLDVAIIKDCPRSAVLGLMFQMKDGSYVKSPYVKYFKVKALRIEPGMRVGSSNKGGIIDSDGEVIARGDGSHSGDEIEHLMAYGPPIQLTVDQGLATIFSPR >LPERR07G16110.1 pep chromosome:Lperr_V1.4:7:16576076:16583831:1 gene:LPERR07G16110 transcript:LPERR07G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARKSHNAMAPNHIWSSSMHMAQNVADRKDNFVKTWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAYEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDKPTTPAGMNHIIRDRYANGHTQHSSLDPTYAYTLYHREGPNQSLHDHSHQHHGENDHLSARKGKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANVVPPPPEANSKVSAADSGPSAAVPRPIKVNTEIKISSPVEQATASKIDKGALAQKEGPGTSSSSPGPVKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCADNKWDPERSSCDSAQEINTEELTEMIPIKPGAAKKSKGTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDVGASRRKEVGQSSEPVPQAGSDAPPTNTTSSPPKTDTNSEGPDDMAVDNKRTSSPIKNQIDLNSQPEREDEHSPKSDATRLRDNPT >LPERR07G16110.2 pep chromosome:Lperr_V1.4:7:16576076:16583831:1 gene:LPERR07G16110 transcript:LPERR07G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCARKSHNAMAPNHIWSSSMHMAQNVADRKDNFVKTWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAYEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDKPTTPAGMNHIIRDRYANGHTQHSSLDPTYAYTLYHREGPNQSLHDHSHQHHGENDHLSARKGVTPDPCSSVSTTFKLDSHHPSILKDDSSALPAGLPSNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANVVPPPPEANSKVSAADSGPSAAVPRPIKVNTEIKISSPVEQATASKIDKGALAQKEGPGTSSSSPGPVKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCADNKWDPERSSCDSAQEINTEELTEMIPIKPGAAKKSKGTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDVGASRRKEVGQSSEPVPQAGSDAPPTNTTSSPPKTDTNSEGPDDMAVDNKRTSSPIKNQIDLNSQPEREDEHSPKSDATRLRDNPT >LPERR07G16110.3 pep chromosome:Lperr_V1.4:7:16576076:16583470:1 gene:LPERR07G16110 transcript:LPERR07G16110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCARKSHNAMAPNHIWSSSMHMAQNVADRKDNFVKTWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAYEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDKPTTPAGMNHIIRDRYANGHTQHSSLDPTYAYTLYHREGPNQSLHDHSHQHHGENDHLSARKGVTPDPCSSVSTTFKLDSHHPSILKDDSSALPAGLPSNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANVVPPPPEANSKVSAADSGPSAAVPRPIKVNTEIKISSPVEQATASKIDKGALAQKEGPGTSSSSPGPVKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCADNKWDPERSSCDSAQEINTEELTEMIPIKPDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDVGASRRKEVGQSSEPVPQAGSDAPPTNTTSSPPKTDTNSEGPDDMAVDNKRTSSPIKNQIDLNSQPEREDEHSPKSDATRLRDNPT >LPERR07G16120.1 pep chromosome:Lperr_V1.4:7:16588746:16589857:-1 gene:LPERR07G16120 transcript:LPERR07G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAASVAAAAVIVAFGVRMVAPAAAGFVADELPRAQAAAATWLTPPYLYLVINAIIISIAASSRFQPNRPPATASDASLVRLAPVPIPVPAPVVTMAMEVPVVPVPEVLAPEPIPPEVTVPEVVKRTAPEVVEEEGENFTISRSTWTPRRRSVEVEAETEAFPFADLTNSREKPLVSTRFGRKPVKASPEGTRPLGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRPSGGGISGGEEAPPPAPTMRKAETFNEAAGAGGRKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNDMISRGIHY >LPERR07G16140.1 pep chromosome:Lperr_V1.4:7:16611388:16616196:1 gene:LPERR07G16140 transcript:LPERR07G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKVVTRIVQKLQLQLDGETLAVSAVDAERRRAFFVSSENFLYSVDLPAPTQQPLQWSESTLDSDAEEVVLDPGDYIVAMDYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGRLEGGVNTIASSPDGALISVTTGFGQLLVMTNDWEVLFETSLDPQSDPAGEINNPSGQIQSSISWRGDGKFFAMLGGSDGSSQKLTIWERESGNMHSSSDTKAFTGVSLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSHFAIDEPAEAIIQALKWNCNSELLAALVSCGQYDVIKIWSCNNNHWYLKQELRYTKQEGVRFYWDQTKPMHLTCWTLGGQVITHRFAWTTAVTETSIALVIDGCNILVTPFNLGLMPPPMSLFHLSFPCAVNEVAFLSDNSKNHIAAHLSNGSLCVLVLPLADTWEELEDSGISVEPCFYESPLSNCMHLTWIDTRTLIGICCGSDACSSTPMRFSEASSPPEKHDSPFYINEIELICSEDSLVGSVCSSGSQARISKKMPLQAPVIGISRNPAKGGSAFVQISGGRIVEYCSKMNLVQIGEANPDYAFPTSCPWMTAVLCHENGMIRPLLFGLDDSSKLHMGKRLLSTNCSSFTFYSSAYGATEQVVTHLLVTTKQDLLFIMDINEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMQTTRGNLECTYPRKLVLVSIVQALVQGRFRDAFDMVRRHRIDFNMVVDYCGWKAFVKSAADFVKQVNNLSHITEFVCSIKNENVSNKLYETYISFPDHSASSVADTVNSHGLLSDNKVTSVLMAIRKSLEVQIEESSSRELCILTTLARSEPPLLEEALNRIKVIRERELLGADDARRKLYPSAEESLKHLLWLTDPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKNLECLPPAIMKYTVDLRLGRYESALKHIVFAGNEYHEDCIKLLNANPQLFPLGLQLFTDSDKRHQILEAWGDQLSEEKYYGDAAITYQCCSSYQKSLKAYRACGDWRGVFTVAGLLNLKKEEIVQLAHELCDEFQALGKPGEAAKIALEYCSDVDRGVGYYITAREWEEALRVAYMHNRQDLVDNVKDAALECAALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDNISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRVGSPGEEMALVEHLKGMSLTSGALTELKSLLVVLIQLGKEETARQVQLAGDNFEISQRAAVKLAEDTVSNDRIDENAHTLEHYVKMLRAHQPADTETNCWRIKALSPPLTGVYSSSHE >LPERR07G16150.1 pep chromosome:Lperr_V1.4:7:16625524:16630374:-1 gene:LPERR07G16150 transcript:LPERR07G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNARHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSKPSSGARDEKSSESQASASRGGHNQRSSFEQHRTSPATVSKIAPVASRVPTQAPHQPKAEPSVPKVSLPQPEKSPAKVDATPPKVEKRSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSASGIEDLFKDSPAVTVSSAPAVSQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMNPQQLALLSQQQALLMAALKAGNAPQMIPGNCSLLNGNGSNPANGGLPSQSWPNLGYQNPGLIPAAAQNGVAKVANNNQESSFGNFKFSTPGAYNMNSSIPANGAATANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >LPERR07G16160.1 pep chromosome:Lperr_V1.4:7:16635999:16636925:1 gene:LPERR07G16160 transcript:LPERR07G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVRSEIGAIAKKPMTSPPIDAVGEEILRNILLRLPNMASLVNAALACKRWRRAASDPAILRRFLPLRCPPLAGFILSDRVDSVPYRCPNLYFVPTTTTNARRPNLASAAADCDIFFEDLPEIDAGEAGHGTGCDEWRLRGCDGGRLLLSRGWGGFELAVYDPLARTAVSFSAPRLLRGSFFKVRYAIVVDDADASFRVIGLDGDMHFAVFSSRTGEWALFDLAAELYRFNNSDAMRAGGGRFVYWRSNTKKHMYGDNEERILLLDIATMGWTVAVAPFPAGESYCVADMAEHGGLCLVSSKEQRL >LPERR07G16170.1 pep chromosome:Lperr_V1.4:7:16644628:16644981:-1 gene:LPERR07G16170 transcript:LPERR07G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGPEDGIPAAVHEDAEERGVAVVGVEAAEEGRVGDEAAPLLAGEGGAREGGGLGREADEDLREEVIVLRQEDPRRRRGGSAATPPARAGAGAGAAAPAGHIAVLLLTSLVQIGVD >LPERR07G16180.1 pep chromosome:Lperr_V1.4:7:16654019:16662439:1 gene:LPERR07G16180 transcript:LPERR07G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTIVLLPSWGSGHFMSALEAGKRLVVSGSSAISLTVLVMLAPTETKSSEVDDHVRRESAANPGLDIQFRRLPVVEHPTGCSETAEDFTSRYVQLHAPHVKGAIAALTSPVAAVVIDLFFTPLLDAVAHELSLPSYFYFASTAAFLSLMLRHELTTSSGFADLEGSAVDAVPGLPPLPPSNIPVCLAPRKNYDWFEYHGRRFAEAKGVIVNSSVDLECAVLAAIPPNPPIHPIGPVIWFNNENLPSPPPQQHECVRWLDAQPGGSVVYLCFGSIGYHEASQAREIAAGLERSNHRFLWILRGAPTSGVRYPTDANLGDLLPPGFTESTSGRGMVWPRWAPQKEILAHASIGGFMTHCGWNSALESMWFGVPMAAWPLYGEQHLNAFELVESMGVAIEVKRGRRNNTGSFVEGAEVERVVRRLMMTPGGGEVGRKAREMRDACRKAVEEEGGSSRAAMQRLVREIVGRIE >LPERR07G16180.2 pep chromosome:Lperr_V1.4:7:16654019:16662439:1 gene:LPERR07G16180 transcript:LPERR07G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTIVLLPSWGSGHFMSALEAGKRLVVSGSSAISLTVLVMLAPTETKSSEVDDHVRRESAANPGLDIQFRRLPVVEHPTGCSETAEDFTSRYVQLHAPHVKGAIAALTSPVAAVVIDLFFTPLLDAVAHELSLPSYFYFASTAAFLSLMLRHELTTSSGFADLEGSAVDAVPGLPPLPPSNIPVCLAPRKNYDWFEYHGRRFAEAKGVIVNSSVDLECAVLAAIPPNPPIHPIGPVIWFNNENLPSPPPQQHECVRWLDAQPGGSVVYLCFGSIGYHEASQAREIAAGLERSNHRFLWILRGAPTSGVRYPTDANLGDLLPPGFTESTSGRGMVWPRWAPQKEILAHASIGGFMTHCGWNSALESMWFGVPMAAWPLYGEQHLNAFELVESMGVAIEVKRGRRNNTGSFVEGAEVERVVRRLMMTPGGGEVGRKAREMRDACRKAVEEEGGSSRAAMQRLVREIVGRIE >LPERR07G16180.3 pep chromosome:Lperr_V1.4:7:16655077:16662439:1 gene:LPERR07G16180 transcript:LPERR07G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTIVLLPSWGSGHFMSALEAGKRLVVSGSSAISLTVLVMLAPTETKSSEVDDHVRRESAANPGLDIQFRRLPVVEHPTGCSETAEDFTSRYVQLHAPHVKGAIAALTSPVAAVVIDLFFTPLLDAVAHELSLPSYFYFASTAAFLSLMLRHELTTSSGFADLEGSAVDAVPGLPPLPPSNIPVCLAPRKNYDWFEYHGRRFAEAKGVIVNSSVDLECAVLAAIPPNPPIHPIGPVIWFNNENLPSPPPQQHECVRWLDAQPGGSVVYLCFGSIGYHEASQAREIAAGLERSNHRFLWILRGAPTSGVRYPTDANLGDLLPPGFTESTSGRGMVWPRWAPQKEILAHASIGGFMTHCGWNSALESMWFGVPMAAWPLYGEQHLNAFELVESMGVAIEVKRGRRNNTGSFVEGAEVERVVRRLMMTPGGGEVGRKAREMRDACRKAVEEEGGSSRAAMQRLVREIVGRIE >LPERR07G16180.4 pep chromosome:Lperr_V1.4:7:16654019:16655160:1 gene:LPERR07G16180 transcript:LPERR07G16180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHRRRAGDRSGDGGSSASIPKHLANQNQVLKWLQDFSDKVEERAKGAATELNGLLDEAGALDLDMKTAMISFDNLTRQSADCCKCDFNCRKFQMKIVCI >LPERR07G16190.1 pep chromosome:Lperr_V1.4:7:16661785:16662015:-1 gene:LPERR07G16190 transcript:LPERR07G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYTS >LPERR07G16200.1 pep chromosome:Lperr_V1.4:7:16667014:16667791:1 gene:LPERR07G16200 transcript:LPERR07G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGPDTPGGSCGRMVQLKDLVPAATNNVNTTFIVLDKAARAPPHGRADVAREETCLALVADQTAAAHFLLWGAECDAFEPGDIVRLTDGIFSYHRANSLVLRAGRRGRTEKVGEFTMLFVEAPNMSEVKWARYPGDPKRMVPEEAAGSPHSQVFKPLQ >LPERR07G16210.1 pep chromosome:Lperr_V1.4:7:16669790:16672327:1 gene:LPERR07G16210 transcript:LPERR07G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQSLSRIASEGAAAATPAASALRNAAALGHHRASPSPSAFHSLAFAGLADKYAAATATATGLLQQSRAITTTPPTLRPAAATAAECSDADDSSSPSATAMAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCSGIDTRRHEVYCTVAECDGLPSKPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATNHLSKYGVNLVRGVVKEVKPTEIELSDGSRVPYGLLVWSTGVGPSQFVKSLPLPKSPGGRIGVDEYLRVPSVDDVFALGDCAGFLEETGRSVLPALAQVAEREGRYLARVMGRIAAQDGGKAHCAGRADLGEPFVYKHIGSMASVGRYKALVDLRENKDAKGVSMAGFVSWVMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >LPERR07G16220.1 pep chromosome:Lperr_V1.4:7:16672944:16676971:-1 gene:LPERR07G16220 transcript:LPERR07G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDANPFEEEDEQGRGKAGGQPSYGGGAFYMPNPRNVPSASNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKRERELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLICCLFWNIIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISRNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRVIH >LPERR07G16220.2 pep chromosome:Lperr_V1.4:7:16673188:16676971:-1 gene:LPERR07G16220 transcript:LPERR07G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDANPFEEEDEQGRGKAGGQPSYGGGAFYMPNPRNVPSASNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKRERELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLICCLFWNIIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISRNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >LPERR07G16230.1 pep chromosome:Lperr_V1.4:7:16681071:16694432:-1 gene:LPERR07G16230 transcript:LPERR07G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGAAAAAGQEEGGGDAATPVAITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSSAVKEEPSSSTEESENGEVSTDNQADEKITLGAALSMNRASEPSPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQSADTNTAVSSQTVSVKGSSLQCLVSILKSLVDWEQARRDTSKQGSVTEAHEDDSTARSLTTDEIKSQEDGRNQFERAKAHKSTMEAAISEFNRKPAKGIEYLLSNKLIENNATSVAHFLKSNSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEECAPKELLEEIYDSIVKEEIKMKDDFPDAAKTSKPRRETEERGLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVVPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDIREGGASISHNGHQETDAQTSLDNLEGLPSPSGRTQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIAPPSPVKAPDADGAEKTEEEEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILHKSTVEHDGNEPSEDTNGHVIESDEHEKLKNLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >LPERR07G16240.1 pep chromosome:Lperr_V1.4:7:16699481:16705367:-1 gene:LPERR07G16240 transcript:LPERR07G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQHKQTTLEAKSRPFDTITVSDKVPAKVPKRAATRVGFLPHGIVEPYSDMELKPLWLTRSAQSKESRKKDRYLLAIPAGVDQKKSVDAIIKKFLPENFTVMLFHYDGNVDGWNDLPWSNSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSKSAWQCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGSSAKRTHGKNIDPLHQKTAEVQQQMRVRTGLDMRTKVRRNSRLELRDFQKRWERATRDDRSWVDPFARPRRKRKRNDNRL >LPERR07G16250.1 pep chromosome:Lperr_V1.4:7:16707666:16710606:-1 gene:LPERR07G16250 transcript:LPERR07G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVEEAEEEAEARGCCRGGGGGGGGVVGGWGTWEELILGSAVLRHGGFAWGAVADELRSRSPCQFSPEECEAKFSEIRARYSACDAWFEELRKRRVAELRSDLKKSESFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCAHNENAANTNSSSKELSKDRSSAASFTEEASNSQKSEKVQNHDTDSIQVNITLAEALVKPLAEKKVCAEDGMLWGSRKKRGVRDRKIFLMADNSCKTGEDTSTSYIQRDGSSEGCRKKGLKTPNVESDVSVVEEAKPNLAEILKTIATQSDCYMLQRRLDIQIKTGTTSSTNELLRDILLFVNNVLAFYPKATLEHMAAIELRNIAFRAVQQSSSMPTKSCGVTGTASTPLVKKNSRPLQPGSHGPSDAKISKVPSKETGRTVKQGEAKGSRGDSSVTADVKTTQRSPPAKRGVGRPPKNGQKRAAAQEDSPNKGRKRARR >LPERR07G16260.1 pep chromosome:Lperr_V1.4:7:16718111:16723433:-1 gene:LPERR07G16260 transcript:LPERR07G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSRSFRPPPMIASAAVLLLLSAAVLPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCTEGWQGVECVASNITSITLNGANLGGQLGNTLGNFTSLITLDLSSNNIGGTIPDNLPITLQRFFLSGNQLSGGIPNTLSTLTLLTGLSLNNNHLDGEIPDAFSTLTGLANLHIQNNQIIGTLNASCYFINENLFLLYSCLAFCGDWFNRNIENNLFSGPIPVKVSNIPNFKKDGNPFNTSIVPSALPPAAPTPLPSVSPPIGHVPTKEPSNSSNAPEGNTPSRKNTVSAVKFVGYILVGVVSAVVIVLMVMFCLSKYKERKSRDDVYTKNQLRRSPQKLGEPKIKEVSDIKEPPAKVKNNAGKAASDVVYNAREERKPGTSMSDAPRVVTMKQKEHVIDMEKTDKFVEEPLHPPQPAVLRTEKVTVNPSVRTRKGRVPSVGKLNLTTTAKSFSIASLQQYTNSFSEENLIRDSRFGKLLEVLKIDAVNSIVPVDAFLELVVKISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDDCQPPVVHQNFEPSIVLLNSTLVVHISECGLAALSSKSVSQLSGRMCTLFHYEAPEVHESGSLSDRSDVYSFGVVMLELLTGRKPYDSQHPRAEQHLVQWATSQLYDIDAISNMVDPSIRGQCSEKSLSRFADIISSCIQHEPEFRPPMSEVVQDLTRMVSDATKASM >LPERR07G16260.2 pep chromosome:Lperr_V1.4:7:16718111:16723433:-1 gene:LPERR07G16260 transcript:LPERR07G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSRSFRPPPMIASAAVLLLLSAAVLPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCTEGWQGVECVASNITSITLNGANLGGQLGNTLGNFTSLITLDLSSNNIGGTIPDNLPITLQRFFLSGNQLSGGIPNTLSTLTLLTGLSLNNNHLDGEIPDAFSTLTGLANLHIQNNQIIGTLNVLQDLPLQDLKDGNPFNTSIVPSALPPAAPTPLPSVSPPIGHVPTKEPSNSSNAPEGNTPSRKNTVSAVKFVGYILVGVVSAVVIVLMVMFCLSKYKERKSRDDVYTKNQLRRSPQKLGEPKIKEVSDIKEPPAKVKNNAGKAASDVVYNAREERKPGTSMSDAPRVVTMKQKEHVIDMEKTDKFVEEPLHPPQPAVLRTEKVTVNPSVRTRKGRVPSVGKLNLTTTAKSFSIASLQQYTNSFSEENLIRDSRFGKLLEVLKIDAVNSIVPVDAFLELVVKISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDDCQPPVVHQNFEPSIVLLNSTLVVHISECGLAALSSKSVSQLSGRMCTLFHYEAPEVHESGSLSDRSDVYSFGVVMLELLTGRKPYDSQHPRAEQHLVQWATSQLYDIDAISNMVDPSIRGQCSEKSLSRFADIISSCIQHEPEFRPPMSEVVQDLTRMVSDATKASM >LPERR07G16270.1 pep chromosome:Lperr_V1.4:7:16726663:16730588:-1 gene:LPERR07G16270 transcript:LPERR07G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAMAPAAFAVGGSAAAALAAQEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRRPHRGPVGMGAPPPSPRGILFRLRLLLLHRRGGGGGEAAFPGGGSDLEAAGKLDGRASPEIPVYAKGVSVLMPGHDVPTFIAHPAPAPCPPERIQWPSHRPTPFAGSSSNPN >LPERR07G16280.1 pep chromosome:Lperr_V1.4:7:16740183:16745453:1 gene:LPERR07G16280 transcript:LPERR07G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVLAGALPVNPHPPAAGISCGGISCSSYHRRAAAADHPSCSAEVSSARWFASLLIQMASDLQRSHSSCVVYLEGGVVERLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKENGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYTEYPRLTGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVVDGYSAPLTAGNFAKLVLDGAYNGVTLKCASQAIIADNETGKNEYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPLSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKIVQGRERLVLPTAAPAVS >LPERR07G16280.2 pep chromosome:Lperr_V1.4:7:16740183:16745453:1 gene:LPERR07G16280 transcript:LPERR07G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVLAGALPVNPHPPAAGISCGGISCSSYHRRAAAADHPSCSAEEGGVVERLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKENGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYTEYPRLTGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVVDGYSAPLTAGNFAKLVLDGAYNGVTLKCASQAIIADNETGKNEYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPLSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKIVQGRERLVLPTAAPAVS >LPERR07G16280.3 pep chromosome:Lperr_V1.4:7:16740183:16745453:1 gene:LPERR07G16280 transcript:LPERR07G16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVLAGALPVNPHPPAAGISCGGWRRRRIGIHRGGIRGRISCSSYHRRAAAADHPSCSAEEGGVVERLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKENGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYTEYPRLTGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVVDGYSAPLTAGNFAKLVLDGAYNGVTLKCASQAIIADNETGKNEYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPLSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKIVQGRERLVLPTAAPAVS >LPERR07G16290.1 pep chromosome:Lperr_V1.4:7:16744605:16745645:-1 gene:LPERR07G16290 transcript:LPERR07G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGERQHEDAQAAPLNFWGEHPATEAEYYAAHGAEGESSYFTAPGSGRRLFTRAWRPRGGGAAPRALVFMVHGYGNDISWTFQSTATFLAGSGFACYAADLPGHGRSHGLRAFVPDLDSAIADLLAFFRSVRRRVEEEKGHPSLPCFLFGESMGGAICLLIHLRTTTQPEDSWAGAVLVAPMCKISDRIRPPWPLPQILTFVARFAPTLPIVPTADLIEKSVKVPAKRVVAARNPVRYSGRPRLGTVVELLRATDELGGRLDEVTVPFLVVHGSADEVTDPAVSQALYDAAASEDKTIRIYDGMLHSLLFGEPDENIQRVRADILAWLNQRCNSTRGSSSLRIQD >LPERR07G16300.1 pep chromosome:Lperr_V1.4:7:16747810:16748148:-1 gene:LPERR07G16300 transcript:LPERR07G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSALKALLVLAALVAAVSARSVPADEKKTAAPADQDVKQTETFHEGTVLIPGMGRFELGSHYVPDITGFDHSVPAAESGQFIPGADDTWVPNPGFEVPNPFHPGSASP >LPERR07G16310.1 pep chromosome:Lperr_V1.4:7:16756972:16761022:-1 gene:LPERR07G16310 transcript:LPERR07G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAARGGTTGEAGGGGGGDDWKRRRRRWKAPREDQLGMVPGRIFSNDGRSRTAVVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDALPLRLMSAARAGGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVPGSTQEKTLAGADARIAGVSSDQNWSGLDGVTRVNSLVQLPRFSEERAVG >LPERR07G16320.1 pep chromosome:Lperr_V1.4:7:16776231:16777853:-1 gene:LPERR07G16320 transcript:LPERR07G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRAVLRRQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKASFGEQEWYFFSPRDRKYLNGARPNRAATSGYWKATGTDKPIMASGSSRERVGVKKALVFYRGKPPKGIKTNWIMHEYRLTDTSSSANAAVATTRRPPPPPSIAGGSRGAVSLRLDDWVLCRIYKKTNKAGSAGQRSMECDDSVDDALTAYAPSHAATAAGMAGSGAAPAAHGGDYSSLLHHHDGHEDSFLINGLLTSDEAAGLTTGASSLSQLAAAARATTMTTQCSEATKELLAPSSATPFNWFEAFLPRANRRSRDIVGDMSLSSTAGERNDDLAEAATVAIDAGGGNGGNAMPSFINPLAVQGATYQQHHAIMGASLSSDSTAAAAAACNFQHPYQLSRVNWDP >LPERR07G16330.1 pep chromosome:Lperr_V1.4:7:16787357:16788167:-1 gene:LPERR07G16330 transcript:LPERR07G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGLSRRLRSAAIASRLNASAEATKKIKAPRRVDYYDDDNDAAAAQPRYDVFINHRGVDTKRTVARLLYDRLLLDGGDDVRIFLDNMSMKPGDKLEERISAGIQECSVAVAIFSRHYCDSEYCLRELAMLVESRKKIIPIFYDIKPSDLVLPQAVVESVAHHPRDIERFKFALREAKNTVGLTYDPATGDLAELVSLAANAVLENIDKLEVTQGRQMIMSRL >LPERR07G16340.1 pep chromosome:Lperr_V1.4:7:16791094:16796061:1 gene:LPERR07G16340 transcript:LPERR07G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRLPRKPRPSPILVPPPPPSPPPPPRLLAFLLLPRSLLARAMPPRRPSPLLLLLLALALASLFLLLSPSAPSASHLSSSLAAAVSTPASASPPAPVKIYMYELPVRFTYGVVRSYMAARAATAGSAAAVPDEELRYPGHQHSAEWWLFRDLRRRGPRDRPVARVDDPADADLFYVPFFSSLSLVVNPIRPNASGGAAAEPAYSDESTQEELLEWLERQPYWRRHRGRDHVFICQDPNALYRVVDRISNAVLLVSDFGRLRSEQASLVKDVILPYSHRINSFRGDLGVDSRPSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGAQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYVELPFEDVIDYSNISIFVETSKAVQPGFLTSVLRGISSERILEYQREIKKVKHYFEYEDPNGPVNEIWRQVSLKAPLIKLLINRDKRIVERDTNGTDCSCICSTPKQLLSK >LPERR07G16350.1 pep chromosome:Lperr_V1.4:7:16802760:16812778:1 gene:LPERR07G16350 transcript:LPERR07G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRQANKMALPVGAGMDMAAAAVWSTIAVLLTTAMMVVQIMVKRNDGVAAAKPSLPPVVSITSLIIPVITRGPRAVVDELYRKLGSVFTISFLGMKKMTFLIGPEVLRDFYTRPDTEVHHDAVYQMTVPIFGKGVMYDVDINTRAEQIAFCVEALRPTKLRSNAVTMVRETQVRETMFNDCVRTFHELMDNSMHVISACFPNLPTPRHRRRNMASARLKKLFARAIELRRASGRAEDDVLQRFLESKYRDGRPMSDNEITGMLIALVVGGQHTSYSSLTWTGAFLLTSPKHMPAVVDEQKRLIARHGAEHIDYAVLTEMETLHYCIKETLRMHPPVPMVFRTVRKGFALRTKEGKEYEVPAGHSITCYTGFNHRLSHVYRDPDEYDPERFGPERKEDKAAGKFSFTAFGGGRHACAGEAYGYLQMKAIWSHLLRNFDLELVSPFPEAELNSMTPGPKGKVMVAYKRRKLTAST >LPERR07G16350.2 pep chromosome:Lperr_V1.4:7:16799911:16803406:1 gene:LPERR07G16350 transcript:LPERR07G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFNSSQAGSLLLQALPVRVGMDMTAAAVWSAIAVLLTTVVVYKMVMPATTTKKNNAGDDAVKKPPRPPSVSAASLLIPAITKGPKAVIGELYAKLGSVFTVSFFGMKKVTFLVGPEVLGDFFSRLESEVHQGDTYKMTVPMFGKGVMYDVDVATRSEQIAVTFEALRPTKLRTNAVSMVRETEEYFAKWGEEGTVDLKFELDVLVLTISGRILLGKEVRETMFDDFVKSFHDLMDNSMHVISLYFPNIPVPWHRRRNIASARLKELFARAIDLRRASGRVEDDLLQRFLESKYRDGRPMSDNEITGMLIALVVGGQHTSYSTLTWTGAFLLTNPKHMSAVVDEQKRLIARHGAEHIDYAVLAEMETLHYCIKEALRMHPPVPVVIRSVRKGFVVRTREGKEYDVPKGHGLMCYTGFNHRLSHIYREPDVYDPERFGAERKEDKVAGKFSFTAFGGGRHACLGESYAFLQMKAVWSHLLRNFELELLSPFPKLEVNNLLPGPGGKVMVRYKRRKLTATT >LPERR07G16350.3 pep chromosome:Lperr_V1.4:7:16802760:16811619:1 gene:LPERR07G16350 transcript:LPERR07G16350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRQANKMALPVGAGMDMAAAAVWSTIAVLLTTAMMVVQIMVKRNDGVAAAKPSLPPVVSITSLIIPVITRGPRAVVDELYRKLGSVFTISFLGMKKMTFLIGPEVLRDFYTRPDTEVHHDAVYQMTVPIFGKGVMYDVDINTRAEQIAFCVEALRPTKLRSNAVTMVRETQHN >LPERR07G16360.1 pep chromosome:Lperr_V1.4:7:16813596:16818470:-1 gene:LPERR07G16360 transcript:LPERR07G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSHSHAAARRRSGLGAQLCAVVAALLLLVSLAVLHSRLSSSTSRFPLSSSSKSAASNSSALLEDEDDGGGAVLDPTVTITATTTTTDVAGGDSAAVSNHEDDPIDELDVLDEDSASGVGAADEVPAAPSASSLVWDHAAGVARLPFRLPAAGDPLPAGLPHLEPAHRIADAVFGSDDEPVDLELRVEISSIAGVEDALLLKPASSVAETRLRAGWARWLEGKADYLRRDRMLRSNLDFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSESKEIGVDEKVQQRRWGYYPGIDPHLGFSEFMEKFFEHGKCSMRVFMVWNSPQWAFGVRHQRGLESLLRQHPDACVVMLSETLELEFFQEFVKEGYKVAVTLPDLDEVLEGSVTHEFVSVWNEWRKTKHYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALRNSIGVVKEVSGYSNFSGAVLAFEKHSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSGKADENSGHLDIKFEPSIVFYPISSTDISRYFSEAGSSDERAQHDALFSRIVNDSTTFHLWNSITSSLIPEPNSLVERILNRYCLHCIDVL >LPERR07G16370.1 pep chromosome:Lperr_V1.4:7:16819431:16820520:-1 gene:LPERR07G16370 transcript:LPERR07G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPLASRPPRPHHNAVAAHRAPGRTTACCVSLKHVPSSFGSLAVAASAAAERAAAPLLAAAALLVAAASPCFPAAIPPAFAQSEGAALFRKACIGCHDMGGNILQPGATLFMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLAEDDIKLLASFVKSQAENGWPKIDGDGD >LPERR07G16380.1 pep chromosome:Lperr_V1.4:7:16821401:16823480:-1 gene:LPERR07G16380 transcript:LPERR07G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRRPRHILSSPSTVALLLPQTSGQHPDGNNAGLGKKKSTVICMIQITLTAGLYSSRPAGAFRACDLAV >LPERR07G16390.1 pep chromosome:Lperr_V1.4:7:16826238:16828628:1 gene:LPERR07G16390 transcript:LPERR07G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVCRWWRYVARRHPPLTLPWVLTPAATLVLNKTAVYCVVCDNYHPAFAFRTTPSAPASAASSGGVGSPPPRSPTRPTLFNLCTGDRFVLPLSLCGGAGFCQRMERVQYKYIGPIHAVILSAVPPLPQFYKKQSFYAAAILGREPNIAFWSPGMSHWMPPIHKMNKEEEDWYRMVPEDPIEDVTYCAGGNIPVGDSFYVLTNKEVLVVYTPNTDDDDDDLTMSSVECYCEDEQPTMAGPDYVLARYLVQSCGELFMFIRGVSTKDGRTAWFNILRLEQQSSGSWSWKPLIVPFSDLSGQKIFLSRGCSFAVADSWSPCPPYIIVRDAAPTFHADGSNPFPWGCCCSIDVQHQRSFPQWLHQSHWGPGWLVGGGGIEPPRNAGWSDPDEIWDRVTTQDC >LPERR07G16390.2 pep chromosome:Lperr_V1.4:7:16826238:16828453:1 gene:LPERR07G16390 transcript:LPERR07G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVCRWWRYVARRHPPLTLPWVLTPAATLVLNKTAVYCVVCDNYHPAFAFRTTPSAPASAASSGGVGSPPPRSPTRPTLFNLCTGDRFVLPLSLCGGAGFCQRMERVQYKYIGPIHAVILSAVPPLPQFYKKQSFYAAAILGREPNIAFWSPGMSHWMPPIHKMNKEEEDWYRMVPEDPIEDVTYCAGGNIPVGDSFYVLTNKEVLVVYTPNTDDDDDDLTMSSVECYCEDEQPTMAGPDYVLARYLVQSCGELFMFIRGVSTKDGRTAWFNILRLEQQSSGSWSWKPLIVPFSDLSGQKIFLSRGCSFAVADSWSPCPPYIIVRDAAPTFHADGSNPFPWGCCCSIDVQHQRSFPQWLHQSHWGPGWAT >LPERR07G16390.3 pep chromosome:Lperr_V1.4:7:16826238:16830086:1 gene:LPERR07G16390 transcript:LPERR07G16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVCRWWRYVARRHPPLTLPWVLTPAATLVLNKTAVYCVVCDNYHPAFAFRTTPSAPASAASSGGVGSPPPRSPTRPTLFNLCTGDRFVLPLSLCGGAGFCQRMERVQYKYIGPIHAVILSAVPPLPQFYKKQSFYAAAILGREPNIAFWSPGMSHWMPPIHKMNKEEEDWYRMVPEDPIEDVTYCAGGNIPVGDSFYVLTNKEVLVVYTPNTDDDDDDLTMSSVECYCEDEQPTMAGPDYVLARYLVQSCGELFMFIRGVSTKDGRTAWFNILRLEQQSSGSWSWKPLIVPFSDLSGQKIFLSRGCSFAVADSWSPCPPYIIVRDAAPTFHADGSNPFPWGCCCSIDVQHQRSFPQWLHQSHWGPGWCVWMEGFGFGNPIIRGV >LPERR07G16400.1 pep chromosome:Lperr_V1.4:7:16829613:16829918:-1 gene:LPERR07G16400 transcript:LPERR07G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGGGRSESAAVPVPGPCASVQRALAECHRRASLGPLKPEVLCRHLNRSLAECLVTSCCPGETEAVRTLCGSAGTALKRSQCQRARIDLSLCLEAHQEP >LPERR07G16410.1 pep chromosome:Lperr_V1.4:7:16833967:16835313:1 gene:LPERR07G16410 transcript:LPERR07G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVLSRALAADAMKSKRAAADEEEEEEGGERARGKRLAAEGIKAGDHQEEDAAAETRGLRLLSLLLRCAEAVAMDQLPQARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISCAFQAYNALSPLVKFSHFTANQAILQAVDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSVRLTGLGASLDVLQATGRRLADFAASLGLPFEFRPIEGKIGHVADAAALLGDGGEEEEEATVVHWMHHCLYDVTGSDAGAVRLLRSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAAEERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVTLAGSPAAQARLLLGMYPWKGYTLVEEDGFLKLGWKDLSLLTASSWEPTDADDIAVAGDDRHESHDS >LPERR07G16420.1 pep chromosome:Lperr_V1.4:7:16836802:16841232:-1 gene:LPERR07G16420 transcript:LPERR07G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRHPFGDLTNVLGKRPTPLDLEKSTGGMKIARVEKAAEPIKEFDEAANASGGAAQNMLSPFDGIAREFRKIQHMAAEAAGLLSKESDGVRNCATPLGSSGFHDKGQYSSLESEGGCEEEDDMDSEFLAYTRESSKMATNDAAFMWTDLNYQDSKGRLAALKKSIKFARSLGVRSQGNEYVGNAGRCNLKRAAEMEFELYQQQRSLFLHTENILIRESAQLHSSLVKLKELRENCKTDLEMVTGSSGAK >LPERR07G16430.1 pep chromosome:Lperr_V1.4:7:16843928:16847742:1 gene:LPERR07G16430 transcript:LPERR07G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPAPVTAYPPPQEGYTATATPQPPMGQAYMAPAQGNYAPPPPPGYPVYFDVGMNPAQPAQTQSRGDKAFLEGCCAALCCCCLLDMCF >LPERR07G16440.1 pep chromosome:Lperr_V1.4:7:16845820:16850388:-1 gene:LPERR07G16440 transcript:LPERR07G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKSCKDQHVEEKDLQTELNEILSCDTQDSKATFLDKLDILLAEHKTEEALLTLENEEKKYMASDDSGKELDSEISAYKTALSKRKSVLEDQLVRYAEQPALSVTELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKHVEAFLPTCSIYTETYSATLSKLVFSAILKVHEESSTMFGDSPMNLNRIIQWAEYEIEAFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLLVLLHPYVQEVLDLNFRRLRRKIVDSAKNDDILLPSPQEGSRLSSAVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKFVQLFDKYVETLIEVLPGASEDDNLVESKEPIEYKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQNEKKRIGGSGDGLGSGSIYSVEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWESDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDESIKLRPSGLQQLILDMHFIVEIAVCGRYPHRPVQQLVSVIITRAIAAFSARHVDPQSALPEDEWFLDMAKVAINKQLGTSGSESELEEPVAVHDEISDSEESSISAPSEIGSEESFASANNDDLESPVYFTDPEA >LPERR07G16450.1 pep chromosome:Lperr_V1.4:7:16855511:16860826:1 gene:LPERR07G16450 transcript:LPERR07G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNFSSKKLQGPIPVAIGNLTELDEIDLQDNNFTGSIPESFSNLTHLLKLSVNCNPFLGNQLPDGLSISVKFSYGGCDYHSPPGVASQRISVIGGVAGGSLACTFALGFFFVCFNKREKNSQKTDCSSTRNPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPR >LPERR07G16450.2 pep chromosome:Lperr_V1.4:7:16855511:16860733:1 gene:LPERR07G16450 transcript:LPERR07G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNFSSKKLQGPIPVAIGNLTELDEIDLQDNNFTGSIPESFSNLTHLLKLSVNCNPFLGNQLPDGLSISVKFSYGGCDYHSPPGVASQRISVIGGVAGGSLACTFALGFFFVCFNKREKNSQKTDCSSTRNPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPRSFES >LPERR07G16450.3 pep chromosome:Lperr_V1.4:7:16855511:16860826:1 gene:LPERR07G16450 transcript:LPERR07G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNFSSKKLQGPIPVAIGNLTELDEIDLQDNNFTGSIPESFSNLTHLLKLSVNCNPFLGNQLPDGLSISVKFSYGGCDYHSPPGVASQRISVIGGVAGGSLACTFALGFFFVCFNKREKNSQKTDCSSTRNPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPR >LPERR07G16450.4 pep chromosome:Lperr_V1.4:7:16855511:16860826:1 gene:LPERR07G16450 transcript:LPERR07G16450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNCKGRFLWRLDNNFTGSIPESFSNLTHLLKLSVNCNPFLGNQLPDGLSISVKFSYGGCDYHSPPGVASQRISVIGGVAGGSLACTFALGFFFVCFNKREKNSQKTDCSSTRNPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPR >LPERR07G16450.5 pep chromosome:Lperr_V1.4:7:16855511:16860826:1 gene:LPERR07G16450 transcript:LPERR07G16450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNFSSKKLQGPIPVAIGNLTELDEIDLQDNNFTDPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPR >LPERR07G16450.6 pep chromosome:Lperr_V1.4:7:16855511:16860826:1 gene:LPERR07G16450 transcript:LPERR07G16450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLPLFPLLVLSAAAAAALSQVDVGRQLKEELWERNQGNEMLVSWRGGDPCSPSPWEGFSCRWKDGDLGVVKLNFSSKKLQGPIPVAIGNLTELDEIDLQDNNFTDPVFEECGAHKATNPAVQQLSLKSIQNATCNFKTLIGAGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHENLVSLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLAHLHSSTGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYTTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRNEWGLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFPSFDATKGHLQTGPSLPR >LPERR07G16460.1 pep chromosome:Lperr_V1.4:7:16861738:16866721:-1 gene:LPERR07G16460 transcript:LPERR07G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDEVKNDEEYQMDLAEIKDSEEHAVEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEVPNLATEEERVKLRSCPVDDNIFFGFDYPVSVGLAIPVTWSQNTNNHVKTEQTRFSGHGSWSSQDECNGHIIENIPRNFLGGTIECDEQDEKSLKSAGQDIHCLQNRKADDYIPVPGMVRHLWTDEEAQTFLLGLYIFGKNLVQVTKFMQCKTMGEVLSYYYGEFFRSDAYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRILAGIAREAQDPLLEVFKTFNEGTSTFEEFILSLRSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHGISNRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPKLLEFGVESGNGEGGLKIENGWIHDSELDKSTVTDKKSSCFTRPSESGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPADASHGYMSSPHSEDSGSVSSEEQSDSEDSSQSYEHVNTKQNTTDAKYVNEKKCKPPAGDRMDVDVLPKNSSFSGTLTSTNGHMSIDQGFSIMNNVFSSTATVLPVGTQRVHATNSSTEINFQFDRRVTPEPQILSASSVSKRRRLVSCKNERTVRRNTAVNRRQHGKQVGTTLQHDVSGANEASIGAKPFIWGSIPKSSTTINFDMGNTNLSHRQLYNVPPTDEKIVYKEKSQDKHVIDLNIPQVPSDYESAVTYVVPSSDKNTQTMGRPIYSSETNEMDDCLPDINTSCNELLSEQLSSSSRRQSTRSRPPTTRALEALACGFIGTKQKGAEAIFPCSSRSSRPVRRPRRLADAAVPFPSDGEGSSSHFTDPAVIVDEWHISNPQYQVLDSIPADKSTDKATHELFGGDQSSDKGTRELFGADKSTDKGTHELFGIP >LPERR07G16460.2 pep chromosome:Lperr_V1.4:7:16861740:16866721:-1 gene:LPERR07G16460 transcript:LPERR07G16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDEVKNDEEYQMDLAEIKDSEEHAVEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEVPNLATEEERVKLRSCPVDDNIFFGFDYPVSVGLAIPVTWSQNTNNHVKTEQTRFSGHGSWSSQDECNGHIIENIPRNFLGGTIECDEQDEKSLKSAGQDIHCLQNRKADDYIPVPGMVRHLWTDEEAQTFLLGLYIFGKNLVQVTKFMQCKTMGEVLSYYYGEFFRSDAYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRILAGIAREAQDPLLEVFKTFNEGTSTFEEFILSLRSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHGISNRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPKLLEFGVESGNGEGGLKIENGWIHDSELDKSTVTDKKSSCFTRPSESGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPADASHGYMSSPHSEDSGSVSSEEQSDSEDSSQSYEHVNTKQNTTDAKYVNEKKCKPPAGDRMDVDVLPKNSSFSGTLTSTNGHMSIDQGFSIMNNVFSSTATVLPVGTQRVHATNSSTEINFQFDRRVTPEPQILSASSVSKRRRLVSCKNERTVRRNTAVNRRQHGKQVGTTLQHDVSGANEASIGAKPFIWGSIPKSSTTINFDMGNTNLSHRQLYNVPPTDEKIVYKEKSQDKHVIDLNIPQVPSDYESAVTYVVPSSDKNTQTMGRPIYSSETNEMDDCLPDINTSCNELLSEQLSSSSRRQSTRSRPPTTRALEALACGFIGTKQKGAEAIFPCSSRSSRPVRRPRRLADAAVPFPSDGEGSSSHFTDPAVIVDEWHISNPQYQVLDSIPADKSTDKATHELFGGDQSSDKGTRELFGADKSTDKGTHELFGIP >LPERR07G16470.1 pep chromosome:Lperr_V1.4:7:16869942:16873392:1 gene:LPERR07G16470 transcript:LPERR07G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPPQQPPLAGGRRDMLAALLEFAAADDVDGMKAALAEGGEEAAELADGVGLWYGRSKAYEPRTPLMVAATYGSAAVVALLLGLGCVDVNRRPGVDGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFAADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNNGVGLLSPRASASIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLDWESQYLGALCLPPSSRPQPRLSTGLSIRPTIASSSIEDMFASDMSMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSALAHSPFGGMSPRSPRTMEPTSPLSARLGATATQREMFEQLASLNKHQLPSVGSPRNSTASWGAVGSPMGKVDWGVDSDELVRLRRPAQPGLGEEETDMSWGQSLVNHAELNGKRGEIGMAGASALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >LPERR07G16480.1 pep chromosome:Lperr_V1.4:7:16873859:16879800:-1 gene:LPERR07G16480 transcript:LPERR07G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKDRWLLYWAAYGSFSIAEVFADQILSSVPLYYHVKFAILVWLQFPSNGGAKHVYRNYVRPFFLKHQAKIDRILNILSKELNKFMSSHEDEIHFIENMAARGASTEGVTARIEGPNATTTEEAGTPPTNES >LPERR07G16480.2 pep chromosome:Lperr_V1.4:7:16874410:16879800:-1 gene:LPERR07G16480 transcript:LPERR07G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKDRWLLYWAAYGSFSIAEVFADQILSSVPLYYHVKFAILVWLQFPSNGGAKHVYRNYVRPFFLKHQAKIDRILNILSKELNKFMSSHEDEIHFIENMAARGASTGTEFEAD >LPERR07G16490.1 pep chromosome:Lperr_V1.4:7:16880852:16883321:-1 gene:LPERR07G16490 transcript:LPERR07G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFRRDDSIKGIPSLSTRHNQRRKPEVGCRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >LPERR07G16500.1 pep chromosome:Lperr_V1.4:7:16887865:16890965:-1 gene:LPERR07G16500 transcript:LPERR07G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKHQHQQQESKQQQQKEPKQKKGKKPNPFSIEYNRSSAPSGPRLAVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVEIMRHLPKHQNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVKGMLNPDPKRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKVGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNKSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTQ >LPERR07G16510.1 pep chromosome:Lperr_V1.4:7:16900791:16901795:-1 gene:LPERR07G16510 transcript:LPERR07G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTASFVLSLLLLVAVASASPPARCPPSDKQALLKVKAALGNPATLSTWTASSADCCTWDHVRCDDAAGRVNNVFIDGDSDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSNNRLTGPIPNSFADLPNLRSLDLRNNQLTGPIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPKSLASLSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCVGGAPLGGCHRL >LPERR07G16520.1 pep chromosome:Lperr_V1.4:7:16905840:16908113:-1 gene:LPERR07G16520 transcript:LPERR07G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRIVLPFPAIAIGGGGVSFLVDPPRPVRGSSRRRRPCYDLVAFSSSENGAGTGAEGGEARAEEALRRLAELDSQLEGLSQPRTRPPAPPPPPDPYMDRDMITRRGSIDELPEFSPAYVTFSTLALVILTIFTNVMFNLYIKPSIDGVDQPQMYRCISGDDDKVGCKCTLLFLCENWEPQDRNSEVPLYAVNILGAINAGMNELSTMAV >LPERR07G16530.1 pep chromosome:Lperr_V1.4:7:16908346:16916029:1 gene:LPERR07G16530 transcript:LPERR07G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATPLPARSLAHFEATAEITDASPCVSLTVRLLSLQSKTSAHIEEIYIFADPVEPNNDESESCPGNMGGSSFLAMLVPSLMQMSKSRNQQTDNRYSSDASRTQLSQGCATEVNSPCKNTVREVEPCSTNDLNFKSAGMESKLNVIESDTITNEKGSLYELKDSNSRLLPVQTTVNTQAPMKKVQVVPNTDNPVTPLMDENPNPCSRIEGKLDTLLSKLEKIESYCSKFDDSMMRPLSSIESRLQRLEQQFDAFSADINSLRASSARMPAPYGLSDTTSPKDKTDNDCKAGYSASTANRQPGLIVRAPEFSLDESFSYNKFNENTVTLCGPSMVRRLLVKAPDFVCGSELACERLHDGSYSPVDFSVSSEKESKTSPGLVIKVPEFPNDEDDEVEEEVDDRDDDHTKSDDAPNESAVDCSKRKTSVSVDGALASALEALLGSTKKTPSSQSVALPAGNITAENTNDSSICSFSPEQLDEISTNDSSSGQFSGTMGDANKVDTFISYQEADAAPQTCLSKANLDEKLHTVEESNGAGSQVNRQNNGLNSDMMPSFANTEHIIAPSQPPNILESINDGLQFNGDRSTLSLAEFLVARNASSSKNVIPEAFSSNCGAEMHTFKRTSVESAKNSNDTSQLLLHKALEVSEDDSAKFSFSGAMDSCCRQTFTDFKKRWTERSSLEANLNDSFTKPEVKHSLSDSSSMESFSGEPAREAVGSGDVTTRNCVDDLYKGSSTVNTVAREELQKVYDLLVEYNDDMLGMAFVAKTRSKTSPSLEVLLAESSGSEPEISEPEHTDNDAGLGSARLFTTFSSSDDEAPRTNEPIIDVVDLPIPSDAYASSKNELVDDEPLLDMDDLSIPPESYASVLSDTHHACVVDQLKPPGTFAEEGNGEYSDSLI >LPERR07G16540.1 pep chromosome:Lperr_V1.4:7:16917766:16918777:-1 gene:LPERR07G16540 transcript:LPERR07G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQTAASGSSSSSSRGGAGEGEEIVITGREIVVRSGGGEEEVVVEEEPEFRDIHALSPPATPTPSYHRRRRESWESTAAGGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAASANAAAAAAGDSSRAGMDESLGRIGEEELEETNPLAIVPDSNPIPSPRRAAAQAQLALPAPGDVAGGGPGDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSKRSFF >LPERR07G16550.1 pep chromosome:Lperr_V1.4:7:16930764:16931567:1 gene:LPERR07G16550 transcript:LPERR07G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVDASPIAAVFSDSDSDDLHLPLPNLLPPTTTTAASDAQLHDFHLPTLPSPITIRTLPSLGLTFQLWPSASTLLRILPVSPHLLPRFPTRENPLGVLELGSGTGAAGIALAAALPAHAVLSDLPAALPNLRHNVALNSPLLDTRGGSVSVAPLPWGDAAAMEAVAAGGASRFDLVVASDVVYYEALVDPLIETLRFFVKGEVVFVMAHMRRWKRTDKKFFAKARKVFDVELVHEDPPLEGWRHGPVVYRFTEKKKQHGKK >LPERR07G16560.1 pep chromosome:Lperr_V1.4:7:16932429:16934495:-1 gene:LPERR07G16560 transcript:LPERR07G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGTKATCLLAFYFSLSLKIAHVSPLSFKFNFTESNSNGSATVQLQEDAFYNRAVKLTKDELNGKITQSVGRAVYTDPVPLWDSTTGQLASFTTRFTFKIYAPTNDSSYGEGLAFFLSSYPSVVPNNSMDGNLGLFSNSNDQNDPLNQIVAVEFDSHKNTWDPDGNHVGINIHSIVSVANMTWKSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYSTNLRDYLPDKVAIGFSASTGKFVELHQILYWEFNSTDVHLMKTEKTKGILVISLATSAGVVVCSMGLVYFFLCFRRTRRTRSREKEEEKLACDKSIDSEFEKGRGPRRFQYNELVVATHNFAAERKLGEGGFGAVYQGFLKDKNIDIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEQGEFLLVYEFMTNRSLDKHLYDSGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYMAPESVTTGKASKESDVYSFGILALEIACGRRPVVPKEDTDKISLVQWVWDLYGRSEILKAVDGRLDGEFEEREVVSLMVVGLWCAHPDYNLRPSIQQVITVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSYSSDGTQKELERPNSYGNTSSSSATNDSCVPPSVRLPEVGY >LPERR07G16570.1 pep chromosome:Lperr_V1.4:7:16935879:16937271:-1 gene:LPERR07G16570 transcript:LPERR07G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPRLATTVACLPSAFPSSHRAMAAASMTRWGRRRRTLARRRGRRPGRGGGVVIVGEFGGQYEEGYEDVHTEIVNYFTYKATHTVLNQLYEMNPPAYTWLYNYVVVNDPKEGKHFLVALAKERQDLAERVMITRLHLYGKWVKKCDHAKMYEKISNENLEIMRQRLMETVAWPTDETNTGDTAK >LPERR07G16580.1 pep chromosome:Lperr_V1.4:7:16939204:16942031:1 gene:LPERR07G16580 transcript:LPERR07G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGRHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTSHQCPNASQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRKSQKAEPPSNNSSTGSSWWSSSLLTAASNFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSSGGDLVEQCVQCPARFSTVGALIEHAEKSHQSNSQPSRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRVS >LPERR07G16590.1 pep chromosome:Lperr_V1.4:7:16956337:16964292:1 gene:LPERR07G16590 transcript:LPERR07G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGGADLPVAAPGLVSVASSVHRLVAQPCRPRRRQRQGVPFPSTRRLPEMLPNRVDCYRGVLLIILALLVRSWGVANGTADLGHIHQHHHLLLGSHKRG >LPERR07G16600.1 pep chromosome:Lperr_V1.4:7:16968800:16971356:1 gene:LPERR07G16600 transcript:LPERR07G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYWEYDSQEASSALVFVKVCIMRRRLETPPPTPTPSDSGSFQDGDTAGGCSDSELSAVSSAAVSDVGSDGVDLAAAPSPVASGDYNSSNAPSAASFAGLKTTNPTSPASGDSVDRISWLPDSLLSDIVSRLSLKEAARTAVLSTRWRAVKDNQHIFWRLHPGAPAAYRVFRQKEVGDLVLIQNPLPFGFELPSEIFSCSGLGRLYLGFCQLPAMTTVSGPPVFKCLKELCLIQCIISDADLNTLFQHAPCLETLGLVLSKEHLSRPDLATQQVKIPCTLRLAVFWMCCLKEVKVDNAPRLEKLMLHNSLPDQQGATTVSIISAPMLKVLGFLDLDQHELVINNHRIEVGLVWSAEATIPTLKILAVKINLAVEREVRLLAVLLRCFPNLETLHIMSMSTCPVSSADVQIWESEASIECARFKLQTLVIHGFTGEDCEFQLVRYLSKEGMALSTIGVVCEAGVFEKQWRLASSGHTSSCVLVLPMSAAMSFRSTIDIAVWDQPPFLTMVLHDNQGRPGLEYLPTILEELGRKAEDDAEDGISMYNASHTWCFQSAMDLDVVDPFVKLMGRIA >LPERR07G16610.1 pep chromosome:Lperr_V1.4:7:16972908:16979726:1 gene:LPERR07G16610 transcript:LPERR07G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKRRHEEHRHLLSKAPPAPSSAAASSDPNADSSNGNPNPSSSSGAAASGASVPPGLAGKKPTQQEEALLSEERRIDELDLPRHEVVRRLRILREPVTLFGEDDAARLARLKFVLKSGVIDDIDDLDMTEGQTNDFLRDMVELRQRQKAGRDTMSKGKGKRAGGGDGGEGGTGGDNADDGDGDSRRSGDDADVDKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVNCCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDSGNPPNEDRLRLMPASKE >LPERR07G16620.1 pep chromosome:Lperr_V1.4:7:16973263:16975254:-1 gene:LPERR07G16620 transcript:LPERR07G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSRKLVTASERLTSHAVETVRSAAAMDPIRVFKEQPARNLNAVKPKPSGAALLAKPRSRPIKALQPSSYLAGVDLPPSDDDDDDLRERASKPRVFDPVDINIPASSRKEVKKEERLKLEAAARAAALWDDPDAYIVTIGGTAASDANAKDIVVEDLDVSVGGVALLEGASLRVSHGRRYGLVGPNGKGKSTLLKLLNWRKLPVPRNTRVMLVVQEDNSKDHRPVIQVVLAADEELSKLLAERDELEASGDAGIGNSRLSEVYHELTLRGWESAQARASKILAGLGFDQANQARPASTFSGGWIKRIALAGALFVQPTLLLLDEPTNHLDLQAVLWLEEYLSAQCKSTLIVVSHEEGFLNAVCDDIVHLQDKKLRAYRGNFDAFVASFEQQKVRAMKERERLVKAARKGGKKVPKKWHDYSVEFHFAEPTELPGRPLLRLTGAGFSYNGFKLSGVDADIAMGQRVAVVGPNGAGKSTLLKLLAGELVPTEGEARMSHKLRIGLYSQHFCDSLPKDRNAVQYLLESHPHLESKPGEARAMLGKFGLPKENHLTLIDKLSGGQKARLVLASIALGEPHVLLLDEPTNNLDMQSIDALADALDEFSGGVVIISHDSRLITRVCADEQRSEVWVVQDGMVRPYDGSFSEYRDDLLEDIRKEMAMD >LPERR07G16630.1 pep chromosome:Lperr_V1.4:7:16979761:16980885:-1 gene:LPERR07G16630 transcript:LPERR07G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRDACSGVRERLGYVQCSFCATVLLVSVPCSSVLRVVAVQCGRCSGILSAVNLPPPPVVSASELDVDPPPREYSDESSEDSEGGDADDDVPPAAVANKPPGRKQRTPSAYNCFVKEEIKRIKGMEPNITHKQAFSTAAKNWAHLPRI >LPERR07G16640.1 pep chromosome:Lperr_V1.4:7:16983326:16984358:-1 gene:LPERR07G16640 transcript:LPERR07G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRDACSGVRERLGYVQCSFCATVLLKLCNFATGKFLKIYNGHVNRTYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHNDTVISVSCHPTENKIASGGLDNDRTVRLWVQDT >LPERR07G16650.1 pep chromosome:Lperr_V1.4:7:16984532:16991988:-1 gene:LPERR07G16650 transcript:LPERR07G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQQQAPPYRPYRQVRAETPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAAVATLRGHSDGVSDVSWSTDSFYLCSASDDRTLRIWDVRPFLAAAASASASADLNSDRCIRVLKGHANFEER >LPERR07G16660.1 pep chromosome:Lperr_V1.4:7:16996020:17000983:1 gene:LPERR07G16660 transcript:LPERR07G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAEVEATYTTDDALTRAGFGRLQALVLAYAFVGWTVEAMEVMLLSFVGPSVKAEWGVAGAAEGIVSSVVFAGMLIGACIGGLISDRYGRRIGFLSTAVVTGIFGFLSAFSPNYSSLLVLRCVVGLGLGAGHVLSTWFIEFVPAAMRGTWMVVFHCSWTVGTILEALLAWAVMPVLGWRWLLALSSVPCFVLLIFFPLTPESPRYLCSIGKTVDATLILEKVARMNNSSLPPGILTYGSKKRVNRRLDNSETALLITEDGKSGIDEDTNSKPSGIIREFWSYDLKRSTVLLLFVYLANYFVYYGVILLTSELSNSQSSCASVRTHFMQPKDPNLYKDVLVTSLGEFPGLVFAGLMVDRIGRKVSLGIMLLMSCAFLAPLAVHLGQGSVTTLLFCTRTCIMGSFAVLYVYTPEIYPTSSRNTGVGITSSFGRIGSIVSPLVTVGLLENCHQKEAVFLMNLMLFLAAVACALIPLETKGRQIQ >LPERR07G16670.1 pep chromosome:Lperr_V1.4:7:17011336:17013110:1 gene:LPERR07G16670 transcript:LPERR07G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARCKMSRRSRREVAPLSPAAAAAAADVAGCFDGSIAASLQIDGVMDVPPEAQPAWRNGPMPQTNSGMDLRQFLNYFQIGQQPFIPPHPNVSFPPPWPPTAPMTNSGTSKSNSKAVINVDDGDDVRTAKRLPYETDEDERLQNSKGKFKDDDPSLQEDMKKYMDIQVAASKRHEEFIETQQRISDAKVEAARLRRESVLLESYQKLLTMDTSQMTDEMKAEHVIGLKILKDKLLGNTN >LPERR07G16680.1 pep chromosome:Lperr_V1.4:7:17016644:17018885:-1 gene:LPERR07G16680 transcript:LPERR07G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIMTSIANFVALLALPKGPILSVIKELVSYIFGLGFLFKPRPPCPSFSTLPSLVPDDVLIEIFLRLPSHPTCLLRVSLVCKHWHFLITNREFISRFYASHRTPLLGLFTNSTSIPRFLTIGNPPDCVAATAFSLPDPCWQVLCCRHNRVLLVSTTWRELLVWNPMNGNKHLIQAAPSADPRYNYGRVPESNAAVLCAGSHTEHGECHTSDFFVVWAFTCIRYAYACIYSSQDGRWDLMASTPIPSELDSRPSILLRDILYWLLKSKYILAFEMATHRLYHIECPPDTHDVYRRNVHIMRSEDGGLGLAAVIKFNMHIWSWEIDDEGVTGWVLCKIIELDKFLPKEVSSFPCTHDHLARRPPVRILCVVEDDDLVFVWTKVGVFTVQLNSIKFEKVFDADVCATLYPYTGSYTGLCITGVAGC >LPERR07G16690.1 pep chromosome:Lperr_V1.4:7:17020081:17023782:1 gene:LPERR07G16690 transcript:LPERR07G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGRLHQQLLEVAESTDTSAPWAVRPFAVAIAAAAAAAASLTLTSKSAGRPPLPHPAPSAPLFASLSLAADGSVEHRTGAAFPAELAGGQRRLLGVGLRRTTILGLKSIDVYAFGVYADGRDLRQLREKYQKLPVSELKENAELIDDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLQKFGGSDTNELLQSFVSLFKDEYKLPKGSVIELSRESGHVLKISIEGEELGSIQSKLLCKSILDLYIGDDPFDKNAKDSIQEKMASILKN >LPERR07G16700.1 pep chromosome:Lperr_V1.4:7:17024935:17030560:1 gene:LPERR07G16700 transcript:LPERR07G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAADAGEDQALSELREKHRINLERLTLTSQPFRTLALFVLAIGQSIKSTCLYTLKEGARLKFLHIQELLWYFRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKRRPSWLEKDCLEFGPPMYLETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEDLDAPVSEEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLELVEKRIMWVFGNVPVVSSALPSLVAKLKTAKKKFLSASVAASASSAVKETKWNLSFSLIWNTVVWLLIINFVVQIITSTAQSYLKRQQELEIRTKLSATIHTVSEPSTGLSN >LPERR07G16710.1 pep chromosome:Lperr_V1.4:7:17044591:17051423:1 gene:LPERR07G16710 transcript:LPERR07G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSGVGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGTSGGSGQAPKQRPTPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQQFIPPKPVESNHKLEARDASEAKSGNSSPAPSSSVVRPSDSTSLATIAPKRKRPRLVKYDDDARPASPAKPELAEPSSRPETLASSRSEAKASVSAATDSGITTATAGAQHESSREPEKREDHRSRDLKLRPGESDRRDHRPESRTEQPPSSNKPDGESAAVASEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSGMDMVGRGNSEKKDVERPRRGLEINLEDDKMVQRMPADELASKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKTAKNEPKHEKSAMPAVTPPMPIPVGNWPMNFPPFGYLSPVPALSAAGLHPMDVKPGSSAGLQPLHPPPVRPKRCATHCFIAKQIEYNKQFQKMNFWPPVAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKPPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPQAHEAQQSSPVPNMLQGPAFIFPFNQQQAAAVAAANAASRVGDTKPSGGSNAMPPSAAAHASPANPGAAAMNLSFANLPPADAQFLAILQNGYPFQVAAHAGGAPAYRGMAPPGPAVPFFNGHVYSSHMLHPSQQQGASPQNLQKAPMSNMSTSSQKHQPQQSQGLLGYAPNANAAGAANNSQSYSSGNPRPVLLTGLAHRQDSEKNVQDGAAGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGQSDKKSSDHHMQQLPPASRGQGVRIDLASSQPFVMPFGSVGAPGSAPGGLDFSQLAQNHALFQSHQEAGRHSYPQFNFAAPQSVQAGQHKPQHQATGEAKSVAGDSSTHNTVDSERKKSTAAKYPGDSQQHSLSFSRQDSKSYVPPFLSGGTNESSSRTLSLIGSEPSNTFSLGGKSANASTPAATSAAAPSPSSIPQQQQQQHFLQLQKHQMLPHHQLNSRPRSAAPSNAGGYSDRLNISSYHGVMYASSAAQGGVPSQSPQLKPSSARATGAPSGAASPGAPPSNLIVMKNSGFHQQQAKAPLQPLSTTNPQAQSSSKIGPSVNNISTGGGDLSRSSNAPVASGSPSNSVSKSAGGSPPASGSAKGVPPAVQLPSPQQQSAKNPVSTSGAKPNSTNHYSGMPMPSILGQQPNAPASNSGSKQQSHITSVKQQPFTQGHFFISNAYTPQGPGAAGGVPLGLYQKRSADKTQQQAPHQQSAMSAAASNNMKALHPQPGSFMHLAASQSASGVPHSHMSAAQLNFGGPMSMSVKPSSDQKPAAGK >LPERR07G16710.2 pep chromosome:Lperr_V1.4:7:17044222:17051423:1 gene:LPERR07G16710 transcript:LPERR07G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGSGGPPPPRRRLRSNGGGSGGVVGVGPRDSPRSERRRGERLMLNGGGGRDDGDETSDESLGGGGHHHNSSSSSGGGGGGGGGYHNHHHHGGGGGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSGVGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGTSGGSGQAPKQRPTPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQQFIPPKPVESNHKLEARDASEAKSGNSSPAPSSSVVRPSDSTSLATIAPKRKRPRLVKYDDDARPASPAKPELAEPSSRPETLASSRSEAKASVSAATDSGITTATAGAQHESSREPEKREDHRSRDLKLRPGESDRRDHRPESRTEQPPSSNKPDGESAAVASEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSGMDMVGRGNSEKKDVERPRRGLEINLEDDKMVQRMPADELASKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKTAKNEPKHEKSAMPAVTPPMPIPVGNWPMNFPPFGYLSPVPALSAAGLHPMDVKPGSSAGLQPLHPPPVRPKRCATHCFIAKQIEYNKQFQKMNFWPPVAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKPPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPQAHEAQQSSPVPNMLQGPAFIFPFNQQQAAAVAAANAASRVGDTKPSGGSNAMPPSAAAHASPANPGAAAMNLSFANLPPADAQFLAILQNGYPFQVAAHAGGAPAYRGMAPPGPAVPFFNGHVYSSHMLHPSQQQGASPQNLQKAPMSNMSTSSQKHQPQQSQGLLGYAPNANAAGAANNSQSYSSGNPRPVLLTGLAHRQDSEKNVQDGAAGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGQSDKKSSDHHMQQLPPASRGQGVRIDLASSQPFVMPFGSVGAPGSAPGGLDFSQLAQNHALFQSHQEAGRHSYPQFNFAAPQSVQAGQHKPQHQATGEAKSVAGDSSTHNTVDSERKKSTAAKYPGDSQQHSLSFSRQDSKSYVPPFLSGGTNESSSRTLSLIGSEPSNTFSLGGKSANASTPAATSAAAPSPSSIPQQQQQQHFLQLQKHQMLPHHQLNSRPRSAAPSNAGGYSDRLNISSYHGVMYASSAAQGGVPSQSPQLKPSSARATGAPSGAASPGAPPSNLIVMKNSGFHQQQAKAPLQPLSTTNPQAQSSSKIGPSVNNISTGGGDLSRSSNAPVASGSPSNSVSKSAGGSPPASGSAKGVPPAVQLPSPQQQSAKNPVSTSGAKPNSTNHYSGMPMPSILGQQPNAPASNSGSKQQSHITSVKQQPFTQGHFFISNAYTPQGPGAAGGVPLGLYQKRSADKTQQQAPHQQSAMSAAASNNMKALHPQPGSFMHLAASQSASGVPHSHMSAAQLNFGGPMSMSVKPSSDQKPAAGK >LPERR07G16710.3 pep chromosome:Lperr_V1.4:7:17044591:17051441:1 gene:LPERR07G16710 transcript:LPERR07G16710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSGVGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGTSGGSGQAPKQRPTPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQQFIPPKPVESNHKLEARDASEAKSGNSSPAPSSSVVRPSDSTSLATIAPKRKRPRLVKYDDDARPASPAKPELAEPSSRPETLASSRSEAKASVSAATDSGITTATAGAQHESSREPEKREDHRSRDLKLRPGESDRRDHRPESRTEQPPSSNKPDGESAAVASEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSGMDMVGRGNSEKKDVERPRRGLEINLEDDKMVQRMPADELASKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKTAKNEPKHEKSAMPAVTPPMPIPVGNWPMNFPPFGYLSPVPALSAAGLHPMDVKPGSSAGLQPLHPPPVRPKRCATHCFIAKQIEYNKQFQKMNFWPPVAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKPPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPQAHEAQQSSPVPNMLQGPAFIFPFNQQQAAAVAAANAASRVGDTKPSGGSNAMPPSAAAHASPANPGAAAMNLSFANLPPADAQFLAILQNGYPFQVAAHAGGAPAYRGMAPPGPAVPFFNGHVYSSHMLHPSQQQGASPQNLQKAPMSNMSTSSQKHQPQQSQGLLGYAPNANAAGAANNSQSYSSGNPRPVLLTGLAHRQDSEKNVQDGAAGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGQSDKKSSDHHMQQLPPASRGQGVRIDLASSQPFVMPFGSVGAPGSAPGGLDFSQLAQNHALFQSHQEAGRHSYPQFNFAAPQSVQAGQHKPQHQATGEAKSVAGDSSTHNTVDSERKKSTAAKYPGDSQQHSLSFSRQDSKSYVPPFLSGGTNESSSRTLSLIGSEPSNTFSLGGKSANASTPAATSAAAPSPSSIPQQQQQQHFLQLQKHQMLPHHQLNSRPRSAAPSNAGGYSDRLNISSYHGVMYASSAAQGGVPSQSPQLKPSSARATGAPSGAASPGAPPSNLIVMKNSGFHQQQAKAPLQPLSTTNPQAQSSSKIGPSVNNISTGGGDLSRSSNAPVASGSPSNSVSKSAGGSPPASGSAKGVPPAVQLPSPQQQSAKNPVSTSGAKPNSTNHYSGMPMPSILGQQPNAPASNSGSKQQSHITSVKQQPFTQGHFFISNAYTPQGPGAAGGVPLGLYQKRSADKTQQQAPHQQSAMSAAASNNMKALHPQPGSFMHLAASQSASGVPHSHMSAAQLNFGGPMSMSVKPSSDQKPAAGK >LPERR07G16710.4 pep chromosome:Lperr_V1.4:7:17044222:17051441:1 gene:LPERR07G16710 transcript:LPERR07G16710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGSGGPPPPRRRLRSNGGGSGGVVGVGPRDSPRSERRRGERLMLNGGGGRDDGDETSDESLGGGGHHHNSSSSSGGGGGGGGGYHNHHHHGGGGGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSGVGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGTSGGSGQAPKQRPTPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQQFIPPKPVESNHKLEARDASEAKSGNSSPAPSSSVVRPSDSTSLATIAPKRKRPRLVKYDDDARPASPAKPELAEPSSRPETLASSRSEAKASVSAATDSGITTATAGAQHESSREPEKREDHRSRDLKLRPGESDRRDHRPESRTEQPPSSNKPDGESAAVASEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSGMDMVGRGNSEKKDVERPRRGLEINLEDDKMVQRMPADELASKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKTAKNEPKHEKSAMPAVTPPMPIPVGNWPMNFPPFGYLSPVPALSAAGLHPMDVKPGSSAGLQPLHPPPVRPKRCATHCFIAKQIEYNKQFQKMNFWPPVAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKPPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPQAHEAQQSSPVPNMLQGPAFIFPFNQQQAAAVAAANAASRVGDTKPSGGSNAMPPSAAAHASPANPGAAAMNLSFANLPPADAQFLAILQNGYPFQVAAHAGGAPAYRGMAPPGPAVPFFNGHVYSSHMLHPSQQQGASPQNLQKAPMSNMSTSSQKHQPQQSQGLLGYAPNANAAGAANNSQSYSSGNPRPVLLTGLAHRQDSEKNVQDGAAGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGQSDKKSSDHHMQQLPPASRGQGVRIDLASSQPFVMPFGSVGAPGSAPGGLDFSQLAQNHALFQSHQEAGRHSYPQFNFAAPQSVQAGQHKPQHQATGEAKSVAGDSSTHNTVDSERKKSTAAKYPGDSQQHSLSFSRQDSKSYVPPFLSGGTNESSSRTLSLIGSEPSNTFSLGGKSANASTPAATSAAAPSPSSIPQQQQQQHFLQLQKHQMLPHHQLNSRPRSAAPSNAGGYSDRLNISSYHGVMYASSAAQGGVPSQSPQLKPSSARATGAPSGAASPGAPPSNLIVMKNSGFHQQQAKAPLQPLSTTNPQAQSSSKIGPSVNNISTGGGDLSRSSNAPVASGSPSNSVSKSAGGSPPASGSAKGVPPAVQLPSPQQQSAKNPVSTSGAKPNSTNHYSGMPMPSILGQQPNAPASNSGSKQQSHITSVKQQPFTQGHFFISNAYTPQGPGAAGGVPLGLYQKRSADKTQQQAPHQQSAMSAAASNNMKALHPQPGSFMHLAASQSASGVPHSHMSAAQLNFGGPMSMSVKPSSDQKPAAGK >LPERR07G16710.5 pep chromosome:Lperr_V1.4:7:17044222:17050087:1 gene:LPERR07G16710 transcript:LPERR07G16710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGSGGPPPPRRRLRSNGGGSGGVVGVGPRDSPRSERRRGERLMLNGGGGRDDGDETSDESLGGGGHHHNSSSSSGGGGGGGGGYHNHHHHGGGGGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSGVGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGTSGGSGQAPKQRPTPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQQFIPPKPVESNHKLEARDASEAKSGNSSPAPSSSVVRPSDSTSLATIAPKRKRPRLVKYDDDARPASPAKPELAEPSSRPETLASSRSEAKASVSAATDSGITTATAGAQHESSREPEKREDHRSRDLKLRPGESDRRDHRPESRTEQPPSSNKPDGESAAVASEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSGMDMVGRGNSEKKDVERPRRGLEINLEDDKMVQRMPADELASKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKTAKNEPKHEKSAMPAVTPPMPIPVGNWPMNFPPFGYLSPVPALSAAGLHPMDVKPGSSAGLQPLHPPPVRPKRCATHCFIAKQIEYNKQFQKMNFWPPVAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKPPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPQAHEAQQSSPVPNMLQGPAFIFPFNQQQAAAVAAANAASRVGDTKPSGGSNAMPPSAAAHASPANPGAAAMNLSFANLPPADAQFLAILQNGYPFQVAAHAGGAPAYRGMAPPGPAVPFFNGHVYSSHMLHPSQQQGASPQNLQKAPMSNMSTSSQKHQPQQSQGLLGYAPNANAAGAANNSQSYSSGNPRPVLLTGLAHRQDSEKNVQDGAAGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGQSDKKSSDHHMQQLPPASRGQGVRIDLASSQPFVMPFGSVGAPGSAPGGLDFSQLAQNHALFQSHQEAGRHSYPQFNFAAPQSVQAGQHKPQHQATGEAKSVAGDSSTHNTVDSERKKSTAAKYPGDSQQHSLSFSRQDSKSYVPPFLSGGTNESSSRTLSLIGSEPSNTFSLGGKSANASTPAATSAAAPSPSSIPQQQQQQHFLQLQKHQMLPHHQLNSRPRSAAPSNAGGYSDRLNISSYHGVMYASSAAQGGVPSQSPQLKPSSARATGAPSGAASPGAPPSNLIVMKNSGFHQQQAKAPLQPLSTTNPQAQSSSKIGPSVNNISTGGGDLSRSSNAPVASGSPSNSVSKSAGGSPPASGSAKGVPPAVQLPSPQQQSAKNPVSTSGAKPNSTNHYSGMPMPSILGQQPNAPASNSGSKQQSHITSVKQQPFTQGHFFISNAYTPQGPGAAGGVPLGLYQKRSADKTQQQAPHQQSAMSGLSTMLSLCFYFHVNLHNCNVS >LPERR07G16720.1 pep chromosome:Lperr_V1.4:7:17061657:17065097:-1 gene:LPERR07G16720 transcript:LPERR07G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAVSPAAAVAPPRAIASARVPQRPVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEAIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >LPERR07G16730.1 pep chromosome:Lperr_V1.4:7:17066398:17071189:1 gene:LPERR07G16730 transcript:LPERR07G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAARRVSAVAVAAVVVAGMWAGMAAAAVYEVGDKAGWTIMGNPNYGIWASSKKFHKGDTVVFTYNKQFHNVLAVSKADYKNCNTSKPIATWSTGNDSVVLNTTGHHYFLCGYPSHCSIGQKVDIRVATSGHSSDAPSIAPAPASGSSEAPAAGGGAGRVRPDEGVAAAPSPHGANAGDLAVGRSVVTAIAVSVLSVAAAGLSLI >LPERR07G16740.1 pep chromosome:Lperr_V1.4:7:17077876:17112288:1 gene:LPERR07G16740 transcript:LPERR07G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPSPFPPTPPTTSLSRSRLTSQLLVFANLAASLTRSAHAGVGESAAAAMDSRPGEADAPPAAPSDGAVPSPAAAAANGDAEIIRPRNDKRGYRRVVLPNALECLVISDPETDKAAASMNVSVGYFCDPDGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTSCERTNFYFDVNSDCLDDALDRFAQFFIKPLMSPDATLREINAVDSENQKNLLSDSWRMSQLQKHVCSENHPYHKFSTGNRDTLLVNPNKEGVDTLNELIKFYNSHYSANLMQLVVYGKESLDNLQTLVENTFSDVRNTGRERFSFHGHPCSSEHLQVLVKAVPIKQGHTLRILYVGHLVGHEGEGSLFYILKNLGWAMSLSAGEGDWSYEFSFFSVVIRLTDAGHEHMEDIIGLLFRYIALLQTSGTPKWIFDELQAIRETGFHYRDKSPPSHYVVNISSNMQIFPPEDWLIASSVPSKFSADLIQSILNDLTPDNVRIFWESKRFEGQTNLTEPWYGTSYSLEAVPPIIIQDWVNRAPIEDLHMQKPNIFIPSDLSLKNVEEKGSFPCMLRKTRFSRVWYKPDTMFFTPKAYIKMYFQCPLSRSSPESTVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVNPNDTGFQITMIGYNDKMRILLETVIGKIAEFEVKVDRFAVIKETITKQYENFKFRQPHQQASYYCSLILEEHSWTWDEELAAISHTEASDLEKFLPHLLGKTFIESYFAGNMEPGEVKDIIQHVEYILFNAPGSLCKALPSSQHLAKRIVKLERGLRYYYPALCLNHQDGNSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQRNDSGIRGLQFIIQSTVKDPANLDERVEAFLKMFEGTLYQMPDIEFKSNVNALIGMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVNEEAPPNSYQITDIFSFRRSTAPTTSLAVGLAAAKAKPNLSPRPRGGLAESVHTRVGEPSPSPSVAMDSKPRDTDAPAEPSDGAVPPPPPPAAAAAAAAAAAKGDVEIIRPRNDKRGYRRVVLPNELECLLVSDPDTDKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYISEHGGSTNAFTSCERTNFYFDVNNDCLDDALDRFAQFFIKPLMSADATLREIKAVDSENQKNLLSDPWRMSQLQNHISLESHPYHKFGTGNWNTLEVKPREKGLDTRLELIKFYNSHYSANLMQLVVYGKESLDSLQTLVEHKFCDVRNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLKILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDVVGLLFRYITLLQNSGTPKWIFDELQAICETGFHYRDKSPPIHYVVNISTSMQIYPPEDWLITSYVPSKFSPDAIQRILNELTPDNVRIFWESKQFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVNKAPVEDLHMPKRNIFIPSDLSLKNVEEKASFPYMLRKTPFSRVWYKPDTMFFTPKAYIRMDFQCPLSHSSPESSVLTDIFTRLLMDYLNDYAYDAQVAGLYYAVNPNDTGFQIIMVGYNDKMNTLLETVIGKIAEFDVKVDRFSVIKETIKKEYENFKFRQPYQQAFYYCSLILEEQKWTWDEELAALSHIEASDLEKFLPHLLGKTFIENYFAGNMEPGEVKDIIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLEKGLNYYYPALCLNRQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYIALLRQRNDSGVCGLQFIIQSTVKDPANLDARVEAFLKMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEIFEGTLKFDRKEAEVAALRDLKKEELIEFFDNHVKANAPQKRVLSIQVYGGLHSSEYEKIVHDEPQPNSCQITDIFSFRRSRPLYGSFKGGVGQMKL >LPERR07G16750.1 pep chromosome:Lperr_V1.4:7:17124234:17125721:1 gene:LPERR07G16750 transcript:LPERR07G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGLGLSGIVSILFTGMVMKHYTYSNLSNNSQCFVSAFFHLLSSLAETFVFIYMGFDIDMEEHSWSHVGFIFFSIVSLTFLFQFPKSSVLAFALFGGMAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIIVVLTVPAQSFFFFVSTATQ >LPERR07G16760.1 pep chromosome:Lperr_V1.4:7:17128856:17131870:-1 gene:LPERR07G16760 transcript:LPERR07G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWHDITKEFEGLKICVKEVSERRVSPARQLKVLSIVGFGGLGKTTLANEIYRKLDGQFDCRAFVSVSQKPDIGKILRTILSKVNFRAPTGSNIEMWGESELISALENFLVHKRYFIVIDDLWDDSPWDIIKCALPKNKNGSRVITTTRIETVARACCTDHIEYVYEMKPLNEEDSRTLFFKRIFGSEDACPSYLKEVSAEILKCGGLPLAINTTSSLLASHKQNNSKEHWEYVRNYIGTKFDVSPSLDGMRQILNLSYINLPHYLKACMLYLGIYLEDHTIQKNDLARQWVAEGFISKGHGIDLEDIAWSYFNELINRSMIQPSKTSCNGEYGAWFSRVPEWVNQLHSLYDLVLHVKEVLEGDVGIIAQVPSLVRVHLNIQRRPKDKIIVLGSGFSVLKSFLVSCSRISYLVFEAGAMPKLGRLHLSFNAMGWDRYGGAAPSGIEYLSSLKEISADIGEYGAEESNIRAAESALRNSACMHPARHKVIIDTFYGDFLFDESDEEDGDDEGSCHSTS >LPERR07G16770.1 pep chromosome:Lperr_V1.4:7:17138101:17138319:-1 gene:LPERR07G16770 transcript:LPERR07G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRRPTADLHSRSPAPFQALLLPRRHELPIHPGQWCPKYRARLQPEAGAGDGEGELQRERDWGLGLG >LPERR07G16780.1 pep chromosome:Lperr_V1.4:7:17140130:17142867:-1 gene:LPERR07G16780 transcript:LPERR07G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVVPAHQLKVLSIVGFGGLGKTTLANEIYRKLGNQFQCRAFVSVSQKPNIGKILRTILSELGFRAPMDSNFEIWGESELISALKSFLVDKSHKQNNLKGYWEYIRNSLGVLGANFEVNPSLEGMRRILNLSYINLPHYLKACMVYLGIYPEDHTIEKIDLARQWVAEGFISKCHGIDPEDMATSYFNELINRSMIEPSYTSCNGEVISCRVHDMMLDLILHISRQDNFMTVVDDMQHLAGQRDKIRRLSVNLVGARDARGPGSVQLSQTRTLAIFGITLQLLPFLVEFKHLRVLIIEDGSRGGFLLPLLDLNVICHLFQLRYIRIMSRFHRVVLPRKIGALRQLETFEMDAEIESSDGHFCPKLPSDIVHMNQLMHLIVPSTIVLPSGIGNMKSLHTLFNFNLENSKDNFKGLRQLTNLTYLQISCDKPYTITSNDEKAARCRDVLRTCLEKLCNLKFLVMDVLEGDVGIIAQLPSLISVCMKIHGRPKEKIIIRGIGFPVLKSFAATCSRITYLVFEAGAMPKLESLVLKFNVTRWDRAGAAPSGVEHLSRLKEISARIGEYDAKESNIRAAESALRNLACMHPAHPKVIIDTVGGYIKFDEPDVGDEDAEGSCHSTS >LPERR07G16790.1 pep chromosome:Lperr_V1.4:7:17145199:17148593:-1 gene:LPERR07G16790 transcript:LPERR07G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSASHGAMGSLLGKLGDLLTARYNLLKEAKGQIMFLQAELESIYAFLKRISDAEEPAEQDKCWAKQVRELSYDIEDSVNEFMLRVEHKCSSKPHGFKGFIKRSMNLLTTMNTRHDIAKEFEGLKIRVKEVSERRARYKLDGDAVKPNNTAVSFQKSNTAVDHRLLALHAETASLIGVTGPRDQLIKLMDEKVVPAHQLKVLSIVGFGGLGKTTLANEIYRKLGNQFQCRAFVSVSQKPNIGKILRTILSELGFRAPMDSNFEIWGESELISALKSFLVDKRYFIVIDDLWEDSPWDIIKCALPKNKNGSRVITTTRIETVARACCTDHIEYVYEMKPLNEEDSRRLFFKRMFGSEDACPSYLKEVSAEILKKCGGLPLAINTISSLLASHTQNNIKEYWEYVRNSLGTNFDVSPSLDAMRQILNLSYINLPHYLKACMLYLGIYPEDHTIHKNDLAREWVAEGFISKGHGMDPEDIARSYFNELINRRMIQPSETSCNGEVISCRVHDMMLDLILHKSREDNFITVVDDMQQLAEQQDKIRRLSVNLVGARDDIGAGSVQLTQTRTVAIFGIMSQLLPSLAEFKHLRVLIIEDGSKGEFRLTLLDLNGICHLFQLRCLRIKSRNHRAVLPSKIGGLHQLETFAIDATIEPSEGLICPTLPSDIVHMNRLLHLIVPETIIFPSGFGNMKSLRTLHCFNLENSVDNFKGLRELTNLTNLQIKYERAGFFTTLSNDDKAARCREVLLTCLEKLCNLKRLVVHVSSSRDACLDMSNLVPASFHCLQIFHVFDGTWFSRVPHWIGQLHSLYDLVLHVKEVLEGDVGIIAQLPSLVHVRLNIQGRPKEKMVFGSGFPILKFFEVSCSRIPYLVFEAGAMPKLERLHLCFKLMGCDRYSGAAPSGIEHLLSLKEISAYIGVHHANESNIRATTSTLRKLVCMHPGRPNVNIWTVNEYFTGSDEMFDELDEDWDDEGSCRSTS >LPERR07G16800.1 pep chromosome:Lperr_V1.4:7:17149923:17153606:1 gene:LPERR07G16800 transcript:LPERR07G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAMLLLPLLLTALAVAAAHPLDPLSAAELAAVRAAVLASPLIPSRPLTFYYVGLDEPEKPDVLSYAYASGGAAAALPRRAFVIARAGGESHELRVDVTASANATVISHAVHTGAGFPTLTLEEQFAAVALPPSHAAFVESVRRRGVDMDDVLCAVFPVGWFGDGDGEPRRRRVAKVLCFVASETANFYARPIEGVTMVVDLDAMAIVGYRDRVTYPVPKAEGTDYRAGEVGPPYDGPTPAPGVVVQPEGRGFHVDGHVVRWANWEFHVGFDMRAGTVISLASLHDAGGAPRRRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLIPGADCPSNAAYIDGHYSAQDGNPVDARNMICVFERYSGDVAWRHTEAGFPSQLVRPDASLVVRMVVSAGNYDYILDWEFKMSGSIKLVVSLTGLLEVKETAYSHTDQFDGDAHGKLVSENTIAVYHDHYVTYHLDLDVDGTNNSFVKNTITTITGDTGTNTPRRSYWTVKREVAEREADGQVDLTASESPSELAFVNTAKKTKMGNEIGYRIVPSGVATARSVLADDDFPQRRAAYCKRQVWVTPYAKAERWASGLYADQSNGGDDGLAAWSRRNRGIRGEDIVLWYTVGIHHVPCQEDFPVMPTISGGVELRPVNFFERNPLLRMKPPAPRQEQILVNGSCAR >LPERR07G16810.1 pep chromosome:Lperr_V1.4:7:17156170:17157024:1 gene:LPERR07G16810 transcript:LPERR07G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCFSQSGVQVAHSSSPGGQNMVQCTYLACLRGKSCSVTVTWSKMTMGQALSIAVDDSSNRCLCKTEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDGDHKVSSDVVDSSAVMIARKEHIYGKKVYSAKARFLDIGQLHHITIECDTSGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTIYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELQAHCFGFTLILHAWKTE >LPERR07G16820.1 pep chromosome:Lperr_V1.4:7:17158915:17161002:1 gene:LPERR07G16820 transcript:LPERR07G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRVDHTHTCGCGCGCVVSSVRSGVRWRVVFDRSGSLRAGRVACAWFVCQPRGWLVWALGGVGWSSRALARSRVGAYVIGASCPPRRRAYAGLLLLPPRETRGKEQARFALRTSYDGRGRLGVCGDEVSLCMVRTCSMVETALYVIFFHLI >LPERR07G16830.1 pep chromosome:Lperr_V1.4:7:17165499:17166011:1 gene:LPERR07G16830 transcript:LPERR07G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPPSAARRHHFVNVNTGESIHMDLHPELDDHDILKRTIEGLILLSHKEAPHGVCLLNPLTRQLTDLPPLATVLTPEERNNWKGGGGVRGGDLQVRGVALADESTVVVYLIALRTLAVAKIGDDSWTKIALAHKLRLYFTTLPVAHHVFHASARLVMPLCICHVRLRRA >LPERR07G16840.1 pep chromosome:Lperr_V1.4:7:17168402:17172634:1 gene:LPERR07G16840 transcript:LPERR07G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPSDEDDDLETLVPQNHTKPPSSSFHLSTGPSSSSFSSAVSKLGRLLWSRRYLLLFIALPILFLLLFLSLGGASSLPASIRLPSASPTSDPAASRMRDAELRALYLLRSQRSGLLALFNRTAASSSDPNSSSPAISYSDLHDALLGQIRINREIQAALLSAHRSGAGAGGNVTEEDGGLDLDLPVDGCRRRELPTNRRTIEWSPKKDRFLFAICDSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCVGRKVVVSYEEFTEKRKKVSIDQFICYAASPPCFLDEDHIKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVDDIMPKFTTDAEVLAIGDMFYADIEEEWVMQPGGPLAHKCKTLIQPNRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETNLLQSLVVFNDRQVPLVRRPEHHSSEKWDALLIRNHMGGDNQVEAMLDKTICALSNVFIGSSGSTFTEDILRLRRGWGSASHCDEYLCQGEHPNFMAELD >LPERR07G16850.1 pep chromosome:Lperr_V1.4:7:17178792:17179486:1 gene:LPERR07G16850 transcript:LPERR07G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSPLMPGSVLPKSKIRRRRSRWEDWRANWFYTAVGDHSRLGLLTGPPVAQENWIERPRIGREFDRVMELLDHLRRAGLSSHAVFRDFMSQRISPMQARERPA >LPERR07G16860.1 pep chromosome:Lperr_V1.4:7:17187460:17191159:1 gene:LPERR07G16860 transcript:LPERR07G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKANTAAAAGDCPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSHEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVNRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVMDGTKPEALCKVENPMVRQFVEKCLTTASERLSARELLNDPFLQVDDVVFCPGDGEYSLMNYTRQPNLEHAYSNVSMMSNGFTESIDEDTPTEDRWDFEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGGQSDLQDSGGSSDDGGVQTDQHVKDKGAIHSNGFVQTGRRGPDQLCFSSFQEQSCSPRHYEYDTNLQTKGFDMKHEVKIAKYKARKMAQLRRAIHPSLDLDNLNGERRMKSSLNKLQSFHVGKNHNFRIPTCERGPGARDTDEHPDMNNLAYHSWHPDTGAQRARHFDVGANNSPDLMFTARSYYTGAQLPTNLPRTKSVSLNAVDA >LPERR07G16870.1 pep chromosome:Lperr_V1.4:7:17197468:17199864:-1 gene:LPERR07G16870 transcript:LPERR07G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAQSPLAVIVGEEVPLEAMSSMALHQSAISGLREAATEFECDGFDNMLRYYSQGYHDTN >LPERR07G16880.1 pep chromosome:Lperr_V1.4:7:17200517:17200972:1 gene:LPERR07G16880 transcript:LPERR07G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSSSVLPYKREAPAWVKSSVSEVEEAIVRAAKKGQLPSQIGAMLRDAHAIPLSRGLTGGKVLRVLKSRGLAPEVPEDLYFLIKKAVAMRRHLERNRKDKDTKFRLILVESRVHRLTRYYRLAKKIPASWKYDSVTASTLVA >LPERR07G16890.1 pep chromosome:Lperr_V1.4:7:17204130:17204522:1 gene:LPERR07G16890 transcript:LPERR07G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQYQSATTTAMEMEMEMEMGCEEEEFMMPQGLISCFGRSLSRASSGRNLEYCGGGGGEERESRRMAAQERSARAKLRWKAVAQEIMARRSGGGGGSGRRRKTAAAAAFSYDSKSYALNFDDQHGAE >LPERR07G16900.1 pep chromosome:Lperr_V1.4:7:17211666:17215808:1 gene:LPERR07G16900 transcript:LPERR07G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPFHHPAASSSSSSSTAPHHAPRLAPPPPPRGPRAAVRCALARSHRSPANLGLPPPLRLRLAPSPSPRRAPPVAVVECATGREEHVVVSLVGEDKVVQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGFTGIDDPYEPPLNSEIEIKEVDGVCPSPSDMAGQIVTYLEEKGFLHE >LPERR07G16910.1 pep chromosome:Lperr_V1.4:7:17216676:17217053:1 gene:LPERR07G16910 transcript:LPERR07G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAWLAAAARVPAELCQGPGQRQRRRLRGEEVLRALLVPPVRELERLADWLFVFFCLPLPDYYVPGSGRGGLLVVRPSGSGAQLFYGGGRYRRSLSLSSPPSSSSSSSSVSSSEEYYYYSDY >LPERR07G16920.1 pep chromosome:Lperr_V1.4:7:17218058:17222168:1 gene:LPERR07G16920 transcript:LPERR07G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGGSGDYASSAPAAAGHYYPQQYAPNPPHPATADAIPAGYASAPPYSVGGYSDQPPSAPSYSQPPPPHQYVGYAPYNPNPNPTPYPPEQAPYYNYPPPTTTQHAPAAEPSPAPLPYDAPYYGGYQPHTAAAYGEDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGLSSGGAGTGAEHRPSGGGGSFGKIARAVPKAETREDDSGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDIEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGEDARGRGTVDSSKPMTQSNEKKKGFDWMFAKPVDEVKDHWVPDEAARKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGASGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFLVSAN >LPERR07G16930.1 pep chromosome:Lperr_V1.4:7:17227389:17231796:1 gene:LPERR07G16930 transcript:LPERR07G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGFSFRRCLLAALLLLAFAAAAEGNADRSSSQRRRRQLLRQQRQVHSHLRRVNKRPLASIESPDGDMIDCVHISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQNTQTITQMWHKNGKCPENTIPIRRTKKEDVLRASSIRRYGKKKHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYFGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNANCP >LPERR07G16930.2 pep chromosome:Lperr_V1.4:7:17227434:17231796:1 gene:LPERR07G16930 transcript:LPERR07G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGFSFRRCLLAALLLLAFAAAAEGNADRSSSQRRRRQLLRQQRQVHSHLRRVNKRPLASIESPDGDMIDCVHISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQNTQTITQMWHKNGKCPENTIPIRRTKKEDVLRASSIRRYGKKKHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYFGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNANCP >LPERR07G16940.1 pep chromosome:Lperr_V1.4:7:17234066:17240117:-1 gene:LPERR07G16940 transcript:LPERR07G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESYDAPSQKDIESPGERSLSSTSATSSLSTAAGVSKGKNSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTAANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVKVYPIPAILYMVKNLMQVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNNGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTWYSILQRAENMVNAIKGLFISCDVPMAQFIVNLNASMPASEKFIVHMLDPTHMFVQPHVVEMIRSKISEFRDQNSYEKPA >LPERR07G16950.1 pep chromosome:Lperr_V1.4:7:17241239:17246238:-1 gene:LPERR07G16950 transcript:LPERR07G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLTPTAARLAAAAAAPSTLSRLLHIQNGRHHAHASSSSNLLSPQRLFPPHRSFRAGRLIASSSSPQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDRSVRGVLATHSQVHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSGNPKCSLLVAKDPDDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATATLGSGEFAAAEFKEAKVDPISQFSTPITVDFAYMLDVDSLGFNVKAGYDGSALKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSTE >LPERR07G16960.1 pep chromosome:Lperr_V1.4:7:17250618:17252372:-1 gene:LPERR07G16960 transcript:LPERR07G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFHHCRSSLLLLLFLTCCVHGELANGGHQDLPPLLSFKSYNPTATAMESWVGINPCSGSWIGVRCKKGRVIGVFLDNASLVGSVAPLLDLTHVSVLTIRRNSLSGRLPPLDNSTNPMLRHLLISHNKLSGDLSLSLPSLVTLRAEHNGFHGGLGAVSVPMARSFNVSRNMLGGEINGDLSRFPSSSFGGNVGLCGHPLPRCVRAYSALGDSSSISQSPTAAMDTSSVSSSNGGSLSKLVLTALMATGIGNAVLILISVAISVAMFVYVRRKLRSMKDASDSALTFHEEDKVDEKRQKNGGGGGLVVFEGGEDLRLESLLKASAEVLGKGVSGSTYKAVLEDGVVVAVKRLSALQFAGAAGKAFDRHMRLAGRLRHRHVASLRAYCNSSGERLLVYDFFPNGSLQSLLLRGLDWAARKAIVRGAAEGINYIHTFPARPALVHANVKPSNILLDDRGEACVSECGVMRYAANIQQHCVIPRHPPEMFPDRAASGGGGGGGGWHGYAAPELASGGGARATQESDVYSFGMVLLEVVNGGGKDGDGGGEEEEEAMGMVRIGMMCTAEAPEERPRMAQVLAMMAEFM >LPERR07G16970.1 pep chromosome:Lperr_V1.4:7:17259988:17260155:1 gene:LPERR07G16970 transcript:LPERR07G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLQVIMDMYYAKASEAITYGTGTFLYDGIRVKGHMTPMGLEMVDGDTITIPR >LPERR07G16980.1 pep chromosome:Lperr_V1.4:7:17261855:17262196:1 gene:LPERR07G16980 transcript:LPERR07G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRSAAVDGDTKNTVAPIFITLKIIDQDDRRVRHAIRMTDNLQTIMDMYYTKASKDVPYGTGTFMFEGVRVRGHMTPMSLEMVDGDTIDYFPVLIGGGRIAAKASCVQILS >LPERR07G16990.1 pep chromosome:Lperr_V1.4:7:17265254:17266699:1 gene:LPERR07G16990 transcript:LPERR07G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVKVEKENDGKKPATKAAGEYLTLKVQDSDGRTVCRTMRRTDQLQALMDYFYDRVQDRVARGTGRFLYDGHRLRGTQTPAELKMEDGDEVDFFVEMLAMSKRSRSEISAAGGDQAESLSPEIDQPSNKLITLRVKDSEGVTITRTMRATDKLSDLIFFYLAMVQANRTARGVFMHYGRKVTVNRTPADYDMEDGDEISYFPDGTMTMPVTLTVQDGKGRRITRSMRRSYMLTTLFDLYFELLPSTAPREGVFMYNHRAIDGKQSPASLDMKDGDEITFCPIIEPSFFVTLTMKGSDDGCGSVTRTMRRTDKCRI >LPERR07G17000.1 pep chromosome:Lperr_V1.4:7:17272204:17281701:1 gene:LPERR07G17000 transcript:LPERR07G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSAIAKRSRAAMSAAGGEADSSSPVVKPSKLITLRVKDREVRDGLRITRTMRRTDKLSDLIKFYLAMLPDHNDIVAVGGVFMHYGRTVTGDKTPADYDMEDGDEVSFFSDGHRTGPVTLTVKDGKGRRITQTMRRSHILSGLFSLYFDMLPPGAPKEGSFVHHGREVNALQTPSICHMKDGDEITFCPFSKPSFFVTITMKGNEDGCNVTRTMRRADKLQDLIDFYFAMVPTDDEDGEWAVMYSRWKKTPADYEMEDGDQLRLVSMSKRRSMFVTVSLEDTENAKRVHSLRRTDKLQVCGQVSTNEMVAPFISTAVVFVARKLRMISSWKMGIRSTFYTLSSGLADDGVLGPILPARKVSKFETVDFLDLEGVKHAHTLQRADELQGLMDLCSRTLPATINKHSISISASRSAMAKRSRSAMSATGGDQAGSSSPEFKPSKLITLRVKDSEGVRITRTMRTTDKLRDLMGFYLAMVPTDTGSHGVFMHYGRKVRGDQAPVNYDMDDGDEINFFVYETERTCPAVTLTVKDNKGRRRSDHLLSVQRTEFLRHPHDEGDGDGCSVTRTMRRTDKLQDLINFYFAMVQADDEHGEWAVIQVDGEETQVDYEMEDGDQLRVFSGSKRSSFVTVTLILRVADNIKHEKFTLRRTDKLQDLMDLCSSMDERKYKRGCVFAFEGRRVEGSQTPDDLELEDGDMIDAEKGKLITLTVKDREGVRITRTMRTTDKMRDLTDFYLAMMPLPPSVAAYLCTSCRWPPTGDFMYYGRRVKDDQTPADYDMEDGDEITFYSRFINLIVALSVKDNKGLTVARTMSRFDKLNVLFDLYFAMLPSTAQKERFFMYQGRNLNGELPPGNYEMEDGAEITFVPISKPSMFVTLKMKGKCGRSVTRTMRRTDKLQDLVDFCHAMVPTSHCEHRKCEVVYCGRQIVGKNTPADNKMEDGDLISLSPVTTVNKRSMFVTIKCAILAKNIQHTHTPYEEQTSCRV >LPERR07G17000.2 pep chromosome:Lperr_V1.4:7:17266717:17273535:1 gene:LPERR07G17000 transcript:LPERR07G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTDDEQHELVVMYYERQVDGEKTPADYEMEDGDQLRLVPASNRTKFVTVNLLNLEGVKGEHTLRRTDKLHAGFDGFVVNDGAFKSGGGCVFIYQGRRVQGSHTPDDLELEDGSTIHVKVKKDNGKTPATKPAGELYIKLKVQDTHGRSVSLTMRRTGQLQVLIDFYYARAGDRVPRGTGRFLYDGRRLRGSQTGRRVRGRGPAASGGGARTRRPHAASDGGAGCCGQREHDRSVFGGGAGTAAGGSAATSGVGAGRCVQRVRRHSANQHSVEAQGVAAGGRAAAGRHWVKKGRKHEEEE >LPERR07G17010.1 pep chromosome:Lperr_V1.4:7:17282307:17283002:-1 gene:LPERR07G17010 transcript:LPERR07G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDEADADEFVLVADAYTASYRDHEPRVRVLAGGYSDESIDANYFAAAKPHDAYDNDEVEEAVAANASDVESGDADDDDEVVIVEEQEQTEGDHGWQQHAVGVLCSVGLAAATAAGMALLLGGGGGHGRHKPMDAVKFRVAGDYKAAKVSARRDARVDQGISVAPAVISFAGCKT >LPERR07G17020.1 pep chromosome:Lperr_V1.4:7:17287731:17291260:1 gene:LPERR07G17020 transcript:LPERR07G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTSVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDDGEDY >LPERR07G17030.1 pep chromosome:Lperr_V1.4:7:17294402:17295292:-1 gene:LPERR07G17030 transcript:LPERR07G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQAQNPVFQLQDYCYYYSQEGSAAAAAAAAAAAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAESAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGLLHHKKLKKAKSAAVAAKKPATPMNTIASNCNTPTNTITSNCNSSSSMDSCDGAGKQGGGGGGGEMGFGETGVEEFDSWVFDTAAFVQFPPGLDCFAAVDAVTPPPTAASPEDESSAGTPAEMAAEFERIKVERRISASLYAMNGLQEYFDKVFDASSCDPFWDLSPLCH >LPERR07G17040.1 pep chromosome:Lperr_V1.4:7:17298857:17306939:-1 gene:LPERR07G17040 transcript:LPERR07G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADDQAAAAALLGGDPAAFDALLSTLMSSSNADRAAAEAAFHRLRSSHPEPLALRLASSLSSPATPADLRAMAAVLLRKLLSPTPSSDASSTSAAAPVWPHLSPAGQTALKSHLLSALQSDPPKPIAKKVCDAVSELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLATALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEASQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDEPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALVTLAQIAEGCAKVMLKNLEQVVSMILNGFQHHHPRVRWAAINAIGQLSTDLGPDLQIHYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHTDNIMAYDNAVSALGKICQFHRDGIDAAQIIPAWLNCLPIKDDKIEAKVVHDQLSSMVERSDADIIGPHSQYLPKIVSIFTEVIGSGAELATDETTKRMVNLLKRFQQTLPPDFLASTFSSLQPQQQLLLQSILST >LPERR07G17050.1 pep chromosome:Lperr_V1.4:7:17312996:17314904:-1 gene:LPERR07G17050 transcript:LPERR07G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGGSLALFLVLCFLLTSPPLARGDTPFPINVWPKPTSMSWAEPHMAVRVSSSFCVIAPSANTHLLAAAHRYSALLRSERYRPLVPPAANLTAAAAGGKAAELRRLTLAVSDLHAPLQHGVDESYSLEIPPTGGEATLTAATAWGAMRGLETFSQLTWWGHAAGYRRAALQLVVAAGVRVYDRPLYPHRGLMLDTGRTYIPVDDILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALADKGSYGEGMRYTVEDVKLIVDFAMSRGVRVVPEIDSPGHTASWAAAYPEIVSCAGKFWLPDASDWPNRLAAEPGAGQLNPLEPKTYEVLSNVINDVTSLFPDGFYHGGADEITPGCWKSDPSIQAYLANGGTLSQLLEKFVGAAHPLIVSKNRTAVYWEDLLLDQAVNVTPSTIPRETTILQTWNNGANNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSVYDDQRSDYDTSGGSWCGPYKTWQRVYDYDIAGGLTEEEARLVIGGELAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDAAGRKRYAEATDRLTDWRHRMVGRGVRAEPIQPLWCRSRPGMCNLVR >LPERR07G17060.1 pep chromosome:Lperr_V1.4:7:17319420:17321490:-1 gene:LPERR07G17060 transcript:LPERR07G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFAVHFIPVLVVVASAAAAAAGGNGDGQFTYQGFATANLTLDGLAAVTANGLLALTNATYQAKAHAFHPAPLRFLGETAAAANASAAVARSFSTSFVFAIVSGYDGLSDHGLAFMVAPTANLSTANAGQYLGLLNATSGAASGGASILAVELDTIMNPEFRDISSNHVGVDVNSLVSMQAQPAGYYGDGDGAFRELRLNSRKPMQVWVDYDDEAKQINVTLSPVKVSKPKKPLISQAIDLSTVMAEEMYVGFSSATGVVFTHHYVLGWSFSFDGPAPPLDISKLPVLPRVGPKPRSKVLDIVLPLATALLVAAAVAAVFFAVWRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKERNLLGVGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMANGSLDKYLYERNSTTTLHWAERLWIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDSAMKGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVTCGRRPIETDNDNKRVVLVDWVLEHHRNGSIFGAADPRLMGKFDAEEVSLILKLGLMCSHPLPRARPSMRKVMQYLEHGRQPAPDLSPSYVSYSMMAIMQSEGFDSYVMSEPQSTMGINTVSVGASSMTILSEGR >LPERR07G17070.1 pep chromosome:Lperr_V1.4:7:17323032:17325050:-1 gene:LPERR07G17070 transcript:LPERR07G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPLHLVTLLLLLTAADRCAAATGGDGVQFAYDGFSAANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRPNRSFSTTFVFAIFGQYADLSSHGLAFFVSASADAFAAALPGQFLGLFNGTNTIGNQSAGVFAVEFDTLFNAEFHDLNSNHVGVDVNSLTSVKAADAGYYDDGTGQFKNLSLISRKAMQVWVDYDGASREVTVAMAPLGTSKPKKPLIQTTVDLSDVVATGTAYVGFSSATGILFSRHFVLGWSLALDGSPAPPLNISSLPPLPPAWPKPRSKVLEIVLPIASASLVLAVAVAAYAVARRRLKYAELREEWEAAFGPHRFSYKDLFDATKGFDDKNLLGTGGFGSVYRGVLRKPAAMEVAVKRVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYNGGKHLLSWPQRFHVIRGVASGLLYLHEDWEHVVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGAVAQTTHVVGTMGYLAPELGHTGKATPSTDVFSFGAFLLEVTCGRRPIEQDEHGGRVVLVDWVTELWSKGLLVNAVDARIPSGFDSDEVSLVLKLGLLCSHPLPNARPTMRRITQYLDGDVALPDLSPAYLSFTSLERMYSREFNRNNAVSYVSSASMGDISDISGGR >LPERR07G17080.1 pep chromosome:Lperr_V1.4:7:17325143:17334714:-1 gene:LPERR07G17080 transcript:LPERR07G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVKYLDGTLPTPKLSPTQVSYTMMQLMLQNEADTHCLQVWPSSSDTSVARHSSPQNYPMQVCQAAMLIVVFLAFIIHCLSVNSGAAAQPDGDGRFIHHGFAAANLTMDGLAAVTPSGLLALTNATYQAKGHAFHPAPLRFTTTPSATANASAATVRSFSTSFVFAIVSDDDPEHGSDHGLAFVITPTKNLATANAGQYLGLLNMTDDGKASNHVFAVELDTIMNAQFGDIDSNHVGVDVNSLTSTQAKTAGYYDNAGTFRSLQLNSQKPMQVWVDYDGHARQLNVTLAPAWESKPRRPLMSTAVELSTVMEELMYVGFSSATGVVFTHHYVLGWSFSFDGAAPTLNWSMLSMVPRLGPKHRSVKLTVVLPIGIVLFLVAIVLAVYFVRRWRRRYAEVREDWEVEFGPHRFVYKDLFHATEGFSDKNLLGAGGFGSVYKGVLRMPNLEIAVKRVSHDSKQGIREFIAEVVSIGRIQHRNIVQLLGYCRRKGELLLVYDYMPNGSLDRCLHVKGTSTTLCWPKRIHIIKGVASALSYLHKDWEKVVIHRDVKASNVLLDSEMNGRLGDFGLSRLHDHGADAKTTHVVGTMGYIAPELMHTGKATPLTDVFAFGVFLLEVACGRRPIGYNDINEILLIDRVLKHFCNGTILDAVDRRLAGRFSVEEASLVLKLGLVCSHPFPCARPSMDKVAKYLDGTLPAPELSPTHMSYNMMEFILQKGTGSCLPVLSSSADTNVESSANSISRFPESSSPQSLTRSFSDSHRHV >LPERR07G17090.1 pep chromosome:Lperr_V1.4:7:17334781:17336253:-1 gene:LPERR07G17090 transcript:LPERR07G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSLLAFIYFLSVNSAGAAQPASNGRFVHHGFAAPAADLAMDGVASVMPSGLLVLTNATYQAKGHAFHPSPLRFVLNTSSSSATANGATVRSFSTSFVFAIVSDDPKGRSCHGLAFVVSPAKSFPTANAEQYLGLLNMTDEGEPSNHVFAVELDTLMNAEFGDIDSNHVGIDINSLTSLQAKTAGYYDDDDDGVFRSLQLNSKKPMQVWVDYDGQTRQLDVTLAPARAAKPRRPLLSAVVTFIFTNHYILGWSFSLDGPAPSLDTSMLPMVPHVGTKHRSVLLTVVTPIASVMFLVALVMVVFYFVKWWHRLYKEVREDWEVEFGSHRFVYKDLFHATQGFADRNLLGAGGFGRVYKGMLLASDSKMNGRLGDFGMSRLWDHGADAKTTHVVGTIGYIAPELMHTGKATPLTDVFAFGVFVLEVICGRRPIKYNEVILLDRVLEHFRNGSILEVVDPHLTGSFSY >LPERR07G17100.1 pep chromosome:Lperr_V1.4:7:17337082:17338101:1 gene:LPERR07G17100 transcript:LPERR07G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDMDEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSGDARHWDTSLSPDERHFVSHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIVTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLVYELLRSKLDESRVREIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGCKKMYNVVNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASANHVFSIDEDF >LPERR07G17110.1 pep chromosome:Lperr_V1.4:7:17339105:17342516:1 gene:LPERR07G17110 transcript:LPERR07G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGAAAPPVATLSARCTTAPVPAAAASCSALSARRPWRRRAGLSLSFSSGNAARARTRARLRVEASSMAEADPVEERLPAAPDAPLEPQPQVLTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCTEVVKSEAFFICNSIGGLVGLQAAVMDPQKCEGIFLLNISLRMLHISKQPWFGKPFIKSFQSLLRNTVIGRLFFNAVATPGSVKNILCQCYHDTSAVTDELVQFILQPGLNPGAVDVFLEFICYSGGPLPEELLPEVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLVNPLVESFVIRHS >LPERR07G17110.2 pep chromosome:Lperr_V1.4:7:17339105:17342516:1 gene:LPERR07G17110 transcript:LPERR07G17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGAAAPPVATLSARCTTAPVPAAAASCSALSARRPWRRRAGLSLSFSSGNAARARTRARLRVEASSMAEADPVEERLPAAPDAPLEPQPQVLTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCTEVVKSEAFFICNSIGGLVGLQAAVMDPQKCEGIFLLNISLRMLHISKQPWFGKPFIKSFQSLLRNTVIGRLFFNAVATPGSVKNILCQCYHDTSAVTDELVQFILQPGLNPGAVDVFLEFICYSGGPLPEELLPEVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLVNPLVESFVIRHS >LPERR07G17120.1 pep chromosome:Lperr_V1.4:7:17343825:17354071:1 gene:LPERR07G17120 transcript:LPERR07G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEPSSQATLIKAGQGYAPSKPVKESSRLCQVDVSLKATRVSQTEISPVKSALLFFPPFYICASEARKEQPEIFRFVVVIVIVAVLRAMRRIKGCLPCLPMASTAPLPVETSFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSAGQNGGGATFFKPSPVPAGFFTLGHYAQPNDRPLFGHVLVARDVSSGTGALLAPPLDYKLVWSSQDGSGHFWLPTPPDGYRAIGVAVTASPDKPPLDEVRCVRADFTDACEAEETVFDGDGLTAVSLRPAVRAFDARGVHAGTFATAASASTVACLRNNAAAYTSSMPDLPQVNALLAAYAPQLFVHPDEPYLPSSVTWFFQNGALLYQKDSQAPPTPVAADGSNLPQGGGNDGGYWLDLPVDGGQRERVKKGDIAGAKVYVQAKPMLGATVTDLAVWFFYPFNGPARAKVGPINIPLGEIGEHVGDWEHVTLRVSNFSGELLRVYFSQHSAGAWVDASQLEYLDATTNKPSAYSSLHGHALYPKPGLVLQGDAKLGIGIRNDCARGSRLDTGGDGRCEVVSAEYLAGAVVEPAWLLFDRGWGPREEYDIGREINRVAKLLPKATRERLRKLVEKVFVGDGPTGPRMKGSWRNDEREK >LPERR07G17120.2 pep chromosome:Lperr_V1.4:7:17343792:17354071:1 gene:LPERR07G17120 transcript:LPERR07G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKGCLPCLPMASTAPLPVETSFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSAGQNGGGATFFKPSPVPAGFFTLGHYAQPNDRPLFGHVLVARDVSSGTGALLAPPLDYKLVWSSQDGSGHFWLPTPPDGYRAIGVAVTASPDKPPLDEVRCVRADFTDACEAEETVFDGDGLTAVSLRPAVRAFDARGVHAGTFATAASASTVACLRNNAAAYTSSMPDLPQVNALLAAYAPQLFVHPDEPYLPSSVTWFFQNGALLYQKDSQAPPTPVAADGSNLPQGGGNDGGYWLDLPVDGGQRERVKKGDIAGAKVYVQAKPMLGATVTDLAVWFFYPFNGPARAKVGPINIPLGEIGEHVGDWEHVTLRVSNFSGELLRVYFSQHSAGAWVDASQLEYLDATTNKPSAYSSLHGHALYPKPGLVLQGDAKLGIGIRNDCARGSRLDTGGDGRCEVVSAEYLAGAVVEPAWLLFDRGWGPREEYDIGREINRVAKLLPKATRERLRKLVEKVFVGDGPTGPRMKGSWRNDEREK >LPERR07G17120.3 pep chromosome:Lperr_V1.4:7:17343792:17354071:1 gene:LPERR07G17120 transcript:LPERR07G17120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKGCLPCLPMASTAPLPVETSFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSAGQNGGGATFFKPSPVPAGFFTLGHYAQPNDRPLFGHVLVARDVSSGTGALLAPPLDYKLVWSSQDGSGHFWLPTPPDGYRAIGVAVTASPDKPPLDEVRCVRADFTDACEAEETVFDGDGLTAVSLRPAVRAFDARGVHAGTFATAASASTVACLRNNAAAYTSSMPDLPQVNALLAAYAPQLFVHPDEPYLPSSVTWFFQNGALLYQKDSQAPPTPVAADGSNLPQGGGNDGGYWLDLPVDGGQRERVKKGDIAGAKVYVQAKPMLGATVTDLAVWFFYPFNGPARAKVGPINIPLGEIGEHVGDWEHVTLRVSNFSGELLRVYFSQHSAGAWVDASQLEYLDATTNKPSAYSSLHGHALYPKPGLVLQGDAKLGIGIRNDCARGSRLDTGGDGRCEVVSAEYLAGAVVEPAWLLFDRGWGPREEYDIGREINRVAKLLPKATRERLRKLVEKVFVGDGPTGPRMKGSWRNDEREK >LPERR07G17120.4 pep chromosome:Lperr_V1.4:7:17343792:17352998:1 gene:LPERR07G17120 transcript:LPERR07G17120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKGCLPCLPMASTAPLPVETSFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSAGQNGGGATFFKPSPVPAGFFTLGHYAQPNDRPLFGHVLVARDVSSGTGALLAPPLDYKLVWSSQDGSGHFWLPTPPDGYRAIGVAVTASPDKPPLDEVRCVRADFTDACEAEETVFDGDGLTAVSLRPAVRAFDARGVHAGTFATAASASTVACLRNNAAAYTSSMPDLPQVNALLAAYAPQLFVHPDEPYLPSSVTWFFQNGALLYQKDSQAPPTPVAADGSNLPQGGGNDGGYWLDLPVDGGQRERVKKGDIAGAKVYVQAKPMLGATVTDLAVWFFYPFNGPARAKVGPINIPLGEIGEHVGDWEHVTLRVSNFSGELLRVYFSQHSAGAWVDASQLEYLDATTNKPSAYSSLHGHALYPKPGLVLQGDAKLGIGIRNDCARGSRLDTGGDGRCEVVSAEYLAGAVVEPAWLLFDRGWGPREEYDIGREINRVAKLLPKATRERLRKLVEKVFVGDGPTGPRMKGSWRNDEREK >LPERR07G17130.1 pep chromosome:Lperr_V1.4:7:17357173:17361039:1 gene:LPERR07G17130 transcript:LPERR07G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPADVSTIGAALRSAAPTVNDADVEKLRLIEELTSDVDAVQERVLSEILGRNAAAEYLSKCSLDAGDDISRATFRAKVPVVSYDDLKPYIQRIADGDRSPILSTRPITEFLTSSGTSGGERKLIPVVEDDSGRRHAMHSLIAPVLNLFVPGVDKGKGLYFLFVKAETKTRGGLTAWPALTSILKSERFKSQPNPYTSPTAVILCEDAFQSMYAQMLCGLCQRHDVLRVGAMFAATLLRAIRFLQLNWEQLAADIEAGELSRHVTDPSVREAVAGILRPDAELAEFIRAECSKVDWAGIITRIWPNTKYVDAVVTGAMAQYIPTLQHYSGGGLPIVSTSYVSSEASFGINLRPMCDPSDVSYTIMPNTAYFEFLPMDADADADDSTNLVDLARVEAGREYELVVTTFAGLSRYRVGDVLRVTGFHNAAPQLRFVRRQGVVLSVESDKTDEAELQRAVDRASALLRSRTRGACVAEFTSRACTDRVPGHYVVYWELLLTTDAGGDAVAVVDGETLGRCCLEMEEALSSVYRQGRVADGSIGPLEIRVVRAGTFEELMDVAVSRGMSIGQYKVPRCVTRSDVVELLDSRVVSCHFSPALPHWTPTPRSGTPASPRAPVTEAKSTC >LPERR07G17140.1 pep chromosome:Lperr_V1.4:7:17362680:17367844:1 gene:LPERR07G17140 transcript:LPERR07G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHGNMWPVSLKGGVMLLMLMVLNVSGTFVGINVGTAISNLPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGNEVLTTVPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMSQYLQFLNNTGSPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQIADPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPWHGAQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNTQVSTYIFELFNEDLRVGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPALRGKFCVAISSAPHSALKQSLDWACGPGSANCSAIQPGQSCYKSDDIVAVASYAFNDYYHRTQESGGTCSFNSTAMVTSTDPTLAPMAAVVVQLLGPQAQTILLHKFNRAG >LPERR07G17140.2 pep chromosome:Lperr_V1.4:7:17362916:17367844:1 gene:LPERR07G17140 transcript:LPERR07G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHGNMWPVSLKGGVMLLMLMVLNVSGTFVGINVGTAISNLPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGNEVLTTVPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMSQYLQFLNNTGSPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQIADPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPWHGAQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNTQVSTYIFELFNEDLRVGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPALRGKFCVAISSAPHSALKQSLDWACGPGSANCSAIQPGQSCYKSDDIVAVASYAFNDYYHRTQESGGTCSFNSTAMVTSTDPTLAPMAAVVVQLLGPQAQTILLHKFNRAG >LPERR07G17150.1 pep chromosome:Lperr_V1.4:7:17369445:17374177:1 gene:LPERR07G17150 transcript:LPERR07G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSFPTSRSPFPAGAGTSGGGGGGGGSSGGGGGGWSGVRPWGSSGGTSVSSSGKRIQKELMDLNASDCSAGPKGDSLYHWLSTIIGPQGSPYEGGIFFLDIVFPIEYPFKPPTVTFKTRIYHCNVDSTGNLSMEILREGWSPALTISKVLLAVKAIITNPDPYCPLVPSIGRLYLTDRSKHDEIAAEWTMSSPIPSTDYASTNHIRHPITTPPLRRSKLLFLVIFLVKPLTMATECDVNKSRRFDLGMSRRTRRSTSTIACYQDQHVPPLTQQLRQDAKLKALFQCQDTEEQPPYPYEDQELGTLQAPLQCEGEEQKIQNRYHDEQEEKLHHYLDEEQEKKLHHHLDEDQEKKFRHYLDEEQEMKPHQHQDGERKTPKQYLDEDQETLQQCQDEEKMPSQYEDEEEKVPNQYEDEEEKAPNQYEDEDTPGQYQDEEQKSAKQCKEQQQEEQKTSEKNQDEEHKTLKAQQQCQDKEHKTLGQCITVKKLITPPCADDVPRFSLQDLIQEKQLLIGEAKATGKLGNGEKATADHKIPPPPAAGGATLAMVIKRPEGKKSMGMIRRCVKALNQMVKAKHGSKKNKPF >LPERR07G17160.1 pep chromosome:Lperr_V1.4:7:17377483:17379759:1 gene:LPERR07G17160 transcript:LPERR07G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSTTAVAALPGAARTSFLSGRNTGRLSPLPSRATSFAVRAAAPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLSSDPESLKWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYHAGEQEYFTDKTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >LPERR07G17160.2 pep chromosome:Lperr_V1.4:7:17377461:17379759:1 gene:LPERR07G17160 transcript:LPERR07G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSTTAVAALPGAARTSFLSGRNTGRLSPLPSRATSFAVRAAAPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLSSDPESLKWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYHAGEQEYFTDKTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >LPERR07G17170.1 pep chromosome:Lperr_V1.4:7:17380620:17382390:1 gene:LPERR07G17170 transcript:LPERR07G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKVEKFRVVEVQRIHGVPHLGRRDDLCDDEEFERVMRDVVFGRDYDPKKDYRRLHTDPIAMLELMEFYHKMGMIEGKCVAYDLVEDEDDEETDGDEETDEDETDEGVEAVEVEIEAEPKTEERPVKEEEEKEKKPVKAEEAVDFRGMDATPDSPDEQVTRVVVDYVMLIMRGFRIQAQFATTVDRAEKTVQLLRTQRTGKCTLMGIGWL >LPERR07G17180.1 pep chromosome:Lperr_V1.4:7:17384122:17388864:1 gene:LPERR07G17180 transcript:LPERR07G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRRASQLLGSAASRLLQARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPSFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQASKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSKMFEIFKERGMLD >LPERR07G17190.1 pep chromosome:Lperr_V1.4:7:17390879:17392907:-1 gene:LPERR07G17190 transcript:LPERR07G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLQMTLIKAQAGVKHNMRRMNKSIIQKGSNHVLHVVLFALFCFFVVYLLSKFSRR >LPERR07G17200.1 pep chromosome:Lperr_V1.4:7:17404422:17412129:1 gene:LPERR07G17200 transcript:LPERR07G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLPARRQSKERFHSAVPRGDGHGNGGINKLTRDATNNERLWNNLRRSPPSPFHTPSAPVAGPASATHFRRSPPFPRFLLSVCVSSASSSPARLAPFPRFSSSCCCCPPRDAERSVAFRLVLPRPVGCGGRCFVLAAAAAASDAAVVMLSGQLADGFTTIFVGELVDGELHDIKPNKSGCISKLPLRQLVSVIVQYRWIAYVSIALGSCFVVVFLFGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMHQSSKALVPAIIYVCSLVVSVVLQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSRMHNFMYALSITIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQDDKSERYSAMVEYVSKVALDYNSTSLFTSNVF >LPERR07G17200.2 pep chromosome:Lperr_V1.4:7:17404422:17412017:1 gene:LPERR07G17200 transcript:LPERR07G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLPARRQSKERFHSAVPRGDGHGNGGINKLTRDATNNERLWNNLRRSPPSPFHTPSAPVAGPASATHFRRSPPFPRFLLSVCVSSASSSPARLAPFPRFSSSCCCCPPRDAERSVAFRLVLPRPVGCGGRCFVLAAAAAASDAAVVMLSGQLADGFTTIFVGELVDGELHDIKPNKSGCISKLPLRQLVSVIVQYRWIAYVSIALGSCFVVVFLFGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMHQSSKALVPAIIYVCSLVVSVVLQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSRMHNFMYALSITIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGSTNTRKNLDSSFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLYDEPLLA >LPERR07G17200.3 pep chromosome:Lperr_V1.4:7:17404422:17412050:1 gene:LPERR07G17200 transcript:LPERR07G17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLPARRQSKERFHSAVPRGDGHGNGGINKLTRDATNNERLWNNLRRSPPSPFHTPSAPVAGPASATHFRRSPPFPRFLLSVCVSSASSSPARLAPFPRFSSSCCCCPPRDAERSVAFRLVLPRPVGCGGRCFVLAAAAAASDAAVVMLSGQLADGFTTIFVGELYRWIAYVSIALGSCFVVVFLFGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMHQSSKALVPAIIYVCSLVVSVVLQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSRMHNFMYALSITIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGSTNTRKNLDSSFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLYDEPLLA >LPERR07G17200.4 pep chromosome:Lperr_V1.4:7:17404422:17412129:1 gene:LPERR07G17200 transcript:LPERR07G17200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLPARRQSKERFHSAVPRGDGHGNGGINKLTRDATNNERLWNNLRRSPPSPFHTPSAPVAGPASATHFRRSPPFPRFLLSVCVSSASSSPARLAPFPRFSSSCCCCPPRDAERSVAFRLVLPRPVGCGGRCFVLAAAAAASDAAVVMLSGQLADGFTTIFVGELYRWIAYVSIALGSCFVVVFLFGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMHQSSKALVPAIIYVCSLVVSVVLQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSRMHNFMYALSITIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQDDKSERYSAMVEYVSKVALDYNSTSLFTSNVF >LPERR07G17200.5 pep chromosome:Lperr_V1.4:7:17404422:17411067:1 gene:LPERR07G17200 transcript:LPERR07G17200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLPARRQSKERFHSAVPRGDGHGNGGINKLTRDATNNERLWNNLRRSPPSPFHTPSAPVAGPASATHFRRSPPFPRFLLSVCVSSASSSPARLAPFPRFSSSCCCCPPRDAERSVAFRLVLPRPVGCGGRCFVLAAAAAASDAAVVMLSGQLADGFTTIFVGELYRWIAYVSIALGSCFVVVFLFGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMHQSSKALVPAIIYVCSLVVSVVLQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSRMHNFMYALSITIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQDDKSERYSAMVEYVSKVALDYNSTSLCKLIQYPLTNVA >LPERR07G17210.1 pep chromosome:Lperr_V1.4:7:17412546:17414837:1 gene:LPERR07G17210 transcript:LPERR07G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMISKPLQCLALLFLLAQLTDSVFTPKPKNSIEHHKPQPSSNTYIVHVNHLLKPSRFATLDHWYKSMVATHSPSPLATNATASESGRILYTYDTVMHGFAVRLTAGEARSMSRAAGVTAVHEARMYHLHTTRSPGFLGLDPAYGVWNDTNFGDGVVIGIIDTGIWPESLSFDDTGLSPVRSSWKGGCVGLDASLCNNKLVGAKDFTSSPPNPRDEIGHGTHVASTAAGSEVDGAGLFMFARGTARGVAPKARIAMYKSCDNDGCSDPAIIAAIDAAVRDGVDIISMSLGGRPLAFHDDSLAIATFGAVRAGVFVALAAANKGPYPNTVTNVAPWMTTVGAGGVDRLFPANLTLGNDEVLIGQSLYTNKATRTAMTPLVLINECNKESYSPDVVMGKVVVCAKYGGASTGLRVQNAGGSGLIGVEENAWHGDGVDVEAYTLPSLILGRSKAMKLTEYIKSTASPVASFRFACETVSGENRAPTAVFFSSRGPNLIVPKLLKPDVLAPGLNILAAWPSDIPVSVDGRTSEYNILSGTSMACPHAAGVAALIRKKHSDWTPAMIRSAMMTTAATLDNTGRDIADQGVVDTGAADLTSATPLATGAGHVRPQLAVDPGLVYDAGVKDYVDFLCSLNYTVEQLRLFVPDIAGCPSPLPAGGPADLNYPSFVVAFNGRTRVRTLTRTVTKVYEKPEKYIVVVSAPAGVKVTVSPATLEFSKKNEKKSYTVEFRSVARRHVNQSLDFGHISWENRKHQVRSPVVFMWN >LPERR07G17220.1 pep chromosome:Lperr_V1.4:7:17419785:17423854:1 gene:LPERR07G17220 transcript:LPERR07G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNGATSLMVRLLLHLPRPAPSPTYRRRHRHLLTLPQLRASPSVPAAASSAAMSTAAAQAVADQKRALRSEVRRALRALSPEQRASEDQAIQNTILNSTWFKESKQICAYISCAQLREVDTSKILAEVLSPNSEHGHAKDLYVPRVEDKNRNMRMLKITSMDDLVKNSMNILEPSSLDGSGNAREEVLSASSPVDLLLLPGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWDQPLLVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >LPERR07G17230.1 pep chromosome:Lperr_V1.4:7:17423465:17424649:-1 gene:LPERR07G17230 transcript:LPERR07G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVDDKASPSLKIRIRLKRPARVDATAEDDAGEVADRVPTEPLSTNGATKRRHGEGEGADGAAASASKKKQASEPAASCVKEEETLVRAHAAAAVGDEEDSTPPWPPRAIKNGEQAARAAGSCVKEETLVRDHAAAVGDEDDATPRAIKNCKNGERAARVPSAGTTTPAAARAPSCAKKETTLVRADAAARDDDTTPPPRSIKNRKNGERRKEKHGKSARERARARSPRTPAAPAPAPSSTKKGTLQVRARTAARGDDGAPPPRAVVKESKNGGERRQENAGGERRQENAGGERRQENAGGESARQGATSAPSPSPSPAAQPTNSNAATNPVREAIARARPVSQDRRQREIARLREAARREIAAIRRTVEFNDPYISPQDAYKPLDKPDDKP >LPERR07G17240.1 pep chromosome:Lperr_V1.4:7:17426414:17428285:-1 gene:LPERR07G17240 transcript:LPERR07G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQGQFLHAISLFLQMRSSVAPRSSVPASLPAALKSSAALGLCTLATSLHALAIRSGAFADRFTANALLNMYIKLPALHHPFRTDGPSGERGVESAALESVQKVFDDMLERDAVSWNTLILGFAENKRHQKALSMVRVMWRDGFKPDSFTLSSVLPIFAEYADINRGMVVHGFAIKNGLDNDVFIGSSLIDMYANCTRMDYSMKVFNSFSDCDTILWNAMLAGCAQNGSVEEALGIFRRMLQSGVRPVPVTFSSLIPACGNLALLPLGKQLHAYLIRAVFNDNIFISSSLIDMYCKCGNVSIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFSSMPDKYGIVPSLEHCAALADTLGRAGELDEAYNFISKMKIKPTSSVWSTLLRACRVHKNTILAEEVAKKIFDLEPRSMGSHVILSNMYSASGRWNEAAHLRKSMRKKGIKKEPACSWIDVKNELHVFVAHDKSHPWYDRIIDALNVYSEQMIHQGYVPNMEDVFQDIEEEQKRDVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTVTKFISKIAAREIVVRDVNRFHHFKDGNCSCGDFW >LPERR07G17250.1 pep chromosome:Lperr_V1.4:7:17428345:17432668:-1 gene:LPERR07G17250 transcript:LPERR07G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMNKTSSVVMEDRRSRSRSSAIRRDRPASPREAHVRLNTSSVARKEDANRGGKPRPASSRDERLPPPPPHRTKRRHEDDHGRYYDDAYRACKKKHMADEPAAHVSSSSRTRPSAHASREKEERHARGGRDAAPRREIKDSKDDNGGRRSQERTPVTRRPCVSPPAAPAPVKRPDSFAAQEALTAAIARAREVLHIPEHVQKLREAARREIAAMMKSMADIMRKTSVVSLQEDGLPSSLIRRTPPPRPPPQRDVRVGLRLGASSSATRADDEIAALREEARREVASMVRTVEFNDPYISIADGRKAGGHFARDGEGHLTRRVLSREILHPPLFVEQLGSNHNRASRGSSGIASASTRPHWSLRISN >LPERR07G17260.1 pep chromosome:Lperr_V1.4:7:17437650:17438159:-1 gene:LPERR07G17260 transcript:LPERR07G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLHPLLCRRRRRPPLPSHCRRRFSLPFFAIASGDSPSPPSPASILVARRRPPAANLIVSGAEEAVDGACSTNLPWRRPAATSTVSRSDHRLEDSSCRWPEVPW >LPERR07G17270.1 pep chromosome:Lperr_V1.4:7:17439543:17440606:-1 gene:LPERR07G17270 transcript:LPERR07G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSAAAAAAAAAAGAGGVGRTPTWKERENNKRRERRRRAIAAKIFTGLRATGNFNLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPSAGMSPCSSAQLLSAPSSSYPSPVPSYHASPASSSFPSPSRLDNSNNPSPPSCLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTASRPPKMRKPDWDVDPFGRHPFFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPASPTYNLVNPSGGASNSNSMEIEGTAVGRGGPEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >LPERR07G17280.1 pep chromosome:Lperr_V1.4:7:17442046:17445533:-1 gene:LPERR07G17280 transcript:LPERR07G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEASSAEGRKGDASGSSSSSRLSSSLFPICSWGRAAVATEEGAGKRRWQRSNVPRRKDVGGGRARWGLEKRSSCFADQFHPREEAHSYLRCADVKRQCRSVLSSAAELTNDAYRASRLKRELSFEKGDWRQDDGQAKLLPFDGSDASEDGARPSLDPLPLARFIVTLVDDDAERLARNAVSISGLLILTISRTSSSPEIGYHHVPVVSPEFELSPGSTKLQIVFEGVYTEAAWSGDSDAGVGERVLCMVGTGVLPARGAEGADPWDWAKKTSRAGFLPPVATDEDMLLVLRYPKELTLMTRAVTGEMTSTRAMSDAAYFDRVQLVSGLTWSSMYVFRRPDELVATAAHPSDDDGNRGRELYKGMYLCDVLQRYGHGVINVRPSWQCNSMATGAPCRSLGPFEMDRADDADVSSGVGIVLRDLRCQGYEYDTAGKPGGVMVSGVFRALFRREYWVTALMRTELSGKTLSAEGVWSVSAGEIRMVACRGIGNKACHFRLTLSFPTTFSITGRDMMIGEITYNYTKVKRAGEFLGRSSLSSDLREIIARSLKLSYPNCRGYGYADGERSLAHLADRLALRFTAMPRLFSPPGWIERPVLHLEVFFLGQLIERFMPVSNDATTRSSVIPGDEPCFGKHRILNVSAEFTIFGKPRVPSSAMSLEGVYDPEDGRMYLIGCRDVHLPWRNSSTRGELDLEDGMDCSIEVKVEYPPPTTHWFVRSTAKVQIASTRVPGDDPLHFRTVKLQAQPVQYPRRWPEFMSRAIVNGVLCVVYLTATIAASLGQLHRLKHHPDVAPYVSLVMLGVQALGLIMPLFSGMESLLARVTLLSDSDTTTAPPPTSGSSYMLDYSRPYQTVRLTAMVLTVAAFTLTLHHAQKVQRSRARLVGRSPNAEAARVPSEGKVFVYHFAAHLAVFVLVLALNNGHAATVEQHVALMQDMFLLPQVIGNAAWRVNCELLPGSFYADATAARLLPRVYDPALRPTPVADVFSVDQAPAISSSRKSFFRNKASDDVVTPLAAVALTVTVFVQQRWNYVIVGKSPQKLHHLF >LPERR07G17290.1 pep chromosome:Lperr_V1.4:7:17455841:17457214:-1 gene:LPERR07G17290 transcript:LPERR07G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLLLPAATAAGAGPASASAAVYAHRRRFAAIVATAAAAPAAATGFDFNAYMGERAAAVNRALDASIPADDPPASLHEAMRYALLAGGKRVRPAVCLAACALVGGKEAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARADSYPPDVDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVALDRLEYIHLHKTAALLEASVVIGAILGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKSNFQNLIKRRQHHFCTWPIILPTGRTEVMVTPLIIFDL >LPERR07G17290.2 pep chromosome:Lperr_V1.4:7:17455880:17457214:-1 gene:LPERR07G17290 transcript:LPERR07G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLLLPAATAAGAGPASASAAVYAHRRRFAAIVATAAAAPAAATGFDFNAYMGERAAAVNRALDASIPADDPPASLHEAMRYALLAGGKRVRPAVCLAACALVGGKEAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARADSYPPDVDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVALDRLEYIHLHKTAALLEASVVIGAILGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAKEQLSEFDQEKAAPLLHLANYIAYRQN >LPERR07G17300.1 pep chromosome:Lperr_V1.4:7:17460383:17463866:-1 gene:LPERR07G17300 transcript:LPERR07G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWGMNVVSSVGIIMANKQLMSSSGYAFSFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCFMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVESEKKGTAPIPRNKSDMLDDSEDVPLKARPFCGWNWMCNGLWTLIPFSCILTKCDSIFGLGRDN >LPERR07G17310.1 pep chromosome:Lperr_V1.4:7:17469525:17473130:1 gene:LPERR07G17310 transcript:LPERR07G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEVEIIEGEVVEISIDRPVSAAAAGGSSAPSGVTAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQAYAEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKIVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDDAMQS >LPERR07G17320.1 pep chromosome:Lperr_V1.4:7:17473405:17481673:1 gene:LPERR07G17320 transcript:LPERR07G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAESNSAAAGGKTQNNSVPPVEGVAGGGTSYGWVDGGLQGSSLGNGAIDPTKVHSADLLHVWSMPSTANVSQQEAPRQLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGIYEGEIFITAVRTETESRGESLTKSERYQLYRELRSCLDITEHREYSSSEEMVQNLTSASTTLRRMLDLPSFQDCQESNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGIGFVWSMAPKVGMTHLIITSGGSFNTESVRFSADGEIACEFWHTRALGLAKEYYSDPRLAAYAVPYAPILSSTDSAKNSLRREVEILKSEAHWSKSYFYLWDEPLNVEQYHVIRSISNELQSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAEIRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERVLSGMQDIEYLKLYSSKYGREEGLALLEKTGMYFGPDRYAHDHGPIDVMRGEVAIPEKEAAYNLQHKWKVIVMNP >LPERR07G17320.2 pep chromosome:Lperr_V1.4:7:17473405:17481673:1 gene:LPERR07G17320 transcript:LPERR07G17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAESNSAAAGGKTQNNSVPPVEGVAGGGTSYGWVDGGLQGSSLGNGAIDPTKVHSADLLHVWSMPSTANVSQQEAPRQLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRETSAIWVSLNVPCGQQPGIYEGEIFITAVRTETESRGESLTKSERYQLYRELRSCLDITEHREYSSSEEMVQNLTSASTTLRRMLDLPSFQDCQESNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGIGFVWSMAPKVGMTHLIITSGGSFNTESVRFSADGEIACEFWHTRALGLAKEYYSDPRLAAYAVPYAPILSSTDSAKNSLRREVEILKSEAHWSKSYFYLWDEPLNVEQYHVIRSISNELQSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAEIRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERVLSGMQDIEYLKLYSSKYGREEGLALLEKTGMYFGPDRYAHDHGPIDVMRGEVAIPEKEAAYNLQHKWKVIVMNP >LPERR07G17320.3 pep chromosome:Lperr_V1.4:7:17473405:17481673:1 gene:LPERR07G17320 transcript:LPERR07G17320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESAESNSAAAGGKTQNNSVPPVEGVAGGGTSYGWVDGGLQGSSLGNGAIDPTKVHSADLLHVWSMPSTANVSQQEAPRQLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGIYEGEIFITAVRTETESRGESLTKSERYQLYRELRSCLDITEHREYSSSEEMVQNLTSASTTLRRMLDLPSFQDCQESNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGIGFVWSMAPKVGMTHLIITSGGSFNTESVRFSADGEIACEFWHTRALGLAKEYYSDPRLAAYAVPYAPILSSTDSAKNSLRREVEILKSEAHWSKSYFYLWDEPLNVEQYHVIRSISNELQSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERVLSGMQDIEYLKLYSSKYGREEGLALLEKTGMYFGPDRYAHDHGPIDVMRGEVAIPEKEAAYNLQHKWKVIVMNP >LPERR07G17330.1 pep chromosome:Lperr_V1.4:7:17486443:17490013:1 gene:LPERR07G17330 transcript:LPERR07G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTGTEVPEAGPGPAAAAAEPAPAPEAPVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPNCVHHNPTRALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDNDKEEGEKEEEENGDSPVAEVDEQQPSQVVAEGMPQRCPPSLPLPPPILQEQQPLVVAVVPNVDEQVVVAQPVLIAKQEIEDERDEDVCFQEADRFKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTGSSVTNSIAPTTTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFLQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSTPPSKLSQGRFTSNTPASQLPQGRFMDTAPAPPSKLPHRMFTDNRVQQWHHRSNQQMEMEPGPMLSGGLGLGLTYDSGNSGLPDLMMGPSTLYGPKPATLDFLGLGIGGTMAGSTANGGLQALMVGRELDMGSAQAPVPWEEAQRKTNGRTIL >LPERR07G17340.1 pep chromosome:Lperr_V1.4:7:17507121:17508552:1 gene:LPERR07G17340 transcript:LPERR07G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQLFASYVDASLLVSGVPSSQGERPRSRRRRRRGARCGAVGGGGDVDGSGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKQLEEEFNKLKHAHDSAILHKCHLENEVLRLKERLVVAEDELRRSRSAGSHTVSGEGGDMAGRGGGSGACVAGSPSSSFSTGTCQPPGVGGGDGIGDDDLIYVPEYGYADNSVVEWFSLYGLI >LPERR07G17350.1 pep chromosome:Lperr_V1.4:7:17520842:17523197:-1 gene:LPERR07G17350 transcript:LPERR07G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDDSLAAPSGEFKPRSQKHGQDKYAESDGHALLHRDDNGLRVLKITKRVKTGWGRRDNVFENQQQQQQNDDIYVLENTGKMISICCNTLFDCQEMIEGELANYQDQLQA >LPERR07G17360.1 pep chromosome:Lperr_V1.4:7:17523287:17525227:-1 gene:LPERR07G17360 transcript:LPERR07G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPPLHCAAAAVFLCLASSPHASFSSKSTNPRISIAAIVSDAIVALVAAAAARGGHSRLEADLDRLDPALSHPIVSSTLRALTDRVVPAERFFDWLVFRKRFSPSAHAHNLIVENAGRLGDYLAMSRALASMSARRIPVTERAFAFLNSSSSSQGGAKDTAMGILRALDEVGGPCRASGVFSLVKALASIREFDAVMSVIKETARGVRYYNALLAVKCKTGDFHGARQVFDEMRSGFGPNANSWNYLLGCLLKNGRVAEACELVEAMERYEPGNIPNSLTYEILAYHACKAGRMDSAMQIIDHMFLEKLTPRITIHTAFIKGYLYIGRVEDACRYVSAMSIRDRHSINRNYSLLAKLLCKTGRIVEAGRVLYELMEREVHVPDHSAYIRVIKDLHKIGKGNLATELKLIFQKLSVHLIKAFCKAADFSAAQRVFDASLSTCGQKEVLYSLMCTQFSIMIIEGLCKVNEVDHAHRLLKLLMVKRVFI >LPERR07G17370.1 pep chromosome:Lperr_V1.4:7:17534039:17538861:1 gene:LPERR07G17370 transcript:LPERR07G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPHQSLSIGLTTLRVGPGGDRSEYPTPASRCAYICLPIPLPPLPHRDELSTATRVHGPEQAFSSAPEMASAALPEAIEPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNLSDKKVEVLMGILNLYSLVGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFARLPLNIGWRIMLGIGAAPSVLLGLMVLGMPESPRWLVMKGRLADARKVLDKTSDSAEEAAERLADIKASAGIPEELDGDVVEVPKHGNSDEKRVWKELIFSPTPAMRRILLSGLGIHFFQQASGIDSVVLYSPRMFKSAGITDENHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLICLGAGLTVVGHNPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCALGVAANRCTSAVISMTFLSLSNAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGAVDTGLEAEEPAKEKKKVEMAATN >LPERR07G17380.1 pep chromosome:Lperr_V1.4:7:17537126:17538487:-1 gene:LPERR07G17380 transcript:LPERR07G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASLYIKKDLKITDVQVEILMGIVNIYSLVGSFAAGRTADWIGRQFTVVFAAAFFFSGALLMGFAGDYATLMAGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFTEVSINLGILLGRVSNYAFSRLPLYLGWRVMLGVGAAPSVLLALMVFVMPESPRWLVMKGRLADSKAVLEKVSDTTEEAWERLADIKAAAGIPSDIDGDVIAMPKKIGGGGGEERRVWRDLVVSPTPAMRRIVLAAVGLHFFQQASGTDSVVLYSPRVFESAGITSDDEFLATTCAVGVTKTLFVLVAANLLDRVGRRPMLLTSTGGMVVSLVGLATGLAVVGRSPEAQVPWAVGLCVASTLAYVAFFSVGLGPMCVVYTSEIFPLRVRALGFAVGVACNRVTSGVISMTFLSLSKAITIGGSFFLYAGITAVAWVFFFTHLPETRGQTLEEMARCSAWTTRP >LPERR07G17390.1 pep chromosome:Lperr_V1.4:7:17542392:17542814:-1 gene:LPERR07G17390 transcript:LPERR07G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMSGRSAAAAPPLPMADGGRTTTANRQRAGFVPPPSLLRLRRHTSPLPSTGAADLLQWRGSSSPPIASSSVDDDPTGVRLESRKSRQRSPLPRTIRRRNAGYFLHHHREVTTHKESRRRPPQCSALDDFSAASSTLL >LPERR07G17400.1 pep chromosome:Lperr_V1.4:7:17543173:17545697:-1 gene:LPERR07G17400 transcript:LPERR07G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKYAIVSAVLSSATPLLLGYDLVMVIGSAVLTDAPDMKLMVKILACIIVASCLLGAVAAVGAQCAIGDRQAVLLSTAALCAGALARGVATSFAAFAAGVFVNGVGMGMALVTVPAYAGELGGTACSLASYPDGFVCLGCILGALTYTTRFLNLPAHVAWRLTVSTGTAVPALLGLAVLLMPDSPRWPLVTKGDVTEARRVLERTEIRLFEVNTDHLGDGDDTVATPARQSRWREEREIWLDLLARPTEPLRRAIVTTVVTAFQQASGIGPVFLYAQHAFRHAGGMDAHMAAALVAFGFVVIAFSAMSLLLLELVWLLVKALAGCCCTRRAPSTSLHSYRGGVTGGMKWRREQMKWARSLSATMLLSTTALVWLALGPVHLADASARGCPRWMLAAMDAVNRAVRATILTTFMWVYEVNGSLPVCPVVIVFVCFFVCLCILGTRERP >LPERR07G17410.1 pep chromosome:Lperr_V1.4:7:17547448:17548416:-1 gene:LPERR07G17410 transcript:LPERR07G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTTSRRLSARKVARFEKNITKRGSVPETVKKGNGYPVGPIVLGFFVFVVVGSSLFQIIRTAQNAGYF >LPERR07G17420.1 pep chromosome:Lperr_V1.4:7:17552364:17556535:1 gene:LPERR07G17420 transcript:LPERR07G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPTPTPTPPQLAALRPAPFPLPSLPCAAASSRRGARSCAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEEEDEEAEEFEFEEEEDDYEGDDDLSGLEYPGVLYSNNPRATIKKTGQEKLALKQNWEGRQTKTRDIYDTPGKFDALHTKSKASRPGLVDIQNEVEANSNLYLQLKNGSISRSVFQKLQEEYEIDDKWLPLIDYLCTFGLKESHFANMYERHMACFQISQASAQERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVSLGIPSTRIGQIISAAPSLFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDNAWKSRFLFLSKEIGASKDNIVKMVMKHPQLLHYSIEDGILPRINFLRSIGMKDTDVLKVLTSLTQVLSLSLEENLKPKYLYLVNDLKNDVQSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKRWAGTSLEKYHAFRQRMLLKSIAEKTGRKTLTSIDTLKLEK >LPERR07G17430.1 pep chromosome:Lperr_V1.4:7:17557169:17560765:-1 gene:LPERR07G17430 transcript:LPERR07G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYFLEDEEGICALGEGWHKEADSSLAYGNGGYILSTSSYPQKRQYAVSSEEELRSLPSLLPPSPGQEFPLAYLRAQFQSSSSYRGIQARRRPIGQTGALQRPLLEPIWLEERLQSLSIPGDGTARNSQGAGPNVIGYPRSSSKSTSRPCHFHFFRGYCKKGVNCQFSHGSVSQKYGKSLQPEGYLTENQQHGRIGCSLTSLLMELNTIRVIDREHGQYYVVLVEDAQKYMECLGLAHSCNLMDTGSGSNQIYMTFPVHSKFTEDDVKNYFNQYGPVSGVRIPYQEKRMFGFVSFVYTETVRLILSKGTAHFIRGARVLVKHYREKPELSDEISLPDSLDLY >LPERR07G17430.2 pep chromosome:Lperr_V1.4:7:17557169:17560765:-1 gene:LPERR07G17430 transcript:LPERR07G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYFLEDEEGICALGEGWHKEADSSLAYGNGGYILSTSSYPQKRQYAVSSEEELRSLPSLLPPSPGQEFPLAYLRAQFQSSSSYRGIQARRRPIGQTGALQRPLLEPIWLEERLQSLSIPGDGTARNSQGAGPNVIGYPRSSSKSTSRPCHFHFFRGYCKKGVNCQFSHGSVSQVHNARQTHSFVSLNKLDMEIRELLIGIPPPVSVDRLPSMYFQKYGKSLQPEGYLTENQQHGRIGCSLTSLLMELNTIRVIDREHGQYYVVLVEDAQKYMECLGLAHSCNLMDTGSGSNQIYMTFPVHSKFTEDDVKNYFNQYGPVSGVRIPYQEKRMFGFVSFVYTETVRLILSKGTAHFIRGARVLVKHYREKPELSDEISLPDSLDLY >LPERR07G17440.1 pep chromosome:Lperr_V1.4:7:17564014:17568938:-1 gene:LPERR07G17440 transcript:LPERR07G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLEPQFQFLNTPVDYYMPMDSLNAQVNVLGSGVPEMYNQWQSHPLGSQWDFLPYSLMDDGSTPEHNIYITFREPSSGSRVSWKDVKDYFMKFGTVTNVNIRYKPEPMNYRFGSVTFKDADTVRHFLSTPRYHSVCGTEVRIKPYMERTERLQRKLAQKKHHIDNVAHRTSCANATEGHSGEKLPSYDELSQEVLKLQLCEECDITNTIAPETDLPTHNLSEKEAKSPEGSIIFQMTLVYIEKQ >LPERR07G17440.2 pep chromosome:Lperr_V1.4:7:17564014:17568938:-1 gene:LPERR07G17440 transcript:LPERR07G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSTPEHNIYITFREPSSGSRVSWKDVKDYFMKFGTVTNVNIRYKPEPMNYRFGSVTFKDADTVRHFLSTPRYHSVCGTEVRIKPYMERTERLQRKLAQKKHHIDNVAHRTSCANATEGHSGEKLPSYDELSQEVLKLQLCEECDITNTIAPETDLPTHNLSEKEAKSPEGSIIFQMTLVYIEKQ >LPERR07G17440.3 pep chromosome:Lperr_V1.4:7:17564014:17568938:-1 gene:LPERR07G17440 transcript:LPERR07G17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLEPQFQFLNTPVDYYMPMDSLNAQVNVLGSGVPEMYNQWQSHPLGSQWDFLPYSLMDDGSTPEHNIYITFREPSSGSRVSWKDVKDYFMKFGTVTNVNIRYKPEPMNYRFGSVTFKDADTVRHFLSTPRYHSVCGTEVRIKPYMERTERLQRKLAQKKHHIDNVAHRTSCANATEGHSGEKLPSYDELSQEVLKLQLCEECDITNTIAPETDLPTHNLSEKEAKSPEGSIIFQMTLVYIEKQ >LPERR07G17450.1 pep chromosome:Lperr_V1.4:7:17576852:17578562:-1 gene:LPERR07G17450 transcript:LPERR07G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSVGSQRRRRRRRGEAVSERAGEEEEEGERRRGRSSRGGSRLWWWGSEDVSGEGGRGEIGENFGWARVFLHGARARRPRFIVRHDGCDEGGVGPTVRAMFKRMWGQVEKAISSVLSSKPTPLGHSSADLFFLCKEKVYSLDRIGSYRIIMN >LPERR07G17450.2 pep chromosome:Lperr_V1.4:7:17576852:17578599:-1 gene:LPERR07G17450 transcript:LPERR07G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKFRVGSQRRRRRRRGEAVSERAGEEEEEGERRRGRSSRGGSRLWWWGSEDVSGEGGRGEIGENFGWARVFLHGARARRPRFIVRHDGCDEGGVGPTVRAMFKRMWGQVEKAISSVLSSKPTPLGHSSADLFFLCKEKVYSLDRIGSYRIIMN >LPERR07G17460.1 pep chromosome:Lperr_V1.4:7:17578737:17580371:1 gene:LPERR07G17460 transcript:LPERR07G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSSIPSQNLKQFQYSDNPQHPCNPYRAASDTHVVPQHYSLKSHSPDAGYESQVTPDRYTLDSSALAGCMRHDSPSSQSFTTKSSSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPESEIVNSLENSVANQLSLEPEKWTHMMGIPRGNLKELLIACARAVEEKNSFAIDLMITELRKMVSVSGEPLERLGAYMVEGLVARLASSGNSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWVSLLQALAARPGGPPIVRITGIDDSVSAYARGGGLDLVGRRLSHIAGLCKVPFEFHPLAISGTKVEEAHLGIIHGEAIAVNFTLELHHIPDESVSTANHRDRLLRMVKGLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDKERINMEQHCLAREIVNLVACEGEERAERYEPFGKWKSRLTMAGFRPSPLSSLVNSTIRTLLQSYSDNYKLAERDGALYLGWKNRPLVVSSAWH >LPERR07G17470.1 pep chromosome:Lperr_V1.4:7:17581233:17585833:-1 gene:LPERR07G17470 transcript:LPERR07G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDRPAGVGGSPEMQPLPDRRIAALAAAGAGARYKAMSPARLPISREPCLTIPPGFSPSALLGSPALLESPVLLNNFKVEPSPTTGTLSMAAIMSKSTNSDILPSPRDKSANSVHEDGASRDFEFKPHAPAINDPPKHEPYMQNGSLNNAPSSNDMMIDNKPLCSRESTVTVNVSSAPNQPFGMAGLTDSVPAELGTSELHQMNSSGNAMQETQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPSQGEERYDGVATTEDKSSNALSNLGNAVHSASMVEPVPGSASDDDVDAGGGRPYPGDDATEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVHPINSNMPSLGGMMRACEARNFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSSVPDQMQYQMQPMASIYSNMGHPAMQVPTMPGNAASSMYGSREEKPSEGFTFKATPMDHSANLCYSAAGNLVMGP >LPERR07G17480.1 pep chromosome:Lperr_V1.4:7:17597018:17600237:1 gene:LPERR07G17480 transcript:LPERR07G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTDPPPTHPKPAGDEMKKEKKRKCSRVPSQAIYGHPYKFGAAKRGEKRKKTENRSNREPRSKGQRRGPFHSFFLVASAFPTHHRSHPNPSIDASAPKSAGEAADHSPTHGDRAQSPLLPPLIWGIGDLTT >LPERR07G17490.1 pep chromosome:Lperr_V1.4:7:17597487:17597720:-1 gene:LPERR07G17490 transcript:LPERR07G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAESTLQNLHLNTFGPGSDSTNSKPITPAKSNPQTDGGTRDCPRIKSAKQASRTSCETTQTASVRSSNPRYPK >LPERR07G17500.1 pep chromosome:Lperr_V1.4:7:17601121:17604124:1 gene:LPERR07G17500 transcript:LPERR07G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTPPRRATTTTTREEGGMAVAAGALDQDEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHGEVRLLRSLHHEHIIGFHKVWLDREAGVLNFITEVCTSGNLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCLGQPRNRPSAADLLLDPFFAGIDDDVVG >LPERR07G17510.1 pep chromosome:Lperr_V1.4:7:17611202:17614203:1 gene:LPERR07G17510 transcript:LPERR07G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMDTAVKLSPSAKAGVFSPYSSPSTALLLQRRVVAWAKETGSPATVSVRVGERSFNLHKVGRDRYLLGMRRKKLVIADSDPLASRCGYFSHERLRSSEAIELPASFPGGTEAFEVIGLFCYGDGVALDPFNVAAVRCASEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQLLLPVAEELLVVGRCVESLAFMACMEILDPDDGRDQPHQPGAGAGSLAAAAAARGLDGRRWDAELVKELAARDLWIKDLVALPFDFFRRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMAARTDDDDDDGGGETDANRRATAILQGVIDLLPIESSAAAMGGAIPASFYFALLARSITLELSEESQTRLRDMVASNLQFAHVNDLPLPEQEPVGGRSIADSPEVRTMEIIVSSYVSMQKRSAAEAVAELWDRYIAQIVRDPNLRADRLVELIGVVPASDRKSHDHLYEAIDTYIVGNPGLSGEEKATLCGHLDCRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVSGGAAAYTPSPGCATAVPTSQPLSTSSPYTDGRKLRARGDGDDDAASGYETASFRIQALEQEIISLKQTLQRHNTVKRGSARKEPSFRVDAAETTSAAAARRRVPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGGRSSSSSRGKQSKCRASAGADQFSCL >LPERR07G17510.2 pep chromosome:Lperr_V1.4:7:17611202:17614203:1 gene:LPERR07G17510 transcript:LPERR07G17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMDTAVKLSPSAKAGVFSPYSSPSTALLLQRRVVAWAKETGSPATVSVRVGERSFNLHKDPLASRCGYFSHERLRSSEAIELPASFPGGTEAFEVIGLFCYGDGVALDPFNVAAVRCASEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQLLLPVAEELLVVGRCVESLAFMACMEILDPDDGRDQPHQPGAGAGSLAAAAAARGLDGRRWDAELVKELAARDLWIKDLVALPFDFFRRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMAARTDDDDDDGGGETDANRRATAILQGVIDLLPIESSAAAMGGAIPASFYFALLARSITLELSEESQTRLRDMVASNLQFAHVNDLPLPEQEPVGGRSIADSPEVRTMEIIVSSYVSMQKRSAAEAVAELWDRYIAQIVRDPNLRADRLVELIGVVPASDRKSHDHLYEAIDTYIVGNPGLSGEEKATLCGHLDCRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVSGGAAAYTPSPGCATAVPTSQPLSTSSPYTDGRKLRARGDGDDDAASGYETASFRIQALEQEIISLKQTLQRHNTVKRGSARKEPSFRVDAAETTSAAAARRRVPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGGRSSSSSRGKQSKCRASAGADQFSCL >LPERR07G17520.1 pep chromosome:Lperr_V1.4:7:17618258:17624629:1 gene:LPERR07G17520 transcript:LPERR07G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPTNTAAARRGVTSSSSSAWRLWMGLEEVVFFLQPRAHGTPTQAGRGVCVQRGRAERRRRSVQAQVTCPQPPPRGTSHARIEILASPEIEREVRRRGPPDPSSSPPPPGPEQPTTTTTTADRGRRGGEDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFSDPGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHNFLNGFNQGYNPNPIGGYGMRVDGRFGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSLLSSMARNVWGNGNLNYPSNPSNMSSFAPSGTGGQMGIAGDSINWGGPAPGHGMGNISSLGLANVGRGAGDSFGLPSGSYGRSNSTGTIGEPFSAQPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGITS >LPERR07G17530.1 pep chromosome:Lperr_V1.4:7:17618374:17618946:-1 gene:LPERR07G17530 transcript:LPERR07G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYRSAVVVVVVGCSGPGGGGEEEGSGGPRRRTSLSISGEAKISMRAWEVPRGGGWGQVTWAWTLRLLLSFYRTFAPPLSPLLPSARSEPRCAGGGCFVFTFAGEDNFFIRLRNPAIAVLGSIGKAEEVGRRGNGINNGGGRSAYCSAALDADAPPRLRGCAVCAWLEEEDDLLLLLPPAAAQTQPMMP >LPERR07G17540.1 pep chromosome:Lperr_V1.4:7:17626652:17628021:-1 gene:LPERR07G17540 transcript:LPERR07G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPCSLLFLLAVLIATTPLAAAQTSCAHRAFTAGSGRVYAACSDLEELNASVHWTHHAGNGTADIAFRLPAAHAAGSWAAWAINPSAVGMIGANALLAYHDPSTGAVSVVTAVIDSYAPLVVADSTTNLTFAVYSRGAEYAGGAYAIHATIQLPGNSTRQNIVWQVGSTSSNGLPDTHQTYGDNVMSSRSWDFSSGNATVVVPKSTEGDNAYEAILRRKNIHGVLNALSWGALFPLGVILARYMRVFPSLDPAWFYLHVACQCSGYVIGAVGWGLGLTLGNKGALYSYHRNIGIALFPLATLQVFALLIRPKKTVKVRFYWNLYHWSVGYTVVILSVLNVFKGIDILQPDQKYKHGYVGVVLVLAMVAFVLEVFTLTVRFKKGGKGSG >LPERR07G17550.1 pep chromosome:Lperr_V1.4:7:17629429:17633121:1 gene:LPERR07G17550 transcript:LPERR07G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGSQIPSPGQSPCAAARPSQHRTGHSMRTIRSALLQPESCPGSPHLAGEDSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAATPRSDVVEIGAVDLNELESMDLSVEAAPLERVEPFVLACVQALGADAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAPAKQNAACALLSLSGIEENRATIGACGAIPPLVVLLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTSEKAMVVLASLAGIVEGRDAVVDAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGVGGCRVEPVAASSLAR >LPERR07G17560.1 pep chromosome:Lperr_V1.4:7:17647005:17649592:1 gene:LPERR07G17560 transcript:LPERR07G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQEKAVSAPATANGGGELIGYVDVHVQSARDIQNICIYHKQDVYARLSLPGEGAPAAATQVINGGGRNPVFDQSLRLGVRAGDVDGAIRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAADGGTLTREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPAALEAEVGAGAVAGAGDANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPQSSGSLLTSEEDGDGGGATAETHVAGVRVVESFSTDNSTADSSAGTFRTDTPVSSVSTTESPVVAAAAVPASPQSSGNAVSSAEKVAAYTDAEVESSRTVVNSPGAMSESAVDKPLPPPPVIAVNIEQEIKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGNGNSSEKSATGAGAGAAASDADSSAADSGAAAPAARKPTAGGPQEKSPKVFYGSRAFF >LPERR07G17570.1 pep chromosome:Lperr_V1.4:7:17653280:17660671:1 gene:LPERR07G17570 transcript:LPERR07G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESEHMKKFFHYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQHMKSDCGGSTVLHMAGSSTIRTGSMGMESNLLMSPLMPWQRQMSALPPTSDLNPSQQAPGGVGVAVALSTHGNSSTKDVRHSVGGGGGRVSGEGSGGNGGNGGNGGKAGSGGSDGRAGIGGNGGRAGKSGAAGVEVVAASCASWSPEMAGCCSDGCWLAAAVGLSSCTFVAADSCRVAPGMANVRSMTMNHMRRAISLRAVETEIKVYRDTKECYITMSR >LPERR07G17570.2 pep chromosome:Lperr_V1.4:7:17653280:17660845:1 gene:LPERR07G17570 transcript:LPERR07G17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESEHMKKFFHYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >LPERR07G17570.3 pep chromosome:Lperr_V1.4:7:17653280:17660845:1 gene:LPERR07G17570 transcript:LPERR07G17570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESEHMKKFFHYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >LPERR07G17570.4 pep chromosome:Lperr_V1.4:7:17660039:17660671:1 gene:LPERR07G17570 transcript:LPERR07G17570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTIRTGSMGMESNLLMSPLMPWQRQMSALPPTSDLNPSQQAPGGVGVAVALSTHGNSSTKDVRHSVGGGGGRVSGEGSGGNGGNGGNGGKAGSGGSDGRAGIGGNGGRAGKSGAAGVEVVAASCASWSPEMAGCCSDGCWLAAAVGLSSCTFVAADSCRVAPGMANVRSMTMNHMRRAISLRAVETEIKVYRDTKECYITMSR >LPERR07G17580.1 pep chromosome:Lperr_V1.4:7:17659846:17660595:-1 gene:LPERR07G17580 transcript:LPERR07G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMWFIVMLLTFAMPGATRQLSAATKVQELKPTAAASQQPSLQQPAISGDQEAQEAATTSTPAAPLFPALPPLPPIPALPSLPPLPALPPLPPLPPLPPLPSPETRPPPPPTECLTSFVELLPCVDYLTNATATPTPPGACCDGFRSLVGGSALICLCHGINGDMSRLLSMPIDPVRMVLLPAMCSTVLPPQSLFMCYTEPVPPLMPP >LPERR07G17590.1 pep chromosome:Lperr_V1.4:7:17663994:17666116:1 gene:LPERR07G17590 transcript:LPERR07G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPAQGYPPAGYPPQQGYPPQQGYPPPYAQPPPQQQHSSGPSFMEGWDFRGQERKGDQAMFSDLFGAAAAAGVVVAGAHLGCPLLLLSAGRLLLRRNAGEQES >LPERR07G17590.2 pep chromosome:Lperr_V1.4:7:17663994:17666116:1 gene:LPERR07G17590 transcript:LPERR07G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPAQGYPPAGYPPQQGYPPQQGYPPPYAQPPPQQQHSSGPSFMEGCLAALCCCCLLDACF >LPERR07G17600.1 pep chromosome:Lperr_V1.4:7:17667717:17674935:-1 gene:LPERR07G17600 transcript:LPERR07G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAATARVAAAEGVSTTKGSRGGGGAEPARSLRLPPSNGTRRGVRCSAVGNPGGGGGCGGAAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLTERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGTIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDISRIKERLDSESIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRAIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDGEPSLNGNGSGHLDAYATSFKNGLGFNNGNGIYSGEQGFAIGGEERLGRSNGYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVQRDGSIIACAALFPFPEDKSGEVAAIAVSEECRGKGQGDKLLDYIEKAAMALGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHARVTPNNIIAR >LPERR07G17600.2 pep chromosome:Lperr_V1.4:7:17666203:17674935:-1 gene:LPERR07G17600 transcript:LPERR07G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAATARVAAAEGVSTTKGSRGGGGAEPARSLRLPPSNGTRRGVRCSAVGNPGGGGGCGGAAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLTERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGTIGRWHGLVDNVASGNFLGAKADKLICIVDGQIFDEHGRAIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDGEPSLNGNGSGHLDAYATSFKNGLGFNNGNGIYSGEQGFAIGGEERLGRSNGYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVQRDGSIIACAALFPFPEDKSGEVAAIAVSEECRGKGQGDKLLDYIEKAAMALGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHARSSDKY >LPERR07G17600.3 pep chromosome:Lperr_V1.4:7:17667717:17674935:-1 gene:LPERR07G17600 transcript:LPERR07G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAATARVAAAEGVSTTKGSRGGGGAEPARSLRLPPSNGTRRGVRCSAVGNPGGGGGCGGAAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLTERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGTIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDISRIKERLDSESIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRAIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDGEPSLNGNGSGHLDAYATSFKNGLGFNNGNGIYSGEQGFAIGGEERDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVQRDGSIIACAALFPFPEDKSGEVAAIAVSEECRGKGQGDKLLDYIEKAAMALGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHARVTPNNIIAR >LPERR07G17600.4 pep chromosome:Lperr_V1.4:7:17667717:17674935:-1 gene:LPERR07G17600 transcript:LPERR07G17600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAATARVAAAEGVSTTKGSRGGGGAEPARSLRLPPSNGTRRGVRCSAVGNPGGGGGCGGAAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLTERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGTIGRWHGLVDNVASGNFLGAKADKLICIVDGQIFDEHGRAIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDGEPSLNGNGSGHLDAYATSFKNGLGFNNGNGIYSGEQGFAIGGEERLGRSNGYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVQRDGSIIACAALFPFPEDKSGEVAAIAVSEECRGKGQGDKLLDYIEKAAMALGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHARVTPNNIIAR >LPERR07G17600.5 pep chromosome:Lperr_V1.4:7:17667717:17674935:-1 gene:LPERR07G17600 transcript:LPERR07G17600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAATARVAAAEGVSTTKGSRGGGGAEPARSLRLPPSNGTRRGVRCSAVGNPGGGGGCGGAAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLTERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGTIGRWHGLVDNVASGNFLGAKADKLICIVDGQIFDEHGRAIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDGEPSLNGNGSGHLDAYATSFKNGLGFNNGNGIYSGEQGFAIGGEERDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVQRDGSIIACAALFPFPEDKSGEVAAIAVSEECRGKGQGDKLLDYIEKAAMALGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHARVTPNNIIAR >LPERR07G17610.1 pep chromosome:Lperr_V1.4:7:17677859:17678809:1 gene:LPERR07G17610 transcript:LPERR07G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQQVDMAVKANEILARFRPIAPKPVLPPVAASGSDSGAAAAANTNRVLCQLQSRPCRARKRGRPSVMPPVSPPATAAAKRKRAAPPVYPVPVAPLRCAAAATTTRPGVSVVVVPGSADPSLSPTVVVDDDDEEEGNGGGGGGLVERDLLRKLLEPKVISPRAVRPVGSTIHVESVHAAAADAADAAVAKTAEEVEAELESDDLPAVVSDSGNRVRLVNDAYKRMVGQPECPWLDAVAATAASRRISGEVALVVAEPPPPETCTGFSCSAKIAWERDGKWSSVRAPCDVTPLQCESRDYAFVWRFRTAAAADAA >LPERR07G17620.1 pep chromosome:Lperr_V1.4:7:17679512:17684378:-1 gene:LPERR07G17620 transcript:LPERR07G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSLPTLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPDEHLQRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEASLTFDSAESAKAFAEKHGWDYVVRKRHTPLLKPKSYAENFKWRGPPKAEQA >LPERR07G17630.1 pep chromosome:Lperr_V1.4:7:17688157:17688966:1 gene:LPERR07G17630 transcript:LPERR07G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGTGSFKDVDKDAGAGGKAAAPESAKKKKQKENPYASRGLDKFSTVVSELESRREKILRHVGDGGEHVLVRFSQSETKGWVPIVVKLPPEVEEQRKGGKKRKQATSMTSSQSSTPPTSEPVSPREDAVKATTAPAPAASTAVAPRKAVVAGERWSWSWGKNVRPRHYMPFVAVLLLASLVVFGKVFAICCTSVWWYLVPILSTSNGGEAHGARRAPAAAAPTKAVKFLGKKASDKKIVVPAHGKKGSVAGVHELISPRSHPNGKKG >LPERR07G17640.1 pep chromosome:Lperr_V1.4:7:17689894:17693059:-1 gene:LPERR07G17640 transcript:LPERR07G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATLRPTSPLPLPSGLTLAPRLKLLLTFFRADLSVRPVDEWQLKSALLAFLRDPPLSLAVLPDSDLSVRGLPDLHKRRRDEPVASGVLHVRDLSFIRPRGRKGEDDEAEEEMSPEQEEEKYFQWRSTLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMRKSWENFYASELLSSRNPVRKIAKRPDTIVVRGVPSRWFAETRISSKASTLVTHTIFSALGKIRNLNISSDDEWGAKQDGANKEIISGLNCKVWVQFENYDDFNSAMKALCGRSLEKEGSRLKLDYEVTWDREGFFRNAQYEPVRSNLEERDSSAHGRKKHYTPRIESDHRKRFRD >LPERR07G17650.1 pep chromosome:Lperr_V1.4:7:17700735:17700923:-1 gene:LPERR07G17650 transcript:LPERR07G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGTSVLVGKSCEDPSRSVSWDGVHFTEAANKFVVDQIFDGKLSDPPVPLRLACRRGGGR >LPERR07G17660.1 pep chromosome:Lperr_V1.4:7:17702707:17705261:-1 gene:LPERR07G17660 transcript:LPERR07G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRRCNTRLALLLLFVLLLLQELSAVASSDDAPCDFPAIFNFGDSNSDTGGLSALFAALPPPFGRTYFGMPAGRYSDGRLIIDFMAQSLGIRYLSPYLDSVGSNFTQGANFATAAATIRLANGSSDISPISLDVQMSEFEQFINRSQFVYNNIGGIYREILPKGEYFPRALYTFDIGQNDLVSKGYLANMTTEQVQAFVPDLMEKLSAAIQRVYRLGGRYFWVHNTAPLGCLTYAVVLRPDIAAPKDDAGCSVAYNTGARFFNARLNETVARLRASLPDATLTYVDVYSAKYKLFSQAKQLGFRDPLRVCCGYGGGEYNFDRNIRCGGRMEVNGTSVLAGKSCEDPSRSVGWDGVHFTEAANKFVYDQIVDGKLSDPPVPLRLACRWGGRR >LPERR07G17670.1 pep chromosome:Lperr_V1.4:7:17705322:17709894:-1 gene:LPERR07G17670 transcript:LPERR07G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGSMQRRAKLITNSCGDHRMTNVQWARISKYFVDYRVINCPTGAKKHRMTGPKETS >LPERR07G17680.1 pep chromosome:Lperr_V1.4:7:17710174:17713244:-1 gene:LPERR07G17680 transcript:LPERR07G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCAAVVVAAVVVLTLQVSVGAGAECRFPAVFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPAGRYCDGRLVIDFIAESLGLPYISAYLNSIGSNFTQGANFATAGSSIRRQNTSLFISGFSPISLDVQSWEFEQFINRSQFAYNNKGGIYRQILPKAEYFSQALYTFDIGQNDITTGFFINMTTEQVIAYIPDLMERLTTIIQNVYALGGRYFWIHNTGPIGCLPYAVVHRPDLAEPKDGAGCSVAYNKAAQVFNQRLKETVARLRKNRPDAAFTYVDVYSAKYKLISESKKLEFDDPMLPCCGNVKVGCGEKAQVNGTWVVVGKSCDEPLKRVSWDGVHFTEAANRFVFEQIVGGKLSDPPLPLRQACQISRGQ >LPERR07G17690.1 pep chromosome:Lperr_V1.4:7:17720685:17721512:-1 gene:LPERR07G17690 transcript:LPERR07G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTIIHGSVNEGSVCDSFHPRFLSEYRHESLRNVMITGFCSAKSMVELTCHILEKTTSLECLTLDTTRGFDKSHANNDKCLPMNREALLEAENACLAIRRHIEGRVLSTVNLKEPPDTGWAKVYVDGLFCPINDTTGIGVVIRGHHGSVLLFSWHTINHGADAEVENLACREGLALSVEWIRMPCIV >LPERR07G17700.1 pep chromosome:Lperr_V1.4:7:17724601:17730647:1 gene:LPERR07G17700 transcript:LPERR07G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASSEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDTQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECEPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKLFGDKNQDNDLNVHSNENGTSKSDVFERNADEDLEQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEDIRQNGSSRDCNNDQEDPSAMASLGLTNPQEIWNLADNSRVFLESLRLFFEKREKEVGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIVAGLIVIEAIKVLQGDYKRYRMTYCLEHPSRKMLLMPVEPFEPNKLCYVCSETPLLVEVNTKTTKLREVIDKIIKGKLGMNLPLVMIGSTLVFEDGDGLEEDEAANYALNLEKFLAELPAPVVDGTKLTVEDFHQELSCSINIKHSFVSCATQPSEKVLEVLPELYGVVVYTYRYMLLDEFDEEKEPDGMVLTGWSAPVEKQVTSNGGNQSVASSSGADDADGIIEDLSTKPGMKRKLDEILETKENCDASSSAQVVEDYDDDDIVMLDEDPALAKKKRLQ >LPERR07G17710.1 pep chromosome:Lperr_V1.4:7:17731183:17731902:-1 gene:LPERR07G17710 transcript:LPERR07G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVNFLQIQIQIAWGAEHANANAFLPSRSARSAPTPPTRNDTMLQCQLPPRPPPRLFLAVVPSAASTAVSFTPRAAAQRGRGRRSKPKPKPKPKRVAFPPPPLRRLVSSTLRRLLPRPRPLTALLLLGGGGGGGWMTRRRRRGTPAEELAALVLSLALGDKVAVLADYWNASGLGQAVGVWAAVWRGRRRRGGGLRRLAALLLGIAFCALVCHFRGAALVDGLARTAGGRKLARIFLH >LPERR07G17720.1 pep chromosome:Lperr_V1.4:7:17732765:17735524:-1 gene:LPERR07G17720 transcript:LPERR07G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASASAAVSARLKREDYPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGVQRYRVLNLPENFPGLYELGVARDSDEGLRARRNGSGGVVVVYLGQADNVRARLQQYGRTGSHLDGGNSLSSAGKAETKLQATVPGLFREVFARGYSLVFRCALMGNKQEAEKTETQLLRVFDYAWNKLQNGACRREEILIKLEQAAGSHRSSLLGRVRQFKQEMFREKAGIKISRNGSVEISSGIMKNLLPRIRTFVGFRPQLVNSGDNVDNTFDSNSCGNKQARRRSEGYKVKKVDVIKRKSAPKRDSNTVCGVMLEDGSSCLQPPVRGRKRCELHKGRRLGRITANLKGSSNNPFQVEISIVESIPLTENGGKSNQALKMEVTPAAMKESSRARNSFEANDMKTREAPIEDGTHETSGIVHICEAKSDNSACTSKLVLGSEKCALCNGCKSEQFVPSQGNGLLKNEEKIRIMTGNKLCGGETSHAKDDQSLENQPSGRMWFEMLKAQNSTSALSSNGRGCQRRVADVGVATICVALTDNGYHKTIPMAGRERCNEHEGIKVTDASSVPFSGSSGWPSICGARALDGSPCKNQPVAGKKRCALHKGQRACRTPQSIE >LPERR07G17730.1 pep chromosome:Lperr_V1.4:7:17740379:17741173:-1 gene:LPERR07G17730 transcript:LPERR07G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQSSMSSAWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLAHELVDERDGSVFGAIFERDHQAAPAPSLPTPPVAFADGEGDGEAPRAVIAFRGTLLRGATIRRDVEDELRLLARNSLRGSARLAGAVQALRATIERFGSENVCLCGHSLGAGFARQVARMLASPRHHSHQQPASAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAIGRWHGKALKNVAYANCILGYTRLESSGRRL >LPERR07G17740.1 pep chromosome:Lperr_V1.4:7:17764738:17766670:1 gene:LPERR07G17740 transcript:LPERR07G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQAASEQQQQQQSASYNSRSTTSSGSRSSSHQTNASYSYYHNHSSNSGGGYYYGGGAPPPPPQHYYYLEQPYQEDQCGNAHQLYMDEDFSSSSSSRQHQQHFHHGAPPPVSSAPTPPLSTSSTAAGAGGGHGLFEAADLSFPPDLNLDFSSPASSSGGGGGGGGTASSGAVGGGGGGRWASQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTSSGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEASSAAAASSSDSATAQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLRDGGATTALAVNCVNSLRGVAPGKARRRDAFAASLRRLDPRVVTVVEEEADLVAASSDADAPSEEADTDAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAAAWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMRDASTDDSAAGAGVFLAWKEQPLVWASAWRP >LPERR07G17750.1 pep chromosome:Lperr_V1.4:7:17781208:17787072:-1 gene:LPERR07G17750 transcript:LPERR07G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRREGPLMRGGGGGGGQPLSRGSRIAAAVAVGVTLGCVCAFLYPDGLLSRSSDAALHWARQADSVACENYEGVTNLKSKLVSLERKNAEFRKQINELTMKLQLAGQGKDEVPYKAGPFGTVKALRTNPTVTPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGITNYLVVALDDSTETFCKSKDVPFYRRDPDEGIDNIGKAGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREAKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYLFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKSDRMKAVIEFYVNGKQNALEHFPDGSE >LPERR07G17760.1 pep chromosome:Lperr_V1.4:7:17788914:17793897:-1 gene:LPERR07G17760 transcript:LPERR07G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRSWQELGVVDTIYEDDHEEEEEEEEECFDSPTMSSSPAATSLSCSPPPFAMPPSLRDAVREWSRVNGPCKPDVIIRVQEHCFALHRDPITSKSSYLKRRLSESSDIAVDLPSGLTVDAFVDAVASCYAAAAEALSPATLAAAWAAAGWLELRAGEDDDDDGLARRAEDYFFQEVATDNGRAAAVLRSCTAFLGGEAAGAAASLLVRCLETLASSGGGGGGRWLDDVAALPVEEFEVVVEAMRARFAHDHDLMYTIVDHYLENHRGKLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSTTTAAHPHHAAAAGGNGMTLGDILQRDAVLRQSAHIRASMNATSQRIDCLERELAGLRTRLRRSEQQAAAAATASAAIDRASAKSASFRIPRSRLWDGEDIAAASGAAAIRKDVVMANGKGNNNNNNLRSRLVVGFKNLFGRRTVAGGGGESDNARVNNDKIMAADVDADANADEVVCKEERTRPHRRNLSMV >LPERR07G17770.1 pep chromosome:Lperr_V1.4:7:17796310:17796582:-1 gene:LPERR07G17770 transcript:LPERR07G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDAIRRSHERGGGGYRCMSSADGSSHGGGSRRNLIDYWELPDAAAAAEDDTRARPGSVVQAAGRRAADDERAVVAGSAYRRK >LPERR07G17780.1 pep chromosome:Lperr_V1.4:7:17798967:17800280:-1 gene:LPERR07G17780 transcript:LPERR07G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSASGETVIKHFNKEQEADQSNFRDPDTNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLSDDEGLYEDSD >LPERR07G17790.1 pep chromosome:Lperr_V1.4:7:17805372:17808716:1 gene:LPERR07G17790 transcript:LPERR07G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASISPRRRSSSSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASDGTISSLVAELESASSSLDSLRRAAMELRLLAKHNPDNRIRIAAAGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGSAAASIGRAGAIPLLVSLLETGGARGKKDAATALYTLCSGARENRLRAVEAGAVRPLLDLMSDPETGMVDKAAYVLHSLVGIAEGRSAAVEEGGIPVLVEMVEVGTSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSPSARPKLKTKAEALIEMLRQPRSTSLRARPTAVVAAE >LPERR07G17800.1 pep chromosome:Lperr_V1.4:7:17819060:17826630:1 gene:LPERR07G17800 transcript:LPERR07G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGGDDVIDSSDADSVGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTLGSGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGTIRKPRPPVLAAAISAWAFLLTTIGSWRVNTDSWKEPIAFLSTLLGAEDRAVRMAAGEVLALCFELNLLDVSFGEDDDVENGETGGSKSKLFLDMRALRAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLMVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFNIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLELDE >LPERR07G17800.2 pep chromosome:Lperr_V1.4:7:17818916:17826630:1 gene:LPERR07G17800 transcript:LPERR07G17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGGDDVIDSSDADSVGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTLGSGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGTIRKPRPPVLAAAISAWAFLLTTIGSWRVNTDSWKEPIAFLSTLLGAEDRAVRMAAGEVLALCFELNLLDVSFGEDDDVENGETGGSKSKLFLDMRALRAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLMVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFNIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLELDE >LPERR07G17800.3 pep chromosome:Lperr_V1.4:7:17821205:17826630:1 gene:LPERR07G17800 transcript:LPERR07G17800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQLPCPSTLPVPSAEQLRFLHRPPLVYISAKPKPAKARPRLETTPPPISSLFPLLLLPPLAHALPILTWQLRESSTPNPKNPSRTTLASASASPPTLIPTRRFPISVLLVPAPPLPSAPTAPAPDSSSPRFSLLRFFFLRRFACKKSKVRGGDDVIDSSDADSVGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTLGSGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGTIRKPRPPVLAAAISAWAFLLTTIGSWRVNTDSWKEPIAFLSTLLGAEDRAVRMAAGEVLALCFELNLLDVSFGEDDDVENGETGGSKSKLFLDMRALRAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLMVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFNIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLELDE >LPERR07G17810.1 pep chromosome:Lperr_V1.4:7:17827659:17830696:-1 gene:LPERR07G17810 transcript:LPERR07G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPPPPPSTAVAALPSQCSLLLRRLAERRHSPSPTCPTSFLRALRRLHARLLTADLLHAPSHPHLTLRLIHLYTLSPDLAAAAALFRHDPGPVAATSLVSAHAAAGRLRDAASFFDAVPPPRRDTVLHNAVISAFARASLAAPAVSVFRTLLGSGSSTLRPDDYSFTALLSAVGQMHDLAASHCTQLHCSVIKSGAATALSVSNALIALYMKCDTPAASLDARKVLDEMPDRDELTWTTMVVGYVRRGDVNAARSVFEEVDGKFDVVWNAMISGYVQSGMFADAFELFRRMVSEKVPLDEFTFTSVLSACANAGLFVYGKSVHGQIIRLQPNFVPDAALPVNNALVTLYSKGGKIVVAKRIFDAMKLKDVVSWNTILSGYIDSGCLDKAAEVFKAIPYKNDLSWMVMVSGYVHGGLSEHALKLFNQMRAEDVKPCDYTYAGAIAACGELGALKHGKQLHAHLVQCGFEASNSAGNALITMYAKCGAVKDARLVFLVMPNVDSVSWNAMISALGQHGHGREALELFDQMVAEGIDPDRISFLTILTACNHAGLVDEGFYYFESMKRDFGISPGEDHYARLIDLLGRAGRIGEARDLIKTMPFEPTAPIWEAILSGCRTNGDMKFGAYAADQLFRMIPQHDGTYILLSNTYSAAGRWVDAAKVRKLMRDRGVKKEPGCSWIEVGSKTHVFLVGDTKHPEAQEVHQSLEVINARMRKLGYVPDTKFVLHDMEPHEKEYVLFAHSEKLAVGFGLLKLPPGATITVLKNLRICGDCHTAMMFMSKAVGREIVVRDVRRFHHFKDGECSCAGRLMHSNGTMGIRVTVLSLIIFHKSFSVPYCHVTIQCLNDEGSRSSIGNGELGTDGRNASRSRELCKVFGRPHYTISSFDDTYLTPDC >LPERR07G17820.1 pep chromosome:Lperr_V1.4:7:17834870:17840759:1 gene:LPERR07G17820 transcript:LPERR07G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVALLSTVIAVLLAEQLCAAASAGEVDVTGGGGVVRRRSLHQPFFPIEWSPPPPMSGSTVVPPPPTALAAASTTTAGGGGRSSTTLMNTVAIALTAGLVALAVASYSCCLLLRRRRLEDDGGRAAKRAVAVGAVPATARVPSDVGSSSRQHRSPPPSSTASDAIYLDPLTTLVEVREQHHQPPPRSPDLRPLPMLKQPSPDLRPLPPLKRPESQPPPPPPPSTPPLTTTGYSSDEDDQATYYTAPKTAMSSVSRSTSQQSTVLEQAAVAAAAPAPPQSNPPRPNRPPPPPPPPRQRLLRPLPAESPPPAALANLELTNSQEMGGENSGGCGGARPPKPPHLKPLHWDKLRAISGRTTVWDQVKNSDTFRVDEEAMESLFMNSGGGGGGVGSSDQAAARRGITGKQESRLLDPKRLQNVAIMLKALNVTADEVIAALVRGKPEDLGPEFYETLAKMAPTKEEELKLKDYSGDLSKIDPAERFLKGVLGVPFAFERVDAMLYRANFDNEVNYLRKSFGTLEAACAELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGTGSDQSAINPGSGSKEQFKQDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLETDLEKVKLVLQLKETCSDQCSSDNFFEAMEVFLRRAEAQIGSMKIAEKNALRLVMETTEYFHGDATKEEPHPLRIFMVVDEFLVILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPHRNENRRVLSSSDEDSSSS >LPERR07G17830.1 pep chromosome:Lperr_V1.4:7:17841104:17844187:1 gene:LPERR07G17830 transcript:LPERR07G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASLPSSPSHRPVLRPGSLQRLLRPPDPSDDDGGGAPTPRSSSRGSRGVLLQVTNITPALAGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILTNGLHIGQLIEVDHLVPSVPAPVLRRFRVLPGRYPCIHQESSDDSPAAAVGEKIKEVVVSERPRRPSPTPPIPTERRAARHSVGSPSAIGHHHRSRSISNLSEAGAATARRRGAGDVVGKLRKISVTSVDGTTDEEEGDEEESDVSSLSSAARRNWDFTGGIKDRRPVAPRRRGNSVSPSKSGPNSSVTQNDSANDPMESARRKAEKAFNVLSKRASAKMNRESSNCTVVTPPQSASSSSGIKWCENNVMWSSLSSSLMKHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEDDPKELIDRFLKFSQELDHAIFIAQSQTKIRQIKACGSNATSSSSAKAALKAALDRKQSAILWIKAAIEADLSPFSSHTRPAESPKLFLSESKPVTPLFCCSKPKCNCSKRSSRKTSDGSSEGSNMNPAMDLAIALRSECNCWFLKYIDKFLDDIESETVYAPCDSQVAGLLQQLKRVDDWLNRVRKHERMLSIDRTNKDSMFSEEEESDACERVRRKIYGALLRHVQFAAMALEGLNGVTDEEKEEQK >LPERR07G17830.2 pep chromosome:Lperr_V1.4:7:17841104:17843944:1 gene:LPERR07G17830 transcript:LPERR07G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASLPSSPSHRPVLRPGSLQRLLRPPDPSDDDGGGAPTPRSSSRGSRGVLLQVTNITPALAGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILTNGLHIGQLIEVDHLVPSVPAPVLRRFRVLPGRYPCIHQESSDDSPAAAVGEKIKEVVVSERPRRPSPTPPIPTERRAARHSVGSPSAIGHHHRSRSISNLSEAGAATARRRGAGDVVGKLRKISVTSVDGTTDEEEGDEEESDVSSLSSAARRNWDFTGGIKDRRPVAPRRRGNSVSPSKSGPNSSVTQNDSANDPMESARRKAEKAFNVLSKRASAKMNRESSNCTVVTPPQSASSSSGIKWCENNVMWSSLSSSLMKHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEDDPKELIDRFLKFSQELDHAIFIAQSQTKIRQIKACGSNATSSSSAKAALKAALDRKQSAILWIKAAIEADLSPFSSHTRPAESPKLFLSESKPVTPLFCCSKPKCNCSKRSSRKTSDGSSEGSNMNPAMDLAIALRSECNCWFLKYIDKFLDDIESETVYAPCDSQVAGLLQQLKRVDDWLNRVRKHERMLSIDRTNKDSMFSEEEESDACERVRRKIYGALLRHVQFAAMALEGLNGVTDEEKEEQK >LPERR07G17840.1 pep chromosome:Lperr_V1.4:7:17844464:17845731:-1 gene:LPERR07G17840 transcript:LPERR07G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLGAHGDHCFAYEQVDESMEAMAAMILPSLDTDSNSSSSCLNYDMPPQCWPQHGHSSSVTSFPDPVNSYESFEYPVMDPFPLTDFDAHCAIPYLADDLSPLHGNHPSERVEEEAKVAPMATKRKSNAAMTASKKSKKAGKKDSIGSDEGGNAYIDTQSSSSCTSEEGNMEGNAKSSLKKTGTRANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGVNISNIDLNFSAKINITSHIQS >LPERR07G17850.1 pep chromosome:Lperr_V1.4:7:17852813:17856553:1 gene:LPERR07G17850 transcript:LPERR07G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASLSSSFSSTIPRIAASPCPAKSPPVEISNTILLLFLLRSLLPRDLAFESHPSLAKSIVAAAMSTAPTGDPPSLVPGAGGGGMGLGKELRDPPSDGVSSIRFSKHSDRLLVSSWDKTVRLYDPEANAPRWVFMHAAPVLDCCFHDDFSGFSASGDNTVRRLAYSTGGNDILGRHDAPARCVEYSYSTGQVITGSWDKTIKCWDPRGVSGTSYHTLVGTQNQPERVYSLSLSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLKFQTRCVQCYPNGIGFALASVEGRVAMEFFDQSEYASSKKYSFKCHRLSEDGRNMAYPVNAISFHPVYGTFATGGCDGFVSFWDGDNKRKLFQVPRYPSSISALSFSRDGRLLAVASSYTFEEGDKPHPPDAIFIRDVNEGEVKPRPKITFIAPPSVATPSV >LPERR07G17850.2 pep chromosome:Lperr_V1.4:7:17852813:17856553:1 gene:LPERR07G17850 transcript:LPERR07G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASLSSSFSSTIPRIAASPCPAKSPPVEISNTILLLFLLRSLLPRDLAFESHPSLAKSIVAAAMSTAPTGDPPSLVPGAGGGGMGLGKELRDPPSDGVSSIRFSKHSDRLLVSSWDKTVRLYDPEANAPRWVFMHAAPVLDCCFHDDFSGFSASGDNTVRRLAYSTGGNDILGRHDAPARCVEYSYSTGQVITGSWDKTIKCWDPRGVSGTSYHTLVGTQNQPERVYSLSLSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLKFQTRCVQCYPNGIGFALASVEGRVAMEFFDQSEYASSKKYGTFATGGCDGFVSFWDGDNKRKLFQVPRYPSSISALSFSRDGRLLAVASSYTFEEGDKPHPPDAIFIRDVNEGEVKPRPKITFIAPPSVATPSV >LPERR07G17860.1 pep chromosome:Lperr_V1.4:7:17858729:17859373:1 gene:LPERR07G17860 transcript:LPERR07G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGKPPSPLPMDSWACAGRRSKRRAGGGGGGCSGSSGSSGSGESEEEYMATCLLMLARGVRDEAEVVGVAAAAAKPSNQHGYGCSVCGKVYPSYQALGGHKTSHRKPPAPQAAAAAAGDEASCGVSVEAKVHRCGICQRTFPSGQALGGHKRLHYEGGDAVNKEKTKAAAAVAEAAIAVLKDFDLNLPAATVGDEVESSPPEAKRARMLLLA >LPERR07G17870.1 pep chromosome:Lperr_V1.4:7:17872129:17872701:1 gene:LPERR07G17870 transcript:LPERR07G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVYGGSPSKHSDISGSFNVDSMYLASCLMMLANGVRGEARDVSRSGGVKSVDASIVATVKPNQQGYRCSVCGKVYMCYQSLGGHMTIHRKMLSSCRSKPAKVHSCSICFRTFSSGQALGGHVRAHYEGGVVGEVVKKKNVVKTKTTEAPKPMPKDFDLNLPPATTTMGDEAESSAPEAKRARMLSPA >LPERR07G17880.1 pep chromosome:Lperr_V1.4:7:17875325:17880200:1 gene:LPERR07G17880 transcript:LPERR07G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIEGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQNYTGPQLRQLLNWPRPLPIEDERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIIPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >LPERR07G17890.1 pep chromosome:Lperr_V1.4:7:17883841:17884032:1 gene:LPERR07G17890 transcript:LPERR07G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIEGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRAGN >LPERR07G17900.1 pep chromosome:Lperr_V1.4:7:17884126:17884545:1 gene:LPERR07G17900 transcript:LPERR07G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAAGRTINPVGGAVGLLSSGSWHLCQAAVETVLRGGGIGPMTDQLDAASLAARRGVGCSTFSTAKRSATRNTDAAAARVGQPSCDLGLWLSPGSPPAVAAGERRAGTPSMNSEGSVTTCGGAGGGEREEPELLNLFV >LPERR07G17910.1 pep chromosome:Lperr_V1.4:7:17889602:17894651:-1 gene:LPERR07G17910 transcript:LPERR07G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSTRHQAKLPHTTETEMAQDSVVGSATGFSLMERELQAATDKVSATSMDGLTQVLCVILAVELIDISALGMDGGMEEGCCKIDETREMKMCGD >LPERR07G17920.1 pep chromosome:Lperr_V1.4:7:17901652:17903085:1 gene:LPERR07G17920 transcript:LPERR07G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHTAPPPPPLHPNGHGSLGLGLYLDVGATRGGGRPWFGGSYPPPPPSSKISLGNLNSTSCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVLTAAVADAVESAALHVHRFTAVELAGFIDLTPWHRFGYTAANAAIVEAVEGYPVVHVVDLGTTHCMQIPTLIDMLAARAEGPPILRLTVADVVEPTAPPPLLDMSYDELGAKLVSFARSRNMSMDFRVVPTSPADALSSLVDNLRVQQLVSSSSSSSDVIGICGGEALVVNCHMLLHTVPDETAGSVNLMTPQQQQQPISLRTMLLKSLRALDPTLVVVVDEDADFTACDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLATEGVERVERQEDRARWGQRMRGAGFRPAAFGEEAAGEVRAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >LPERR07G17930.1 pep chromosome:Lperr_V1.4:7:17910780:17911900:-1 gene:LPERR07G17930 transcript:LPERR07G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGKHQAMAAAAPEPRAGADSGGGSLPPTESSDDFEFCILSSGGLVPASGAGAGDMCVADEVFSHGKLLPLRPSSASAGDVILPRSESVASTVGFGSRSDSRSASSSGSSSGCVSRSESSKSASSDHFAPAPAPPPLPPRRSLSSSLFYAHPSPSPQLRTTRPRRSTGSAPPPPATAWGIFRLGVVGVPEVYPSKNAAGAGGSRSARFEQPPSSAAAGDGGHDKKRAVVGLFGDGFGCKCSPDVVEPVALPESARRAKSKVAAGVKKDYSFIIVDQSPISSVLRNYRSDRRQLRRSSQ >LPERR07G17940.1 pep chromosome:Lperr_V1.4:7:17919101:17919673:1 gene:LPERR07G17940 transcript:LPERR07G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGGDLISLCLMALAAAVRGENTVPVAASSAVKPPEELHFRCPLCGKAFASYQALGGHKASHRKPATTSSTDQQEHRGVVVSAPAPELVTAAASADGQGRHVCSLCRRGFATGQALGGHKRFHYLHGPSVSATVSSCAGTASVCAFDLNVAPVKEIAGEQRRDEEEEEGEKRPLPAKKPRRRPSDHPL >LPERR07G17950.1 pep chromosome:Lperr_V1.4:7:17922019:17923782:1 gene:LPERR07G17950 transcript:LPERR07G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGVQPALVTYNVVLHVYAKMAVPWKEVVELVASMKDNGIAPDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHEDAIEVIHEMERAGCPPSVVTYNSLISSHVKDGLLDRAIALKGEMEVNGIKPDVVTYTTLISGLDRAGKIDAAIEEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELKSAGFVPDVVTWNTLLAVFGQNERIEPHNWLVKTLVLVNSKVNNLAEAEKAFLELKRKQCSLDINVLNAMVSIYGKNRMVKKVEKILSLMKESSINLSAATYNSLMHMYSRLGDYEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQVKEASRLFSEMNSLGLKPDVVTYNIFVKSYVSNSMFEEAIDLVRYMVTQGCKPNERTYNSILHGYCRNGRVLDAKLFVSNLPQLHPGISKQEQQNLFEVLAKHTQR >LPERR07G17960.1 pep chromosome:Lperr_V1.4:7:17928742:17930194:-1 gene:LPERR07G17960 transcript:LPERR07G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGALCLALLCLLAASAFAQKSTAPAASPTTTPPSSSKKTPAPAAAPTTTPPPSPAPTTPTTPAPAAAPTTPAPATSPPKSKAPAPAPKAKATPPPAASPPVEPPAASPPSPVAEAPATIPTKPDAPAPAPAKKKKPSPPSKKKKKSSKAPAPAPVAESPKHSKSKAKAPAASTADAPGPAGDGVAADSTGAAGRTSVQMIASACAVALGLVALLA >LPERR07G17970.1 pep chromosome:Lperr_V1.4:7:17938415:17940174:-1 gene:LPERR07G17970 transcript:LPERR07G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPAARSASPGRMLIPRRRKEKQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGGDFAGGEESTRREGFGRWVRGQLARTPSVASSGSGAAAAAGGGGGSGDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSIKGTPIESSSAQYILQQYMAASGGHRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPTGRGAASVEHGGFVLWQMSPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSGDAQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRAVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGPVGEACELPPPAAQPHGERPRHPARVAAVERAPSHHHNGGGGGGNVGRRGGEKIVWRVEV >LPERR07G17980.1 pep chromosome:Lperr_V1.4:7:17946109:17946930:1 gene:LPERR07G17980 transcript:LPERR07G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVKNPYELPLLRSHSPIPSLCLTSFCSSRALRFSRRGWQCSCPCSSSRRSRSIWRRIEGAGISADGLAGQRSSGANDIITCVSFTLLSLATALACVLEARPAAEPRRPASNLPWAVAGFSWLCISAYFVACTTFGGHVAPTPQEWAVAGVAAAVNLAVAAVTVERHFFGVTNFFGLINSDMHDD >LPERR07G17990.1 pep chromosome:Lperr_V1.4:7:17953181:17955893:1 gene:LPERR07G17990 transcript:LPERR07G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALATTVITSLGARMTTASVAASRAAGGGFGLHDVTTCVLFAAVSMAMQGGEAGGRSDEEATGAGVLVVRAGARRRRLAGWLFLTWYFVCYVSYGGGVAPTSLEWAVAGVASAANLAVTVRTVLIHPYKV >LPERR07G18000.1 pep chromosome:Lperr_V1.4:7:17956147:17957221:1 gene:LPERR07G18000 transcript:LPERR07G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRVTIALLAIIFLLVAASFQDLTVAADGGGEPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCKGCVPSGPYASKDECPCYRDKLSPKTLRPKCP >LPERR07G18010.1 pep chromosome:Lperr_V1.4:7:17958161:17958713:1 gene:LPERR07G18010 transcript:LPERR07G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAIQLVIRHLSTASSCQRLPGKVAVITGAASGIGKATVAEFIRNGAKVILADIQDDLGRAISLASDEAKFVTGRTASSTAVSPSGSRWICGCHVDEQEMLPTIFF >LPERR07G18020.1 pep chromosome:Lperr_V1.4:7:17960779:17967007:-1 gene:LPERR07G18020 transcript:LPERR07G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLAAAAAAVVFLLLASAGATATAAGSPDRRPPLVLPLTLFYPNASRLASGSRRGLLGDGRNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDSSSTYKPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIVSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFCHSDPNRSPYYNIELKEIHVGGKALRLDPKIFNNKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNEKIGFWKTNCSVLWERLHISEVPSSAPSEFDVGLITVDMSINVTYPDLKPHLHELAELIAKELEIDSRQGIIYRLTQRRVQLPENLGSYQLLEWNVQPLPRRSWFQDHVVSILLGILLVVLLTLSAFLVLIIWRKKFRGQAAYRPVDSVVPEQELQPL >LPERR07G18030.1 pep chromosome:Lperr_V1.4:7:17968015:17970530:-1 gene:LPERR07G18030 transcript:LPERR07G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDTSHISGGSEPATMPLLEQLAEVFSKLKSHTETSMQLQNGINLEDIKAHFLNLEKSYKRKCDELEEKKKALEEKKTEACRLIAEKEANISAKERAFLNQLQELRDTAVSALAEVRQKYKVDFSDIFDANESKDKKVRTSTNDINASCASEDKTAASGLAEPSEASSVGVKPRPILKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALKCATDPARFVLGSLEGFFPSDQTSSPENKQNALQGQRKSCIILMEAITSALAMKEPGNNHPWSSEIKELAKGIAEEWKNKLAEVDLDASDGYSLEAQAFLQLLTTFSVDSVLDEDELCKIVVAVSRRKQTAELCRSLCLNERIPDIIKDLVNRHRQIDAVQFIHAFGLSESFPPAPLLKAYVEELKDSLGNNGDANAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKTKGEKRPMVEAGRYNAKKPRTFGNSAARRPPNSVGSAGRRPTGLAGTWQRPPPPMPSYPDRYGPADRYHYTAPSATYDPPPYASYSEQYNAAKSYQYTPGSVAHSSNQFKVAFGGPGAPPMPGGYTGYNGAGQPTSSNYMGYGGSGYHPSQP >LPERR07G18040.1 pep chromosome:Lperr_V1.4:7:17976920:17978854:-1 gene:LPERR07G18040 transcript:LPERR07G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRSPVVTAASALKEADVEKLRFIDEMTSNVDAVQERVLAEILGRNAGTEYLRNCGIDGATDRAAFRAKVPVVSYDDLQQYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTVVDELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMLCGLCQRNDVLRMGAVFASGLLRAIRFLQLNWEQLADDIEAGELTPRVTDPSVREAVAGILRPDAELAKLIRDECSSGNWAGIITRVWPNTKYLDVIVTGAMAQYIPTLQFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDEATGAASGDATQLVDLARVEAGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLAPHGASVVEYTSQACTRSIPGHYVIYWELLLKTSTGTDNVVVDDDTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSD >LPERR07G18050.1 pep chromosome:Lperr_V1.4:7:17988754:17989275:1 gene:LPERR07G18050 transcript:LPERR07G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPEVVQQKAANQAAADNTSIPWLKLGVDALTREEGKLPEAKPVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHSFQQLMVGLCPNSSFLQHMRVNPHSTILRGHGDSASVVVAKFHEGQLSSWLPFAIEEGKGSVWPGSFKASSQEQKHPEENIDLSLRL >LPERR07G18060.1 pep chromosome:Lperr_V1.4:7:17991517:17993002:-1 gene:LPERR07G18060 transcript:LPERR07G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMASQRLLLLLALLVLALIAATAAVAGDGGGGSGVEAKPPDDVAAAVAAATMDVQARRLPLRQNVKHLARATDAAAAAVAMSVAVAVADAVWEEIRSAGEASEEHLSIFKSSLEALFGKNMVRACKILDEGGVRRVTGAPSGRSLFLVMGESKRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQEVEVTDEALAHMLAKL >LPERR07G18070.1 pep chromosome:Lperr_V1.4:7:17994728:17995165:1 gene:LPERR07G18070 transcript:LPERR07G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPIAGAEETQPPAAAEEAVAAPHAPATKSTEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSQRYGAVPESSPGAASPASVEDGIEVLQAYSKEVSRRLLELAKSRAAAAAAPAAAPAEGAASESEAASAAAPAPAEE >LPERR07G18080.1 pep chromosome:Lperr_V1.4:7:18000413:18002666:-1 gene:LPERR07G18080 transcript:LPERR07G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPPLAPQSPPFSTPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSIPSSSSPSAAAAPAAVPDAWELVTPTTTVVIAPVHDDDDCDVFPPRLHEGLGVEAEEAAAAKEGEDDDDDDDGEEDFGEDEEWIWGWGRCRAAARRAWASGVGAVREGLMVHGTCGCPAVRPAVWSAAGAAIVVGALLYARRRDRRERDLLVMLSQEKDKRIAQLLHQIALMSDIRSGGEAIKIIRNSNWLRMIALAGTQAI >LPERR07G18090.1 pep chromosome:Lperr_V1.4:7:18003612:18007612:1 gene:LPERR07G18090 transcript:LPERR07G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEARVRQEKVKKFEEFVDRRLKPDLVNAIAQRDKVFQKQKTFLDLKRNIENLEKNGVTSMRSMVNLGSEVYAQAEVPDTRHIFVDVGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLELPAE >LPERR07G18100.1 pep chromosome:Lperr_V1.4:7:18009035:18010287:1 gene:LPERR07G18100 transcript:LPERR07G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGGGGAVRLPPMNAMEILRESVRVLRADPHAFTSVLFFLLCPASGCLLLSAAALEGAAVLPLARRLLAAAAASGLPLTHFVKQLARHLAATLVASVASLPALLTLLLAARAAVAYAVAAVYAGKPHAAAELSLSHLARRAWPRLATTYALSCAAVAACLSSFLALLVTACSTLKAMLYPPDIVVCAGLVTVLAFSVAYAHTIVVFNLAGVVAVLEDIAGVNALRRSMRLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVLLIDSMMSAVFYFTCRSSSLEILDEQGGLVEELEMMVGGNSVVRYICSYWGISEVDSGRFRHRMTLGGSQLWHHDYTLCLNCDGERTLDAFESLLLMIWWISLEKTGRRIGDT >LPERR07G18110.1 pep chromosome:Lperr_V1.4:7:18012113:18016931:-1 gene:LPERR07G18110 transcript:LPERR07G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPHLDSLQPHCHSHISSLRGASREAKSPCLLPSLAGFGYASGCSLPPSSKSDQSCIYCYLFLWSPLLLPPLAFAPHGCGCDSSRRRSRRLDSIRSHAQGSRVLGSWALIARRGREKKKGCFFLGFFVLLGGVFPFLGKGVAMRQHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDLAVGLYTPLVSCVFFLYIWCAAADPADPGVLKSKKYQKLYGSSKHKHLKEFRQGISDAGLQVEGTGEKQEHESTTRYKENNPSCCSSSFSVFLLIFYPLYLVFSCCQTREWSEQKISEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYMRFFVLMAFSLFLSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILSMVALLPIAQLLFFHILLIKKGISTYDYIIALREQEQEESGGQQSPQMSRVSSYTGHSSTSSFGARRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKDDEVKKKKGSGGVKISPWALARLNAEEVSRVAAEARKKSKILVPIRKDEYTLGNETDSSYGGTSTSRIDLGPDSKRRAGRRARPYNDLSLKPVAKISVDALDGNGSDMAPEALSSLAPLQLEARSAFHPSRAASSANAGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTALAAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSAASSGILVPKSSRLS >LPERR07G18120.1 pep chromosome:Lperr_V1.4:7:18023418:18032752:-1 gene:LPERR07G18120 transcript:LPERR07G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFLKKTPDRLLEISERVYGTMPSPLGGIGVMWLFDCCFSTDAMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEWPPISRALILDSLVLHFVPRFDAEGGCRPYLRIHGQDSSPSNKSAKVLYEMPKIKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLEHEETMFRVMFNTAFIQSNILGLNRDDIDVSWNANNQFPRDFRAEVVFSDPGSLKPAVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSIDGRHSVGDAELNGGVSSEESGSPDKHRADEDVKTVISQHLDYINDDRSASAPIPSFGSPEGLHQACENQEKSKLSNISDQDDNVVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTTIDPNCSDEVQCQPDESPKILKYPDLDYTGFSVPRTLSSVDEGTHVGTIPDEGLQNGDVKIITESTTVMDNELVIYEEKTIVDNVNLMQEVKNVVKEESTISKLDRSVIESMDSQDNKNHKVEAAKPADTTDSKMEQAKLKSGLEDGISLKKPTVQGSIVVLPATEIATKIKSNREESDVRQDVGISLPQSKAVARAKSPRISNDIRQPDKVVPSKKVPAVDNAPEPILLEEKPGNSEQSEEQSKEAKPKTIRRWISPKKESETISVNRPSHPPSRYDSSPAALAIHSMHANNKFNVGSLVSSGAQAGTKNQATARTPPPPPPPAFASSSSVSMHMGSATKQQQPPPPPPPPPASSGLSSIPPPPPPPSFGAQTRTFAPPPPPPPPPRLGVGGNAPPAPPPPPPSVSEFDLSELESLFPAAVPKSDDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYLDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKKAEKEAEAEKSKAQLASKNVLILDLYLGNLSQSRRFTVFIHFNRRTLNHQIQAGKQNKRQIKQDLQSDEEKMQDDLTRPTLMLCI >LPERR07G18130.1 pep chromosome:Lperr_V1.4:7:18047089:18048482:-1 gene:LPERR07G18130 transcript:LPERR07G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFKVSESPCGGDSAPTQQWGVDGEKNGPDLFFVAIIHFVPCTRPATSLHAVVTAPSRASSSPFVSTEVHAQMSVTRCNFFSRISSRSQDSTQLV >LPERR07G18140.1 pep chromosome:Lperr_V1.4:7:18054139:18058868:-1 gene:LPERR07G18140 transcript:LPERR07G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAACRERAAVSSSSSSSKRPRLAAAAADVARRPWASLPGDLVQLIGWCLLAGGGGDLRDYIRFRAACSGWHSTTASPRSRGILDPRFHPRRWMMLPEGRDGDFLPGGKSNLNGGDLRFLHLSTGSLVAVHLPLLAGDGDEGHSLLDSTDGILILLRRHDTAIRLLHPFTGDIADLPPLSSILPQVDSQFRYFHPLIAEYFERYISGDHPWISTAITITAAGNITVMLNLRIPSLGNELISIAHATTGDDDKQWTLSCWKMNHLVAWTTPFQGKFYAVMAAKGNFTDKVSIYQIDPPPAPQSNLTLPPLAPRMIAECPLLVGSAIGAASLVEYGSELMLVGFTDASLAHLVVYRLNDLISGRVVAVTSIGDHAFFLGERGWFWITDVSVFSSGACRTEVGFAIVQLNKKVTISDFRSTFGIDGYFGKSTLCSYKYMIDSFYCHEDFFEMFAAPLQLGLRTHKGLKFRQ >LPERR07G18150.1 pep chromosome:Lperr_V1.4:7:18059815:18062513:-1 gene:LPERR07G18150 transcript:LPERR07G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEEDEVEDAEEFEEVFTVEDLITKDDIFEEIVAEGFKADMDREASTVHRRRRQSGPRSSRSDNPDPCFAEVLRRNSAIKARPTHRKLKKDLIKHICHRYGNKEN >LPERR07G18160.1 pep chromosome:Lperr_V1.4:7:18084160:18088731:-1 gene:LPERR07G18160 transcript:LPERR07G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNSVSVTPAAVDSSGALRERTAAPAAAAAVVSVAASSLRCSSAAARRSEKKEEEEIPAGKAVIAAAAASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLLNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAELFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKTSKLPHATIFKPHCPYPSTLQDVFKEMPTNALSLLQTLLSVEPYKRGTASSALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGQEASRKSRLSRAARETTTVNKQTDAKEESKTKANVTKDSSILDRTKVNGDARLFADIQPVSVAQVKERARHVKNDSQEEIPFSGPLIVSSSSGFAWAKKPPEDCSFSRSRNRSSSRGQFTAELDQDNKMPAKENQNFGLKEQPNRDVHIARVNSKVREPHDVAKRAVLKKWSQLERPDSFDSCDTYHSQNFSNAMYLGDTLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQVVRKSWFSRDYAGL >LPERR07G18170.1 pep chromosome:Lperr_V1.4:7:18098791:18101768:-1 gene:LPERR07G18170 transcript:LPERR07G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRVPGGGRSGSGSGSGGPRFPAAVESLLDGGGGGGGGGGWLSPPPKISGGAVILGYTQGNFEVFSEQDLAPLTAEEVHQSKCITVIEEENTSFSHFGTSALISQHVSCSAVNVTPLQEILTLPSQMSNANTESSGVLQGLPTSSVVLDRPADDGYNWRKYGQKAVKGGEFPKSYYKCTHLNCSVRRNVEHSADGRIVQIIYRGQHTHERPTKRRFKDCGGVLDDLDDFDGNTGTSVRSQADNQDYCAKPIIPNGAMLGPLVEKMEEGDDQLPGSSNNQEECDDEVRADDGAAGDASATERNMPAPGQKIIVSTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYPGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHEVADASLLQNTKSNAYCTEQAYRTITC >LPERR07G18180.1 pep chromosome:Lperr_V1.4:7:18103598:18106025:-1 gene:LPERR07G18180 transcript:LPERR07G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDTLLNPIKAGFAEGKDLVVTVMSAMGEEQICALKDIGPK >LPERR07G18190.1 pep chromosome:Lperr_V1.4:7:18107594:18111036:1 gene:LPERR07G18190 transcript:LPERR07G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEAELHDIAARNGSLIVSACGFDSIPAELGFLFHSRQWAPPSAPVSVQAYVSLESDRKIVGNLGTYESAVLGVSNASELQALRRSRPRRPRPNIPGPPPPKGSLVEHDKTLGLWAIKLPSADTVVVKRTLATVTEHPEGLPGVEESPDFTEHRKNFWSSVKPTHFGVKLTSKSLLGIAQFIFTGLFIGLLGGFSFGRSLLLKFPSFFTAGWFRKSGPTEEQVESASFKMWFVGRGYSDAARASGRGSKPDKEIITRVSGPEIGYITTPIALVQCALVLLSQRGNLPKGGVYTPGAVFGPTDLQKRLQENGLSFDFVSTKTL >LPERR07G18200.1 pep chromosome:Lperr_V1.4:7:18112097:18115714:-1 gene:LPERR07G18200 transcript:LPERR07G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCFLFFAGEVAVVADDREVLIELKRFLQANNKFNRGAYDTWQESEPSPCRWAGVTCAGGDGDGGGGGRVTELDLSNSAISGGAFGNFSRLTALTRLDLSGNGIGGELPAGDLAQCRGLVHLNLSHNLIAGELRLSGLTSLQTLDVSGNRLVGGISADFPAICGDLAVLNVSSNKLSGDITRMFDGCPKLEFIDLSSNNFTGELWPGIARFTQFNVAENNLTGGVPATTFAAGGCKLRLLDLSANHLVGEFPNSIANCSNLTYLSLWGNNFAGEIPAGIGRLAGIETLILGKNRFDRRIPPELTNCKSLQFLDISSNSFGGDMQEILGKFLTLKYLVLHHNNYTGGLVSSGVLRLPFLARLDLSFNQFSGELPLEVADMKSLKYLMLPGNNFSGEIPPAYGRLAELQALDLSYNSLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGEIPPEMAEIGSNPAPTFAKNRNDQSVLAGSGECQAMRRWIPASYPPFNFVYTVMTRENCRSIWDRILKGYGIIPICTNSSSPVRSNTISGYVQLSNNMLSGEIPPAIGAMRNLSLLHLDNNRLTGELPPAISNLPLVVLNVSRNGISGEIPPEIGHILCLEILDLSYNNFSGEIPASLGNLTGLNKFNVSFNPLLSGVVPTSGQLGTFDDDSFLGDPYITLPNRANGRPPPPPAAAIRARAMSARTISLWFVFSLIVAFIAGTAVFMVANLRARFPVDQDPDPESSSAAAAFSGENPKTAAFSSSSSSSSCCCSSAMTSSSSVKVFRLDRTAFTYGDIVAATSGFSDDRVVGRGGYGVVYRGVLPDGRDVAVKKLARLRDGDGEREFRAEMEVLAGKNPWPHPNLVTLYGWCLAGDAKILVYEYLAGGNLESLIAAVDFGRQRRLAAAIGVARALVFLHHECVPAVVHRDVKASNVLIGGDDVIKVTDFGLARVVRPGDTHVSTMVAGTVGYVAPEYGQTWRATTKGDVYSYGVLVMELATGRRAVDECGEEECLVEWSRRMAKEGWSTAAKDAAGDVLWDLLMIGMWCTADAPHERPDMPAVLAALLDIAGDGGGAGGGEQGDRSSHR >LPERR07G18210.1 pep chromosome:Lperr_V1.4:7:18136959:18139381:-1 gene:LPERR07G18210 transcript:LPERR07G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWAAAAHAGCGIGGRPFAWGHGGGATLESAAAWWSRRRRTDAAARTSKPACAALARSGRPRPDVRGHRCPDPQAMPPDLQSASQTHGAATSWVSLNGSLAASFGRTQSKRASSRGRCRQLCPMPDALVDTWQGPTPSAQVGAQIDDSLNQKLDKMATNDRFSDLLWMPHSQHKVSKISDSPSYHMNIRRHRVGISYDSLCRSGLENLGFHQIAKMKEINIDKYLISALVERW >LPERR07G18220.1 pep chromosome:Lperr_V1.4:7:18145975:18148344:1 gene:LPERR07G18220 transcript:LPERR07G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSKPVCVTGASGYIATCLIKKLLQRGCVVHGTLRDLSNEKKTAPLRRLPGAAERLVLFEADMYEADTFEPAIAGCEFVFLVATPFEHDPGSAKYKNTSEAAVDAMSIILQQCERSKTVRRVIHTGSVTAASPLSENGDGGYKDFINESCWTPLGISHPFGSSMSAINQAYASSKTLSEKELLRYNDSENKAFDVVTLACALIGGDTDTTQFYHLLSIPAIVAPLIGQESYHCGLKYLQALLGCVPLAHIEDICDAHIFCMEQPSIAGRFLCAVGYPNMQDYVDHFAAKYPEIRIKLREVVGEDVRVQADTNKLADLGFMFKYGVEETLEGSVECAKRLGLL >LPERR07G18230.1 pep chromosome:Lperr_V1.4:7:18151902:18156213:1 gene:LPERR07G18230 transcript:LPERR07G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFPTPNRWNTGNPRSYMSTDEEDSNMCSVFLAKPTIFNSAVAGLNAVAAAALNAAGVNLNGAATKLNGATANLNGEAAAANLNAATGKRSDPNVTNETSVATRPDLASGRLLGRRWRRWPASAVSLVKSIYSYMTFQCETRTRF >LPERR07G18230.2 pep chromosome:Lperr_V1.4:7:18151902:18156213:1 gene:LPERR07G18230 transcript:LPERR07G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFPTPNRWNTGNPRSYMSTDEEDSNMVCAHALGLRVFLAKPTIFNSAVAGLNAVAAAALNAAGVNLNGAATKLNGATANLNGEAAAANLNAATGKRSDPNVTNETSVATRPDLASGRLLGRRWRRWPASAVSLVKSIYSYMTFQCETRTRF >LPERR07G18240.1 pep chromosome:Lperr_V1.4:7:18156727:18160194:-1 gene:LPERR07G18240 transcript:LPERR07G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATATSRLLRRHHPSPLPLLLLRSSISSSRPLLQQPELSPEPPTADAADAAIPSAESAPLPPKPSMGSPFYGENWRNPAAANPSSSLLPAVVAGGGPFSAPNRGAVYTASPGAAQLKETFAEWMAEQRWEEMKQLFEFWVRSLDPATGKPNRPDVDLFNHYLRAHLMSGALPIEMLDLAEQMKEFEITPNTASHNLVLKSMVQAQEADGAEKLIERITRMLQTGTTPDDESYNLVVNLLVRLNRVDSALKYLDLMLKSGYTISSAVFADYVRSCVRSGRLDTLASVIEKCKATDQNKVLCPQWSWCVEIAEAAFEANNSKLGVFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFSTLREFENTYANFEDIDLELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYSLLVDAHIVNRDPKAALAVIDEMVDVGFTPSKETLKKVRRRCSRESDFDNNEKVQSLAKQFNYRMGGENRREMLYNIEYSAEFASSRY >LPERR07G18250.1 pep chromosome:Lperr_V1.4:7:18161373:18165754:-1 gene:LPERR07G18250 transcript:LPERR07G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDECPPLAVELSPDTPYRTPPLGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKEKMDHILLETTGLADPAPLVIDAKNFTLQIDEHKKSSSFPEAFHQIAFADVVILNKIDLVEDNLEDLERQIHEVNALVTVVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEQDPVSLAKVESWLEDLLWERKCDMDIYRCKGILHAVREVYEVLPAREWTKTESRTNKIVVIGTTGVITYGLNSGSMGLYGSPKKCTYVCLNSEVKQFTVPNAKIKRRSVVFSELSFAIWMHLSDAPWWTLPRKH >LPERR07G18260.1 pep chromosome:Lperr_V1.4:7:18175558:18176544:-1 gene:LPERR07G18260 transcript:LPERR07G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEDDDEVRRLSNGGGVVGYGLRENPKKTRRLSDFAGGDGEEEERGDGGGGGEVRACGVCGKLFSSWRKLFGHVRRRHESAGEEEADAAAVTVITPPPRRRRRSMRVAAPPPAPPPPPTTTVEDVALGLLMLSRDTGLWRSPPSYGHNSDEDSPLPHHAGDVTVTKSRRRRTASYNYYAPPPPNPSTSPSPKRRQQRAAATAAAPAAKRTRYECPGCGKVFASYQALGGHRASHKRINTSCSAPKLSTHSPDSVVAAAGIGGDEDDSKANKSSPAEEEKFEFDGELYAEMEMEQHHSPATAAALVAGFLDLNYPPAATASSSEVA >LPERR07G18270.1 pep chromosome:Lperr_V1.4:7:18184390:18188706:1 gene:LPERR07G18270 transcript:LPERR07G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGNGHYPANGSKAPQKRQNNQEKMLLDKNAAFRACQKDRHYIDKLETELRNCYQEIDYLQDQLNIRSVEANIMGEHIHSLELKLTELEKFPERVRVINDELTRSDSQCWLLMEEIRCQEEKLKKDALQIEKLENVNLDLQCEIESLKLDLTTLEQRLFDADSFGQHMSADKAIAENKLREHELQLQEAQKTIDQLVLENKELKHLFPRGAANKSALTSDEQLEKTIEKIDGQDYERDGAILEKMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSSALRRLRESHELAHKQSMEIKRLKDSLGRFNSALNLRTVCRSCSCGFCAMLIELSNCSVEEPVGDISSNEEPMTPQSQALLEWRPDETSDGEAG >LPERR07G18270.2 pep chromosome:Lperr_V1.4:7:18184390:18188706:1 gene:LPERR07G18270 transcript:LPERR07G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGNGHYPANGSKAPQKRQNNQEDQLNIRSVEANIMGEHIHSLELKLTELEKFPERVRVINDELTRSDSQCWLLMEEIRCQEEKLKKDALQIEKLENVNLDLQCEIESLKLDLTTLEQRLFDADSFGQHMSADKAIAENKLREHELQLQEAQKTIDQLVLENKELKHLFPRGAANKSALTSDEQLEKTIEKIDGQDYERDGAILEKMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSSALRRLRESHELAHKQSMEIKRLKDSLGRFNSALNLRTVCRSCSCGFCAMLIELSNCSVEEPVGDISSNEEPMTPQSQALLEWRPDETSDGEAG >LPERR07G18280.1 pep chromosome:Lperr_V1.4:7:18189003:18191562:1 gene:LPERR07G18280 transcript:LPERR07G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSLFAAARRLGRGRLRLPSGPARSHGSLTRAAASSPSSSSPRSHSARKPPPPARPPSLQSTLWPLGHPGTLLVPEIERWAAKPRNRLRPVELLRIVKELRKRRRHRQALEVSEWLIAKGHVRYLPKDHAVHLDLIGEIHGSGAAETYFNNLPDKDKTEKPYGALLNCYTRELLVDKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIIPDNFSYRICINSYGTRADFFGMENTLEEMECEPQIVVDWNTYAVVANNYIKGNLREKAFSALKKAEAKMNVKDADSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYTTMLAVLVKLDEIGEAEALLKEWESSGNAFDFHVPNVLLTGYRQKGLLDKAEMLLDDFLKKRKMPPSTSWAIVATGFAEKGDAAKAYELTKNALCVYAPNTGWIPRPSMIEMILKYLGDEGDVGEVETFINLLKVAVPMNSDMTDALSRARMREEKKVKDAV >LPERR07G18290.1 pep chromosome:Lperr_V1.4:7:18193826:18202216:1 gene:LPERR07G18290 transcript:LPERR07G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDIDDLGSGWFEVKKKHRSSSKFTLQRSSGGSNNKISNLSSQSHTNFSSDGARWCDRSECTPQITKINVGVDESGSRETMKVYDEECADVDANNLNNELSVSASEQSIKKPKELLVAEETSESPKVCKIECADSPTPHQSSNCSSGPAKSVDLCDHVKGPPMTDTVAVLSNTSVRFGDFDEVPGLALHADACRNNNSSPKHTQSDNATEFANECKDESELKTEMYSCKVVDVTSSVVIQGEEAPTEDKSKDLDICELTDSPLDVSGSPALADAVSLSCANNDLEVPVTSSSVASTESQTVLHAPTSADFGVETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESVLVLEEAISDFQELKSRAEHFDNTKKSTALPKEGMPMTVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITSESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSDTSEKKIAGERQSRDTFKSGRPLPQNMPSSSARSRKGSLEPIAEVEKHNLRKDRDLPENKFDRLRSTDTAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPEHARVLHDKLMSPEKKKRSALDMKREAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRGESRHEAHLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIVRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEGSQVIGSANTAESGVKSSNSTQMKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRAALGTAKSKLSRWLQDLQRLRQARKEGAASIGLIVGDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQSSKTSTEYMESVGEVLDGFFWTVTVIVGHIYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLAGTLVQEYEYLCSQDIGLGNQLMTSDQSGDVKLPPTKLDLLKYDECGPSELIKENKSSEHHEFNIHGDRISVFEASKDSGSMPERQSSDLGDTLDVLSVIPRQGDENSAVDGTLEGKRDNTVCLHEIPEKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSAEQASAILPSNFEEVATGVLKARSDLKMEFFHLISFLLSHCMNKWRVPNDQNHFYFLATSLYSMLETKQFFGGERVPPYFTSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRSLIKSCKTSGLATSDSILLDGWGTNISSDNTQILLDTRNSLGDVSIRSSRKSARPVLGRGVSGVIRLSRNKGQRDGRGTRIGDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSENNTAANITN >LPERR07G18300.1 pep chromosome:Lperr_V1.4:7:18206525:18219244:-1 gene:LPERR07G18300 transcript:LPERR07G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEEQRRRIEANRLAALERRKRVCGPEDFSVAVGPTEGKAYPGEDDCLRAVQDCVAAAVPFSTTQSQSGHLFSVFKLMDYELVLKCLKKLPGVTVEDIPYQTRNVIKNLPKYFAKSCSSDKEVDGLLMKLPQHLRDALLPFQLEGVRFGLRRNGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSLLPKDIHLVFGHQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKNEKNETQAVLELAPNINHIVLLSGTPSLSRPHLLGSNKFDFAEKYCLRHTARRCKGGTYQDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKKATSSCIKVVNCSDEVPTSPDNSSDSEEVNMEEGKLTAQEIGIAKIPGFNEWFLNHFVMKVFVIEQGIKFVRIDGSTSPRERKDAVSSFCLNPEVKVAIIGITAGGVGLDFSSARNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAMNTLDESHWLQLNQSLFRVSTLMNGKKNAIREIEVDQVYHLEESSKADGKTQHEFLPLENHNADSGCGPEENLGSDDTSIENFPGLNDMDFESDFFSIRTIPLHFEDESLHTVMDSSPTAHEEIPCNSSSISQTSVVCPSISSAKSVKVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVEPSLCSDNATKVSSRVLKRDPAFCNTFKAFVKEWVELKPIEQKRLLGKPLQLPLRNELLYLKDSNNHSENGLLKGGSKRRVTPLCDVSNPLPENAEWRNVVLHNGTTKERVYTQGWTTQDEPLCKLCQEPCNGELAKSPEYFEDLFCGLTCFELYRSRTSSRFMRQELFQLEHGICKKCKLDCSELVKHIKPLCKEKREEYIRNVAPNIASRSKLLDKLVNEPSEGECALENLRTLCVACHYEVTSAQQKERKELTKKAKELLKNTVNNQQDDEASEAAEELDDKHLLVTVPGSAYS >LPERR07G18300.2 pep chromosome:Lperr_V1.4:7:18206525:18219244:-1 gene:LPERR07G18300 transcript:LPERR07G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEEQRRRIEANRLAALERRKRVCGPEDFSVAVGPTEGKAYPGEDDCLRAVQDCVAAAVPFSTTQSQSGHLFSVFKLMDYELVLKCLKKLPGVTVEDIPYQTRNVIKNLPKYFAKSCSSDKEVDGLLMKLPQHLRDALLPFQLEGVRFGLRRNGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSLLPKDIHLVFGHQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKNEKNEDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKKATSSCIKVVNCSDEVPTSPDNSSDSEEVNMEEGKLTAQEIGIAKIPGFNEWFLNHFVMKVFVIEQGIKFVRIDGSTSPRERKDAVSSFCLNPEVKVAIIGITAGGVGLDFSSARNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAMNTLDESHWLQLNQSLFRVSTLMNGKKNAIREIEVDQVYHLEESSKADGKTQHEFLPLENHNADSGCGPEENLGSDDTSIENFPGLNDMDFESDFFSIRTIPLHFEDESLHTVMDSSPTAHEEIPCNSSSISQTSVVCPSISSAKSVKVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVEPSLCSDNATKVSSRVLKRDPAFCNTFKAFVKEWVELKPIEQKRLLGKPLQLPLRNELLYLKDSNNHSENGLLKGGSKRRVTPLCDVSNPLPENAEWRNVVLHNGTTKERVYTQGWTTQDEPLCKLCQEPCNGELAKSPEYFEDLFCGLTCFELYRSRTSSRFMRQELFQLEHGICKKCKLDCSELVKHIKPLCKEKREEYIRNVAPNIASRSKLLDKLVNEPSEGECALENLRTLCVACHYEVTSAQQKERKELTKKAKELLKNTVNNQQDDEASEAAEELDDKHLLVTVPGSAYS >LPERR07G18310.1 pep chromosome:Lperr_V1.4:7:18219595:18221858:-1 gene:LPERR07G18310 transcript:LPERR07G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCLARAPKMMQDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHVVFKSRFSRTAGKSFLPLQCRNDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKRMLIEKRARNI >LPERR07G18320.1 pep chromosome:Lperr_V1.4:7:18224954:18228837:-1 gene:LPERR07G18320 transcript:LPERR07G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLSVSSLATAFASFPRPKPPPPPSLRIPISPVSRIRASPLSTAAAATDGDADGVDTVEQILVAKPTTSSGKGRIDRLMKMQRRADGDGDGRRWFPYLDVFRPAAAEGGAELSSREVVEVLEPHIMEARRERISRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWNSTAECFHALKRRGYLIATTYLGNDSVCVYDMDWSHPTAIVVGNELRGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVRDRVSRLGHHGDLLPEESKILLAEFYLRHRESTAGIVHEYAKRKAGNPQLFFKYELSQNF >LPERR07G18320.2 pep chromosome:Lperr_V1.4:7:18225885:18228837:-1 gene:LPERR07G18320 transcript:LPERR07G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLSVSSLATAFASFPRPKPPPPPSLRIPISPVSRIRASPLSTAAAATDGDADGVDTVEQILVAKPTTSSGKGRIDRLMKMQRRADGDGDGRRWFPYLDVFRPAAAEGGAELSSREVVEVLEPHIMEARRERISRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWNSTAECFHALKRRGYLIATTYLGNDSVCVYDMDWSHPTAIVVGNELRGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVRDRVSRLGHHGDLLPEESKILLAEFYLRHRESTAGIVHEYAKRKAGNVMAKL >LPERR07G18330.1 pep chromosome:Lperr_V1.4:7:18235979:18242572:-1 gene:LPERR07G18330 transcript:LPERR07G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFPIFPLHLRLLSTTSADASTPSPSPSPSPAPPRPTDKALLLRLCTILYQQQHAPDATLRRRLAALNLPFSDPSDLRELFLQAAARFPLSWRPVHRLLDHLTAAHGFSHSPATAARLVDVLAKSRNIDLLHFTLLSLPTELARSPSTLRAAVRGLAAAREVGKVSALVAIFPEAERLRILGFVTDVVCSVCRLPDVAEKVIKQAEHRHGVSRDARCCEMLVVAYCRAGMFSDACRVWNGMERRGIEPGAAAYEEIVVTLFKNNRVPDAMKVFDGMRRRGVSGGNRGGCYRAVVSWLCKEGRMWGAYMVFAEMVKRGVEVDGEVMGDLVYGLLARRKRAGEATEVFREMVARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLQATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVLMFEEVGRRLKDVGHVDLGDIFLTYGACDFFTIRYSGSHLTSGTAGSSIVVYDAQANLLNIDSRITTLFPERRQLVGIEPRQENLVQWLLDKHVQQLQVISIFGFGGLGKTTLAMTTYESLSAKNGPFQYQAFVTVSQSFDVKVLMRDIFLQITQPIYQRSHHASTGASEASMEDLLKSMEAWSVGQLASILRQQLENKRYLIVLDDIWSVTAWEAKILGKCGGTPLAIVSIAGLLASKPVHSKDLWQKIYSSLGSELESSPSLERLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKSVLRRWVAERFVTEKRGLSVFEVAESYFDEFINRSIIQPVTTSCTGKVKTFRVHDVMLEIIVSKSIEQNFITPIGEQHTLVPQEKIRRLTVHSGGVKDIATRKMLYHVRSLSIYANGEILRFGLMKLLRILDLEDYKFLRNRDLKGFCRLFQLEYLNLRRTHITELPAKNTYYRTPCTNRESADVGDSGYKGDRHKVRFYNHNGLCPISEFWGFYVPNKLGNLDSLTTLAQVEITASTSHHIIELGKLSRLRKLGVLIFVDDDTTWVSLISALEYLSGSLCSLLLWRPSGAMNFDILDSLSRPPIFMKSINFRGQLKQLVLTLHATELSAKNDLKVLGRLPNLLYLRLHHSAYIGAEFAASELEFPSLRLLVIHLTMFEAWKAKFGKGSLPKLAKLELSLFEVASVQDISGIEFLLNLKEVSIHACQCNIMKVEQTAPSLRADAEKNINKPIITIEAKQYTEHSVVSATKGAIYTLLSLSWKLSFWEVSGFKGELQYFKDEMQSMIAFIQDLAERDEHSKLRFGRSKCIFLRVQ >LPERR07G18330.2 pep chromosome:Lperr_V1.4:7:18235979:18242572:-1 gene:LPERR07G18330 transcript:LPERR07G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFPIFPLHLRLLSTTSADASTPSPSPSPSPAPPRPTDKALLLRLCTILYQQQHAPDATLRRRLAALNLPFSDPSDLRELFLQAAARFPLSWRPVHRLLDHLTAAHGFSHSPATAARLVDVLAKSRNIDLLHFTLLSLPTELARSPSTLRAAVRGLAAAREVGKVSALVAIFPEAERLRILGFVTDVVCSVCRLPDVAEKVIKQAEHRHGVSRDARCCEMLVVAYCRAGMFSDACRVWNGMERRGIEPGAAAYEEIVVTLFKNNRVPDAMKVFDGMRRRGVSGGNRGGCYRAVVSWLCKEGRMWGAYMVFAEMVKRGVEVDGEVMGDLVYGLLARRKRAGEATEVFREMVARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLQATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVLMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNEHLTEMEHAVVSAAEGAFHTLLGKLGTVLIEEGQLLGGVRRELQFLKDELESMTAFLQDLAERDEHRNQVKVWKKQVREIAYDVEDCIDVFKHQLGDSSGEDGSGPKAFFLKTIHMLQTTRVRHQIARQIQELKRRTMNISDRNSRYSGSHLTSGTAGSSIVVYDAQANLLNIDSRITTLFPERRQLVGIEPRQENLVQWLLDKHVQQLQVISIFGFGGLGKTTLAMTTYESLSAKNGPFQYQAFVTVSQSFDVKVLMRDIFLQITQPIYQRSHHASTGASEASMEDLLKSMEAWSVGQLASILRQQLENKRYLIVLDDIWSVTAWEAKILGKCGGTPLAIVSIAGLLASKPVHSKDLWQKIYSSLGSELESSPSLERLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKSVLRRWVAERFVTEKRGLSVFEVAESYFDEFINRSIIQPVTTSCTGKVKTFRVHDVMLEIIVSKSIEQNFITPIGEQHTLVPQEKIRRLTVHSGGVKDIATRKMLYHVRSLSIYANGEILRFGLMKLLRILDLEDYKFLRNRDLKGFCRLFQLEYLNLRRTHITELPAKNTYYRTPCTNRESADVGDSGYKGDRHKVRFYNHNGLCPISEFWGFYVPNKLGNLDSLTTLAQVEITASTSHHIIELGKLSRLRKLGVLIFVDDDTTWVSLISALEYLSGSLCSLLLWRPSGAMNFDILDSLSRPPIFMKSINFRGQLKQLVLTLHATELSAKNDLKVLGRLPNLLYLRLHHSAYIGAEFAASELEFPSLRLLVIHLTMFEAWKAKFGKGSLPKLAKLELSLFEVASVQDISGIEFLLNLKEVSIHACQCNIMKVEQTAPSLRADAEKNINKPIITIEAKQYTEHSVVSATKGAIYTLLSLSWKLSFWEVSGFKGELQYFKDEMQSMIAFIQDLAERDEHSKLRFGRSKCIFLRVQ >LPERR07G18330.3 pep chromosome:Lperr_V1.4:7:18235979:18242572:-1 gene:LPERR07G18330 transcript:LPERR07G18330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFPIFPLHLRLLSTTSADASTPSPSPSPSPAPPRPTDKALLLRLCTILYQQQHAPDATLRRRLAALNLPFSDPSDLRELFLQAAARFPLSWRPVHRLLDHLTAAHGFSHSPATAARLVDVLAKSRNIDLLHFTLLSLPTELARSPSTLRAAVRGLAAAREVGKVSALVAIFPEAERLRILGFVTDVVCSVCRLPDVAEKVIKQAEHRHGVSRDARCCEMLVVAYCRAGMFSDACRVWNGMERRGIEPGAAAYEEIVVTLFKNNRVPDAMKVFDGMRRRGVSGGNRGGCYRAVVSWLCKEGRMWGAYMVFAEMVKRGVEVDGEVMGDLVYGLLARRKVREGYRVFHGVNEKDIALYHGLMKGLIRIKRAGEATEVFREMVARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLQATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVLMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNEHLTEMEHAVVSAAEGAFHTLLGKLGTVLIEEGQLLGGVRRELQFLKDELESMTAFLQDLAERDEHRNQVKVWKKQVREIAYDVEDCIDVFKHQLGDSSGEDGSGPKAFFLKTIHMLQTTRVRHQIARQIQELKRRTMNISDRNSRYSGSHLTSGTAGSSIVVYDAQANLLNIDSRITTLFPERRQLVGIEPRQENLVQWLLDKHVQQLQVISIFGFGGLGKTTLAMTTYESLSAKNGPFQYQAFVTVSQSFDVKVLMRDIFLQITQPIYQRSHHASTGASEASMEDLLKSMEAWSVGQLASILRQQLENKRYLIVLDDIWSVTAWEAKILGKCGGTPLAIVSIAGLLASKPVHSKDLWQKIYSSLGSELESSPSLERLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKSVLRRWVAERFVTEKRGLSVFEVAESYFDEFINRSIIQPVTTSCTGKVKTFRVHDVMLEIIVSKSIEQNFITPIGEQHTLVPQEKIRRLTVHSGGVKDIATRKMLYHVRSLSIYANGEILRFGLMKLLRILDLEDYKFLRNRDLKGFCRLFQLEYLNLRRTHITELPAKNTYYRTPCTNRESADVGDSGYKGDRHKVRFYNHNGLCPISEFWGFYVPNKLGNLDSLTTLAQVEITASTSHHIIELGKLSRLRKLGVLIFVDDDTTWVSLISALEYLSGSLCSLLLWRPSGAMNFDILDSLSRPPIFMKSINFRGQLKQLVLTLHATELSAKNDLKVLGRLPNLLYLRLHHSAYIGAEFAASELEFPSLRLLVIHLTMFEAWKAKFGKGSLPKLAKLELSLFEVASVQDISGIEFLLNLKEVSIHACQCNIMKVEQTAPSLRADAEKNINKPIITIEAKQYTEHSVVSATKGAIYTLLSLSWKLSFWEVSGFKGELQYFKDEMQSMIAFIQDLAERDEHSKLRFGRSKCIFLRVQ >LPERR07G18330.4 pep chromosome:Lperr_V1.4:7:18235979:18242572:-1 gene:LPERR07G18330 transcript:LPERR07G18330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFPIFPLHLRLLSTTSADASTPSPSPSPSPAPPRPTDKALLLRLCTILYQQQHAPDATLRRRLAALNLPFSDPSDLRELFLQAAARFPLSWRPVHRLLDHLTAAHGFSHSPATAARLVDVLAKSRNIDLLHFTLLSLPTELARSPSTLRAAVRGLAAAREVGKVSALVAIFPEAERLRILGFVTDVVCSVCRLPDVAEKVIKQAEHRHGVSRDARCCEMLVVAYCRAGMFSDACRVWNGMERRGIEPGAAAYEEIVVTLFKNNRVPDAMKVFDGMRRRGVSGGNRGGCYRAVVSWLCKEGRMWGAYMVFAEMVKRGVEVDGEVMGDLVYGLLARRKRAGEATEVFREMVARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLQATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVLMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNEHLTEVQ >LPERR07G18340.1 pep chromosome:Lperr_V1.4:7:18244955:18248398:-1 gene:LPERR07G18340 transcript:LPERR07G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNAMSSTLLLVVALLLSCSSMSKAARRLEEEYPLHPTVPELPKPELPPHPVVPELPKHEEPPHPIIPELPKPEIPPHPVVPELPKHEEPPHPVVPELPKHEEPLHPAVPELPKHEVPHPVVPELPKHGEPPHSVVPELPKPELPHPIVPELPKHEVPHPVESELPKPEVPHPTVPEHEQPTKPESHYPEEPESSMGACKKNTMPSSSPLLLMAALLLSCSAMATATRHLEEKAPPKEYQPHPTMPELPKPELPPHPTMPELPKPELPPHPTVPELPKPELPSHPVVPELPKPELPPHPTVPELPKPELPHPVVPEIPKLPELPHPVAPEIPKMPEMPHPVVPEVPHPTVPELPKHELPPLPKVPEFPKHAIPPKHELPPFPKAELPPKPEAEYPEPEAKKP >LPERR07G18340.2 pep chromosome:Lperr_V1.4:7:18244955:18248398:-1 gene:LPERR07G18340 transcript:LPERR07G18340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNAMSSTLLLVVALLLSCSSMSKAARRLEEEYPLHPTVPELPKPELPPHPVVPELPKHEEPPHPIIPELPKPEIPPHPVVPELPKHEEPPHPVVPELPKHEEPLHPAVPELPKHEVPHPVVPELPKHGEPPHSVVPELPKPELPHPIVPELPKHEVPHPVESELPKPEVPHPTVPEHEQPTKPESHYPEEPESSMGACKKNTMPSSSPLLLMAALLLSCSAMATATRHLEEKAPPKEYQPHPTMPELPKPELPPHPTMPELPKPELPPHPTVPELPKPELPSHPVVPELPKPELPPHPTVPELPKPELPHPVVPEIPKLPELPHPVAPEIPKMPEMPHPVVPEVPKLPELPHPVVPEVPHPTVPELPKHELPPLPKVPEFPKHAIPPKHELPPFPKAELPPKPEAEYPEPEAKKP >LPERR07G18350.1 pep chromosome:Lperr_V1.4:7:18251180:18251950:-1 gene:LPERR07G18350 transcript:LPERR07G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVLFVVAAVLISCISMSSAARRLEEEYPPHTTVPELPKPELPPHPVIPELPKHEEPPHPVVPELPPHPVVPELPKHEEPPHPIVPELPKHEQPHPAIPELPKHEEQPHPIVPELPKPEVPHPVVPELPKHEEPPHPVVPELPKPEVPHPKEPELPKHEEPPHPVAPELPKPEAPHSTMPELPKHEEPPHPVVPELPKPEVPHPVEPELPKPEVPHPVVPEIPKPEVPHPTVPEHEQPPKPESHYPEVPEAKP >LPERR07G18360.1 pep chromosome:Lperr_V1.4:7:18255034:18255390:-1 gene:LPERR07G18360 transcript:LPERR07G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYTMPSSILLLVSALLLSCSAARFLEEAAEEHPAAVPELPKIPELPHPVVPELPKLEVPELPKLPEIPHLSVPEIPKPEVPEIPKPELPHELPPLPKLELPPKPEFHFPEPEAKP >LPERR07G18370.1 pep chromosome:Lperr_V1.4:7:18257510:18257932:-1 gene:LPERR07G18370 transcript:LPERR07G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKNSMSTLLFLMALLLSCSSMSRAARYLEEEAKPKEEFPELPKPELPPHLVVPELPKPELPSHPEVPELPKAEFPKVPELPHPEVPELPNVPELPHPEVPKLPKVPELPHHEVPELPKPEFPELPKPEFHFPEPEAKP >LPERR07G18380.1 pep chromosome:Lperr_V1.4:7:18260613:18261143:-1 gene:LPERR07G18380 transcript:LPERR07G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSAMSSLLFLMALLSSSSMINAARYLEEEAAHKEEYPELPKPELPPHPTVPELPKPELPHGAAVPELPKVPELPHPEVPKVPELPHPEVPELPKVPELPHPEVPKVPELPHPEVLELPKVPELPHHEVPELPKVPELPKPELPEHPVVPELPKVELPPKPEFHFPEPEAAKP >LPERR07G18390.1 pep chromosome:Lperr_V1.4:7:18263674:18264795:-1 gene:LPERR07G18390 transcript:LPERR07G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSKIGTMSSSSLLLLLAALLVSSSGIGNAARILQEAANWAPYDFPKPDQPPQLPMPDVLPNPGQNPPLPTPGVIPPKPDVPSMPKPDVPPNPYWSPMPDSNVWWKPDQPSQFNPYGTPLPKPDGLPKPNPDMAWKPDQPPQFNPNGPPKPDMPPMPKPDGPPNPSGPPKPNQPPQPCPDGPLGPDQPPQPRPDGPPLPNPNMPSKPDQPPQPNPNGPPKPDQQPQPYPNGPSDPNQSPQPKPDGPPKPDQPPKPCPDGPLNPDQPLPPKPDGPPKPDQPPQPNPNMPPKPDQPDGPPKPDQPPQPNPDMPPKPDQPPKPPCHDLPPKPDASQMPPLPNGELPPKPDFQPLPNGELSPPKPELNGELPFSP >LPERR07G18400.1 pep chromosome:Lperr_V1.4:7:18266871:18267188:-1 gene:LPERR07G18400 transcript:LPERR07G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIVISLLLAAMLAFAAVSSSAARRLEETETAPAREEEEHKPASVFPEIPVPEHELPPLPEGVHLPPLPEGVHLPPFPEVDLPPKPEIPGLNIPDFHFPEKP >LPERR07G18410.1 pep chromosome:Lperr_V1.4:7:18269069:18273591:-1 gene:LPERR07G18410 transcript:LPERR07G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGASDPSCQVRVRFVTKLPPPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPGHQAQPFDFLVDGELVRLPLQEFLLAKGISAERVLELEYVKAVAPRKEEDPRPHDDWVSTVDGSNPSFVLTGCYDGLARIWRDAAECTHILEGHSDGITSASFINKGETEDRLHVVTASKDRSLRLFKFDTSVATPKQVGAYKILRGHTSSVQSVAVDPSTDMICSGSWDSSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEDSQFEGSATSTFLGHTQCVSAVAWPERQTIYSASWDHSVRQWDVQTGKETWNMVCGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCVDWWKGDSVISGGADSKLCIASGIEIWQQQLITHCKIAIPLFLAALLALAAVSGSAARRLEETETETAPPEEEHKPAAVFPEIPVPEHELPPLPERVHLPPLPEGVHLPPFPEVDLPPKPEIPGSNIPDFHFPKPEEAKP >LPERR07G18420.1 pep chromosome:Lperr_V1.4:7:18280767:18284672:1 gene:LPERR07G18420 transcript:LPERR07G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVVALVLLMSSSLVVSEWCVCRSDQPQAALQKTIDYACGAGADCNSIHETGQCYNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASAAGTSTTPTTGGTTGTMTPGTFTPGTGTGTTTGTGTGMGTGTTTGTGFGGLGPTGTSSMDTAAAGLDLRAGLSTFCAMLISLIAFA >LPERR07G18430.1 pep chromosome:Lperr_V1.4:7:18285112:18288699:-1 gene:LPERR07G18430 transcript:LPERR07G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGEKGSEAAMSGGRVCVTGAAGYIATWLVKKLLHRGCLVHATIRNIGDEKKTELLRGLPGAAERLVLFEADMYDADSFEAAIAGCEFVFLLATPMQHDPSSIKYKNNTEAAVDAMRIILQQCERSKTVRRVIHTASVSAASPLREDGTGYKDFINESCWSPLSLTYDFTNPHLDGYVSSKTLSEKELLSYNSSSSPSSSEKNKRPALEVVSLVCALVGGDTLQPYLWSSIPVIVAPLTGNEQYHNALKFMQALLGSVPLAHIEDICNAHIFCMDQPSIAGRFLCVAGYPNMKDYVDHFAAKFPDIEIKLKEVVGEGVRVQADTKKLEDLGFKFRYGVEETLDASVECAKRLGEL >LPERR07G18440.1 pep chromosome:Lperr_V1.4:7:18300772:18311942:-1 gene:LPERR07G18440 transcript:LPERR07G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASAPPSPVRRSTRVRVAASADVGGGVNGSTTGGGGGGGGGGGGGGFPAFLPRAVERIRDGPAVRLAKRIERTGFSDSPILSSCVRPLKQQQDTNPVVLLHGFDRYAYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSHIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAKGTRDMSRVPRFVPYAGVSLLKSTPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDVLVDFMMNGGYNVLSQINQVKHKCLILWGEDDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPREVVKHVLDFLGTERPEKAEQGSSLPSTVGCNALSMLFGSDEASQIKVGSLDIQSQAKGGKAIYSEVPRLCSGVELENWHRNISLLISCYSFLDEQQQQQQGVRHRSQRLHRNMPHQEAAATRLTLSNLGDEEKTAPLRRLPGAAERLVLFEADMYNADTFEAAIAGCELVFLVATPIYHDPHSTKYKNTTEAAVDAMHIILQQCDRSKTVKRIIHTASVTAASPLREDEQGYKDFINESCWTPLNQSHQYNTAMTDAYISSKTLTEKLLLSCNESKSRPFEVVTLACALIGGDADTTQLYHSLSIPPIVSPLTGQELPNNTLKFLQALLRSVPLAHIEDICLAHIFCMEQPSIAGRFLCAVGYPNMQDYVNHFADKYPEIVIKLKEVAGEGVRVQADTHKLTDLGFKYKFGVEETLGSSVECAKRLGLI >LPERR07G18440.2 pep chromosome:Lperr_V1.4:7:18300772:18311942:-1 gene:LPERR07G18440 transcript:LPERR07G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASAPPSPVRRSTRVRVAASADVGGGVNGSTTGGGGGGGGGGGGGGFPAFLPRAVERIRDGPAVRLAKRIERTGFSDSPILSSCVRPLKQQQDTNPVVLLHGFDRYAYPLLEEAGLEAWAVDILGWGFSDLEVYVATFVLMFLDCLEEKRPPGDVASKREHLYQFWRSHIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAKGTRDMSRVPRFVPYAGVSLLKSTPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDVLVDFMMNGGYNVLSQINQVKHKCLILWGEDDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPREVVKHVLDFLGTERPEKAEQGSSLPSTVGCNALSMLFGSDEASQIKVGSLDIQSQAKGGKAIYSEVPRLCSGVELENWHRNISLLISCYSFLDEQQQQQQGVRHRSQRLHRNMPHQEAAATRLTLSNLGDEEKTAPLRRLPGAAERLVLFEADMYNADTFEAAIAGCELVFLVATPIYHDPHSTKYKNTTEAAVDAMHIILQQCDRSKTVKRIIHTASVTAASPLREDEQGYKDFINESCWTPLNQSHQYNTAMTDAYISSKTLTEKLLLSCNESKSRPFEVVTLACALIGGDADTTQLYHSLSIPPIVSPLTGQELPNNTLKFLQALLRSVPLAHIEDICLAHIFCMEQPSIAGRFLCAVGYPNMQDYVNHFADKYPEIVIKLKEVAGEGVRVQADTHKLTDLGFKYKFGVEETLGSSVECAKRLGLI >LPERR07G18450.1 pep chromosome:Lperr_V1.4:7:18316877:18323552:1 gene:LPERR07G18450 transcript:LPERR07G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPARTVVAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSAEGVASRCMIMKAKEAEDKYIASVHSQNHIKLIRGISSKEYDSRRNKIARKFNSIYLNKGSSESAFLAAGSVIKVAEKVAAGELRSGIALVRPPGHHAEHNEAMGFCLFNNVAVAADYLLNERTDLGVNKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPAQGDASYCFIGDGAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVNACAKVLLGDKFRFDTPHMQPFESSWRVIQAVRDELKTFWPVLSSRLPENVSLRSSPSQTELYSSSGSESDVEELPDAIASVNVIGITDGIISESLSKLNLDEDKIETEATSSSITVEQSLTDSAEPQNDGSVAVSKGMPSLSVTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPIGTMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEMNHNENSYVCMYKITLEQFNDVLFQENRLVKENNESGKTESPDSPLIGLSEIEFFSKNKAVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCKSGELPLCPPSKTYSATLIKGLVEGKHLDADAAANYINAAATRGL >LPERR07G18460.1 pep chromosome:Lperr_V1.4:7:18326797:18329500:-1 gene:LPERR07G18460 transcript:LPERR07G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRVGSVVRRNSVSSSPSLLLQAVRCMSSKIYVGGLSYATDDTTLKNVFSHYGEVLEARVIVDRDTGKSKGFGFITYASSEEVSAAITAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGANGYGSSRYGNGVGYDTGEYGSSSGYGAGGGHGTGEYGSGGGYGAGNGGYGGRAADYGGYGASGHNSGGYSTTGASHGSAGYSNGSVSHGNADGYGNSSGYNTPNTYDAGNYSHSGESGGGFGGNGGGFSHGHFGATGGSNGGNSASNISYGGQSGGSFGGNSGNSVSNFSYKGQSGGGGNSGGFSSGQFGAAGGNYGDNSASNFSHGGDASFGGYSSGQYGAVGGSNGGNPANNFSHDGQSGGGFGGNSGGFNPGQFGATGGSSGSNSASNFSHSGEAGAGFGGNNGGYSIGRFGATGGSNGINSANNFSHGGEAGAGFGGNNGGFNRGQFGAAGGSNGGNSTGNFSHGGQSSGGFDGNSGGFTHGQFGAVGGSNSGNSPNNFSHGGEAGAGFGGNSGGFTRGQFGATGGSNSGNFSLGGQSSAGFGGNNGFSGGQFGATGGSNGGNSASNFSHGGQSGGGFGANTGGFSSGQSGAAGGRYSGNSGSNFGNNGAAGGFGGGGAAGAKGYGASQVQYNGQDDLLGDDFFNDKEDSYASNRA >LPERR07G18470.1 pep chromosome:Lperr_V1.4:7:18332965:18336177:1 gene:LPERR07G18470 transcript:LPERR07G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLLLLLLASAAAASIGGEREALLRFKAAVTSDPGGILRGWSPSAAPDHCRWTGVTCGVSGEVVALNVSSSSSSGGGRRWRLGGTLSPAVAALRGLRVLSLPSHALSGELPAAIWSLRRLVLLDLSGNRLRGEIPPAIACVGLQTLDLSYNQLNGSVPASLGGGGALPGLRRLSLAYNRLGGAIPDELGGAGCRSLQFIDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRSLRALDVSRNSLSGPVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKFTGAVDPSLPVPCMDVFDVSGNRFSGTIPVFEQKGCPSSQLQFDDLVSEYSSFFLYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGAVTSLPLATDKLGMQGPYAFLADGNNIAGPLQPDIFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLVSLDLSRNHLGGEIPTSVKNLPSLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGVLADLTNLTALLLDNNKLTGKIPSAFAKSTSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSPADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVITFQEIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHANLVTLLGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHETCVPRILHRDVKPSNILLDTDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >LPERR07G18480.1 pep chromosome:Lperr_V1.4:7:18338115:18341668:-1 gene:LPERR07G18480 transcript:LPERR07G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVRSAPLLLPKWPCPPPAKLRLRRRRSRFAVRADVKVIYSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSAVGDGTTTAIILAREMINRGLLAVATGANPVALRKGIDKAVHELIEILKTKSIPVSTKADIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYFSPHFITNQDKAIVEFENARVLLTDQRVDDVQDILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITVTSDSTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIVDLVDDSEEKIGVDIVGKALLVPAMTIAHNAGADGPAVVEKLLASEWRFGYNAMTDRFEDLVDAGVVDPCRVARSVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >LPERR07G18490.1 pep chromosome:Lperr_V1.4:7:18344528:18346143:-1 gene:LPERR07G18490 transcript:LPERR07G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRGGDLRRLLLLAAAALVFYCGGVEAARPMAKAALQTDRAYNIAHRGSNGELPEETAAAYERAIEEGADFIEADVTATRDGHLVCFHDVTLDATTDVADRREFAARRRTLEVQWQNVTGFFINESSRIITFDEFITIAQTANRIIGIYPEMKNPVFINKQVHWPDGKKFEDKFIAALKRRGYGGKHNSATWKSNPIFIQSFAPTSLIYASVLTDSPKIFLVDDLTVRTEDTSQTYSEVTSDEFLDYMREHVVGVGPWKDTVVPPTRDNKLAAPTDLVARAHARGLQVHPYTFRNENRFLHFNFRQDPYAEYDYWVNEVGVDGLFTDFPASLRRYQEWTAAGRKG >LPERR07G18500.1 pep chromosome:Lperr_V1.4:7:18349149:18351214:-1 gene:LPERR07G18500 transcript:LPERR07G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGGEAGEKAAGGGAGGESEEIELSLGLSLGGCFGADLARELKKPRLVRSSSIASICCSLAGGGGDNLATAAAVAAPAPLMRTSSLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSSGAAAGGGRDDGQDAMYPAGFQLRRSSVASQGSTSSCMPEQGIGAGAEAMDTSSSDNANCQTKPLPPTTPTSGGGGRPPPNGSSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGTFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >LPERR07G18510.1 pep chromosome:Lperr_V1.4:7:18363183:18366580:-1 gene:LPERR07G18510 transcript:LPERR07G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGDSVAVLSPQMIGNAFVQQYYNVLHSSPGQVHKFYHDSSTLGRPDSNGAMISVTTIAAINDKILSTDFSSSLIKLENVDAQSSLNDGVLILVTGSFGHQGTLNNRFSQSFFLAPQESGGYFVLNDMLRYEPERSAIEINDSLVNDVNDNTPSVGFTSEPETTSGNVNETADLELPSAENVNDKVENQSANDVSPKECTEVVNQCAENISEAAPTTRTSAQKDVTKQSYASVVKVTKEGTTPTPVAKPKPKPKPKTTAKVADNAEKSVPSPVKPTQAADTKSSNDKNDIVERGFSVYVKNLPYDATNEMVEEKFKKFGAIKPGGIQVRNRQPDGFVFGFVEFESQESMLAAIKASPISFGSKQSFVEEKRTRTRVVNGVTHVENNGFARGGQFQQDRGGYRGDNFRGREAGFMNNGNYRDGDNMRNGFRNQNEYSGRGRGPQGNGYHQNGSGYHQNGDGYHQNGNGYHQNGNGYHQNGNRYNQNRNGYHQNGDDYYQKGNGYRQNGTGYYQRGNERPFHNGNGNGNGRSPRFNGPRQTPVAA >LPERR07G18520.1 pep chromosome:Lperr_V1.4:7:18368015:18369640:1 gene:LPERR07G18520 transcript:LPERR07G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSQNPIQKRRLERIEAADDDSGEELGSPIAVGAEDGNETESEKRKKENNRKKAKREREEMKKLESSLFGALYAPPQFGTEVEAADPDNGAPLFFMDRSAVYEDGFSSEDEGKSMVGKERKPAWVDDEEEVTEVDISNVARLRKLRKEVDERVISGKEYEARLRGQHAKLNRFADWADLDRKAPNSGFSDNESDEEGGVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPVNSVQFHRNGQLMLVAGLDKHMRFFQIDGKRNPKIQSIFIEDCPVYKAAFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSHDSSLFATGSTSGIVNVYKRDDFIGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >LPERR07G18530.1 pep chromosome:Lperr_V1.4:7:18370411:18370944:-1 gene:LPERR07G18530 transcript:LPERR07G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLPQCGNRDPALNPHPVVGIAASAAVLAWAGSRQEILGFRNLAYRIAGCCSSACRGVGPGEFPSRRPSIPSNTWWACVGERRHT >LPERR07G18540.1 pep chromosome:Lperr_V1.4:7:18371715:18376524:-1 gene:LPERR07G18540 transcript:LPERR07G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAVAGAGAGEDAPELERQMGCMAGIFQIFDRQRLLTPRRRPATARKLLPPGPGHTLPRSSSNVPAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLLVQRPLKSSPNLKEPVMDIRPGQTNIGFRDIVKDSINRDSGGLTVKTSVKETRRNVQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRYSCDDRRLLRPAEAQENKKPSTRPKELPRLSLDSRKESLSPSSRQKNFSYRRTDDSLLDDLKPQDSPGHRRASSVIAKLMGLDEEPNTTGMLTADSYEPARSPRPAEHTQKEHPVPSPRRSCQDHCELLPKDEPSAMKTKPSPRILTEAAPWRQQEKVVTGSKTLQCRDAGPRTASLYAYIERRGGGLEFLEFNKDFRALRILNALHAKDAKRQSNGNNALALVAQQSGDALSTSSRHLQPPIVVMKPGRTTEQQPGVSLASADPLEGFRSLRKLQARDALCISEHDTSTNEKGHSRNSRAQSKSDEPVSRPSSPRPTGSSSPRPVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTKPSQGKNNRDNEVSKSPRSRISLAKEVDISIMDFQKPLVISSSFVQPSNAPSTPTHKGISSVLASDQKINSLENAPSPVSVLDTSYYHARLSYSFKDGEIHTSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEAANKKDHQYIYEILLASGLQHKELSFVVMPGQAWPSSCLINPELFLILEQTKPDFASATLTVIKSSKANTEKLHRRLLFDLVNEITAQKMSIHCSVSQSARLLQSRKYNGWRLFKDLCTEVDKLQSESSSIKFSEEDEDERMLIVEDPLNGIEDWSFESESPSLSLEIERLIYKDLIDEVIGAEATGKMQGGHWKLRRQLSFSSIS >LPERR07G18550.1 pep chromosome:Lperr_V1.4:7:18397270:18400855:1 gene:LPERR07G18550 transcript:LPERR07G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSCLSDPSPSSSPPPAVKRRSSSASSRRASSAAIDEEALAAAAALVLGQRGSFAGVGGAFERSASVRYAAKRGGQQQQGGLGFPPLPRSSSTRPRSLADPDLHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKIGSLMQYAEPLTSYLKSLGDTEKVILVGHDFGGACLSYAMEMFPSKVAKAVFLCAAMLKNGHSALDMFQQQMDTNGTLQRAQEFVYSNGKDKPPTAINIDKSSLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQSMCESNPPEKILRLKGSDHAPFFSKPQALHKTLVEIATMPLVQTS >LPERR07G18560.1 pep chromosome:Lperr_V1.4:7:18401220:18402649:1 gene:LPERR07G18560 transcript:LPERR07G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPVSPSKRAPSATTTTNKRRRLAAAPPWASLPGDLVQQIGWRLLAAGGLRFLAACSGWHSTTASPHCRGILDPQFHPRRWMILPEGPDGDLLPGGKSNLNGGDLRFLHLSTDTLVAVYLPLLAGDEIHSLLDSTDSDIIWVAAPGS >LPERR07G18570.1 pep chromosome:Lperr_V1.4:7:18406622:18408236:1 gene:LPERR07G18570 transcript:LPERR07G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFVAMADSQESSLLLFLLPSAASFLPPLLSVLLLAAFLIWLSPGGPAWALSRSRRPPPGPPGASAAALSSPLAHHVLAGISVGAAAMSFSVGFTRLVVTSSPETAREILASPAFGDRPVKDAARRLMFHRAMGFAPSGGGHWRALRHAAGAHLXXXXXMARAVASAMSRRGEVALRQLLHAASLEHVMETVFGRRRFDPGAGAGGEMMLEEMVREGYDLLGRFNWADHLPLIGWLDIHGVRRRCSRLVQKVEVFVGDIIQEHRQKRAGGGDGAMGDFVDVLLDLQEEEKKLSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVNHPEIQAKAQAEVDAAVAGDGVVRDGDVARMPYIQAIVKETLRMHPPGPLLSWARLAVHDALVGGHDVPAGTTAMVNMWAISHDAALWPEPAAFRPERFVVAGGGEEFSVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHSFDWSPSAAGVDFSERLGMSLEMAAPLVCKAAVRRR >LPERR07G18580.1 pep chromosome:Lperr_V1.4:7:18421891:18425229:1 gene:LPERR07G18580 transcript:LPERR07G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYSGGGRHESLLFKQNDDASCHGEESRSLLETQEPQLRTKQSDWRAPSLILGLQCLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFTMYLLGTILITVGSFMPSAPALCNTESCLSSNGTKNLVYFSGLYLTAIGCGGVRSALLPLGADQFNSDSSSDIQKRRNFFSFFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFQRPEPHGSPVKSVFQVIVASFRNLTLELPADSSLLYEARSKNTRKSEPRLAHTDDFRFLDKAAIMSDLNLDQGSCASPWRICTVTQVEELKIIIRILPIWATGIFFGAGISQMHTTFIQQGTVMNTKIGSFSIPPASLYSFEVICVTVWVFIVNKAIIPATRTCFANGAEITQLQRIGIGRVLMIFAMAIAAFLEMKRLDSVQQGEPLSIVWQLPQYFVIAGAECFTIITQFEFFHGQAPDSMKSTLTAFALLTSALGNYLSSAIITLIARVTSTGDSPGWIPDDLNKGHLDYYYWCLAAVSFVNFIVYIYFASKYKLKKPVIQV >LPERR07G18580.2 pep chromosome:Lperr_V1.4:7:18421955:18425229:1 gene:LPERR07G18580 transcript:LPERR07G18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYSGGGRHESLLFKQNDDASCHGEESRSLLETQEPQLRTKQSDWRAPSLILGLQCLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFTMYLLGTILITVGSFMPSAPALCNTESCLSSNGTKNLVYFSGLYLTAIGCGGVRSALLPLGADQFNSDSSSDIQKRRNFFSFFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFQRPEPHGSPVKSVFQVIVASFRNLTLELPADSSLLYEARSKNTRKSEPRLAHTDDFRFLDKAAIMSDLNLDQGSCASPWRICTVTQVEELKIIIRILPIWATGIFFGAGISQMHTTFIQQGTVMNTKIGSFSIPPASLYSFEVICVTVWVFIVNKAIIPATRTCFANGAEITQLQRIGIGRVLMIFAMAIAAFLEMKRLDSVQQGEPLSIVWQLPQYFVIAGAECFTIITQFEFFHGQAPDSMKSTLTAFALLTSALGNYLSSAIITLIARVTSTGDSPGWIPDDLNKGHLDYYYWCLAAVSFVNFIVYIYFASKYKLKKPVIQV >LPERR07G18590.1 pep chromosome:Lperr_V1.4:7:18426174:18427640:1 gene:LPERR07G18590 transcript:LPERR07G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHLLRRLLPSPATAAGAGAAPSPAIRRLSTAADPNPTTSLASSLAGALATLSSSTTAAPLATSPDAYFSLHFSDVRPTNALLAETLALSPPATSRAAADLFRFLVRRRSLHPSDGALALVVRHLGRRRDFPAVRSLVQEFPSALGPATLDAYLLHLAGAGRPKDAVKVFDELPAQLRTRETLTSLVSALSGEGWASHAEGVVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICRLCHKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARTSEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLARHNLGDRANALFREATARGVTVTPGVYKIDKKYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPRRRMLRV >LPERR07G18600.1 pep chromosome:Lperr_V1.4:7:18435469:18440460:1 gene:LPERR07G18600 transcript:LPERR07G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAPFKIFGFSTGVFWWIVLSTKFWAKKTMEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFAIRQLVKVRTIGVSESNDCPKFDLILLNMGFDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDNKSEAVRLAISDNADGPDNPSSLPARMVQPTDGKLVWFLDKAAASSLETENDDAFEQHHEY >LPERR07G18600.2 pep chromosome:Lperr_V1.4:7:18435469:18440618:1 gene:LPERR07G18600 transcript:LPERR07G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAPFKIFGFSTGVFWWIVLSTKFWAKKTMEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFAIRQLVKVRTIGVSESNDCPKFDLILLNMGFDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDNKSEAVRLAISDNADGPDNPSSLPARMVQPTDGKLVWFLDKAAASSLETENDDAFEQHHEY >LPERR07G18600.3 pep chromosome:Lperr_V1.4:7:18435469:18440114:1 gene:LPERR07G18600 transcript:LPERR07G18600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFAIRQLVKLKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDNKSEAVRLAISDNADGPDNPSSLPARMVQPTDGKLVWFLDKAAASSLETENDDAFEQHHEY >LPERR07G18600.4 pep chromosome:Lperr_V1.4:7:18435469:18440618:1 gene:LPERR07G18600 transcript:LPERR07G18600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFAIRQLVKVRTIGVSESNDCPKFDLILLNMGFDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDNKSEAVRLAISDNADGPDNPSSLPARMVQPTDGKLVWFLDKAAASSLETENDDAFEQHHEY >LPERR07G18610.1 pep chromosome:Lperr_V1.4:7:18452152:18455916:1 gene:LPERR07G18610 transcript:LPERR07G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPRPVLLLPMGVSVVLLVTFSSLMPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEVIWAMNGGQATEQGDCSKFKSNIPHCCKKNPEVVDLLPGTPYNMQIANCCKGGVLNSWAQDPANSVASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGNAKLVRPTKFFSQDGRRTTQAHMTWNVTCTYSQMAAQKSPTCCVSLSSFYNDTIVNCPTCSCGCQSNKPESCIEGNSPHLASVVNTHDKDSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYTQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKHPKTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRVMSSLLLPFIAIWTTLTFLMVYA >LPERR07G18610.2 pep chromosome:Lperr_V1.4:7:18452152:18456812:1 gene:LPERR07G18610 transcript:LPERR07G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPRPVLLLPMGVSVVLLVTFSSLMPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEVIWAMNGGQATEQGDCSKFKSNIPHCCKKNPEVVDLLPGTPYNMQIANCCKGGVLNSWAQDPANSVASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGNAKLVRPTKFFSQDGRRTTQAHMTWNVTCTYSQMAAQKSPTCCVSLSSFYNDTIVNCPTCSCGCQSNKPESCIEGNSPHLASVVNTHDKDSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYTQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKHPKTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRVMSSLLLPFIAIWTTLTFLMVYA >LPERR07G18620.1 pep chromosome:Lperr_V1.4:7:18456289:18459788:-1 gene:LPERR07G18620 transcript:LPERR07G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSLLFFVLAAATLSVAAAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQMYRHIMSPGYTLGWTWARREVIWSMVGAQATEQGDCSRFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGVIPAYGQDPAAAVAAFQVSVGQAGTTNRTVKLPKNFTLLGPGPGYTCGPAKVVPSTVFLTPDRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIIPCPKCACGCEHKPCVRSERDTKRLAVTGKHTDHSHGRQHATAAAMLQCTTHMCPVRVHWHVKLNYKEYWRAKISIANFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTAMFYGLKYFNDQLMEAGPHGNVQSEVLMRKDARTFTFKQGWAFPRKVYFNGDECQMPPPDSYPFLPNAAPAIAAAASASSLVAAVASLVVLFLMGCA >LPERR07G18630.1 pep chromosome:Lperr_V1.4:7:18463285:18464929:-1 gene:LPERR07G18630 transcript:LPERR07G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSIFHFLKGTYNSPNGERLAGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFVRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGMLLALIEGAGLMLNRVLATPPPLPADDPSLVGFPGIPQAATMPPSPPPPIPEAATSGGGGSIYHFAKGLRNSPNGSRIVGGMQAAGMNAARVGGSWAVWGALYSACDCTLVYARQKEDPYNPIIAGAATSGLLSARQGLHVVAKSSLVGGLLLAGVTGLGLILNRIEPVAVDDPFTPHVENPYLEGLFGGFRRRVEDGTTNSSDRTETTETLDMPSPPAPSFEYN >LPERR07G18640.1 pep chromosome:Lperr_V1.4:7:18465694:18465993:1 gene:LPERR07G18640 transcript:LPERR07G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVVVTSFAAFFFTSIAPLIIFDVGSGGAETTAVMMDITNLHKRRAAQGGNDNGIGVSVRCKKKTCLAGGGNSRGTALPSIVSVDTGEVRQAMSARG >LPERR07G18650.1 pep chromosome:Lperr_V1.4:7:18467347:18470735:1 gene:LPERR07G18650 transcript:LPERR07G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIGAMAFVTSLCTFQLTRNVFMNPDVRVNKNHRQSAVLENAVEGEKYSQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >LPERR07G18650.2 pep chromosome:Lperr_V1.4:7:18467301:18470735:1 gene:LPERR07G18650 transcript:LPERR07G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVYISHVGWFAWHCSAAKVEITHETGIKEGFQFSGLIAGCSDPNTAHIDRYGPLVEARCLPPDRRHGVRDELVHFPADKERVHEPGRQGEQEQPSERGAGERGGRGEVQPARVPAIPRHAAAGGLPGDQPLLRRPGNGGEDRSSRLTV >LPERR07G18660.1 pep chromosome:Lperr_V1.4:7:18475144:18478794:1 gene:LPERR07G18660 transcript:LPERR07G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAVVPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIKVPEGFDYELYNRDDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKNINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNGRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSAALPKEANTVQKCYLELAKQVRAKLGKVDGYFNKLADAMVTWIEAWDELNPPKGAVATTNGTAKK >LPERR07G18670.1 pep chromosome:Lperr_V1.4:7:18491643:18518103:1 gene:LPERR07G18670 transcript:LPERR07G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVGLIVFSTKGKLYEFSSHSSLKEVILDVHVDDGHQMATLVRPNQAGILERYQRYSFDERAVLDPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLETLTTKELQQLEHQLEYSLKHIRSKKNQLLFDSISELQKKEKSLKNQNGELQKLMETEKGKNNALVNTHREEQNAAAASTSSPAPVAVPDSTPTINISPYQPRGSGDSEAQQQSPAQVGSSRLPAWMLRTVSNT >LPERR07G18670.2 pep chromosome:Lperr_V1.4:7:18491643:18518103:1 gene:LPERR07G18670 transcript:LPERR07G18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVGLIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLDPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLETLTTKELQQLEHQLEYSLKHIRSKKNQLLFDSISELQKKEKSLKNQNGELQKLMETEKGKNNALVNTHREEQNAAAASTSSPAPVAVPDSTPTINISPYQPRGSGDSEAQQQSPAQVGSSRLPAWMLRTVSNT >LPERR07G18690.1 pep chromosome:Lperr_V1.4:7:18532124:18532342:1 gene:LPERR07G18690 transcript:LPERR07G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGFVVGAAASVAVGVAACLLWPAAAPVVMMKAPGGGGLLISRLAFEANPMLYYYLLRTAGQVAAAAAFA >LPERR07G18700.1 pep chromosome:Lperr_V1.4:7:18536970:18537152:1 gene:LPERR07G18700 transcript:LPERR07G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAAYFMWPWAAPAAGAMMKAPGAAGYVISRAAFLANPQLYFHLLRTAGAKAASAVA >LPERR07G18710.1 pep chromosome:Lperr_V1.4:7:18539005:18543372:-1 gene:LPERR07G18710 transcript:LPERR07G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATGPVPFKDVDDDGIDFSPRVLSPKEEFADLVASLLRKQLFMDGRLYQLGHSPRCRLIRLLAAFVGRPFTAAEEAAGAVASVIELCSFDRMKGLEVNKRSGTPGGYKSIPRDAFFRKGVNGDCANHMSPETAARLDGIFCDKFRGTGLAIPTTGSRHEAPQKHHISAMSPTNTGSGKPAGPIPFKDIIISDDDGDDNRQQQQQPPVADEYRDVIAALPCRLQGTPQRMRLYEGAWYREDWVPGIIAFHRRFVPRDDGGDVILASLPKCGTTWLKALAFAVAARGAYPPAGDVQRHPLARLSPHDCVPFVEAAYFAGEEARLEAAPSPRLMSTHASYSVLPASMRENPRCKVIYICRQPKDMLISYWHFMNRSKFNTMSFSDVWKSIPESTYFGSPIWEHILGYWNASKMNPDRVLFLKYEDVLCDPIKNIERIAEFIGQPFSEAEKKAGIVGSIINLCSLQNLKALDPNNIGFRRVVGIEVPNGSYFRKGKVGDWVNYVTPEMAESLDKFLCDKFHGTGFAFTD >LPERR07G18710.2 pep chromosome:Lperr_V1.4:7:18539005:18543372:-1 gene:LPERR07G18710 transcript:LPERR07G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATGPVPFKDVDDDGIDFSPRVLSPKEEFADLVASLLRKQLFMDGRLYQLGHSPRCRLIRLLAAFVGRPFTAAEEAAGAVASVIELCSFDRMKGLEVNKRSGTPGGYKSIPRDAFFRKGVNGDCANHMSPETAARLDGIFCDKFRGTGLAIPQPKDMLISYWHFMNRSKFNTMSFSDVWKSIPESTYFGSPIWEHILGYWNASKMNPDRVLFLKYEDVLCDPIKNIERIAEFIGQPFSEAEKKAGIVGSIINLCSLQNLKALDPNNIGFRRVVGIEVPNGSYFRKGKVGDWVNYVTPEMAESLDKFLCDKFHGTGFAFTD >LPERR07G18720.1 pep chromosome:Lperr_V1.4:7:18553308:18557235:-1 gene:LPERR07G18720 transcript:LPERR07G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAATGPVPYKEIFNENDIVVPAAPSPKEEFGDLVASLPRKSQFLDGRLYRGFWLPEHYAPAIIAFNRRFTPRPDDVVLVSYPKCGTTWLKALAFAAMSRYSYPLAAGDHPLLRLNPHDVIPFVEDVFTDGLDAKLEMLPSPRLINTHAPYPLLPASITAGEGCNCKVVYICRDPKDMVVSLYHFMRRLQPELSFAGVVDAVADGTVPYGPMWDHILGYWRASLDCPDRVFFLKYEDLLRDAGEQVRALAAFVGRPFTDVEEAAGAVASVVELCSFERMKGLEVNRTGTAGSYKSLPRDSFFRKGVAGDWANHMSPETAARLDGIFRDKFRGTGLAIPAVGVRHMQPAMSAAAGPVPFKDIVVDADADAEARRRPPAAEEYRDIVAALPSKPRFAASSCRLLQYKGTWFREDWVPGFVALHRRFVPRDDGGDVILASLPKCGTTWLKALAFAVAVRGAYPPAGDAHPLLRLNPHDCVPFVEMTYLVTGEEAKLDAAPSPRLMATHVAYSVLPSSITESTRCKIIYICREPKDMLISQWHFRNKSNSNTMQFSDVWESIREENYLGSPIWEHILGYWNVSKAKEVLRDPIKNVEKIANFIGQPFSDAEKEAGIVESIVELCSFTKMKDLSVNNTGFQQVADAKIPNKSYFRKGAMGDWTNYITPEMSESLDKFLSDKFRGSGFSFT >LPERR07G18730.1 pep chromosome:Lperr_V1.4:7:18558966:18569498:1 gene:LPERR07G18730 transcript:LPERR07G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELQVRALDGRSTAITLAAAASVRDLKAALRGSFPPAQVSPSFHLFLKGTKLRMEAEIGTFTVGHGEFIVLVPFTRKSLQCSSVAIVDQGEITDSIRSSQVSSGGNSARKGITENPSSIPSNPHGATVSRCFSSRANSAWQDIMDDLSSISSSPQFDSASKNLCSSSTIPCSANSAEDVPTGQSLPTENSKKRRKLCKENGNVFKGLSTTAVNSAYGHSSMDKKRRTARSAATSCHDTCYLEPAEMVEHLKQGLAKEGQIVHIEEIPCRAASFTEIPNHLSEATREALKSLGISRLYSHQSQAIHSSISGNHVVIATSTSSGKSLCYNIPVLESLCQDLMACTLYIFPTKALAQDQLRTLLEMKNALHTDIDVSIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPYHGQFQRILSNLRYIVIDEAHLYKGAFGCHTALILRRLKRICSNGIHPTFIFCTATSANPREHVMELAKLDDVELIQNDGSPCGFKYFLLWNPVLQMTKEGSSKDSLVTRRSSPIVEVAYVFSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDSICDRRKIEADLFEGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQHFMKFPHKLFGKPIEHCQVDSHNQKVLEQHLACAAYEHPLSVQYDEDYFSTSLNSVMMGLREKGYLINNPSGPFSSSMWSYIGPEKRPSQTVSIRAIEHVKYRVIDKLNNRLLEEIEESKAFFQVYEGAVYMHQGFNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGEEFAYLPPSTCRTNRVKTTAQANDCKVSTKWFGFYRICKSNNKISDSVELNLPPYSFNSQAVWVRIPHSVKTTVEERKLQFRGGSHAASHALLNIVRALFGELLIAALELVSECSCTNSTGCPNCIQSLTCSEYNEVLDKEAAILILKGVIEYERSYFDAEDGSD >LPERR07G18730.2 pep chromosome:Lperr_V1.4:7:18558966:18569498:1 gene:LPERR07G18730 transcript:LPERR07G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELQVRALDGRSTAITLAAAASVRDLKAALRGSFPPAQVSPSFHLFLKGTKLRMEAEIGTFTVGHGEFIVLVPFTRKSLQCSSVAIVDQGEITDSIRSSQVSSGGNSARKGITENPSSIPSNPHGATVSRCFSSRANSAWQDIMDDLSSISSSPQFDSASKNLCSSSTIPCSANSAEDVPTGQSLPTENSKKRRKLCKENGNVFKGLSTTAVNSAYGHSSMDKKRRTARSAATSCHDTCYLEPAEMVEHLKQGLAKEGQIVHIEEIPCRAASFTEIPNHLSEATREALKSLGISRLYSHQSQAIHSSISGNHVVIATSTSSGKSLCYNIPVLESLCQDLMACTLYIFPTKALAQDQLRTLLEMKNALHTDIDVSIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPYHGQFQRILSNLRYIVIDEAHLYKGAFGCHTALILRRLKRIFYGSHPTFIFCTATSANPREHVMELAKLDDVELIQNDGSPCGFKYFLLWNPVLQMTKEGSSKDSLVTRRSSPIVEVAYVFSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDSICDRRKIEADLFEGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQHFMKFPHKLFGKPIEHCQVDSHNQKVLEQHLACAAYEHPLSVQYDEDYFSTSLNSVMMGLREKGYLINNPSGPFSSSMWSYIGPEKRPSQTVSIRAIEHVKYRVIDKLNNRLLEEIEESKAFFQVYEGAVYMHQGFNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGEEFAYLPPSTCRTNRVKTTAQANDCKVSTKWFGFYRICKSNNKISDSVELNLPPYSFNSQAVWVRIPHSVKTTVEERKLQFRGGSHAASHALLNIVRALFGELLIAALELVSECSCTNSTGCPNCIQSLTCSEYNEVLDKEAAILILKGVIEYERSYFDAEDGSD >LPERR07G18740.1 pep chromosome:Lperr_V1.4:7:18578638:18579895:-1 gene:LPERR07G18740 transcript:LPERR07G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGGELGSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKVAGAASSGSQDASRNNGGGGGGGGGGGGGGGYGMYGGGGGGMIMMMGQPI >LPERR07G18750.1 pep chromosome:Lperr_V1.4:7:18592998:18593327:1 gene:LPERR07G18750 transcript:LPERR07G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGRDHDSSFFSSKPPQHKGSSIKPARPWLWPRFLFASLALAQLASSAQLALPSLLFFGFDRWRQPPLPHRPRVRREHVELPAPSEGHATPGPSTWKTDHALPTPRP >LPERR07G18760.1 pep chromosome:Lperr_V1.4:7:18598133:18599121:1 gene:LPERR07G18760 transcript:LPERR07G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAETPPLPWHTRLLVRAVNVLHSASLRRDGTVNRFLLSLFDRHVPPNPTPDSAGVFSSDHAVSDHLRVRLFFPSTPEDAGGGGKLPVVVYFHGGGFVFHSASSARYDALCRRLAAAIPAVVASVDYRLAPEHKFPAPYDDGEAAIRWVHAGAGGALMLPPTAVFVAGDSAGGNVAHHAAARVAAVAGVVLVQPFFGGETATASERQLRDAPFASPERIAQREYADALRDAGGGEEVVVAEFPDAIHGFYILDDLDDSKRLLDEVADFVNRHHRAASTSAS >LPERR07G18770.1 pep chromosome:Lperr_V1.4:7:18600823:18603756:-1 gene:LPERR07G18770 transcript:LPERR07G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCKIQDKENIKTISYDEKNNAVLVAGPFDAEKVCKKLCCKAGRVIKEMQIKGKENKESKDGAGDKAKPAKSAEKDAGAGKADKKDAAAGGGGKTDKKDGGKPEKEAKADKAAAAAAAKPEKVAKEAKPEKKVKFDVDDAPAPAVKAGKVPMPMPAGMTQADLAPLLEKLKLAKQGGPEPPRGEPIPPPMMMMPPPVTQGVAVPSIWPAPAGSVSCYSYNPAAYDQSSYYGGYGYGCGTGSCRCPSCAGNKPGGYYGMAPVPAPAAYDHQGWYYGNRNPYYQQQQCYEDPNAGCSIIWSKLTNDGVLVKDMSLIILAAHKFLGRCNSATQAEALACAEGLSIAAQHIHMPILFEMDNIEVIAAMKRKKHRDPHGRQLWLKPRT >LPERR07G18780.1 pep chromosome:Lperr_V1.4:7:18611905:18612432:-1 gene:LPERR07G18780 transcript:LPERR07G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSLLHLPLISPIHLHRRHGSTTTTIPQAHLPHHPQTNHPQPLLAVKSPPAEPEPVTAAAGGGRTCGLPTWALIGGITVGVAVVVALAGPAAALGPEGPLVEEFWDNMRRYALYVVTVSTGFAYTLLEPIVELLKNPVTALLIVAVLAGGGFLVSQVLNAMVGNSDFIYSYSQ >LPERR07G18790.1 pep chromosome:Lperr_V1.4:7:18614504:18621231:1 gene:LPERR07G18790 transcript:LPERR07G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDDGPEFVRWREDFVSQERGSRVVHYYLEDTAGVSHLAVVGTERSLRHMLYVVSEDFREAQGCGGGGVDGNGGSGVLARKWRSRREVVDWLASFLPAKSLASKLSKFGPHMAHDIGLDGYREPDSFMCHNLGKAYGSDIMWSGSSWYCGKQLCHYKSFSRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCANTLANSSMVGIRFCFRQYSKNKFKRFDLRTLRGYFSQAVVLSLKLPPEQEKDVGSDIIKTAEHCTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPNSKQSPRKHLSVKFIGPQNQHMPTYNIGDRIEVLSQDSGIVGCWFRCTVLKSCPNHNKLKVQYDDLQNADDSGRLEEWVPASTLARPDKLGLRCPERFRVRPRPQQNSLVDGINLLPGTAVDIWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKNRWIDIEMKPDVLSKIPSVGVQTRQPDNVTSVGKPDSGSSAMSDQELVALQTNSSGDKQTGADQPAEVSLTDTASAFAEYEKQTVLGKRPRDDDDAEHDCNGEVGVDVGKL >LPERR07G18800.1 pep chromosome:Lperr_V1.4:7:18619820:18622706:-1 gene:LPERR07G18800 transcript:LPERR07G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVVLVVVVAVIFAVTAADAGEFITWDDLSIPAGAGERLTTVTTTIVVSPDGTGHSRTVQGAVDMVPDGNSRRIKILIKPGIYREKVRVPITKPFVSLIGMGTGRTVITWHSRASDVDATTGRQVGTFDSASVAVEADYFCASHITFENSAAAAAAGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARTLYQGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYEEARPFIGRSFINGEQWLKL >LPERR07G18810.1 pep chromosome:Lperr_V1.4:7:18624661:18627067:-1 gene:LPERR07G18810 transcript:LPERR07G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAADHHHGGFHSPPPPATKISIPISAGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTIRWSSGDLAATFGDLPDPLLDDLDPLEMEEREKLVRRMWDVYTRTGDRVRLPRFWQEAFEAAYEELAGDDTQASEMAVSEIARMSVHRPELEQSSNKNKRVVKLRWQVEAK >LPERR07G18820.1 pep chromosome:Lperr_V1.4:7:18631908:18639807:1 gene:LPERR07G18820 transcript:LPERR07G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLAPLANLRLVSLDLYECPVTRVKDYRSKVFAMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDDEDEDPGSGEVANGGVAHARGGVAGHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGGGPDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDDDAVVEVHQVHDVPSSSDEEEDGIEEDDDEEDEDEEEVEDDGEEAEPESSGRVPLAVGDVGEEIDGHEHGEGDDEDENGEIGEEDEERLEDDRVYEGNDDDDDEEDVDDEDAADDKKEADAEAKPAAKPAAKPKKPAVKPLPEMMQEDIIPPLKAALDAEDDVSQVELSFQDNRLEGSFVKDEVPYYFWAFFPNGDLTGPKGFALTSYGMEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEDEGESSK >LPERR07G18820.2 pep chromosome:Lperr_V1.4:7:18637177:18639807:1 gene:LPERR07G18820 transcript:LPERR07G18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPLRLVRHAACKCSAVPILFGRQRLPLLVAFPRGSGAGASCSAVQESSSAAGAATTVSEKKDAADDKKEADAEAKPAAKPAAKPKKPAVKPLPEMMQEDIIPPLKAALDAEDDVSQVELSFQDNRLEGSFVKDEVPYYFWAFFPNGDLTGPKGFALTSYGMEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEDEGESSK >LPERR07G18820.3 pep chromosome:Lperr_V1.4:7:18631827:18637697:1 gene:LPERR07G18820 transcript:LPERR07G18820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLAPLANLRLVSLDLYECPVTRVKDYRSKVFAMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDDEDEDPGSGEVANGGVAHARGGVAGHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGGGPDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDDDAVVEVHQVHDVPSSSDEEEDGIEEDDDEEDEDEEEVEDDGEEAEPESSGRVPLARLEDDRVYEGNDDDDDEEDVDDEPSTCGPISPTQKLSAPTAILIGRPKDNEPNGWLGGDRAAPAAIATELQFSHLQSADRVVAGNQCHPTEPVDGKQASPRRPSPAKNEVLHGHLIGVIAD >LPERR07G18820.4 pep chromosome:Lperr_V1.4:7:18631908:18637697:1 gene:LPERR07G18820 transcript:LPERR07G18820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLAPLANLRLVSLDLYECPVTRVKDYRSKVFAMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDDEDEDPGSGEVANGGVAHARGGVAGHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGGGPDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDDDAVVEVHQVHDVPSSSDEEEDGIEEDDDEEDEDEEEVEDDGEEAEPESSGRVPLAVGDVGEEIDGHEHGEGDDEDENGEIGEEDEERLEDDRVYEGNDDDDDEEDVDDEPSTCGPISPTQKLSAPTAILIGRPKDNEPNGWLGGDRAAPAAIATELQFSHLQSADRVVAGNQCHPTEPVDGKQASPRRPSPAKNEVLHGHLIGVIAD >LPERR07G18830.1 pep chromosome:Lperr_V1.4:7:18640471:18644938:1 gene:LPERR07G18830 transcript:LPERR07G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAGGVVPIPLSLRSPAPAPSKTPFLPSSPIRVPPPHRRRGVAAGALSAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGGEDGAGDSDLGFSKWSEKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSKEEGRRILKEIALVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >LPERR07G18830.2 pep chromosome:Lperr_V1.4:7:18640513:18644938:1 gene:LPERR07G18830 transcript:LPERR07G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAGGVVPIPLSLRSPAPAPSKTPFLPSSPIRVPPPHRRRGVAAGALSAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGGEDGAGDSDLGFSKWSEKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSKEEGRRILKEIALVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >LPERR07G18840.1 pep chromosome:Lperr_V1.4:7:18643298:18647821:-1 gene:LPERR07G18840 transcript:LPERR07G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGHKMHDQDSSSSDSSQSHQEESTMNDGSPNELHASTQSDNDDGHQKQDQDNIKPVSSEGSPGASFPPPKLDYSQSFVYPQQNGTTNSRVPLPVEPAAEEPIFVNAKQYHAILRRRQMRARLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEASGGASGTKAPGNSNTVCAPSAFAPSETASVSTSREMPGNHEQNHFPSAGFLPTMSFRAQNGGDGKLVASAIHQRVSMMR >LPERR07G18840.2 pep chromosome:Lperr_V1.4:7:18643300:18646185:-1 gene:LPERR07G18840 transcript:LPERR07G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRVTMPDYDFFSGGYQMKQLGHKMHDQDSSSSDSSQSHQEESTMNDGSPNELHASTQSDNDDGHQKQDQDNIKPVSSEGSPGASFPPPKLDYSQSFVYPQQNGTTNSRVPLPVEPAAEEPIFVNAKQYHAILRRRQMRARLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEASGGASGTKAPGNSNTVCAPSAFAPSETASVSTSREMPGNHEQNHFPSAGFLPTMSFRAQNGGDGKLVASAIHQRVSMMR >LPERR07G18850.1 pep chromosome:Lperr_V1.4:7:18651618:18653638:-1 gene:LPERR07G18850 transcript:LPERR07G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYRVRFELEPSLEIEFSVRVKSERERRNERKLPLRLARGACSPVLSWPHHSHFPQNSLSGSTTPERTADFAGIAALHSGEHSPRRVRERVEIQAADCLFSSSPLPLSPSASGRRQRDLLRQVPARGSGGDRICSVRCRRGGAAAAGSASSGRRRRASSSLPFLSLADGAGQRRRPVSVGAGPPLDLASSSRRREERRGGPALDGGKVHAEADAWPAAQWETGRSVPLAWGEVVKAATACGGRRWRGGGPAAWRRRGGGWRRMATASVSARRRRGSLPTTQWRGIERNRKGIKLKHGTHIN >LPERR07G18860.1 pep chromosome:Lperr_V1.4:7:18653834:18659202:1 gene:LPERR07G18860 transcript:LPERR07G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWLKALAYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWSNYSILPRKCWQYPEFVLYGHRKYGESDGYPSQKGITDDAQAALNHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIPEVKQPILFLSGLQDELVPPSHMRLLYEKATEHNKNCRFVDFPSGMHMDTWNSGGDRYWRTIQLFLEQYAPEVQSCDASCKSEIADDDEAEE >LPERR07G18870.1 pep chromosome:Lperr_V1.4:7:18659843:18665974:1 gene:LPERR07G18870 transcript:LPERR07G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPPSGHQRGRGHAPRSLQSNHLSRLPLPSRPPLLLQSSFKPFPLQKIPKIKKNVTIKRTRRTTTQPISSDADEEEKKWTRSTTRARWRRSSATSRAAAPASSARSPQMWRISSGNATRLLVGRVKPSHRVLITTAIVNPNPAIKRNLLSLGQSTQSPSPSRRTKTARRKEAQTTRKVAAAVAAGRRSTGRRCAARAGRATAPTSSGSAATSARSGSTASASRSPRPRRSTSSSTSAPPAATATAAAAAAATASVRARRKFWLTASPKVRFGFGLGWARRLVGSSLQ >LPERR07G18880.1 pep chromosome:Lperr_V1.4:7:18666247:18667829:-1 gene:LPERR07G18880 transcript:LPERR07G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTQISKKRKLVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGRFCDLISDLIPCVFSLLNRAPNLTFCLHSGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDELLKPLAAEISVAA >LPERR07G18890.1 pep chromosome:Lperr_V1.4:7:18669381:18671212:-1 gene:LPERR07G18890 transcript:LPERR07G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVAKPQ >LPERR07G18900.1 pep chromosome:Lperr_V1.4:7:18671507:18675846:1 gene:LPERR07G18900 transcript:LPERR07G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAGDAGPSSRGGASGGAPVRPRRFPGAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATAHGLPPTPARRILFILYQTTIPYLAERISSRIVARGIALNDSQLDDHPESDTPNRGTAAQSSPISNNPSRSLNLSYFSRLGGRVHTSWQWVLQKWPSMLPFAQDFIQLAIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSRGVPVLNEDGNIISDTRHGKTADLASGSEASGGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >LPERR07G18910.1 pep chromosome:Lperr_V1.4:7:18676360:18677145:1 gene:LPERR07G18910 transcript:LPERR07G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAALPFPLTSSSSPLLRLALKSSPRRAAAAAMSSSAAVATPIEHIVLIKARPEAATSGAAAAMVSSLQALSGAVPGLSYIHVGPVLRLRSPAAESLGPTHILHSRYATKPDLASYAVHPAHVAAVQGHVLPNSLDVTAVDWVNAAASPSPVAPGNAVRLTLAKVKGGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSARAKGYQFGMVAVFDSLEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVVGPPAPANL >LPERR07G18920.1 pep chromosome:Lperr_V1.4:7:18679369:18680151:1 gene:LPERR07G18920 transcript:LPERR07G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNYRTHCSHPQHVLVRCQYSNNSVHACNLCDAGFRGLIGLRCKACDFDIHEACADYFQPATNSFAHPWHGLALGRVADDDRACDLCAEECPRGSFVYRCVPCGFDVHPLCTMFPAKVKSPLHPDHELAMVPAGSAAAVAMAPAVVGQNYCLCSGCGEAFGGWFYRCGTCGVGLHVECLNGARIKPRAGGGGQSAGAGSSQGNGGGGGGGGRLKPSRSSLVGKFLLRAAVRVAVDAATNGLASAVLGGGGGGGGDCDDT >LPERR07G18930.1 pep chromosome:Lperr_V1.4:7:18683557:18686052:-1 gene:LPERR07G18930 transcript:LPERR07G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKHSSHPDHQLKKTQVKLPFTCNVCNQPGTVTCYRCKPCKFSIHEICAGVSVEGDPTLPTITPMKTYECGHPEELGKVGKRSKKVGSPSPSKNGVQAHSKKKEVLGHPEKKVGVSNTPKKGVQVQGDMIKEKIEESVTTNVPSLSKKKVGVQGPSKKIVGAQSSPKKEAGVQGDMIKEGSPIEKPATTNVLSPSRKMVGVQGSSKKKVGVQSSPKLGVGVQGEIIKEGSKIEESVATNVTSISKKIVGVQGLSGKKMRVQSTPKKRVGASFPQRKKVGVPSEMTQSGSHTKSSISLQVRVVKDSKIKGSATSSIVESKGYTTTNAIGLSTKKYMSSRSSGEKVGVQGHPKQDVGVRGPPKEKIEFPGGMVKGSKAANTRMTKGSRGKESTTLDVGMTRGSRNKRPASTNARKSRNSHIKESIAISTPDQPKRNVRVVGTTAKKTRIQSSSVKTRESSRSRPRRLDRVCEEEEKWEERAKDDEEEKVWEDEYNEGDRKEPGVKTTEEEEEEEEEEEEEEEVVEGNEDGDGDEEEERNEGDEDDKDDEGEEQEEVDEDEDGDERDKLDEGDEDEGDDEGEEQEEGGKDEECDEEDELDERDEDEGDDEGEEQEGDEDDEDDEGDGLDEGDEDEEDDEIDELDEGDEDEEDDEGEEQEEGDEDEEDDEEGELDEEDEDEVDDEGEEQEEEDEDEEGDEEDEDEEDDEGGEPEESVEDDEGDEEDELEGDEDKEYDEGEEQEEGDEDEEGDEKDEREEGDEDDEGEEQEEGDKNEEDDEGEEHEEGDEDKEDDEGDELEEGNKDKEGDKEDELEERGEDEEDDERKKRCAR >LPERR07G18940.1 pep chromosome:Lperr_V1.4:7:18695637:18699545:1 gene:LPERR07G18940 transcript:LPERR07G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPSGKSGGSGGSTPAKRGRPFGSTTGSGAAALAAAAIADAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGQENVEKVYSDSTTPSDDQTKTDDSTVTKKRSAGFWFDEEGLFNVDDEGRAERQQCAVAVSNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPVIPQVYKRLVDLLSTPAFDAQAAAISALYNVAEVNMDCRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILFELTARPSNKATAGQAIWGNIN >LPERR07G18950.1 pep chromosome:Lperr_V1.4:7:18705886:18706752:1 gene:LPERR07G18950 transcript:LPERR07G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATNYLTESRTHFAHPQHPLLKTQYGDGDQPQLNHVCHICGTRVTNGAAAGYRCSIDHCDFHIHSACADFFQETATTPPPNLFGHPWSHNLALRPILLPAPAAANVGGAGGLFIWPPSCRLCRGPLVHGHLAYECRPRRCRFVVHPLCTMLPGQIRCPLLHHREDHKLTDSELIAISAQSTTGPRSATTDHQRVVCAVCRQDFSAGRTRQRHYRCGVCEFALHIGGCASGVPQPPLPWDGGDAAAAAVARFLVVVAEQQLAVDFPGDGMADVINALRVSLGSGDY >LPERR07G18960.1 pep chromosome:Lperr_V1.4:7:18715644:18716360:1 gene:LPERR07G18960 transcript:LPERR07G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTSSLHPGHQLMEHDYGSGEGAHYCCNLCERVIFGAGYRCADDAKCGGFDIHKACLSLPMRITFNAHREHGDLTLSLLTAGRWCGICYVYSHAGCCRDVAKSVGKAALKVGFFGLRVADAVTGGFGSPVIDVVETALHL >LPERR07G18970.1 pep chromosome:Lperr_V1.4:7:18717713:18718156:1 gene:LPERR07G18970 transcript:LPERR07G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCNCKLHPEHLLNGSRLQQRRVDLATFAACASASSSAPAGYICAGATGECGAASTSTRGLRVPADICASHSTGIIPSTARSRSPLSRRLAAAATAGLDGSQRGVAKRVGRAVLKAELFGLRVADSVTCGFGSPVIDVIETELRP >LPERR07G18980.1 pep chromosome:Lperr_V1.4:7:18723319:18723990:-1 gene:LPERR07G18980 transcript:LPERR07G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADHRKHFAHPEHPLLKTHYDSKSTKICDICHAKLSGLVGYRCNDCDFDIHEACADYFKETVSFFAHPWHTLTLSRIPDGTIKWSCNICRESCPPGMLVYRCIKCNFDVHPLCTLLPQTIRSPLHPKHDLNMVPGSGRCSGCCKDLNIWHYRCGFCLYKSHIGCAVSGTPPISAQNTTAVGQNRITSVAKFLLKTSFVIAINAATDGRALPVLNVLEAALVD >LPERR07G18990.1 pep chromosome:Lperr_V1.4:7:18728042:18729129:1 gene:LPERR07G18990 transcript:LPERR07G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGAKHGGGAGRHGVAATAAKGRGSDDVGGSAEQHLSSSRSTESSLFALPPSISPRRLPYPVPPATSSCPYLAMACSLRRDRSRLAVIKRRMLATFLG >LPERR07G18990.2 pep chromosome:Lperr_V1.4:7:18728042:18729129:1 gene:LPERR07G18990 transcript:LPERR07G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGAKHGGGAGRHGVAATAAKGRGSDDVGGSAEQHLFALPPSISPRRLPYPVPPATSSCPYLAMACSLRRDRSRLAVIKRRMLATFLG >LPERR07G19000.1 pep chromosome:Lperr_V1.4:7:18731809:18732474:1 gene:LPERR07G19000 transcript:LPERR07G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQRWHLAHPQHMLLKTQYDSTSRHSCDICCDKLSGLIGYRCNACDFDIHEECADYFKETISFFAHPWHTLTASTIPDGTIKWSCDICRESCPAGTLVYRCTQCGFDVHPMCTLFPQTFRSPLHPQHDLNMVPSWGGCSSCQEDLPVWHYHCGICAYKLHIECATSDAAPSGSGSSHGAVDDQNAAVARESRRHRAAKFLVNTVSVAGNLASVFSAFQG >LPERR07G19010.1 pep chromosome:Lperr_V1.4:7:18746878:18748197:1 gene:LPERR07G19010 transcript:LPERR07G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGSVPDDDDPIGAKNGARRTAGSPKHIVKWVAGTNTVLHSDDPFAADLRRCTNSVSS >LPERR07G19020.1 pep chromosome:Lperr_V1.4:7:18773134:18773820:1 gene:LPERR07G19020 transcript:LPERR07G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRRYFAHPQHLLLRTTYKSTSRQACDICCAKLSGLVGYRCNACDFDIHEACADYFKETISFFAHPWHTLTLSCMPTENKGSICDLCREVCPTGKFVYRCIQCAFDVHPLCTLLPQTIRSPLHPQHDLNMVPATGHCSACREDLDVWHYRCGFCLYKVHIRCVSGVPSSGASQITNAAQNNALAQRSRSTAVTKFLLKQSFMFTINLATGGLASPVLAVLEAALD >LPERR07G19030.1 pep chromosome:Lperr_V1.4:7:18790414:18790834:1 gene:LPERR07G19030 transcript:LPERR07G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPLQRGKIVYRCSQCAFDVHPLCTLLPQTIRSPLHPHHDLNLMPSTGHCNVCPKNLDVWHYRCGFCLYMLHIRCVYGAPSSGASQTTKVVQNNAVVQRSRSTGVIKYILMAIDLATGGMASPVLAILKAALD >LPERR07G19030.2 pep chromosome:Lperr_V1.4:7:18781819:18790404:1 gene:LPERR07G19030 transcript:LPERR07G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYSTSRHVYDICRAKLSGLVGYRCNACDFDIHEAFAPITSRKPSPSLPTRGTLSRSAACLRRGTQRIPLRHFAHPEHLLLKTRYVSTSGHVCDICGTKLSGLVGYRCNACDFDIHEACADYFKETISFFAS >LPERR07G19040.1 pep chromosome:Lperr_V1.4:7:18793530:18798542:1 gene:LPERR07G19040 transcript:LPERR07G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGKWPGGGYDHPARHTPHEDFPEIALPEMTCARATVEEKAMIVATLKFEDFWRNSCYHLEEDAPKKKNDDKEIERYSDRKRKTQSKREALSSYLKLTPANFPAELVQGSKRGQASNKKLRWDRGSDDQPFEVFEKLEEKHKEGDKKTEKDGDDEDEHEEEEVEEAESSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >LPERR07G19050.1 pep chromosome:Lperr_V1.4:7:18796688:18798942:-1 gene:LPERR07G19050 transcript:LPERR07G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIAPLLDAYFRRRFAAAGLVHSSIPLDGGATTIQCWRFPPLPNAAAAAAIDDPRPVLVLLHGFGPPATWQWRRQVGPLSSHFRLIVPDLLFFGGSLTTTTTTTGAAGDRFSEARQAEAVVKLVAAVVGTPPATARVFVVGTSYGGFVAYHVARLMGAEAVERVVIASSDLLKADADDRALLRRAGGERVEDVMLPRSPERMRRLLGLAYHRPRRFSFTPGFVLRHLAEYLYTDKIEEKKELIKGITLGDKDKFQVTPLPQEVLVLWGEHDQIFPIEKAFKVARQLGANARLEILKNTGHMPQEEDPKRFNEALLNFLLPPPISSL >LPERR07G19060.1 pep chromosome:Lperr_V1.4:7:18801803:18805133:-1 gene:LPERR07G19060 transcript:LPERR07G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAMSCAVKCGGPRHRISPPLQQHQGHHPAAALELLLAVRGEARYRSPPSPPSPLSSLSFARAAAAEPAEPRVPPPSAGARRARGAAVAREEFDAPHATTTAAAVILSGAQSRHAIFREDLVRRAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGSLSVQFGSGVADLVKGVSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKIKQKCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLHPELYEELSSNHLEFYNEDMIAAAIRRLEQALQVRGLCCHTISGRNKSLYSIYSKMARKKLAMDEIYDIHGVRIILESKDDCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEEILPLEIQIRTRDMHLQAEFGIAAHWRYKEGVRNCCSSVPEMVEWVRWVVTWQCETLHIDQPSSLAHGSPPRAICTFPSHSDDCPYAYSKRCDHNGPILVILLENEKMSVQELPQNSTVLDLLKRASSYDMHLRLRRNCHVVHNLNQELRMGDVVELIPSTPCKPRGYAREFHQMYDNRLTVSQS >LPERR07G19070.1 pep chromosome:Lperr_V1.4:7:18808819:18809262:-1 gene:LPERR07G19070 transcript:LPERR07G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPSVNVERLWSMVPAEQAAEAAAGAGKAPLVDVSQFGYFKVLGKGMLPDKPIVVKAKLISKVAEKKIKAAGGAVVLTA >LPERR07G19080.1 pep chromosome:Lperr_V1.4:7:18811539:18818856:1 gene:LPERR07G19080 transcript:LPERR07G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLTSSPHPHVHFWCLQTIHDALLLRRRLVLPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLIALLVRLEYPHVYPSYFLDLIPQSSPPQPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEVAVSLRAADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPASVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLMAAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVLAAAESSDDDEVDSGSVLEFLSGYVSTMKAPSEKQLGHLGQILEVVRMQMTYDPVYRGHLDLRDKIGKEEEDLMEEQRKDLVALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVTLTLFLRLGDAVSEEDIRNGSGLLRELVPMLLSARFSCHTHRLVAWVYLETVNRFIKFVQGNDQYVPHMLTVFLDERGVHHQNALVSCHAGYYLMKAVRLLKAKLVPYLDTILQSLEDALGQFTSTDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIDSLVMDAKAQGLEEASPRAIGLRQITIALTMLSKGFNERLVMGSRPTLGVMFRKTFDVVFQVLVSFPNVKPLRSKILSFMHRMIEILGISVLPCIAIALRQLLNDNEAKDMVELLYLLNQIMTKFKSSASALLDDVFPAIASQLSVMLAQDVFSNGLASNTEEMRELEELEKTFYQFLLHIATDDLSTVLLTPSCRHYLENIMQLLLITSCNHKEMSHRKTCAQIFVNLIKDWCSSSEAEEKLPGFRVFMIEKFATGCCLHSVLDKSFSFRDGISTAMFGEIVMAQKVMYERFGENFIVNFVAKLREAHCPPDLVEQYYQKLQGNDIKAFRLFYQSLIEKIRQQQNGSLVFR >LPERR07G19090.1 pep chromosome:Lperr_V1.4:7:18825637:18826254:1 gene:LPERR07G19090 transcript:LPERR07G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNCKAARKKEKKEARSMWGFLLSGCFGGGAAAAGKKQRKKQGKKAAPSRVQAPVPVATAAAAGKKQRKKQGKKAAPSRVQAPVPVATAAAAGKKKVRPRGGGGGLRRLSFTDLSGAGEQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLKPQAIAVKLWDPEGAQGHKEWLVLILSTCPS >LPERR07G19100.1 pep chromosome:Lperr_V1.4:7:18827567:18830867:1 gene:LPERR07G19100 transcript:LPERR07G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPALRDPLRLARIMDPALDGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALQPLLAAVDDVPVGPVVLFVAPDVDEEEDEKVKVQRRSRKDEQHRRRSRLRTSPKGSPRKPAAGIACRNEEFWLTVTVSEARHAIFDRLAPATAD >LPERR07G19110.1 pep chromosome:Lperr_V1.4:7:18845053:18848226:1 gene:LPERR07G19110 transcript:LPERR07G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGILTAALAVAVSLAVHVALRCPIHPLGPSPPRPAAAAARFPPNNLLQNMEKLGEGKLVAPEDVYVDGGGEVYTATRDGWVRRMRANGSWEEWGFVGGTGLLGVAPLADGAMLVCDADKGLLKVEDNGRVTLLASAVEGSTIRLGSCKFAPLLQTIHFFHRSRLADSFPGHLMLFADAAIEASDDTIYFSDASTRFNFDNWFLDFLEYRFTGRLLKYDPRTGKASVVLDNLGFANGVALPPDESFVVVCETMLCLRVWLKGEKTGQAEIFVDNLPGNPDNIRLGSDGHFWIALLQLRSSPWFDMITRWSLTRRVIASFPALAKRTKAMVKGAMVAQVSENGKIVRVLGDSEGKVINVVTSVTEFHGDLFFGSLATNFIGKLSLGKIPQPQKQGAVSS >LPERR07G19110.2 pep chromosome:Lperr_V1.4:7:18845053:18849124:1 gene:LPERR07G19110 transcript:LPERR07G19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGILTAALAVAVSLAVHVALRCPIHPLGPSPPRPAAAAARFPPNNLLQNMEKLGEGKLVAPEDVYVDGGGEVYTATRDGWVRRMRANGSWEEWGFVGGTGLLGVAPLADGAMLVCDADKGLLKVEDNGRVTLLASAVEGSTIRLGSCKFAPLLQTIHFFHRSRLADSFPGHLMLFADAAIEASDDTIYFSDASTRFNFDNWFLDFLEYRFTGRLLKYDPRTGKASVVLDNLGFANGVALPPDESFVVVCETMLCLRVWLKGEKTGQAEIFVDNLPGNPDNIRLGSDGHFWIALLQLRSSPWFDMITRWSLTRRVIASFPALAKRTKAMVKGAMVAQVSENGKIVRVLGDSEGKVINVVTSVTEFHGDLFFGSLATNFIGKLSLGKIPQPQKQGAVSS >LPERR07G19120.1 pep chromosome:Lperr_V1.4:7:18847818:18851132:-1 gene:LPERR07G19120 transcript:LPERR07G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEEVSVSGSGGRGRAAAAAPVPAYERTITLFSPEGRLCQLDYAFNAVKTTGFTSVGVRGGDCVVVVTPVKEDPLMDDTTISNPFVITKRIGLLATGMPADGRAIAQEARNAAAEFRYKWGYEMSPRMLAQWIADRAQIHTQHAHIRPYGVVSMIFGIDEEDETPQLFTCDPAGQFFGHKAVSVGPKDKEVINFLEERMKCNPSLSIDSALQIGIVCKSSPNVRLEGPARFYITAEG >LPERR07G19130.1 pep chromosome:Lperr_V1.4:7:18851512:18853371:-1 gene:LPERR07G19130 transcript:LPERR07G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMEEEEAASLAQDKSSKEPAQMPAAYVEQQRRAEVDRLGCRRSPIIPSNFGKRLGSPDQQRKHFAHPADHQLTKSKFSTESFRSCDICRAKLSGHVGYRCKDCDLDIHEACVDHFKKTISHFVHPWHTLTLSPIPNDDGNKNIKRPCHLCVEPCIPGSFVYSCVQCGFDVHPLCTRLPQTVRSPLHPRHDLNLVPGIMVGRCSACREDLQPLFSLFSLSLRFSSFLKWHASKIAASSGGDTVCEDGV >LPERR07G19140.1 pep chromosome:Lperr_V1.4:7:18861046:18868782:1 gene:LPERR07G19140 transcript:LPERR07G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGIPPPRPPTGMERGGGGGDSAPEAAALLLPSAPMAYAVVSDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAIDCALDEAAVTVRARWWLHCITRSRSCDVRVVVPMGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKASGGLLKPQLFFLTISQIEGGADISATIRWSQMLNYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFTFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGSGNRKVFRKAVVFVIDTSGSMQGNPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEQVNEKSIASAADWMNLNFVAEGGTDIMHPLNEAMALLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGMYCNHYFLRMLASIGKGHYDAALETGSIENRILRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCITGKYQGKFPEMVTAKGFLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTARAWLSSDKQIERKVVKLSLQNGIPSEYTSMVLLQTLEKVDAAQKVKQKLKGNKGSEEPQRIRLHGLKLGFGDRAATRENLTTGFGDVKPPETFEILNKAAGCCSRLADCICCMCCIKACGKMNDQCAILMTQICAALACLGCYECCAEVCCGGSDS >LPERR07G19140.2 pep chromosome:Lperr_V1.4:7:18861046:18868782:1 gene:LPERR07G19140 transcript:LPERR07G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGIPPPRPPTGMERGGGGGDSAPEAAALLLPSAPMAYAVVSDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAIDCALDEAAVTVRARWWLHCITRSRSCDVRVVVPMGDQIEGGADISATIRWSQMLNYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFTFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGSGNRKVFRKAVVFVIDTSGSMQGNPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEQVNEKSIASAADWMNLNFVAEGGTDIMHPLNEAMALLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGMYCNHYFLRMLASIGKGHYDAALETGSIENRILRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCITGKYQGKFPEMVTAKGFLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTARAWLSSDKQIERKVVKLSLQNGIPSEYTSMVLLQTLEKVDAAQKVKQKLKGNKGSEEPQRIRLHGLKLGFGDRAATRENLTTGFGDVKPPETFEILNKAAGCCSRLADCICCMCCIKACGKMNDQCAILMTQICAALACLGCYECCAEVCCGGSDS >LPERR07G19150.1 pep chromosome:Lperr_V1.4:7:18871227:18872627:1 gene:LPERR07G19150 transcript:LPERR07G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWVQNRLNAKQDKRRTEPARSCRQELAREEKIAGDDHRPPPPPPPAALLSIGTLGNDSPPPPPPAEDVADFTIEEVKKLQDALNKLLRRAKSKSGSRRGSTADHDSSLLPLDRFLNCPSSLEVDRRLSLRQDGAAGGQNGEFSPDTQIILSKARELLVNTNGNTIKQKSFKFLLKKMFACRGGFSPAPTLKDPVESRIEKLFRTMLHKRMNARPSNAAASSRKYYLEDKPRGEMRRDQHLHDHDDEDDENGEDIFKWDKTDSDFIVLEM >LPERR07G19160.1 pep chromosome:Lperr_V1.4:7:18876215:18877373:-1 gene:LPERR07G19160 transcript:LPERR07G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSNVNSESGLKKLDEYLLSRSYITGYQASKDDIAVYSSFSTAPSSSYVNVIRWFTHIDALLRLSGVTDDGQGVKVESSTVPEAATPDVADAKAPAADDDDDDDDDVDLFGEETEEEKKAAEARAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRSVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDHFYTEPANEYIQSCDIVAFNKICKLY >LPERR07G19170.1 pep chromosome:Lperr_V1.4:7:18884075:18886772:1 gene:LPERR07G19170 transcript:LPERR07G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMNLPLIIIIHAVAAAMIVDASVHEYAGERFAAGVGNGGAFVLHGGSEGIYASSTAESFVRFEKVAFRRSPESDGNRTVTVTAVIFENADRDAVADGAALCRAAAASNTSVWPRLLAAQFLPGSLLATFPDETIPVTRTGMYTLNFILCDAGDGVAAAGNGVAAEGKTIWKNSGGYLPGRMAPLRPFYGFMSLAFAALAAAWLARHAVFFLSSSPAAASPATPSMLMLQCVATAAVAIGMVEVTTWVAGFAVAYFAAAEALDVGENVGIISDHSPARRIFLLIPVAVLNTVFIYWIFSSLSRTITKLKARRMTAKLEMYRKFANYLIITVALSLGWITFEVHFKLTDEHNERWRVAWVIPAVWQLISFGILCSICLLWAPSQNSMRFAYSAEDCEEGTEHHDDDDDDDVEDTQPLIRPGPLSYVDNWACYVTQDAKIILRTDSGVYAKASEEYKRV >LPERR07G19180.1 pep chromosome:Lperr_V1.4:7:18889197:18890056:-1 gene:LPERR07G19180 transcript:LPERR07G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKRQIEQSLPEWRDQFVSYKELKRIVSSISGNPPSPADEALFVAALNADIDKIDSFFLEQEEEFVIRHRELQEVIKAAAEEKGMKSEEEIGVIRREVVDFHGEMVLLLNYSSINYIAVAKILKKYGKRTAGGAGAGVRLRPAVRRQRCFLETETVSRMVRECEAMAALLPSSSSSAAAAATPAAVAAAEQRIFRNTVAALVTMQDVRSGSSTHGRHSLPPLTLPDSDWLRSFPFQPPSPIPIQ >LPERR07G19190.1 pep chromosome:Lperr_V1.4:7:18893214:18898754:1 gene:LPERR07G19190 transcript:LPERR07G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALVARNHPQASRFTSLAACCLKNFDHQESTVSSGYRSQCLLPSITLVVRTSNWDIARNISFRECERLYGLSQSIGLFALLIRSFLPRRVVEVRCLIQSIVNHCGNAGPDLFQLAPMLVNKLGGLVTLLQVYAALIRIFVELSMFEDALVTYIEAKKIGVELQLCNFLLKALVKRNQVMYARSLFDDMKSTGPSPNVYSYAVLMSMYTHGDKLCLGEAFDLLCEMKIRGVMPTAATYGTYLYGLCRAGQIKSAWDFLQVIIQKGYPCNSYCFNAVIHGFCNESQVQKALEVFDEMKKGGFVPDVHSYSILVDGLCKQGDLMLGRDVFVEMISNGISPTLVSYSSLLHGLCKAGMIEDALELFESLKDQGFKHDQIVYSIVLHGCCRQLDLKVAYGLWTDMVHHGFVPDVYNYASLIYALCRHRYLKEALDLFEIMLDNGINPNIVTCTILVDGFMKEGLIGEAFLFLDEVCRFDIVPNLYTYKVIINGLFKGNESDNVWGFFGGMIKRGYIPDVVLYSIIIDGFVKALNLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDNLPEIMPLFKDMISEGLTPDRILLTSLIACYCKRLNMKKALGIFREMEKMGISPDSFVYTCLIGGYSKVRAMDVAELLMKEMEKKGLSPTVVTYTDLIVGYLKIGDEITAYRTYHDMIQRGIAPDAKLSCILDLGNDVDGFEKSQKEDIS >LPERR07G19190.2 pep chromosome:Lperr_V1.4:7:18893214:18898840:1 gene:LPERR07G19190 transcript:LPERR07G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALVARNHPQASRFTSLAACCLKNFDHQESTVSSGYRSQCLLPSITLVVRTSNWDIARNISFRECERLYGLSQSIGLFALLIRSFLPRRVVEVRCLIQSIVNHCGNAGPDLFQLAPMLVNKLGGLVTLLQVYAALIRIFVELSMFEDALVTYIEAKKIGVELQLCNFLLKALVKRNQVMYARSLFDDMKSTGPSPNVYSYAVLMSMYTHGDKLCLGEAFDLLCEMKIRGVMPTAATYGTYLYGLCRAGQIKSAWDFLQVIIQKGYPCNSYCFNAVIHGFCNESQVQKALEVFDEMKKGGFVPDVHSYSILVDGLCKQGDLMLGRDVFVEMISNGISPTLVSYSSLLHGLCKAGMIEDALELFESLKDQGFKHDQIVYSIVLHGCCRQLDLKVAYGLWTDMVHHGFVPDVYNYASLIYALCRHRYLKEALDLFEIMLDNGINPNIVTCTILVDGFMKEGLIGEAFLFLDEVCRFDIVPNLYTYKVIINGLFKGNESDNVWGFFGGMIKRGYIPDVVLYSIIIDGFVKALNLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDNLPEIMPLFKDMISEGLTPDRILLTSLIACYCKRLNMKKALGIFREMEKMGISPDSFVYTCLIGGYSKVRAMDVAELLMKEMEKKGLSPTVVTYTDLIVGYLKIGDEITAYRTYHDMIQRGIAPDAKLSCILDLGNDVDGFEKSQKEDIS >LPERR07G19200.1 pep chromosome:Lperr_V1.4:7:18899079:18903210:1 gene:LPERR07G19200 transcript:LPERR07G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGETASPPSFSPNLLPFVILSPNPPAAFEPDPSSTRPPADRPDGGGRDSIRAAPVAGARKFREETCITTALKMKWRRLLI >LPERR07G19210.1 pep chromosome:Lperr_V1.4:7:18905088:18908090:1 gene:LPERR07G19210 transcript:LPERR07G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPGAGAGGGVGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEAEATRAAATMSLGGEEAEKKKETMELFPQSAGFGQQDATAADADASRDQEQEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASNGSPVAQNITPPAPTTVTDNTKVQMAPVSSLPTAQVDAQKPARANASDLPIARKASLHRFLEKRKDRLNSKTPYQASSDASPVKKELERQPWLGLGPNGVVKPIERSQ >LPERR07G19220.1 pep chromosome:Lperr_V1.4:7:18914398:18919366:1 gene:LPERR07G19220 transcript:LPERR07G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQKQQAAALMQQQQQAAALQSSMYAMPPPPPLHHHHPLLAAAPPQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGRQIFGQFIRVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASSGEQTSDSKNVADLTSNLTEDGKEIANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSDHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSLTAAELLEYQRALALSKMASSQALMQAQAQQHLLKQAMGMGAGASQAMYDGSFPNVGASQQQLMYY >LPERR07G19220.2 pep chromosome:Lperr_V1.4:7:18914398:18919366:1 gene:LPERR07G19220 transcript:LPERR07G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQKQQAAALMQQQQQAAALQSSMYAMPPPPPLHHHHPLLAAAPPQQSSYGFVDYYDRRSAALAILTLNGRQIFGQFIRVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASSGEQTSDSKNVADLTSNLTEDGKEIANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSDHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSLTAAELLEYQRALALSKMASSQALMQAQAQQHLLKQAMGMGAGASQAMYDGSFPNVGASQQQLMYY >LPERR07G19230.1 pep chromosome:Lperr_V1.4:7:18920250:18921863:-1 gene:LPERR07G19230 transcript:LPERR07G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTPETQRSEKAFCKAECRRRYLVEEVLKAREEKRRAAASELRKKEEVRARKMKEECNEGNIFFICPLNPRTWNP >LPERR07G19240.1 pep chromosome:Lperr_V1.4:7:18923502:18925964:-1 gene:LPERR07G19240 transcript:LPERR07G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKFCGECNNMLYPREDKETHTLLYACNSCEHQELATDTCVYKRVLRKPAGEPKDAASDPTLPRTRSVKCANCGHPEAAFFQAPTKGERGLTLYFICCNPSCGHRWRD >LPERR07G19250.1 pep chromosome:Lperr_V1.4:7:18926206:18930113:1 gene:LPERR07G19250 transcript:LPERR07G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLVAPDGGGGEIHPYGAAAAPAPAMEQELELHRDNADDGLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGK >LPERR07G19260.1 pep chromosome:Lperr_V1.4:7:18938377:18946656:1 gene:LPERR07G19260 transcript:LPERR07G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLDFALFQLSPRRSRCELVVAGNGRTERIASGSVKPFVAHLRAAEEQAAAQPPAAAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVVGGGSLDGAAENVSESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFSPDSVSELVLFADHFGAKRLSEACNKFMSLCQRRPDICPHHPLPSTSSQWNSFDDGNVRGSSSSDMSLDETQADQGATNYKSITGGSTSNIHRSSSQHSIDVSPEPSTVQHPKPTIQQTIEKQEKETDAPPPAPAGGGSRRLSVQDRINMFENKQKEQTPSSGNSSACTGKVVPAKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDGSSLSEKRENGTPAGTPASANLEVNSKARADQDASGLKHAVTSCQKDNSDALPMDSTDTDVFSSSTLDTTSPSPLTAIVSSSSPPQKQTTSSVEDNMVITSSIESESSFRKELSGNQGQGDVRMSQHAVSSVSTRARRKTSPRPALPENNDTSSSPPLSEEHVRMIDEETIPVVHEVAVTREHIVQKDNKSSRRSKEIHAETDVIGRKDRASRTIGKVSSGDLKTRTTSNPHVNFRGSTVRDEAASTETEVHDVNMQRRSLPRKVEDAGRKVAACSEILPQSDCSGRQGISLSRQSSNAEQELSLHGGKVKLVSDGNAVSLEQIKGRPIKGGQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPKVSEVKTPPVLPERIHTKQIVKESLTNDFDANELLKMVDTEGYSNNIPQSIISLEDSRGKFYDQYMQKRDAKLKEDWKLQREQKEATIKAMRDNLERSNAEMRAKFSQSSSVPDSTYVSRCAHKFPPLQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVAGTQRTSIAPVHKHASRTVSSGYANRRNLPDNPLAQSVPNFADLRKENTKPLVGLSRAAARTQPKSFSRSKSIIEESKGISKDQSRRSQSMRKNTSPGELRDTTSENEVIYNWAPSRIPNDQVEGAFAYSTHTAGATKSFLRKGNETHPAVGIAGFAPPMFANTYHNGEDDDFLDQEEDSPDEIKDEEYESIEENLRESDFPADSDSENPGIGHEFGNSDDPGSENGDVSFPSEAPTLGTKFNAFARNMHDIPGEVPASWSSRPHLFAYANDNSDGDAFADSPNGSPSPWNSHSLDQITDADVSRMRKKWGSAQMPFVGPNASQQPRKDVTKGFKKLLKFGRKNRAGDGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSSYDGFVDNDVYAEQDQQLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >LPERR07G19270.1 pep chromosome:Lperr_V1.4:7:18948052:18952360:-1 gene:LPERR07G19270 transcript:LPERR07G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAKDLSRCRMAMAVALQPERKTVEKKPDVKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTEGWVAPKLAKRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPAKASRPWDVDRDGFVMGEGSGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKTVDVGVLVGSQKERCEVNVALSNSFGFGGHNSSILFAPFK >LPERR07G19280.1 pep chromosome:Lperr_V1.4:7:18957140:18964156:1 gene:LPERR07G19280 transcript:LPERR07G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.2 pep chromosome:Lperr_V1.4:7:18957258:18964156:1 gene:LPERR07G19280 transcript:LPERR07G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.3 pep chromosome:Lperr_V1.4:7:18957140:18964156:1 gene:LPERR07G19280 transcript:LPERR07G19280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.4 pep chromosome:Lperr_V1.4:7:18957258:18964156:1 gene:LPERR07G19280 transcript:LPERR07G19280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.5 pep chromosome:Lperr_V1.4:7:18957140:18964844:1 gene:LPERR07G19280 transcript:LPERR07G19280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.6 pep chromosome:Lperr_V1.4:7:18957258:18964066:1 gene:LPERR07G19280 transcript:LPERR07G19280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEVSTSLCWNSSRLSCGITAPFSTPGIGRWGSVFCNCAIVRSYKSLFFLVLLRFLWVPVEEQINLQKEKQHTSVIRL >LPERR07G19280.7 pep chromosome:Lperr_V1.4:7:18957140:18964844:1 gene:LPERR07G19280 transcript:LPERR07G19280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.8 pep chromosome:Lperr_V1.4:7:18957261:18964844:1 gene:LPERR07G19280 transcript:LPERR07G19280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYGRIILRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSSGSSYKIEDVASSCNAIRFYQLYVYKWREVSERLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFPPSANLEELKKINVDTTGGSQLEGFARATLDPSLSWKDIEWLKSITTMPILLKGIVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >LPERR07G19280.9 pep chromosome:Lperr_V1.4:7:18963509:18964066:1 gene:LPERR07G19280 transcript:LPERR07G19280.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIVSTSLCWNSSRLSCGITAPFSTPGIGRWGSVFCNCAIVRSYKSLFFLVLLRFLWVPVEEQINLQKEKQHTSVIRL >LPERR07G19290.1 pep chromosome:Lperr_V1.4:7:18961924:18965425:-1 gene:LPERR07G19290 transcript:LPERR07G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKEHEEEEALVAPDYGAVAEYAAPAADTWGGEWGGDAAAQPAAVPAQTGADWAAAPAPAAGGWDAVAAPAPGWEQGSAPAPAAEPTPNWAALNTSVPRRIPPSTSTGTAPATAFTTSSSAAMVAGA >LPERR07G19290.2 pep chromosome:Lperr_V1.4:7:18963541:18965425:-1 gene:LPERR07G19290 transcript:LPERR07G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKEHEEEEALVAPDYGAVAEYAAPAADTWGGEWGGDAAAQPAAVPAQTGADWAAAPAPAAGGWDAVAAPAPGWEQGSAPAPAAEPTPNWA >LPERR07G19300.1 pep chromosome:Lperr_V1.4:7:18968531:18974261:1 gene:LPERR07G19300 transcript:LPERR07G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTGERALNRLHTMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDTAFEDVLRGAQEAIVIPPWVALAIRPRPGVWEYVRINVSQLGVEELSVPDYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLNALQAALRKAEKHLAGLPADTPYSEFHYRFQELGLERGWGDCAQRTGETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETFTDDVAHEIAGELQASPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDIYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHGEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIESMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDLNHWIKISQGGLQRIEEKLPPFRWLLRERPPTNDLDLPGGNNGLLIQE >LPERR07G19310.1 pep chromosome:Lperr_V1.4:7:18974732:18978194:1 gene:LPERR07G19310 transcript:LPERR07G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEMTSYSSLSKIDSNNQGGHISSLVPANNYQAHDYLYQPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTNACLQCNSPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQREPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPIGLSMEYEIYKATNTINKYCQVARLNPEKSIPSSILKGAKGLAVITVLKAGAVLTYKAGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDCKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYTSLDDLFSKMVY >LPERR07G19320.1 pep chromosome:Lperr_V1.4:7:18979122:18982294:-1 gene:LPERR07G19320 transcript:LPERR07G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPGVLALRASLLPSQPPAAGAILRRRRRRSIIGDLYSPVRRTVHAGDLWADTGKGKNGGDILKRKGSSWDFDVDVDDDDFEADFEEFEDDYDDDDDDVVDFGHDDQESDMNSLKLAGLSSTKLSRKRKTHYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFATAEEAAMAYDVEARRIRGKKAKVNFPTAAAAASKRPRRSPAAATEKPHKKKSQSSNRASSAGSSTGDDAAAVAAIDDGSVKLELLSEMMTDSWLDAFELNDLERLRETSNGGFGDQIQKVEAAVVADEFVYFDSYPSYVQMGYLDQGNSYENIDALFSGEAVLDGVNIGGLWSFDDMPIEFRSY >LPERR07G19320.2 pep chromosome:Lperr_V1.4:7:18979122:18980294:-1 gene:LPERR07G19320 transcript:LPERR07G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSIIGDLYSPVRRTVHAGDLWADTGKGKNGGDILKRKGSSWDFDVDVDDDDFEADFEEFEDDYDDDDDDVVDFGHDDQESDMNSLKLAGLSSTKLSRKRKTHYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFATAEEAAMAYDVEARRIRGKKAKVNFPTAAAAASKRPRRSPAAATEKPHKKKSQSSNRASSAGSSTGDDAAAVAAIDDGSVKLELLSEMMTDSWLDAFELNDLERLRETSNGGFGDQIQKVEAAVVADEFVYFDSYPSYVQMGYLDQGNSYENIDALFSGEAVLDGVNIGGLWSFDDMPIEFRSY >LPERR07G19330.1 pep chromosome:Lperr_V1.4:7:18983326:18983850:1 gene:LPERR07G19330 transcript:LPERR07G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKIPFLLLLASSAAAAAAADAGEELKHIRLYMHETFSGPNATEGEIVASPFNTTFGQVAVFDNELRAGVGRASQLVGRYQGFIVAAGNASPGYLTSATVAFAAGGEFNGSTLSLEGPFFGFSGTVERSIVGGTGKLRMARGYYLLRLIGKTSPVTAVSEFDLFVLMYNGYHQ >LPERR07G19340.1 pep chromosome:Lperr_V1.4:7:18986810:18987880:1 gene:LPERR07G19340 transcript:LPERR07G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYSPPPLMLVVLLLIASAAVAAAAGDNVDKLTRIRVYVHEKFAGANTTALTAVQSPLGAGETFGRVLVLDDELRDGADRAKSALSP >LPERR07G19350.1 pep chromosome:Lperr_V1.4:7:19000530:19001774:1 gene:LPERR07G19350 transcript:LPERR07G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGRKKRKGVVGLGDLHDDVLERVLARLPPASYFRLRAVCRRWRDAASSNTFLAACRRVTPRDPWFLVLAPPEADEARARGHVAFDAGEGAWCRRAAPPGKTTTTATATMVPVAAAGGRVLYRAADTGTLAVSNPLTGASRVLPSPPTVPGGGALHAVAMYGDEHYRVVLVSGDLPNLLSMSLFDSSTNSWSHPFPLTRNSSPQRAAAAAAGDGDDTVYFLSKSGDVMSTNMQRSAARQFASVVTLAGGGEDNAVVYFLSDSGAVVACDVARHVYAELPRILPVYFEYSIDVVACGGGRAYVAVLSELLGTASLRLWEFAAGEGEWRQVAAMPPAMSHAFYGKKADVNCVGHGDRVMVCVSTSGGDGDDVSGCFMCHVASNRWEELPPCGGGEAMDFVAAFAFEPRMEVAV >LPERR07G19360.1 pep chromosome:Lperr_V1.4:7:19004625:19008802:-1 gene:LPERR07G19360 transcript:LPERR07G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAATAASTRSAATRSAAAPSPYAPPTAPTAPSRPPPAAAMASAMVRAMSTAAAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFEEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILEKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEESQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKVARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIHLPQKAIGAAQAAGTAPDAYYACRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEFRD >LPERR07G19370.1 pep chromosome:Lperr_V1.4:7:19013153:19013905:-1 gene:LPERR07G19370 transcript:LPERR07G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVFLAFVLCFYIFICAKRYRGGGATPANDGVAARLWFLLGGGGGGGGRSDGDGAAWCYDGGLDEASMAKLPCKVIGKEGDWEVLDCAVCITELAAGETARVLPRCGHGFHVACVDMWLKSHSTCPLCRCPAVDDPPPPPPPPAVQPPEVDPESPNFPTNVLFFGSQDDVSTAAGEEAARVARGGGLRRLLGCGGASPPPPPAAAEGRDIEMGLAGGGESSSPAKSPRHPSS >LPERR07G19380.1 pep chromosome:Lperr_V1.4:7:19014138:19015004:1 gene:LPERR07G19380 transcript:LPERR07G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFENVDASETHSDQIPPGHNTRSGRVYSSDVLNCLQEMWTQSDGMISLTKPKAKAKPRPANC >LPERR07G19390.1 pep chromosome:Lperr_V1.4:7:19021111:19027435:1 gene:LPERR07G19390 transcript:LPERR07G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSSREEGLWVGEQTNAAVAKAGMNRKMRGRASAMAVETGAVVLLFLVAALLGVSANTDSDDVNALNVLYTSMNSPPQLTNWVSQNGDPCGQSWLGVNCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVELDTSKNNLGGSDIPYNLPPNLERLNLAGNNFTGSIPYSISQMIALRTLNLGHNDISTINDMFNQLTNLTTFYLQNNKFTGTIDVLADLPLTDLNVANNQFTGWIPDKLKNIRNLQTNGNSFGTGPSPPPPPPPYKSPPYKSPPQRPAGPTTTGNSIPSNDGGKHSKLSGGAVAGIVVCLVVVGAIVAFFVIKRRYWTLRRGGDPEQKEPLSPIASGLKDSFKHMKSIRIISTIGKEELQKNVSMNLKPPTKIDLHKSFNDNDGTSKSFTRKVSLSSIRIHAYTVADLQVATGSFSADNLIGEGSFGRVYKAKFNDHKVLAVKKINFSAFPDHPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLTYDFYRKGSLSDFLHLIDDQSQTLSWSSRVKIALGSARALEYLHETCLPSVIHNNFKSSNIFLDNELNPHLSDSGFAGLIPNQEYQVSEENIGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQPEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGQSWRRDGESRDYEP >LPERR07G19390.2 pep chromosome:Lperr_V1.4:7:19021203:19027435:1 gene:LPERR07G19390 transcript:LPERR07G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKMRGRASAMAVETGAVVLLFLVAALLGVSANTDSDDVNALNVLYTSMNSPPQLTNWVSQNGDPCGQSWLGVNCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVELDTSKNNLGGSDIPYNLPPNLERLNLAGNNFTGSIPYSISQMIALRTLNLGHNDISTINDMFNQLTNLTTFYLQNNKFTGTIDVLADLPLTDLNVANNQFTGWIPDKLKNIRNLQTNGNSFGTGPSPPPPPPPYKSPPYKSPPQRPAGPTTTGNSIPSNDGGKHSKLSGGAVAGIVVCLVVVGAIVAFFVIKRRYWTLRRGGDPEQKEPLSPIASGLKDSFKHMKSIRIISTIGKEELQKNVSMNLKPPTKIDLHKSFNDNDGTSKSFTRKVSLSSIRIHAYTVADLQVATGSFSADNLIGEGSFGRVYKAKFNDHKVLAVKKINFSAFPDHPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLTYDFYRKGSLSDFLHLIDDQSQTLSWSSRVKIALGSARALEYLHETCLPSVIHNNFKSSNIFLDNELNPHLSDSGFAGLIPNQEYQVSEENIGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQPEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGQSWRRDGESRDYEP >LPERR07G19400.1 pep chromosome:Lperr_V1.4:7:19028134:19034492:1 gene:LPERR07G19400 transcript:LPERR07G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHAKEAFRKMYSVAKSYCGGGFPASDAISAAYDLGRSLKPSRKASRHFVVPSKARSFTQCSLGGGSADQEIVIALGSNVGDRISTFDKALHMMKNSGISITRHSCLYETAPAYVTDQPRFLNSAVRGTTKLEPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGNSQISTENLIVPHERIHERPFVLAPLVDLLGLSIDDGVEKSWHSLAKCSGGFFELWNKLGGESMIGTEGIKRVLPVGNRLLDWSERTLIMGVLNLTPDSFSDGGKFQEVEAAISQVKLLISEGADIIDIGAQSTRPFARRLSAEEELERLVPVLEAITEISDMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPKILEVVAELGVPYVAMHMRGDPSTMQSEQNLLYGNVCKEVASELYTRVRQAELSGIPLWRIIIDPGLGFSKNSKHNLEVIMGLESIRTEMGKMSLGASHVPVLLGPSRKRFLGEICNRANPIERDVATVAAATAGILNGANIVRFHNVGYGVDAAKALPQYGIYTDVRVCYECFNKSSSNGGAGNAGSPGNVSSAADSFSGLNLGKDDASSPTKNSAFHSAAAVIECKCGMPLCICEAPKPEPAPVKSTSIASSSAQSNPKPKKSSSNQQSAESSAKKSSTTSSSRSSSFLNLGLMSNDTNDKGLSEYEVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALVLMDNGANIQSKNGQGCFSLLF >LPERR07G19410.1 pep chromosome:Lperr_V1.4:7:19035748:19038945:1 gene:LPERR07G19410 transcript:LPERR07G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKEKKKVRHTLGVQRESHLPHATLLERDIYHQASTVNWHPVATWKSHATPDNKKSAPFLRRPEHTRTNARSRRRRGGGARVNLCDLGWREMMEEGMAVADLRELAHLPEHQDADEIDGAEPWIKLEHVRSPCENQDDIEVCFSDDNASGTRPIQESYARETSSGQSSMCHLGKNRHSPCRSAEGSDQNFIDDETKGSGQPSTRCSKKRLKCAANTMDNQSHRKPDYKMLNPGHPPAKVTDQKDNSYSVSWSVLQA >LPERR07G19410.2 pep chromosome:Lperr_V1.4:7:19035808:19038945:1 gene:LPERR07G19410 transcript:LPERR07G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGMAVADLRELAHLPEHQDADEIDGAEPWIKLEHVRSPCENQDDIEVCFSDDNASGTRPIQESYARETSSGQSSMCHLGKNRHSPCRSAEGSDQNFIDDETKGSGQPSTRCSKKRLKCAANTMDNQSHRKPDYKMLNPGHPPAKVTDQKDNSYSVSWSVLQA >LPERR07G19410.3 pep chromosome:Lperr_V1.4:7:19035864:19038945:1 gene:LPERR07G19410 transcript:LPERR07G19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGMAVADLRELAHLPEHQDADEIDGAEPWIKLEHVRSPCENQDDIEVCFSDDNASGTRPIQESYARETSSGQSSMCHLGKNRHSPCRSAEGSDQNFIDDETKGSGQPSTRCSKKRLKCAANTMDNQSHRKPDYKMLNPGHPPAKVTDQKDNSYSVSWSVLQA >LPERR07G19420.1 pep chromosome:Lperr_V1.4:7:19040474:19043400:1 gene:LPERR07G19420 transcript:LPERR07G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGQTRRERPRGSRPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEYMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >LPERR07G19430.1 pep chromosome:Lperr_V1.4:7:19049335:19054616:1 gene:LPERR07G19430 transcript:LPERR07G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKDTSVNPSSSPIESSGNFVDFPTSPASKEVSNSTDHGKTNAHEEKSAQPAILEFSNGLTDPVGCKDSPSHVLERSLPDSTEHHMESNSPTAEIHEILSNSGLSEAFKNSGEDKADRSSSADAIKVKHLSDNVSAVAETMLTVEINSKEDRIDQTNIAVKPKMIEEQEETPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAYKVQMMERRKLIQLELEKVQKDIPLYKEELEAAEMVKSQVVNELEDTRRIVEELKHNLEKAQVEEVQAKQDSELALLRVQELEQGVADEASVVARTQIEVAKERHEKAIAELNSVKEELKVVYEQYATLIGERDTAIRRSEEVISAGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALAKEQDCVAWDRELQQAQEDLQQLNNKLLSKSDVKLNLDANMRKLLSLKSELAAYVQNVLSEEAEGLAKCVDAPQISGPVKEALASTQKELEEVRANIEKAKNEAILFKLAATTLRSEMDNEKSSLVELQEREGMASSAICALEAELNRTKQEIENVQSKEADAQERMAELPRILQEAAQEAEDAKMAAFSVQEQVRRAREESEKTKTAAVTVNIRLSAVQKEIDASKASEKLALAAIQALQESEEARDEEDSPRGVTIPLSEYYALTKKAHEAEQLAHESVTAALAQMESAKASESNSLQRLSEASKKMNEKKEALERALERAERANEGKLTAEQELRKWRADHEQRRKAHEAAKRAVNPLSSSPKKFVDQKDPFHKEFSPSSYEDLVPNRKLRRKKSFFPLMGSLLSRKTQA >LPERR07G19440.1 pep chromosome:Lperr_V1.4:7:19055387:19058418:1 gene:LPERR07G19440 transcript:LPERR07G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVEDFKEWLKKFDTDNDGKISRGELREAIRRRGGWFSGLKAGRAIRHADRDNSGFVDESEVENLVLFAQKNLGMKITAW >LPERR07G19450.1 pep chromosome:Lperr_V1.4:7:19063191:19063529:1 gene:LPERR07G19450 transcript:LPERR07G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDHHNKGQQQKQQGGGDQKDAAAELAAFKTWLMQFDTDKDGKINRKELREAIRRRGAPFAGLKAWFNVHLADKNRNGVVDGDEIKHLMGLAKKDLDFGTAAGPAATVPVN >LPERR07G19460.1 pep chromosome:Lperr_V1.4:7:19069134:19070078:1 gene:LPERR07G19460 transcript:LPERR07G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAAAVMSSYCYFYSSAPTSPTRASYSGESSSASAAEFDFAFGFSGQLRDQSTPILAAADELFEGGRIRPLNNPHPSILLLAGDADEEEDEITNTSTSRRRRSPARREISSESSSQSQRGRSTRAAAAPPSSRRATRSLSPFRCGGDGGEFPSSPPSPRTSMMRGCGSGSRKWRLKDLFLFRSASEGRAAGAGGKYTMLSSSSSAAANKVRGGGGGGGEGGSVSMRKGRGSAASASDMPAAAAYTAEMRRRTATTTPLPFHRNSLFGYLRSNPAIHSISRKLSGNSSSSSSSNRGKP >LPERR07G19470.1 pep chromosome:Lperr_V1.4:7:19076069:19084535:1 gene:LPERR07G19470 transcript:LPERR07G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRPMLANGLEAKRENTGTKKSPLQIQRLEIFYSEVQYPKSEDIAEYAASVGLTYSQVRIWFKERRRKERREMESLGAHMKKQLSARSNSSSSTITRSSSFSRSTMYCSASLRPEGDHYADKGMSFIGEKHTLRSQVLFPKDYVLRKVFRKDGPPLGSEFDPLPQSAPGHLRDTTDYHLHQNQRVIKKRKIVEPTTQRSSLPCGDSDPVRKHGAGKGLMTVWHAMYSHSGKSQDGSSFIDETGCLRSLRPLDDCGRKEDCHDGKLIQKKVLARKKMDKRSRPPSNRRKVPCSRVTAPKNHPPMECHLSVDESQSSELRTNQVTLVDDEELELNLLARFPPPSVKMKQPFSTRPWESSPEMVKKLFLAVQFIYNRFGNMDVHPFTFDEFAQSFHDKVREQEFDLNFWMKSLNSLTWVEILRQVLVASGFGSKHHMLNREFFNKIPLVVALQEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVSELAKSPEITDLNISSTEVDQLIYSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDDSDASGGADEFDGSHAMSFSEHGHGILRRKRKNHENVNRCSEIDESYSGERWLLGLMEGEYSDLNIDEKLDCLVALMDVVSGADSVPRLEEPPRVVPSIPRAQPHMSGGKIKKSRRSICQSSDECFNTSGSMYGLDSSMHEHSRSLRSHGYVGYSGRNDLSTGVGHQPQAVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLASLDSRGIREAYLLASMKKRQTCLFEAMKKHYEDRNVVRPAIPSDMSHSETSSGDGASPKLSSGDGASPTSDIDNASVPANPADNILNASSAIAIEVGRRGDEKILKWERSQAFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDVYDVPNHKVLPSQLQALKAAIHAIEARMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFSSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERADSGRACGKESMQTIYAIYNMTSMTAI >LPERR07G19480.1 pep chromosome:Lperr_V1.4:7:19086155:19089909:-1 gene:LPERR07G19480 transcript:LPERR07G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRSRAAAAAVAEEEDERAAAIVISSDSDAGSESGTEEEEESTSDEDYYIDISDSDGDADGEEGGSGGGGAGSEEEAEAEAEPGQSGVDRGEAACRKIADLLRAGRNLDGLKLFECKAYLKKNGLSQTGELATCIERILLHWRFKDEDPEKIYPRSSFYINCKGAISVGNKPYLSAYNVIQVNDHTLNLGDVCRGDAVLFKQKVYEKSGKRHSKCIGKRIVSGRVVKESYGKEKQQHTFTIQVFWSKGVGKLPPLHPLLIKGRNLYRMMTFRQPWLNEADRVKALDEKHGRGDAARRVRALNRPDAAGNSKKLTQKGKHQAQPGRPVNGTSIKKGKKRVMPSSNPDPPTKRSRKEDHQAPSTKQRSGVQKAKTNHAHLDRNVFTRVNTSQRKLDSQQNLNDGSHVQFQERYAGSGYNMQACHGYMVGIQQPRFEMRPHRPPPPFREVGNAWQPHRDGRSTLCPNPRGVGFQQPNAALAGWHPPAYSIGTTTNQLGASFSSFNVPQTIHRPHPGGTYAMPQFRYSGGSNGFPR >LPERR07G19490.1 pep chromosome:Lperr_V1.4:7:19090732:19095183:-1 gene:LPERR07G19490 transcript:LPERR07G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHGKPLTLESGDGGASSPPRDPPGTAPSAIGTPTLKKPWASPFFGFSTPSPSPAHHLFNSSAASPRRSSVHSSPAPATPARRLLRLPFPPPSPAKHIRQALARRHGPPRPSIPEEGGGGDGDGEGRGLDKGFGFNKGFAAKYEMGEEVGRGHFGYTCSARIKKGPRKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPEVNFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEVPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >LPERR07G19500.1 pep chromosome:Lperr_V1.4:7:19105044:19115279:-1 gene:LPERR07G19500 transcript:LPERR07G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTAAAPSRPPLPPPSKGGKFVTILSIDGGSIRGLIPATVLNFLESELQSSVSDEMVVASVTAAPSRPPLPPPAKGGKSVTVLTIDGGGIRGLIPATVLKFLESELQRIDNDPNARLADYFDYIGGTSTGGLISMMLASPNKEGRPLKSAEEIIQFYKDNGQEIFTPNSVGWFNLILDLSKYLGPAFGHLFIQQMLIENGQADDATALQQANDATTMPLLQLHLATMELMVSKKASHLSFKDKIAYALVQPKYDNDHLREAIGNVLKTGIPKLSLRQTLTNVVVPAFDMKANQPVVFSTHQAKKRALMNPLVSDVCVAASAAPTYFPPYEFTTEDDYGAKQEYNLVDGGVFANNPTMLTMEEIRKRTIVKQEEEFLPAGVNFNASKALLGQGHVPNGLMQQEAAAGASSSSLYTRMRVLSIGTGVTSHSYTAKEAKNWGILPWMYNLSDGSMPLIDMLSYSGGSMVDYEVALLFKSHGCEDSYLRIQDEGLKGASETMDDASPENMDALVKIGEHLLDKRVHRTDLDTRRYKPVDGAGTNKEALTKLAEELSAERKRRLSSMMAITPY >LPERR07G19500.2 pep chromosome:Lperr_V1.4:7:19105044:19115279:-1 gene:LPERR07G19500 transcript:LPERR07G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTAAAPSRPPLPPPSKGGKFVTILSIDGGSIRGLIPATVLNFLESELQRIDNDPNARLADYFDYIGGTSTGGLISMMLASPNKEGRPLKSAEEIIQFYKDNGQEIFTPNSVGWFNLILDLSKYLGPAFGHLFIQQMLIENGQADDATALQQANDATTMPLLQLHLATMELMVSKKASHLSFKDKIAYALVQPKYDNDHLREAIGNVLKTGIPKLSLRQTLTNVVVPAFDMKANQPVVFSTHQAKKRALMNPLVSDVCVAASAAPTYFPPYEFTTEDDYGAKQEYNLVDGGVFANNPTMLTMEEIRKRTIVKQEEEFLPAGVNFNASKALLGQGHVPNGLMQQEAAAGASSSSLYTRMRVLSIGTGVTSHSYTAKEAKNWGILPWMYNLSDGSMPLIDMLSYSGGSMVDYEVALLFKSHGCEDSYLRIQDEGLKGASETMDDASPENMDALVKIGEHLLDKRVHRTDLDTRRYKPVDGAGTNKEALTKLAEELSAERKRRLSSMMAITPY >LPERR07G19510.1 pep chromosome:Lperr_V1.4:7:19119514:19129725:1 gene:LPERR07G19510 transcript:LPERR07G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGSKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSTYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTSLIEEAGSCKAEVTLKIRADFSANVTANTIIVQMPVPSYTMRASFELEAGAVGQNTDFKEGSRRIEWNLKKACIVGGSEHTLRAKLTFSQESHGIILIEALLVYAPRNLTKEAGPVNMNFTIPMYNSSKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >LPERR07G19520.1 pep chromosome:Lperr_V1.4:7:19128902:19130967:-1 gene:LPERR07G19520 transcript:LPERR07G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQHVFSKTEFHVLILGVHKAGKTTLLEKVKSIYLKGEGLPQDRVVPTVGLNIGRIEDANVKLIFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSASASSFEDAKSALEKVLHHEDLQGAPLLIFANKQELPAAVTEEELARHLHLKELDERPFMFQAGSAYDGTGIQAGIDWLVEEMERSKRTEVLRARTEPAEKI >LPERR07G19530.1 pep chromosome:Lperr_V1.4:7:19135024:19136175:1 gene:LPERR07G19530 transcript:LPERR07G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKKSAAREGRRGASNGRNRLLLRRLSLLAVMILSLASLMRALSPPASGGVDGGELYLPVLLAELSDRGYLRHGSRAMFVGDAGSWASFLERRAVAAVSSGELRYVADESVDAVIFDGDSVDFLAVNRVLDAGGVAAGFATSESILQRLPDDYKTLFAHRSSEATIAFAVEKSGAAAVMTTTTTASSPAVGPHRRLLAFPGSNDKKDALAGLEAVLLEPPQRHHRRRIIRRLRPRFLPELTGDSLGNYRRRTFIDVALHTPSPGAVAWFMKHYPKGNHEFEIVRLDAAAAATASSGGEAAAEGIGEWLERNVRKEDYVVVKAGVEAVEEILRKRAAVRRIDELFLDCDAAARRPYWECLALYGRLRDHGVAVHQWWELTNA >LPERR07G19540.1 pep chromosome:Lperr_V1.4:7:19151012:19152785:-1 gene:LPERR07G19540 transcript:LPERR07G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMCFGASSILLCAEDSNSVFGLGGGDGDGEEVVVAEVGSGGVDFFDSGAVFPVDSDEFVALLVEKEMDHLPQRGYLEKLELGGLESYWRKDAIDWICKVHSYFNFGPLSLYLAVNYLDRFLSSFNLPHDQSWMQLLLSVSCLSLAMKMEETVVPPPMELQVCDAKYVFESRNIKRMELIVMETLKWRLQAVTPFSFISYFLDKFNEGKPPSYTLASWCADLTVGTLKDSRFLTFRPSEIAAAVVLAVLAQNRFVVFSSALAASDIPVNKEMVMRCYELMLEKALVKKIENSNASSSVPHSPITVLDAACFSFRSDDTTLGSSQSNNNNNNNYNSQDSTPASKRRRLSTTPI >LPERR07G19550.1 pep chromosome:Lperr_V1.4:7:19159139:19161001:1 gene:LPERR07G19550 transcript:LPERR07G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHTRLRLVRALATASAPGSSRSPRQAAPYLAVLHRRGKEEAAARLNRHLRLLPPSEAASLLDALPSVRDAVSYNTVLTALCHSGGHGVGRAAALLRAMSIDRDPACRPNAVSYTVLMRALCAGRRAGEAVGMLRSMQSAGVRADVVTYGTLIRGLCDAAEVDKAVELMDEMCESGIEPNVVVYSSLLQGYCKAGRWDDVGKVFEEMCEKGIEPDVMMYTGLIDSLCKEGKSKKAYRVMDMMVKRGLEPNVVTYNVLINCMCKEGSVKEAVGVLKKMAEKGVEPDVVTYNTLIKGLSDVQEIDEAMGLLEKMIQGENMVEPDVVTFNSVIEGLCGIGRMRQAFQVRAMMEESGCMLNVVTYNLLIGGLLRVHKVKKAMELMDEMTSLGLEPDSFTYSILIKGFCKMWQVDRAEGFLSRMRDRGIEPELFHYIPFLVAMCEQGMMERARKLFDEMDRNFTLDVVSYSTMIHGACKSGDLKNAKELLKDMVHERLTPDAVTYSILINMFAKSGDMEEANAVFKQMTDSGFVPDVAVFDSLIQGYSTRGEISRAIELIREMIAKNIALDSKITLTIASLVESNEGKATLQGLPDFSEEISKSNIVSPQELVKLLHNVCPQTT >LPERR07G19560.1 pep chromosome:Lperr_V1.4:7:19162936:19165393:1 gene:LPERR07G19560 transcript:LPERR07G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPTPDAAQSEQHAAYPRLSPEDVAPPPPPVVSPAVSANPYVLSDPSAQPPAKSARENLREKLDVVGRRFGEAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQSFECLPDEKLKKAYACYLSTSHGPIMGVLYISTVKIAFCSDSPVAYVTEDNKTQSSIYKVVVPIAQLRSVTPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDGAVKSLQEAVHVA >LPERR07G19570.1 pep chromosome:Lperr_V1.4:7:19166486:19172932:-1 gene:LPERR07G19570 transcript:LPERR07G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYNDGRPFRPPDWGPPPHHRHHNNNHHHHHQNQPQYQHRYRSAQPQFAVILLRGGGGNLSTPPATEVEALVAGLPSPPPDTLSVSSSGRQAARLLFRSLPAAAAAARELWSLRLEGLHLLTPDVSDSALAAHAAPLIASLFAAHASRLLDSDLVSLTAARSAELAGNIQAVRRRLAARNRIRDFEQLQVEKRTLEAEKELVDAKIAEYKAAMRSIRRALLRWTDDKEEVVEEEGLDVFGIGGDGEMNFARVHMVMLRECRRLKEGLPIYAYRRNILDHIFANQVIILIGETGSGKSTQLVQYLADSGLAANGSIFCTQPRKIAAISLAHRVDEESNGCYGDNFVLSYSTFLNYQDFSSKIIYTTDNCLLHYCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFHVKGRSFPVEIKYVPDISEEASFNTVPNNLRGSCATASYVYDVVRMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPAKRKIIFCTNIAETSLTIKQVKYVVDSGLVKECRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFNMMEVHQEPEIRKVHLGTAVLRILALGIRNVQNFEFVDAPNPEAINMAVKNLEQLGAVEYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFSFGLKKEGLVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNMWCWQNSINAKTMRRCQETISDLENCLKHELNIIVPSYWCWNPEESTVHDKLLKKSILTSLSGNLAMFSGHERLGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAVDPDALCTIHPMPSIQGLEKHKLQVKVISGLGINLLRRFCGKSGQNQQKIISVLQKELRDDRVTVEVDFRNNEVVLFAKEQDIEKVFGVVNDALECEARMLRNECLEKSLFSGKPGECSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYKNVQVASDETKWGKFTFLNPEYAEFAVSKLNGLEFHGFPLKVVPVCSSNNRGLPFPAVRAKVSWPLKQSRGLALVTCVSGEAEFVVSDCFALIVGGRYITCEVSKRHENCVFVRGIPMHVTEPELYDAFRSMTTRTILDVHLLRGTPIAPPSASSCAEALNREISSFMPKKNFPAQNFRVEVFPPEENDSVMRATITFDGSLHREAARALDHLERSFLPCCLPWQTIQCQHVFHSTVSCPVRVYNVISQEVASLLESFRSQKGVTYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSAVQLLMSRDGVADLRSVEQETGTYILYDRQSLNIKIFGLQDQVAAAEEKLVHALLQLREKKPLDIRLRGRNLPPNLMKEILKKFGADLEGLKREVPAVELRLNLRHHTLYVRGSKEDKQRVEEMISELVTSNKHNYMVQPPLENACPICLCEVEDPFKLESCGHEFCLTCLVDQCESAMKSQDGFPLCCLKNGCKKQLLLVDLRSLLSSEKMEELFRASLRTFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVETCNSCHLEYHPFISCEKYKEYKEDPDATLVEWRTGKENVKICPSCRFTIEKTDGCNHVECKCGSHICWVCLENFKNSDECYSHLRSVHLSY >LPERR07G19580.1 pep chromosome:Lperr_V1.4:7:19175364:19177547:-1 gene:LPERR07G19580 transcript:LPERR07G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGKAPTLSEEVPVENSATDKSSDSTVAEAVREKDAETPTADDATAVVKEESETSQVTESSENLEGEGSDTAAEESNEDAEEPADEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEDAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >LPERR07G19590.1 pep chromosome:Lperr_V1.4:7:19178754:19185012:-1 gene:LPERR07G19590 transcript:LPERR07G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQTNTAKPIRCRAAVSRVAGQPLVMEEVEVAPPRDHEVRIKITCTSICHTDITFWRLEGMHPSIFGHEAVGGGAMLGQGVALDPATWGHRPHPVHPSSPLLPGTPSLRIRMVESVGEHVREVAVGDMVVPVFAAQCSECPDCLSTRSNLCSRFPNIPGSMPRDGTTRFSFASTGEPIRHFISVSSFVEYTVVDITHIVKLDASFPQEMACLLSCGVSTGVGAAWKVAEVEPGSSVAVFGLGAVGLAVAQGARMRKRMGITDFINPNDTDGKTVSEVIKELTGGSGADYCFECIGSTSVMAEAFKSSRMGWGKTILIGVVGNMATIGIPSYDILQGRSVMGSLFGGIKPKDDIPMLAQKYLDKELELEEFITHQMGFDEINRAFELLVQGKSLRCIIWMDDAKENGVK >LPERR07G19590.2 pep chromosome:Lperr_V1.4:7:19178754:19185012:-1 gene:LPERR07G19590 transcript:LPERR07G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQTNTAKPIRCRAAVSRVAGQPLVMEEVEVAPPRDHEVRIKITCTSICHTDITFWRLEGMHPSIFGHEAVGMVESVGEHVREVAVGDMVVPVFAAQCSECPDCLSTRSNLCSRFPNIPGSMPRDGTTRFSFASTGEPIRHFISVSSFVEYTVVDITHIVKLDASFPQEMACLLSCGVSTGVGAAWKVAEVEPGSSVAVFGLGAVGLAVAQGARMRKRMGITDFINPNDTDGKTVSEVIKELTGGSGADYCFECIGSTSVMAEAFKSSRMGWGKTILIGVVGNMATIGIPSYDILQGRSVMGSLFGGIKPKDDIPMLAQKYLDKELELEEFITHQMGFDEINRAFELLVQGKSLRCIIWMDDAKENGVK >LPERR07G19600.1 pep chromosome:Lperr_V1.4:7:19193048:19198241:1 gene:LPERR07G19600 transcript:LPERR07G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDLGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYNVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQSLEDIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTEDIDVEDSGDFVEKCIFIVWAPKNLRTI >LPERR07G19600.2 pep chromosome:Lperr_V1.4:7:19193048:19198145:1 gene:LPERR07G19600 transcript:LPERR07G19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDLGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYNVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQSLEDIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTEDIDVEDSGDFVCAL >LPERR07G19610.1 pep chromosome:Lperr_V1.4:7:19198483:19201288:1 gene:LPERR07G19610 transcript:LPERR07G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVAYPTTGCQKKIEIDDDQKLRIFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >LPERR07G19620.1 pep chromosome:Lperr_V1.4:7:19201878:19205122:-1 gene:LPERR07G19620 transcript:LPERR07G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAISGGAAAAAPQPRRGPASLLLPLKRRTISAVHAADPAKSNGPVPVPAAAKASSPSTVAAAPEKKAVAAAGKWAVDSWKSKKALQLPEYPSQEELDAVLKTIETFPPVVFAGEARHLEDRLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMGHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGLNNNLPLPPLAF >LPERR07G19630.1 pep chromosome:Lperr_V1.4:7:19209835:19211294:1 gene:LPERR07G19630 transcript:LPERR07G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDGILSSTLQAPAKAPTKKPHVLLLPYPAQGHVNPFLKLAKALHARSFHITFVNTEYNHARLLRSRGPRAVSGAAGFEFATIPDGLPPPSLDATQDICALCEATRRTGPGHVGDLIERIARAAADGEGDGDGVPPVSCVVADGAMGFPVHVAKEMGIPAYLFFTPSACGLLAYLNFDQLVKRGYVPFKV >LPERR07G19640.1 pep chromosome:Lperr_V1.4:7:19212312:19212566:1 gene:LPERR07G19640 transcript:LPERR07G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMIPDLQEGNRGLMVGWCDQIAVLGHRATRGFLSHCWWNSTIESLVAGVPMICWPFFSEQVTNCRYACEEWGVGVEMVREA >LPERR07G19650.1 pep chromosome:Lperr_V1.4:7:19216034:19219279:-1 gene:LPERR07G19650 transcript:LPERR07G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAGGGRRSILSFAAARDRCFSRRFLRAGLRPLAIPVPIAGADADAAATTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLLFFGASSTRLADRSDAFQARSVMAAMDAIGVARFGLVGVSYGGFVGYRMAAMFPEAVERVALVCAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALINERQLSNLPRISQPTLIVWGERDRVFPMELAHRLKRHLGDNSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEPVAEALNDEKHASIHRRICDVSSGTV >LPERR07G19650.2 pep chromosome:Lperr_V1.4:7:19216785:19219279:-1 gene:LPERR07G19650 transcript:LPERR07G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAGGGRRSILSFAAARDRCFSRRFLRAGLRPLAIPVPIAGADADAAATTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLLFFGASSTRLADRSDAFQARSVMAAMDAIGVARFGLVGVSYGGFVGYRMAAMFPEAVERVALVCAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALINERQLSNLPRISQPTLIVWGERDRVFPMELAHRLKRHLGDNSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEPVAEALNDEKVSIL >LPERR07G19660.1 pep chromosome:Lperr_V1.4:7:19219830:19223570:-1 gene:LPERR07G19660 transcript:LPERR07G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAEAAAAREHDVWKFKPYPLRMAVLTMIYGFGLYKWWMYHLAVYKVSILDSPAH >LPERR07G19670.1 pep chromosome:Lperr_V1.4:7:19232394:19232795:-1 gene:LPERR07G19670 transcript:LPERR07G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGASTGYPLKLQVVKWTTKEAEPNADFLRGMLPKLDWAALVAATHSLGLPGLLPESPPTDAELSADGAADDEGSALRRLHRALLEIHIEEGTLVCPDTERCFPISKGVPNMLLHEDEVRN >LPERR07G19680.1 pep chromosome:Lperr_V1.4:7:19234746:19242789:-1 gene:LPERR07G19680 transcript:LPERR07G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHYRHSMLLLSLLPFSPFVTLPHVTNIPIRRRRALKSLAARIKIPQIHRAAPRFRAARRRRRRQRRRLADRWMAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKDAEESVGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETAKFGATDNCRASSRYDPFVEMQQPVDGVMMDDMLGVSAPSAGYRGEMQTTTANSNNHFATPYDIAGVFNEAGAGLRGASKTLHDSVRFGEPYPEYPTDLMEPALYSSIATMESNNLDDNSRLETFMSEALYTNNLTQKEADALTTVGITPSQVENNSYADGSIRYPLLKQTSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVSVADGTSIAMNEQLDAFVVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSGSRHMDASDPHITGINEMHLHIRLEKLLSLGQDDYEKYVMSEGNEKSEIINTINSLMLDDKWSNQAVPTDEKEVSTARDQNIEKLVKEKLYCWLIHKIHDEGKGPNVLGNEGQGVIHLVAALGYDWAIRPIITAGVNVNFRDARGWTALHWAASCGRERTVGALIASGAESGLLTDPTPQFPSGRTAADLASENGHKGIAGFLAESALTSHLRALTLRESKDGNAKEICGLGGTEEFAGSSSAHLPCGDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIRKPGQNDGPSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIIWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSQIQQLEGPSQIQPAKEEEEVDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRLANCVTELQEPQAMIQDDMQSDAAIADGGDFMAELDELCGDDDAPMPPIL >LPERR07G19680.2 pep chromosome:Lperr_V1.4:7:19234746:19242789:-1 gene:LPERR07G19680 transcript:LPERR07G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHYRHSMLLLSLLPFSPFVTLPHVTNIPIRRRRALKSLAARIKIPQIHRAAPRFRAARRRRRRQRRRLADRWMAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKDAEESVGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYDPFVEMQQPVDGVMMDDMLGVSAPSAGYRGEMQTTTANSNNHFATPYDIAGVFNEAGAGLRGASKTLHDSVRFGEPYPEYPTDLMEPALYSSIATMESNNLDDNSRLETFMSEALYTNNLTQKEADALTTVGITPSQVENNSYADGSIRYPLLKQTSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVSVADGTSIAMNEQLDAFVVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSGSRHMDASDPHITGINEMHLHIRLEKLLSLGQDDYEKYVMSEGNEKSEIINTINSLMLDDKWSNQAVPTDEKEVSTARDQNIEKLVKEKLYCWLIHKIHDEGKGPNVLGNEGQGVIHLVAALGYDWAIRPIITAGVNVNFRDARGWTALHWAASCGRERTVGALIASGAESGLLTDPTPQFPSGRTAADLASENGHKGIAGFLAESALTSHLRALTLRESKDGNAKEICGLGGTEEFAGSSSAHLPCGDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIRKPGQNDGPSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIIWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSQIQQLEGPSQIQPAKEEEEVDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRLANCVTELQEPQAMIQDDMQSDAAIADGGDFMAELDELCGDDDAPMPPIL >LPERR07G19680.3 pep chromosome:Lperr_V1.4:7:19234746:19242789:-1 gene:LPERR07G19680 transcript:LPERR07G19680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHYRHSMLLLSLLPFSPFVTLPHVTNIPIRRRRALKSLAARIKIPQIHRAAPRFRAARRRRRRQRRRLADRWMAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKDAEESVGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETGAGYRGEMQTTTANSNNHFATPYDIAGVFNEAGAGLRGASKTLHDSVRFGEPYPEYPTDLMEPALYSSIATMESNNLDDNSRLETFMSEALYTNNLTQKEADALTTVGITPSQVENNSYADGSIRYPLLKQTSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVSVADGTSIAMNEQLDAFVVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSGSRHMDASDPHITGINEMHLHIRLEKLLSLGQDDYEKYVMSEGNEKSEIINTINSLMLDDKWSNQAVPTDEKEVSTARDQNIEKLVKEKLYCWLIHKIHDEGKGPNVLGNEGQGVIHLVAALGYDWAIRPIITAGVNVNFRDARGWTALHWAASCGRERTVGALIASGAESGLLTDPTPQFPSGRTAADLASENGHKGIAGFLAESALTSHLRALTLRESKDGNAKEICGLGGTEEFAGSSSAHLPCGDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIRKPGQNDGPSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIIWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSQIQQLEGPSQIQPAKEEEEVDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRLANCVTELQEPQAMIQDDMQSDAAIADGGDFMAELDELCGDDDAPMPPIL >LPERR07G19690.1 pep chromosome:Lperr_V1.4:7:19244557:19247162:1 gene:LPERR07G19690 transcript:LPERR07G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLITKLPILPCPCKARQSISPSRCFAGARRAASVSFFPALLRSRGGWDAGAASSLSSAVAALGEAAAGGGGSDAEAILLSVQGMMCDGCASSVKRILESQPEVTSATVDFKEAKAVVWTTAEVKSNEAKYCQSWMSKMKCS >LPERR07G19700.1 pep chromosome:Lperr_V1.4:7:19247781:19251473:1 gene:LPERR07G19700 transcript:LPERR07G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHSSSARKSPSASPAKRSPSASPAKRSPSASPARRSPSASPAHRSPSASPVRRNPSRSPRRSPSSQEGSPVKRYDESRRSRSPST >LPERR07G19700.2 pep chromosome:Lperr_V1.4:7:19247781:19251762:1 gene:LPERR07G19700 transcript:LPERR07G19700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEEEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERIQKGRIMETVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHSSSAPPVHRLRKEAPVRRLRKEAPVRRLHAEAPVLHLHAEVPVLHLHAEAPDK >LPERR07G19700.3 pep chromosome:Lperr_V1.4:7:19247781:19251762:1 gene:LPERR07G19700 transcript:LPERR07G19700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEEEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERIQKGRIMETVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHSSSAPPVHRLRKEAPVRRLRKEAPVRRLHAEAPDK >LPERR07G19700.4 pep chromosome:Lperr_V1.4:7:19247781:19253125:1 gene:LPERR07G19700 transcript:LPERR07G19700.4 gene_biotype:protein_coding transcript_biotype:protein_coding METVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHSSSARKSPSASPAKRSPSASPARRSPSASPARRSPSASPARRSPSASPAHRSPSASPVRRNPSRSPRRSPSSQEGSPVKRYDESRRSRSPST >LPERR07G19700.5 pep chromosome:Lperr_V1.4:7:19247781:19253125:1 gene:LPERR07G19700 transcript:LPERR07G19700.5 gene_biotype:protein_coding transcript_biotype:protein_coding METVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHSSSARKSPSASPAKRSPSASPAKRSPSASPARRSPSASPARRSPSASPARRSPSASPAHRSPSASPVRRNPSRSPRRSPSSQEGSPVKRYDESRRSRSPST >LPERR07G19700.6 pep chromosome:Lperr_V1.4:7:19247781:19251762:1 gene:LPERR07G19700 transcript:LPERR07G19700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEEEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERIQKGRIMETVPRSRGRSRSRSPRRGYRDDYRDRDYYRKRSRSRDRYGRDRYRERDYHRRSRSRSYTPDDYRRRGRDSRSPSRSRSHSYTPDDYRKRGKHRINEIELLMSRSCSVQDVEHTTNKIVLGEV >LPERR07G19700.7 pep chromosome:Lperr_V1.4:7:19249612:19251762:1 gene:LPERR07G19700 transcript:LPERR07G19700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGIETIIGSGVGVEIDMDVTGTEREIIIVVAGVAAILLMITGDVAETVEALVVAGAIATPLMITGSVANTVRLPPQCIACEKKPQCVACEKKPQCVACTQKPQCFTCTQKSQCFTCTQKPQINEIELLMSRSCSVQDVEHTTNKIVLGEV >LPERR07G19710.1 pep chromosome:Lperr_V1.4:7:19250298:19254760:-1 gene:LPERR07G19710 transcript:LPERR07G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGSDGMMEDEGRPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLEMDRDHDNVVNCTTPNFTGGFAKRTTCNTFLPSVYEQAVVPSARPGPEGHSISQLILSGKVASPTRRMQTLQLRLLPVLSYLLKKVKHWDFCVQVKHWGFCVQATHWGFFSQATHWGFFSQAMHWGFYGQTNCQKSHNRHKINVVQ >LPERR07G19720.1 pep chromosome:Lperr_V1.4:7:19257017:19259874:-1 gene:LPERR07G19720 transcript:LPERR07G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAGEGEVEEDESANASATPIRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDDSKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGQNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >LPERR07G19730.1 pep chromosome:Lperr_V1.4:7:19265120:19266020:-1 gene:LPERR07G19730 transcript:LPERR07G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKSCRVTGLCGGGGGELFLRCHVPAGGGRTIQIDSHAVVVDDGGSSSSIAATWHDVASLRCDGSPACVKELVDRRSVVFEVRRRRRPRQRRRIGIGVFLGSSSELVGRADVAWRDVAVGDDGDAVVERRVELAAAAAVAAVMWVRMSVRVSETTAAAVSANGRSARAAARRIRERGNGGCEWSAAGGVDDDVFAMAACVADDAFE >LPERR07G19740.1 pep chromosome:Lperr_V1.4:7:19267847:19268491:-1 gene:LPERR07G19740 transcript:LPERR07G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSTTGDAVHSLPHSPATSPTSFLDIDDQTTAPSFYLPSGAFVAGTARDHHAPPSTLLPHAAAAAAGDDKPPPTRKRSRSAAASRRPPTTVLATDASNFRAMVQEFTGFPSPPPLSAALMMMPSPSHVFSGAASAAPATCAANTATSFVLDALALLAKTRAGAAAAAAPPISGSELYGEYGAGTFDGESAGVAGGGHELFSSTSQYAGERRY >LPERR07G19750.1 pep chromosome:Lperr_V1.4:7:19286015:19290184:1 gene:LPERR07G19750 transcript:LPERR07G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAGFAAEDAAAAAAAAVTPPSQAAAAAAAQAGKHFSTCKKAAAAGNMDLAGAGVTIPGFLLDSMRASSPRHAKLAAGADIDDWLEKHPSALAWFESVAAAANGKEIVVFLDYDGTLSPIVTDPDRAFMTDDMREAVRGVAKHFPTAIVSGRSIDKVFNFVKLEELYYAGSHGMDIKGPTAAASEYHNMKKGGAAVTRQPALEFLPVIEEVYQSLTAKMASIKGALVENNKFCLSVHFRCVDESEWGVLDAGVRAVIEGYPDLRLSKGRKVLEIRPVLDWDKGHALQFLLKSLGYEGRNDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKFPKETTASYTLREPSEVKEFLRKLVKSKSNGTKG >LPERR07G19760.1 pep chromosome:Lperr_V1.4:7:19294467:19297807:-1 gene:LPERR07G19760 transcript:LPERR07G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLKEAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFRVFVESSLPVIEHYSAKDKVKKIDAAKPIPEVFEDVKAIFAPYAKALFKHDYISVIMDP >LPERR07G19760.2 pep chromosome:Lperr_V1.4:7:19294469:19296479:-1 gene:LPERR07G19760 transcript:LPERR07G19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPAVVVEKEEVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLKEAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFRVFVESSLPVIEHYSAKDKVKKIDAAKPIPEVFEDVKAIFAPYAKALFKHDYISVIMDP >LPERR07G19770.1 pep chromosome:Lperr_V1.4:7:19300930:19307003:-1 gene:LPERR07G19770 transcript:LPERR07G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVAAATVLAVVLPLILIPSATAEWNLTKKGTVVSYDARSLMIDGKRDLFFSGAIHYPRSPPEMWDQLVKLAKLGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLKVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTNIGVPWLMCKQSTAPGEVIPTCNGRHCGDTWTLRDTNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYQKAFLWGKQSFEILGHGYEAHNYELPEENLCLSFLSNNNTGEDGTVVFRGEKFYIPSRSVSILADCKTVVYNTKRVFVQHSERSFITSDESSKNNVWEMYSESIPKFRKTKIRTKEPLEQYNQTKDATDYLCFRLESDDLPFRRDIRPVIQVKSTAHAMIGFANDAFVGTGRGSKRDKSFVFEKPMDLRVGVNHIAMLSSSMGMKDSGGELVEVKGGIQDCMVQGLNTGTLDLQGNGWGHKARLEGEEKEIYTEKGMAKFKWKPAEDDLPITWYKRYFDEPDGDDPVVVDMSSMSKGMIFVNGEAIGRYWTSFRTLAGQPSQAVYHIPRAYLKPKGNLLVVFEEELGKPNGILVQTVRRDDICVFISEHNPAQIKTWESDGDQIKLIAEDHSTRGTLSCPPKRTIQEVVFASFGNPEGACGNFTVGTCHTPDAKAVVEKECLGKESCVLPVIHTVYGADINCPATTATLAVQVRCKRSAK >LPERR07G19780.1 pep chromosome:Lperr_V1.4:7:19309310:19312264:1 gene:LPERR07G19780 transcript:LPERR07G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLLAASSSSCCAAAVSTRRARGNPAASASFPSPSRALLRVFAARPLRSRAVAGAATAMQPPVASRVSTVVDVDLGNRSYPIYIGEGLLNEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHENPNVSVESVILPDGEKYKDMNTLIKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQADSSVGGKTGINHPLGKNLIGAFYHPQCVLIDTETLNTLPDRELASGMAEVVKYGLIGDAPFFEWQEKNMPALLAREPSALAYAVKRSCELKAEVVAQDEKENGLRATLNLGHTFGHAIETGTGFGAWLHGEAIAAGTVMAADMSHRLGWIDESIKKRVIDILEQAKLPITPPEAMTVEKFKSIMYVDKKVADGLLRLILLKGPLGGCVFTGDYDRNALDETLRAFCDN >LPERR07G19790.1 pep chromosome:Lperr_V1.4:7:19315044:19324587:1 gene:LPERR07G19790 transcript:LPERR07G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGERRVPPIMAEKVVVEKKGEGAAAATVSEAVESLVKAVSAAAEKLVEKAVSEAVKKAAAEAEAAKREEEEIGTMITLKSKDGEPVKYCDEHGNNKPDTDEEREKLEDFDKGFFDELAKDKGSLLNVILAANHLKIGGLLDLICQCAADRIKRGNTDGGIEGRTIPIEEIRDAFTINNEGDEEKQRDGLQLQSTATTAGEDQSCCVVCTEPLEWVAVGPCRHRVVCSSCMTYTRFVNRDMLCCLCRTHCPNVIVVKGDCEHGADILAELIPSSTAKSGSVADSLIWYHAETAAYYKKKGEGAEAPEMEKLTEKAASEEVKNAAVSKTMDGLVEKLMEDAVRESVSEKVTERMGEMVEEVVEKVLRKEVTRAFMAMGSVAVQRKLQAAAEKREEEERGLITLKSSDGDTFDVTEASARQSELIDRRHDRRRREDPCIPLTSIRSGTLVKLIEYCDEHGNSKCDTDEGRQELEEFDEAFIKEVAEDRKFLLQLLLAANYLRIRGLLDLIMAAYMLEDAAAGENATRSGEEKEKVVVPEAGKREEKGSGGRITLKSNEEKLFEVTEASARQSNFIAGMIDDDCADSAIPLPNVDSETLELVIAYCDKHGNSKSATDEEKEKLEEFDAAFVSELGKDKDSFFAVINAAIYLNIPGLIDLTTQHAADMIKGMTPEEMRVAFNIENDLTPEDLEEIRLEDSWAF >LPERR07G19790.2 pep chromosome:Lperr_V1.4:7:19312799:19315044:1 gene:LPERR07G19790 transcript:LPERR07G19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNGEAAAVVSPAQRKGKWAMSPPAAAAAAEEEAGNREESKESGRMIRLRSNDGELVEVAEASARQSKLIEEMIDSGNADPSIPLPNVDSKTLAMVIQYCDKHAAAAAAADTTEAKDLKAWDDGFVHKLDMSGPLFDVIAAADYLNMDGLLDLACKRVADEIKDKTPEEIREAFNIVNDLSEEEEEAIRLEHAWAFEYE >LPERR07G19800.1 pep chromosome:Lperr_V1.4:7:19331439:19335748:1 gene:LPERR07G19800 transcript:LPERR07G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLSSPAAAAAAEAPLSSSAAATAVKKDRHIVSWSAEEDDVLRAQIALHGTDKYEFSLLPVSIDCFLDLITVVYTNFPFGSWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSVNAKRALTQTECVASDAAGSAPPIKQMRPCNSDFKENMTPNMRSLGEGKNTQDSRQPLAIVYQNNQDNVNTMDTQNLAKTAAKQSCGEEQNCVKHEGNFLNKDDPKIATLLQRADLLCSLATKINTENTSMDEAWQQLQHHLDKKDDIDVSESSTSEMASLLEDLDDLIVDPYENEEEDDQKLKDQTEQIDVQNTENPSQTSMEVTSQMAPNNEMEDCPNDKSTEDNNMESCPAKAGGGIPTPENLTDAAIEDCLLHCVEYSSPVHIVIQAITDAEIAASENLSEVLEHNRLQCTKSAPFAHTTTQAKTDAETPASEKLSEVVKCSKPPCTEFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >LPERR07G19810.1 pep chromosome:Lperr_V1.4:7:19336418:19341352:1 gene:LPERR07G19810 transcript:LPERR07G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFVAASPGGSRWMAETAARRRQQRRLSSPSLRAYLTPAFDAVAGPGADGVSPYSGSGSSSSGGLDLGFDDSLLRCRRACFAATADLDQRQLLYSPQSLPVAGGVVVAGGYRYDSKRQAGGQTVAPGFQDFDINSLISPWQPSADHPTATARGISHKPPADIRSREDTVIQATKAELSTPKPEVTSSTQPASAQAEPIEEDEELINETLYGQSNRRRLPVFRSICQE >LPERR07G19820.1 pep chromosome:Lperr_V1.4:7:19339819:19340886:-1 gene:LPERR07G19820 transcript:LPERR07G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRNNNGAVATEATAAAAASGTATTVVALVLLLVAASVVVLLLSPTPTPTPTPAVTRIGDGGEVSPREPVEHAIGLAGYESWLDAVRAWAKLAFLKLRPPEPRYHLRRPASVKVKAAAKETLEMGKETVEHAAESAAETLGKTTVKLKRKLSPSSSPPAGRLDGDL >LPERR07G19820.2 pep chromosome:Lperr_V1.4:7:19339819:19340886:-1 gene:LPERR07G19820 transcript:LPERR07G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRNNNGAVATEATAAAAASGTATTVVALVLLLVAASVVVLLLSPTPTPTPTPAVTRIGDGGEVSPREPVEHAIGLAGYESWLDAVRAWAKLAFLKLRPPEPRSVVLFEERRGGGNVYSHDGGVCVPRYHLRRPASVKVKAAAKETLEMGKETVEHAAESAAETLGKTTVKLKRKLSPSSSPPAGRLDGDL >LPERR07G19830.1 pep chromosome:Lperr_V1.4:7:19342948:19344393:1 gene:LPERR07G19830 transcript:LPERR07G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRAHPPPAATPSSAPPPPAAPAPAAVTGASTPPPRRRRPRSGWRWGRRRGRGRCSTWTPPCWSPGRWGASSRRPGGGSAAAPRWRSPSTRCCLSTCSGYRIATAPARIQPPPPTCRRSSSSTRRTTATATAGSRANSISHLSPKLVMCIGS >LPERR07G19840.1 pep chromosome:Lperr_V1.4:7:19345465:19347247:-1 gene:LPERR07G19840 transcript:LPERR07G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIVLYWVYAGGYQLVLHRRPLERYRLHTQAEEEEKNLVGLAAVVRGVLLQQLVQAIVAMILFMVTSDSSTVVVQPPITIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMPYNLVHRKEGGFEARPLRD >LPERR07G19850.1 pep chromosome:Lperr_V1.4:7:19348980:19353521:-1 gene:LPERR07G19850 transcript:LPERR07G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTHLDLDTVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELNILDKLPHYCPVSAHLEWNLDGLLEKIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKRKTVEDFCDRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKV >LPERR07G19860.1 pep chromosome:Lperr_V1.4:7:19358082:19358728:-1 gene:LPERR07G19860 transcript:LPERR07G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVECEEVGEVLAELRDRRNGDDPEGYHDHKAGDDGGRLEGIFWASADSRIDYLRHGDVVVFDTTFRTAGRWRGDGIPCRHILRVMSHRGVSRMPECLVLRRQRRFLDAKMERTDEMKDLSREVFDLVSEDAREFEEVKKFFEGFLKQRHAWLDAASQQELSDEGGSPATKKIKLSDD >LPERR07G19870.1 pep chromosome:Lperr_V1.4:7:19359407:19363579:-1 gene:LPERR07G19870 transcript:LPERR07G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERTLSISLPQLAFPRRRSVLPSRARPRGRISFRAAMAVAPDKEVAAVDLEKVVNAAAAPDRATVAAVGVGEELPEGYDRMMPAVEDPARRRRAGVLLHPTSLRGPHGIGDLGGEALAFLSWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEHELPDPLDMEYVEFDTVANLKEPLIAKAAERLLQSRWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVLGWWQSIPEEEKKTVFKYLPEANRTEIPWALIIAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRIPSSVSFDSLSPEAEKLKELLALYNRL >LPERR07G19880.1 pep chromosome:Lperr_V1.4:7:19364419:19370900:-1 gene:LPERR07G19880 transcript:LPERR07G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGRTGFSPGLAVLLSSGDEAKASSQKSRLVSYHDEIGHQDIERTIEHIFDLPHKSVVRPRGPIDVGFVRSVLRNQARKFDLGCGKDTRKYDDGVLIVDKGAGQTKVVLDDSSVCGKFKSICGPLLIESSASFSSARANACVWNGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDADDSYAFDGRRMTKWNNDPKPYGQMWAVGDVIGCCINLDAGEISFYRNGNFLGVAFDFIRKVGPRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFDPIEAPPCSWMFAAYLLRCLFRLLEVQNLEKSESAYFQKLRRVKKFAPLRELFRPISEGICAEFFSTIEGSQGCSEYITWGSFITLLTDVFRAREPHDFLCLDQVLELFLQFPGCSSLLLELIMALSCMCKTAPLVLTECPFSGPYPFLALACHILRHKDVMHLWWKSEDFALSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEEKHRELCTLVICFVPPTSSPQLPGSVFRSFVQSSILKARGGDHRMTSSGSFNDTVLVSLYTVILHLLSEGYSMDSSGPASSSRINYGNGVGFLHKGGKRRFPTQLLLRNDAYYNVIPRIGGSLNHLLMFYQVDAKEDEVQWDEGCMNDEETRITHTTVQKPCCCSITDVTVGLIFKENAKYIPSTSKGPCKPMHERSAHVAAECSGRSFSDEIEDKPSTSAQSEIEYGYQALHHLESMPMTNQFSPEALKEEELLDFMLLLYHLGVAPNFRQASDAFYFMSQQSQSISLLEETDRQIREKSCMEQLRRLKEARNSYHEELVDCVRHCVWYRATIFSSWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVDSFHALRRSDPPFVSPAVFLKHGLASFVTLVVKHFDDPRIVSADVKDLLLQSITVLVQYREFMFVFENNREAINRMPRSLLSAFDNRSWIPVTNILFQLCKGLGFASSKNAESSSSATFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCSLARILEFCTREIPHAFLMGPDMNLRRLTELIVFILNHIISAADAEFFDMTLRRPGQHQEKTNRTMILAPLVGIILNLMENSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNILRGDASLAKLAQLEEFSHYFRRITMSADGDEEQGFSTGSEEEEDNCCICYNCESDTTFEPCHHRSCYGCISRHLLNSQRCFFCNAVVTSVSRISDSRIHSLRSPLAP >LPERR07G19890.1 pep chromosome:Lperr_V1.4:7:19375691:19377079:1 gene:LPERR07G19890 transcript:LPERR07G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHATRPVFPAATPPKLRRHHAAAITCAAATSGGGKAVIVGGGLAGLAAATHLSSMSVPFTLIEASDRLGGRVATDEVDGYRLDRGFQIFLTAYPECRRLLDFTALNLRPFYPGALVFLGGETAFHLISDPFRLPFRSLSAVFSPVGTLPDKLLVGLTRLRAASTPDDVILSSPETTTAAHLERLGFSTSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLGDRLPASSVRLNSRVAAVNGSGVTLDTGETVSGELGVIVAVEQPEAEKLVPQLSPPNRSKPTERNSERSTVCLYFAADRAAIEDPILLLNGSGKGIVNNMFFATNVAPSYAPAGKVLVSVSLIGSFAGRTDEELAGEVVGELAGWFGAREVASWRHLRTYRIGFAQPDQTPPTSPAGRDPRIGEGLYVCGDHWCSATFDGALVSGRRAAEVLVKDRGLS >LPERR07G19900.1 pep chromosome:Lperr_V1.4:7:19377853:19383256:1 gene:LPERR07G19900 transcript:LPERR07G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGTAAAAAAAAGGGGASTACNGSGAAATNGGGGVERRLRSSAASASWASHLPLEVGTRVMCRWRDGKLHPVKVIERRKSSISSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRNGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVGKLIWTPYKEQG >LPERR07G19900.2 pep chromosome:Lperr_V1.4:7:19377853:19383367:1 gene:LPERR07G19900 transcript:LPERR07G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGTAAAAAAAAGGGGASTACNGSGAAATNGGGGVERRLRSSAASASWASHLPLEVGTRVMCRWRDGKLHPVKVIERRKSSISSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRNGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVGKLIWTPYKEQG >LPERR07G19910.1 pep chromosome:Lperr_V1.4:7:19390041:19394720:1 gene:LPERR07G19910 transcript:LPERR07G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVLAVLVVLGGSGGGGVAGDDVAALLEFKKGISDRASEPVLGSWSPPATPDAGSGGGGCPSGWKGVVCDGGAVVGVALDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLTSLRHLDLSGNRFYGPIPGRLAELSGLVHLNLSCNNFSSGFPTDGIRQLQNLRRIDLRGNSFWGNAGDLLAELRNAEHIDLSDNQFTGAVDLGLDSLSSIGNTVRYLNLSYNKLQGGFFRNETVGAFKNLEVLDLSSSGIAGMLPRIDAWFSLAVFRVAGNSLSGMMPEALLQNSMRLVEVDLSQNGFSGSIPAVNSTTLKLLNLSSNTLSGSLPSTVGKCTSVDLSGNQLSGELAILRAWDGTVEVIDLSSNKLEGSYPNDASQFQNLVSLKLRNNQLSGSIPSVLGTYQKLSFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALYVQPVLRIVDLSSNSLSGPLPPDISNLRRLEFLALAMNELSGEIPSEISKIQGLEYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVENFPLSCFRPGNDQLVFPDGLPAGNLDDTGVAQSQSSHGHKGGVRVALIVGCIGAALLVIFIALAVYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTTAARSLSAQKELLAEASVEYGYSDPKEVTEPSSSGVAETSAAVPVQEPSPRTALPTSPHFVDSRFHDEPVAFEVYSPDRLVGELIFLDNTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFMHHEKGLPHGNLKPTNIFLTGPDLTPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANSAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDELCSITV >LPERR07G19920.1 pep chromosome:Lperr_V1.4:7:19397168:19399498:-1 gene:LPERR07G19920 transcript:LPERR07G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIRAISTLLHTCAAAAAGGTRRVAFAPPLAGSFRVPSRPPSAFVFFDEVARAAAAGAERRRVSTRAASWDSEKSPYETLELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDQRRKEYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQHREMTLRARRLSRSKVDPEEERKLFAKEKKASMEFYTTTLKRHTLVLRKRDIMRKKAEEDKQKEISRLLALEGLELDTDEEENRNFLK >LPERR07G19930.1 pep chromosome:Lperr_V1.4:7:19400109:19403614:-1 gene:LPERR07G19930 transcript:LPERR07G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGTVGGGGGNGSSCCALCEGSNLPSCCSSCVNSKLAEYHAKLRVMRNLRDTLHSRIAARLEAKMKGDEQRNWRVSKAQDNKELRDRLAELKRRNATEKKKAEQASRDLKERNALLNLAFVTLKKKRNESLVMHTNAMKAAQMSLMAAASERLKMQSKSIKQLCRLFPLRRVITDSEQKDGYSGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLNIAVPVLSAPALHVSGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRQNNCSVGEENSWTESGSGNFGVQSVDSDKKSYFDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEALAKLFHMLSSSKVLRTTLESSIASRSEKQAQLLNKSIWKANSTISSNSSLMDSVNTTIMPSSLDSLLLNSNTSFLYSGKPTKNGAVPDNILEGWDMVERDILPPPPSRVEDVEQWERAHTFNRSGSKKK >LPERR07G19940.1 pep chromosome:Lperr_V1.4:7:19405027:19405521:1 gene:LPERR07G19940 transcript:LPERR07G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFTSKFAPLIPRLRRLSTAAATAAGGEDPKLSRIADQLLDLSPAELDDYAALLRHRLRLSLTSTAASGAAPGAAGDAGAGSAGAEEAAAVVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKAAGAAVALE >LPERR07G19950.1 pep chromosome:Lperr_V1.4:7:19412700:19413464:1 gene:LPERR07G19950 transcript:LPERR07G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANDEREQAEEACRRAEESFLAGNIASAQLSARMAQLMCPSLPGVANALAAYDIHAANPGDWFAVLGIYRSSGKTITRDAINKQFRHLSLLVHPDKNRSAAADGAFKLLRQAHKELSDLAPIPAPKPNPRPNADDANTKANAETEVEKHKRWWGEYWDWNPDFSEEDASTRHDTGAADEEPTPRKRSKPSSPTKKPPPPPKPQEFPCPCKCPWCSTQFASMVSAGRWQIKCEACSKISLVNVEGPDMATCSI >LPERR07G19960.1 pep chromosome:Lperr_V1.4:7:19416205:19418468:1 gene:LPERR07G19960 transcript:LPERR07G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSSSSSGAEVESGMTIALESNDGEIFWVTEASARQSKLIEDLIDSGINHPYKLPNVDSEMLKAVIEYCDEHGNNNPATDEERKARKDFDVGFRGKLDGDKGLLNKVIKAAKYLSIEGLLEEIREAFNIPDGRTAFEYEAPKDKRMIALTSKEGELFLVTEASARQSKLIEGMIDSGFAHPYKLPNVDSETLKAVIEYCDEHGNNNPATEEERKARKDFDVGFRGKLDGDKDLLIKVIMAAHYLDIEGLITTTRRNTKAWDNGFAEVITGDGDLNIHVLLDLIRKRVVDALAHTGGNSLSSSEVDILGEFRKKIDTYLGEMGGQDDFLGLMINPSIPSHHIFVDAVADGVAELVRSMYVYYILRTRGVDAI >LPERR07G19970.1 pep chromosome:Lperr_V1.4:7:19422467:19424334:1 gene:LPERR07G19970 transcript:LPERR07G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHAAVVVVLAVLALASGAASQSPAPAAGPAADCGSSITALAGCLTYITPGSTDARPPKDCCAGVKSALGSPAAVACLCNALGQDFGIKINYTRAAALPAACGGDPSALSKCNKKIPGASPTEAPSSSSGSGSTPATGTPSSPKSAAAQSPVSATLLVAIVAAPLLSYYYL >LPERR07G19980.1 pep chromosome:Lperr_V1.4:7:19425773:19426681:-1 gene:LPERR07G19980 transcript:LPERR07G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANDEREQAEEACRRAEESFLAGNIASAVRLARRAHNICPSLPGVANALAAYQVHAANPGDWYAVLGIDRSSCAAALTHDAILKQFRHLSLLVHPDKNSSAAADGAFKLVIQAHDVLSYRAAKPNANTTAAKANTIADARARAEARFRAAKAKFEERFRADNARDVKIARAVRARFEAELRAARARANAEAEAEKHKRWWGEYWDWNPDFSQENASTRRDAAADEEPTPRKRSKPSSPRKEPSPSPPPKPQVFPCPCKCPWCSTQFASMVSAGRWQLKCEACSKISLVNVKGPDMATCSI >LPERR07G19990.1 pep chromosome:Lperr_V1.4:7:19427447:19429385:-1 gene:LPERR07G19990 transcript:LPERR07G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSSSGAEAAESGMTIALANSRMIALMSNDGDIFWVTEASARQSKLIEDMIVSGVITHPYELPSVDAETLKAVIEYCDEHGNNNSDTDEERKALRDFDVGFRGKLDGDKGLLIKIFTSPLTI >LPERR07G20000.1 pep chromosome:Lperr_V1.4:7:19439389:19444524:-1 gene:LPERR07G20000 transcript:LPERR07G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSGAGAAAAAATGNSLAVKAARDAAAAGAGDAAAGMIYLGSNDGKPFAMTKASASKSKLFKGMIKSGTTENGIPLTEIDSQTFEKVKEYCDEHGNNFSDTDEEKERRKVFDEAFIRELDNDKASLFAVIHAANFLNIQGLLDITCQCVADTIKGKTPEEIRVAFDIENDLTPDDLEEIRQEDAWAFEDTLKSKDDELFSVTEASARQSKLIEDMIVSGVITHPYELPSVDAETLKVIEYCDEHGNSKPDEEKEKLKEFDTAFIRELEEDKPCLYNVLTAANFLGIGGLFDLCCERVRDAIKGRTSDEIRAAFNITGNFTLDYREEDSGESCWVYNEEVEEGDEQSSKP >LPERR07G20010.1 pep chromosome:Lperr_V1.4:7:19445575:19448804:-1 gene:LPERR07G20010 transcript:LPERR07G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEEEEE >LPERR07G20020.1 pep chromosome:Lperr_V1.4:7:19449113:19454326:1 gene:LPERR07G20020 transcript:LPERR07G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRREREIAALLRSASSAGDLLQLHAAMVKSSLFPHHAFPTAKLLASPHAPLPYALSLFAAVPNPTLFHHTAILRKLSSSAAAAGGGGDGSSLAAALSILASARARLPALDEFVFQPLLALCAKRRSAAAGELGRQVHALVVRYGFSGVVSLGNALCHFYCCCGGGGGGGGMVDARRVFDEMLERDVVSWNTVIGGYLRAGEVTEAVEMFGEMRCCGVGVSLTALVAMIGCGWQAESVHGLCLKVGFCSDAKVAAAMVRMYVREKSVECARKVFDDAARRDMVLYNCMVDGYAKAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSASGASGALAAGRRLHDIALETGLELDTALGTALMDMYFKCGYPSEAVAVFDVMQEKDVKAWTVMIMGFGVNGQTGEVISLFRAMEEDGVLPNEVTFLAVLNACSHSGLVSEGKKFMESMVLQYGISPTAEHYGCIVDLLGRAGRLDEAYELLANLSSQGDSTAWRALLASCRVHGNVKLGRMVQAQLDNMGDYHPSDAILLSNAYALENQWDEISRVRDSEKQKIVKDKKEAGCSSIELEVSWIQKSDLS >LPERR07G20030.1 pep chromosome:Lperr_V1.4:7:19453697:19455853:-1 gene:LPERR07G20030 transcript:LPERR07G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNATAAAGAGGERIAVQRPKVNKKCEKVPKRIHKSEREKLKRDKQNDLFTELGNLLEPDRQNNGKACVLGETTRILKDLFSQVETLRKENSALKNESHYVALERNELHDDNSMLRSEILELQNELRTRMEGNPVWSHVNTRPAPRVPYPTSGVFPVQHLPHLPVTTTAAFPQQQAVVIEQHYPATPRELQLFPESATSEDSEPSQENGISDNVTRSQARYPTPTATLPVNLFPVLPGTQDQQCSNVTREEDHIGRS >LPERR07G20040.1 pep chromosome:Lperr_V1.4:7:19462826:19465481:-1 gene:LPERR07G20040 transcript:LPERR07G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAIASRLGLSGSRPVIRKAAELRRLCDVTFDSSVLGIGEVCKAIICLEIAATKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMADLCFDVFGISKEKKDSKSIKGNRELLDVLPSKRRHDDGSDLSGESSGDDQDELDLPTYKRHKKMEKEAYNEWKSSVLSSNKQTKPDPAKPKKQAQLNFKKKPSDIALEVSSAAN >LPERR07G20050.1 pep chromosome:Lperr_V1.4:7:19468030:19480955:1 gene:LPERR07G20050 transcript:LPERR07G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGVRTLALVILGLALAVAPPPSAAFDQVCGDRHYKANSTFAADFQRVAGALPGNTTSSASLFATAAVAGEVYALALCRGDLAPQPCGVCVDAAFNDAQQVCPYSMDVTMYYSTCVVRFSGDDFLAGRNNSQQKIILSTAQTLGPAVAGRFHGLVNDLLNETVDYAVTNTSDSVRRLFATGEVDVDGGYFDEQFSKIYTSAQCTPDLTPAQCRGCLETAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYTMDAMVRMQVGLGQGGKKKSSPVLAIVLPIVLVGLLMFTLVTLYIWRKKRLLPKAPLIENTDDLEDFDSIFIELATLQLATTNFDESNRIGEGGFGVVYKGVLPDGQEVAVKRLSRFSKQGLGQLKNELALVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTILFDPDKGKQLDWGKRYRILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTNLDVFSFGVLVLEIVTGRRNSYAVISEHSEDLFSLVWRHWNEGTVTEIVDPALGRHYPRGDIQKCINIGLLCVQQNPTDRPSMSTIIVMLSSDTVTLQAPYRPAYLFGRSRSYSYTETVDMIQASSEPHSSITELEPRIVRAAASVGNMRAAVVHVVAIAGVLITALHAPPLAGAYPWGSCDTSSNYTASSAFQQNLLALTTTLPGNVSTSPDLFAAVAFGAAPDTVYALAYCPPFDNQNVSGCRACVASGFADAQRLCPFNRGVTIVYNPCIFSFYGRDFLNSTNNPKDQEVMLFNSQNVTVSDVGEFNRATYELLNGTADYAANAGGTRGRFATGEISFDATYPRIYSMAWCTPDMAPRQCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMDGKTGKILAIVLPIVAALLASTVIGFCCWRRRAKTTKRSLSYASHTDDIQSIESLIIDLSTLRTATNNFAENNKLGEGGFGAVYKGSLPEGGQEIAVKRLSQNSGQGIGELKNELVLIAKLQHKSLVRLVGVCLEDDEKLLVYEYMPNKSLDTFLFDSVKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSREITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLLLEIITGRKNSDSFNSEQSVDLLSLIWEHWAMKTIMEMVDPSLRSDSSLDDILRCIHIGLVCVQEDPMDRPTMSMINVMLESNTVPVQAPSRPAFFTEMSGNDIGSSMYSQPYPVADYTGRSTVMSPNEVTITEPEPR >LPERR07G20050.2 pep chromosome:Lperr_V1.4:7:19468030:19475770:1 gene:LPERR07G20050 transcript:LPERR07G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGVRTLALVILGLALAVAPPPSAAFDQVCGDRHYKANSTFAADFQRVAGALPGNTTSSASLFATAAVAGEVYALALCRGDLAPQPCGVCVDAAFNDAQQVCPYSMDVTMYYSTCVVRFSGDDFLAGRNNSQQKIILSTAQTLGPAVAGRFHGLVNDLLNETVDYAVTNTSDSVRRLFATGEVDVDGGYFDEQFSKIYTSAQCTPDLTPAQCRGCLETAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYTMDAMVRMQVGLGQGGKKKSSPVLAIVLPIVLVGLLMFTLVTLYIWRKKRLLPKAPLIENTDDLEDFDSIFIELATLQLATTNFDESNRIGEGGFGVVYKGVLPDGQEVAVKRLSRFSKQGLGQLKNELALVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTILFDPDKGKQLDWGKRYRILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTNLDVFSFGVLVLEIVTGRRNSYAVISEHSEDLFSLVWRHWNEGTVTEIVDPALGRHYPRGDIQKCINIGLLCVQQNPTDRPSMSTIIVMLSSDTVTLQAPYRPAYLFGRSRSYSYTETVDMIQASSEPHSSITELEPSFPTPIFDF >LPERR07G20050.3 pep chromosome:Lperr_V1.4:7:19475873:19480955:1 gene:LPERR07G20050 transcript:LPERR07G20050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVVHVVAIAGVLITALHAPPLAGAYPWGSCDTSSNYTASSAFQQNLLALTTTLPGNVSTSPDLFAAVAFGAAPDTVYALAYCPPFDNQNVSGCRACVASGFADAQRLCPFNRGVTIVYNPCIFSFYGRDFLNSTNNPKDQEVMLFNSQNVTVSDVGEFNRATYELLNGTADYAANAGGTRGRFATGEISFDATYPRIYSMAWCTPDMAPRQCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMDGKTGKILAIVLPIVAALLASTVIGFCCWRRRAKTTKRSLSYASHTDDIQSIESLIIDLSTLRTATNNFAENNKLGEGGFGAVYKGSLPEGGQEIAVKRLSQNSGQGIGELKNELVLIAKLQHKSLVRLVGVCLEDDEKLLVYEYMPNKSLDTFLFDSVKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSREITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLLLEIITGRKNSDSFNSEQSVDLLSLIWEHWAMKTIMEMVDPSLRSDSSLDDILRCIHIGLVCVQEDPMDRPTMSMINVMLESNTVPVQAPSRPAFFTEMSGNDIGSSMYSQPYPVADYTGRSTVMSPNEVTITEPEPR >LPERR07G20060.1 pep chromosome:Lperr_V1.4:7:19492250:19493225:-1 gene:LPERR07G20060 transcript:LPERR07G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLHSSASASASAGYVLTTENISRMLDGWARKGGGGGGGPATPGGAESASGSSEASEVSYGGGVGATPAAAFEYETKPAVAGGGGGGDETQLSAIESWLFADVDAVASGSLLDAAMDYPF >LPERR07G20070.1 pep chromosome:Lperr_V1.4:7:19505396:19507630:1 gene:LPERR07G20070 transcript:LPERR07G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERVMIKVALSLALLLPLASSSAVEEVKFDFMYFVQQWPASYCATNPCRAKPPPPAGAFTIHGLWPNYLKPTPGKPGPENCNSSDHLNPSQIQDLVNPLTQQWPSLSRAMNNLEFWGHEWQKHGTCSNFNQHGYFNATLALKIKPSNDIAKILAESHIVPSNKNAYGFGEISDAVAKGTGFRANLQCIKDKANGETLLYQVYQCVDRFGKNVVRCEAKMESHCPASGKRIKLPVLPLHSAL >LPERR07G20080.1 pep chromosome:Lperr_V1.4:7:19513755:19515580:-1 gene:LPERR07G20080 transcript:LPERR07G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLLTGALAAVAVSLAVHNMEKVGEGMLNAPEDVYVGGEVLFTATRDGWVWRMRGDGGVNGSSWEKWALGLLKVEENGRVTILASAVEGSTIRFADAAIEASDGTVYFSDASTRFNFDNWFLDFLEYRLTGRLLKYDPLTGKASVVLDGLGFANGVALSPDESFVVVCETMLFRCLRVWLKGEKTGESEIFVDNLPGNPDNIRLGSDGHFWIALLQLRSSPWLEFIARWSLIRRFIASFPALVERTKAMVKGAMVAQVSENGEIVRVLGDFEGKVINVVTSVTEFNGDLFLGSLATNFIGKLSLANVPQPQEGAVSS >LPERR07G20090.1 pep chromosome:Lperr_V1.4:7:19519106:19519951:-1 gene:LPERR07G20090 transcript:LPERR07G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAMIAVALLLPLVAGAAAADADEFDFFYFVQQWPGSFCDTQRGCCFPDTGKPEPEFGIHGLWPNYAKCRPANDGPDAAASIDMVVGGHHRRQKCWPEYCDDSNQLLPFAIRDLISELAGNWPTLSCKNGGGGPSIEFWAYEWKKHGTCSGLGQHGYFAAALDLKRRDGGLAGILAAAGIVPSEDERYLLSGIRGAIANATGAIPNLECNRDAFGETQLYQVYQCVARDGKTLIDCPLPMSGRCSDRVKMPPF >LPERR07G20100.1 pep chromosome:Lperr_V1.4:7:19522631:19523501:1 gene:LPERR07G20100 transcript:LPERR07G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVIAVALLLPLVAGAADIDEFDFFYFVQQKCWPEYCDNSNQLLTFAIRDLIRELAGNWPTLSCKNGGGGPSIEFWAYEWKKHGTCSGLGQHGYFAAALDLKRLAGILAGAGIVPSEDAWYPLSAIRAAIADVTGAIPNLECQRDAFGETQLYQVYQCVARDGKTLIDCEHPMSGRCSDRVKLPPFY >LPERR07G20110.1 pep chromosome:Lperr_V1.4:7:19526385:19527797:1 gene:LPERR07G20110 transcript:LPERR07G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAIAVALLLPLVAGATAAADDEFDFFYFVQQWPGSFCDTDRGCCFPDTGKPAAEFGIHGLWPNYAKCRPTADDGPEAAASIDMVVDDDGSHHHRRRQKCWPEYCNNSNNLHPWEIKDLIKDLDANWPTLSCKGGGGGSSMEFWAYEWKKHGTCSGLGQHDYFAAALDLKRRDGGLAGILAAAGIVPSEDERYLLSGIRGAIANATGAIPNLECNRDAFGETQLYQVYQCVSRDGRTLVDCLLPMSGKCSGTVKLPPF >LPERR07G20120.1 pep chromosome:Lperr_V1.4:7:19529674:19531494:-1 gene:LPERR07G20120 transcript:LPERR07G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLTSSPLNKQQLRLGAATLTADHVSSFSSTIPAPSLCYSSSSSRSHWQSINPTSRRNPWRRAGINRVVAKSGAGGGYKVAILGAAGGIGQPLSLLIKMSPLVSSLHLYDIANIAGVTADLAHCNTPAKVAGFTGKDELAACLAGADVVVIPAGVPRKPGMTRDDLFGINAGIVRDLAEAVADHAPAALVHVITNPINSTVPIAAEVLKQKGVYDARKVFGVTTLDVVRANTFVAAAKRLPLADVDVPVVGGHAAATILPLLSKSRPKIPFTDEEVEALTRRIQNAGTEVVDAKAGDGSATLSMAYAAARFVEASLRGLDGDGEVYECAFVQCGEAVAAPELPFFACRVRLGRDGVEEVMAAELKGISDYEARALEALKPLLKKSIDKGIAFARQQPAAPAPAMG >LPERR07G20130.1 pep chromosome:Lperr_V1.4:7:19534122:19540364:1 gene:LPERR07G20130 transcript:LPERR07G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEIGGAVAFFLAFAAALLAAVSLGAVDFTLPLSVGGGGAGLGFEWDGVFPWLVAVFGGGEGEEGGVYEAWVRVRRGVIAPTLQVAVWVCMVMSVMLVVEAAYNSVVSLGVKAIGWRPEWRFKWEPLAGAGADEEKGGGEYPMVMVQIPMYNELEVYKLSIGAACELDWPKDRIIVQVLDDSTDPFIKNLVELECESWANKGVNIKYTIRSSRKGFKAGALKKGMECDYAKQCEYIAIFDADFQPEPDFLLRTVPFLMHNPDVALVQARWAFVNATTSLLTRVQRMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINDAGGWKDRTTVEDMDLAVRATLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVLVAKDISLLKKFYMLYSFFLVRRVVAPMVACILYNVIIPVSVMIPELFIPIWGVAYIPMALLIITAIRNPRYLHILPFWILFESVMTVLRMRAALTGLLELSGFNQWIVTKKVGSKFDDTEVPLLPKARKRLRDRINFPEIGFSVFLILCASYNLVFHGKTSYYFNLYLQGLAFLLLGFNFTGNCACCQ >LPERR07G20140.1 pep chromosome:Lperr_V1.4:7:19539940:19543463:-1 gene:LPERR07G20140 transcript:LPERR07G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTGSLGFEAAAAVCPAAFQAYQKYYDIVSAFSNTNPREGLAELSQVIDGMQGLRDAIFSDIPKLMSTLDLRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNIAEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLIDDFVEHMNRIYHSCDCSSAMESQLSISNSFGGINPLPGSKRKCPTHLKSNEDVDLSDNFTRSVHLAPLKRLKETPVITKYGVWEYNAGTHLVHSPSDCCEDANLHNPRGCLQNSPSIVEDCLSKGFEGCISMKCSF >LPERR07G20150.1 pep chromosome:Lperr_V1.4:7:19546517:19549203:1 gene:LPERR07G20150 transcript:LPERR07G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEDDDGA >LPERR07G20150.2 pep chromosome:Lperr_V1.4:7:19546418:19549203:1 gene:LPERR07G20150 transcript:LPERR07G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEDDDGA >LPERR07G20160.1 pep chromosome:Lperr_V1.4:7:19550008:19550487:1 gene:LPERR07G20160 transcript:LPERR07G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAGGGVQPLMVVQHIIIGGDDAGDLFSGGLEGGVPPASKAAIASLKEVKAEDVSAECECAICLDAFAAGKEMPCGHRFHGECLDRWLGVHGSCPVCRRELPKDEQPAAATAAEEQNGGGERRRPRAVVVSYVVVGDGGGEERREESWNIRIEDVD >LPERR07G20170.1 pep chromosome:Lperr_V1.4:7:19551769:19552874:-1 gene:LPERR07G20170 transcript:LPERR07G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAGRLLVIFLAVLLLVASSDAARFTRSYRMAMMAEAPARQNFGVSAEDDGNTRDAIVEEMFGRMALQTTDYPGSGPNDRHTPKAPGT >LPERR07G20180.1 pep chromosome:Lperr_V1.4:7:19558378:19558995:1 gene:LPERR07G20180 transcript:LPERR07G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETSSSSSPARPLLRRVLSFREPLMLIPCILTFLATAASEFFHIYSSFLHSFARSLIPIPPPATAKLAAFTPYSAAAVDEDDEEVSPHLSREEVEEIMERMGLGLSGNGEGIKARIGHDEVSRMFDADEPSFAEVRRAFAVFDADGDGLIGAADLRAALARLGFLVDAVDAAACRDMIAASCGSVDGTMNLCQFIKFLEKGLC >LPERR07G20190.1 pep chromosome:Lperr_V1.4:7:19562563:19564788:1 gene:LPERR07G20190 transcript:LPERR07G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPTFLAGAAAAVSSPKLSASASFPCGLAPLRAVLVAPRRRLAPVAVALSEEVEAEEGGVESEGEGEFSEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSTTEEASAAVEQFNGYTFQGRPLRVNSGPPPARDEFAPRAPRGGGNSFDSSNKLYVGNLAWGVDNSTLENLFSEQGNVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >LPERR07G20200.1 pep chromosome:Lperr_V1.4:7:19565548:19566492:1 gene:LPERR07G20200 transcript:LPERR07G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLGQVIIAAAASALLLLPFLAGPAAAAGQTGQVTVFWGRNINEGSLREACDTAMYTMVIISFLNVSVHGHSGDYSLDLSGHPLAGVGADIKHCQRKGVLVSLSLSLSIAGGALPGDQSAIDLADHLSNTYLAAARDDATTAARPFGDAVLDGVDFFFHDAATPADAERYGVLATELSRSRNAGDQSSPPPRLTATAPCAFPAGAAALATSAFERVHVRFYGGENSNCTVYWEDAWARWTAAYPRSRVYFGLPAAPAPEKDGGGGGYIYPKTLYYSYVPELQKAANYGGFMIWDHYSDKKSGYSAYVKNWA >LPERR07G20210.1 pep chromosome:Lperr_V1.4:7:19567146:19567688:-1 gene:LPERR07G20210 transcript:LPERR07G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTELHPNTTSHVINIAAAGGICAVCMEPLEYTAIGPCGHGEICPSCSLHIRVFHNNRLCCICRTLCRVVAVVTVTTGGGGGWDAVAARLLRSAATGAYAQFEGRVGEKGSYWWYHAGMEAFFDDERQYAAARAAARLGPPPCGDAKENPPPPPAAPMRAGGGNSRVRDQREAGFPVSD >LPERR07G20220.1 pep chromosome:Lperr_V1.4:7:19569108:19577209:1 gene:LPERR07G20220 transcript:LPERR07G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAEVRRYWLPILLAAAGFLFQLLVLPHSFPPSHYDALGIERFAPVEKVVEAYELLSKEWLSETSQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEKYQKEHFLKIDLPLLKDSSVHSTDYAFNILTYDSFMHTIADEHPLLIMVYSKGSPRCAQFIEHWKQIGSRLDGVANTAMVELGDLQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVTTSVVGLPRILYYSKETLGPQFIGKSGHHKVKVILFSSTGERAAPFLRQAAQEYSTYASFALVLWKEDESQIWWNSLGVESAPSLVFLKGPGTFTKPEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAANDTAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDIDASTSGNVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYNGACGPGGFGDDNDKSELFIVRFQRNATYEALKAEKTNNLMEALQGQQTDASQLVARYKGLDEIEEINKWISQIIKDGDTRDIPYFTSKVPDLVPEETTKEWLRSTKSIRSAGNSVKQRIQNNGFHLGDYLTDPRIGPALLMSACISMGIIWYKSNQPSQAAQQDGAPPKDKTKRRRPRPKLSTSLFGQPEYTADPEPKDARQWEMTDSDSD >LPERR07G20230.1 pep chromosome:Lperr_V1.4:7:19578100:19582216:1 gene:LPERR07G20230 transcript:LPERR07G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAPMLLLLLLLLAAAAVLPARALRFDLESGHTKCISDEIKVGSMAVGKYHVVGPDSSSPDAPLPDSHRISLRVTSPYGNSMHYAENVPSGNFAFTASEAGDYLACFWAPDHKPPVSIGFEFDWRSGIAAKDWPNVAKKGQVDVMEQELRKLGETIKSIHEEMFYLREREEEMQNLNRATNSRMAWLSFLSLGICLSVAGLQLWHLKTFFERKKLL >LPERR07G20240.1 pep chromosome:Lperr_V1.4:7:19581435:19585898:-1 gene:LPERR07G20240 transcript:LPERR07G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVADGHRPPFRSKGFTNEFKELIELCWSGDIHLRPSFLEILKRLEKIKDYIAAHDHHWHLFSQ >LPERR07G20250.1 pep chromosome:Lperr_V1.4:7:19589853:19592366:1 gene:LPERR07G20250 transcript:LPERR07G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLVAVVAMHMQLYAVDAKTLRPWPPDCSTTNGYTGNSQYKKNLDQLLATLPAAAAENGWFYEGSSSSSPASDPDQMFGLIMCYADRDAAECLDCLSQASAAITAVCPGSRSARVVYNPCVLQYSDASIRHEADLTVLICCLRLMSELAAAATASPLRLANGSTPYLGSEEMYGLAQCTRDLNGSECARCVSDYVGQLGSRFGNKTGGVIKGYSCYLRFQVAPLAVTLPPAPSQSLLLATGGSSSSSKIGMVVGVSIGSVLILVVLGLCMCFLLWRRRRKQAELHEETRAMEDEFEKGLGPKQFQYVELAIATDNFSETHKLGEGGFGSVYRGFLKDMNLHVAIKRVSKGSRQGRKEYASEVRIISRLRHRNLVLLIGWCHGDGKLLLVYELMPNGSLDKHLYSVDNRLSWSLRHKIVLEIASAILYLHEEWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHARRSHTTVLAGTFGYMDPECGVRGMASVQSDIYSFGVVLLEIACGRSPIVVLDDDVIHLVCHVSDLHSQGRVLDAVDPRLNGEFDAQEMKRVIMAGLWCTQDDQGMRASIRQVVSVLRLELPLPSLAKRPLNTDPSSIETNNV >LPERR07G20260.1 pep chromosome:Lperr_V1.4:7:19595133:19597588:1 gene:LPERR07G20260 transcript:LPERR07G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVNTVEVTPKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >LPERR07G20270.1 pep chromosome:Lperr_V1.4:7:19599496:19604393:1 gene:LPERR07G20270 transcript:LPERR07G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQFLLLTVHPVVVMQLRHRAGVLDLRITEWELRGLERDYAPASFDLGVRGSLYADRGGRGRGCRLKGHLEIAIACVLPPPMRLVPEPVMRGVAETVLQRLAEKMKKDVDVGLIADFRKFRREKAAAAAAAGAATRKVNAIADREI >LPERR07G20280.1 pep chromosome:Lperr_V1.4:7:19601442:19602595:-1 gene:LPERR07G20280 transcript:LPERR07G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNADATALQEGLNWACGQGHANCAAIQPGGPCYKANNLPALASYAYNDYYQRNSASGATCSFNGTAMTTPNDPSSGQCVFAGSSMAGGTTPAASSPGSSVSPFTPGFNNGSSSTFGGSPSGVLSPFDAADSDLAGARRALCLLLLASPIFFVFLLH >LPERR07G20290.1 pep chromosome:Lperr_V1.4:7:19606600:19609837:1 gene:LPERR07G20290 transcript:LPERR07G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYGSPSPPPRSYRRRASSPISRGRYEPYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDVYIPRDYYSGDPRGFGFVQYFDPGDAADAKYYMDGQVILGREIAVVFAEENRKKPSEMRARDRVSGSRGRSYDQRYSRSPRYSPPPRGRSAYRSPSYSRSPSPRYARRGIRERSYSPAESRSRSRSPIEEGYGGGSTRRERSLSVSG >LPERR07G20310.1 pep chromosome:Lperr_V1.4:7:19625193:19628008:-1 gene:LPERR07G20310 transcript:LPERR07G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGESRPFLLFSKPKSNPTKKKSKHESDPQIPTHPQPPNPSSIPSPSPAAVDPDLSDSDQPAAAAATKDAGDDSATFADLGLSQWLVDVCSALGMRRPTAVQRRCIPRALEGKDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLGQAKGLARRPHVVVATPGRIATLINDDPDLAKVFARTKFLVLDEADRVLDVNFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGDNSYFFEAYEGFKTVDTLKQLYVHVPPDAKELYLIHLLSKMKEDNIRSVIVFASTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSNKVPVLLATDVASRGLDIQTVDLVINYDIPRYPRDYIHRVGRTARATRGGLSVSFVTTQRDIRLLHEIEDVVGKQLGAYESEMRDVNKDATKVFKARRLANMRMSDEGHEDKVQARKEQKKRAQERKRKHEE >LPERR07G20320.1 pep chromosome:Lperr_V1.4:7:19630155:19631918:-1 gene:LPERR07G20320 transcript:LPERR07G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDHDEDSPLFRTNSGDGDDSDHPPRRRGASSAAVGEVPVAQSLIKAASNVCFSLFVLTVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSSFLLPDDSLLPTGEDFNSSSVPPPQREDGPDQAAATANATCDPDAALNCSDPRVLAAVKAFNAKSFFRRSIVFLSYETPVPGPKQGECDVAWRFRNRKEKSWRRYRDYRRFALASGDGCALDITRVGKFRSGTNAARPPVRAGRKGSKKNPRGGVAPPPVVDAEINDTIPIVSSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNVCLSGAHTDNGKDEDGKDFRYYFDFEHLKESASVVEEGDFLKDWKRWDKKKGQGRISVRKVPSYKVTPMQLKRDKSNIIWRMFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGVHVIRGWKAQNKQMYPNLDTDTSPEALVNKLPKLIKPWRNLYIATNEPFYNYFDKLRSNFHVHLLDDYKELWSSTSEWYNETTTLSGGRPVPFDAYMRVIVDTEVFYRAKTQVETFNNLTRDCKDGINTCNL >LPERR07G20330.1 pep chromosome:Lperr_V1.4:7:19632701:19635705:1 gene:LPERR07G20330 transcript:LPERR07G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEQEQSLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEDPAPALLPGSNIPCWDPRSYVGICLYREALTTEATACGFIYASELVLFSKDGTICVLLKGRLGSCFSCQCN >LPERR07G20340.1 pep chromosome:Lperr_V1.4:7:19637167:19637619:1 gene:LPERR07G20340 transcript:LPERR07G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMARNQQRPRWRYQRLVGDGVDDSSPSPAPAAGLCKVRRQLRLRRRRAAPMMVLRKRRKVIRLMRLVFLLPAARRVAALLAEVVRRLAAAAAAVDECPTIVFSSQWGLPVLSHSSSSGGRNAKLRAFYLDRNLSSSSAAAAASPC >LPERR07G20350.1 pep chromosome:Lperr_V1.4:7:19638589:19640348:-1 gene:LPERR07G20350 transcript:LPERR07G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAEEGEGGAGMDAAPVAVVVVPMRPEGDAATAAPYGWPRAMARSPAAWMRLVVGGILVGLVVFAFYEWGLPLLSQKVLVPIMRWEARSFGCHVLAIVLIASLAIFPVVFLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHGWLQKKWPREIALVELASKGSWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDAFVNIYSGRLILTLADLKYHNHKMTTVEIVYNVISITVAFLIAIGFTIYAKRALDNMERSEGICPEPAGIARGSTELRVHHQERPNSSSVPIDVV >LPERR07G20360.1 pep chromosome:Lperr_V1.4:7:19644900:19650257:1 gene:LPERR07G20360 transcript:LPERR07G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVAEAFQTVVREIYRILSRKVFQSQEQKRSEQSLSNGKPVMLQSESNAPTSGGRWCCSS >LPERR07G20360.2 pep chromosome:Lperr_V1.4:7:19644900:19650128:1 gene:LPERR07G20360 transcript:LPERR07G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVAEAFQTVVREIYRILSRKVFQSQEQKRSEQSLSNGKPVMLQSESNAPTSGGRWCCSS >LPERR07G20360.3 pep chromosome:Lperr_V1.4:7:19644485:19650139:1 gene:LPERR07G20360 transcript:LPERR07G20360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVAEAFQTVVREIYRILSRKVFQSQEQKRSEQSLSNGKPVMLQSESNAPTSGGRRRILPFARRIINRDNVVIFFLGMICDEHEILLELIRDEHEILLQIVVLRIRPRLQSSQRRIIALLEQPRDIRIDRILHPRHLHRLRRRLTGANSNSTRVMR >LPERR07G20360.4 pep chromosome:Lperr_V1.4:7:19644485:19648734:1 gene:LPERR07G20360 transcript:LPERR07G20360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVAEAFQTVVREIYRILSRKVFQSQEQKRSEQSLSNGKPVMLQSESNAPTSGGSDW >LPERR07G20370.1 pep chromosome:Lperr_V1.4:7:19649010:19650748:-1 gene:LPERR07G20370 transcript:LPERR07G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINWVHTKSLFRPSNAAHFMGNKAETTHSFVVPAFSSTHFFPFLPSTRSNQPPPVSAISAAAAVAVAAMGRGRNRGKAGNFATFRLCPRPGAADASDRVFVRVDTNPYSVPGFADDQVNAGAGTSSAGDEDDGGAAGPLPEHVRREIVELGLPDDEYDYLPHLREIRPSVSSIGGGGSSAAFLPARRLHSRAHFGPPLDTKAYDASRIRIGSGEATAETVEVTRVENAIDPDVARLLEKSDDPALAGLESGSDSEHDNLEEDFVLVANQLEEDFVLVANHPEEEDDNIVASIWENSQPPMTKAEELSSQEGFQVRCSFSRLSRLLSVVIELQ >LPERR07G20370.2 pep chromosome:Lperr_V1.4:7:19648716:19650748:-1 gene:LPERR07G20370 transcript:LPERR07G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINWVHTKSLFRPSNAAHFMGNKAETTHSFVVPAFSSTHFFPFLPSTRSNQPPPVSAISAAAAVAVAAMGRGRNRGKAGNFATFRLCPRPGAADASDRVFVRVDTNPYSVPGFADDQVNAGAGTSSAGDEDDGGAAGPLPEHVRREIVELGLPDDEYDYLPHLREIRPSVSSIGGGGSSAAFLPARRLHSRAHFGPPLDTKAYDASRIRIGSGEATAETVEVTRVENAIDPDVARLLEKSDDPALAGLESGSDSEHDNLEEDFVLVANQLEEDFVLVANHPEEEDDNIVAVDDAACKGENSAIWLSYQSLY >LPERR07G20380.1 pep chromosome:Lperr_V1.4:7:19651445:19652911:-1 gene:LPERR07G20380 transcript:LPERR07G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKVEAKFDCLLFDMDDTLYPLSLGINLACRKNIEDYMLNKLQIEESLVPKMCLDLYREYGTTMAGLKVLGYDFDYDDFHAFCHGTLPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSSDQEEDSDNTDGGSSSPGSDSSASNHKRILCKPSLESMEAVIEIAKLDAKKTVFFDDSPRNIAAGKAAGFHTVIVGSSTVVAGADVGLESIHNIKEALPELWENDGEHVQAAVDLRSAAVETTVLA >LPERR07G20390.1 pep chromosome:Lperr_V1.4:7:19661881:19666367:1 gene:LPERR07G20390 transcript:LPERR07G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWALLASLLGFLVAAVHGSEPWLNETQQVYSTNANSGSNGVFVGLTLIQSAAAKGALCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMEGQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADANFIFQSAGLYFRGQRIWQAAMEDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSSTKVKCLADAGMFLDTVDVSGQRAMRDFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRSDPQGLWRGCRMNHVSCNSNQMQVLQGFRNQMLDAVRGFSGSRQNGLFINSCFAHCQSERQDTWYAKDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLVFRGDY >LPERR07G20400.1 pep chromosome:Lperr_V1.4:7:19681005:19683419:1 gene:LPERR07G20400 transcript:LPERR07G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPGGGAKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLSDSPAAGNRTRTPALFSDAELILSSISAAGQHHMPPPPPPPLLMTAAEESYEMYSSEGSLSGSGSYSQSTLTAEFTGGIHHSGGGVPPVMAVIPSVSSSSTLSSMAASGLSPPSNATATTTDHDCLPPWLELGPTTTGDGNNYGAAMDELRWSDYVMFDGNYGIQGQCIYGGDSKDTPVHFDGNWC >LPERR07G20410.1 pep chromosome:Lperr_V1.4:7:19690367:19694104:1 gene:LPERR07G20410 transcript:LPERR07G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGLAWTVAAAVAASWLFNAAVNLLWRPRAIARRLRAQGVSGPGYSVFSGGNLGEIKRLRGEGAGLVLDVSSHDFLPIVQPHFRKWIPLYGKTFVYWVGTRPTICLTDMNMVRQVLSDRTGMYPKNVANKTFARLLGKGLVLTDGEEWKRHRKVVHPAFNMDKLKLMTNTMTDCAMSMVSDWESELKKGGVAAEIEVSRRFEELTADVISHTAFGSSYKEGKQVFLAQRDLQYIAVSTFFTIQIPGFSYLPTRKNLKTWSLDKKVRNMLMDIINTRLANKETAGYGNDLLGLMLEACTPEEHGESQPLLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHQEWQEKIREEVIMECGHEVPNGDVLNKLKLLNMFLLETLRLYGPVAFIQRKVADGGVEIAGIAVPDGAVVSIPIATIHRDKEVWGEDADEFRPERFENGVLKAAAKHPNALLSFSSGLRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHSPTEVITLRPKYGLPMILKSLKL >LPERR07G20420.1 pep chromosome:Lperr_V1.4:7:19695743:19701679:1 gene:LPERR07G20420 transcript:LPERR07G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAWPLVAAAVAVLAATLLFNAVARLVWRPRAVAAALLRQGVRGPGYKLFVGNLREIKRLRAAAAGGGALDVSSHDFIPFVQPHFRRWIPLYGRVFLYWFGSTPDICVADVEIAKQVLSDRTGLFPKNLTSPVLLKLIGNGLVLANGDDWQRHKKMMTATMAGCARSMVSRWEEKVASHGGQAVIEVSSQFEEITADVIAHTAFGSSFAEGKQAFVALRELQFITFSTLLSVQIPGSRYFPTKRNLRVWSLDKTVRSTLTKIINNRLAGKEKSGSGYSDDLLGLMLEASAPPEMGEKGHPMLSMDEIIDECKTFFFAGQETTSHLLTWTMFLLGTHPEWQEKLREEVARECGGGDDNEKLPTYDMLGRLKLLNLFLLETLRLYTPVPMIRRRTRSPAEIGGVAVPAGTMLTFPIATMHRDEAVWGPDAGEFDPTRFAAGGKAAAMSMLLSFSVGPRACVGQGFAMAEAKAVVALILRRFRVSISPEYVHWPTDVITLRPKFGLPMVVTSVNSCAAFEDARRLHAAVLVGGHGHGTVLVAQLVRAYAKLGELGHALRVFDGMPRRNSFAWNAVIKGLVDVGRLSDALEMFWEMVHDGSVAADGFTYPPVIKACAALGDVEQGRKVWEIVEADIARGDARPNVFVQCALVNMFAKCGCLDEARRVFESMEVRDLVAWTAMIGGSVHAGDWIEVVDLFNQMRSEGFGVDSVIAATVISACGRAGELQVGIALHGCAMKSGVSSDICVSNALVDMYCKCGYVEMADCLFRYTKSKDVVSWSSLIAGYSQNGMHNVSISLFCEMISSGIKPNSSTLASILPCLSDLKLIRNGKEIHCFSIRHGLEISEFVVSALIDLYSRQGLIRVAETIFWVMPDKDLAIWNSMIAGYAVNGHPDSAFCALRALQKVGLRPDHVTVVSILPVCNQHSMVIQGKELHAYIVKHHIGSVCSVNNALIDMYCNCGFLEVAKDIFRLMTERNTVTYNILISSFGKHSHEDQALSFFDLMKRDGIAPDKVTFVALLSCCSHAGLIDKGLHFYRSMLQDYNISPEKEHYSCIVDLYSRYGKLDEACCFITNMVEEPEIDVLGGLLGACRVHNRMDIAEMVGKRILEQNPNDPGYHILLSNIYADAGMWSDVIRMRNMMQEKSLKKEKGNSLT >LPERR07G20430.1 pep chromosome:Lperr_V1.4:7:19705416:19706659:1 gene:LPERR07G20430 transcript:LPERR07G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >LPERR07G20430.2 pep chromosome:Lperr_V1.4:7:19705416:19707170:1 gene:LPERR07G20430 transcript:LPERR07G20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >LPERR07G20440.1 pep chromosome:Lperr_V1.4:7:19708922:19710730:-1 gene:LPERR07G20440 transcript:LPERR07G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAIAASPSSELTKSKKKKSKSKDTPAADALAVADPPPSLAEAEAKTDGYLIKPQPSLIPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDGTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGSVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPNEKTPAEWVRNVALPTGGDAMIASIAAAPEEVKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDGSASVPAKKIKVEEGGAEEVEGEKSEKKKKKKKDKSEQGDAEVKQEKAELSDEEKGGSEKKKKKKKSKEGSDVVDPESAANGEAEKSEKKKDKKKKKSRETEEA >LPERR07G20450.1 pep chromosome:Lperr_V1.4:7:19712425:19713546:1 gene:LPERR07G20450 transcript:LPERR07G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDADADDESEDGGDFIDPSFPNLTSSSAAAAAVAPAAAGSGGGGVGSSSGGGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGNAFAFRSPHEQAIFEIARNIWRPANKHGRDGDSDDEDAAAPVATAAVAVAPAAVPVVSTSPNGEVKSPTSGRQRRRRRAVADFAATASPATTPVTNMVQPLQPLQVPVSVPVKMDDTLPALSQSQSQSTMPITVTMERSEPLGLPVMAPQSAVLDAEKSFLTPLFKEMVRAVINIGSNPFGVQLPEPPHGLTMEGEKWRKQRILELEVYLKRIELLQDQVKATLEELKSSAPGT >LPERR07G20460.1 pep chromosome:Lperr_V1.4:7:19716602:19735728:1 gene:LPERR07G20460 transcript:LPERR07G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGGGYDDDDWGLSAEQLDQLERDAYSKLAERKASSSAASTAPATSPLPSRARAPEAAAYSSPVRNNQHPAARVALDSCFGKGNLSKASVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPPSSLSIAEEVLNTVPGIAVEVQNLDPLVKRALTAALSVRDLRDLYAKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQMIQHWLNIPTEDILVVLPQIGGSNKAGYRLVYSNTKGDFHLDGVFNVISYDVVSKIKETLLDLDFKIIIADESHFLKNAQAKRTTHALPILQLQALYPTVYRNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLSEKEMRHIRALFHELETVKIKIQSCDSKETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTMIEADCKFLIFAHHQPMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSETRPSPSKQKTLDSYLKRCSNSTEAHPKPKNPRF >LPERR07G20460.2 pep chromosome:Lperr_V1.4:7:19716602:19735728:1 gene:LPERR07G20460 transcript:LPERR07G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGGGYDDDDWGLSAEQLDQLERDAYSKLAERKASSSAASTAPATSPLPSRARAPEAAAYSSPVRNNQHPAARVALDSCFGKGNLSKASVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPPSSLSIAEEVLNTVPGIAVEVQNLDPLVKRALTAALSVRDLRDLYAKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQVVLPQIGGSNKAGYRLVYSNTKGDFHLDGVFNVISYDVVSKIKETLLDLDFKIIIADESHFLKNAQAKRTTHALPILQLQALYPTVYRNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLSEKEMRHIRALFHELETVKIKIQSCDSKETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTMIEADCKFLIFAHHQPMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSETRPSPSKQKTLDSYLKRCSNSTEAHPKPKNPRF >LPERR07G20470.1 pep chromosome:Lperr_V1.4:7:19740431:19744976:1 gene:LPERR07G20470 transcript:LPERR07G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGVERLFSMNRAAFYWRHRHRQPLIQHPLFSSLAGGGGGDDAPPHLPVVIVGAGTVGLVLSFLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEGRYEQIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILGSMDHMKQEGRIISPVSVVHFSQHKLVDLLLKKLEGIGFQTCLPDEVDSSDQDLVLEHKILMGHECSSIQVTDNGILVGASFNKAGRMHERKIHCGLLLGTDGARSTVRELTGIRMKGQRDLQKLVSVHFQSRDLGKYLSRERPGMLFFIFNPNAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKVCEEIIVKLVGWEPTDVQVLDIKSWVMHAEVAEKYIGCNNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYELERRPVAIFNTELSVENFKVAMSIPATLGLDPTVANSVHQVINSSLGSVIPRKLQKMVLEGLFSIGLAQVSDYILNEKNPFGSSRLARLRSILDEGKSLQLQFPAEDLGFRYKLGALDAEDCAETTYEAEKPKHPKRSREYIPSAKAGSRLPHMLVRALSASNEGVFSTLDLVSGDKPEFALIVAPLKESYELARAMLKVADELKLPVKICVIWPQGSVDAKGSRSELAPWTNYVDVEEVSRAPVNSWWEMCQITSKSVILVRPDEHVAWRTESDRVKDADSEVRRVFTKVLSN >LPERR07G20480.1 pep chromosome:Lperr_V1.4:7:19751036:19757435:1 gene:LPERR07G20480 transcript:LPERR07G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNLFPLWFPSDGRCSVSASKKRKGESAA >LPERR07G20480.2 pep chromosome:Lperr_V1.4:7:19757304:19760167:1 gene:LPERR07G20480 transcript:LPERR07G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCSPPPSTAMAPGFPPLAPLESTLRGRPIPSVAHRPPSSPSPVADASALAPSGAVGSVLPPRPHRLHHPPRRCVATTTYRLDLDQQILLPRSKQLPILILVSWSGMQIDFSSGETIPATVRS >LPERR07G20480.3 pep chromosome:Lperr_V1.4:7:19757504:19760167:1 gene:LPERR07G20480 transcript:LPERR07G20480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFPPLAPLESTLRGRPIPSVAHRPPSSPSPVADASALAPSGAVGSVLPPRPHRLHHPPRRCVATTTYRLDLDQQILLPRSKQLPVRQQHGSTIGVDYSDFDPGLLEWDANRLQQRGNDSGYSEKLIQQ >LPERR07G20490.1 pep chromosome:Lperr_V1.4:7:19756036:19756347:-1 gene:LPERR07G20490 transcript:LPERR07G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNHNQEIRDPQLCNPRAWRQQEIREELRHRLAGQGDEQQHNQLHVTKPTALATAVSNPGYFFGKIVVFNDPMTEENSRPESLDETDVRA >LPERR07G20500.1 pep chromosome:Lperr_V1.4:7:19760994:19761908:-1 gene:LPERR07G20500 transcript:LPERR07G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPIVVAAAAVFLISLSSATLAHGGGGSGRRFVRSYDVDSPCKEMKFYLHDIQYDNSNSTTNSTSAAITKPTALATAVSSPGYFFGKMVVFNDPMTEGNSLPPSLDETAESVRAQGLYFYDKKDGYNTWIAFSVVFNSTVHGHGTLNVLGANPNSDTKDLTVVGGTGDFFMSRGIVTLCGDEVEGWL >LPERR07G20510.1 pep chromosome:Lperr_V1.4:7:19766052:19766690:1 gene:LPERR07G20510 transcript:LPERR07G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNVLSAEIRTSIRVMELAAEMGLSNVIIETDSSEVRRLVVEKGRDRSIYATQIQELKHKLRLVDSVNFVWARRTANKVADRLAREGFLDTVIVLHMNLLK >LPERR07G20520.1 pep chromosome:Lperr_V1.4:7:19768376:19772925:-1 gene:LPERR07G20520 transcript:LPERR07G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSSRSSLIVAANVILSLSYSAGVAHGRRHSRSFIRSYDSPCKQMRLYFHDILYDYSNSTTNSTSAAATKPTALSTATVTPSTALPPSLNVTADSVRAQGLYLYDEKERYNAWFAFSIVFNSTAYGHGTLQLMGADTMSQKTRDISVVGRSIAPSLIVTAAAAVFLISLSSPTLAHGGGQSRRFVRSYDYDSPCKQMKFYFHDILYDYSNSTTNSTSAVVASPASLLSAMNNTSVFGTMMVFNDPMTEGNSLPPSLDETAGVRAQGIYFYNKKQSPADAWFAFSIVFNSTAYGHGTINLMGEDFISEKTRDIAVVGGTGDFFMARGVATIQGDAIEGFTYFRLQVDIKLYECYI >LPERR07G20530.1 pep chromosome:Lperr_V1.4:7:19779390:19785763:1 gene:LPERR07G20530 transcript:LPERR07G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQQKDGLLHTTCGSPNYIAPEVLLNRGYDGSLSDIWSCGVILYVMLTGNLPFDDQNMVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRFDITGIRAHDWFKQDYTPAMPFDDDEDNSISDGSLHMTEHQDIEGSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESIVTEKGFQVQKNSGKLKVIQLSKEPANPRGHGTLLISAEVFEISESLYVVELKRSSGDCSLYRQLCASLSEDLGICKSQQLLKKDSMKQELYRYNSSF >LPERR07G20540.1 pep chromosome:Lperr_V1.4:7:19781085:19786211:-1 gene:LPERR07G20540 transcript:LPERR07G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGAAAAAAALPEQQAIHAVLVKSARPDALHATAVMRAYLRAGLPLHSLRLLADLLPRAPRRLLATSFSLSVAIQACGSSAAASRVPVSTGASLHARAVRCGFATADGDIFVRTALVEMYARAGRVDLARAAFDEARRDVFLCNVMLAAYVAASEVAEARKVFDGMRERDLVSWNTMIHGYAMRGEVGMAREIFDGMVERDAFSWSSMISAYTKSRRSKEALELWREMHAAGIVPDCITLVSVVSACSDLGALAVGADVHHFVESKRIELDLKLATALIDMYAKCGDIESAQRVFDGMPVKDVLTWSSIVIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVNEGKQYFRSMSEVHGVEPTVEHYGCMVDLLGRSGHVEEARQLIRSMPFEPDAIIWRALLGACRIYKNVEIAEEAMAKLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIKRENIQRIPGRSSIEWEQKIHEFVSGDRLHPRSKEIYKMLEEMMDRLKQAGYKPMTSFVLQDIDEQSKERSLAEHSEKLAIAFALLTTPARSTIRITKNLRACEDCHSAMKFISMVYDRKLIVRDRNRFHHFSHGQCSCNDYWKSGFHSALGDNCISYRNKD >LPERR07G20550.1 pep chromosome:Lperr_V1.4:7:19787568:19792240:1 gene:LPERR07G20550 transcript:LPERR07G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEAMELLGLPAHSRPSPSEVKAAYRRMVMESHPDRVPMHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGYGRSNKTLVKAPFILIIFAAVSLGGFSASRAYQRQKAVCSSQNPFLP >LPERR07G20560.1 pep chromosome:Lperr_V1.4:7:19790441:19793945:-1 gene:LPERR07G20560 transcript:LPERR07G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYATAKTSVWWDIENCQVPRSCDPNLIAQNMSSALAAAGYTGPVSISAYGDIGCIGNSVTHALSSTGISLNHVPPGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKCVWLWKSLVAGEPPLAESPYISSTASGNTVVLDTSKNINSDSSDTTTTHANPQMQNGIQSDNQKGGNGKTDKQSKVKQPRRNQSDNVSEPASNEESSGEVAKNPKENISNLPRQSSIPSSSSSESLDGAKVNQTSKPKVPPFSLPKNPANSAHSHRKTSPHTAESATKNGAPDSGNGSGHNPKHHKPHTSQSPRPQNPFTRPHSGSGAFRTSSSQRTNSCPPPAGHSGAPTAPLQSWPSAPPYHSPPVNYPDMSRINSSGCPREIHDNQGFNMNYHPNHSAAPHNVQPAPHNVHHAYNDYSYRPPTPPSMPSNMQNTGQWGGNPGSPQPSSDPQGLIRNILGALEVLKMEKIPPTEQYISDCIHYGDSNLPNFDVKMALELAIQHQAVVMKKLGPMSFFLGKNQNLWKCVNIMDINAKYPKETFDAVHKFISSTTGSSAIKNSRSKYLAAIILKNQCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATPGAGGKA >LPERR07G20570.1 pep chromosome:Lperr_V1.4:7:19795486:19801473:-1 gene:LPERR07G20570 transcript:LPERR07G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELDSLPFGLSSKPAILKVILGDWCVNKVRDWYLDSFRDIRYFPEVRTRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKKDQFRTRKIPTGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPDINIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPEIDGPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYALQHSMSTSPPRRVPVASPRDEFVPFSQAESTPRNALYGAVAPRRQGEGSVVLSFPKYFPGRRALTQILPALALSGGSMDGIWGLYRQNDPPV >LPERR07G20570.2 pep chromosome:Lperr_V1.4:7:19795446:19801473:-1 gene:LPERR07G20570 transcript:LPERR07G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELDSLPFGLSSKPAILKVRDWYLDSFRDIRYFPEVRTRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKKDQFRTRKIPTGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPDINIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPEIDGPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGRVDAAQRAVRRRRPKATRRRIRRAELSQILPRPPRAHPNPSRARLVWWIHGRVPLVLFFFFVVVRFVFFVALQRKLVAGILVFLFLFGNTMTSPPPTTHQYNLWVVHVLKQKSV >LPERR07G20570.3 pep chromosome:Lperr_V1.4:7:19797408:19801473:-1 gene:LPERR07G20570 transcript:LPERR07G20570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELDSLPFGLSSKPAILKVILGDWCVNKVRDWYLDSFRDIRYFPEVRTRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKKDQFRTRKIPTGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPDINIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPEIDGPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGRKTRPILEDKQD >LPERR07G20570.4 pep chromosome:Lperr_V1.4:7:19797408:19801473:-1 gene:LPERR07G20570 transcript:LPERR07G20570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELDSLPFGLSSKPAILKVRDWYLDSFRDIRYFPEVRTRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKKDQFRTRKIPTGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPDINIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPEIDGPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGRKTRPILEDKQD >LPERR07G20580.1 pep chromosome:Lperr_V1.4:7:19805348:19812442:1 gene:LPERR07G20580 transcript:LPERR07G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNTADVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQMTEDNNVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIDTFGRL >LPERR07G20590.1 pep chromosome:Lperr_V1.4:7:19812305:19817487:-1 gene:LPERR07G20590 transcript:LPERR07G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQGGACPASEKASTPSVRHAGARGGGDPWAEILASGGGGGGGGAARIGVVYGRRRVQEEAAASRARDVDATSVSAAEKRPSFVPSKRTSWNRSLSIRGRESIFFAPGTNLQPQQNPCKALKRPPKPGNQVKRRGPPDLRKEKAYFEEVDAFELMEESPSPKNFGTWKREMEHNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPPVPSAYSDCSLHDSYRTPEKDRRSRTNPTRRTIPSGLTDQASMFTSFSELKIKEEPNDASVPSLSAETMTAFAQLLLVCSQSAPITLAEAFSTYWWVFQHYITPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTLLVNGETQKKAEEVLEEVLLCLTLNNLRADQGDNVKENSCHGFIETKDFWVCQGPYDPSLVCAWEDWDAKWGSENDHPNEFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTASLAVAESACEFEHRDLHWGNILLDRDETQDKNHTTRFTIEGKKMCTKTFGLNISIIDFTLSRINTGDAILFLDLSADPVLFEGPKRDKQSETYRKMRQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLTKYDSARDCLADPLFSDLLSNEDGQPSPV >LPERR07G20600.1 pep chromosome:Lperr_V1.4:7:19822248:19838556:1 gene:LPERR07G20600 transcript:LPERR07G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLIVVAAAATIFLISLSSASLAYGGGGKGTRKFMRSYDYDSPCKEMRFYLHDILYDSSNSTTNSTSAGVTKPTALATAVSNPGYFFGKMVVFNDPMTEGNSLPESLDETDVRAQGLFFFDEKDDFNVWYAFSIVFNSTTHGHGTLNVVGANPNSDTKDLTVVGGTGDFFMSRGIVTLRGDEVEGFLYFRLLVDIKIYECYSFPPEELTICIRRSTAPSLIVAAAAVLLVSLSSATVTHGSGRGRRFVRSYDYDSPCKQMRFYLHDILYDCSNSTTNSTSAVVASPASLLSAMNNASKFGMVMVFNDPLTEGKSLPQSLEETAVRAQGMYMYDTKKAPGDAWLAFSVVFNSTAHGHGTLSLTGLDLKGFSVVGGTGDFFMARGIATVQLDGGEGTMQGPSMAPSLIVVAAAAVFLVTLSSPTLAHGGGRSRRFVKSHDYDSPCKQMKFYFHDILYDSNNSTTNSTSAVVASPASLLSAMKNPSAFGTAMVFNDPMTEGNSLPPSLDETTGVRAQGMYMYDAKKVPSDMWFAFSVVFNSTVHGHGTLSIMGADIAYQKTKDLPIVGGTGDFFMARGIATLQDDAAEGITYFRLLMDIKLYECYSATINRHANLELLITQHSS >LPERR07G20610.1 pep chromosome:Lperr_V1.4:7:19838594:19842874:1 gene:LPERR07G20610 transcript:LPERR07G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRVANLIIAAMFLISLTSATVAHGGGRSRRFVKSHDYDSPCKQMKFYFHNILYDYNNSTTNSTSAVVASPASLLSAMKNASVFGTVTVFNDPMTEGKSLPPSLEETAVRAQGLYLYDTKKLPANAWFAFSVVFNSTETAVRAQGLYMYDTKKAPGDAWFAFSIVFNSPVYGHGTINLIGADIISQKARDFSVVGGTGDFFMARGVATVQGDEVEGFTYFRLLMDIKLYECYV >LPERR07G20620.1 pep chromosome:Lperr_V1.4:7:19844194:19854591:-1 gene:LPERR07G20620 transcript:LPERR07G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFDHVACETISQEKLFRVVGLPMVENCMTGYNGCLFAYGQTGSGKTYTMTGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRRDDKLKYVCKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQKQIEDLKDQLTCLKKQQTVPGLPSFQLLKPGYDNEFSTLGGADNQSATDLDLLKQKVTHLEDVLVGSLRREKAAETELRKHECEIKRLNRLVNLMESDTRHLGTVVKLRDEKIRRLDLLADNLISSDGFLMDENAAMFQEIQILREQINDNSQLTQFALENKRLIEQVRTLEKFCKQGEREMLLTEISLLRNHFLHILEQKYAGPPKNIEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYEKCSTDKVAPEVEESSVVPGTSQMQHDQARWCGSYLASIDAGKQFMDVGITTDITESLELNPPSEIYSENHESPSHLHFSDRERCNLMDSTKLPEYGGVNENAAKVFEYDETSLYHQNEILHSSEQLLLDELAHIQSINGGLKEKIIIMAEESNKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQTELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMSQNDCIVQDLKGNVKKKSCSLSEEAEEFTCHKFHLPDDAGTVSERTQNIDGSEANQANTHFQPKLEDVLHLVEEKSNKVLALFSNFEEAQETMEEAEIMVSSLLKTNEELKLERDNCRQEVESLLAERTSLINDLQELEASNSCTAQRHDKLHEQVNECVVEMENLATIIKESLYQIQRVTPVELFAFCSEIINFSQDLRRWICESRSYLANMGALLEDKGNSPAEQIHCTNSNTRAGVSQQVDSCSCQLGGTDAEFCQGKYVVVGGKENMLQEICLQNRNCQPACSIDYASLRREFNRKSDVAEGLSFDLKLLQESTSQAKDMKDKTNEITNALISVQRELEKKTSAMESMLKHQRALEGELAENGAALIILRSELEHSESFSSELLKENNDLRVMLEEEIMITSETKAMLEDKSKVIEGLENQILLFNSSEEGHLMSHIKELNDNIKGICIDKGNLEDEILKLTDKLGMAVALAEENEAASIEARQAAELSKIYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIHKQSEAEQAQEMFIVESTSKCDAMEQMCPGRCQLEKRLKAEIVAHQDARKKIECLTMEANCKDEEIRQYKEHIAELVLHSEAQSLLYQEKYQEMEHMVSKQKFGLHESNSETVHTKSEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEDLVCNKQKEICLLTSRLAAVDSMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIASQQQIEQSKAKDVELDTLKEQFGHLILERDSLLDDMDQRKADLLENQLLVEQLEQREQMLEAQNEMLQMEKDNLQQRIMEMDEKIELLVGSNQTIAETTFQMGGNHRSAGSEFSRRLAQSDMLLSHARHEHSRIQAAKPSRTHRGRHQ >LPERR07G20630.1 pep chromosome:Lperr_V1.4:7:19857837:19858939:-1 gene:LPERR07G20630 transcript:LPERR07G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLYPDRPDRNTIHFPHGDTAGNASFPASGRVSTFIFVAPTSSQPRTRSPTSNSSRAILTVAFGVSKMGPMEAESGENDGKVPLTRRRLSELTGLLTGCRWGTIESPSAPPPRKRSVNGANVSVSRSNSSTCRVARPFRRVATAMNLPPATAAGVKQWTPGGVTLRSPSSPVGSSVSGEISTRKTLHHPSSATRWKNTSVSPRHAGHPPRLATTARLAGFPARNTATSVSSARKSNAHGRSPYDATATSSPEPDTAGLMSTTPSGVNLLTIPFFPMSIAYTAAQLLNGAAGRS >LPERR07G20630.2 pep chromosome:Lperr_V1.4:7:19856874:19858939:-1 gene:LPERR07G20630 transcript:LPERR07G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLYPDRPDRNTIHFPHGDTAGNASFPASGRVSTFIFVAPTSSQPRTRSPTSNSSRAILTVAFGVSKMGPMEIGDDGVYLARKGAWFVLDYV >LPERR07G20640.1 pep chromosome:Lperr_V1.4:7:19857510:19859489:1 gene:LPERR07G20640 transcript:LPERR07G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGTIVFATVGVTNFGFDVFSAPVPLPPSPSPDAERRHTDGVSVNFNAQFIDDGEEDAVAFVSERGGAAALFICKPGDHRAVPLPAAEGSLFHDRPTVRGGILYFVSAHERPAAPFKSWAAVYAIDMGKKGIVRRLTPEGVVDMSPAVSGSGELVAVASYGDRPWAFDFRALETEVAVFRAGNPARRAVVARRGGWPAWRGETEVFFHRVAEDGWWSVFRVEISPETLEPTGEDGERRVTPPGVHCFTPAAVAGGRFIAVATRRKGRATRHVELFDLETETFAPFTELISPEIHHYNPFFSASGDRVGYHRFRGGGADGDSIVPHLQPVRSPVSSLSLLRVNGTFPSFSPDSAYLAINGDFFKTPGVSILRSDGGKRWVLTRQPNLFYTAWSPTENGVVFTSMGPIFETPKATVRIARLEFDVGDLVLGCDEVGATKMKVLTRPEAGNDAFPAVSPCGKWIVFRSGRSGYKNLYIVDASRGENNDGEGKVRRLTDGEWIDTMPSWSPDGSMIVFSSNRHDPTNPTVFSIYLVHPDGTGLHRVYVAGPAGSPEANKERINHVCFSPDSKWLLFTANFGSIMAEPISGPNQFQPYGDLYICRLDGSGLVRLTCNAYENGTPAWGPAGFGPGLESLSLGPPAGEESLGEFDEPLWLTCDV >LPERR07G20650.1 pep chromosome:Lperr_V1.4:7:19860113:19860835:-1 gene:LPERR07G20650 transcript:LPERR07G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVKGTGRELAGGILLVGVDHVELPDGFTVRVSHDRASMSLIHSLCDCTSSQEIPSSFTPLFSNSPAYPAIAASSVVHTGGSESEEADVSGGRGSKRQRIM >LPERR07G20660.1 pep chromosome:Lperr_V1.4:7:19860243:19861698:1 gene:LPERR07G20660 transcript:LPERR07G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTIPNLELDSTHGKIRLHDFVAGVGEHGLVIIFSHPGDYTPVCTTELAAMAGYAGEFEKRGVKLLGISCDDVQSHKEWIKDIEAYKPGNRVTYPIMADPDRKAIRELNMVDPDEKDSSGQLPSRTLHIVGHDKQVKLSFLYPSCVGRNMDEVVRAVDALQTAAKHTVATPANWKPGECVVIPPGVSDEEAKKKYPNGFQTADLPSHKGYLRFTKV >LPERR07G20670.1 pep chromosome:Lperr_V1.4:7:19862450:19863954:1 gene:LPERR07G20670 transcript:LPERR07G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPNLELDTTHGTIRLHEFVGDAYTIIFSHPADFTPVCTTELSEMASYTSEFEKRGVKLLGFSCDDVESHKEWIKDIEAYKPGRHVTFPIVADPDRTAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACAGRNIAEVLRATDALMTAANWVPGDRVVIPPGVSDEKAKERFPSGFETAQLPSGKCYLRFADVE >LPERR07G20680.1 pep chromosome:Lperr_V1.4:7:19869319:19869522:-1 gene:LPERR07G20680 transcript:LPERR07G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTLDNACVLQERGEPLYSAHVRCRMLASPKTSAVTRDPTWHHGSCGRRSSRWAMVKMGSIVTLTS >LPERR07G20690.1 pep chromosome:Lperr_V1.4:7:19871830:19872479:-1 gene:LPERR07G20690 transcript:LPERR07G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATSHQLCCYQFPLKAYTVTIGHLLWLLLHRYRPLHSFSGRLTSNSSNMDTTLKGEFDVRMHRQV >LPERR07G20700.1 pep chromosome:Lperr_V1.4:7:19876087:19876724:-1 gene:LPERR07G20700 transcript:LPERR07G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTGKAIPSLPPFAGVDQLKNIFATKGLDAIDVATLSGTHSVIIIAHTVPSSPTASPLTHLMT >LPERR07G20710.1 pep chromosome:Lperr_V1.4:7:19879680:19879901:-1 gene:LPERR07G20710 transcript:LPERR07G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFIRAGNSMPKTTRAAGDENSTLLLLRCESQRQSCSAERRARRRLLLLPRAGRHRRLLELEIQPRCCCPI >LPERR07G20730.1 pep chromosome:Lperr_V1.4:7:19885776:19894389:-1 gene:LPERR07G20730 transcript:LPERR07G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVFILLALLGSVTCQTGGYGDVYGGESSTPPTSYPQPSYTGLRVGYYDRKCPGAEDIVRKIVSYKDAGVKAGLVRLFFHDCFVQGCDASVLLDPTLANPEPEKTGIPNLSLRGYDAIDEAKAAIEKICPGVVSCADIVAFAGRDASYFLSSRAIDFAMPAGRLDGRVSLKNETLPNLPPPFAGVDRLKQMFAAKGLDTVDMVALSGAHSIGRSQCSSFSDRMSPTGAADDMDANLAADLGSKCATPSNTVAQDAVTPDKLDNQYYKNVMSRRVLFKSDAALMSAPDTMALVRASAYFPEWWNAKFAEGMVKMGNVGVKSGADGEIRKQCRLVNSMAKLAVLLTMLALVGSVTCQGYTGNATPPSPRTYPPPSSSPPSPSPRAYPPPSSTPPSPSPPTTYPPPSATPPSPVPSGPSPPVPGLQVGYYSQSCPKAEQIVKDIVKNAVYANPGIGAGLVRLFFHDCFVQGCDASVLLDPTPTNQQPEKLGIPNFPSLRGFEVIDAAKAELEKACPGIVSCADVVAFAGRDAAYYLSDNGAIDFAMPAGRRDGRVSLASETLPNLPSPFASLDQLKSSFAAKGLDVGDMVTLSGAHSIGIAHCSSFSGRLTSNSSDMDATLKANLTQQCIGKSGDPTVDQDFKTPNKLDNQYYRNVLSRDVLFTSDAALRSSETGFDVFLNVVVPGRWEKRFAAAMVKMGNIGIKDSSNGEIRRNCRVVNHIMGSSMPNKEAIPLETNMQKRQLVTLSGAHSIGVARCTSFSSRLTSNSSNMDTTLKSNLTHECIDKSGNLTVYQDFYDIEQARQPVLLECAQP >LPERR07G20750.1 pep chromosome:Lperr_V1.4:7:19900889:19906709:-1 gene:LPERR07G20750 transcript:LPERR07G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLAAGYCAATGIYRSTHLAAGAAITASSSFPDYILPRMLLPHLTARPAFIDAATGASLTFAGLRRLAILAARKLAAAAGLRRGHVVLLLSPNSIHFPALSLAVLSLGAILSPANPLLTPDELAAQALDSDPFLLLVSGDLSHKLNSIPDVNNRVVVLIEQLLLAAADGEKLDFDVIPAVEEGIGGDEAAMVFYSSGTTGTSKGVVSTHGNVIAAAAALERAWRGGGGGGVSGDGEVYGCVVPMCHMFGFACFVMGTAAMGETAVVVGGRFSPGKTMEAVEAYGVTTLLAVPPMIVKMARAAAASSPATATARRRRRLRHVVSSGAPLQREHVARFRRSFPDVKLGQCYGLTETTGCIIMCDLHHDDDGGDKWTKASPPLETETKDVAAISIGRLMSDMEAKIIDLASGEPLPPHRTGELWVRSPSVMQGYLNNKEATAKTLVAAGADEGGRWLRTGDLCYVDKRGHLYVVDRMKELIKCNAYQVAPAELEDVLAAHPAIDDAAVASYPDEAAGEIPMAYVVKKQGSSHLRKDEVISFVRSKVAPYKRIRKVVFVDSIPRSPSGKILRRQLKNLLQGSIQERSRM >LPERR07G20750.2 pep chromosome:Lperr_V1.4:7:19900889:19906709:-1 gene:LPERR07G20750 transcript:LPERR07G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLAAGYCAATGIYRSTHLAAGAAITASSSFPDYILPRMLLPHLTARPAFIDAATGASLTFAGLRRLAILAARKLAAAAGLRRGHVVLLLSPNSIHFPALSLAVLSLGAILSPANPLLTPDELAAQALDSDPFLLLVSGDLSHKLNSIPDVNNRVVVLIEQLLLAAADGEKLDFDVIPAVEEGIGGDEAAMVFYSSGTTGTSKGVVSTHGNVIAAAAALERAWRGGGGGGVSGDGEVYGCVVPMCHMFGFACFVMGTAAMGETAVVVGGRFSPGKTMEAVEAYGVTTLLAVPPMIVKMARAAAASSPATATARRRRRLRHVVSSGAPLQREHVARFRRSFPDVKLGQAAGEIPMAYVVKKQGSSHLRKDEVISFVRSKVAPYKRIRKVVFVDSIPRSPSGKILRRQLKNLLQGSIQERSRM >LPERR07G20760.1 pep chromosome:Lperr_V1.4:7:19909426:19910996:-1 gene:LPERR07G20760 transcript:LPERR07G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVITVHITGFKKFHGVAENPTEKIVTNLKSFVEKKALPKNLVLGSCTILETAGQGALGTLYKVLESAIAGRENGPSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQCDCFMQRVPIVPSDGSISRTRETTLPITELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >LPERR07G20770.1 pep chromosome:Lperr_V1.4:7:19918317:19921002:1 gene:LPERR07G20770 transcript:LPERR07G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSVFESEFADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKRPELKYSICSILQATEELIADVLGVEVFRQTIAGNILVGSYCTFTNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >LPERR07G20780.1 pep chromosome:Lperr_V1.4:7:19921802:19923922:-1 gene:LPERR07G20780 transcript:LPERR07G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARPIIVRRAFHAAAAAAAPRSLPNFFRSIWGCRSGQNTRRRRSVSGRFHGGKGVQLPRLFLCMTALVGLAGRAASWSRGVKSVSRCGLGLLLLRRGVFRSVRMENGSSQSGRGALIVLEGLDRSGKSSQCAQLLSYLQGKGCEAEGWRFPDRGTSVGQMISAYLANESELDDRTVHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLAIEWCKAPEVGLLAPDLVVYLDVQPEKAAERGGYGVERYEKIEFQKKVGEHYHSLHDSTWKVIDASLPMEVVEEQLRELATSCILECQGKQLANLTW >LPERR07G20790.1 pep chromosome:Lperr_V1.4:7:19924068:19930332:1 gene:LPERR07G20790 transcript:LPERR07G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPESELPTKQELLGEEYEEDEDEEDGEEGWDDWESDGDDAAGGGGGGGGGGLLCLFCSERFGSESSLFSHCASEHKFDFYGVVKETTGMDFYGCIKFINFVRSKVAENKCWSCGQVFSSNSELCGHLHASEIPQPEGKVPWGDDLYLKPFMEDDSLLHSLSIFDDDDEEESCGMPVERGECSTGNGTLAETCESNLKSIIDDDSDVVGKFEKACTVESKDGECSGSLAQDPSDKQLKIARASAAARGIKSVDDSYFGSYSSFGIHREMLGDKVRTEAYRDALLCNPSLMNGATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVSVATQVAKNNGFLYDENMEMEQKRDTQVISVVHTKAEELNHKIQVPSNRFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYDFDMSCIGKEVTGNSAKFPVVDILASEDIVTETAVLHSFDLATMKENEMDFTSSFELRLSESGSSQSGVTWCYGIVLWFDTGFTSRFCKERPVNLTTSPFSTPTHWSQTIFTFEEPIAMTKEGCVVGSSESVGTDDCPAVMIRSRISIVRASEHRSIDISIETTGISSDGRKRSWPVQIFNL >LPERR07G20800.1 pep chromosome:Lperr_V1.4:7:19930523:19946242:1 gene:LPERR07G20800 transcript:LPERR07G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20800.2 pep chromosome:Lperr_V1.4:7:19930523:19946242:1 gene:LPERR07G20800 transcript:LPERR07G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20800.3 pep chromosome:Lperr_V1.4:7:19930523:19946242:1 gene:LPERR07G20800 transcript:LPERR07G20800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20800.4 pep chromosome:Lperr_V1.4:7:19930523:19945179:1 gene:LPERR07G20800 transcript:LPERR07G20800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMPRRGEDVGARGIYQFCLDLMSLASSISLDRRLTALLQVCEWQPW >LPERR07G20800.5 pep chromosome:Lperr_V1.4:7:19930523:19941522:1 gene:LPERR07G20800 transcript:LPERR07G20800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20800.6 pep chromosome:Lperr_V1.4:7:19930523:19941522:1 gene:LPERR07G20800 transcript:LPERR07G20800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMPRRGEDVGARGIYQFCLDLMSLASSISLDRRLTALLQGKRLEGANANAMA >LPERR07G20800.7 pep chromosome:Lperr_V1.4:7:19930523:19941522:1 gene:LPERR07G20800 transcript:LPERR07G20800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20800.8 pep chromosome:Lperr_V1.4:7:19930523:19939380:1 gene:LPERR07G20800 transcript:LPERR07G20800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIVVASEIVNFDSELAHYKTQGGVYRDPSDDGHIFSPTIMWVEAFELLLEKLKPKISFSKVVAVSGSGQQHGSVYWKKGSHAVLSSLDPSKTLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERYTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTALEATAPGLEEKLGKLAPAYAVAGRISPYFVERLQFEKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNGYLEKTPPLNDGKLGFYYRDHEILPPLPVGFHRYTVENFNDATSNNLVEREVEEFDPPSEVRAIVEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYKGNLEKTSLGAKLAVAAGEGVEDKELLQKYTVLMRKRMEIEKRLVEKIGRAQAHGLLDFSSSSLSSCSPPRNLARALLFAGDLLRSNCGDLLRSQLLHYHLSAAKELSRAQVDCVATAATHNVNAYGQKEEGLTRRGRKVCISPPSRTQQLKDPKQKKPAVSASYHFENPVPEKESKLMKENMDEIYKKSARERVRGSIVQTESSVTSSTYSSGSSLSYSSSESEDKKQKHKRKQKKRRHQRDNSTSSTSFASDSASHTDSNGKCGRKMSLKNWKNTMWMPNMMFLLLSWSSWRHKSHLFAQRNDIIGELMVASHSQDERWSA >LPERR07G20810.1 pep chromosome:Lperr_V1.4:7:19949055:19951731:1 gene:LPERR07G20810 transcript:LPERR07G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVSMETMSPGGGGAAAAAKAVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADKWEFANEFFRKGAKHLLADIHRRKSSQPPPPPPAMPMPMTHQPYHHHLHHHHINPFSPPSLPAPAPYHGHYQDEPRGVAGDGGEGGVDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTSNNSPSPPTMMMPPHAGGASCRLMELDSPDDDDHSPKTEDGGGETVKLFGVALLHGGGGGRKKKKRAAAQRDDGDELHDEESEV >LPERR07G20820.1 pep chromosome:Lperr_V1.4:7:19952890:19956885:-1 gene:LPERR07G20820 transcript:LPERR07G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYKITAGSGGRRALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRSGGDKRESSRRYLYWGGAVDCPGKHCGSCAGLGHQESSLRCALEEAMFLDRIFVMPSRMCLNSVHNTKEILNKGNATSDHSGRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEKGDVAHAQGVSRHELKANPLYSNALLINRTASPLAWFMECKDRTKRSSVMLPYNFLPTMAARKLRDAANKMKAILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTQPEYIKRRIARWIPRGRTLYIASNERTPGFFSPLSDRYKLAYSSNFSSILEPIIENNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWQEPVYTDD >LPERR07G20830.1 pep chromosome:Lperr_V1.4:7:19958984:19964886:-1 gene:LPERR07G20830 transcript:LPERR07G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPKQIPEPDEAAVAGDVAGAASRPPPAAAAVKPGTPKQPKFPFYLPSPLPPSSYKSSPAANSSSAATTPGRGGFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGGEAAPEVGLDKSFGFSKHFFAKYELAEEVGRGHFGYTCSAKAKKGELKGHDVAVKVIPKSKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSSLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKSALMKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGGCRLLQQSAVTQLSECKNTGSKALDLGSPYGKANPVNSDEESGRVGPTDSQKRDPTLPLFGSSY >LPERR07G20830.2 pep chromosome:Lperr_V1.4:7:19958984:19964886:-1 gene:LPERR07G20830 transcript:LPERR07G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPKQIPEPDEAAVAGDVAGAASRPPPAAAAVKPGTPKQPKFPFYLPSPLPPSSYKSSPAANSSSAATTPGRGGFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGGEAAPEVGLDKSFGFSKHFFAKYELAEEVGRGHFGYTCSAKAKKGELKGHDVAVKVIPKSKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSSLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKSALMKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGGCRLLQQSAVTQLSECKNTGSKALDLGSPYGKANPVNSDEESGRVGPTDSQKRDPTLPLFGSSY >LPERR07G20830.3 pep chromosome:Lperr_V1.4:7:19958984:19964886:-1 gene:LPERR07G20830 transcript:LPERR07G20830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPKQIPEPDEAAVAGDVAGAASRPPPAAAAVKPGTPKQPKFPFYLPSPLPPSSYKSSPAANSSSAATTPGRGGFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGGEAAPEVGLDKSFGFSKHFFAKYELAEEVGRGHFGYTCSAKAKKGELKGHDVAVKVIPKSKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSSLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALMKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGGCRLLQQSAVTQLSECKNTGSKALDLGSPYGKANPVNSDEESGRVGPTDSQKRDPTLPLFGSSY >LPERR07G20840.1 pep chromosome:Lperr_V1.4:7:19969710:19972382:-1 gene:LPERR07G20840 transcript:LPERR07G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGGCIPATYCQNGPKPPEYIYSCAGIKKIPIWPRYSDSQAIGRSTPEQAAAGGWPAAGQAVQHHSRHETKQVNGNEFLVVVTGD >LPERR07G20850.1 pep chromosome:Lperr_V1.4:7:19972430:19976149:-1 gene:LPERR07G20850 transcript:LPERR07G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGGRERREEEEDDVVCLDPSFFVDRSYEMTTFTFGSQVLRLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVNDHSIIELGSGVVLTAEKLEWGNYAHISNIIEKHPESFQQSSISCLFDTVERLLHIQAVLKEAEKHGMHVKEVNGTRTTISNLEGVIYDITLK >LPERR07G20860.1 pep chromosome:Lperr_V1.4:7:19976472:19980880:1 gene:LPERR07G20860 transcript:LPERR07G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHPFWEIRECARISWKLQAVAIVNYYYIMLQMLYHIRRPSHVTDTVGRCETNDTVRDSAVMFRNCFSTSPHFFLTSLAEQYTGSHAIFHVSYCEKAYMSSIAMSTKQVLK >LPERR07G20870.1 pep chromosome:Lperr_V1.4:7:19978720:19981784:-1 gene:LPERR07G20870 transcript:LPERR07G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREFRRMLDLFPVVRSRDYCAESVASSKGTTQQSRAQEATEENKKEPSDQFMRKLKMAAEKKVYKELNLDAAQRFLNAYEKRP >LPERR07G20880.1 pep chromosome:Lperr_V1.4:7:19985665:19987713:-1 gene:LPERR07G20880 transcript:LPERR07G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRVAVLVAAALILSAASASPAANGTTTRCYSHLFTFGDSLIDTGNFIHYSTSPGPVAHSPYGVTFFHRPTGRWSDGRLIVDFIVERLRFPYWTPYLAGKSKEDFNYGANFAVASGTAVNQLLFKKRHLSITPITPYSLAVQIGWFKKVLAIIAPTDQERKEVMSRSIFMVGEFGGNDYLQPLFQNKTLDWVRPLVPRVVRYVTGAIESLINLGATTVYVPGLFPLGCVPRLLFLFRNGGAGEHDPTTGCLRGLNDGLTIIHNNLLRQSIAELREKHPGVTIVYADYYNEVMELVKSPVVNGFDAGEVLTACCAGGGPYNGNFTLHCSDPGVTPCADPSRRISWDGLHMTEAVYRIMARGLLDGPFADPPIMSRCHGY >LPERR07G20890.1 pep chromosome:Lperr_V1.4:7:19989562:19992517:1 gene:LPERR07G20890 transcript:LPERR07G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTGPKSGDALFASVDRVNAELFTLTYGAMVRQLMTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >LPERR07G20890.2 pep chromosome:Lperr_V1.4:7:19989562:19992626:1 gene:LPERR07G20890 transcript:LPERR07G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTGPKSGDALFASVDRVNAELFTLTYGAMVRQLMTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >LPERR07G20900.1 pep chromosome:Lperr_V1.4:7:19993874:19997662:1 gene:LPERR07G20900 transcript:LPERR07G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRRIGAGGEATAGADDPYLLGFILAKAKGLNHYNGRYSITGRENVTLIRDPHNGFDPNAIRIHTSRGAQLGFVDAPTAAVLAPLLDGGHLAAAHGIIPKPAFTGAARGAYQLPCQVHLFARPADAALVAAAVDAAGIDLVHVDHPEFGLSESAIVREQTKKRPRGDVDRLFCNLGKEGKAAQAAAVDAPEDVVVAELFEHQKAALGWLVNREESSDLPPFWEEDKDGGFGNVLTNQRVDERPPPLRGGIFADDMGLGKTLTLLSLIARSKARNVGGKKGRGAKRRKVEDAEGSRTTLVVCPPSVFSSWVTQLEEHTIAGSLKMYMYHGERTKYKDLLLKHDIVITTYSTLGQEFEQEGSPVMGIEWFRVILDEAHVIKNSAARQTKAVIALKAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKNYWQKLIQRPLEAKNNKTGLARLQSLLGAISLRRTKETEIGSKSLVDIPPKTVLACRIELSAEEREYYDQMELAGKNKLKEFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDMKSWLPDSSLEDVSKNPELLKKLASLVDDGDDFECPICLSPPAKTVITSCTHIYCQTCITKILKSSSSRCPICRRPLSKEDLFIAPEVKHVDEDLSDKLDRPLSSKVQALLKLLRRSQAEDPLSKSVVFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIKQFSRVGPDAPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVLRLIVKDSIEERMLELQDKKRELISGAFSRKKGSKECKEIRVEELEMMMGLKGK >LPERR07G20910.1 pep chromosome:Lperr_V1.4:7:20005867:20008041:-1 gene:LPERR07G20910 transcript:LPERR07G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIAVAASDHLAALRSRRDAIAERLYTAHLSEAELLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHSSKQIRHLVRLLIEGWKRIVDEWMSSRDTIVDHTPQSMHPSSLEQDERGLSSPSLYDGSLLATPSTSIRLSEENQGSKFFDGMDDDGNMRNNGDRDNGRLYTRNQEPARRPLPPMAQQFDPDQSWKQEQSATRQSRPQELSNGQTREQFIATMLARPSNPESGPGRPQPRPKQDASQAQGRPQSMPSDKPVSHQDANSVRAKLELAKNAKLEKNSKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQDLPKQGNRNWQPNGKPRNNSNFNNNRNWSR >LPERR07G20920.1 pep chromosome:Lperr_V1.4:7:20019152:20025714:1 gene:LPERR07G20920 transcript:LPERR07G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTQNDVLWSGPLCPANFIRNKFPTYRKSLNGIVIKLADDPEIPSLKEIAAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSDEVKWRQAVLLERDILLKNLKNVLESLRSRVAGKHKDEIEDSLSMVDVLSVQLSKREDELLQQKAEVAKIATSLKLASEDAKRIVEEERSNARIEIDSARAAVQKVQQLIKEEEIDPQINGKQEEDELKEKAQEARRVKMLHCPSRAMDIENEIEVLREQLTEKSSNCVYLLKELQLHRRYKENDVSSYELEGLESLGSMLRIVSQSDGFVDISKSTIQWFRVQPEANKKEIISGAIKQSYAPEPHDVGRYLQAEINCCGEMSVVKTAGPVDPAAGLVDYVETLVKNPETEYNVVVLQINGIKQPNDSIHVLCVGKLRMRLSKGKTIIAKEFYSSSMQLCGMRGGGDAAPQAMYWQPRRDLSLVLGFETTRERNSAIMLARRFAIDCNIILAGPGDKTHW >LPERR07G20920.2 pep chromosome:Lperr_V1.4:7:20019096:20025714:1 gene:LPERR07G20920 transcript:LPERR07G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTQNDVLWSGPLCPANFIRNKFPTYRKSLNGIVIKLADDPEIPSLKEIAAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSDEVKWRQAVLLERDILLKNLKNVLESLRSRVAGKHKDEIEDSLSMVDVLSVQLSKREDELLQQKAEVAKIATSLKLASEDAKRIVEEERSNARIEIDSARAAVQKVQQLIKEEEIDPQINGKQEEDELKEKAQEARRVKMLHCPSRAMDIENEIEVLREQLTEKSSNCVYLLKELQLHRRYKENDVSSYELEGLESLGSMLRIVSQSDGFVDISKSTIQWFRVQPEANKKEIISGAIKQSYAPEPHDVGRYLQAEINCCGEMSVVKTAGPVDPAAGLVDYVETLVKNPETEYNVVVLQINGIKQPNDSIHVLCVGKLRMRLSKGKTIIAKEFYSSSMQLCGMRGGGDAAPQAMYWQPRRDLSLVLGFETTRERNSAIMLARRFAIDCNIILAGPGDKTHW >LPERR07G20920.3 pep chromosome:Lperr_V1.4:7:20019096:20025714:1 gene:LPERR07G20920 transcript:LPERR07G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCPSRAMDIENEIEVLREQLTEKSSNCVYLLKELQLHRRYKENDVSSYELEGLESLGSMLRIVSQSDGFVDISKSTIQWFRVQPEANKKEIISGAIKQSYAPEPHDVGRYLQAEINCCGEMSVVKTAGPVDPAAGLVDYVETLVKNPETEYNVVVLQINGIKQPNDSIHVLCVGKLRMRLSKGKTIIAKEFYSSSMQLCGMRGGGDAAPQAMYWQPRRDLSLVLGFETTRERNSAIMLARRFAIDCNIILAGPGDKTHW >LPERR07G20920.4 pep chromosome:Lperr_V1.4:7:20019096:20025714:1 gene:LPERR07G20920 transcript:LPERR07G20920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTQNDVLWSGPLCPANFIRNKFPTYRKSLNGIVIKLADDPEIPSLKEIAAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSDEVKWRQAVLLERDILLKNLKNVLESLRSRVAGKHKDEIEDSLSMVDVLSVQLSKREDELLQQKAEVAKIATSLKLASEDAKRIVEEERSNARIEIDSARAAVQKVQQLIKEEEIDPQINGKQVGGI >LPERR07G20920.5 pep chromosome:Lperr_V1.4:7:20019096:20023575:1 gene:LPERR07G20920 transcript:LPERR07G20920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTQNDVLWSGPLCPANFIRNKFPTYRKSLNGIVIKLADDPEIPSLKEIAAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSDEVKWRQAVLLERDILLKNLKNVLESLRSRVAGKHKDEIEDSLSMVDVLSVQLSKREDELLQQKAEVAKIATSLKLASEDAKRIVEEERSNARIEIDSARAAVQKVQQLIKEEEIDPQINGKQEEDELKEKAQEARRVKMLHCPSRAMDIENEIEVLREQLTEKSSNCVYLLKEVWLIHAGLAI >LPERR07G20930.1 pep chromosome:Lperr_V1.4:7:20027934:20032567:1 gene:LPERR07G20930 transcript:LPERR07G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIKSKTKKSKSTKMSSKKSGGASSSSAAPPAPSVPAKVWQPGVDELEEGEELQFDPEAYNYLQGFNIGWPCLSFDVVHDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPVPNSAIDADDDMDGESSSDEEDEAANEDTMPLKKVAHAGCVNRIRSMTQEPHICATWGDTGHVQVWNFSSFLNTLAESGAVAHNEDDKIHNHVPVKIFGGHKDEGYAIDWSPLVIAISVSTCGNQLQTVGMLIQIHLLDTQQWSPTEADIFASCSADGSISIWDIRTGKKPCISIKAHKADVNVISWNRQASCMLASGCDDGSFTIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRAKLREQPNTPEDLPPQLLFVHQGQKDLKELHWHPQIPGMIVSTAADGFNMLMPSNIDTTIQ >LPERR07G20940.1 pep chromosome:Lperr_V1.4:7:20034975:20036009:1 gene:LPERR07G20940 transcript:LPERR07G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTSRRRRRRRSPPLPCTVRVQAAAFSLGHRPDGSVRRLLFSLADIHVSANRRRRPDAAGVRSVDVTIDANRCLWARVFSPPATTGSTAKLPVIVFFHGGGFVLFSAASCYYDRLCRRICRVVNAVVVSVNYRLSPEHKFPSPYDDAVAALRFLAACNNAGEIPGVAAAVDLSTTFLAGDSAGGNIAHHVANRWSSPSLRFAGVMLIQPFFGGEERTEEEIELDKVAITLTMARTDYYWRQFLPDGATRDHAAAHVAGAGELTAAAEAFPPAMVVIGGFDLLKGWQARYVAALRREGKKVRVVEFPDAIHGFFLFPELADSGDLVEEMKVFVRKHSANRGH >LPERR07G20950.1 pep chromosome:Lperr_V1.4:7:20037622:20040417:-1 gene:LPERR07G20950 transcript:LPERR07G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYNQEQQDWVKAELAAHGYVECPALTTAAARRRCRGRCAVHRSDGSVCRLLSYLGDLHMSSASILSMSPSTPTAGLWVQCILPTLPATTGSTAAENLPIVVYFHGDGFVVFSVVPRSAAVVVSVNYRLAPEHKFLIAYVMSVSCISSNPVQHPPTRHMAGADVTRRRSPPSLPWKVRLQFAALSAAHRSDGSIRRLFCYLGDLHSAASPRPDAAGVRSVDVTIDSDRRLWARVFSPPAKTGSTAESKLPVVVYFHGGGFVLFSAASRPYDALCRRICREVNAVVVSVNYRLSPEHKFPAAYDDGEAVLRYLAVTGLPADVTADLTRVFLAGDSAGGNIAHHVATRWSSSSPSPSLRLAGVVLIQPFFGGEERTEEEIELDTASPSLTLARTDYYWREFLPDGATRDHEAARVVASDAGDVAAAEGFPPAMVVIGGFDLLKGWQARYAAALRREGKTVRVVEFSDAIHGFYALPELADSGKLMEEMKVFVQEHSSKLMG >LPERR07G20960.1 pep chromosome:Lperr_V1.4:7:20040439:20040654:-1 gene:LPERR07G20960 transcript:LPERR07G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEVNTTTGDEADAKTTKKKLRKWRMPQSQIDLILSWSPEPVRPPRYDVKIENLRISDELKEMLRRLEV >LPERR07G20970.1 pep chromosome:Lperr_V1.4:7:20043703:20044812:-1 gene:LPERR07G20970 transcript:LPERR07G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEEQETGEMGQKTSQETGGGGGGKLRRPPPPSLPWTVRLKIAALVTAVDAAQRRDGTMNRFLFSLADRHAAATPRPDSAGVRSADITVDSTTGLWARVFSSSPPSPAASSSPPLPVIVYLHGGGFTMLSAASTLMDSLCRRFCREVNAVIVSVNYRLAPEHKFPAAYDDGEAVLRHLAVNGLPTDIAVPIDLTRVFLAGDSAGGNIAHHVATRWSSSSPSPSLRLAGIMLLQPFFGGEERTESELRLDGIGPVVNMRRADWSWRAFLPEGADRNHPVAHVTGGEITEDFPPAMVVVGGYDPLQDWQRRYAAVLRRKGNNSAAAVEVVEFPEAIHSFYAFPELADSGELVKKMKAFMDSNSPAKPNA >LPERR07G20980.1 pep chromosome:Lperr_V1.4:7:20047633:20048721:1 gene:LPERR07G20980 transcript:LPERR07G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAAADAGESSPSSSLATPLPMGVRLQLFGLTAAIDAVERRDGTVNRALYSLLVDRLMSARADAVPDAAGVRSSDFTIDAARGVWARVFSPEATAAAATPLPVMVYFHGGGFALFSPAVGPFDGVCRRLCREVNAVVVSVNYRLAPEHKFPAAYDDGVDALRFLDACNGRIPIPSLDGGDAVAVDLTSCFLVGESAGGNIVHHVANRWTATCAPTARSLRLAGIIPVQPFFGGEERTPSETSLDGVAPVVSIWRSDFSWKAFLPVGADRDHPAAHVTDENAALAEEGFPPAMVVIGGNDPIKDWQWRYVDVLRRKGKKVEVVEFPGAFHGFYAFPELADAGEVLQDMRVFVQSNRARNAE >LPERR07G20990.1 pep chromosome:Lperr_V1.4:7:20052877:20053497:1 gene:LPERR07G20990 transcript:LPERR07G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRIAPSLIVAGAIVFLISLSSATLAHGGGRRFVRSHDYDSPCKQMKFYFHDILYDYSNSTTNSTSAAATKPTALATAVSSNGTFFGQMVVFDDPMTSSSALPPLLNVTADSVRAQGLYLYDKKEAYNAWFAFSIVFNSTVYGHGTLQLMGADIISQKTRDISVVGGTGDFFMSRGVATLRTDAVEGFVYFRLQMDIKLYECYV >LPERR07G21000.1 pep chromosome:Lperr_V1.4:7:20059135:20060123:1 gene:LPERR07G21000 transcript:LPERR07G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCSTIQYSAAAVTTSIFLLLLASQAAASSKSSSSATQPKTLNSSGDHSPNTGLHRTERIERESCSMENVVVLYQNKAERLPSGIPTYSVEIINICATCTVYDVHVACGEFASTELVDPTQFQRAGFNDCLVKGGGPLGPSKAVSFQYSNSFAYPLAISNVACE >LPERR07G21010.1 pep chromosome:Lperr_V1.4:7:20063124:20064930:1 gene:LPERR07G21010 transcript:LPERR07G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLRLDPVADVPCIAVTQVKQTACCMASAPGFYGESSTSPTQPKADGAGELLWGERGVVVYQNLRSRVAYPDLQRGGHQHLRGLHGVGRPHCLRRVRIHGARRSERSFSALASTTVLSVSFQYSNSFAYPLAIANVACE >LPERR07G21020.1 pep chromosome:Lperr_V1.4:7:20072635:20073164:1 gene:LPERR07G21020 transcript:LPERR07G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLIVAAAAIFRIILSSSAGVDAHGGRISRRLVRSDDVNSPCKQMRLYFHDILYDYSNDTTNSTSAAVTKPTALSTADAVVFDDPVTDENSLPPPSPDHETAVRAQGLYFYDGKEAYKAWLAFSLVFNSTAHGRGTLELMGADPWWVARATSSCRAASPRSALK >LPERR07G21030.1 pep chromosome:Lperr_V1.4:7:20085312:20088808:1 gene:LPERR07G21030 transcript:LPERR07G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLIRNPFHAPHNLYIHRHLPCCRRLHPHQTPPPPPSAPQPPPPSPLAPPLADLPSPCRSAAAATSTSPVCRRLLAGYPSSPSLEATATAKSFAPHRNNVVLTPRPTSSHPSPPAPPSPLSVVFAAPHGVLAAANRSHRHILSSSRRRDSIRTIDLALASPLHHHLCSPPSPPEMANTNRRALRDIKNIIGAPHQHMAVNKRGLLGKPAIVDPKSQGGFAGQRLIMRKFAATLANQPSRAPLYMWIFGSTYGSSKWHPLECTKIISDDLPLPMMSEIDDVMSSELKEIEMEDAEEAAPDIDSCDANNSLSVVEYVDEIYRFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLGCKYQEVSVPVVEDLILICDRAYTRSDILEMLELFSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKS >LPERR07G21030.2 pep chromosome:Lperr_V1.4:7:20085312:20088854:1 gene:LPERR07G21030 transcript:LPERR07G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLIRNPFHAPHNLYIHRHLPCCRRLHPHQTPPPPPSAPQPPPPSPLAPPLADLPSPCRSAAAATSTSPVCRRLLAGYPSSPSLEATATAKSFAPHRNNVVLTPRPTSSHPSPPAPPSPLSVVFAAPHGVLAAANRSHRHILSSSRRRDSIRTIDLALASPLHHHLCSPPSPPEMANTNRRALRDIKNIIGAPHQHMAVNKRGLLGKPAIVDPKSQGGFAGQRLIMRKFAATLANQPSRAPLYMWIFGSTYGSSKWHPLECTKIISDDLPLPMMSEIDDVMSSELKEIEMEDAEEAAPDIDSCDANNSLSVVEYVDEIYRFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLGCKYQEVSVPVVEDLILICDRAYTRSDILEMLELFSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKS >LPERR07G21030.3 pep chromosome:Lperr_V1.4:7:20085469:20088854:1 gene:LPERR07G21030 transcript:LPERR07G21030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNRRALRDIKNIIGAPHQHMAVNKRGLLGKPAIVDPKSQGGFAGQRLIMRKFAATLANQPSRAPLYMWIFGSTYGSSKWHPLECTKIISDDLPLPMMSEIDDVMSSELKEIEMEDAEEAAPDIDSCDANNSLSVVEYVDEIYRFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLGCKYQEVSVPVVEDLILICDRAYTRSDILEMLELFSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKS >LPERR07G21040.1 pep chromosome:Lperr_V1.4:7:20094358:20096572:-1 gene:LPERR07G21040 transcript:LPERR07G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFGRRLLQLQQRSSAAAAAGTRRLLATTAAEAEASSPSPGGSEEAGGGGGDEIYLKKPSAAVTTRDETSVAMPMSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLHSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPADNELTIGVHKRQEEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNATDFELASIDAMAAAAHSLVIPLAIFRGRLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAAVFLLLSWQL >LPERR07G21050.1 pep chromosome:Lperr_V1.4:7:20097896:20101667:1 gene:LPERR07G21050 transcript:LPERR07G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPTFPNLHFPAAPGDDFPDFPALGGDDAAAFAFEDFDLDDLDFDFDVDLLSPPSPDAPPLPVTSSSSAAAAGSPEAGTSFTGDGGGSKHEESAESSIGRNGGDGGSSCKDGGKEGGDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKAMQATIADLTARISCVTAENAALKHQLSGAAGGGVPPVPPMPMYPAVYPLPPPWIHPAYAMRGSQVPLVPIPRLKTQQTAAAAAEPPAKKPRKTKKVAGVSLLGFLFLMMVCGCFVPAVNRMYGGSVDVGEGVVFGGSHHGRILAVEGPQSSVSNGIDPTVPQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSCSSNYGGKSSGNQGKGETSLAIPGYVAPLEAGEIMETKGIRNELIALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSATTADANGIVPVYSESITNTSQNITGNLPSGRVQKVKNRRILYSEAIPLQGSTSNDTDHFKAPPKNQSQSHASRKPVSSVVVSVLADPRDAGDRDGEGRIPSNSLSRIFVVVLIDSVKYVTYSCVLPFKSHSTHL >LPERR07G21060.1 pep chromosome:Lperr_V1.4:7:20103180:20106376:1 gene:LPERR07G21060 transcript:LPERR07G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLACSGQAGETEIGQYSKQKMHPYLLKSSKGAPFPPRPILVFLIALFGFYVCYISFSQITLENRVEKNSGEVQSEILCQKPYLPHEELRYVHFPKPESYSRGECSCNPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGETFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHRDDIASYLNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALSQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVNNKLNGTQYAHFLDSADYVR >LPERR07G21060.2 pep chromosome:Lperr_V1.4:7:20102875:20106043:1 gene:LPERR07G21060 transcript:LPERR07G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLACSGQAGETEIGQYSKQKMHPYLLKSSKGAPFPPRPILVFLIALFGFYVCYISFSQITLENRVEKNSGEVQSEILCQKPYLPHEELRYVHFPKPESYSRGECSCNPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGETFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHRDDIASYLNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALSQVQEFLGVPVRKLD >LPERR07G21060.3 pep chromosome:Lperr_V1.4:7:20102875:20106376:1 gene:LPERR07G21060 transcript:LPERR07G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLACSGQAGETEIGQYSKQKMHPYLLKSSKGAPFPPRPILVFLIALFGFYVCYISFSQITLENRVEKNSGEVQSEILCQKPYLPHEELRYVHFPKPESYSRGECSCNPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGETFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHRDDIASYLNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALSQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVNNKLNGTQYAHFLDSADYVR >LPERR07G21070.1 pep chromosome:Lperr_V1.4:7:20106693:20111235:-1 gene:LPERR07G21070 transcript:LPERR07G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGGPVAVVAAAAAPVLGISPEMYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHSRPHPISHHAYASLNNTFERALATMHKMPRVWVLYLASLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGRLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAAEEGAGSDGEEEAGRKNGMDKQSKKYLEDCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAVPSVEVKRRAAAEGDEPVQMKVHKSLKLWSFYVDLEESLGTLESTRAVYEKILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVRRYQRSKIERARELFDEAVKQAPPQEKKALYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYIHASNYADPTSHPEFWKKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDDAVDTLKRAGVPEDEMAALERQLAPGPSTAPPPPPSTATAPANRMMNFVSAGVQAQAESSSSQKEAAAVNNEDIELPDESDDEEGDDVQIAEKSVPAAVFGELGKRAAEEESSGAQENEQLGALERIKRRRQ >LPERR07G21080.1 pep chromosome:Lperr_V1.4:7:20112984:20114903:-1 gene:LPERR07G21080 transcript:LPERR07G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATAAAESAGDGEDGVFFYDVIVVGAGVMGSCAAYYASSSAAAARVLLLERHDLLHHLGSSHGESRAIRATYPQPYYPPMVRLAWRLWVAAERDAGYRVLTPTPHLDMGPRDDAALLAAIENGGAYEAEAEADRALFGVFRVPEGWTAAMTSEFGGVIRATKAVAMFQSLAAKNGAVVRDRTEVVDIAKQGDGSIVVKTSSGEDFHGAKCIITVGAWASKLVKSVVGVDLPVQPLETLLAYWKAKPGREHELTVEAGFPTFGSYANPIVYGTPSMEFPGLIKVAMHGGSPCDPDRRDWRSDNGNLVEPVARWIDEVMPDHVDTAGGPVIRQSCMYSMTPDEDFIIDFVGGGFGKDVVVGAGFSGHGFKMAPAVGRILAEMAMDGEARTAAEASVELRYFRIGRFEGNLMGNLVENKV >LPERR07G21090.1 pep chromosome:Lperr_V1.4:7:20120791:20127088:1 gene:LPERR07G21090 transcript:LPERR07G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIDSHAPYTLLGALLSGGGASGGGARAFLRDYAQRGTNAMLWASLLAVTWLLVLRLAALLRLRALGSRLPGPPASPLLLSDPGLAAVCRGAGDITGYLSKLHGSYGPVVRLWLGPSQLLVSVKDSRIIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVRSRRESLKLFLDEKLRVGASGSSFKIIQVVLDRIDSIMARDFLDCSSFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCAQLKILTQGIVAKSRNENGVLNLIEQSSCQTSERMIKDPSRGVSLLDGMISSHCLNEAAERPLSSEEEICGNIMGLMLHGTSTCANLIGNILTRLALYPNLQCQLHSEIVACCSGSSELKIDDVLRVKFLLATVCESARLFPAGPLLQRCSLQQDVNLNSGITIPAGAILVVPLHLVQMDTSTWGNDACQFNPNHFLKKDINLEEIVAPAQKSSNGSNFFTDVFDKKESFLPFGSGSRACVGQRFAVLGIAMLIASLLCSYEVQPHPALSKEMESSVDSSSLHHLPNPKIILKKRSI >LPERR07G21110.1 pep chromosome:Lperr_V1.4:7:20138385:20162990:-1 gene:LPERR07G21110 transcript:LPERR07G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPELPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTTPQGTENAEHRLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFPSTVTHFFDNPNASANIAAAVPNQHLDPTADAPGAMTVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPFLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADHIKSHEESICKSIELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGIDQQSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLVLFKEKDEERDILQCFSQMLAIMEPRDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLNLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMQLLRNMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDELVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNTMEAFYRKQALQFIRVCLNSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTGLQDEKDDFVIDLCRHFAMLFHIDSSSSSQSGYVQPIGSSLSSSITMGSRSRNNTSSNLRELDPLIFLDSLVEVLSSENRQHAKAALSALNIFAETLIFLARMKHTGMLRGGPSTPMLVSSPSMNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKYVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADESVWVTKMMNAKLILTWNKLRTACIELLCTAMAWGDLKAPNHTDLRAKIISMFFKSLTCRTSEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDNGELRDQLAKSPQKILASAFSQFYSQTEAAGNQLSTSVKDEGLTGAMTEGFTSQSSSNMATGSDGYFNGLELISTIVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLSQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPTLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPNQIGDMLSPVIGGGGDPKRSSDVPSFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNISHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPPDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFSLFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPELDSSVNSRPTVDPTVISNMKIVLKLISERVMASSEYRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTTKYQLPLRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENVPITLAANSARVPALMTAGPVPDRMIMPQQAADAQENLDGTSLSFDSLTSRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRFLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYSNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKSVDNYEILLDCLWKAPDWAYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGVNSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIEAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLQMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKLHESENANVAYSNAVTLFKHLPKAWISWGNYCDMVFKDSNDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKVAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANSAANNLPEGNARSSNLVGGNMTSDSQVHQATQSGGAVVSHDGGNLQGQESDRSNVEGGTSTGHDQGQPSSTGTEGSQVPLRRNNGLGWVSSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVIDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMMQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQNQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMTNPLDFQQKVINNVEHVINRIRSISPHYLADEEENSTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWIIVELDDMNCDELPDPVTLLQAYRSDSQLLKGINSYRASLKVPALTENKNAACLAEQLAQQFKDQACTNSTGANTVPGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPNVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSTDSGDYSPAPPGSNWSPSVHPFNQLILLLVGIVCFLMM >LPERR07G21110.2 pep chromosome:Lperr_V1.4:7:20138385:20162990:-1 gene:LPERR07G21110 transcript:LPERR07G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPELPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTTPQGTENAEHRLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFPSTVTHFFDNPNASANIAAAVPNQHLDPTADAPGAMTVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPFLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGIDQQSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLVLFKEKDEERDILQCFSQMLAIMEPRDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLNLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMQLLRNMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDELVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNTMEAFYRKQALQFIRVCLNSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTGLQDEKDDFVIDLCRHFAMLFHIDSSSSSQSGYVQPIGSSLSSSITMGSRSRNNTSSNLRELDPLIFLDSLVEVLSSENRQHAKAALSALNIFAETLIFLARMKHTGMLRGGPSTPMLVSSPSMNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKYVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADESVWVTKMMNAKLILTWNKLRTACIELLCTAMAWGDLKAPNHTDLRAKIISMFFKSLTCRTSEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDNGELRDQLAKSPQKILASAFSQFYSQTEAAGNQLSTSVKDEGLTGAMTEGFTSQSSSNMATGSDGYFNGLELISTIVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLSQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPTLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPNQIGDMLSPVIGGGGDPKRSSDVPSFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNISHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPPDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFSLFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPELDSSVNSRPTVDPTVISNMKIVLKLISERVMASSEYRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTTKYQLPLRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENVPITLAANSARVPALMTAGPVPDRMIMPQQAADAQENLDGTSLSFDSLTSRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRFLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYSNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKSVDNYEILLDCLWKAPDWAYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGVNSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIEAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLQMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKLHESENANVAYSNAVTLFKHLPKAWISWGNYCDMVFKDSNDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKVAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANSAANNLPEGNARSSNLVGGNMTSDSQVHQATQSGGAVVSHDGGNLQGQESDRSNVEGGTSTGHDQGQPSSTGTEGSQVPLRRNNGLGWVSSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVIDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMMQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQNQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMTNPLDFQQKVINNVEHVINRIRSISPHYLADEEENSTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWIIVELDDMNCDELPDPVTLLQAYRSDSQLLKGINSYRASLKVPALTENKNAACLAEQLAQQFKDQACTNSTGANTVPGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPNVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSTDSGDYSPAPPGSNWSPSVHPFNQLILLLVGIVCFLMM >LPERR07G21120.1 pep chromosome:Lperr_V1.4:7:20166376:20166918:1 gene:LPERR07G21120 transcript:LPERR07G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSIGDVLKLLPTATVILYQVLTPIVTNTGDCHLANKIITPVLLVICAFFCAFSAFTDSFVGADGKVKYGLVTARGLAPFGGTDAADAGMDFSKYRLRFGDFVHGFFSVTVFAAVALLADANTVSCFYPSLKSQQKQLVMALPIVVGALASVVFVVFPSTRHGIGYPPAQPATASLASQ >LPERR07G21130.1 pep chromosome:Lperr_V1.4:7:20172927:20174357:-1 gene:LPERR07G21130 transcript:LPERR07G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSVMVVVVADSTPPPLLDILAMGLYFYRLRITSATSEKLALGKWTVLLKSHTEATAAPHHNLVIGTLWLCESCLSYALYYIIQARLVRVFPSTYLMTTLTCLLGSLQSFIVGVFVVRERMEWRLRWDLLLLNIVYSTMSELGSIHPNILCVAYDDRGMFNTGIVFLLLTWVIGRSGPRTNIYLGRALCGPLGQRQGAKASCFNDSCIGAMR >LPERR07G21140.1 pep chromosome:Lperr_V1.4:7:20177377:20185377:1 gene:LPERR07G21140 transcript:LPERR07G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDADRVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAAALAYCHKKQVIHRDIKPENLLLDNEGRLKIADFGWAVQSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTIGILCYEFLYGSPPFEAAEQDDTLRRIIKVDLSFPTTPYVSADAKDLISKVTGCSVEWRYLAFCRKRCLPVALTSCSVITLLICIECLYQVKLGTPIPLPDLYPPIRERRRSRAEAEMALRRALLRSAEISPDRKVALDYLHSLSRAQPTRSLTGAGLYPAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >LPERR07G21150.1 pep chromosome:Lperr_V1.4:7:20185708:20189841:-1 gene:LPERR07G21150 transcript:LPERR07G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMAPAISEETYSTRRNTTTTTRKPKGILKAQATLSRSSSKARVSINIQDNEMSKKTTSISVARKGRSVEEVLREVGADIIGLQNVRAEEGMGMRPLSSLAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKSLRLADPSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILAGGLNALDGTDYSDERWTDIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYIMASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDMKGIDEESCNQRQRVVKINKKSSRKGLWVAK >LPERR07G21160.1 pep chromosome:Lperr_V1.4:7:20200582:20203024:1 gene:LPERR07G21160 transcript:LPERR07G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPAKMNDEDRVSMLPDDILLFILGKVDITSAVKTSVLSTRWKHLLWLLRKFTIDVKDFLPVPQPKPIGVEHMDEAMASQTKAITSFLSIPRDEFAIDRLQLKLYLIDNYYNYSCVIGPLVSQAIDNGILKDIDITILDEEEITDCTDKYMLQQACAVNNFFNAYPGVLNCLTRLSLYNVCFAQWDLRHCLFECCNQLRYLSLSNCDVGKNAILRINAPNSISVFLNSVYAALGDLKCFAFQNWSDSVGTLGFVLMPRCHLVLSHVLRNTNIHTLKLDFQGEKIWEHACDEDREPCIFGDRLNPAWKVHEDTSFSNSLLKELQIVAFRPLKQQLEFIRARAPNLCAVILKYDDPCEYCESLGIFPSRSSTECVFPNNKDEQNRVISLLKEGLRSPAEIFFG >LPERR07G21170.1 pep chromosome:Lperr_V1.4:7:20204683:20210791:-1 gene:LPERR07G21170 transcript:LPERR07G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAIQRFSVIPPATGASATAARLDARLLRPEHLTSPAPIDDAPTFSFHDHGGGISRIIASLVEVVVVIVAHTRRSFFTDHDVSQSTRPSFFPENIHSTITKGFEKIDNRSTISSAIIAGDEKNYKDDCKAAPEGLYVKYDVLHNGCNEENKRSKTDEHKRGFFVSDDEGIDDEECTKDETVLPDSTHHNGSMYNMDIWWKKQYHIEDRNETQLEAMALSDPTNCITQGFCTHHHIALMQIVSLKLVKIPVDCSSVELYGYIAVRDDLDTLLNYIGSLINMTGPKRAIEMLDLRLIEYDMRIKTGNKKKMIYS >LPERR07G21170.2 pep chromosome:Lperr_V1.4:7:20204097:20204679:-1 gene:LPERR07G21170 transcript:LPERR07G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQFYVMVADMKQYLQRTSLAIMSKEIQLFDGFINESRGLKRSVVAVQMGSSIDLKFKVGPMPSSLHFSCSFKEKVHGYDTQKIKTAFALISVKIRLS >LPERR07G21180.1 pep chromosome:Lperr_V1.4:7:20213400:20227970:1 gene:LPERR07G21180 transcript:LPERR07G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISSPSRGQLHHQYAALCVLLSPQGAGPHLLYQVSVLMLLQTMIMVWDKEDPLFENGSAPIENMRTQPSEFGKTARSPPSNITSKFRPPSGFQDYHPVQAADPLKNKPNVTPPMFGNHNLHDVRAASSPALNNNRVPVSGRLRPALGVGASPPVLGNVSQYDKSVQSVMAQQEMSGHMRPGQQRFPAPFQSRSLDHNISKRSRSPTLSHQDADGAEAHQDAGANTRRLIDYTDPLFDDGMVETSKRMKSPSLEFTSLIKSPSSDIRDNTRPSPAGLRSNNAAQNLRSRADIQTASSSVPKVGNQVQSRIGDARSPPYQIDTYSNEHNTAAVSPPKPSILGASKRIGTPVMDFTDDDNMLPSTESEREKQAKAKRLARFSVELSRPVDNVDDFAKTHKDSANKHKQASSMGKVPTESNDDIDEKSMADADSPGLAVIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNQTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHRYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDSISMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNSQGIPISQAVEWLAMEDEDIESLVEYHGFGLRQYEEPYLVKEGPFLNSETDFPSGCSQLVHLKKSQRIINDVSSGPVFAPTSQKETVAPYFGGFASPTRTRVQVQPQPSLLPKRDFGLAFSGPVSPTGRQITSLYSGPFSPKAGNKQFSLPSSSPMSPTSGKKESVPVLSSIPSPLTSKHIFSHAPWIDEQRVASPKAVSSTKVADDFVPEDQDGGLVGFPLGQPDAPWTQDNIQQDNPLEETIFSRPLADVMSLDYSNIHREENEFRPDGSSIDADMDEESPSYRDVNLVQAGSLVCSHLSDSDHEEYDNRAADNVLPVVVTPKENISSERLKIILRKWRQRAMDKRLLREQKNALAVAALSSLSLGPPVHQTAVVPVHAVHDLDIGHAFKERQSREQQSSLPVNVSELAGPILTERNPDARCICWKLLVLLPPGTMEFKTSNYASKWLLKKLMGSGNEDDGLVFSSAGLSIWTKWNSSLKACCLSIARANDQQVIGNDIVNGTNCIIFLVSETIPWEIQKARFGSLLASIPAKSNLPLLILSTDTYDEEYDYASQNVIGRLGLNCLSEEKIASCLVVFLVADNTKGYANGFFDDEKLRGGLKWLTKNLPLQPDVTLVKTRELLLNYLNPSIALLNSPVAPEVYPEQCISVFNKSVNQLAEEISAAAYTASSQWPAPEINLLERSSSERIFAEMFLPSIGWSSPSRIQPLLATIKSCKLPGFSYDLSWLNQGSYMGSQIQDQKLYLEECLTKYLTQSTHLLNETQAATEVKVMVQKGVGLELRGSHYHLVPKWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPAADSLPNEGTQQDLSANSNTDKVAILEDHSMTPSVSSGLPLDEIIEISCNLDAVSAPPAQPLPPQLPTQILHEPQPPLETNGGVNGVLGGADGDDTPMPRRLELKDLVSMERDDRLAKLLEQCSKLQDRIDNTLSIYF >LPERR07G21190.1 pep chromosome:Lperr_V1.4:7:20224343:20228356:-1 gene:LPERR07G21190 transcript:LPERR07G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCLPRRSSRARGGAVVPRSGRSQRARRRAALVPGGGRGGATLGRAAVPGAGLVLEYATLTVAGLYPDSPGRESQDAHLVATRFAGRPDLHLFAVFDGHGACGGACAAFARDALPRLLVEEGEGERLVEDPAAAFREAMLAANAEMHAAAGVDDSMSGTTAVAALVAGGAIHVANVGDSRAVAGVWRGGGEGRVVAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEISPAHLFFVVASDGVFEFLSSQEVVDMGKHGIAPLISMVSVAQKHLFLQCNTLHRYKDKVAMYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKTNCSSSGTPIALHTLQSDLPVYVPSEVSHLNRDAGTELMSSSSGSPTQERLSCVAPSPTHPLLGVGKTSETPKLKESERAVLQLAEGWHQREGGGVNMDRELLLCDDCGVGFAVSVIRAPVANPLGAVARVFLRAPAD >LPERR07G21200.1 pep chromosome:Lperr_V1.4:7:20232514:20234340:1 gene:LPERR07G21200 transcript:LPERR07G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPDPHCLMQDYGTNQAYVFYGHHDEKFRDVDVEELPESAHVIAELPPQPQSMSLMDVQIWVIKLFRLHPETQDLQIKGFYSDYCPSVLIRGWDDGYWTTYDYLCDKSWASFAKKVRGRKNGMDMFVLYVDCSEIKHYGSLLKAIPGDYSQLETAVLPDQKSMSKFFFPLNCDEMKIKLQKAETHEVTGMNNEDKNVLFEEEAECLRFSQRTNK >LPERR07G21210.1 pep chromosome:Lperr_V1.4:7:20238469:20239584:1 gene:LPERR07G21210 transcript:LPERR07G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAIPFWSLSPPSMSVALLLALLLTIGGIGAADAIRVDVIRLPSSPPFPAFREAPAFRNGDGCPNSPESRVHIAMTLDANYLRGTIAAVFSILQHTACPESVSFHFLLSSSAAGAGDESGDLVAAIRATFPYLDPISVYRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPAAVRRVIYLDSDVIVVDDVINLWSVGAGLAATNHVVAAPEYCHANFTKYFTDSFWSDPDLAANFAGRRAPCYFNTGVMVMDVERWRIGGYTRRVEEWMAVQKRKRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCNVDYLWAPYDLYKPAAAVLEE >LPERR07G21220.1 pep chromosome:Lperr_V1.4:7:20241429:20246995:1 gene:LPERR07G21220 transcript:LPERR07G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFKVASRGRPSPQELVRSIKDSLLALDTRTGAKALEDAEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLLVQNMPSLSWEGRKDLAHCWSVLLRQKVDEAYCCVQYIENHVDLLDFLVVCYKNLEIALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLWSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVSYLNIMIVLLKVFVANPNKPRDIIQVLVDNHRELLKLLENLPAGKGEDEQLDEERDLIVKEIEKLVHSSV >LPERR07G21220.2 pep chromosome:Lperr_V1.4:7:20241429:20246995:1 gene:LPERR07G21220 transcript:LPERR07G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFKVASRGRPSPQELVRSIKDSLLALDTRTGAKALEDAEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLLVQNMPSLSWEGRKDLAHCWSVLLRQKVDEAYCCVQYIENHVDLLDFLVVCYKNLEIALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLWSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVSYLNIMIVLLKVFVANPNKPRDIIQVLVDNHRELLKLLENLPAGKGEDEQLDEERDLIVKEIEKLVHSSV >LPERR07G21220.3 pep chromosome:Lperr_V1.4:7:20241429:20246282:1 gene:LPERR07G21220 transcript:LPERR07G21220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFKVASRGRPSPQELVRSIKDSLLALDTRTGAKALEDAEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLLVQNMPSLSWEGRKDLAHCWSVLLRQKVDEAYCCVQYIENHVDLLDFLVVCYKNLEIALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLWSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVSYLNIMIVLLKVFVANPNKPRDIIQVLVDNHRELLKLLENLPAGKGEDEQLDEERDLIVKEIEKLVHSSV >LPERR07G21230.1 pep chromosome:Lperr_V1.4:7:20246345:20251095:-1 gene:LPERR07G21230 transcript:LPERR07G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHLAAAAVEARGDRSISTMWWWELRVTWSGAALVAGVAAAAYAAVVVAAEALWWRPRRVERHFAAYGVGGPGYRFFVGSSIELVRLMKEASSRPMDPQTSHDILPRVLAFYHHWRKLFGPMHLIWFGRTPRLVVSEPELIREILLSRADQFDRYEAHPMICQFEGYGLSNLHGEQWTRRRRLLTPAFHTENLKPLAPFVAATVSLMLDDLLREAEAEEELEVDVVKWFSRVPQEAITFATFGRRDHSGVGAAVFRLQGELAGYATEAHSKVFIPGYRFLPNRRNRRIWWLDRQIRANLAKFVADLQLQERSVNGDGGEEDEKEEDGGGGEMREFMSFMTPAMTAEEIIEESKNFFFAGKETLVNLLTWATVALAMHPEWQERARREVVAVCGDDELPTKDHLPKLKILGMILNETLRLYPPAVAMIRTAKRDVDLGGGRCVVPAGTEVMIPVMAVHHDAASWGHDAADFNPARFAGDERRRHPMAFMPFGGGARVCIGQNMALIEAKVALAVVLRRFELRRSPKYVHAPQVLMILSPQYGAPVIFRPLTSSTTSATAAAS >LPERR07G21240.1 pep chromosome:Lperr_V1.4:7:20255460:20260740:-1 gene:LPERR07G21240 transcript:LPERR07G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGHALTGRLRRPLHLLPPPSPPTDHYAAVAHSFALIRANTCARGFASLPYNAGGVIGYKGQSPVYAVKVLELLHQINYTRSMSTAAQTEPASLSKAPTPSQTSSKVPVGARRVGMKVVMMSPGFVYEPYSIRERISFWRRWFTLSGWRRTKEDVILEMKNAYAVSKLRKKTGYTKKQFYDQAFKIYKEVNTLTARGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVSIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >LPERR07G21250.1 pep chromosome:Lperr_V1.4:7:20264982:20265288:1 gene:LPERR07G21250 transcript:LPERR07G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSFVSRERLFKQQDYFRNLTKYTHLKGRFAMITSVATPLVLAGSSLFMIGNGIYNMSHNIEKKE >LPERR07G21260.1 pep chromosome:Lperr_V1.4:7:20265864:20267234:1 gene:LPERR07G21260 transcript:LPERR07G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPEPDPTSPPPPPPEHAGSTSTPPPDAAAAXXXGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEALRDAAARAARDLPSSAHALDGIADIVAQGKDAIAQVAATATAGSSSAAAGGHSDGGESEPSSAPGQVRYSRFEAQLRALQSDPATFTADPEEADEFAEWRLGFSVDEKSGEIEALCYESDVLEGMVEKLVPSSVESEVFWARYFYRVHRLKQQEDARAKLVKRVFAAEEDEEDLSWEVDDESEEEHQRVEAQEEEGSKREETGDVKSAAEDEESERNVEEKKVEGVEESRDLEEDRKSADAPQPEVFGSSMVVVDKEEKEEASKSNIDESSDKKSVTEELHSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHDEKRGSNYGSSPAPKEELRKRLSVAEDDEDLSWDIEDDDDDKA >LPERR07G21270.1 pep chromosome:Lperr_V1.4:7:20268857:20273456:1 gene:LPERR07G21270 transcript:LPERR07G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLNATTRQPLRKNALYPLRITVLESPSFNLKSRIKRHLTQGPDKLFVFIRSPLPFIPASAAHNPRAPNLIHPYSLDKN >LPERR07G21290.1 pep chromosome:Lperr_V1.4:7:20274410:20277802:-1 gene:LPERR07G21290 transcript:LPERR07G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYELASMGFAAFGVFLLTKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNATSDVWPHVLLYELLFSFDELSTLPEKNRPSCENFPPLTALGCKVWYFIPPG >LPERR07G21300.1 pep chromosome:Lperr_V1.4:7:20278239:20283254:1 gene:LPERR07G21300 transcript:LPERR07G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLSSALFAGTHFNRKRFAADFTRFRKGAPSPEVSAPAAPSPEKKRKRKSKNKAKKNKKRRGEEADSASDVVEGFSVFKGLAPKNEAEDSEEKVETTKSEDSEVERRRKEVEREIERAAILRKRFDIHISGQNVPAPLENFEELVSRHGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLLPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYVKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSIVKACSNPSIIRSLFSATLPDTIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEQQRQDAVDNLRAGKTWILIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEIPTWIKALPKLKRKKHRVNRDPITTLPDEDY >LPERR07G21300.2 pep chromosome:Lperr_V1.4:7:20278239:20282700:1 gene:LPERR07G21300 transcript:LPERR07G21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLSSALFAGTHFNRKRFAADFTRFRKGAPSPEVSAPAAPSPEKKRKRKSKNKAKKNKKRRGEEADSASDVVEGFSVFKGLAPKNEAEDSEEKVETTKSEDSEVERRRKEVEREIERAAILRKRFDIHISGQNVPAPLENFEELVSRHGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLLPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYVKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSIVKACSNPSIIRSLFSATLPDTIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEQQRQDAVDNLRAGKTWILIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEIPTWIKALPKLKRKKHRVNRDPITTLPDEDY >LPERR07G21310.1 pep chromosome:Lperr_V1.4:7:20283102:20284269:-1 gene:LPERR07G21310 transcript:LPERR07G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLYICELLGKARWLQQASIYAQIGHSRLSSKVLDFVIGFIGYAGDPSTPPADLPSTPRS >LPERR07G21320.1 pep chromosome:Lperr_V1.4:7:20283642:20283821:1 gene:LPERR07G21320 transcript:LPERR07G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAQPEASWHNVMPEEQLNCFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVT >LPERR07G21330.1 pep chromosome:Lperr_V1.4:7:20284029:20287159:1 gene:LPERR07G21330 transcript:LPERR07G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRMFCPNRSEYQLFFRTRGALRPFSWNRVIVVICLNDVAVFLASTKSKELHVQSVHILMLVAATLPFPRVHKCKAGPLRHATSQLSPLVVMLLLVPCLLGKNTIPAPKGNLLIARVFYTLLLVMVLLLTITKLQFPSIIRQVNRPVIRKSLSCHQIPASVIRVVIAFTRLLHQNYYGKGGHTNDSDKTNLKPTLNVFYGMVLGQGILYLVTRTMEFFSFFPRRSLARLGGFRGEKGVKSIDMYYSHAFEKCMNASILAPKKMNLTTFAMESLESGPRKEQLCGVQILYSLVNREPYDKPVLSKVANSVKTVTTLIQMLGWVNPEDNQIRLLAAKIIAQLASGLQIVTIPGAMNFISSLLDNPRKQQIEELKLQKDSGGEENCWILKLWHQMAKRWSVLEEEQWMESDIFPVLGLVTLERLAKYDLVNCVEISRSMELIPKITEFMSNNSERMRVNETIQKVLIDMSLKVLRRLARIGGETGITLRSKISEDPFLLSNLAEILEDRRIFDKELTGLTIDILTKLAMNESTRQEIGSIQVIVQRLMCAFVAQDGLPGARSDCLMTVKAGQALSMLTLESADNCSAMMKEPGHRFFKDLVRVLTDNRYTYIAANVLQNLCRHSKVELADSDLVEPSSVLPEVLGRVIGAEGKELEVLVGLSSQICCVSPEMYIHDGAELSSCNIFSNQDLMEALIRVEKTPSRAEKYRFFLGNTGLIEHRVRLPSLVERAKQLMVMHST >LPERR07G21340.1 pep chromosome:Lperr_V1.4:7:20290184:20302826:1 gene:LPERR07G21340 transcript:LPERR07G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGGSNNSGFSGGGGGGAGGGRGDSSRLDGSPFSNSNYPLSSRRQQQLAPYKLKCDKEQLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIQHFCKPDVVAKCKEALKKRLRAINESRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSASISTSAGGSDNHRSNQWTNDVVEYIQQLLDEFCLKEVPPSFREQSSPGLIAGATQVKMKSEAPPAIGDTEEPSVQFKWWYMVRLIQWHLTEELLVPSVLIEWLFNQLQERVAVEALELLLPVALGMIDTIILSQTYVRMFVEILVRRLSDGPVVDNPKRAYISSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKISGGGGIANSKRQDAYRYLSCGYAVCSIQKRSHDLATVANPNSQARGTAKVVQALDKALVTGNLTMAYMSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSVFFLCEWATCDYRDCRTSLSQNVKFTGRRDLSQIHLAVYILKNKMDEINNLSRSKSSSHIAVNNTVKGSSLNDTSAATVGDSSGLRNNAKSMDEKDKKDIFESPGPLHDIIVCWLDQHEVSSAAGFKRVDVLIIELICSGIFYPQAYVRQLIISGITDKSDTLLDMERKRRHHRILKQLPGSSLFEIIEEDRVDEEQQLYEMMSTYSSERRLVLSELSSGQSFYANSRGEYTSNSCVLKPSDLLASGGDKHVRVPEQVEDVKALVSSMLRLTNSHSLEAEHCQIKTNTQGSSASTLTQVDTVDVKNDCEDCARTKRQKLDESTSPLQGFPLIQSDEEDIWWVRKGTKLHESFKAEPAQKSIKQTSRGRAKVVRKTQSLAQLAASRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSLWLLKSVRQLIEVNEMTAKGSNSISSFSLQSDDKALSKWRLGDEDLLSILYILDICCDLASGARFLVWLLAKVRGGMATSGQTGRSSTHIKNRENQVCQVGEALVFSSLLRYENILRATDLLPEVLSASMNRNFVLATARQPASAAFAYTRYFLKKYRDVASVASR >LPERR07G21340.2 pep chromosome:Lperr_V1.4:7:20290184:20302826:1 gene:LPERR07G21340 transcript:LPERR07G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGGSNNSGFSGGGGGGAGGGRGDSSRLDGSPFSNSNYPLSSRRQQQLAPYKLKCDKEQLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIQHFCKPDVVAKCKEALKKRLRAINESRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSASISTSAGGSDNHRSNQWTNDVVEYIQQLLDEFCLKEVPPSFREQSSPGLIAGATQVKMKSEAPPAIGDTEEPSVQFKWWYMVRLIQWHLTEELLVPSVLIEWLFNQLQERVAVEALELLLPVALGMIDTIILSQTYVRMFVEILVRRLSDGPVVDNPKRAYISSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKISGGGGIANSKRQDAYRYLSCGYAVCSIQKRSHDLATVANPNSQARGTAKVVQALDKALVTGNLTMAYMSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSVFFLCEWATCDYRDCRTSLSQNVKFTGRRDLSQIHLAVYILKNKMDEINNLSRSKSSSHIAVNNTVKGSSLNDTSAATVGDSSGLRNNAKSMDEKDKKDIFESPGPLHDIIVCWLDQHEVSSAAGFKRVDVLIIELICSGIFYPQAYVRQLIISGITDKSDTLLDMERKRRHHRILKQLPGSSLFEIIEEDRVDEEQQLYEMMSTYSSERRLVLSELSSGQSFYANSRGEYTSNSCVLKPSDLLASGGDKHVRVPEQVEDVKALVSSMLRLTNSHSLEAEHCQIKTNTQGSSASTLTQVDTVDVKNDCEDCARTKRQKLDESTSPLQGFPLIQSDEEDIWWVRKGTKLHESFKAEPAQKSIKQTSRGRAKVVRKTQSLAQLAASRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSLWLLKSVRQLIEVNEMTAKGSNSISSFSLQSDDKALSKWRLGDEDLLSILYILDICCDLASGARFLVWLLAKVRGGMATSGQTGRSSTHIKNRENQVCQVGEALVFSSLLRYENILRATDLLPEVLSASMNRNFVLATARQPASAAFAYTRYFLKKYRDVASVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKLNVRNSRIVSSMKEIIQRQTEEGQYNLKEKKVSAAPKSPSVDREDSYQISHDIVMGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHAIAKQLDFVGSYQGVPSMGSSLSSVRHTLHIHISSLCLLKDALGDRFSRVFEIALAVEASSAITATFVPPKMQRNQFQPSPEAHDVYGNHTNDTGKGFIGRATKVAAAISALVVGAIIHGVVNLERMVAVLKIKEGLDILQLLRGLRSSSNGVSRSTGTFKMENSAEVLVHWFRIMLGNCRTVYDGLISDILGESYVLALSRLQQVLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSSAINDIIIHQSFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHSQDKSSKAMTFIPLRARLFLNALVDCKTPGAIAGDGSCASDSAETKDNELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAETFALSDSEKGFTEIILSRLLARPGAAPLYSEVVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWSSLLSDVMANKSIKRKLEVTSIEEGEVVDDSADAKRPSKATPYNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAVSNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRTMRHTLASAILGLLAARIIYEDADLPLPPTNVTVLRREMDSLLEPPLDVLLDRPGESLFERLICVLHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFDSEAAEGLQSSLDHLELPGVIRRRIQAAMPVLPPSRHPSVSCQPPQLSLAALSPLQSSTSTSGPQQKGTSNSWVPTNISIRNKAAFATQDPEMEVDPWTLLEDGTSCPSVSSGSNSSSGIAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >LPERR07G21340.3 pep chromosome:Lperr_V1.4:7:20290184:20300523:1 gene:LPERR07G21340 transcript:LPERR07G21340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGGSNNSGFSGGGGGGAGGGRGDSSRLDGSPFSNSNYPLSSRRQQQLAPYKLKCDKEQLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIQHFCKPDVVAKCKEALKKRLRAINESRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSASISTSAGGSDNHRSNQWTNDVVEYIQQLLDEFCLKEVPPSFREQSSPGLIAGATQVKMKSEAPPAIGDTEEPSVQFKWWYMVRLIQWHLTEELLVPSVLIEWLFNQLQERVAVEALELLLPVALGMIDTIILSQTYVRMFVEILVRRLSDGPVVDNPKRAYISSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKISGGGGIANSKRQDAYRYLSCGYAVCSIQKRSHDLATVANPNSQARGTAKVVQALDKALVTGNLTMAYMSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSVFFLCEWATCDYRDCRTSLSQNVKFTGRRDLSQIHLAVYILKNKMDEINNLSRSKSSSHIAVNNTVKGSSLNDTSAATVGDSSGLRNNAKSMDEKDKKDIFESPGPLHDIIVCWLDQHEVSSAAGFKRVDVLIIELICSGIFYPQAYVRQLIISGITDKSDTLLDMERKRRHHRILKQLPGSSLFEIIEEDRVDEEQQLYEMMSTYSSERRLVLSELSSGQSFYANSRGEYTSNSCVLKPSDLLASGGDKHVRVPEQVEDVKALVSSMLRLTNSHSLEAEHCQIKTNTQGSSASTLTQVDTVDVKNDCEDCARTKRQKLDESTSPLQGFPLIQSDEEDIWWVRKGTKLHESFKAEPAQKSIKQTSRGRAKVVRKTQSLAQLAASRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSLWYENILRATDLLPEVLSASMNRNFVLATARQPASAAFAYTRYFLKKYRDVASVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKLNVRNSRIVSSMKEIIQRQTEEGQYNLKEKKVSAAPKSPSVDREDSYQISHDIVMGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHAIAKQLDFVGSYQGVPSMGSSLSSVRHTLHIHISSLCLLKDALGDRFSRVFEIALAVEASSAITATFVPPKMQRNQFQPSPEAHDVYGNHTNDTGKGFIGRATKVAAAISALVVGAIIHGVVNLERMVAVLKIKEGLDILQLLRGLRSSSNGVSRSTGTFKMENSAEVLVHWFRIMLGNCRTVYDGLISDILGESYVLALSRLQQVLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSSAINDIIIHQSFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHSQDKSSKAMTFIPLRARLFLNALVDCKTPGAIAGDGSCASDSAETKDNELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAETFALSDSEKGFTEIILSRLLARPGAAPLYSEVVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWSSLLSDVMANKSIKRKLEVTSIEEGEVVDDSADAKRPSKATPYNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAVSNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADR >LPERR07G21350.1 pep chromosome:Lperr_V1.4:7:20304419:20308581:-1 gene:LPERR07G21350 transcript:LPERR07G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARWANTLATGQLGLDTGDDRAARASTASGERRASPLPSIPFPTMKASKKNNAVANTKSVKLIVVGYPPRRTTVAQVKASVPRALPALSTEATTRTNKFSCFSGQISPRWYPQFDAAVPSSAATSTTTCST >LPERR07G21350.2 pep chromosome:Lperr_V1.4:7:20304460:20308581:-1 gene:LPERR07G21350 transcript:LPERR07G21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARWANTLATGQLGLDTGDDRAARASTASGERRASPLPSIPFPTMKASKKNNAVANTKSVKLIVVGYPPRRTTVAQVKASVPRALPALSTEATTRTNKFSCFSGQISPRWYPQFDAAVPSSAATSTTTCSVCFDILTKYFGYERVS >LPERR07G21360.1 pep chromosome:Lperr_V1.4:7:20304497:20325327:1 gene:LPERR07G21360 transcript:LPERR07G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTEHVVVDVAAEEGTAASNCGYQRGEIWPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTTINFTDFVFATALFFLEAVRMFSPNRSEYQLFFRTWGAFRPFTWNRVIVVICLNDVAVFLRNTISIEIRNSVVMLMRVAAILPFPVVHKLKCGPLHHAIVLWSPLAVMLLLIPTLFCDHARVATKGNFLIARIFYMISLVTVLLLRINKLQFPSITRLVHRPVINKLLSCHQVILVFCMCIAAVTLVFFSLELITMIVLALSTTVCGGLQIPAAVLRVDIALIRLLQQDYYGESDPANDSGKINLKPTLNVFYGMVLCQGILYLAARMLEFFSFFPRRSLARRGGFRGHQGVQSVDMYYTHAFEKCMKSSILAPKKMNLITFAMDSLKSGSRKEQLCGVRILYSLVHQEPYDKLVLSKVANSMKTVTTLIQMLGWTNQEDNQIRFLAAKITAELASGLRIIAVPGAMNFISSLLDNQNRQQIQELTIQIDSGDEQNCWIVERWCQMTKQWSILEEEQWGESDILPALGLVTLERLATYDYVNCVEISRSMDLIPKITGFTSNNSEKMCVNGTGQKVLIELSLRVLRRLASIGGETGITLRHKISEDPFLLGNLAEILEDNSSSQELKELTIDILIKLAMDESIRQEIGSIQVIVQKLMFAFIAQDGLPDAQSDCLMTIKAGQALSMLTLGSAENCSAIMKEPGHGFFKDLARMIHDNRYIYVAANVLQNMCKHSRVELGDSDLVELTSVLPEVLARVVDAEGKELEVLVGLSSQICSVSPEGFTKLLEESQNEAMFVKKLINALNANIKPNSQFPGIRRVIIEQFIYMMELSPRYATYFRNHGLMEALTGVEKTPSRAEKYRLFLGNEGLMEHRIAAASTGGRPADKVAAPEKWLNGFVRVVALIARVGNALGTLAFTWATVVLLGGYPTVLHPEDDFWFATTIVFLEATRMFSPDNRLDYQLFFGTRGAFMRLGWNGLLTRVLYLSVALVIRSRSHFNSKDQSLLAALLVDMVMLVAIGQMLSPRVLKLLCNPLRRAISLWSPLLPILLIGLCIPVQQRDYETQKNIPRNPKIRLIWYLLSLIVLLATISRMRLPCIVKLVDAILSRKRLAWRQIVLNFCMLTAIVMLVFTFIDIPRIAIIIFQLCALVAVSFGNFQIPAAVVRVLLALLRLGQLDYHADGDNIGEQGYLADGSTIGQQGNEKNLKPSLNIFYGMVLGQGILYILACLLEVFSFFPRRRLIRHGGFRGQLGVEYIDLYYGYAFEKCMGGPVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHSFLKKEQLRTKTITRLTNATKTVASLFDMLGWTSDEDADIRLFAAKVTAELAGSIRVVQIPGATQLVASLLENDHQQRIKNHFLFIDSQEGTKDSPIQQVGMVEQNSAVLNFLKKMAVYCLIPADEPSKMDGQNSYMLRCWKRVTKYWSIPEDETSRDQDFLPVEGLLILERLANFDPENCMEISRATGLISKMIEFTSYRNCITSTNEEHNIALTCLSLRVLKRLANTEGKLGVTLRHQILEHPFVLINIAEILSDSGSSQEQKHLAAEILRNLAMDKSTSEDIGHIRVIISSLMRAFLSRDQSSSTNSNHLLRQIAGQALAMLAMESTNNCLAMLMEPGYVFIKELTTMIHDGGFKWTAANLLWNICEHAQPGLSNFDLKELSYTLREVLEGIMDAEGAGLEVLIGLSSQICKAVPKDFAKELEHGQIKEKFVKRLVDVLNAYMRPSAHCPGIRRVIIHHSIYLMEFNSRYANDFHKCWMMEALSMVERTPSRAENYRLFSGDAGLMEHTTPLSTLVARAKELMGREWVPAPETQLNRFVHLVAITERVGNALGTLAFTWATVVLLGGYPTVLRPADDFWFATTIVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWNGLLTMIVYYSAVLVILSNYYYFVPDGSVMIPLLLDMVMLIALGKMLSPGALKLVHNPVRRAISLWSPLLGIILMGPCIPQPVYNSYHNFRSRRIILKNSMTRWILYFVLFFSVFLATISRLRFPCIVKLVSNVMSNEQLLWRRFILNLSMLAAIAMLVFTFSEIRHQVMMVVYQISALLVVSFGNFQIPAAVVRVVLALIRLLMQNYIEEGGNAGQDGCHEKPKAISKYILWDGDWEFSTLWPVFWRYFHSSQRDPSSSVGDLKASWE >LPERR07G21380.1 pep chromosome:Lperr_V1.4:7:20325365:20335604:1 gene:LPERR07G21380 transcript:LPERR07G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVLAPKKINLMTFAMDSLNSGSTKKKLYGVQMMSSLLKKEHLSTKTIAKLTSSTKTVASLFNMLGWTCDGDAVVRLFAAKVTAEIAGSLRAAAIPGAIQMVASLLDIDHQLKIRDHVLFIHNQEAKDLQNNHVGMEEKNSPLLNYWKQMVINCLIPVDEPYNKDELNLRIVRYWKRITRFWSIPEEEPSTDQDFLPVQGLLILERLANFDTGNCVEISRATGLISKMIDFTSYRNYMASINEAHQTMLAGLSLRVLRTLAGTKGKLGITLRQQILEYPLLLSNLAEILDDNGSSYDLRELAAEIITNLAIDRNTSEDIGHFRVVMNGLMHAFLRQDSDHLLREIAGQALAMLAMDSANNCLIMLMEPGMFSRDNRLDYQMFFRTRGAFRPAAGWNGLIVVACISNAILCTLFWSDFAYFYSSPFPYATVVLLLEVVQFLCSAASRLLTCNPIRRAISLWSPMVAILSLGPIAIGVYIDPDVNIPKNTMAKWLIAYAVLLIVVLLLTISRFRYPSIVRLLNVTLGSKQEFWCQVTLRLCIIASIMMPVLMSKDPRDRWLVIILEAIALALVLVSFGNLQIPAVTVRVVLALFHFLPNYFSYEEPIDENNNKDETNLDASLNIFYGMVIGQGILYIIACIFEFFSFIPRRSLIRHGGFGGQWGVELTTMIHDDKYKYTSASILGSMCEHARSELSNSDLKELSYTLREVLKGIIDAEGAELEVLIRLSSEIFIIIPDDFARELEHSQIKRKIVQRFVSALNSHRRPSADCPGIRRVIVQHAIYLMEFDSLYANDFQNCSMVEALSVVEKTSSRLENYRLFSGDVGLMEQSTPLSTLVARAKELMGSQGGDRQRQQVAAVPEKWLNGFVRAVALTERTGNALGTLAFTWATVVLLGGYPTVLSPETDFYYVTLIIFIEAARMFSRNNRLDYQLFFRTRGAFRPSAGWNELTVAACISNALMCKFLWGGIPFNLGPFPLVIVILLLVAIVQFIRSAASRIFTSYRIRNAISLWSPMVAILLLGAFILGFYVNPELTELKIDKKPYVKWIVAYVVLLILVLLLTISRFQFPSITKLLNSTLGRKQAFWFQLTLKLCIIASMMIPVFMFDGSNRSIVIMLEVVPLVQVSFGNLQTPVAAARVALALSRFIPENYNGEIKDGKGKDFSRINLPESLNIFYGMVLGQGILYIAACIFEVFSFIPRRSLIRHGGLGGQWGVASVNLYYSYAFEKYMEGGVLAPKKISLITFAMDSLSSDSPKMQLYGVQMLHIFQQRESTRERLIAKLTTSTKTMARLISMLGWTSASHKVVRLYAAKATVELAKSLRVVTVPGTVQLVSSLLDTDFKQKGGNPLLEAAGSHEAKQDPVSIATDGQEEMQEDTIRDAADNQYHIQERHEDTNNLLGTQTQPTQINGYVSFMLRSWQRISEYWSIPKEQPLTEHDILPALGMSIVDNLASGDKDNCVEIHRASNLILKIIRFTSFRNVTATGEAQQMVLVMSSLKVLQRLTSIGGEIGISLRNKISKNPFLLRNLTDILGDNTSNQELSKLVAGILRNLPLMGTQGRRLGKCR >LPERR07G21390.1 pep chromosome:Lperr_V1.4:7:20329514:20337447:-1 gene:LPERR07G21390 transcript:LPERR07G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGKRLPLLTPSRHSRLIISFALSTRTNKGFAGAGEQLALHRRPTNPLRSHPWTMARGCGLRLRTHSQPISSFALATRVESGVLCSMSPTSPENNR >LPERR07G21390.2 pep chromosome:Lperr_V1.4:7:20329514:20337447:-1 gene:LPERR07G21390 transcript:LPERR07G21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGKSREASRKIHSFGLGFAGAGEQLALHRRPTNPLRSHPWTMARGCGLRLRTHSQPISSFALATRVESGVLCSMSPTSPENNR >LPERR07G21400.1 pep chromosome:Lperr_V1.4:7:20335619:20346749:1 gene:LPERR07G21400 transcript:LPERR07G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFIKSDRTSSTNADCLLPKVAGQALAMLAMENVLNCLIMMKEPEFISKLKSMIMIPDEKYIYVAASLLRSMCQHAQAKLTESDLKELSHTLREVLERILNAEGAELEIPIGLSSQICKVIPEEFAQELEGGQIKRRFMKRLVDALNANINPGAHCPGIRRVILEQSIYMMEYNSHYASCFNEFRMMEALSMVEETPLRAENYRIILGDIGLMEYNTPLFVLVDRAKEMISLECLLGYWSIPNEQQLTDQDLLPALGMSIVDNLASGDENNSVEIDRATNLILKIIGFTSFRKTPTTSEAQQTVLVKSSLKVLQRLTSIGGEIGVALRYKIIKNPFLLRNLADILRDNSSDQELSKLVAGILRNLAIDGDTRKEIGQMQVIITRLMKAFIKSDRTSSTNVDCLLPKVAGQALAMLAMDNVLNCLVMMKEPEFISKLKSMIMIPDEKYIYVAASLLRSMCQNAQAKLTKSDLKGLSHTLREVLERILNAEGAELEILIGLSSQICKVIPEEFAQELEDGQIKRRFMKRLVDALNANVNPGANYPGIRRVILEQSIYMMESNSRYASSFNEFRMIEALSMVEETPLRAENYRIILGDIGFMEYNTPLFALVDRAKEMISLECLHDQQKQAAAAPEKWLNCFVRVVALMERTGNALGTLAFTWATVIVLGGYPTMLHSEDEFGYATTIIFIEAARMFSSSNNRIDYQLFFRTRGAFRPYAGWNGLTMVACISNAMLFTVSWGRFPEFSNQFQYVLVMLLLATIQFLCSAASRLITCNPVRRAISLWSPMVAILLLGPYVLKLYIDPNVKESKNTMPKWMVACAPVDNHVTLKLCIIASIMMPILMSDASNKSLVIILEATALVLVSFGNLQIPAATVRIVIAVFQFTPRLFSSRGPIIERNPEDEINLDATLTIFYGMVLGQGILYMAACIFEFFSFIPRRSLIRHGGFGGQWGVASVNLYYAYAFEKYMEGGVLAPKKISLITFAIDSLNSESPKMQLYSVQMMHIFLQREPTKERLMAKLTTSTKTMARLISMLGWTSPSHRAVRLYAAKATVELAKILQVATVPGTVELTSSLLDIDGKLKRRNPLLDADGDIEGKQDPIHNASESPEERKDANMDADDDQCRIQEPLRDTDSLLLETQTRSSPMKEHNSIFKRWQWISEYWSIPTEQQLTDHDLLPALGMSIVENLANGDQNNSVEIDRVTDLVPKIIGFTSFKSVTENSGAQKLVLVKSSLKVLQRLTSIEGEIGIALRHKISRHPFLIINLAEILGDNNNDQELIKLVAGILRNLAIDGNTRQEIGHMQVLITRLMKEFLSLDRTSNTNVDCLLPKVAGQALAMLATDNMHNCLVMLKEQEFINKLKNMILSPDENYIYVAASLLRSMCQHARAKLTESDLKELSHALREVLERIMSAEGAELEILIGLCTQICKVNPEEFSQELEGGQIKKRFMKRLVDSLNANMKPCAHCPGIRRVILEQSIYMMECNSHNVSCFNEFRMMDALSMVEETPSRAENYRLFLGDTGLMEYKTPLFALVDRAKELIGRQCLQVISNAN >LPERR07G21400.2 pep chromosome:Lperr_V1.4:7:20346687:20354085:1 gene:LPERR07G21400 transcript:LPERR07G21400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPYAQSSGGRQMQKVAAAPEKWLNCFVRVVALMERTGNALGTLAFTWATVVLLGGYPTVLRSQDDFIYATIIIFMEAARMFSRNNRLDYQLYFRTRGAFRPAAGWNG >LPERR07G21410.1 pep chromosome:Lperr_V1.4:7:20355956:20366476:1 gene:LPERR07G21410 transcript:LPERR07G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDNVLNCLVMMKEPEFINKLKSMILIPDEKYIYVAASLLRTCPGQANRIGPKGVISHFVLERILNAEGAELEILIGLSSQICKVIPEEFAKNWRIKRRFMKRLVDALNANVNTGAHCPGIRRVILEQSICMMEYSSHYASCFNEFRMMEALPMVEETHSRAENYRFFLGDVGLMEYKTPLFVLVDRAKELIGGGRRRQQKVAAAPEKWLNCFVRVVAMMERTGNALGTLAFTWATVVLLGGYPTMLRPVDEFYYATTIIFIEAARPAAGWNGLIVVACISNAMLCTLYWGDFAYFFSSPFPYVMVVLLLAVVQFLCSAASRLLTCNPIRRAISLWSPMVAILSLGPIAIGVYIDPEVNIPNTMSKWFIAYAVLLIVVLLLTISRFRFPSIVRLLNVTLGSKQEFWCQVTLRLCIIASIMMTVFMSKDPHDKWMVIILEAIALVLVSFGNLQIPAATVSVVLALFHFLPNYFSYEEPIDENNNKHETNLDASLNIFYGMVIGQGILYIIACIFEFFSFIPRRSLIRHGGFGGQWGVASVNLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKIQLYSVKMLHIFLQREPTRKRLMTKLSTSTKTMVRLISTLGWTSQNHTVVRLYAAKVTVEIAKSLQVITVPGTMQLVSSLLDNDGKQKMRNPLLEVAGDHEGKQAPIHNTSESQEERPGAIRDAADDQCRIQKPLQDTDNLLQTETRSTSNSFIIRGWKKISEYWSIPKEQPLTEYDLLPALGMSIVDNLASGDQNNLVEIDRVNDLVPKIIGFTSFRSAITKSKAQQTVLVKSSLKVLHRLTSIGGEIGIALRYKILKHPFLLRNLSEMLGANNSNQELSKLVLITRLIKAFLNLDRTSRTTVDCLLPQVAGQALAMLAMDNVHNCLVMLNEPGFTNKLKNMILINDEKYTYVAASLLCSMCQNARAKLTESDLKELCHSLREVLERIMHVEGAELEILIGLCSQICKVIPEEFVQELEGGQIKKRFMKRLVDTLNANMNPGTHCPGIRRVIIEQSIYMMECNSHYANCFNELRMMEALSMVEEMPSRAENYRIFLGDAGFMQYSTPIFALVDRAKELMSHQSELMSRNSPQIKELFVKRLVNALDAHMSAITHCPSIRRPIFQH >LPERR07G21420.1 pep chromosome:Lperr_V1.4:7:20362921:20379251:-1 gene:LPERR07G21420 transcript:LPERR07G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKAQRPRAKTGTSRGEPAANSGTAAAHGDEQLASPCRPASPPHPWPELALLKPCKTLMTHQFFCSVHKSKDRALEGLEVRAPRPGQCRWRPEGRRYPERTLKDRLRYTNPDIALAVEFVAPATAMENANAAGYQCPEVAFEFGIATSRSGFRIFCLPSLSRSEDTSCIVPGTVMTWRLFAISTTPIAMGPSERIATMGLHNDIARRIGLQVSSLEAAEHRNCTTASSNTTITYGNGLEKK >LPERR07G21420.2 pep chromosome:Lperr_V1.4:7:20362921:20379135:-1 gene:LPERR07G21420 transcript:LPERR07G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGESAPSMAGEVRAPRPGQCRWRPEGRRYPERTLKDRLRYTNPDIALAVEFVAPATAMENANAAGYQCPEVAFEFGIATSRSGFRIFCLPSLSRSEDTSCIVPGTVMTWRLFAISTTPIAMGPSERIATMGLHNDIARRIGLQVSSLEAAEHRNCTTASSNTTITYGNGLEKK >LPERR07G21430.1 pep chromosome:Lperr_V1.4:7:20366531:20383918:1 gene:LPERR07G21430 transcript:LPERR07G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGKLSMIENTSSRAENYRWFSATQRTGNALGTLAFTWATVVLLGGYPTVLRQEDDFYYATTIIFVEGTRMFSRNNRLDYQLFFRTRGAFRPAAGWNGLIVVACISNIMLCTIFWGQILILMSPFTYVLVILLLAIVQFLCSAASRLLTCNPIRRAISLWSPMVAILLLGPFALGLYLDPDSFIPKKKMARWIIAYLVLLVVVLLLTISRFRFPSIIKLQCATPFGRALNGTLGSKQEFWCQVALKLCIIASIIMPVLFMTDSTDRNVVLILEALALVLVSFGNLQIPAATVRIALALLRLIPHYYYGEGEYIDENNLKEKTNLVASLDIFYGMVLVQGILYITACIFEVFSFIPRRSLIRHGGFGGQWGVASVNLYYSYAFEKYMEGDVLAPKKISLITFAMDNLNSESPKMQLYGVQMLHIFLQREQTRDRLIGKLTTSTKTMARLISMLGWTSSSHTIVRLYAAKATVELAKILQVVIIPGTLQLVSSLLDIEGKQKMRNPLLEVGGDHDGKQAPINNTSESQEERPGAIRDSTDDQCRIQEPLQDTENLLQTETRSTQINSMNSFILRSWQEILEYWSIPKEQPLTEYDLLPALGMSIVNNLASGDQNNLVEIDRVNDLVLKIIGFTSFRSAITNSKAQQTVLVKSSLKVLHRLTSIGGEIGIALRYKISKHPFLLRNLAEILEDNKNNQELSKLVAGILRNLAIDGDTRQEIGHMHVLITRLIKVFLNLDRTSSTNVDCLLPQVAGQALAMLAIDNVHNCLVMLKEPWFTNKLKNMILINDEKYTYVAASLLCSMCQNARAKLTESDLKELCHTLREVLERIMNVEGAELEILISLCSQICKIIPEEFVQELEGGQIKKRFMRRLVDTLNENMNPGAHCPGIRRVIIEQSIYMMECSSDYANCFNELRMMEALSMVEEMPSNAENYRIFLGDAGFMKYNTPIFALVGRAKELMSYQCLQGRLVNALNAHMVVVLTVPASGLRRVIFQNSMYLMEFNSGHANDFHKGTNVGWWKVINRTGNALGTLAFTWATVVLLGGYPTVLRSQDDFIYATTIIFLEAARMFSRNNRLDYQLFFRTRGAFRPATGRNGLIVAAYISNALISVSLGGPPWFTMVVIPLAIGHFLYSAASRLVMCNLIRRAISLWSPIVAVLSSGPFVLELYIDPGPRAGIFIPKKSIARLMIAYLVLVVIWRRVTLNLCIIASIMIPVLIIEPAGRYIVIILEAIALVLVTFGNLQIPAATVRVVLALLCLIPHNYYSDNEQIDKNELGEKTNLPASLNIFYGMVLGQGILYIAACIFEFFSFIPRRFLIRRGGFGGEWGVASVNLYYAYAFEKYMEGGVLAPKKISVITFAMDSLNSDSPKMQLYGAQMMHIFLQREWTRDRLIAKLTSSRTGQSHTVLRLYAAKATVELAASLQVVTIPGTVPLVSSLLDTANRHKRVNPLLDPYSDQEGKQDPINNAADNEEGRQGAGDAPDDQCLIQEPLQDTYNLLETQTRSTHINEQNTFIFRIWQQISEYWSIPKEQPLTNHDLLPAVGMSIVDNLAGS >LPERR07G21430.2 pep chromosome:Lperr_V1.4:7:20382800:20385855:1 gene:LPERR07G21430 transcript:LPERR07G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKSSLKVLQRLTSIGGEIGISLRYKISKNTFLLRNLMEIWQDNSSRQELRKLVAGIIRNLAIDANTREEIGHMQVPITSLMKAFLNLDKTSGTEVDCLLPKVAGQALAMLAIENMNNCLVMLKGPEFIKKLKHMILIHDDKYIYVAANLLRSMCQHAQAKLTESDLKEVLHTLREVLERIINAEGAELEILIGLSSQICKVIPEEFVQELEAGQNKQRFIKGLVDALNENMNPGAHCPGIRRVILEQSIYMLECNSHYASCFNEFRMMEALSIVKEAPSRAENYRIFLGDAGFMEYNTPLFSLVDRAKELMDRQSCKESVEPTVRNFRR >LPERR07G21440.1 pep chromosome:Lperr_V1.4:7:20381892:20383106:-1 gene:LPERR07G21440 transcript:LPERR07G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQQCEHDTDCCCWNLIPCGFQEDYGCRVNEIILGTEHGGVAAEEDDGGPGEGQRTEGVSRTLHQSHHAYETIQPLLRRGGYLLPSPATALRLSRFATVFSAGDVRH >LPERR07G21440.2 pep chromosome:Lperr_V1.4:7:20381892:20383106:-1 gene:LPERR07G21440 transcript:LPERR07G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSDSTPNYLSSAKSTIQIPCGFQEDYGCRVNEIILGTEHGGVAAEEDDGGPGEGQRTEGVSRTLHQSHHAYETIQPLLRRGGYLLPSPATALRLSRFATVFSAGDVRH >LPERR07G21450.1 pep chromosome:Lperr_V1.4:7:20383530:20384146:-1 gene:LPERR07G21450 transcript:LPERR07G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSRKALIIRCIPSTLPSLFIVCCIVNWILLSLLVTIWIQKWCLVVMIQEALSQEW >LPERR07G21460.1 pep chromosome:Lperr_V1.4:7:20387741:20393738:1 gene:LPERR07G21460 transcript:LPERR07G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDQRGEQANQQGRSATAAIDGSQLKENNDGKSIATAAPTTTTQEKTVTAPTVTDDSLLLGLLSHHEVPPPSILFTELEMVASSLSRETGEGQKRVKRQREHPRGMCLEGIRPCRSPRRLLLTPPEIVAVAVAAAAAAAVADRLALVVFFLSCWMDCDGSSLGRYAIMKTKEHSSYLYKHSIESLGQIAKY >LPERR07G21470.1 pep chromosome:Lperr_V1.4:7:20397863:20405744:1 gene:LPERR07G21470 transcript:LPERR07G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLMFCYILIHVGDFPKLGLEIHIASCGEHRIQMPEQGGCRRRRRRQQVAAPPEKWLNGFVRLVALIERVGNAIGALAFMWATVVLLGGYPTVLRSNDDFSYATAIIFLEAARMFSRNNTLDYRVFFCTRGAFRPAAGWNGLITVACISNALISTFLSSPVSLFWSPLAYIMVVAILVIVKFLSSVVPKLLICKPICRVISLWSPVVAILLQGPYMIELYVYHPQLYVPRILEISLAKWMVAYVLLLVVVLLVTISGFQFPIIIKLLDSTLGSKQVWRRITLNLCVIASLLMPILIIPVLRVEVITVEGVAIVLASFGNLQIPAAIVRVALTLLRLIPHYYYGQNEHIDENNLGDKKNLAGSLNIFYGMVLVQGTLYIAACIFEFFSFIPRRSLICHGGFRGQWGVASVNLYYTYAFEKYMEGGVLAPKKISLINFAIVSLNSDSPKMQLYGVQMLHSFLQREPTRERLITKLSTSTNSMARLISMLGWTSPNHTIVRLYAAKVTVELAKSLQVVTVTGTVQLVCALLDTDDKQKMGNPLLDADSDHEGKQDPIYDAADDQCQLQEPLRDADNLIETQTQSTNQNKQDSFIVRGWQRISEYWSIPKEQPLTDNDLLPALGMLIVDNLASYDQNNCVEIGRETDLIPKIIGFTCFRSDTTNSDTQQMVLVKSSLKVLQRLTSIGGEIGIALRYKISKHPFLLRNLAEILGDDINGEELRKLVAVILRNLAINVDTRQEIGHMQVLITRLTKAFLNFYRISSTNVDSLLPKFAGQALAILAIDNVHNCLVMLKEPEFIHKLKSMIFIHNEKYTYVAASLLCSMCQHARAKITESDLKELSHTLREVLDKIMNAQGAKLEILIGLSSQICKVIPEEFSRELESGQIKRRFMKRLVDSLNENINPHAHCPGIRRVILEQSIYMMECNSHYVNCFNELRIMEALSMVQKTPSRAENYRIFLGDVGFMEYNTPLIDLTSTIYHHILER >LPERR07G21470.2 pep chromosome:Lperr_V1.4:7:20393972:20398790:1 gene:LPERR07G21470 transcript:LPERR07G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAAAAVTRGRHKAAAAAPEKHLNRFVRIIALIERAGNGLGTLVFTWATVVILGGFSTMLTTREFRCATFLALLEAIRMFSQNSRLEYQFFLRTRGAFRRPRLNGVALIVCVIDVMVYCMAKFGIFRWSLTYPITLIPGKVTTVSILVMTIALFMLAKLVCPVILNLFCTPQLTAISLWSPLAAILLLVPCLFLETSVPQPDRLLAAKNFFALPLTAVIIVTVSKLQFQWITSIVNSPIVRKMLFMRPLILFLCMCSAIVILGYLYLDSIYIILPMVLFLIYALIPAAVARVVIAMTQPTTAICVQSILTAVTQPTSHIHIGIPKNCSREHTYPDGTLDDTKNNFKLSQNIFYGIVVIQGALYIVACVLEIFSFIPKIHLVHQSKFRRKWGTKCIDMYYSYIFEQCISGGVLAPRIMELTSFAMDFTNSDSPSNQLNGVRMLHSFLKRKRTKVLLLMRLNTSTETLNTLINMLGWTCPEDAQVRLFATKVIVELSRSLQVIGIHGSMQNISSLLDTDNQLRRRNPLLYTYYSQEGKQGTIVDTGDGQEHINQNHLLHNNNQRKSWMLGCWKLISNRKGYQIPKEEKFKQHDLPVLGMTILETLADCDPDNCAEISRARDLIPKIIGYVNEAHPKILKGSSLKLLRRLSNTGGVTGTTLRQKMSEHPFLLRYLAEILCDIEGSQEHKKLATEILRNLATDGNTRQEIGCIRVIISSLIHAFLAQHPLSDTYSDQSLQITAGQALSVLATESVNNCSAMLKEPGCAFIKELIVMIRDDTYKYVAASLLQNLCLHAQSKLSSSDLTELSHSLREVLERITDTTIAQELEVLIGLSSQICHIVPEDFARELEHDQIKERFVKKLVEALNTNTKPTAHCPRIRRVIVEQVIYMMENNSSYANFFNECQMMEALSVVEETPSKVENYRLFMGNAGLMEYSIPLSNLVARAKELMHNST >LPERR07G21480.1 pep chromosome:Lperr_V1.4:7:20405771:20408453:1 gene:LPERR07G21480 transcript:LPERR07G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLLAKLACPVIVNLLCDPQFRSKSLWEPLAAVLLLVPSLFLKISVHQADRLSAAKKYFALLLTVVLIVTISKLQFQWIISLGNSPIGRKMLLLRPLILFLCMCAAIVILGYLYLGSNYIIVPMVLFLVYALVLESFGNMQIPASVSRVVIAITQPTTVICVQSILSVETHPRSIIDVGLPKKCTGEHIYPDGTSPDIRNNFMLSLNIFYVIVLIQGGLYIVACILEMFSFIPKRLLVHQSRFRWRWGMKCVKMYYSYIFEQCTSGGVLAPRIMELTRFAMDFTNSDSPSKQLYGVRMMQSFLKTKHTEALLLLKLSTSTETLDRLISMLGWASPEDAEIRLSATKVVVELSRSLNVAAIPRSMQNISSLLDTEIQLSRRNPLLYTYHSQEGKQGATVDTGDGQEHIDQDHLVQNNNNQQKSWMLGCWQLISKHYKNPREEAFIEFDLPVLGMEILDTLAYCNPDNCAEISRARDLIPKIIGYTSEMQPKILKGSSLKLLRRLSNTGGEIGKTLRQMMSDHPFLLRNLGEILDKTEGSQENKKLVAEILRNLATDGNTREEIGCIRVIISRLIYAFLAKHPSSDTYSDRSLQITAGQALSVLAIESANNCSAMLKEPGHALIIELTVMIQDDRYKYVAASLLQNLCLHAQSKLSSSDLTELSHSLREVLERISETTVATELEVLIGLSSQICHVVPGDFAQELEHNQFKENFVNKLVDTLNANTKPTAHCPRIRRVIVEQIIYMMKNNSSYANCFNECQMMEALSLVEETPSKVENYRLFMGNAGLMKHSLPLSDLVARAKEIMNHVT >LPERR07G21490.1 pep chromosome:Lperr_V1.4:7:20415387:20424783:-1 gene:LPERR07G21490 transcript:LPERR07G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAERRIQIPATAPEVGQTDAVAAAAAPEKWLNYFVRFLALVERAGNALGTLAFAWATIVLLGGYPSVLKKDFGIATAIIFLEGTRTFTRENRLDQDYRLFFRTTGAFRPLGWNGLIVVVYFSIGMLSTLAWNVHQGKGEVTARRLFAIMLLLFAAGQFLYAVAPIGPRLRLLKCNRLRRPISLFSPVVAILLLAPSLFMKKSSGMAMWISYVMLVVIVLLVTISRLQFPIIIHRVQCALGRKHVFWCQFILNSCMLAAIVMSVLLTDKSDRSGMIGIVISAFFIVSFGNLQIPAAVVRVLLATLSLKPQGYDGHESATNLVRSLNIFYGMVLGQGMLYIVAGILEVFSFIPRKCLVHRGGFTGQWGVESVKLYYEDAFAKCMEGGVFLSKSISLSNFAMDYLNSDSSKNQLYGIRMMHIFLQSDPTREQLLKKLNISAQTMARLISMLDLTSRNDHPTIRLYAAKVIVELAKSLRVVTVPGVMQIISTLLDADDKPKRGHPLLDEDDDRVNTVERQETGQDAAGYQEQTQETLGDTDKLLQTQNRSTHNNNQNFIFRCWQKISEYWSIPKEQPLTDHDLLPALGMSIIYSLADCDQNNCVQINRVTDLIPKIIGFTSFRSSIVNSKAHQKVLVMSSLKVLQGLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSNNTQESRKLVAGILRNLAIDRDTRQEIGHKQVLITRLIKAFLSSSGPSSSNVDCLLPKVAGQALAMLAAENVHNCLVMLKEPQFINELKNMILVHDHKCIYVAASLLRNLCLHAQPQLTESDLKELSQTLREVLERIMDAEGAELEIFIGLSSQICKVIPEEFTQELEHGQIKRRFVKRLVDALNANMKPTAHCPGIRRVILEQSIYMMECNSHYANCFNEYEMMDALFMVVETSSSAENYMVFLGDTGFMECNTPLLALVDRAKELMGVSRCKASIVTTKNNCE >LPERR07G21500.1 pep chromosome:Lperr_V1.4:7:20427690:20429794:1 gene:LPERR07G21500 transcript:LPERR07G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPITAAAAAPERELNRFVRIMALMERAGNGLGTLVFTWATVVILGGFSTMLTTRKFRYATFLALLEAIRRNDRRQLGWKEGFD >LPERR07G21510.1 pep chromosome:Lperr_V1.4:7:20444035:20445469:1 gene:LPERR07G21510 transcript:LPERR07G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDGDYTVLEPFFYDEEATISEAAAAAERRERERQEKARKREAAAAHAAALDRIREYDPDEEKYIYTRYHYGDLPVFDLDEVSDVAPMRFTATPCPPGQALRLVTEMVNVLAVRVISPESTLPDEMSLTLTGPHRGLVLFDYVYIEIDLKMKMNDDQQDDKRLSKGLKMINGVLLARYSSKNKAVVQSLTLPSSTTRPCTVQIACSYISDGFEATISVELLHYQGQRGHFCGQITACTSTIQDSILLHDSKLLAAGGVMAADCNGHTAIPLLRRVMAVSLYEMLIVTIVAQSGDGVYKRTIDFTPAVNGGDEARIVCGLTSLLVKVNWSRMNIITDE >LPERR07G21520.1 pep chromosome:Lperr_V1.4:7:20451480:20451969:-1 gene:LPERR07G21520 transcript:LPERR07G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMPLEEHAQEGWHRCHSISLLSLFRLPLSADYHRRRANPAAPIGLPPCAARFLPLPLALLLRRRAPTLPSPGKPTGEHPGTSLLLPHALARADLASNRVFVVANRCRRSPSSPAELQPPMPPARSPIDAP >LPERR07G21530.1 pep chromosome:Lperr_V1.4:7:20455284:20462267:1 gene:LPERR07G21530 transcript:LPERR07G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRIQIPPEWGQADTAAAAPAPEKGINRFVRILAVIERLGNALGTLAFTWATVVLLGGYPTVLGSNNDFWFATAIVFLEALRMFSSRNNRSDYQLFFRTRGAFRSLGGNGLIMIVYFWGATSTLSLIVLEHLRHLAARILLVIMVPAALVRVVLPLLRLGPHDYFADVDMTNLGSSLNIFYGMVLGQGILYIVAGMLEIFSFIPRKSLIRHGGFTGQWGLESVNLYYAYAFNKYMEGGVFAPNRISLSNFAMDSLNSDFSKNQFYGIRMMHSFLQREPTKTQLLEKLTTSMQTMARLISMLDWTGRNHHTAIRLYAAKVTTELAKSLQLRVVTVPGTMKFVSTLLDTDRSLKRGHPLLNADDDQDPFVDTAERQEKIQDAAGDQGQRQELLADTDNLLETPTRSTHINGQRCIIRSWRRKISEYWSVPKEQSLTDDDLLPALGMSIIYNLAGCDQNNCVEIDRVTDLIPKIIGFTSFGSAMVNSEAQQNVLVKSSLKVLQRLTSIEGEIGIALRHKISKHPFMLRNLVEILGDNNSNQELKKLAAGIIRNLAIDRDTRQEIGHMQVLIDKLMKAFLNSNGPSSTNADCLLPKVAGQALAMLASENMHNCLLMLKEPKFINKLKNMIIIHDDKYIYVAASLLRYMCLNAQPELTESDLKELSYTLREVLERIMDAEGAELEILIGLSSQICKVTPTEFAQELEHGQIKRRFVKRLVDSLNANMKPSADCPGIRRVILEQSIYMMECNSRYANCFHEFRMMDALLMVEDTPSRVENYMVFLGDVGYMECSTPIFALVNRVKDLMGRQWLQGINSAN >LPERR07G21530.2 pep chromosome:Lperr_V1.4:7:20455284:20462267:1 gene:LPERR07G21530 transcript:LPERR07G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRIQIPPEWGQADTAAAAPAPEKGINRFVRILAVIERLGNALGTLAFTWATVVLLGGYPTVLGSNNDFWFATAIVFLEALRMFSSRNNRSDYQLFFRTRGAFRSLGGNGLIMIVYFWGATSTLSLIVLEHLRHLAARILLVIMVTLLPVVGLFLCRRTGSRRRRRNCNWVCRAISLWSPVVATLLLASSILRYYNQQMHKTIFRHSLALWIPYVLLSVVVLLVTISRLRFPIIINLVHGALGSKHVFWRQFILNSCMFAAIVMLVVMVDPEDRIGMIFGDICAVAILSFGNLQVPAALVRVVLPLLRLGPHDYFADVDMTNLGSSLNIFYGMVLGQGILYIVAGMLEIFSFIPRKSLIRHGGFTGQWGLESVNLYYAYAFNKYMEGGVFAPNRISLSNFAMDSLNSDFSKNQFYGIRMMHSFLQREPTKTQLLEKLTTSMQTMARLISMLDWTGRNHHTAIRLYAAKVTTELAKSLQLRVVTVPGTMKFVSTLLDTDRSLKRGHPLLNADDDQDPFVDTAERQEKIQDAAGDQGQRQELLADTDNLLETPTRSTHINGQRCIIRSWRRKISEYWSVPKEQSLTDDDLLPALGMSIIYNLAGCDQNNCVEIDRVTDLIPKIIGFTSFGSAMVNSEAQQNVLVKSSLKVLQRLTSIEGEIGIALRHKISKHPFMLRNLVEILGDNNSNQELKKLAAGIIRNLAIDRDTRQEIGHMQVLIDKLMKAFLNSNGPSSTNADCLLPKVAGQALAMLASENMHNCLLMLKEPKFINKLKNMIIIHDDKYIYVAASLLRYMCLNAQPELTESDLKELSYTLREVLERIMDAEGAELEILIGLSSQICKVTPTEFAQELEHGQIKRRFVKRLVDSLNANMKPSADCPGIRRVILEQSIYMMECNSRYANCFHEFRMMDALLMVEDTPSRVENYMVFLGDVGYMECSTPIFALVNRVKDLMGRQWLQGINSAN >LPERR07G21540.1 pep chromosome:Lperr_V1.4:7:20463600:20485368:1 gene:LPERR07G21540 transcript:LPERR07G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRACRGGPSTTAETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFTVGGYEWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSGLETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGEMFPAHKVVLAARSPVFRAQLFGPMKDKNMKCIPVEDMEAPVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKGGGFFRGYTKLCKGLAVILLLVHILIQLFPSAVTYLALVPGRTIPFAWNLITGGYVELTIPGVIISIVGLLLFGKLLEPLWGSKELSKFIFVVNFSTSVCVFITAVALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFVLKIKAKWIPSLVALISVIVSFFVKELVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSEEFSFSSFFPEFLRPVLDPIASIFHKLLCGQRAADARGQSLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAADKLVASITTVCGGALLPLISEPEKWGLSSAKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKKEGNNKSDMTGTDVQPDVENASQNGNFYHEGHGKESDLVNDNKVDSCPALASSMDHNAINNPDSNVAAMESGRCVMNSAPDEPSTSFSKKNRNDVRQKNSCTLGILTSPRKLRSSLGAELRTTEDSCGLMSSINQPCDGVISDDKHAHSFQHKCIGDEKPNTDSLPAREDDSSPGTCSKPGDSSVFIDDKNLNVIANVQDIQHIEASKSEAKQNGTVDHSMSTTVTFKRKRKPHTDHINNPIVNVEPKMDEELQSKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTTVDQRVVLENETELATRATQCHKHDLYAELGKDHSADMPPSMDPSSEVDLFMPSGDTKTSCKNKEYHSKILSLDGEAALPPSKRLHRALEAMSANATETISNPFEVQKSKEPILKSSAASGGSSPSNNSLDAIAKASRSSITKSPTISSSGHSLDTPTGEKQILHKNLPSTVPLDLKNVCNQNSMKEKVVEEVHMDNKNIPLPVCSRADNDVCEKAPIHSMESKASGNDSMEPNGGPAHDFVKNANGSTKPVSQANVVPSSNGNCNFVLHGDIRLAKPTVNVSDRTSASSLVTKISCIQSDASSQTFELHSSSATALKEQNHIMHPKGRSLSPDLMPMKELIAAAHTRRSSQPSSFIDSFLCSNVVPESSANIPSVKEWSGGQCSPSNHTIWSTTDRVHTQQSSGTIPFDNMQQKGFNKLSGHDEAISARRVFETFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLERKTLSEYIIRYHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFNLPNLICTKLLEDEEGSSSEERSFEAVTPEHEAIGANEQEASKMHVMKHRLVLEEVDGDLEMEDLAPSSEAEGKAISPCQPDLTAVRCTTTKQNVDSVPPLPDDRPPSPPPLPSSPPPLPRLPCPVFQDSQVQGALAADQARPDPPRTTYNVQEQHPHSVANNRGNTDPCSVPSHPPAPYNCGYGGHTNQMPLPPPPPPVAPFHPAAPHFPGPSVPPHHGNNYHQPPPLPPSNNAYHLQPPPHPPFPNQYPYVPPEHQQGAQPWNCNSSYPERHQFSEHDRGHHAYDRRHNFHHRGHHFDDGGHRFDDGQHFFDDGAHHFDDRVHHFDERAVRGQMHHEVVDRGRFPPHFPPGPPFPDHFDGPSTPLHCGQPPNPPPGPGPGPAWSMPPRRFKYPPPPRQSMDHPNAHEGGMVGGGMEDTIMINTLDDWDQVI >LPERR07G21550.1 pep chromosome:Lperr_V1.4:7:20489263:20491958:1 gene:LPERR07G21550 transcript:LPERR07G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRRCHLLLLLLVSALSVTTTSSAPLPVSRTFTVDQQGGGDFKFVQSAVNFVPDGNREWIRIHVKAGIYKEKITIPRGKPFILLEGDGSWNTQLAFDSHAHSTVKRTIKDLFGSSGNVTTSPTFESATFIVLADNFIARNIAFKAVAALVGGNKSAFYDCAFYDFQDTLCDFIGRHYFHRCFIKGGVDFIFGYGQSIYDNCTLESNMPSSWMLEQPGWVTAYARPSADAPGGLVFKGGSLRGAGRQYLGRAWNEFATVIFYQMSMTDIVVPQGWQSWDSSNVSSITYAEVGCEGPGANKTGRVPWEKELDEEQVQKYVDITFIDDG >LPERR07G21560.1 pep chromosome:Lperr_V1.4:7:20499319:20503883:1 gene:LPERR07G21560 transcript:LPERR07G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPSAIRLAVAAVLLAAVGVALFTLPVEKILKDFLVWIKDNLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWLLIISGFIMSVILIICVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >LPERR07G21570.1 pep chromosome:Lperr_V1.4:7:20504554:20508405:1 gene:LPERR07G21570 transcript:LPERR07G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSACFLLSAVSLLLLLAVVSSGSGASAAAGEISVLVRTTTTTTETTTSSSNADVAAEHCGDDGEWVRDGKRRRPMYEPWQCPFVDEGFRCRENGRPDDAFATWRWQPRHCTLPRFDARKLLEALRNRRLVFVGDSIGRNQWESMLCMLATAVVSGDGDGDKAAAAIYEENGSTTTKHKGELSFRFRDYNCTVEHYRSPYLVRRGRPPRRTPRRVGSTTSLRLDAMDARAHRWKDADVVVFNSGHWWSRERLRQLRCYFQVGKKLRMDMSVEAAYLRAMSTLNNWVHREVNPHKTLVIFRTYSPAHTRFSRSGSCAMETTPELNSSRISLHQWPGMLNPAFEQSKSGTAAAAKLQVLNVTMMAAQRRDGHPSVYNVAAAAGQRADCSHWCLPGVPDAWNELLYAMILRRFSWIIWDRGECENWSIGQSDHICFVFCKCYVKQYALMEFIG >LPERR07G21580.1 pep chromosome:Lperr_V1.4:7:20507692:20508111:-1 gene:LPERR07G21580 transcript:LPERR07G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTKYSHPLLPISALLLLLLLRGGEAEAAPNTAARSVLCNGAVYGVDDPFAASLAYVLGELESVTPSRADMYSISPYPNAFAYGRAACRVGLGGEDCASCLAAAVGRMDATCRHAIGARALLVDCSVRYEQYAFVDF >LPERR07G21590.1 pep chromosome:Lperr_V1.4:7:20512507:20515763:-1 gene:LPERR07G21590 transcript:LPERR07G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNSVMPERGRAPAPAPEGEGEAKEREMKVVVVDEPPGAARLQAQRPLAPLQVTTQAPPPPMSVASGGVIPQPPPPQVAANFQPGMMQTPPQVAFASLNSRVYTNGITLCVFLVHLVAATFAVGFFVYRAVEDIVRHPRSANARRERSLLRKWLPPVEGAVALSIVLAFAWQKAVRAWPRTMVAVILWSSFGVTLAVGALLMCFSMPACVGLGVAMVMFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVYISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCFAENPMSRLFDKTIPDRLEKMQEGRDPLVPTPRFPQQHP >LPERR07G21590.2 pep chromosome:Lperr_V1.4:7:20512509:20515763:-1 gene:LPERR07G21590 transcript:LPERR07G21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNSVMPERGRAPAPAPEGEGEAKEREMKVVVVDEPPGAARLQAQRPLAPLQVTTQAPPPPMSVASGGVIPQPPPPQVAANFQPGMMQTPPQVAFASLNSRVYTNGITLCVFLVHLVAATFAVGFFVYRAVEDIVRHPRSANARRERSLLRKWLPPVEGAVALSIVLAFAWQKAVRAWPRTMVAVILWSSFGVTLAVGALLMCFSMPACVGLGVAMVMFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVYISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCFAENPMSRLFDKTIPDRLEKMQEGRDPLVPTPRFPQQHP >LPERR07G21600.1 pep chromosome:Lperr_V1.4:7:20520621:20525835:1 gene:LPERR07G21600 transcript:LPERR07G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQRVPVLALVAVAHLLLKLPPTQCYWLNPEIYDTGGLSREAFSEKFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYQEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNQEGVDYYNRLIDYMVKKGITPYANLYHYDLPLALHEQYLGWLSPTIVDAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFLWFLDPIIYGRYPYSMLEIVKDRMPTFSDEESRMVKGSIDYVGINHYTSFYMKDPGAWNLTPVSYQDDWHVGFVYERNGVPIGARANSYWLYIVPWGISKAVTYVQETYGNPRMILSENGMDQPGNVSITQGVHDTVRIRYYRDYITELKKAIDGGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKDSALWFKNMLSRKKRN >LPERR07G21600.2 pep chromosome:Lperr_V1.4:7:20520672:20525835:1 gene:LPERR07G21600 transcript:LPERR07G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMALQLALVAVAHLLLKLPPTQCYWLNPEIYDTGGLSREAFSEKFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYQEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNQEGVDYYNRLIDYMVKKGITPYANLYHYDLPLALHEQYLGWLSPTIVDAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFLWFLDPIIYGRYPYSMLEIVKDRMPTFSDEESRMVKGSIDYVGINHYTSFYMKDPGAWNLTPVSYQDDWHVGFVYERNGVPIGARANSYWLYIVPWGISKAVTYVQETYGNPRMILSENGMDQPGNVSITQGVHDTVRIRYYRDYITELKKAIDGGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKDSALWFKNMLSRKKRN >LPERR07G21610.1 pep chromosome:Lperr_V1.4:7:20524412:20526299:-1 gene:LPERR07G21610 transcript:LPERR07G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARAAAVVFPNFTDVAGARALLFLADSSPAPSPPPPPPALSDEVSCYSGSSASYSATSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSSSKKRKGVWIHIEDGDEDGEDEGERNSAVASEGSAITEAASAGSTATSGKSHRPPRASGGGGGGNQLPRRADSIMKWLSRPEATPATETAIRAAVGDNNGTSKALRWLLKRQGCLRRTGNGGCRDPYVYMESELFC >LPERR07G21620.1 pep chromosome:Lperr_V1.4:7:20526994:20533138:1 gene:LPERR07G21620 transcript:LPERR07G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNPTDCTIDDGICYKHLPRNMLQIFSLELAKIQVDGGLVELYGYIAAWDGLDPLPNYIVNISRDDPITVEQGSLINMVGPKRGIDMMDYCLIEFDMRIKTGKQEKDDLQLIDGATSIGPAGQWSNLFTVHIPGDYGIVGITLSCLEWAAEATIEVNISEVKNGFNLLFGCLTSGMDKEIRLFDGDITESRGLKRSVVAVKMGSLIELKIKVGALPLLPLPSSFYQHCCFEAKVHGHDTQEIKTAFALISVKVTWSTLPRELY >LPERR07G21620.2 pep chromosome:Lperr_V1.4:7:20530686:20533138:1 gene:LPERR07G21620 transcript:LPERR07G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNPTDCTIDDGICYKHLPRNMLQIFSLELAKIQVDGGLVELYGYIAAWDGLDPLPNYIVNISRDDPITVEQGSLINMVGPKRGIDMMDYCLIEFDMRIKTGKQEKDDLQLIDGATSIGPAGQWSNLFTVHIPGDYGIVGITLSCLEWAAEATIEVNISEVKNGFNLLFGCLTSGMDKEIRLFDGDITESRGLKRSVVAVKMGSLIELKIKVGALPLLPLPSSFYQHCCFEAKVHGHDTQEIKTAFALISVKVTWSTLPRELY >LPERR07G21630.1 pep chromosome:Lperr_V1.4:7:20545748:20549062:-1 gene:LPERR07G21630 transcript:LPERR07G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFELSVVHIAAAIQLPITVPKPIQRRQQPLHSIRAPPTSLLPAPPNRNGRFSWKWTTW >LPERR07G21640.1 pep chromosome:Lperr_V1.4:7:20551477:20554284:1 gene:LPERR07G21640 transcript:LPERR07G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHASAAPASSRCRAAYAVLAALAAVPFILLLLLFHGGASPSALCLTAGDGAASRRRILYPSIAWSRVPPLQALQTSPHPELRASRWIVFAAAAHHPRHRPLPPVPGWQLLAVADEATPPGWSHPGAALLTLDDQTRLGFRSVAFLPERGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTRHFDVDLDQRQGGDVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPVNSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWSQRILWEIGGYLAVYPPTVHRVDNVHAHPFDDEKDIHVNVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWGEKDLQFMAAWLQDLVSVGYQQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEQSNSDLAVEVSNLAQAYKFLPKVFDRFAGAEGFIFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLDDNKIEWFIKQGDMVKKAIASFPFQYQANYKRSVGEHKIVHCNSEIFYLPRRHIGDFSYLVQAIGSLDIHHSIAVPMLFLAMDLPINYESKALTKLVYRTNVPSNATFATIYTAEAHAVYPVKVQNEIDFLELIRVMASGDPFLTELI >LPERR07G21650.1 pep chromosome:Lperr_V1.4:7:20554603:20559696:-1 gene:LPERR07G21650 transcript:LPERR07G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPPFATAKLHSFLLSRRQPASARRASIRCAVAGGGNGLFTQTKPEVRRVVPPPQGDDPSRRPVPRVKVVYVVLEAQYQSSVTSAVRELNADPRRAAEFEVVGYLVEELRDEETYRTFCADLADANVFIGSLIFVEELALKVKAAVEKERERMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKNSSSSFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGNADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPVTGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQTLTPYASMLEENWGKAPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDNIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGSITAFVEKTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADRDKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMKSAKIVVDRLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSNASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREERKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >LPERR07G21650.2 pep chromosome:Lperr_V1.4:7:20554603:20559696:-1 gene:LPERR07G21650 transcript:LPERR07G21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPPFATAKLHSFLLSRRQPASARRASIRCAVAGGGNGLFTQTKPEVRRVVPPPQGDDPSRRPVPRVKVVYVVLEAQYQSSVTSAVRELNADPRRAAEFEVVGYLVEELRDEETYRTFCADLADANVFIGSLIFVEELALKVKAAVEKERERMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKNSSSSFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGNADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPVTGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQTLTPYASMLEENWGKAPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDNIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGSITAFVEKTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADRDKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMKSAKIVVDRLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSVREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREERKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >LPERR07G21660.1 pep chromosome:Lperr_V1.4:7:20561858:20563742:1 gene:LPERR07G21660 transcript:LPERR07G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFGMGLYGLFISNDSPDVPASDRALKGSSLFGMFALKERPRWMRISSLDELKTKLGHIIVMILLVKMFERSKMVHITTGMDLLSYAVCIFLSSASLYILHNLHRPEHE >LPERR07G21670.1 pep chromosome:Lperr_V1.4:7:20565267:20568131:1 gene:LPERR07G21670 transcript:LPERR07G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALFLRGCCLPAAAPAFRRRPSRLVAVAAAAAPSSSSAGGDGVATTASTDAVLRGGVADGSPAAKGRGYGLVGGANGAIAPPPPATKSTTVETTIERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQEDSVMPNL >LPERR07G21680.1 pep chromosome:Lperr_V1.4:7:20570633:20577476:1 gene:LPERR07G21680 transcript:LPERR07G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGSALMSEDMVDDGYMEIVNIDISSVVIEIMRKKHFDIPQLQYMQMDVRDMSIFSDESFDCAIDKGTLDSLMITYGDPSVRVRHLNQPGCNWKIVLYILPRPGFNGKTKRSVVDPVPMTESGRLPDGFVPEDPDSHYIYVCKKLQGTTGTSSPTIHHVDTQDTSE >LPERR07G21680.2 pep chromosome:Lperr_V1.4:7:20570633:20576023:1 gene:LPERR07G21680 transcript:LPERR07G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGSALMSEDMVDDGYMEIVNIDISSVVIEIMRKKHFDIPQLQYMQMDVRDMSIFSDESFDCAIDKGTLDSLMITYGDPSVRVRHLNQPGCNWKIVLYILPRPGFNGKTKRSVVDPVPMTESGRLPDGFVPEDPDSHYIYVCKKLQGTTGTSSPTIHHVDTQDTSE >LPERR07G21680.3 pep chromosome:Lperr_V1.4:7:20570633:20575642:1 gene:LPERR07G21680 transcript:LPERR07G21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGSALMSEDMVDDGYMEIVNIDISSVVIEIMRKKHFDIPQLQYMQMDVRDMSIFSDESFDCAIDKGTLDSLMITYGDPSVRVRHLNQPGCNWKIVLYILPRPGFNGKTKRSVVDPVPMTESGRLPDGFVPEDPDSHYIYVCKKLQGTTGTSSPTIHHVDTQDTSE >LPERR07G21680.4 pep chromosome:Lperr_V1.4:7:20570633:20576023:1 gene:LPERR07G21680 transcript:LPERR07G21680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGSALMSEDMVDDGYMEIVNIDISSVVIEIMRKKHFDIPQLQYMQMDVRDMSIFSDESFDCAIDKGTLDSLMITYGDPSVRVRHLNQPGCNWKIVLYILPRPGFNGKTKRSVVDPVPMTESGRLPDGFVPEDPDSHYIYVCKKLQGTTGTSSPTIHHVDTQDTSE >LPERR07G21690.1 pep chromosome:Lperr_V1.4:7:20573851:20578099:-1 gene:LPERR07G21690 transcript:LPERR07G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNTIVLLFLVVAAAATAANARTRQGDYLNRLRGSPSSSWRGAASLAAVSPTEEDRASPSPSPSPPLSIGSKEADRVTALPGQPSRGVDFAQYAGYVTVDAASGRALFYYLAEASASPSPSPSSKAPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKSLYRNPYSWNNVANVLFLESPIGVGFSYSNTTADYGRMGDNSTAEDAYKFIVNWMERFPEYKGRDFYLAGESYAGHYVPQLARAILRHSAAGKSSINLKGIMIGNAVINDWTDAKGMYDFFWTHALISDEANDGITKHCNFSRGAADNQLCDDATSLADDCLQDVDIYNIYAPNCQSPGLVTPAITPSIENFDPCTDYYVEAYLNNPDVQKALHANVTKLDHPWSACSGVLEHWVESASTVLPIIKELLKNNIRVWMYSGDTDGRVPVTSSRLSVNQLNLPVAAKWRPWFSNTRGAGDVGGYIVEYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLAGKTLPNCEKCEEA >LPERR07G21700.1 pep chromosome:Lperr_V1.4:7:20585423:20586178:-1 gene:LPERR07G21700 transcript:LPERR07G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVCKREEENGVGGGGVVDPADSAKLYEDDVPAPMPMMALNHISRLCKSVDASVRFYDKALGFVLIHRPPALEFNGAWLFNYGVGIHLVQRDDARKAPDVNPGDLDPMDNHISFQCEDMGALEKRLSEMRIKYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRERHNPPLRLDADDGEA >LPERR07G21710.1 pep chromosome:Lperr_V1.4:7:20588009:20597892:1 gene:LPERR07G21710 transcript:LPERR07G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDLHFSGSANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLAWSRPGAVEGDSFSLGLLAGGLSDGSVAVWNPRSMINSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKLSHHSHLTWRLIILVTCKQSVGSSAQAEITYLTWNPKFQHILATASSNGMTGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGIVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFNQAAPSQGAVPSQGAQVATSEVYVHNLVIEQSLVSRSTEFESAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFNPPQEPASDSTDQLDKTLADTLNLDHGTTADASESQFLVDNGEDFFNNPQPSEASFAEESVSTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLKNTISPYLKVVSAMVGNDLMSLVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEELFFPENHAATSSVHGSSINSSVYPTVQSSYSTPDPTQNLYQGTPQYTVPSNSYADYQQQPNTAYGTYNSTYQSPQPTQMFVPSNTTPVIPQPNVTPAPVPQQTVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQSVPPTTYHQPRSSAQFQTVPPAPPAVSSASVPGTIPGQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >LPERR07G21710.2 pep chromosome:Lperr_V1.4:7:20587929:20597892:1 gene:LPERR07G21710 transcript:LPERR07G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDLHFSGSANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLAWSRPGAVEGDSFSLGLLAGGLSDGSVAVWNPRSMINSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKLSHHSHLTWRLIILVTCKQSVGSSAQAEITYLTWNPKFQHILATASSNGMTGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGIVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFNQAAPSQGAVPSQGAQVATSEVYVHNLVIEQSLVSRSTEFESAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFNPPQEPASDSTDQLDKTLADTLNLDHGTTADASESQFLVDNGEDFFNNPQPSEASFAEESVSTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLKNTISPYLKVVSAMVGNDLMSLVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEELFFPENHAATSSVHGSSINSSVYPTVQSSYSTPDPTQNLYQGTPQYTVPSNSYADYQQQPNTAYGTYNSTYQSPQPTQMFVPSNTTPVIPQPNVTPAPVPQQTVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQSVPPTTYHQPRSSAQFQTVPPAPPAVSSASVPGTIPGQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >LPERR07G21720.1 pep chromosome:Lperr_V1.4:7:20607723:20609598:1 gene:LPERR07G21720 transcript:LPERR07G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQHRIYILQFRKGEQDQEVSCKVSPPAKGAAGGGGRRVMYYYHDYGGGGAGGGKNAGKAAQGRAFSMRRFFGLLLLSFVSVATLFVAPVSFFSFVHSDDGGAAAAAKAAVATSSPAACSAMGNDSICCDRSSTRADICFARGDVRMHSASSSFLLVSPPASNSSSSSSPATAKEVEEEERIRPYTRKWEANVMATIDEVRIRRVRSSAAGDGVAGGAPRCDVTHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSRHLRRRVVFVILEYHDWWMTKYGDVVSRLSDFPPIDFSSDRRVHCFPELIAGLRIHGELTVVPNLTPDHHTSILHFRSLLDDAYRGRINYLDRLDRRSSSRHHRRRRRTAVAPPPATNFSPAERRPRLVIVSRTGSRLNASDAMVGVHGAAMTHFMFMRPGKVFIQVIPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLVRFREELVKAHRYLIAAGAGERRRRRQGERQQQ >LPERR07G21730.1 pep chromosome:Lperr_V1.4:7:20612053:20614732:1 gene:LPERR07G21730 transcript:LPERR07G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDSTDPVLATVTCAYCQREVPSLNIALHSAHCTRNLQKCEHCGDMVPRKLIDEHYDENHAPMICSLCQQSVERELWDLHKGLQCPQRMLACQYCEFELPAADIFEHQDVCGNRTEYCQPCQKYIRLRELVGHEIQFHSQPNVASESSSGRSTLEEEEESYPAEEQPVRPKSTHGLKRKQLIVAVVVAGIAILIGSVLLKKGGWFS >LPERR07G21740.1 pep chromosome:Lperr_V1.4:7:20614608:20616699:-1 gene:LPERR07G21740 transcript:LPERR07G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPEAGDKRRVELELELPLPSSPGAAAPFDLEAAVCSHGLFMMAPNRWDPASRSLLRPLRLASNRAASVTVRVSARPSSDSLLVSVLGASASALSPLDQASILAQVRRMLRLEEEDGKAVEEFQAIHAAARKAGFGRIFRSPTLFEDMVKWTRTLSMASALCELQLELRCSSSNEDFQSRTPPIRERKRKRSNKRNVRVKLETKFDDDKLVCLEGPNLTTGTSSEAVNLQTYENSFSLPSAESETCSTPEVSLEHSELSLEDCIGDFPTPEELANLDEDFLAKRCSLGYRAKRIVMLARSIVEGKVCLEKFEEILKMSEPAIEDLSTVPSTYERLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVHKELDNIYGKFAPFQFLAYWFELWGFYDKQFGKISDMEPINYRLFTASYLKKATVNS >LPERR07G21750.1 pep chromosome:Lperr_V1.4:7:20617814:20623606:1 gene:LPERR07G21750 transcript:LPERR07G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPVLLMGNRCAGTMGDKMADVVHGLLCSRLNAGRDNGEVGAKPRENQPPPAAAHAHLSKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKNQLRASKAMQDRVLNNPNITVHFNTEAADVVSNTKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKQKEITDRDVEQGFDISHTKHRGQYALRKLYHESPRIVCVLYTSPTCGPCRTLKPILNKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >LPERR07G21760.1 pep chromosome:Lperr_V1.4:7:20624056:20625516:1 gene:LPERR07G21760 transcript:LPERR07G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSPSSSSPSAPPPSKPPLRLNPAAFLLRSTHPTTSTAAAHSPRSPPTINRLISFLSSFLPRRPGPPSPTATATNAALRRAAAAEKEAEEERQLVGCAVPLFRPYVAQLPWHGGARAWLSRMFPRYGHYCGPNWSSGKEEGSVLWDRRPVDHLDFCCYCHDMAYDTHDQANLLRADLAFLRCLQTSRRTPAVDGVAAAAIYRSMCIFGLKTILIPYRTNLVRLQKGPNYADVFADFVKRIASSSGRPTGSEKQRL >LPERR07G21770.1 pep chromosome:Lperr_V1.4:7:20625408:20628963:-1 gene:LPERR07G21770 transcript:LPERR07G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAADGAAYWLRWQVFVCGALIVLPTAAAAALLPRLRRAAPPLRTTDLWVPCWARLHPGWLLGYRAFALAAAVALLVRLLVGHGVNVFFFYTQWTFLLVTIYFAFATAISAHGCWVYSTKNSKKADESHEFLSDDVENRDFSTPISGERKRDEKKITNYHEHIANEKIAGLWGRCIQIIYQTSAGATMLTDLTFWGLLVPFFYRDKFGLALVTDGMHSLNAVFLLIDTFLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLGSSGAPLWYLAMAIAHIPCFFLYWSIVKAKHTYFPRLFQHAYVRITQNVQRED >LPERR07G21780.1 pep chromosome:Lperr_V1.4:7:20630316:20633384:-1 gene:LPERR07G21780 transcript:LPERR07G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRHLCHRLQTLTPASKSAAAPAPLPFRPLPTSSHIWSRLLSTSGRDGDDPNKPWAFTPESGDPDPFAVDDVEVPADEDPLGSSAAGEDPWAKDFRAEESEKGGAFEEIFKEETATAAPASREKADADELWTLSWEDEKDPFAEAVLGEGDGLEGIGGDGAAIGEADGVEDEEEAERKRQANRAREQELMETLKGPNRAFGDLIGASGITEDMIASLILLKDVRGVPGLPPLSEIEDRAIQKMNAPSSRAEVERQMQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDAYFPILDHRADLLRPFTVTKTLGIWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGYRPCLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKRYLLFFIVLWSQHSVFPVGLVFPEGLFVLYTQKHSSLYR >LPERR07G21790.1 pep chromosome:Lperr_V1.4:7:20635946:20645010:1 gene:LPERR07G21790 transcript:LPERR07G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVPAAAERQHQQQQRGGAASASGGNSVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAPEEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDENLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDVDQELDDSERILSGKLSETSACTAGDLYDYKVVHGDDSDAEPSTEEKALETKMDLNDAQLNHLAANVSVNVQRSPNEKDPPIMVSGQDSPLSMGEILSSLDAGVPLPGPGAEYSVDRHSIKSNGTQMHAKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIVKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQFSSSRTMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHHPQNFVQSGFDMNLAFCNQEKKQRNESSAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSTLADGNSMSVNIPKATEGVEYGRQPAVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSATHGFPYKSSSPWNSPR >LPERR07G21800.1 pep chromosome:Lperr_V1.4:7:20646146:20648240:1 gene:LPERR07G21800 transcript:LPERR07G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGNLALAIIGVITAGVVIVVATVSIYMCTKVVMKSSSQEYMGNQRRTAYGGGGGGSSSQRSRSRHRGCDADVEMGSMSHFIEGLQNERPVRFNAQQVRGFTDNYAHKIGSGGFGVVYRGSFPSSGVAVAVAVKVLNSTLGKCAEEQFMAEVGTIGRTYHINLVRLYGFCFDAEVKALVYEYMDKGSLDRYLFDPNPSCSRKFIGFEKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLSGEEMAPKVSDFGLAKLCDREVTHLTITGARGTPGYAAPELWTPMPVTHKCDVYSYGMLLFEVLGRRRNLQLGVHGGRDQSQEWYPRWVWNRFEAGEMEAVLARATAASGGKEMREKLAERVCKVALWCVQYRPEDRPSMGDVVRMLEGEDHIAAPCNPFAHLAPYSAAVTAEMDGSSARAKR >LPERR07G21810.1 pep chromosome:Lperr_V1.4:7:20646954:20663091:-1 gene:LPERR07G21810 transcript:LPERR07G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPPRSAARRLRARGTRAPALAAARRGWGVSPRAVLDLPRRVTPEKPAQKAADLNDILSERGACGVGFVANLRNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRPVPFNVSVVGRYAKEAMPNIQQIFVKVAKEDNADDIERELYICRKLIERAAKSSTWADELYFCSLSSRTIVYKGMLRSEVLGQFYLDLQNELYTSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLHSPVWRGREAEIRPFGDPKASDSANLDSTAELMLRSGRSPAEALMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILQVGPENADQVTLSSPVLNEGELDSLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKALCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTIYQQHLASRPVNVLRDLLELKSDRAPIPIGKVESAISIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLVKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHTNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKSLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLARQATALENDELPGVLCTPLAAAAAAAAAIRRAALVAHVFL >LPERR07G21820.1 pep chromosome:Lperr_V1.4:7:20667262:20668614:-1 gene:LPERR07G21820 transcript:LPERR07G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTMSIVVAMFLLVASTAASASHPSCPATPPDTGATLQVSHAYGPCSPLGPSASAPSWAGFLADQSARDASRLLYLDSLAAASAKGGGRAYAPIASGRQLLQTPTYVVRARLGTPSQTLLLAVDTSNDAAWIPCAGCAGCPTSSPFNPSASSSYRAVPCGSQQCALAPNPSTCSPNTKSTCGFSLSYADSTLTAALSQDTLAVANDVVKTYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYAATFSYCLPSFKSLNFSGTLRLGKSGQPKKIKTTPLLANPHRSSLYYVNMTAIRVGKKTVSIPSPALAFDAATGAGTVIDSGTMFTRLVAPAYLAVRDEVRRRVGGAVSSLGGFDTCYNTTAVAWPPVTLVFDGMQVTLPEENVVIHSTYGTISCLAMAAAPDGVNAVLNVIASMQQQNHRVLFDVPNGRVGFARERCTSA >LPERR07G21830.1 pep chromosome:Lperr_V1.4:7:20674561:20678342:-1 gene:LPERR07G21830 transcript:LPERR07G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTRARAWEVAATARKVQPPVVGGGGGSRRRVSPMSAADDSSSACSSSSSTTSSSNDAADYVERGLPNGDFYTGQWRGGSPDGAGKYLWTDGCMYEGEWRSGKATGKGKFSWPSGATYEGEFKDGFMDGAGTYTGAAGDTYRGTWSMNLKHGRGRKSYANGDHYDGEWVSGLQHGDGRYLWRNGTEYSGQWRGGLIHGRGALVWPNGNRYDGGWEDGCPRGHGIFRWADGSVYDGQWNRDAVTGIVHQNGVYYPSPAANSPTARDPRDVFARDLPGFMGAGSGSPAAAAASMQKSLNRSAAAGNSRGSTAAAGIRNSSGGDRKYDKICIWESDGDITCDIVDGAALASMGGAMRSSVRVADGGGGEGLGVAPPSPAPATHVARWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCEFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRDNREKLLSEGSTDHDINKISTPRLSRGNVDHFLTDPNRWLKIKLGVNMPARAELTARKSDCDSQLIGEPTGKYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >LPERR07G21840.1 pep chromosome:Lperr_V1.4:7:20693923:20695358:-1 gene:LPERR07G21840 transcript:LPERR07G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESEQQTSASAAEASAEQAQDLIDAARYDDMEEVVALFSAGVPLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNAKNSENNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >LPERR07G21850.1 pep chromosome:Lperr_V1.4:7:20698580:20699239:1 gene:LPERR07G21850 transcript:LPERR07G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGERRATAAGRMAGEVMMEHGGGGGGGGGALVVCRADGFRIGRPPPVLAIEDRLDAGATYLLIPVDRLPHDVLTAASLAALTYDRATAAAAGGGGGGGIPLLTGAGGRSPFEYVKEEGGRTVIKVTEEFIVKAITGRRPPAASGGEGNGDAAAAALCSTPELRKHYEQLVGAARGRPAWSPRLETIKEKNRRRVVDVVVSPGRLSPVRLLGLDKGTR >LPERR07G21860.1 pep chromosome:Lperr_V1.4:7:20700713:20703665:-1 gene:LPERR07G21860 transcript:LPERR07G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASRVRSVGARLRPAASMAVAGCDGERRWLGTAAAAEVVESSAAAEVAAGMARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVKYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCTDLQYFEELYHYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEVAERIGVKV >LPERR07G21870.1 pep chromosome:Lperr_V1.4:7:20708419:20716671:-1 gene:LPERR07G21870 transcript:LPERR07G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDSDGDDEPSLRPQNPVAVRALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRIYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGVPIADRIASHRNALKIYSFFLLSVVVAEESAADSCNGSKVAAHGRKKNPVYSWNWEAQRGRIINLIANSLEVDLSLLFGPGGADEQYLSFISKCTFVLFENQNMLKDEETRNGLCRVIGSIATKHQRISQTSASILYLIHKFDFTVMNLAEAVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNVGRFLVELADRLPKLMSTNLGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVAAVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMESPPENDELVNDSSLGEVIEGQDESVTDSCLSSSQDPTIVDITNLEQIRALVASLEAGLRFSTCITSLMPTLVQLLASSSASDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKNPMETAKSLLSLAIDCSIGDLAALESLVSSLVSKGEISSATVTALWDYFCFHINGVRPVQSRGALSILCMAAKSSPSILSTHLQDIVDIGFGRWAKEEPLLARTACLALQRLSKEDKVKLINTSSRLVYIETSVKKIQKQKRKNDRSELTAVDLQADTSKNSEAQGINAELGLGATVDIAIESLAEKAEREIVSSSSKKNLIGYCGPFLTKLCRNLTLLQKFPELQASAMLALCKLMIIDAEFCESNLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSAPVRKNAVLVISHLILNDMMKVKGYINEMTVRIEDEDERISSLAKLFFHELLCNQHLKDETFYNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKMFEHALSEDSVMNHFRSVIAKCKKFAKPDLKACIEEFDEKLAKVHEEKKEQEATTRNAEAHKQRIGSLDGLLVTKKTGKDNGQSAEEETSEVIDPSTDRNSEDKEKMPECSDSICPEKSYTSSTFTESDDDSTEVQSVRTSRKGVPRSRINKMREPEGLEDSAPIGRASRRSISGFLVRKYFVDYT >LPERR07G21870.2 pep chromosome:Lperr_V1.4:7:20708419:20716671:-1 gene:LPERR07G21870 transcript:LPERR07G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDSDGDDEPSLRPQNPVAVRALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRIYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGVPIADRIASHRNALKIYSFFLLSVVVAEESAADSCNGSKVAAHGRKKNPVYSWNWEAQRGRIINLIANSLEVDLSLLFGPGGADEQYLSFISKCTFVLFENQNMLKDEETRNGLCRVIGSIATKHQRISQTSASILYLIHKFDFTVMNLAEAVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNVGRFLVELADRLPKLMSTNLGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVAAVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMESPPENDELVNDSSLGEVIEGQDESVTDSCLSSSQDPTIVDITNLEQIRALVASLEAGLRFSTCITSLMPTLVQLLASSSASDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKNPMETAKSLLSLAIDCSIGDLAALESLVSSLVSKGEISSATVTALWDYFCFHINGVRPVQSRGALSILCMAAKSSPSILSTHLQDIVDIGFGRWAKEEPLLARTACLALQRLSKEDKVKLINTSSRVFAALQGLITSLSLPEKIWYGAAEKAISVIYALHPAPEIFAAEIAKKLLNSIFGVLGMDCVSNENEPQNGSMWSSIQTTKLGRFLFIISHIALNQLVYIETSVKKIQKQKRKNDRSELTAVDLQADTSKNSEAQGINAELGLGATVDIAIESLAEKAEREIVSSSSKKNLIGYCGPFLTKLCRNLTLLQKFPELQASAMLALCKLMIIDAEFCESNLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSAPVRKNAVLVISHLILNDMMKVKGYINEMTVRIEDEDERISSLAKLFFHELLCNQHLKDETFYNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKMFEHALSEDSVMNHFRSVIAKCKKFAKPDLKACIEEFDEKLAKVHEEKKEQEATTRNAEAHKQRIGSLDGLLVTKKTGKDNGQSAEEETSEVIDPSTDRNSEDKEKMPECSDSICPEKSYTSSTFTESDDDSTEVQSVRTSRKGVPRSRINKMREPEGLEDSAPIGRASRRSISGFLVRKYFVDYT >LPERR07G21880.1 pep chromosome:Lperr_V1.4:7:20723367:20725561:-1 gene:LPERR07G21880 transcript:LPERR07G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAVPSPVPPASDDAESIRKALQGNCCSSCMHSCCLMESGQGRPGGDPRAMILWTMDPAERDANLVHESLKKKQRDESHCISVLIEVSCAFTPDHLIDVRRMLVRLVSSYRYEGDDEFVDMDVVRLEASQLAEAIRKKKQPNGDEVIRIVTTRSKSQLRATFQRYREDHGADITEDIDSHCSGQFARMLKNAVWCLTSPEKHFAEVIKHSILGLGTYEDMLTRVIVSRAEIDMKMIREEYRVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >LPERR07G21880.2 pep chromosome:Lperr_V1.4:7:20723367:20725561:-1 gene:LPERR07G21880 transcript:LPERR07G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAVPSPVPPASDDAESIRKALQGNCCSSCMHSCCLMESGQGRPGGDPRAMILWTMDPAERDANLVHESLKKKQRDESHCISVLIEMLVRLVSSYRYEGDDEFVDMDVVRLEASQLAEAIRKKKQPNGDEVIRIVTTRSKSQLRATFQRYREDHGADITEDIDSHCSGQFARMLKNAVWCLTSPEKHFAEVIKHSILGLGTYEDMLTRVIVSRAEIDMKMIREEYRVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >LPERR07G21890.1 pep chromosome:Lperr_V1.4:7:20728079:20731260:1 gene:LPERR07G21890 transcript:LPERR07G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRRSAEGPTTGEEAEASLAAVSSPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRNIIEPGVYPNLEAAVSAARPGDTILIAAGGTHVACNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYDSFTSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFEVGEN >LPERR07G21900.1 pep chromosome:Lperr_V1.4:7:20732797:20736678:1 gene:LPERR07G21900 transcript:LPERR07G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTYLDDARSEIIDPPKNEEMLDVTELVGDHAQLSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >LPERR07G21910.1 pep chromosome:Lperr_V1.4:7:20738199:20739333:-1 gene:LPERR07G21910 transcript:LPERR07G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGCSKSMTGGGGGAVKKPFQFARSLTYHHNQGHRLMAPPSSASSPAAAARWRRHQQQPKAVVLYTTSLRGVRRTFADCSSVRAILRGFRVAVDERDVSMDAAFRRELQQLLAARGRGFSLPQLLVGGRLVGGADEVRQLHEGGQLRRLLDGAAGQDPAYVCDGCGGVRFVPCAGCGGGRKVFVEEEDRVQRCGDCNENGLSVVDDSTIENNVFWK >LPERR07G21920.1 pep chromosome:Lperr_V1.4:7:20743382:20751442:1 gene:LPERR07G21920 transcript:LPERR07G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVEVELRREAMGEEAEAEETPAAARPTTFTELGVCPELVEACDAMGWKEPTRIQAEAIPYALQGRDVIGVGQTGSGKTAAFAIPIIQALLEHRQRFFACVLAPTRELAIQIAKQFEALGSAIGLQRSVLVGGIPWTIQTISLAKRPHVVVGTPGRLLDHLTNTKGFSLNKLKYLVLDEADDLLKVEFQKAIDDILDVKKLRRACLKNPVKVEVAPKYSLVDTLRQDLYVVPAKYKDCYLIHVLNEMPGSMIMIFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRNCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYVHRVGRTARAGQSGCAVSLVNQYEGEMFKLIESVLGGKEFAHRKVDPDEIMILSERIYDSKRFALKTMNESGYHKRMRRRVEDDEEDVEYRSPVSTRGSFKKSRRR >LPERR07G21930.1 pep chromosome:Lperr_V1.4:7:20746683:20750099:-1 gene:LPERR07G21930 transcript:LPERR07G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVANEMLLNPYIPRISWHFQNPRYNQPRKVFMCRWRIEVLVLEMVWVMLSGWLSSCIAVADDVASALRQQSWEIVVDDYINSLDIKTTACHICANKDIAISRPKPI >LPERR07G21930.2 pep chromosome:Lperr_V1.4:7:20748023:20750099:-1 gene:LPERR07G21930 transcript:LPERR07G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVANEMLLNPYIPRISWHFQNPRYNQPRKVFMCRWRIEVLVLEMVWVMLSGWLSSCIAVADDVASALRQQSVHVSL >LPERR07G21940.1 pep chromosome:Lperr_V1.4:7:20750280:20763367:-1 gene:LPERR07G21940 transcript:LPERR07G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEAAYESGGDRDVDLNSRQWNLNEKAEDAYHSDEDQYEGGRSGLNSSENKSGQNARRTGGPSGSWGTNFLKDCGPTQTAKEEPLTRDRGMEYGSAASSHEDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRHPKDDPDDVDFEPESDTEKVADKDKFVDSENSEEEEEEPELSDDDEDDFVENKRQCKRLKVAGTKTSKGRKPPAQAQRKRGVSFTDEDSSEKDSDGPSDTDPSHRSKKPDKLHQKTAVRKVVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVVSFTTDVDQSWDDVEFYIKWKGQSFLHCQWKTLSDLQNVSGFKKVLNYMKRVTDEQRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAEATWEKDTDIEFAQDAIDEYKAREAGTAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASRETCQQYEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPAKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADIRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNNKSYVEDHQLDKNNSRKRRTIDAQEKPRRRSSRTMETAVSLPLIDGSAHQVREWSFGNFPKKDATRFVRAVKKFGNPSQIDLIADDVGGVIAKAPVDKQLELFNLLIEGCQDAVKKNMDVKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKTKGGPRQAIDNESNGGARSLRGRQKDTKVKEDNNTLKDDFQKRKVVEAEAREEGEISESEAETKYRQDKEEKWWEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVSTFSSMSGEQLNDLYLKLTQDQMEAGVGPSHGGNFASVPPNRGGKSNQPHPSRNQRSTRSLQYISESFNNGENTGNSEAWKRRRRSEPDNQFDTQPLYQAPPIMTNGNRLQESSSSAGILGWGPVDMRRYGNERPKRGVHPSRFPPGHGPVL >LPERR07G21940.2 pep chromosome:Lperr_V1.4:7:20750280:20763367:-1 gene:LPERR07G21940 transcript:LPERR07G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEAAYESGGDRDVDLNSRQWNLNEKAEDAYHSDEDQYEGGRSGLNSSENKSGQNARRTGGPSGSWGTNFLKDCGPTQTAKEEPLTRDRGMEYGSAASSHEDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRHPKDDPDDVDFEPESDTEKVADKDKFVDSENSEEEEEEPELSDDDEDDFVENKRQCKRLKVAGTKTSKGRKPPAQAQRKRGVSFTDEDSSEKDSDGPSDTDPSHRSKKPDKLHQKTAVRKVVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVVSFTTDVDQSWDDVEFYIKWKGQSFLHCQWKTLSDLQNVSGFKKVLNYMKRVTDEQRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAEATWEKDTDIEFAQDAIDEYKAREAGTAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASRETCQQYEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPAKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVSLLNIVVELKKCCNHPFLFESADHGYGGDSIDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADIRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNNKSYVEDHQLDKNNSRKRRTIDAQEKPRRRSSRTMETAVSLPLIDGSAHQVREWSFGNFPKKDATRFVRAVKKFGNPSQIDLIADDVGGVIAKAPVDKQLELFNLLIEGCQDAVKKNMDVKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKTKGGPRQAIDNESNGGARSLRGRQKDTKVKEDNNTLKDDFQKRKVVEAEAREEGEISESEAETKYRQDKEEKWWEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVSTFSSMSGEQLNDLYLKLTQDQMEAGVGPSHGGNFASVPPNRGGKSNQPHPSRNQRSTRSLQYISESFNNGENTGNSEAWKRRRRSEPDNQFDTQPLYQAPPIMTNGNRLQESSSSAGILGWGPVDMRRYGNERPKRGVHPSRFPPGHGPVL >LPERR07G21950.1 pep chromosome:Lperr_V1.4:7:20766913:20771001:-1 gene:LPERR07G21950 transcript:LPERR07G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAASFASLLPHLRHRPITPPPFLHRVLSSSSSPLLTTHHLRRRRRRTLLSPAPSLSTSAAAATVEAPETTGYPVYGRLLPCPPQDDPPRIEHFVVREDEFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSVLRRRASIKGKTVREAQKTFRVADPNQLLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGIIREKQVKKIYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWYLCQMEILDCKKVPWPSSLIRKVHRVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAMANPSINPFGRGRLNYDSEDEKAAAVEAWISCHGKEPKSVIGLKASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >LPERR07G21950.2 pep chromosome:Lperr_V1.4:7:20766913:20771001:-1 gene:LPERR07G21950 transcript:LPERR07G21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAASFASLLPHLRHRPITPPPFLHRVLSSSSSPLLTTHHLRRRRRRTLLSPAPSLSTSAAAATVEAPETTGYPVYGRLLPCPPQDDPPRIEHFVVREDEVAVDFISRSLSLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSVLRRRASIKGKTVREAQKTFRVADPNQLLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGIIREKQVKKIYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWYLCQMEILDCKKVPWPSSLIRKVHRVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAMANPSINPFGRGRLNYDSEDEKAAAVEAWISCHGKEPKSVIGLKASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >LPERR07G21960.1 pep chromosome:Lperr_V1.4:7:20772210:20773583:-1 gene:LPERR07G21960 transcript:LPERR07G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVAVVTVPFPAQGHLNQFLHLSLLLASRGLAVHYAAPEPHLREARARLHGWDSDAETLATGVRFRVLDIPGGYASPPPDPTSPFPSHLIPLFESFYDGARAPLAALLADLAPRHRRVVVLHDRMAAFAAVEAARFPNAEALGVHCLAASYNVGWASPRHRLLAEHGLVFHPPEECASKEFVALAKRMGQERRRAPPSAMVVNTCRAIEGDFLDALSQFPSSDGHNVFAVGPLNPILPTSPRHRPRRHECLTWLDAQPPSSVLYISFGTTSTLRAEQIRELAAALRGSKQRFIWALRDADRGDMGAAASRRVALDILDYNMVGVVVRDWAPQLEILAHGATGAFMSHCGWNSVMESLSHGKAVLAWPMHSDQPWDAELVCKYLDAGVVVRPWEARHGVVPAEEIRGVIERAMGTEEGEAMRDRAAAIGVAVRAAVAEGGSSRRDMDDLVAYLTR >LPERR07G21970.1 pep chromosome:Lperr_V1.4:7:20774793:20779337:1 gene:LPERR07G21970 transcript:LPERR07G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHEETPFDLAFHPSSPLVATSLINGDLYLFRYAAESHPERLFLANAHKDSCRAVRFVDSGNVILTGSADCSILASDVETGKPIARLEDAHENPINCIVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHPQSVDTMLKLDEETLISGSSDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSSDRNYLGSVSHDKMLKLWDLQDLLNGQQLVNDDKLREQDSDDSDDDGMDVDMDPSSSKGSRSAKAGKSQSSGVTSDFFADL >LPERR07G21980.1 pep chromosome:Lperr_V1.4:7:20782397:20786370:1 gene:LPERR07G21980 transcript:LPERR07G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFIGSFSCPGSTNLKASWIYIARSSRALRRSEQSRQIKTAAAGGGGGAAAAMEAESEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDKGVIAFDDVKDVIMKNGRSLLECFRLFDLYHKLTTDHDTVTRITREVVEDFATENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVMLFDSNPLADKTPMSGLGGDTRKKKIYVRLLLSIDRRESTAAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKQLGIPITIHCGEVANRKEIQEVLDFCPQRLGHVCCLNGDEWKKLKSSMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLSQNAVEFVFAGDDVKISLRELFKRAEKELI >LPERR07G21990.1 pep chromosome:Lperr_V1.4:7:20787447:20792217:-1 gene:LPERR07G21990 transcript:LPERR07G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLVPFSYLAFVHYPLDAALRRSILICGAMSLGGFFVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMILLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSISIPKPLTPYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTIIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALFAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEILNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTEKTLCIRLLIFQALSCLFCFWLRYMITGWYK >LPERR07G22000.1 pep chromosome:Lperr_V1.4:7:20792772:20798200:1 gene:LPERR07G22000 transcript:LPERR07G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNKPPVYFSSNSKAPTQTHHVSSSRPPLPHHHATTKPKPSPNPNPPPPPPPSLGPGSGRPSFLSVALRRAGGQPPPAAAAAAAAAPSPAAAFDVAQLPPPPVGELHTRSRRVVFPSSLGEMSGDDQVLVFGSFTEAETKSLNGQHNANKPQELSEIQFGTLNFSALSLPKTTSSVTQGTVYPAKLIAGQEKIIAKDNACSNKKETASSTLPNGKPVMFNGFPTANANVSPNNGFIENNQKAGAALPPSMHTKNVNSSAPLPVPAADHSLVPDLTENGGPAHTTSVAAPVADQVDEVLTSVNTKDFQNKPLLPHGLRNTGNICFLNASLQALISCLPFVQLLQNLRRQNIPKVGYPTLSAFVEFISQFDVHDDSNMKKNEKFATVSAKSINPAMFDTVLRNFTPDVPAGTSARPSAVQCSDLGCTPPEQPFGC >LPERR07G22000.2 pep chromosome:Lperr_V1.4:7:20792772:20798200:1 gene:LPERR07G22000 transcript:LPERR07G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNKPPVYFSSNSKAPTQTHHVSSSRPPLPHHHATTKPKPSPNPNPPPPPPPSLGPGSGRPSFLSVALRRAGGQPPPAAAAAAAAAPSPAAAFDVAQLPPPPVGELHTRSRRVVFPSSLGEMSGDDQVLVFGSFTEAETKSLNGQHNANKPQELSEIQFGTLNFSALSLPKTTSSVTQGTVYPAKLIAGQEKIIAKDNACSNKKETASSTLPNGKPVMFNGFPTANANVSPNNGFIENNQKAGAALPPSMHTKNVNSSAPLPVPAADHSLVPDLTENGGPAHTTSVAAPVADQVDEVLTSVNTKDFQNKPLLPHGLRNTGNICFLNASLQALISCLPFVQLLQNLRRQNIPKFNGNGSNSKEGMIVSSDDDDAWETVGKKNKSAIMRTQSFVPSELTAIFGGQLQSCVKATARKSVKIHSLSKIMVLHLKRFSYGSHGCNKLPKPLRIPLELVLSRDLLSSPSSESRRYELVATITHLGNNPSRGHYIADAKTASGQWLRFDDDKVTPVSPNQVLHDQAYVLFYKQM >LPERR07G22000.3 pep chromosome:Lperr_V1.4:7:20792772:20795028:1 gene:LPERR07G22000 transcript:LPERR07G22000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNKPPVYFSSNSKAPTQTHHVSSSRPPLPHHHATTKPKPSPNPNPPPPPPPSLGPGSGRPSFLSVALRRAGGQPPPAAAAAAAAAPSPAAAFDVAQLPPPPVGELHTRSRRVVFPSSLGEMSGDDQVLVFGSFTEAETKSLNGQHNANKPQELSEIQFGTLNFSALSLPKTTSSVTQGTVYPAKLIAGQEKIIAKDNACSNKKETASSTLPNGKPVMFNGFPTANANVSPNNGFIENNQKAGAALPPSMHTKNVNSSAPLPVPAADHSLVPDLTENGGPAHTTSVAAPVADQVDEVLTSVNTKDFQNKPLLPHGLRNTGNICFLNASLQALISCLPFVQLLQNLRRQNIPKCSDLGCTPPEQPFGC >LPERR07G22010.1 pep chromosome:Lperr_V1.4:7:20800077:20800577:-1 gene:LPERR07G22010 transcript:LPERR07G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSSALNPNAAPFIPAAMRQVEDFSPEWWELVKTTAWFRDHWFHQHQMFEESASASAAAFAGEHDDDLAALLPDDELDLLDTDDLFYTPEPIHQKTSPSPVFDADVLRSLSLNSPMGGFAGGVRDQRQQQLMLTPRPHHHHGEKPAQFVGGKVAGRRVIHQPR >LPERR07G22020.1 pep chromosome:Lperr_V1.4:7:20814675:20822959:-1 gene:LPERR07G22020 transcript:LPERR07G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKGFPGFGVFDGVVESYDSEAGYFRVMYEDGDSEEVEFGEVEGMLVGSMPPILTGASAAAASPGGRRPKKRRRADEGGAVTVGMESDSVVLAVPAGGVMEEEEEEVAASPVVPAVERGRDEEDGDVVTEMVEKKRKAAVVSPGPESGRPLRRSARQAKAAARAAEMEAAAAAAEAEAAAAAAAAAEAEAETEVEVTPKQSGSRRRRANGTGRFRSVAKDLEDAAEEKLPPKPDLPASSQNLDLEGLPVLDVFQVYSCLRSFSRQLFLSPFSVEAFVVALRCMYVNPLIDWVHFCLLRAMKSHLEDLANEGDPPAVHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLNLLNTEYYIQPAVVKLELLRALCDDVLDIEAIRSEVSLRMSELDGNAELCRSTGKRRKRRTSVVKGIVDTSLTPEESSDTEDRNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDQLPEGDWFCPECLIQKNDGFRNTANPGRGAEVLGTDPHGRLYIGTCGYLLVVESTAVDSLDSPCHYYGHFDHRSLFNVLIPCHSSYSSIIDAISLFWGSAIESFYFNGRYENSKQPSIFDVETDCNHLLSSKQHIDHGQLKSEKNGSASGRACSLDSDPLDHDASDHEFSLRSAVISENGNATSDKTQQDVCSCANGLPAENQKDQSPHKMISDCYMHSKPAMYVNYYSFGQTAASAAQELKDKLSENKEGKKPGHDAASFQLKTICKKYATYFALTDQKLSVELLKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLRSEKIMKSHITLAIHIILSLEERLNGLLIGPWKNPQFSIYWHKEVLKASDVSSLKWPLLMLESNLRPVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRLASARKPGRKPSNIELKVDSRDVGVDWRRGGRLSRQVFHWKRLPQSLAHKAARQAGRIKIPTILYNDGSEFARRSKYIAWRAAVEMAENVAQLILQIKELEFNIKWTEILNTLPASLATKESQKIARLFKKVIVRRKHVEGTNVEYLLDFGKRENIPPVIAKHGKKLDDPSSERNRYWLSEGHIPLSLLKAYEAKALTRLLKKKDIDHLRKKITDLKPSKPKKSGFDYLLEKAKKQVVGLCGHCDKEVNTSDAVNCQYCEALFHKKHFKVPKGAADAYYVCNKCLAEKILKVKSPQKKAASKKASPKKKPKKQSRKIVTRKNQVVVNLNKKMGKTKGKRGRPRKYPLNVSKNESPRKNVSKNESPRKNVSNNELPRKNVSKNKSPELPVDEPASSKDEPTKRISKRLYEKYMKGNSSTSEPAAKRRRTASHHSYWLDGLRWTQNPNDDRAISFRKENVVFPCEDVELCEVFPVCRLCQKCYSGESVYIACEDCGDWFHGDIYSITLDNVSNLIGFKCHSCRLKDAPVCPHVQTDNILMAQSDKEDTISRSVEDKEEGCPTDLGADDSLEGLHGRIVEKEVSDHIIEKEVGGDSCLQVLEDHNEKKELDRQSTVKELSGHNYLTGLDDHNKLNDLDGNMEVLFTHNSMEELDSTEDSKHADGEAQCLPELNNYYSLKEFDNYDSSKELDNHNSLEECDSLKKDLWELDNHDCQKECNNQSSPKELENHRGLEELDNQKIPEDLDNHISPEEFDCTGDNEHSAAVTQMDGFLDENFEIRISGKEELTVASESGPIKESIALQPKNNSEENPVPAECDIDLQVVVTL >LPERR07G22030.1 pep chromosome:Lperr_V1.4:7:20827378:20832560:-1 gene:LPERR07G22030 transcript:LPERR07G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRSEYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDRKQKAICTERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRNSLKRVNSADLWIYTDNRDIVDMTTVRRENLRRLFMYIDKLPTVIPETVFDIYDSHVKCATASYVEIVEPLAIMLHACKAVSGSSRRLIHACHLLQWDQFLNTSQSDFLLFRPGLPAWSSFRVKTLVETLQVS >LPERR07G22030.2 pep chromosome:Lperr_V1.4:7:20827378:20832560:-1 gene:LPERR07G22030 transcript:LPERR07G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRSEYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKLILTVKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDRKQKAICTERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRNSLKRVNSADLWIYTDNRDIVDMTTVRRENLRRLFMYIDKLPTVIPETVFDIYDSHVKCATASYVEIVEPLAIMLHACKAVSGSSRRLIHACHLLQWDQFLNTSQSDFLLFRPGLPAWSSFRVKTLVETLQVS >LPERR07G22030.3 pep chromosome:Lperr_V1.4:7:20827378:20832560:-1 gene:LPERR07G22030 transcript:LPERR07G22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRSEYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKLILTVKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDRKQKAICTERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSFFFVARSRSQSCPFCRNSLKRVNSADLWIYTDNRDIVDMTTVRRENLRRLFMYIDKLPTVIPETVFDIYDSHVKCATASYVEIVEPLAIMLHACKAVSGSSRRLIHACHLLQWDQFLNTSQSDFLLFRPGLPAWSSFRVKTLVETLQVS >LPERR07G22040.1 pep chromosome:Lperr_V1.4:7:20833931:20835403:-1 gene:LPERR07G22040 transcript:LPERR07G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGRAAEALKPFHDRASDAEIRLAKLEALLLNKGGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKQLIIENEKLQYRITHLIRALKEADSR >LPERR07G22050.1 pep chromosome:Lperr_V1.4:7:20838943:20841912:-1 gene:LPERR07G22050 transcript:LPERR07G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNPTDCIIIDGSCIEHNPSNMLQIFSLELAKVQVDGRLVELYGYIAMRDDLDPLLNYIVNFSRDDPIIVEQGSLINMMGPKRGIDMMDYCLIEYDMRIKIGKEEKDDLQLIGGASSIGPAGRWNKPYTIHIPGDYGVVGITLSRLKCAAEATIEVNISEVKNGFNLLFGCLTSGMDKEIQLFDGAITESRGLKRSVVAVLIDSLIELKIKVGASPSSFYKHCCSFEAKVHGHDTQEIKTAFALISVKVTWSTLPRGLEQIQSINSL >LPERR07G22060.1 pep chromosome:Lperr_V1.4:7:20902132:20907525:1 gene:LPERR07G22060 transcript:LPERR07G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGVDEIGQSVDAVRASVVEFQRFSKAVKLAAFSPFSSAVDALNQCNAISEGIMTDDLRSFLELNLPKVKEGKKAKYSVGVVEPKVGSHVSEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYIYARLAKVVKNKSDLAEKDIPKLADITGDEDKAKEIVEAAKASMGQDLSPVDLLNVEQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSELNTSVFGQKLREQVEERLDFYDKGIAPRKNLDVMKAAIDDMTNAVSEDGAGKEKSDTSAKKRKKKKSKAEADGEEMDLYKPAKAAAEVAEPETEKKKKRKEKKHKLEEPQDQEMAANDRFEQDETPKKKKKKNREASEDVEPKTATEGKKKKKKKSKTEDDDV >LPERR07G22070.1 pep chromosome:Lperr_V1.4:7:20906511:20908520:-1 gene:LPERR07G22070 transcript:LPERR07G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAVPTLFSLNSQISIAAATHARASSPQCACVPARQLAEADQQQQQQQATTTARFRWDAVGSDPSENQKEAIRGLSPKLPNRCRALITRVVCLSPLQDEEDKEEILGALLAFWVKSMKPKRADWLLVMRELAAMESPLLVEVLEHALLEDSFEANVRDYTKLIHIYGKQKRLQKAEDAFHAMKARGLPCDQVMLTVLMDMYSKAGDLTRAKEIFEEIGLLGLPMDKRVYGSMVMAYIRADMLDKAEDLISEMGDQQIIAGREVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLADQINEATIVTRNMRNAGMTPCDKCIALILGAYEKVNKLDGALAFLTELEENGVVVGQEPSQLLAGWFRRLGVVQEVEQVLKDLAVDRKDNIEVDKKSNFYRSRKSNKVVGRRSQTVGRKSKSKRSFASSLQHK >LPERR07G22080.1 pep chromosome:Lperr_V1.4:7:20909392:20919394:1 gene:LPERR07G22080 transcript:LPERR07G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLDGKTYVSGDAISKDDVNVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGMNLPGAGAASVPAAAAPAAKDADEDDDDLDLFGDETEEDKKAAEERAVSKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEEHLTEEPINEYVQSCDIVAFNKI >LPERR07G22080.2 pep chromosome:Lperr_V1.4:7:20909392:20911990:1 gene:LPERR07G22080 transcript:LPERR07G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLDGKTYVSGDAISKDDVNVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGMNLPGAGAASVPAAAAPAAKDADEDDDDLDLFGDETEEDKKAAEERAVSKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEEHLTEEPINEYVQSCDIVAFNKI >LPERR07G22090.1 pep chromosome:Lperr_V1.4:7:20919623:20922819:1 gene:LPERR07G22090 transcript:LPERR07G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRWLGPCPSLIDQFHRWNRFQSEVRTKPSSSPFDPRELSRRRRRRRPAPAESAEVGSLMGGSSESDYYSEEEEEEELGWEEDEGFGSEEEDEEEARERALKKLEGIGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFFYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRALKHIQERRKKKQKTEGAEFNEVQEEKIVRPIPHKKPVEETDAKNKPKLSKDILAGIRCSFVT >LPERR07G22100.1 pep chromosome:Lperr_V1.4:7:20923771:20929838:1 gene:LPERR07G22100 transcript:LPERR07G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRLNQHNFFFLLREASASAAPRRRLPPLHSLLVKLGLQPYARVHNALIQAYAAAAPGLLADARKVFDGMSSRDTVSYNSMIHAYATSGDVTSARHIFDRAPERTPVTWTSMIAGLCRTGDVAAARRLFEEMPARDLVSWNAMMSGLVGNGRHAEALHLFRRMMAEEDGGLVPNRGTVLSALAACAGAGALETGKWVHAFVERKRLFRWWDEFLGTALLDMYAKCGAVELALDVFNRLRRRNTCTWNAMINGLAMNGYSAKALDMFHRMEIDGTVVPDEVTFVGVLLACSHGGFVEVGREHFYMIEKKYGVGLILEHYACMVDLLARSGHPQEAHRIIAGMPMKPDAVIWRALLGGCRLHKDVKMAETAISEMEATCSGDHVLLSNLYAAVGRCNGVEDVRSMMRNKGIEKVPGCSSIEINGSIHEFISGDKSHPSYNEIRGKLAEIGSRMQLHGYVTETAEVFYDVEEEEKEEALCHHSEKLAIAFGLIESPPNVAIRIVKNLRFCADCHKFANCSKEDNTNITVVPATRKMALVTLPDTQNENRVRIPLRCII >LPERR07G22110.1 pep chromosome:Lperr_V1.4:7:20925894:20930162:-1 gene:LPERR07G22110 transcript:LPERR07G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASLHCYPLLSLSSATSVSRPASTRAPLLQRRTRGVGWQRRRLQRCFCSQQYTERPSSSSSQQEQQQLERLFSNLNQATMEHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMNVTDWVDYQNRPVRGDMHSEKLLEGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGMGECYPVFTGFWGAMLLWKRVIGAVNGFLVFSILASFTTLVVVASGNLQWDSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTIPGLAGSGTVIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADSMSWSERYSEDLEAPVPPIVPGGKATLSFVMGGALLVIFSEIFKDIMQLQGLH >LPERR07G22110.2 pep chromosome:Lperr_V1.4:7:20925894:20930162:-1 gene:LPERR07G22110 transcript:LPERR07G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASLHCYPLLSLSSATSVSRPASTRAPLLQRRTRGVGWQRRRLQRCFCSQQYTERPSSSSSQQEQQQLERLFSNLNQATMEHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGMGECYPVFTGFWGAMLLWKRVIGAVNGFLVFSILASFTTLVVVASGNLQWDSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTIPGLAGSGTVIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADSMSWSERYSEDLEAPVPPIVPGGKATLSFVMGGALLVIFSEIFKDIMQLQGLH >LPERR07G22120.1 pep chromosome:Lperr_V1.4:7:20933234:20941140:1 gene:LPERR07G22120 transcript:LPERR07G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLGASSGKKSLNTVTLIFKLPYYTQWGQSLLIAGSEQALGSWNVKQGLSLSPVHQGNELVWSGRVSVVTGFTCEYSYYVVDDHKNILRSESGDKRKLVLPEGIQDGDVIEIRDWWQDASEALFLRSAFKNVIFNGTENAKRELQSASLNKSLDAEDTVVQFIISCPRLGAGSTVVVTGSNPQLGNWRAQDGLKLNYVGDSIWKANCLLRKSEFPFGPNREVDLDLSSSKPSRYILLSDGALRESPWRGAGVAVPMFSIRSSEDLGVGEFLDLKLLVDWAVSSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDVKDEISQAKQQLDKKDVDYEAALATKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKIVSEGTLHHDVICFHYYIQYHLHMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPSLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRGRLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELLGEGLWDFDRMSRPYIRQEMLEEKFGSFWTVIAANFLNEYTKQCYEFKEDCNTEKKIIAKLKTSSEKSLWLEKEDSIRRGLFDLIQNIVLIRDQEDSTKFYPRFNLEDTSSFTDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKAVVGSDDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAQEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKKVDGAEESGEKLAQVQLNGKA >LPERR07G22130.1 pep chromosome:Lperr_V1.4:7:20942377:20942918:-1 gene:LPERR07G22130 transcript:LPERR07G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVHPPPPQFALPISRSFSAAAADDSDSESVAESCPFPWPPVATAAGGRGDEEMEDDDDECSSCVEGDVSSDLRLQEGHDGGEEEQEAISGGGGVWWTQLVIASRCCGKGKRIRRARRRGRRRTGNSGRSASHRAIEI >LPERR07G22140.1 pep chromosome:Lperr_V1.4:7:20948296:20952492:-1 gene:LPERR07G22140 transcript:LPERR07G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLAKGGSQERGEDRHLWLQTRPPQERKETVAGGEMAGGGDDAGGERVRLFVGQVPRTDDATVIRDRATGASRGCCFVVCPCREEADKAIAAYHNKCTLPGASRPLQVKYAEGELERLAAEQNLFVGMLPRDVKENEVSALFAKYGNIRKMKLLRGPQKTSKACALMEFGSKEHVRAAIEALNGTRVVFNGSNATLVVKLADTEKEKQARRAQKAQPQPSKPLSVDLVPQLPIFGAPRMSFLPPYDVLDYKTEGTTDPELKDLMKMTNNKLEMLVTELKSVVNLLENRVTYNDPILPIQQSHLSVDHDEKQYKPNDSDSKTLEVPGNYGHTKNPLQNQASYNAMYPHVNQGNLIQGVNTIPRTDPKVSNLIQSAGYIQPPFPDLPGLRYPGVPYAGALVGDRPQYFSGGTHNHASSAANTKIGSKIEGPPRANLFVYDIPQEYRDEDLVNLFQEFGRILSTTVFIDRSTGVSKCFGFVSYDSPASAQAAIRRMNGSQIGGKMLKVQLKKET >LPERR07G22140.2 pep chromosome:Lperr_V1.4:7:20948296:20952492:-1 gene:LPERR07G22140 transcript:LPERR07G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLAKGGSQERGEDRHLWLQTRPPQERKETVAGGEMAGGGDDAGGERVRLFVGQVPRTDDATVIRDRATGASRGCCFVVCPCREEADKAIAAYHNKCTLPGASRPLQVKYAEGELERLAAEQNLFVGMLPRDVKENEVSALFAKYGNIRKMKLLRGPQKTSKACALMEFGSKEHVRAAIEALNGTRVVFNGSNATLVVKLADTEKEKQARRAQKAQPQPSKPLSVDLVPQLPIFGAPRMSFLPPYDVLDYKVPGNYGHTKNPLQNQASYNAMYPHVNQGNLIQGVNTIPRTDPKVSNLIQSAGYIQPPFPDLPGLRYPGVPYAGALVGDRPQYFSGGTHNHASSAANTKIGSKIEGPPRANLFVYDIPQEYRDEDLVNLFQEFGRILSTTVFIDRSTGVSKCFGFVSYDSPASAQAAIRRMNGSQIGGKMLKVQLKKET >LPERR07G22140.3 pep chromosome:Lperr_V1.4:7:20948296:20952492:-1 gene:LPERR07G22140 transcript:LPERR07G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLAKGGSQERGEDRHLWLQTRPPQERKETVAGGEMAGGGDDAGGERVRLFVGQVPRSMAAEEILAVIRRAAQADDATVIRDRATGASRGCCFVVCPCREEADKAIAAYHNKCTLPGASRPLQVKYAEGELERLAAEQNLFVGMLPRDVKENEVSALFAKYGNIRKMKLLRGPQKTSKACALMEFGSKEHVRAAIEALNGTRVVFNGSNATLVVKLADTEKEKQARRAQKAQPQPSKPLSVDLVPQLPIFGAPRMSFLPPYDVLDYKTEGTTDPELKDLMKMTNNKLEMLVTELKSVVNLLENRVTYNDPILPIQQSHLSVDHDEKQYKPNDSDSKTLEVPGNYGHTKNPLQNQASYNAMYPHVNQGNLIQGVNTIPRTDPKVSNLIQSAGYIQPPFPDLPGLRYPGVPYAGALVGDRPQYFSGGTHNHASSAANTKIGSKIEGPPRANLFVYDIPQEYRDEDLVNLFQEFGRILSTTVFIDRSTGVSKCFGFVSYDSPASAQAAIRRMNGSQIGGKMLKVQLKKET >LPERR07G22140.4 pep chromosome:Lperr_V1.4:7:20948296:20952492:-1 gene:LPERR07G22140 transcript:LPERR07G22140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLAKGGSQERGEDRHLWLQTRPPQERKETVAGGEMAGGGDDAGGERVRLFVGQVPRSMAAEEILAVIRRAAQADDATVIRDRATGASRGCCFVVCPCREEADKAIAAYHNKCTLPGASRPLQVKYAEGELERLAAEQNLFVGMLPRDVKENEVSALFAKYGNIRKMKLLRGPQKTSKACALMEFGSKEHVRAAIEALNGTRVVFNGSNATLVVKLADTEKEKQARRAQKAQPQPSKPLSVDLVPQLPIFGAPRMSFLPPYDVLDYKVPGNYGHTKNPLQNQASYNAMYPHVNQGNLIQGVNTIPRTDPKVSNLIQSAGYIQPPFPDLPGLRYPGVPYAGALVGDRPQYFSGGTHNHASSAANTKIGSKIEGPPRANLFVYDIPQEYRDEDLVNLFQEFGRILSTTVFIDRSTGVSKCFGFVSYDSPASAQAAIRRMNGSQIGGKMLKVQLKKET >LPERR07G22150.1 pep chromosome:Lperr_V1.4:7:20954069:20955543:1 gene:LPERR07G22150 transcript:LPERR07G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALQIVLRGKSQAAGLVSHFSTAPNSQRLAGKVAVITGAASGIGKATAAEFIRNGAKVILADVQDDLGRAVAADLAAGDDDAATYIHCDVTDESQVAAAVDLAVARHGHLDAMVNNAGIVGSLSPTPLSSLDLADFDAVIAVNTRGVLAGVKHAARVMTSPRHRGGGGSIICVASIAGVLGGVTPHPYSISKSAVIGVVRAVAGEMARHGVRVNAISPNYIATPLVMRIMAEWYPAMSAGDHRRIVEREINEMEGLILEPEDVARAAVYLASDEAKFVNGHNLVVDGGYTVGKVPNLPPPPAAK >LPERR07G22160.1 pep chromosome:Lperr_V1.4:7:20955756:20972541:1 gene:LPERR07G22160 transcript:LPERR07G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPCGRIMLAVMQRALIRGKVICRGFAAASSDSQRLAGKIAVITGGASGIGKATAEEFVKNGAKVIIADVQDELGHAVAGELGHDAVIYTRCDVADEADIAAAVDLAVSRHGRLDVMVNNAGISGDLAPTPVSALDLADFDRVMEVNARSTLAGVKHAARVMTSPRRSGGGGSIICTASIAGVMGGVAIPHYSVSKAAVVGIVRAVAGEMARHGVRVNAVSPSYVATPMAMGAMAAWYPGASVEERRRIVEREMNETVEGVTLEVGDVAMAAVFLASDEAKFVNGHNLVVDGGYTVGKGDEESCWDNNADFSCRFNRQWLLHVIQLTPKTGRQSRRDHRRGEWHRQGDGGGSDVAAAVDLAVARHGRLDVFHSNAGICGTIPNQDDVARVDMDEFDRIMSVNARAVVAAIKHAARAMAPRRDGGAVIVTSSGAGVTPVCNIPLYSISKAAAIAAVRATAEPMARLYGLRVNAISPGGVRTPLMRSVFADMMAGASEEAVRMVTEREDMMEPEFIARAALYLASDDAKFVNGHNLVVDSGYSVYKGAGTPPALIEQSAYFEQNVQNSTTPRQTSPDLVNGFSTAALNSGERLAGKVAVITGAASGIGKATAAEFIKNGAKVILADVQDDLGRAVAAELGPDASYTRCDVADESQIAAAVDLAVARHGRLDVMFNNAGILGAIMQDDMASLDMAAFDRIMAVNARSTLAGVKHAARVMSGRGGVVLCTSSVAGVLPNPISAIYSVSKATVLAVVRAAAAPMARHGVRVNAISPHGTRTPMAMRVLAEMCGPGAGEEELRRMADAAANAGVAMEPEHIAMAAVYLASDEAKYVTGHNLVVDGGFTVHKAADAGNVQNSTTPPQTSPGLVNGFSTAAFNSGERLAGKVALITGAASGIGKATAAEFIKNGAKVILADVQDDLGHAVAAELGPDATYTRCDVADESQIAAAVDLAVSRHGHLDILYNNAGIQGAMPQDDMASLDMAEFDRVMAVNARSTLAGVKHAARVMSLAAAGGRGGVVLCTSSVAGVLPIPISAIYSVSKATVIAVVRAAAAPMARHGVRVNAISPHGTRTPMMMRVLAEMCGLGVGEEEVRRMADAAADAGVAMEPEYIARAAVYLASDEAKYVTGHNLVVDGGFTVHKSADAGVSAATN >LPERR07G22170.1 pep chromosome:Lperr_V1.4:7:20974117:20975573:1 gene:LPERR07G22170 transcript:LPERR07G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTAQLLVRLAGKVAVITGGASGIGEATAKEFIANGAKVIIADIQDDLGHAVAAELGPDATYTHCDVADESQIAAAVDLAVSRHGHLDILFSNAGIGGATSQDDDMASLDMAAFDRVMAVNARSTLAGVKHAARAMSAGVVLCTASVASVLPVPEAAIYSVSKAAVVAVVRAAAAPMARRGLRVNAISPTTTVTPMVTRLPAAVLSKMFSGSNVVGIEPEYVARAAVYLASDEARYINGHNLVVDKGYSVYKAADAGVAMPAGTSN >LPERR07G22180.1 pep chromosome:Lperr_V1.4:7:20981623:20986151:1 gene:LPERR07G22180 transcript:LPERR07G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFLRRLAVPCVTTLQRSISSTQLHPTSFVRSRCSEQRNSFSGLISNGFSTAASNSGERLAGKVAVITGAASGIGKATAAAFIRNGAKVILTDVQDDLGRAVAAELGPDATYTRCDVADESQIAAAVDLAVARHGRLDVFHSNAAIPGKFPQDDMASVDLNDFDAMMAVNARASLAGIKHAARVMAPRRAGVVLCTSSAVGVIPLPAVATHSVTKSTVLAIVRAAAEPMARHGLRVNAISPGATRTPVLQEEVIPERTMEGLSEELKRMLDVEANDGLMGPEEVAMAAVYLASDEARGKNRVAASHCGGAKFSTASCRLAGKVAVITGGASGIGKATAAAFVANGAKVIIADIQDELGHAVAADLGHDAATYTHCDVTDESQVAAAVDLAVARHGRLDVMFNNAGVAGSSSPASPTVASLDLADYDRVMAVNARGVVAGVKHAARVMVPRRAGAILCTASTAGLLGGVVPPQQHAYGVSKAAVLGVVRAVAGELARHCVRVNAISPHGVATPFPAASEDKLRRVVERDMNEMDGATLEVEDVAMAAVYLASDEARLVNGHNLVVDGGFTVGKRMNMPAAAN >LPERR07G22190.1 pep chromosome:Lperr_V1.4:7:20988157:20990711:-1 gene:LPERR07G22190 transcript:LPERR07G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLFRGPLKPNPNGGQEEEKNGEEKKAEEVVTKKDLKFKYLSEATGPQIQISSDTNRGALHRGAASPSESGS >LPERR07G22200.1 pep chromosome:Lperr_V1.4:7:20993635:20995106:1 gene:LPERR07G22200 transcript:LPERR07G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDGDLVMDPEPFFYDEEATISEAAAAAERREHERQEKARKREAAAARRAAHEAALESIREYDPDEERYIYTRYHYEDLSVFDLDEVSDIAPMRFTATPCPPGQALRFVTEMVNVLTVRVILPGEYSSGQGIYGSVIARDDLDLKYDYIYIEIDLKMKMNDDQQDDKRLSKGLEMINGVLLDQYSSENEAVVQSLTLPSSTTRPCTVQIAYSYISYGLEATISVELLHYQGQRGHFCGQITACTSTIQDSILLHDSKLLAAGGVMAADCNGHTAIPLLRRVMAVSLYEMLIVTIVAQSGDGVYKRTIDFTPAVNGGDEARIVCGLTSLLVKVNWSTMNIITDE >LPERR07G22210.1 pep chromosome:Lperr_V1.4:7:20999097:20999800:1 gene:LPERR07G22210 transcript:LPERR07G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATSSAEVRSRRFSPLKCDEQDETDSITKENHRERRHICRDVQSNAARVKLVVLEPEQIEQMMRLLFDIDVLSGKNRAAASLGGSGGGAKFSTASCRLAGKVVATAAAFVRNGAKVILADVQDDLGRAVATELGHDSTTYTHCDVADESQVAAAVDLATAPSTSCSTTPASRARRRRHHPPWRHSTSLTTTASWR >LPERR07G22220.1 pep chromosome:Lperr_V1.4:7:20999836:21004436:1 gene:LPERR07G22220 transcript:LPERR07G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRAGAILCTATWCRRCRGNEMDGATLEVEDVAMTAVYLASDEARLVSGHNLVVDGGFTIGKLAGKVAVITGGASGIGKAAAAEFVANGAKVIIADVQDELGHAVAAELGHDVAEYTRCDVADESQVAAAVELALARHGVRVNAVSPHGVATPLAVSGFRGLFPAASEEELRWFIEEGMNELDGEKLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVVRRFLNMSSITEGNRINMSRIEVS >LPERR07G22230.1 pep chromosome:Lperr_V1.4:7:21005659:21006381:-1 gene:LPERR07G22230 transcript:LPERR07G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAAQILLRGRSRAGQMMLSDRLFSSSSSISKKLEGKVAMITGAGSGIGEATAREFAAVVGMVRAVARAMARHGVRVNAISPHIIPTPLALRVVSEAFPAASEEEVRRMVTREMHELEGVDLEVEDIARAAVFLASDEAKYISGHNLVVDGGFTVGKDFVRFPPASA >LPERR07G22240.1 pep chromosome:Lperr_V1.4:7:21009607:21010358:-1 gene:LPERR07G22240 transcript:LPERR07G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAAQILLRGRSRAGEMMFLPDRFFSSSSSSTSSKRLDGKVAVITGAASGIGEATAKEFVRNGAKVILADIQDDLCRAVAGDLGHDAATYTRCDVTVEAXYTRCDVTVEADVAAAVDLAVARHGRLDVVFSNAISPHIIPTPLALRVVSEAFPAASEEEELEGAELEVEDIARAAVFLASDEAKFITGHNLVVDGGFTVGKELVRSLPTPV >LPERR07G22250.1 pep chromosome:Lperr_V1.4:7:21016588:21037724:1 gene:LPERR07G22250 transcript:LPERR07G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIHLVQRLAGKVAVITGGASGIGEATAKEFVANGTKVIIADIQDDLGHAVAARLGPDAAMYTRCDVTDESQVAAAVDLAVARHGRLDVMFNNAGFTGSRAAASAPLAGLDLADFDRVMAVNARAVAAGVKHAARAMVPRRRGVILCTASVAGVIGSGLPLAYGVTKAAVIHMVRCAAGELAHHGVRVNAISPHGIATPLAMQGFGDIFPSASDEEVRRFIERDINELDGAALEAEDIARAAVYLASDEAKYVTGHNLIVDGGFTTFLGSSDVNSLRYGKVAVITGAGSGIADIHDDLGCAVAGELRRDAVDTRCDLTVKADIAAAVDLAVARCDVVFSNAGFMARDINELDDAALEAADIARAAVYLASNEAKYVTGHNLVVDGGFTVVE >LPERR07G22260.1 pep chromosome:Lperr_V1.4:7:21023274:21026345:-1 gene:LPERR07G22260 transcript:LPERR07G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVALYNEWQIQLIVLLSFILQVLLFFTGSLRQGSTNGLLRGSIWLAYLGADMVAIYALGYLSRHQDNGTLETHPLVFFWAPFLLIHLGGQDTITAFSIEDNKLWLRHLLNMILEVSLALYVVWKSTSLRNNVELLVPALLLFVSGIIKYVERTMALKYGSQNEDRNPAFILDNQQRILRLVRGDVNHELVYNALVLDGRVRHQYFHRRSARHSIGEILSMDPAKHDDCGLVAKLLDAQLSLLYNDIYTKAPLLRSKSGILVRCISQVSTVVALVIFAVLGQKQSASRLYSRADIFITYILFIGGILLEICAVFTVLMASPWTWLWLEDRRYCRLARISWSLARLLVRRPLWSGKIGQYSYVNYMGIQDESATLSQKVVSLMRKTATAIGVKDVRNKLFWVSKRLDCKYETVDDKLMECVLREIRTIRTDTNNHQQPRQYPHMTPFLQSLQLTIEEEFPFTLCQLHIVTVVLLASTSADDMKSYSADTIEAAQMCRKLSNYMMYLITAHPDSASLLHITSVFNFELTLDMVLRADTTTTSSRKKKDEILRETEDSIKANYPHFPWSMEFREEVIMELAGIWVRLLIYAAGKSRTTELHTAMLARGGELLTFVWLLMAQHSLGDVTYSRIELTPRPDDPAATDFPAVRYVFHRHETGVAEHDVAARHGEVDGRGDVGLDGEVAARVDGVTPELAGDGAAEIVMDVGDATTGAGDDGHLSVS >LPERR07G22270.1 pep chromosome:Lperr_V1.4:7:21031266:21033271:-1 gene:LPERR07G22270 transcript:LPERR07G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVELYNVWQIQLIVLLSFILQVFLFFTGRLRQGSTNGLLRGSIWLAYLGADMVAIYALGYLSRHQDNGTMETQPLVFFWSPFLLIHLGGQDTITAFSMEDNKLWMRHLLNMVLEATLLRTKSGILVRFISQLSTVVALVIFAVMGAKKQAASRFYGKADIVITYILFIGGIMLEICAIFTVLMASPWTWLWLENQRYRRLAQISWSLVRLPVRRPLWSGKIGQYSFVNYIGLNDESTFSQRVMSLMRKTATAISVKEVRNKVFWVSKRLDCTYETVDDKLMECVLREILAIKAEHNRRDRYYQQPRQWPHMKQLLQRIGDYFVTDFLYAICELHTATEVILASTSADQMKSYSADAIAAAQMCRKLSNYMMYLITAHPDSASLLQVASVKPLKYVLDMLSTADITTTSSRKKNKDEILRETEVRLKGTFGSYYRFFPWSMEQREKVIKELAGIWARLLIYAAGKSRPELHLAQLARGGELLTFVWLFMAKEDLGDVTFNRFEAIGSSEPDGGPPINLKAKYAFHV >LPERR07G22280.1 pep chromosome:Lperr_V1.4:7:21037741:21038013:1 gene:LPERR07G22280 transcript:LPERR07G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVNTISPHIIPTPMSLRVVSEAFPAASDEEVRRMVTREMQELEGAELEVEDIVKVAVFLVSDEAKFITGHNLIVDGGFTVRKELPGL >LPERR07G22290.1 pep chromosome:Lperr_V1.4:7:21040208:21040625:-1 gene:LPERR07G22290 transcript:LPERR07G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREEVIMELARIWVRLLIYAAGKSRTEVHAAQLARGGELLTFVWLLMAHNHLGDITCSG >LPERR07G22300.1 pep chromosome:Lperr_V1.4:7:21040665:21042366:-1 gene:LPERR07G22300 transcript:LPERR07G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLELYNVWEIQLLVLLSFILQVFLFFTGRLRQGSTNGLLRGSIWLAYLGADMVAIYALGYLSRHQDNDTMEAHPLVFFWPPFLLIHLGGQDTITAFSMEDNKLWLRHLLNLVLEVSLALYVFWKSTSLHNNVQLLVPSLFLFLSGIIKYGERTMALMYGSQKDDRNLGFKLNDQERILQLVNNDVYQELVYYALVSHQTIQREYFRRSNAAYNIGEPPRNLYPFILDYGLLDKLLDAQLSLLYNDIYTKATLLRTKNGILVRFISQLSTVVALVIFAVMGTKKQAASRLYGKADIVITYILFIGGILLEICAVFTFLMASPWTWLWLEDRRYCRLARISLSLVRVPVRRPLWSGKIGQYSCLNYMGIKDESCSYIVMILMRKTATAIGVEDVRKKLFWVSKRLDCKYETVDNMLMECVMREIGTIELPVFVQPQQWPHMMPFLQYLRFKFVEQFPLAVCHLHIITEVILASTSADHMKSYSADAIEAAQMCRKLSNYMMYLITAHPDFANVLQITSAFSFELTLEKVFTADTTTTSSRKKNKDEILRET >LPERR07G22310.1 pep chromosome:Lperr_V1.4:7:21047571:21049589:1 gene:LPERR07G22310 transcript:LPERR07G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVELYNVWEIQLIVLLSFILQVFLFFTGSLRQGSTNGLLRGIIWLAYLGADMVAIYALGYLSRHQDNGTMEAHPLVFFWTPFILIHLGGQDTITAFSMEDNKLWSRHLLNLVLEVSLALYVFWKSTSLRNNVQLLVPALLLFIAGIIKYVERTVALMYGSQNDDRKPGFKLNDQERILQLVKSDVYHELVYYALVSDHSVQYEYFQRRTTGYKIGETPINLNPVGLNDYGLVAKLLDVQLSLLYNDIYTKATLLRTKSGILVRFISQLSTVVALLIFAVMGGAKKRAASSLYGNVDIVITYILFIGGILLEICAVFTVLMASPWTWLWLEDRRYRRLARISWSLVRIPVRRPLWSGKIGQYSCVNYMGINDESITFSQRVMSLMRKTATAIGVKNVRKKLFWVSKRLDCKYETVDDKLMECLVREIRTIIAEYDEDEDDKQPRQWPHMMPFLQKLGSTFVTAFLSAVCQLHIVTEVILASTSADHMKAYSADSIAAAQMCRKLSNYMMYLVTAHPDSAILLQVTSIISLELALDMYLTVPPTTASSRKKNKDEILREIGDYHKANHSRYECFPWSMEQREEVIKELAGIWVRPLIYAAGKSRMELHAAQLDRGGELLTFVWLLMAQHDLGDITFNRVELNGRPDDPSTDLHVLYALHMSSPDSPSISSM >LPERR07G22320.1 pep chromosome:Lperr_V1.4:7:21051882:21053030:-1 gene:LPERR07G22320 transcript:LPERR07G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAVLASSEGVKGTIFFAQEGDGPTTVKGSISGLKPGLHGFHVHTLGDTTNGCMSTGPHFNPNGKEHGAPKDENRHAGDLGNITAGADGVAHVDISDKQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >LPERR07G22320.2 pep chromosome:Lperr_V1.4:7:21051882:21053030:-1 gene:LPERR07G22320 transcript:LPERR07G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAVLASSEGVKGTIFFAQEGDGPTTVKGSISGLKPGLHGFHVHTLGDTTNGCMSTGPHFNPNGKEHGAPKDENRHAGDLGNITAGADVHLTSVMFFECQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >LPERR07G22330.1 pep chromosome:Lperr_V1.4:7:21056486:21057708:-1 gene:LPERR07G22330 transcript:LPERR07G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKEMPQAWWFDSHSLARPSPWLNNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHSNGTRQTVFGPASCTQSRSQIGSKETGSRNSVCSGSDNYDSESEVDDPEQEEYMEELSESDAEKAPQIQAEEVRMMLVEIEMLKEKNAELQKANAEMEMLKEKNAELKKANAEIGMIKEKNAELKKANAEIERIKEKNAELLKASAEIEMLKNKNAELQRVSEENTTLKAELAAKDEEKREVIRQLASSFDIVKKENCTLRECIKNSKSSSSSRGFDLKKLTKDLFSAKLFTAHCKATTGPMVVL >LPERR07G22340.1 pep chromosome:Lperr_V1.4:7:21065189:21069535:-1 gene:LPERR07G22340 transcript:LPERR07G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVCGGLGEPLRRRAAGSTHWRDTSNFSIALVSTLIPIALCRRPEEDTIAGTWSRRRARAPAALAITVGPMHHR >LPERR07G22350.1 pep chromosome:Lperr_V1.4:7:21082719:21087328:1 gene:LPERR07G22350 transcript:LPERR07G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRPLATKESDEGPVMHKAYDLEPEEGHKQKRKRIMNWFVLDSDCYEDVSPNSDYNSWVDAETSKQGIRFKISLHAKAPPCFSRLLFKTDDAECNSPAMPNIPCGKTTISIGAMSSCYNAILLHTYVTDPKFEIFHEYFLLKNDLPSPVISRLPEMPEWSGTAGLMYRDGVYYVAELCENMSLTTKTGIFMSAGQSIPEECACICIFSSSTNNWSYKRVSFPPSLTDWCWQTHKVLALDNKFWWVDLRRGLLSCDPHQEQLALDFTELPPEIILEDDDLANVVADRCADVSDGLLRFVEISRKNEYYYDYGDCKSKPCSDKVNIWSLRNGHWICDHSLRFAHLWMDESYISRGLPSKVPLFPAIYPCDPYAVYFSIDDSPNGDGRRFGVDLCKKKVIFCSKTCEGLLFMSEFTVCEFSVVPSSFRLMGKGEHATTSI >LPERR07G22350.2 pep chromosome:Lperr_V1.4:7:21082719:21086602:1 gene:LPERR07G22350 transcript:LPERR07G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRPLATKESDEGPVMHKAYDLEPEEGHKQKRKRIMNWFVLDSDCYEDVSPNSDYNSWVDAETSKQGIRFKISLHAKAPPCFSRLLFKTDDAECNSPAMPNIPCGKTTISIGAMSSCYNAILLHTYVTDPKFEIFHEYFLLKNDLPSPVISRLPEMPEWSGTAGLMYRDGVYYVAELCENMSLTTKTGIFMSAGQSIPEECACICIFSSSTNNWSYKRVSFPPSLTDWCWQTHKVLALDNKFWWVDLRRGLLSCDPHQEQLALDFTELPPEIILEDDDLANVVADRCADVSDGLLRFVEISRKNEYYYDYGDCKSKPCSDKVNIWSLRNGHWICDHSLRFAHLWMDESYISRGLPSKVPLFPAIYPCDPYAVYFSIDDSPNGDGRRFGVDLCKKKVIFCSKTCEGLLFMSEFTVCEFSGNWNRTSLGF >LPERR07G22350.3 pep chromosome:Lperr_V1.4:7:21083273:21087328:1 gene:LPERR07G22350 transcript:LPERR07G22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRPLATKESDEGPVMHKAYDLEPEEGHKQKRKRIMNWFVLDSDCYEDVSPNSDYNSWVDAETSKQGIRFKISLHAKAPPCFSRLLFKTDDAECNSPAMPNIPCGKTTISIGAMSSCYNAILLHTYVTDPKFEIFHEYFLLKNDLPSPVISRLPEMPEWSGTAGLMYRDGVYYVAELCENMSLTTKTGIFMSAGQSIPEECACICIFSSSTNNWSYKRVSFPPSLTDWCWQTHKVLALDNKFWWVDLRRGLLSCDPHQEQLALDFTELPPEIILEDDDLANVVADRCADVSDGLLRFVEISRKNEYYYDYGDCKSKPCSDKVNIWSLRNGHWICDHSLRFAHLWMDESYISRGLPSKVPLFPAIYPCDPYAVYFSIDDSPNGDGRRFGVDLCKKKVIFCSKTCEGLLFMSEFTVCEFSVVPSSFRLMGKGEHATTSI >LPERR07G22350.4 pep chromosome:Lperr_V1.4:7:21083273:21086602:1 gene:LPERR07G22350 transcript:LPERR07G22350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRPLATKESDEGPVMHKAYDLEPEEGHKQKRKRIMNWFVLDSDCYEDVSPNSDYNSWVDAETSKQGIRFKISLHAKAPPCFSRLLFKTDDAECNSPAMPNIPCGKTTISIGAMSSCYNAILLHTYVTDPKFEIFHEYFLLKNDLPSPVISRLPEMPEWSGTAGLMYRDGVYYVAELCENMSLTTKTGIFMSAGQSIPEECACICIFSSSTNNWSYKRVSFPPSLTDWCWQTHKVLALDNKFWWVDLRRGLLSCDPHQEQLALDFTELPPEIILEDDDLANVVADRCADVSDGLLRFVEISRKNEYYYDYGDCKSKPCSDKVNIWSLRNGHWICDHSLRFAHLWMDESYISRGLPSKVPLFPAIYPCDPYAVYFSIDDSPNGDGRRFGVDLCKKKVIFCSKTCEGLLFMSEFTVCEFSGNWNRTSLGF >LPERR07G22360.1 pep chromosome:Lperr_V1.4:7:21119857:21124518:-1 gene:LPERR07G22360 transcript:LPERR07G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSSTTGTGRNGLSSAGDAARVTRSKGTRSLTDPLFEDEVQAILQSPPKYDYAGDGGNDEVNDVSLHDMSATGAEDDWRVIGSMVTSGCEPSKNVATTNNSEGIPNQLLPAVQSTEKKENLTFDDVLQVYRAYPGYKKQGECVFLTERWSHGLKVMHVVKVPVNDHMMYILNAISTFLCLKDRRWLERCIPNGASFLWLPITRSCQNAIGELCIHLDRAMLPAQDFDYFDEVKGRKDFDAFISYLEQKSLGVQSIELTNFLEALRNMPTDVRDTTYLRYLSHHLSLMTSAKRADWEIDLGDICKEGSECYKEEVREIIAPLKLVWFYQILMVRPFKDINKAGKLSDYSDKNVLDIVLFIRDNLEHPPKSSDIGYTCNRLEMEKLLYYRYWEVLNRIMRSLVLKKSGLSEQVCLIEHSRTIRSCPFINQPP >LPERR07G22370.1 pep chromosome:Lperr_V1.4:7:21128269:21129348:1 gene:LPERR07G22370 transcript:LPERR07G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTFEDIPSIRGRFVPHKECQELKMNVTHPIIAFTAHYGVTHILSIMLYQKEWSYLTKPLNSHVRGGEVWLQSNSAYCKHPRTLRNNILKSSKCISTSVDRHHMDIYYPMPMDVDHPKDQYNCA >LPERR07G22380.1 pep chromosome:Lperr_V1.4:7:21136459:21136680:1 gene:LPERR07G22380 transcript:LPERR07G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGVGEIAAGGAEAEQCMQGSGSAGDRFSRECVAQSMETAGSPGECGRAREDRRSACRGRCVAERLLKAM >LPERR07G22390.1 pep chromosome:Lperr_V1.4:7:21158045:21163393:1 gene:LPERR07G22390 transcript:LPERR07G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVAAQLARLGAQLNLSTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIVKKKQFFRNFMTITLFGAVGTMISFFTIAIAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNLDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKAPHEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASSHAVTSEPSSPKSLNSPLLTSRQGSDVESSTHIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >LPERR07G22390.2 pep chromosome:Lperr_V1.4:7:21157718:21163393:1 gene:LPERR07G22390 transcript:LPERR07G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVAAQLARLGAQLNLSTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIVKKKQFFRNFMTITLFGAVGTMISFFTIAIAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNLDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKAPHEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASSHAVTSEPSSPKSLNSPLLTSRQGSDVESSTHIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >LPERR07G22400.1 pep chromosome:Lperr_V1.4:7:21163567:21167268:1 gene:LPERR07G22400 transcript:LPERR07G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSVIQDVKGEIGAISRRSFRSSRRPGHVRRVAAAAAEQPPDDDAPAAQGCCWTQLPPELLREVLTRVEESTEGRWPRRRDVVACAAVCRSWRGIVSEIVRTPEASGKLTFPISLKQPGPRDTPLKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKGSDTYIGKLRSNFLGTKFTVYDAHPPYAGDVISKSQSARVIGSNHVSHRAPAGNYPVSHISYELNVMGSRGPRRMNCVMDSIPVSAIEQGGTAPTQTEFPLSNHDSFTSIPFFRSKSFRSNRSSAPLLAQNGSKLALKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDQSNPTNQEQEDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >LPERR07G22400.2 pep chromosome:Lperr_V1.4:7:21163567:21169129:1 gene:LPERR07G22400 transcript:LPERR07G22400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSVIQDVKGEIGAISRRSFRSSRRPGHVRRVAAAAAEQPPDDDAPAAQGCCWTQLPPELLREVLTRVEESTEGRWPRRRDVVACAAVCRSWRGIVSEIVRTPEASGKLTFPISLKQPGPRDTPLKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKGSDTYIGKLRSNFLGTKFTVYDAHPPYAGDVISKSQSARVIGSNHVSHRAPAGNYPVSHISYELNVMGSRGPRRMNCVMDSIPVSAIEQGGTAPTQTEFPLSNHDSFTSIPFFRSKSFRSNRSSAPLLAQNGSKLALKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDQSNPTNQEQEDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >LPERR07G22410.1 pep chromosome:Lperr_V1.4:7:21167874:21170223:-1 gene:LPERR07G22410 transcript:LPERR07G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLTTPPFAARCRVRLNSGCSPANFRLSPSRSRRAGDLRAAGLGSFLGYATGDHKNKNHEVDDVAAPARLFVGLPIDTVTDGGAAAANKARGIAAGMRAMKLLGVDGVELPVFWSVAQPDSPDRFAWAGYRAVADMARDEGLCLRVTLHFHGSPTAGAGAGGGVPMLPVWVATAAADDPDIVFTDRSGGRHEDCLSFAVDELPVLHGRSPLDCYEAFFRSFAENFKDLFDSTITDVTVGLGPNGELRYPSYPPGTDGRGFTGVGEFQCYDKYMLSRLRRHAADSGHPLWGLSGPHDAPLYSDDSPDSSGFFTDSGSWRTPYGDFFLSWYSGELVSHGHRVLSLTNSILPNSAAGGIELSAKVPFMHWWHGAASRPAEAAAGLYKIGGKKNGYSPAAKMFAANLCTMIIPGMDVCMNKQRSRISGSSPDKLMVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVVTAERVRPGHFTYQRMGEEFFSPEHWPAFVEFVRGVVCGEWDGDGDEDEQGEDGGDDVGRDVASSSNAREAQAV >LPERR07G22420.1 pep chromosome:Lperr_V1.4:7:21171821:21180096:1 gene:LPERR07G22420 transcript:LPERR07G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLRRGLSLLLHRPPSSLPRLPSTHHLSAAAAMQPVVEPDVGYLEAVTQKRIRMFQEIQARQALERLNIAGAGDLIKITLPDGAVKEGKKWVSSPMDIAKEISTGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYNGVTLNDTHFGIIDAQAQKAVAEKQPFERIEVSRAEALDMFAENKFKAKILIMLVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGQADRESLQRVYGISFPDSKRLKEYKHMLEEAKKRDHRLLGQSQDLFFFHPLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQIKDEVKGVLEFINYVYEIFGFKYELELSTRPDKYLGDIETWNKAEEQLTEALNEFGKPWKCTYSDVCMQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNTVEYAKQVRARIHEAGFHVAVDETDRTIQKKVREAQLAQYNYILVVGAKEAETGKVVTLHPYFSVSVRVRDSASAEPAPASIDDVITRFKEEVAAYK >LPERR07G22430.1 pep chromosome:Lperr_V1.4:7:21180834:21183378:-1 gene:LPERR07G22430 transcript:LPERR07G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADDEHQQHKSGDGGTAAAAQCDFCGGLPAVVYCRADSARLCLPCDRHVHAANTVSTRHSRSPLCSGCRSAPAAAVAGGGGGFLCSSCCELDGVAGDGGMVTVVEGYSGCPSIGELAAILGVAVAGDKAAAAGGGDGWWVEEHSWVGVSLDDVIVPITTCHRGLALSSPENGSSTDGEVLRQLGELAKSEAAATAFVGIEMAGDDQLLSWASPEFTSGHGGDFVTEATSTTVPSCQHETWVTTDSTDPTDASKRKITPEEIQPSSSSAEPCLSSFVEISEICPSMSYSSSEINNGIHGHDPATPSLPTQADLPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRVKGRFAKSNQA >LPERR07G22440.1 pep chromosome:Lperr_V1.4:7:21185952:21187505:-1 gene:LPERR07G22440 transcript:LPERR07G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSNASGTARLLTVPALLLLLSSAALLVFLILPSLSPSPATTAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLASNSLPLLASRDSWHRLRKGINPRTREQQLFDINRHHGISHYPDEEAANHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAAKLRPQRGRIFVSHNIKFCSRLGGDECTQRLTELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >LPERR07G22450.1 pep chromosome:Lperr_V1.4:7:21192573:21197566:1 gene:LPERR07G22450 transcript:LPERR07G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAAANASRPPPAPAPAAKQAADAAEAEVGNVSEKGEKTAAAVAGPPVKRERRSRSSRSAAAAQHADVRIGGSFANKARGEQVAAGWPAWLSAVAGEAIDGWTPRRADTFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASMFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATAALESEFFQMEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRTAGGRANGDGARKTRTRDRPRAVPAPEANAELQANIDKRRLITHNAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNPKRKHKSGRSSKQPATARAR >LPERR07G22450.2 pep chromosome:Lperr_V1.4:7:21192573:21197742:1 gene:LPERR07G22450 transcript:LPERR07G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAAANASRPPPAPAPAAKQAADAAEAEVGNVSEKGEKTAAAVAGPPVKRERRSRSSRSAAAAQHADVRIGGSFANKARGEQVAAGWPAWLSAVAGEAIDGWTPRRADTFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASMFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATAALESEFFQMEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRTAGGRANGDGARKTRTRDRPRAVPAPEANAELQANIDKRRLITHNAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNPKRKHKSGRSSKQPATARAR >LPERR07G22460.1 pep chromosome:Lperr_V1.4:7:21197104:21200472:-1 gene:LPERR07G22460 transcript:LPERR07G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRIDGRTVAEFSIDGGGAVAGEKLLENARRRIAVAGGAGCWDEAYSSLFASCADIASDNELHSRLTWHLTGCFQADSGRPPLPPCAADSDMVNCRNRLTESESKVFIEFFIEANHLCHQLQAEAFKKSTEKLVNDLTRSARSASEKLSLIEEMSQLISEESDQLRRTLSSISDQTENLAISSDEIRAKISDVLARSSAIIDQSREIAGAQAELKKGQSEMREKLAAGMKEVEEMYRVVGEEMGRLKEEAMGIGREVRVVGDGMAARLGDLQIAAGEIGVVAGRSLENQMKLLDGQAKAMEGIDDLYRFQAMALQESRETIQKLAQFGKQQQQELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQANIFAALNKLYVLHNAILVESRFIKAFFFYCCITFLIYMLTSAKQTFAIRGHLYFGLCITLMLEIVVIKLGADDFSKQFLIMDYEVLNHQLLQTLMEKVRAIEGNAGGGDKMNPWSTGSDGSSSIGDCSWVFDEQLQDEVDSKIDPDFALPGDICGNVSVLPEEVDENSVTASIARRYNLRPRIRPR >LPERR07G22470.1 pep chromosome:Lperr_V1.4:7:21201790:21206575:-1 gene:LPERR07G22470 transcript:LPERR07G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAAAARARCLLSSPAAASGISGILSAPRVVCAALADSGALPLLDGVSPSPSPPLQARSFSTAAFSSRLPRNLLSPSISRNENPVCYHMTATHFSTEASDIDQPTEAVEELYQKMLKSVEAETMPPNAWQWSMISSCSNVEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYATRFVKSGVKLHASAFDIWMDFAAKVGDSQSIWIANSLRGKSVKRYSLATGFACVKGLLLERKPERAAAMIKLLHKHSPDEKKPLVKDELQKLVAEWPAEVIKRQKKDDRKALEEALIRDIPEMISTMPKLRLDIPVNLDKLTPQLEAA >LPERR07G22480.1 pep chromosome:Lperr_V1.4:7:21207368:21209318:-1 gene:LPERR07G22480 transcript:LPERR07G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAAAMAFIAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNFIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKNAIFSVTVGSNDFLNNYLMPVLSAGTRKTINRVGENECVKLPNQLASKYNGRLRELLIQLNSELPGFETASMACCGNGGSYDGMVPCGPASSMCSNRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLLSL >LPERR07G22490.1 pep chromosome:Lperr_V1.4:7:21211628:21228333:1 gene:LPERR07G22490 transcript:LPERR07G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLLLLLICCYAPTSTTVAGGEVPYYENPECATTVNASTYEPNSTYHANLVALAAELIRNSTAYGSAAGSAGTAPDVVYGVALCRGDSKGSYCAEHLGEVFDAVMNRSDSPRCVFHKNVTIYYDRVQVRFSDADFLSGYNNEPEGAWNNTNLVSATAAERFREHVAELMTAVAAAAANNSMDRYGTGVSWFEDGKPVYGLAQCTRDMSPERCGGCLRRVVDEIPVKMKGSQKGGRILGVRCIVRYETDDKFFEMDNATLFLHMPKSSRKSKAWLWVIVVLISTVVCFSACFVLPRELKKRRQRERARLELRRLSMAVHNVVTLWRLEEGNSGFSLYDFSQIRDATDNFASENKLGQGGFGSVYKGLLPNGSEVAAKRLAACSGQGLLEFKNEIQLVAKLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDMFIFDNVKRELLDWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKASNILLDGEMNAKISDFGIARIFGSNAAQSSTTRVVGTIGYIAPEYALDGVCSSKADVFSLGVLILEIISGKRTGGSYRYNNGKLYCLIAYAWLLWKDGKWHELIDECLGDMYYASIRTCMQVALLCAQEDAEDRPAMDDVVKMLSNEQATMPEPKHSAYFNVRPSGGGDTPASACNISISMITPRIFNNRALGVQHIFYQIQEELLVWKEAMPSQFEDCSGAAYYAANSTYQSNLAALADELIRNASAYGSAAGSFGAAPDAVYGVALCRCDHKGPPMVYAQVQCTRDMDPGRCRACLGSIITEMPRMLNASQPGGRVLGVRCLLRYEMSESGNSFFRTENRTLHLQRDEETKKRSELRRLSMAVQNVITLWRLEEGTSGFALYDFSQLKEATNNFSSESLLGKGGFGSVYKGQLPNGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDMFIFDNVKREFLGWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKANFGIARIFGSNAAQSSTTRVVGTIGYIAPEYALDGVCSSKADVFSLGVLILEIISGKRTGGSYRYNNGKLYCLIAYSWLLWKDGKWHELIDECLGDMYYASIRTCMQVALLCAQEDAEDRPAMDDVVKMLSNEQATMPEPKHSAYFNVRPSGGADTPSSACNISISMITPSHSSVAAKST >LPERR07G22500.1 pep chromosome:Lperr_V1.4:7:21228908:21229720:-1 gene:LPERR07G22500 transcript:LPERR07G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPDALAQANITRLVPGNHEVSLTGLTNPTEWGAWAHRGHRLWASMSEEFWMHVYKVQRCPRSSSHDWTSCPYAHKGERARRRDPRRFAYVAVTCPDYRPSQPGAAPSCMHGLRCRYAHGVFELWLHPNRFRTRMCSAGLRCPRRICFFAHCSAELRDDPNSIASTILTPPPPPRVLKRVVDNSTVSTMRDQLDLIEEAVRNRLHLYSNADASSSSSSTIVGTSTPGLTLANDGEGLVGRRCNCRRCVEEEDSLLYPHYDLIMDLVNEE >LPERR07G22510.1 pep chromosome:Lperr_V1.4:7:21236618:21241605:1 gene:LPERR07G22510 transcript:LPERR07G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMERWRFVGVVVVALVLLLSTASHGRDLSFKHSEQTLNYSHTLALTLVEYASAVYMTDLTALMTWTCSRCNDLTQGFEMRSLIVDVENCLQSFVGVDYNLNAIIVAIRGTQENSVQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARKSYGDLNVIVTGHSMGGAMASFCALDLAINLGSDSVQLMTFGQPRVGNTAFSSYFAKYVPNTIRITHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSDGNITEQICDDSGEDPNCCRCISTWSLSIQDHFTYLGVDMEADDWSTCRIITAENVKQLQKDLASNILISKNSIDVTIVEPSSQAYWSNSG >LPERR07G22510.2 pep chromosome:Lperr_V1.4:7:21236260:21241605:1 gene:LPERR07G22510 transcript:LPERR07G22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRFVGVVVVALVLLLSTASHGRDLSFKHSEQTLNYSHTLALTLVEYASAVYMTDLTALMTWTCSRCNDLTQGFEMRSLIVDVENCLQSFVGVDYNLNAIIVAIRGTQENSVQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARKSYGDLNVIVTGHSMGGAMASFCALDLAINLGSDSVQLMTFGQPRVGNTAFSSYFAKYVPNTIRITHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSDGNITEQICDDSGEDPNCCRCISTWSLSIQDHFTYLGVDMEADDWSTCRIITAENVKQLQKDLASNILISKNSIDVTIVEPSSQAYWSNSG >LPERR07G22520.1 pep chromosome:Lperr_V1.4:7:21241922:21243258:1 gene:LPERR07G22520 transcript:LPERR07G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSQKSGTNRACRPKIGDLETSDVVVRLRTPEGRDEWLYCHSGVLAAGSGYFAERLSEDWPTFQILGSRYCVEVYCQEPDLSSHVTVLRLLYAAAAADSCSWFGSAPWDEAYEEEILRTIPCLGPDYECVLARLRPIDPAPVTGIFLSVFRHATSSMNSMSSELKSAAQEQLEYMLTEDDDATLLVFEDDAVKSQVKDCVAGLLNRFSGFMSSILNKKPKEGGDCEFQKELHSLVSDVSWACQILSKLEMMKCIVVYWVWVSSDVVEVVDNVCGEIGDCLKTRLKVIEVSAKVLEAIAFGNVVIPIEKRCGAVNVWIDFAWRTKPLVDQPERDDDDDDENGDAEVPKINLDSEVWHSLESAVVSIVLMLPSNSQADILWDWLQSKHAKYPDLTEAFEVWCYRSKVAKRRLSFLILH >LPERR07G22530.1 pep chromosome:Lperr_V1.4:7:21244602:21248942:-1 gene:LPERR07G22530 transcript:LPERR07G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAGVVLKNREMSCFPCSGSSGKAGVDAKSVAALSPSPRPAAHAAPDRSTSRGSGTKKEDSFRKGGTAAHDGPAQIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDHPPGKKPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLQGGYPKRGLYQALAVASMCLQEQATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETARGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNGEADVYHKSTFKLDAHEKQRSGSGKGSRQF >LPERR07G22530.2 pep chromosome:Lperr_V1.4:7:21244602:21248942:-1 gene:LPERR07G22530 transcript:LPERR07G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAGVVLKNREMSCFPCSGSSGKAGVDAKSVAALSPSPRPAAHAAPDRSTSRGSGTKKEDSFRKGGTAAHDGPAQIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDHPPGKKPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLQGGYPKRGLYQALAVASMCLQEQATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETARGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNGEADVYHKSTFKLDAHEKQRSGSGKGSRQF >LPERR07G22540.1 pep chromosome:Lperr_V1.4:7:21250972:21263494:1 gene:LPERR07G22540 transcript:LPERR07G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPETSSPAGAGTDSPVLSVRIVSIDHYMSPPLPGFDFSYSHFHGEEVEELPVIRIYGSTPAGQKTCLHIHRVLPYLYVPCPEDLLHNIEKGNSYITGLLSDLEKALQIRGSSKKKHVHGCSLVRAKKLYGYHTSEELFDGAVLNKVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRLPLPDDFHLKSSLRRKVDCSTESENKVHLDNVASREPTIWISSTVPHSLLLGSSATSHWVEGTNWNVAKRHSSLMLEADSRIEGILNEKYKTYTSLSQTTTDNKMVRSLVSIWEELEHLKLLDEAKPVDVCRPLRDVVLKSFLHDIKYESVLSMLCPKEEVSYNKAPILEESEKLEACLKSLNDIIGTITFSQNDYCDIDNYNSAGTPKENQNTNVLCLELSKQLSVERNSQCPVSSSSAERTSSQLFEELEKRVDAEALGLLSWLTSSQAAEEPTTDDELVNEAILSPLFSKKSIGVALESAQLDFDDASQQECQDILDSVEPVNGAEEPNDQMSHRSSESLDESNSRSNIIPQLDGSSDENQEILQEDGKYKISRKRAGLPSYSSPKSSSKANNTDKESSTPTGEHDPLCHSVRDMMRKRRSFRSEQLEFGNSGDATCNICIEDEILNSGGLELHELSSDISNSEIYCSGVEYLQMTFAQKPPMKNEVFSCLEDSSAGASKLGLDNLLPFFNQNAEENKQNESFEHIESSELARDALGVPTHFQNDGSALYVLTHAFLPPSVVAVGQWLTQQPCSSSASGHSYYGEKVSIDQERANKSTLSSDMGGPPVMDNSPTSKFVSEHTTTRIPDDTVMAEPDLPNQEIKNLVDSHDFSQISAGDEKDKLTPLSQIGFCDPASTGAGQQLTIVLTESRGELRPDPRFDAINVVSLAVEDDFDNTIEVHVLIRGNNGNTHRRRLNLDGVASCDVNVFSGESELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAYLGIGLLKRISRTPPHQMKHPPKNPVDESSQELPGACSADDVIDDASENNWSHTHASGIHVDGRIILNLWRLMRSEVKLNNYSLEAVADEVLRRKVPLVPTKILNRWFATGPGRGRHRCIEYAKNRSSLNLEVLNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGSQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADLQRIPDLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLERIFNARQLALKLIANVTYGYTAAGFTGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQREPIFDAKGIETVRRDTCPAVAKILEQSIRVMFEEQDLAKVRSYLERQWTRILLGKVSIQDFIFAKEVRLGTYSARSSSLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVESPYRLNAHYYIIKQIIPALQRVFGLVGADLNKWFSEMPRPVRETLAKRQSASGRSSSFSRLGLIKKRPSKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVAGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELKVVSESAGAAGYYPWCCAELF >LPERR07G22540.2 pep chromosome:Lperr_V1.4:7:21250972:21263494:1 gene:LPERR07G22540 transcript:LPERR07G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPETSSPAGAGTDSPVLSVRIVSIDHYMSPPLPGFDFSYSHFHGEEVEELPVIRIYGSTPAGQKTCLHIHRVLPYLYVPCPEDLLHNIEKGNSYITGLLSDLEKALQIRGSSKKKHVHGCSLVRAKKLYGYHTSEELFDGAVLNKVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRLPLPDDFHLKSSLRRKVDCSTESENKVHLDNVASREPTIWISSTVPHSLLLGSSATSHWVEGTNWNVAKRHSSLMLEADSRIEGILNEKYKTYTSLSQTTTDNKMVRSLVSIWEELEHLKLLDEAKPVDVCRPLRDVVLKSFLHDIKYESVLSMLCPKEEVSYNKAPILEESEKLEACLKSLNDIIGTITFSQNDYCDIDNYNSAGTPKENQNTNVLCLELSKQLSVERNSQCPVSSSSAERTSSQLFEELEKRVDAEALGLLSWLTSSQAAEEPTTDDELVNEAILSPLFSKKSIGVALESAQLDFDDASQQECQDILDSVEPVNGAEEPNDQMSHRSSESLDESNSRSNIIPQLDGSSDENQEILQEDGEHDPLCHSVRDMMRKRRSFRSEQLEFGNSGDATCNICIEDEILNSGGLELHELSSDISNSEIYCSGVEYLQMTFAQKPPMKNEVFSCLEDSSAGASKLGLDNLLPFFNQNAEENKQNESFEHIESSELARDALGVPTHFQNDGSALYVLTHAFLPPSVVAVGQWLTQQPCSSSASGHSYYGEKVSIDQERANKSTLSSDMGGPPVMDNSPTSKFVSEHTTTRIPDDTVMAEPDLPNQEIKNLVDSHDFSQISAGDEKDKLTPLSQIGFCDPASTGAGQQLTIVLTESRGELRPDPRFDAINVVSLAVEDDFDNTIEVHVLIRGNNGNTHRRRLNLDGVASCDVNVFSGESELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAYLGIGLLKRISRTPPHQMKHPPKNPVDESSQELPGACSADDVIDDASENNWSHTHASGIHVDGRIILNLWRLMRSEVKLNNYSLEAVADEVLRRKVPLVPTKILNRWFATGPGRGRHRCIEYAKNRSSLNLEVLNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGSQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADLQRIPDLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLERIFNARQLALKLIANVTYGYTAAGFTGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQREPIFDAKGIETVRRDTCPAVAKILEQSIRVMFEEQDLAKVRSYLERQWTRILLGKVSIQDFIFAKEVRLGTYSARSSSLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVESPYRLNAHYYIIKQIIPALQRVFGLVGADLNKWFSEMPRPVRETLAKRQSASGRSSSFSRLGLIKKRPSKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVAGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELKVVSESAGAAGYYPWCCAELF >LPERR07G22540.3 pep chromosome:Lperr_V1.4:7:21250972:21263494:1 gene:LPERR07G22540 transcript:LPERR07G22540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPETSSPAGAGTDSPVLSVRIVSIDHYMSPPLPGFDFSYSHFHGEEVEELPVIRIYGSTPAGQKTCLHIHRVLPYLYVPCPEDLLHNIEKGNSYITGLLSDLEKALQIRGSSKKKHVHGCSLVRAKKLYGYHTSEELFDGAVLNKVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRLPLPDDFHLKSSLRRKVDCSTESENKVHLDNVASREPTIWISSTVPHSLLLGSSATSHWVEGTNWNVAKRHSSLMLEADSRIEGILNEKYKTYTSLSQTTTDNKMVRSLVSIWEELEHLKLLDEAKPVDVCRPLRDVVLKSFLHDIKYESVLSMLCPKEEVSYNKAPILEESEKLEACLKSLNDIIGTITFSQNDYCDIDNYNSAGTPKENQNTNVLCLELSKQLSVERNSQCPVSSSSAERTSSQLFEELEKRVDAEALGLLSWLTSSQAAEEPTTDDELVNEAILSPLFSKKSIGVALESAQLDFDDASQQECQDILDSVEPVNGAEEPNDQMSHRSSESLDESNSRSNIIPQLDGSSDENQEILQEDGKYKISRKRAGLPSYSSPKSSSKASKCGGNELLWGSLALSVKKRSNVNVDSLVKTSSDSKMQTQKDLSASCMSRTGNNSHDTTDNTDKESSTPTGEHDPLCHSVRDMMRKRRSFRSEQLEFGNSGDATCNICIEDEILNSGGLELHELSSDISNSEIYCSGVEYLQMTFAQKPPMKNEVFSCLEDSSAGASKLGLDNLLPFFNQNAEENKQNESFEHIESSELARDALGVPTHFQNDGSALYVLTHAFLPPSVVAVGQWLTQQPCSSSASGHSYYGEKVSIDQERANKSTLSSDMGGPPVMDNSPTSKFVSEHTTTRIPDDTVMAEPDLPNQEIKNLVDSHDFSQISAGDEKDKLTPLSQIGFCDPASTGAGQQLTIVLTESRGELRPDPRFDAINVVSLAVEDDFDNTIEVHVLIRGNNGNTHRRRLNLDGVASCDVNVFSGESELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAYLGIGLLKRISRTPPHQMKHPPKNPVDESSQELPGACSADDVIDDASENNWSHTHASGIHVDGRIILNLWRLMRSEVKLNNYSLEAVADEVLRRKVPLVPTKILNRWFATGPGRGRHRCIEYAKNRSSLNLEVLNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGSQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADLQRIPDLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLERIFNARQLALKLIANVTYGYTAAGFTGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQREPIFDAKGIETVRRDTCPAVAKILEQSIRVMFEEQDLAKVRSYLERQWTRILLGKVSIQDFIFAKEVRLGTYSARSSSLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVESPYRLNAHYYIIKQIIPALQRVFGLVGADLNKWFSEMPRPVRETLAKRQSASGRSSSFSRLGLIKKRPSKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVAGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELKVVSESAGAAGYYPWCCAELF >LPERR07G22550.1 pep chromosome:Lperr_V1.4:7:21264234:21268127:-1 gene:LPERR07G22550 transcript:LPERR07G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWLGLGLKLLPSMMDAKLLLLLVASSLCLSAAIAAQQTCLADLDSKCDDAASGDWEGEFFPGIPKIKYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGNGADPFGAPTKAWPWEDGTNSLGMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFWHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVAKLMEDGSLDDLVRKRYQSFDSEIGVMIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >LPERR07G22560.1 pep chromosome:Lperr_V1.4:7:21269059:21272877:1 gene:LPERR07G22560 transcript:LPERR07G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAAAAFPFRLFSAEARRNTKNSSRSKRNTSKPIKPSPPPPRSSSSGGATTFTRLPLRDAPASLEVTLDRFPTANPEMGSPSFTRRNGVEEEEEVEFGGLRDSPVGGDLSIGQFDARVSNLGGMKGRGASRQMVEHLEDDDDEEEEEEEEEEEEEEQVVSRLEIFEGSKGREAREFLSVEDDDNDDDVIVFDPEYDGDGEEFEFSDGIAVSDLEELHLDDDDDDDDEDNEEDVLFHPNHSSSDEEKNDDFFEEEEESDAKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGMGRQQAGARGDDVVVKVPPGTVVRSSAGDVELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEIWLDLELKLVADVGIVGAPNAGKSTLLSAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLIHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFEKKLHAEGIEPYCISAMNRQGTQDVVHAAYKVLQKEKQKMKDNEEWIGPENLNHVADAIKRERKAPMNEFEIFHDKGTKTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEMEMVWTDEPNKNSSSKTMNSKDDSVRWPEFG >LPERR07G22570.1 pep chromosome:Lperr_V1.4:7:21273140:21274722:1 gene:LPERR07G22570 transcript:LPERR07G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSSLFSSSPATAFSSRMSRPVTSPAILPVASRRDRCFPSLKMTQPRKTLTTTVQCQKKSDASNYLHEEKEKCMDYYNEIMAVDHGCLYADAAEMSARVCMAAKDALVLASHVMKNAELNLAAPNEVSAETIHRTVKMYVDVFTAAADDSYGKKVSKDTMTSFLVALRGLAAVSHILLNDALEAVSHRLPRYSLSQYAFNSDVKAMYEDFELQMNEIEFNVNDASVAEICQIAFPLILIATGITGTIVGLMLNRRKISLEKSRAKLAACA >LPERR07G22580.1 pep chromosome:Lperr_V1.4:7:21276864:21277850:-1 gene:LPERR07G22580 transcript:LPERR07G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGGSNGGHSQATLMAFSDQPKPVSHPSPPSSPVSDHRPPSSAGGRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHHHAAAAYNFPQFLAGAFHHHHPSPPAFAAGGGGNSVEMAASASSHAGTYGGHHVAAGGGGECSTVMSTAMPVMVPVDHFEHHRASTASVNDFLFSGGVYGGGGGGDNSGYLSSVVPESCLRPNGGGGGAADHHDMRRYSDADAYGMMGIREDVDDLAQMVAGFWGGAADADQLGVCGFPANGGGGGGGAGDMVAASQGSDSYSPFSFLSH >LPERR07G22590.1 pep chromosome:Lperr_V1.4:7:21287257:21289211:-1 gene:LPERR07G22590 transcript:LPERR07G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQEPDGLDSLNPDLVKLAVRNAKETINASKGEPSTSGRIVRHVSSFEDSSEKDKTCQNEGEQGQKKKKKKKKSLKVTIR >LPERR07G22600.1 pep chromosome:Lperr_V1.4:7:21290320:21294951:-1 gene:LPERR07G22600 transcript:LPERR07G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETGPPAPQYQWKSYCRTLSLLAFQSFGVVYGDLSTSPLYVYKSALSGRLNNYRNEATIFGLFSLIFWTLTLVPLLKYIIIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGPATSSPFKRFLEKHKKLRTCLLIFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACVVLVGLFALQHRGTHRVAFVFAPIVVVWLLSIGVIGLYNIIHWNPRIFIALSPHYVVKFFKITGKDGWLSLGGVLLAMTGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSDSDLEQSFYQSVPSLACITVMFVTTWLMALVIIFVWQKNILLALLFLVAFGSIEAVYLSAAVIKVPQGGWAPIAFAFVFMLVMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPVDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYDSSEGRMAVIHTEDTTGTGLVMRNSNDEAEGTSLTRSSRSETLRSLQSIYEQESGGLSRRRRVRFQIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVTLHIPHISLVEVGMIYYV >LPERR07G22610.1 pep chromosome:Lperr_V1.4:7:21300041:21311719:1 gene:LPERR07G22610 transcript:LPERR07G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAHGGGVGGLRGAAAGGVVMMMEDDAELEEGEACGDDTAFVDPDVALSYIDEKIQDVLGHFQKDFEGASTSQSALAVAAPSISKNNGSMVQLSDDSSKKEVHQSTKVERASSTHDSLNGPSKFSDHNRFKVRIKVGSDNGLSRNNAAIYSGLGLDISSPSSVEDSPDGRGSLSPEFNNLPLESPRTILQIMTHVSIPGEFLLSPLQGNLLQLTQKVVSTSKKWETSVNTEKQERYEGHVAKRVKSDAKKKKAVDTKRSKGRNDISAVMKNEIDIETPAGQKIILEALNIPLLSNPRIMEAKDRTQFEEDPIGNTLMENKDARLKERTISSDLLAIKSENVKAEAAECLENTGVGNSEMDISAVKGEVKVKAEKAIHVEDRNTTSEKDFQLDRKQERRIKTESKCNATGVSFEGNKVMNDRTPAAGRSIGKLPSKETLLNDIIGENVSKSEARRIQKEQNMNASSSSDLLEDDRGVLSSAAVKERKHDSQSKSSNPGKKPKAKSHRDIRENLPEGSYGGKDHDTLENGSGLGELRSKEKNWKNDSERDSDMPGTSKREISSSLKSDRHTSAEDQKMHVPPSASAPTANAAPTLPAPVVIEEHWVCCDICQKWRLLPYEMNPSMLPKKWKCSMQQWLPGMNRCEFSEDETTNALNALYVIPAPGNGVTSVGHPHVPSAGLATSTIVNVNGHVEQSRKRKNALSDGNGSFEGSQLIQASVYPPSNQNAPIRSKNTTDSSQFPSERDSKSVDQFIEKKRSKSKNHGSSSDGGHLVERSKKHSKVKNKRDMDHEEYRTSKKVKKEERRHSGIDSNPGYDLASGDVPDEAKALPAKSIALNSSSERGDFPSSKHKSVSKYSSSEKSKRAKDGDVFLPEDKNKEHSYPSDVQKSDLSSKKRIVKEWEESQHNSTAQVSKGSTLNQSSSAKETCKDQNSKETKSKLTKSEEPFAMMDSKSIKIAHPNQASTYNGGNLNNELFEDSSTFAVKRGMSELLENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNIQVAKTSPIDSVSSSPPGISNNDKVSHNKVLGKDGSTSANTNHTPSSVKHTKTEVPIVDNVRQPRKSHEGMLASEPVVNDFSQGNFDKDNELSQLTQGHASNGIISGRSLDDDLQLASGRKDSSLKGSNLARGHNHLHSANKNNLLTDGSPMQHRATVLDTKGDSLVPENKRSVTPLQDRNGSTHCPPDGNPQPEVSYVKEKSHPKSSKHDMQNSKAQMLPSPLKESKVESHSGPVRSNASKPTAQLRRCNVENGGQHGITKQAIANPADTSSPVRKDNSSTGYALKEARDLKHKANRLKVPCLSSVLAVMHNNDSFCCVSFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQTIVQTPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLMRLLAYTNDVNCAFDATRKSQMAIASAGNQENGMDGLSSVKTVLDFNFQNVNDLLRLVRLSMESISC >LPERR07G22610.2 pep chromosome:Lperr_V1.4:7:21300041:21311719:1 gene:LPERR07G22610 transcript:LPERR07G22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAHGGGVGGLRGAAAGGVVMMMEDDAELEEGEACGDDTAFVDPDVALSYIDEKIQDVLGHFQKDFEGASTSQSALAVAAPSISKNNGSMVQLSDDSSKKEVHQSTKVERASSTHDSLNGPSKFSDHNRFKVRIKVGSDNGLSRNNAAIYSGLGLDISSPSSVEDSPDGRGSLSPEFNNLPLESPRTILQIMTHVSIPGEFLLSPLQGNLLQLTQKVVSTSKKWETSVNTEKQERYEGHVAKRVKSDAKKKKAVDTKRSKGRNDISAVMKNEIDIETPAGQKIILEALNIPLLSNPRIMEAKDRTQFEEDPIGNTLMENKDARLKERTISSDLLAIKSENVKAEAAECLENTGVGNSEMDISAVKGEVKVKAEKAIHVEDRNTTSEKDFQLDRKQERRIKTESKCNATGVSFEGNKVMNDRTPAAGRSIGKLPSKETLLNDIIGENVSKSEARRIQKEQNMNASSSSDLLEDDRGVLSSAAVKERKHDSQSKSSNPGKKPKAKSHRDIRENLPEGSYGGKDHDTLENGSGLGELRSKEKNWKNDSERDSDMPGTSKREISSSLKSDRHTSAEDQKMHVPPSASAPTANAAPTLPAPVVIEEHWVCCDICQKWRLLPYEMNPSMLPKKWKCSMQQWLPGMNRCEFSEDETTNALNALYVIPAPGNGVTSVGHPHVPSAGLATSTIVNVNGHVEQSRKRKNALSDGNGSFEGSQLIQASVYPPSNQNAPIRSKNTTDSSQFPSERDSKSVDQFIEKKRSKSKNHGSSSDGGHLVERSKKHSKVKNKRDMDHEEYRTSKKVKKEERRHSGIDSNPGYDLASGDVPDEAKALPAKSIALNSSSERGDFPSSKHKSVSKYSSSEKSKRAKDGDVFLPEDKNKEHSYPSDVQKSDLSSKKRIVKEWEESQHNSTAQVSKGSTLNQSSSAKETCKDQNSKETKSKLTKSEEPFAMMDSKSIKIAHPNQASTYNGGNLNNELFEDSSTFAVKRGMSELLENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNIQVAKTSPIDSVSSSPPGISNNDKVSHNKVLGKDGSTSANTNHTPSSVKHTKTEVPIVDNVRQPRKSHEGMLASEPVVNDFSQGNFDKDNELSQLTQGHASNGIISGRSLDDDLQLASGRKDSSLKGSNLARGHNHLHSANKNNLLTDGSPMQHRATVLDTKGDSLVPENKRSVTPLQDRNGSTHCPPDGNPQPEVSYVKEKSHPKSSKHDMQNSKAQMLPSPLKESKVESHSGPVRSNASKPTAQLRRCNVENGGQHGITKQAIANPADTSSPVRKDNSSTGYALKEARDLKHKANRLKVPCLSSVLAVMHNNDSFCCVSFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQTIVQTPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLMRLLAYTNDVNCAFDATRKSQMAIASAGNQENGMDGLSSVKTVLDFNFQNVNDLLRLVRLSMESISC >LPERR07G22620.1 pep chromosome:Lperr_V1.4:7:21311302:21313458:-1 gene:LPERR07G22620 transcript:LPERR07G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLRFRKLSPAAAARHLFDGIPHRVSSPIEFSAAVRSSDRASLPAFHAVAVTTGLAGFAAVTNSLAARYAKTGSFPTAVKVFDAARARDVSSYNTILSAFPDPAEALGFAAWMLRSGAVRPDAVTCTVALSLAAGQREEFAIRQLHALAWRFGLAADVFVGNALVTAYTRGGYLEEARRVFDEMLTRDRVSWNALLCGLSQDGDCPGEVIGVFLGMLKDSGVRPDRISVCSVIPACGGERNLKLGRQIHGFAVKLGVAGHVSIGNVLVAMYYKCGAPGCARRFFDAMSKRDVVSWTTAISMDGEDAAAALTLFNGMRRDGVPPNEVTFVALISAMPAECPSRDAQMIHAACIKAAVSGEAAAANSLITMYAKARSMDDARKVFDIMPRREIIAWNAMISGYAQNDRCNDALELFSSMSKCLTPNETTLASVVSAVTAVETVSMAYGQMYHSMSLKLGLAGSEYVAGALIDMCAKRGGLEESRKAFDETVHRSLIAWTAIISANAKHGSYGEVMSLFGDMVRSGVAPDGVVLLAVLAAYRYGGMVDAGRDIFESMSAYVELWPEHYACVVDMLGRAGRLTEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERVAGILTETEPMESGAYVLLSNIYAEAGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGEALHLHKFSSDDTTHPLTEEIYAVAEGLGWEMKFLKNCSQMEMI >LPERR07G22630.1 pep chromosome:Lperr_V1.4:7:21313903:21315249:1 gene:LPERR07G22630 transcript:LPERR07G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVLQPATVSAAVWPGFLASSRRSAATQLQVHSQTVPGAFLFAKVQVPLQKQLLQLQADLQLFLLLIMINPADISLILFKMRLP >LPERR07G22640.1 pep chromosome:Lperr_V1.4:7:21315642:21316322:1 gene:LPERR07G22640 transcript:LPERR07G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKRVNLMTPMEVYAVVSVSGNPMARQCTLPDRYGGRNPTWNATLHLAVPVAAAAASFIHVLLRTERALGDRDVGEVFVPVADLLAAGDCGRVSSYLVQMVGSSVAHGVLTLSYRIDAVVAPPAADAVPAYLVVPCYANAPPYVYMSRAAAANAAFPAAARKRNGGEFGEWLGGAVRGMFGGGGEMVPAASDAAAYDAGYKAGVADHRRVKF >LPERR07G22650.1 pep chromosome:Lperr_V1.4:7:21319836:21320759:1 gene:LPERR07G22650 transcript:LPERR07G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTSQSTPPDPYGGRHPSWNATLHFTVPAGAGAGGCLHVLLRAERALGDRDIGEVIVPLSEILSGGGGGPYVDMGPRPPQFASYQVRKVHRPEPRGVLHLSYRLGPVVAPPPQIVVAPPHQHHVVDAFPVSPPYEYLPPPPESYLAGRKPPPSPPISKPPPPPATRPAATGEAAVGHVVAGLPAPVAAKADRLVTSTPSPVTSSKADWQVARAPPPPESRTKGMRNNNKNGNFEFEMGLVGGMMTSDVMCDAAVYNAGYRAGLNDRSRAVY >LPERR07G22660.1 pep chromosome:Lperr_V1.4:7:21322349:21323340:-1 gene:LPERR07G22660 transcript:LPERR07G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLDYDIYDLELTAVKSNTDLRRLFIETTGKSIIVIEDIDCSIDITGKRKNSKKNKKKKKIPLPSSDDEESEEKKAFKVLAKNYLGVEKHELFSEIRQLLEEEAIEMSPADVTENLMPRSKKKDVQVCLGRLLKALHEAKEAKAARNKADSEEEEDDVNDDDSSSSSDDSNSDSKVEDPKDKKKNKASHKAKEAKVDKNKADDNGNDDFSSSNDGFNSNSEEEGQKDNKKNKKTLNTAKEVKATGNKTDVDKHYNNDDDSPNSNDDSDSKVDDRKEKK >LPERR07G22670.1 pep chromosome:Lperr_V1.4:7:21324468:21327529:1 gene:LPERR07G22670 transcript:LPERR07G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSSAAAGESSPPPLVNLGFNPSSTSFLAATATSLRVFSCFNSLHKVKDKDIVNVEISNNGGGGGGGWEVSMADIYNEAFAAVVFRRREHAVDGSITGFTDKICFWVVPNGRMYQMGKDLPFDGAVRGIRLTGEYMAVAGDDRTALYEIPHHGSPPKKVKVVETAANPLGLAAVAQPDGNARFVMVSPQKMKGMLQVHRLGEDHVYVRAHCSAVAAFEISADGRLLATAGKKGTLVRVFDTTDGKVLQEWRCCDTLNLCGLYEEKCNAKPGEITAAAAGDGLHDQINRSTTTTCAVARRVAADDARFVVKRFRPGSHNYESHYDSEQINVDAGDVKAVHVHRDKTVVVHARRIDVFGVGVDGAGKEKAAAAAVLQKRVETGENPGGVCAVSPCSAFAFACPGKNAGELRVERWAGDGFAPPLDVAAHRSCLAGIALSWDGLLVATASVKGTILRVFRVADGVLLQEASVDRADIHSIVFSSDSKWLAVSSDKGTVHVFDINVELGSTSKTSGQDASESPTSKSANQGYLSYMKGYVLPKYFKSEKSFAQFHLPKSNRYLVAFGTQPNTVLIIGMDGNFYRCQFDPIEPGEMKQIEYTNFLHM >LPERR07G22680.1 pep chromosome:Lperr_V1.4:7:21328701:21331032:1 gene:LPERR07G22680 transcript:LPERR07G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDEKETTMVFEKLFKFTGPNLKHLLERPAAEGPDPQPGRYCLRLHKNRVYYASEALVRRATAVARPRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRIWLKPDTERSFLFGNSVPKSSLARITENTKANDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQSDAGEYLRREEELM >LPERR07G22690.1 pep chromosome:Lperr_V1.4:7:21337251:21337568:1 gene:LPERR07G22690 transcript:LPERR07G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVWVFRNNGVMHLEEQVSSRKALVHVATNEVVRSMEALERRLGALGWERYYEDYTTVQLHKRDGSADLISIPRDFSRFRSTHMYDVVVKNRDHFKVVDLQQ >LPERR07G22700.1 pep chromosome:Lperr_V1.4:7:21338723:21342209:1 gene:LPERR07G22700 transcript:LPERR07G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTSRALSTILLRQYPSIIVLKTIWLIPTPRACISAIKISAASICPILQNAFINAPYVRSFGSMPFSTHSSNTSDAFRTFPARQYALMTVLYNCTSPERFFLFISSRTASTLLISRAAEKPSTRIVYVPTVGSTPSSSITFSTSATRSSSFSRVYAWSSEL >LPERR07G22700.2 pep chromosome:Lperr_V1.4:7:21338723:21342209:1 gene:LPERR07G22700 transcript:LPERR07G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTSRALSTILLRQYPSIIVLKTIWLIPTPRACISAIKISAASICPILQNAFINAPYVRSFGSMPFSTHSSNTSDAFRTFPARQYALMTVLYNCTSPERFFLFISSRTASTLLISRAAEKPSTRIVYVPTVGSTPSSSITFSTSATRSSSFSRVYAWSSEL >LPERR07G22700.3 pep chromosome:Lperr_V1.4:7:21342143:21342608:1 gene:LPERR07G22700 transcript:LPERR07G22700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVEQLAGVSDAARFAETVDDDRRRERRDGVGAGCDGLPEENAATAWSGAAVGREAAARSAKGTASKRGVIRVASSDLAAPNRRAARRDTNPASGAA >LPERR07G22700.4 pep chromosome:Lperr_V1.4:7:21342143:21342608:1 gene:LPERR07G22700 transcript:LPERR07G22700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVEQLAGVSDAARFAETVDDDRRRERRDGVGAGCDGLPEEVGGGNAATAWSGAAVGREAAARSAKGTASKRGVIRVASSDLAAPNRRAARRDTNPASGAA >LPERR07G22710.1 pep chromosome:Lperr_V1.4:7:21341003:21342673:-1 gene:LPERR07G22710 transcript:LPERR07G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDSPAASPSPSPPAPAPLYAAPLAGFVSLLAARRFGAAKSLLATLITPRLLAVPFADLAAASLPTAAPLHAVAAFYDMLFRAYADSGAPARAAEAFELTVSRLGGLDPRSLTSSLLSLRRAGHLDTAADLLRQAVASCPDSVTPLSASVVVDGFCKSGRVAHARQLLDEMPRHDVKINALCYNSLLHAYTREKDDDRVAEVLKVMEDEGVEPTVGTYTILVDGFSAARDISKVEAVLDDMKRKNLSGDVQLYSTVINAYCRAGNVRKASEVFDECVENGIEPNERTYGALINAFCKIGQMEAAEILMADMQARGVGINQIVFNTMIDGYCRKSMVDKALEVKIFMEKMGIELDVYTYNTLACGLRRGNRLDEAKNLLHIMIEKGVKPNHVSYTTLISIHCNEGDMVEARRLFREMAANGAKPSLVTYNVMMDGYIKKGSMREAERFKKEMEKKGLVPDIYSYAALVHGHCVNGKVDVALKLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYENMLGDGLTPDDTLYSALVGSLHTDKKENVSPRRS >LPERR07G22720.1 pep chromosome:Lperr_V1.4:7:21348886:21350579:1 gene:LPERR07G22720 transcript:LPERR07G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVTIDAAQHKVTVTSSVGADALIRRLHKSGKQATPWPSPAPAVDSAAAAKKKPDEVAAGAAPAAATASEKKTKGGGGDKVDKKAKEATAAKEAEAAAPESSEKKKPEKEKKGKESEVVAEKEKGSTEQTNKEVAAGGEEEDAGGEESGGGKKGKKKKNKQKDAGEAEEKPAKAAAVAPPSVPAAPAMSSPERAHHGGGGFPPPYYAAAAPQPVMSYNVAHPSASVSSYYAAPTPVMSMAPPPMPSYGYSPYPPMMMPPPPPPEYVYGPPGMRSSPPQESYSNMFNEENPSSCSVM >LPERR07G22730.1 pep chromosome:Lperr_V1.4:7:21357056:21361610:-1 gene:LPERR07G22730 transcript:LPERR07G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGNDALQELEMLTMNAKEVQEFILMKILERNQATEYLSKFMDGSTNISAFKRHVPVVTYDKVQPYILRIATGLGEGKAMYLLFVKAETLTNSGTPVRSVLTSYYKSPHFLHRKHDLYNNYTSPNEVILCPDSQQSMYCQLLCGLVERHLVLRIGAVFASAFLRSISFLEQHWCDLVNDIRIGQLNSGITNPACRLAMLNFLASPNPELADQLEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGGIPLVCTMYASSESYFGVNMRPLCSPADVSYTILPNMAYFEFIPLEDGLRMSDQEEVIENDTLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNKTPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTVTSTVPGHYVLFWEIKFAHDESPPPFDARLIESCCSAVEDSLDCVYRRCKAHDKSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPICIESGLALKVLNSRVIACFFSPRDPACSMVW >LPERR07G22740.1 pep chromosome:Lperr_V1.4:7:21367928:21375473:1 gene:LPERR07G22740 transcript:LPERR07G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDVFHDVSFSHVSAAAAAASPEEAAVASATALFGGNGASGAPRLSLVSNGEPKAAKHALEIDLSDAQMFKLGPTEWLCVSGESETKGCVEEKLYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKDGEPMENGVIVSSKNKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGLAFQGYFSQPVVDAFDPRLLVSPPMHHTLDFTTMKEEDLYEIDIPINFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQLPSLQPQGSGQQMQDGLSPSITIEPDQDSAAFTR >LPERR07G22740.2 pep chromosome:Lperr_V1.4:7:21367928:21375473:1 gene:LPERR07G22740 transcript:LPERR07G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVIVSSKNKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGLAFQGYFSQPVVDAFDPRLLVSPPMHHTLDFTTMKEEDLYEIDIPINFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQLPSLQPQGSGQQMQDGLSPSITIEPDQDSAAFTR >LPERR07G22740.3 pep chromosome:Lperr_V1.4:7:21367928:21375049:1 gene:LPERR07G22740 transcript:LPERR07G22740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVIVSSKNKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGLAFQGYFSQPVVDAFDPRLLVSPPMHHTLDFTTMKEEDLYEIDIPINFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQLPSLQPQI >LPERR07G22750.1 pep chromosome:Lperr_V1.4:7:21376157:21379133:1 gene:LPERR07G22750 transcript:LPERR07G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPQVEEREVVVEERRPKLRYLEFVQVAAAQATICLAGLYALAKDHSGPLRPGVDAAESAVKGVVGPVYGRFHSVPLDLLAFVDRKVGDTVQELDRHLPPTLKAASAKACEVARGVPDVARELTAEVQQSGVTGAARVVYGKVEPVAKDVYGKIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAAEKGYTGAQYLPAIPTERIAKVFGESSPETQPLKGASASETQ >LPERR07G22760.1 pep chromosome:Lperr_V1.4:7:21387598:21398698:1 gene:LPERR07G22760 transcript:LPERR07G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRRASSEEAAPATGAAAVTGASASAAAAAGAAGSSPRSRSSGKRTKAAEAAAAETAAGAKAGEADGAAAAAAAAIDVLDSSVENLQGVARPAGAVPASSTVSNSGVKKKRTKYINVPSAEELSIFKARQAVASGRIEAWGRLISMSSEYPSVPIYATHFTVGHGGNYDLRLTESFPGSLVCKLKHVKRGAALDIYMSKSVHVNGKALDKTAKVTLVGGDEVVFTSLGRHAYIFQQLPEERSSTSAFSSTCAFHQGQYPVTKGTLDNLSSKGAKLSVVPLNFGNGRPPLVPHDTEIASSLCKTMEEQSQLASEESVLFAQHQLLKEDLKKVVINASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKDISSLCKRVLLSGPAGSEIYQESLVKALTKYFGAKLLIIEPSLLASGQSSKLKDPESYKKGDRVRYIGPLQSTGSILAGHRAPEYGLQGEVRLPFEENESSKVGVRFDKKIPGGIDLGVDSLCLDGPGWEDRAKHPFDVIYEFASEESQDSPLILFLKDAEKMCGNSYSYHGLKNKLEIFPAGVFIVGSQIQADSRKDKLNSGSPFLTKFPYSQAILDLTFQDSFGRVNDKNKEALKTAKHLTKLFPNKVTIQTPQDESELSQWKQLLDRDVELLKAKANTSKIQSFLTRNGLECADIETAACVKDRILTNECVDKIVGYALSHQFKHGTISTHEKDGLLALSGESLKNGVELLDSMQGDPKKKSTKKSLKDVATENEFEKRLLGDVIPPDEIGVSFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMMNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCITAAHCPIREILEREKKERISAEAENRPLPPPRSSSDVRPLRMKDFKHAHEQVCASITSDSRNMSELIQWNDLYGEGGSRKKTSLSYFM >LPERR07G22760.2 pep chromosome:Lperr_V1.4:7:21387598:21398698:1 gene:LPERR07G22760 transcript:LPERR07G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRRASSEEAAPATGAAAVTGASASAAAAAGAAGSSPRSRSSGKRTKAAEAAAAETAAGAKAGEADGAAAAAAAAIDVLDSSVENLQGVARPAGAVPASSTVSNSGVKKKRTKYINVPSAEELSIFKARQAVASGRIEAWGRLISMSSEYPSVPIYATHFTVGHGGNYDLRLTESFPGSLVCKLKHVKRGAALDIYMSKSVHVNGKALDKTAKVTLVGGDEVVFTSLGRHAYIFQQLPEERSSTSAFSSTCAFHQGQYPVTKDTEIASSLCKTMEEQSQLASEESVLFAQHQLLKEDLKKVVINASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKDISSLCKRVLLSGPAGSEIYQESLVKALTKYFGAKLLIIEPSLLASGQSSKLKDPESYKKGDRVRYIGPLQSTGSILAGHRAPEYGLQGEVRLPFEENESSKVGVRFDKKIPGGIDLGVDSLCLDGPGWEDRAKHPFDVIYEFASEESQDSPLILFLKDAEKMCGNSYSYHGLKNKLEIFPAGVFIVGSQIQADSRKDKLNSGSPFLTKFPYSQAILDLTFQDSFGRVNDKNKEALKTAKHLTKLFPNKVTIQTPQDESELSQWKQLLDRDVELLKAKANTSKIQSFLTRNGLECADIETAACVKDRILTNECVDKIVGYALSHQFKHGTISTHEKDGLLALSGESLKNGVELLDSMQGDPKKKSTKKSLKDVATENEFEKRLLGDVIPPDEIGVSFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMMNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCITAAHCPIREILEREKKERISAEAENRPLPPPRSSSDVRPLRMKDFKHAHEQVCASITSDSRNMSELIQWNDLYGEGGSRKKTSLSYFM >LPERR07G22760.3 pep chromosome:Lperr_V1.4:7:21387598:21398756:1 gene:LPERR07G22760 transcript:LPERR07G22760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRRASSEEAAPATGAAAVTGASASAAAAAGAAGSSPRSRSSGKRTKAAEAAAAETAAGAKAGEADGAAAAAAAAIDVLDSSVENLQGVARPAGAVPASSTVSNSGVKKKRTKYINVPSAEELSIFKARQAVASGRIEAWGRLISMSSEYPSVPIYATHFTVGHGGNYDLRLTESFPGSLVCKLKHVKRGAALDIYMSKSVHVNGKALDKTAKVTLVGGDEVVFTSLGRHAYIFQQLPEERSSTSAFSSTCAFHQGQYPVTKGTLDNLSSKGAKLSVVPLNFGNGRPPLVPHDTEIASSLCKTMEEQSQLASEESVLFAQHQLLKEDLKKVVINASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKDISSLCKRVLLSGPAGSEIYQESLVKALTKYFGAKLLIIEPSLLASGQSSKLKDPESYKKGDRVRYIGPLQSTGSILAGHRAPEYGLQGEVRLPFEENESSKVGVRFDKKIPGGIDLGVDSLCLDGPGWEDRAKHPFDVIYEFASEESQDSPLILFLKDAEKMCGNSYSYHGLKNKLEIFPAGVFIVGSQIQADSRKDKLNSGSPFLTKFPYSQAILDLTFQDSFGRVNDKNKEALKTAKHLTKLFPNKVTIQTPQDESELSQWKQLLDRDVELLKAKANTSKIQSFLTRNGLECADIETAACVKDRILTNECVDKIVGYALSHQFKHGTISTHEKDGLLALSGESLKNGVELLDSMQGDPKKKSTKKSLKDVATENEFEKRLLGDVIPPDEIGVSFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMMNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCITAAHCPIREILEREKKERISAEAENRPLPPPRSSSDVRPLRMKDFKHAHEQVCASITSDSRNMSELIQWNDLYGEGGSRKKTSLSYFM >LPERR07G22770.1 pep chromosome:Lperr_V1.4:7:21399898:21401531:1 gene:LPERR07G22770 transcript:LPERR07G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGPRNGPNSGPFNHGLRGTRPLLWPPLYKPNLSSPSHPRNPRPAAADLPCSPPSSDAGGVRTRYWRWPSRRTTRRTTSPTRRTRTASRNPSAIARPPPRGWTQSSSGTRGTRGSTTRRVARLRPRSKVSGYEYFLYR >LPERR07G22780.1 pep chromosome:Lperr_V1.4:7:21401855:21403349:-1 gene:LPERR07G22780 transcript:LPERR07G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYSSSPPASPPPPPSTSEQRKVFTLWMKSLVLNGRGCTVYDSTGSIVYRVDNYDSSCSDNVYLMDLGGKIVLNILKKKLAFGKWEGYKWSGGKRQQDEDAGGAWFTVTRPCSSVLFQRRSRRRPSSSTCVFRADAGRRAVRYTMTDDGGNLCRIVDEATGDVVAEVKGKRTAGGVALGDDVLALTVEPSVDHTLVMGMVLVYGIINHTM >LPERR07G22790.1 pep chromosome:Lperr_V1.4:7:21405734:21407143:-1 gene:LPERR07G22790 transcript:LPERR07G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAERERLRVVMFPWLAHGHITPYLELARRLTLPTTTTSPIDVTVHLVSTPINLATIAHHETDRISLVPLHLPAVEDGDLPASLHTTRHLPPRLMPKLKRACDLAAAAFGAILDEISPDVVVYDFIQPWAPLEAEKRRIPAVHFSTCSAAATAFFAHGLLGERGAFPFDAISLGGDGEDARHVEITCRDDDDGEGVVAERDRLPLSLARSSEFVAVKTCDEIEQKYIDYLSNLIGKEIVPCGPLLVHDSGLESERVIRWLNGKETRSVVFVSFGTEYFMSEKQLSRMARGLELTGAKFLWVVRFPAAAEGSDGDGAVARAMPGWFTPSAEKGMVVEGWAPQRRVLGHRACGAFVTHCGWSSVVEAMAAGVPMVAMPLHIDQPINAGLAAELGVAARVVAPLGEEFEAEDVACAVSAVMTRSEEAEAMRRRARELREVVARRDADDAAQIGALVHRMARLCGKAVAVPN >LPERR07G22800.1 pep chromosome:Lperr_V1.4:7:21410159:21412894:1 gene:LPERR07G22800 transcript:LPERR07G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWPPAPVMELARIAVDSGGDPGAIHRALDPTMLPVPDAQESDKNKCQLTRTPYGRRFANEDINSYLAFLFELIVARGPSVGLNVSLSRYDFFHGHLFLAYGTGRLGILLDRFHAKEYPAFDKKLFPYNLGYCQAESNVEYDDSINLRNILWLAPLPSNETKAWLAPGVLVVLDAHPDGIIYQDMIPDYVQFVRTIYEDDFGEVAVDVNYLNVANAAPADRVFIC >LPERR07G22810.1 pep chromosome:Lperr_V1.4:7:21412190:21414468:-1 gene:LPERR07G22810 transcript:LPERR07G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIALHKKRHGRRLDYEERKRKRQAREVHRRSRDARQVMTRAFDLWQLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKADDVEEGAIPPYLLDRDQTLRAKVLSNTLRQKRIEKACRWGVPLPKVIFNTNWSGLWLNKKCSKFYELAREKWKRMVTKATFIGPGFTRKPLKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGEMYTSLGVLTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENEGYINALLLV >LPERR07G22820.1 pep chromosome:Lperr_V1.4:7:21415717:21417037:1 gene:LPERR07G22820 transcript:LPERR07G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEGEQGKTVVVVGVDYSEHSNYALEWTVQHLASGGMAGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANGMHALIEVVEGEPRYVLCNAVEKHNAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRTRAETE >LPERR07G22830.1 pep chromosome:Lperr_V1.4:7:21417807:21421932:-1 gene:LPERR07G22830 transcript:LPERR07G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIHLRDPAILAHYRPHGHVRPKSTQATPPEYTIDSQTRPKPLSLIGLDSSVSSPRDSRVRPPPAPISTPASGGAGVPAASAASSPQGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRWNLHMVGEVTLPPLTILVEGDVVVVYLDIQSIVDHMRNAGDVCYSEVYCEGGGTIGVVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFTIKKPKPKPRSQLQQKQESKIEISFFPFKISIKGTVSIKITSKIQVPECLCQI >LPERR07G22830.2 pep chromosome:Lperr_V1.4:7:21417807:21421932:-1 gene:LPERR07G22830 transcript:LPERR07G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIHLRDPAILAHYRPHGHVRPKSTQATPPEYTIDSQTRPKPLSLIGLDSSVSSPRDSRVRPPPAPISTPASGGAGVPAASAASSPQGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMGFWWNLHMVGEVTLPPLTILVEGDVVVVYLDIQSIVDHMRNAGDVCYSEVYCEGGGTIGVVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFTIKKPKPKPRSQLQQKQESKIEISFFPFKISIKGTVSIKITSKIQVPECLCQI >LPERR07G22840.1 pep chromosome:Lperr_V1.4:7:21422570:21422914:-1 gene:LPERR07G22840 transcript:LPERR07G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAARPLSVAPGLRKPLAVRSFQPLQKARPAARMAVRASAASMKERAMAGATAAAVAAAMVIPDVAEAAQGGLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >LPERR07G22850.1 pep chromosome:Lperr_V1.4:7:21424409:21428550:1 gene:LPERR07G22850 transcript:LPERR07G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATPTTTSPENPPEITRGSLIPALPDDLSIHCIALLPRFAHPTLARVSRAFHSLLRGRNPDPLLAARRRHLGLSDPHLIVSLRPPEFSSPLFFLLLPHPGWPPLPLPPPPVPVASSSSVAVDGDRMFLVGGSVSGVPSSSVQILDPRNRSWSIGPRLSSPREFAAVVALSGVLFVAGGCVPSSPFWAESLELSNSDAKWAMVPSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPGEAWAPVSPVLDMGWKGRAAVVGGILYSYDYLGQVKGYDPETDSWSKVEGLEQDLPKFLCGATLANVGGLLYLVWEGKWKGKTKGKCEARSMVVIDWAAIEVTKADEGRLRGKVVSRDTIVFMGMPKGLAEH >LPERR07G22860.1 pep chromosome:Lperr_V1.4:7:21427394:21427849:-1 gene:LPERR07G22860 transcript:LPERR07G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREAEDFYEPNYGGHLGVDAGDVGGGPPHGLLLMAVVLGLAVAGPRVIGEGAGEAITKAVNDMLSPVGLLLLPVSLIFVIRILSDERSAAVLANVFGAAFGGRGGAAADAFQLRRVGASPVGVVVVLFLVLTMVYYKSRSLFGGGSDGE >LPERR07G22870.1 pep chromosome:Lperr_V1.4:7:21439169:21440931:1 gene:LPERR07G22870 transcript:LPERR07G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTIQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQSGSGSRVHQYAKQFITPESNQQKE >LPERR07G22880.1 pep chromosome:Lperr_V1.4:7:21448172:21451926:1 gene:LPERR07G22880 transcript:LPERR07G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELIYRRAHDSSHPSPPPPSAGDDAIVSKPPPPPPHHKSLIRYVLAEQRLAFTLLGMAIAFLLFLLTSPQSPPPHHNNNPIFHEATAVSRLAATHATTRMPMPAARVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENVLHHVGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLQVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTADDPHKRKPDISRAKELLNWEPKIPLHKGLPLMVNDFRDRIFGDHKPNSATAGDN >LPERR07G22890.1 pep chromosome:Lperr_V1.4:7:21452850:21453431:-1 gene:LPERR07G22890 transcript:LPERR07G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNARAAAAMSIFLLIALSTTHLASSLRLGAVLDTCRASGYLPGKSGNCEKSNDPDCCEDGKSYPQYRCSPPVTSATSAVLTLNSFEKGKDGGGPSECDNAYHSDSEMIAGVSRCGHRVRITANGKSVYAKVVDECDSVHGCDDEHNYEPPCDNNIVDASPAVWDALGLDKSVGMEHITWSDGE >LPERR07G22900.1 pep chromosome:Lperr_V1.4:7:21454195:21457926:1 gene:LPERR07G22900 transcript:LPERR07G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCSKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >LPERR07G22910.1 pep chromosome:Lperr_V1.4:7:21456280:21458544:-1 gene:LPERR07G22910 transcript:LPERR07G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPAAAAQHEQPDLGILVDALCASGRAAEAHHRVSLLFLSSSAAAPSRHAADGLLRRLLRAGTPILTLRLVQAAPPAALVPSLPNYNRLLALLCRGGGGFSSSAAAPLLVLVLLAHRLFLRMRATPDAASYASLLDGYARVPDPGAVRKLLDEMPRRGVSPSSLARTYLVKALLRSRDVDGAMDLVDNHLWPSMERDNGDENQELKNAAFANLVQCLCSEGFFHIVFRIAEEMPQQRCGVADGFAYAQMIDSLCRSGQHHGASRIVYIMGKRGMYPSTVSYNCIVHGLCTSQKPGGRLRAYQLVMEGVRFGYRPREVTYKVLVDQLCRENELTKAKDVMELMLHSQYDHEKVADPDDDTRTRIYNMFLGALRVVDNPTEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAHEARKIVNDMLNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLESLMPKRQCLPNAITYNCVLKGLLSCGLVDRSMQIFEEMKCSNVVPDSVTHAVMIKGLCDAGQLEKAKSFWDNVVWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDCGISPSVVCYNILVDAACKQGSKKLAYQIVKEMKRNGLSPDAVTWRIIDRLHLCSNEEQDGEIQVSTIDVDPSFTDNTVDALVSNGDERHKPSSPSETSKIGKVVHENSSQGEEEVDYSPCASEDPPNIIEPGEEEACPMNNSTTGTTMDNSGMSREEGLMKPDKQPLKREPLSRVARKVFGLL >LPERR07G22920.1 pep chromosome:Lperr_V1.4:7:21470101:21471402:1 gene:LPERR07G22920 transcript:LPERR07G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRAVLLAAAAVVFCLLGAADAKLGRLVVTGVVPCNTGSLIDIATSPVFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSALAALIGGCRLVVDTPLIKCNADLTEVGSLVSYLQGPLTRLLGGIFRLFPAGFSFHGH >LPERR07G22930.1 pep chromosome:Lperr_V1.4:7:21472861:21474418:1 gene:LPERR07G22930 transcript:LPERR07G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKILLVVIGVAVISSVFTSAATPPQPPRIQADVVVMGFVPCNNGTSMKTGSAPGFPNAVVQLQCTRDDDAIVAAVSGNATTDGKGWFRMAMNTTASLRSVAGGCTLVVATPLATCDAALPAAGTLQSGLRLLVSMVLFPRGFSYVAPAAPLDRLIN >LPERR07G22940.1 pep chromosome:Lperr_V1.4:7:21489846:21490031:1 gene:LPERR07G22940 transcript:LPERR07G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVSLRSMAGGCTLVVATPLAACDAELPAAGTLQSGLRLLVSMVLFPRGFSYVAPAPLD >LPERR07G22950.1 pep chromosome:Lperr_V1.4:7:21494564:21495568:1 gene:LPERR07G22950 transcript:LPERR07G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLLLATALLLAGLATLGAEARIAAMIHGSVEASVTTAAIAARDFKVSIIAGLQDAFSGDNSPIPGTLTTTDSEGNFNTILNVTSSEMMASLVSNSSVVVTTSLPDTEDILTAPLVLRGVRTLADSLADSQIRAGLESVERIAAVNFHDLLDNTTTDLVSTTVNLLDLLARGSNDSFAATSYGVESSIAGFAVFGIGTLSTSAGN >LPERR07G22960.1 pep chromosome:Lperr_V1.4:7:21499722:21500638:1 gene:LPERR07G22960 transcript:LPERR07G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLLLATALLLAGLATQGAEARIVAIIHGSVTPAAIAAGNFQVSTIGEPSVQESFLSGNESEGNFTIILNVTSSEMMASLVSNSSVVVSPPHTQDMLTALTAPLVQRGVRRLVDSLADSQIRAALGSLEGITVNLDNLPDDTTTDLVSTTVNFLDFLARGSNDSFAATSYDVESSIDGFAVFGIGTLSTSAGN >LPERR07G22970.1 pep chromosome:Lperr_V1.4:7:21516724:21517838:1 gene:LPERR07G22970 transcript:LPERR07G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLTAATSPSTARGRRWRGCAPEAAAVAGGGGDGRIRDRRLRFSEAVDCSRGNVVCLTQPPASLSAVSGSGGENPRSRRQLPPPPLPPRRRQS >LPERR07G22980.1 pep chromosome:Lperr_V1.4:7:21526435:21527322:1 gene:LPERR07G22980 transcript:LPERR07G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTILLATALLLAGLATRGAEAGIVAIIHGIVPCSNNTDAVADVSDSPVFANASVHLVVGDNNNPIPGTRTTTNNKGHFKMILNVTSSDMMASLASNSKAVVTTPLAACKPSLPSSGTLTAPLLLHGSITLTNSAEDNQLRSAISTIGKVKQNTLAADLACLVITLLDRVVGARNDDIGTTSVSNSMVTSVVDSFSVFGIGPASYSAAN >LPERR07G22990.1 pep chromosome:Lperr_V1.4:7:21530015:21531781:1 gene:LPERR07G22990 transcript:LPERR07G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNPLLVTLLLAGLVARGTEARKAAIISGTVPCTARPNQANVILDISNNMINARTNNDGQFMAVLNVTSSNMMDSLMNGSKVVVVNTPPMACNSSLPADVGTLKLEAPRRMAPYGTVDHLAQLAFSPEPRYYHAIAVPAKWLAACADAMQS >LPERR07G23000.1 pep chromosome:Lperr_V1.4:7:21532823:21535208:1 gene:LPERR07G23000 transcript:LPERR07G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLLVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >LPERR07G23010.1 pep chromosome:Lperr_V1.4:7:21535965:21536679:-1 gene:LPERR07G23010 transcript:LPERR07G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRASTKRAAAALCATDFWPQEQQQPTDFDHHPCPFTPDQEEEAPTVAYVQQQQQQQQEAGLELWSFDNIHTAVPM >LPERR07G23020.1 pep chromosome:Lperr_V1.4:7:21541517:21551723:1 gene:LPERR07G23020 transcript:LPERR07G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTSGLLRPVDAAHAIDEGALLRYAAEHVAGFPSPAQGLFLTQFGHGQSNPTYCIEVSAGGGVTRRYVLRKKPAGAILQSAHAVEREFQVLKALGTHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGLIYPDNKLTGVTPAKRKIICLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWERQYLSSTGEGKPARYQKMLDLARWLKEHIPKEDSSTGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDASSDSYSYGGFEYTGVPDGIPSLEEYLTVYCSISARPWPAANWKFYMAFSLFRGASIYAGVYHRWTMGNASGGERARSSGKLANAMVDRAWDLISRENILREQPAGGMHVSKGSWQEFQREHEGSISTKDEGKFVPSGKVMQLRNKLMKFMEDHIYPMEGEFYKLAQSTSKWTIHPEEEKLKTLAKREGLWNLFIPLDSAARAREILFEDRPHNSPESSEELLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCNPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPLVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCQILILMGKTDFSAPKHKQQSMILVDIKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRLADGPDEVHLGTIAKLELQRARM >LPERR07G23020.2 pep chromosome:Lperr_V1.4:7:21541517:21551723:1 gene:LPERR07G23020 transcript:LPERR07G23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTSGLLRPVDAAHAIDEGALLRYAAEHVAGFPSPAQGLFLTQFGHGQSNPTYCIEVSAGGGVTRRYVLRKKPAGAILQSAHAVEREFQVLKALGTHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGLIYPDNKLTGVTPAKRKIICLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWERQYLSSTGEGKPARYQKMLDLARWLKEHIPKEDSSTGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDASSDSYSYGGFEYTGVPDGIPSLEEYLTVYCSISARPWPAANWKFYMAFSLFRGASIYAGVYHRWTMGNASGGERARSSGKLANAMVDRAWDLISRENILREQPAGGMHVSKGSWQEFQREHEGSISTKDEGKFVPSGKVMQLRNKLMKFMEDHIYPMEGEFYKLAQSTSKWTIHPEEEKLKTLAKREGLWNLFIPLDSAARAREILFEDRPHNSPESSEELLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCNPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPLVASSDATNIECSISRRFLCDKWKEMVDQWGYGPKGKTDFSAPKHKQQSMILVDIKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRLADGPDEVHLGTIAKLELQRARM >LPERR07G23020.3 pep chromosome:Lperr_V1.4:7:21541517:21551907:1 gene:LPERR07G23020 transcript:LPERR07G23020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTSGLLRPVDAAHAIDEGALLRYAAEHVAGFPSPAQGLFLTQFGHGQSNPTYCIEVSAGGGVTRRYVLRKKPAGAILQSAHAVEREFQVLKALGTHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGLIYPDNKLTGVTPAKRKIICLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWERQYLSSTGEGKPARYQKMLDLARWLKEHIPKEDSSTGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDASSDSYSYGGFEYTGVPDGIPSLEEYLTVYCSISARPWPAANWKFYMAFSLFRGASIYAGVYHRWTMGNASGGERARSSGKLANAMVDRAWDLISRENILREQPAGGMHVSKGSWQEFQREHEGSISTKDEGKFVPSGKVMQLRNKLMKFMEDHIYPMEGEFYKLAQSTSKWTIHPEEEKLKTLAKREGLWNLFIPLDSAARAREILFEDRPHNSPESSEELLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCNPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPLVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCQILILMGKTDFSAPKHKQQSMILVDIKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRLADGPDEVVELSTTLRLALITVFHIPSPTNIIFVFSLPTSTFSKYPPAFMYITYLVMLLFGAAATASLTVVKFPLPSLATTKSAAGKPPCCCCSRRRSVAVTHDGNPLRRTRP >LPERR07G23020.4 pep chromosome:Lperr_V1.4:7:21541517:21549473:1 gene:LPERR07G23020 transcript:LPERR07G23020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTSGLLRPVDAAHAIDEGALLRYAAEHVAGFPSPAQGLFLTQFGHGQSNPTYCIEVSAGGGVTRRYVLRKKPAGAILQSAHAVEREFQVLKALGTHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGLIYPDNKLTGVTPAKRKIICLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWERQYLSSTGEGKPARYQKMLDLARWLKEHIPKEDSSTGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDASSDSYSYGGFEYTGVPDGIPSLEEYLTVYCSISARPWPAANWKFYMAFSLFRGASIYAGVYHRWTMGNASGGERARSSGKLANAMVDRAWDLISRENILREQPAGGMHVSKGSWQEFQREHEGSISTKDEGKFVPSGKVMQLRNKLMKFMEDHIYPMEGEFYKLAQSTSKWTIHPEEEKLKTLAKREGLWNLFIPLDSAARAREILFEDRPHNSPESSEELLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCNPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPLVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCQILILMGKTDFSAPKHKQQSMILVDIKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRLADGPDEVHLGTIAKLELQRARM >LPERR07G23020.5 pep chromosome:Lperr_V1.4:7:21549462:21551907:1 gene:LPERR07G23020 transcript:LPERR07G23020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVELSTTLRLALITVFHIPSPTNIIFVFSLPTSTFSKYPPAFMYITYLVMLLFGAAATASLTVVKFPLPSLATTKSAAGKPPCCCCSRRRSVAVTHDGNPLRRTRP >LPERR07G23030.1 pep chromosome:Lperr_V1.4:7:21548637:21552587:-1 gene:LPERR07G23030 transcript:LPERR07G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPTPRTTNHRLLLLLLLILLAFLSAAHNAFAVAGDDDADEQAAAVDRHCAGTLHREVCVSTLSTIPNLAQKPLRVVISDVVSRAAAAVRASSANCTSYLTPHPRRRSPLRLRDRLALADCLELFTHTLDQLTTASAELLISSSSAANESESVAGVQTVLSAAMTNQYTCLDGFAGPSASQDGRVRPFIQNRIYHVAHLISNSLSMVRRLPQRRRRRGLLPFEGYGRVRRSGFPSWVTATDRRRLQQQQGGFPAADLVVAKDGSGNFTTVSEAVAAAPNNSMTRYVIYIKAGGYFENVEVGSEKTNIMFVGDGMWKTVIKASRSVVDNSTTFRSATLAVVGTGFLARDITVENSAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYVHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAIQGCKVAAAADLIPVQANFSSYLGRPWKTYSRTVFMQSKIESLVHPRGWLEWNGSFALDTLYYAEYMNRGPGADTSARVTWPGYHVITNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >LPERR07G23040.1 pep chromosome:Lperr_V1.4:7:21577632:21579036:-1 gene:LPERR07G23040 transcript:LPERR07G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAELLETLSIMFTVIIFGLVIIIGIFIVQPHKIAALVSSVLVAFLAWSSIKAKTSSLRLQHTGHPDPMLVMIIHLFEIGLALMVLLELQFRVVPDLSNLFDRGIVSWMMILGNWLYPMAIPWVQFFLFILATGGDGMGVLLTSNRPVLFIVRFYHAGLAVFISISLIMVQACLRRATRDAVVRHAEFDARSKTLPNVLSSETNSTKTQINLQHDAFKKDTTSGMPSSLDFHPESSSKTQGTETTKELCIAFKKKNGTRRRHRCHRSSPTQIWPPPTRLT >LPERR07G23050.1 pep chromosome:Lperr_V1.4:7:21602184:21602887:-1 gene:LPERR07G23050 transcript:LPERR07G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVEILETLSFMVKTLYFRLQHTGHPDQMFVILIHLYEIGLALMVLLKLQFRELDRSNESDTMSWRDILGNWLDPMAKLRVQFCLFTLATGGYGMGVLLSSNRPVHFYLAGLAVFIISISLKMVQAYLWSGVRHADFDARVSMICCSLVVYMLVLLVATYVL >LPERR07G23060.1 pep chromosome:Lperr_V1.4:7:21612369:21613112:1 gene:LPERR07G23060 transcript:LPERR07G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARALRFIASRPTTSPHTNPLRLVATRRRLLSTSTEAGGAGDPAVHAGDPPSDDYPDQPPKFSGAEESTTTTNGKNNNPTMTSPHTKEEERVAPFASSSSKIASQELADAAVASSFTQKRRRSSAESREEATPGGEESAARKVREEDREYYRTHKPSPLAEVEFADTRKPITRATDGGGADDDDAEHGKLVVEDTVDDSLARAEAMFRVAASRGNPEWPHSRALAAMLAARRRGGGGEGDAPWGS >LPERR07G23070.1 pep chromosome:Lperr_V1.4:7:21613771:21614187:-1 gene:LPERR07G23070 transcript:LPERR07G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIAPAAAATASAAAHGSSWRLSYTAASVVVLLLYLTARFICLYNKNATAAAVASSPTPLPFVDRAVAPVWALPVLVQMEEAAAAECAVCLAEVREGETARVLPACGHAFHEGCIVTWLRVNTTCPLCRAAVLVSGK >LPERR07G23080.1 pep chromosome:Lperr_V1.4:7:21619842:21621656:1 gene:LPERR07G23080 transcript:LPERR07G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAAALHEKLQILRSITHSHAMSNSSIITDASEYIKELKQKVVRLNKEIACAEAAALKQNNDSSPTVTVDTLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEDVIKQSVLQAIRNCSESGGEDEG >LPERR07G23090.1 pep chromosome:Lperr_V1.4:7:21629889:21657696:1 gene:LPERR07G23090 transcript:LPERR07G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSVLLLLSLAGAVSGQLSPTFYLRSCPTALAIIRAGVLAAVAQERRMGASLLRLHFHDCFVQASPAFPHYLAFILTISYIVVTVITIQSANCVCAYLCDMDQGCDASVLLNDPNGEQSANPNIGSIRGFNVIDNIKAQLEAVCKQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSATASQALANTDLPPPNFDVVNLTASFAAKGLSQTDMIALSGAHTIGQAQCQNFRDRLYNETNIDAAFATALKANCPQPTGSGDANLAPLDTTTPTVFDNAYYRNLLANKGLLHSDQVLFSDAATVGQVRSYASALGRFRTDFAAAMVKMGNISPLTGSQGQIRLLGLISIVMASSFSMLVLLCLAATAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEARMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVIDSIKTQLEGMCKQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASANLANSDLPPPFFDLENLIKAFGDKGFTVTDMVALSGAHTIGQAQCLNFRDRIYNETNINAGYASSLQANCPRTSGDTNLASLDVSTPYTFDNAYYTNLMSNKGLLHSDQVLFNGNSTDNTVRNFASNKAAFSSAFASAMVKMANLGPLTGSQGQIRLTCSKQTMASATSLNLMLLVAAMASVASAQLSTTFYDTSCPNALSTISSVVTAAVNSERRMGASLIRLHFHDCFGCDASVLLSGQEQNAAPNNGSLRGFEVIDNAKTRVEAICNRTVSCADILAVAARDSVVALGGPSWAVLLGRRDSTTASEDLANRDLPPPTSDLGNLIGNFSAKGLDATDMVALSGAHTIGRSQCQNFRDRIYNEANINSSFATSLQANCPRTSGDRNLANLDVSTPDAFDNAYYTNLLSQRGLLHSDQVLFNNGSTDNIVRNFASNPAAFNSAFTAAMIKMGNISPLTGSQGQIRLVCSRVNSYLMLLVAAMVSMASAQLSSTFYDTSCPNALSTIRSVVRAAVNSEPRMGASLLRLHFHDCFVQAKRENDRECMCVSIGISLQGCDASVLLSGQEQNAFPNVGSLRGFNVIDNAKAQVEAICRQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANQALANSDLPPPSSDLGSLIGNFSRKNLSTTDMVALSGAHTIGRSQCTNFRDRIYNETNINSSFATSLQANCPRTSGSGDSNLANLDVSTPDAFDNAYYTNLMTQRGLLHSDQVLFNGGSTDNIVRNFASNAAAFSSAFTTAMIKMGNISPLTGSQGQIRLNCSKYGSAVMASASSLGLLMLMAALVSSTATAQLSATFYDTSCPRALSIIKSGVTAAVNNDRRMGASLLRLHFHDCFVQARFPPSQKHPGCDASVLLAGNERNVAPNLSLNGYGVIDSIKTQVEAVCRQTVSCADILTVAARDSVFALGGPSWSVPLGRRDSPAAASATVVLNNLPPPTDSLAQLISAFASKGLSTTDMVALSGAHTIGVAQCRNFRARLYNDTNIDSAFATSLKANCPASSGSSDGNLANLDVTTPSAFDNAYYTNLLSQRGLLHSDQVLFNNGSTDNIVRNFASNAAAFNSAFATAMVKMGNISPLIGSQGQIRLLAMASASCIYLLVLLALASVASAQLSATFYDTSCPRALSIIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLAGQERDAVPNKDSLRGFEVIDSIKTQIEAVCNQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSLNANPNAANTDLPAFTASVQELTDAFSKKGLTAQCSTFKGRIYNETNIDSAFATTRRANCPLQATGNSDANLANLDVSTPNAFDNAYYTNLMSNRGLLHSDQVLFNNGSTDNTVRNFASNAAAFSSAFAAAMVKMGNIEPKTGTTGQIRLACSRVNS >LPERR07G23110.1 pep chromosome:Lperr_V1.4:7:21663838:21665897:1 gene:LPERR07G23110 transcript:LPERR07G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSAPTGNGGGGGGGGGRAEIDTSAPFESVREAVDHFDGRGASWSSKKLDQFDETEECVGHIVQLEEKLRIKERKTLDVLRELESSKKIIADLKLNMQKESDNVHSGRPGQTEEPSAEPGESHSENVEVNGDMDCLDKQQQQQPPSSVLMHLGQAKANLSRTTSDLSEIRASVESLRNEIAKEIILVERSREKHELDHTTQQLQTLEDRQKRHEDPSGILMEIKKMTSEIDQLRSVANASKSEAMMLSAEIEQTKASIATAEVRCHAAKKMELAARAAEVFVLAEIKALLSSEASAGDLQSTDGVNLSMEEYFELTSKVLESDVSSRMKIEAAMLQLEEAKQSKSNTLNKLEEAKLEVEKCKMALQDALKKAYAANRGKLAVEESVRKWLSDCGYKRHSFHDSSKLKNAADIPDVSKKFLSPTLSIGQILNMKLMGPDGYEYDKSVWDDITEPRNVSLGQILNRRNAVFTNSGITSQKRLSGKRKKFAFTGLSVLLAKQDKSKKNRGSD >LPERR07G23120.1 pep chromosome:Lperr_V1.4:7:21672293:21673603:1 gene:LPERR07G23120 transcript:LPERR07G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTGSLPSSPATAGDAAAGSVLLGRYELGALLGRGASAKVYHATELLTGRHVAIKSFPNPRHAGDPRSGGAAIEREAAILRRLRHRHVMRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLISAVKYCHSRGVFHRDIKPENLLLDAAGDLKVADFGLGSFSSVAATDINLRHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLANMYRKIYNGKFRCPAWFSPELRCLIRRILDPNPTTRIDTDDIITHPWFRQDSSGFAMAQLMMHGEEESESSRFKTEFKEDMARDMTAFDILACSPGSDLSGMFGAGIGTERVFVGEAAAAVLRRVEEAGKKEGYEVGKKGNNGAVYVKDESGGILAKVCVFRIADEVSVVEVVKGDGAEASRFWKGSLEPAVKSPMAS >LPERR07G23130.1 pep chromosome:Lperr_V1.4:7:21682745:21684076:-1 gene:LPERR07G23130 transcript:LPERR07G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRGNTLMKKYEMGKLLGQGTFAKVYHARNTETSESVAIKVIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVRGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFTTDVRRLLLRILDPNPSTRISMEKIMENPWFRKGLDAKLLKYNLQSKDAIPVEPNTDFVPLNSPPTLTKKPSNLNAFDIISLSTGLDLSGMFEESDQKESKFTSTSTASTIISKIEDIAKGLKLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYHKVLNQEMRPALKDIVWAWQGEQPKQQQQPAC >LPERR07G23140.1 pep chromosome:Lperr_V1.4:7:21684371:21685487:-1 gene:LPERR07G23140 transcript:LPERR07G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVAATTTSPPIIGGAHESVTVNLNIFTSRPQLQSVSRAPGGFVSAVERRKKNGISHQHQLWLCGTSSAIKKEPPLRLNKLLRRARTNLDLLLLLLLTKKKRRRSQFHYHTLSPRSRLLPDHRLFTPARGAGESPVKFLSVEIYRRRRRCSSCARSRPSGSELLYFQ >LPERR07G23150.1 pep chromosome:Lperr_V1.4:7:21693485:21697455:-1 gene:LPERR07G23150 transcript:LPERR07G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGRGMGPRKKEPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANKSRIKEWLEKSKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSVLGLYNIIHWNPQVYRALSPHYMLIFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHVLDSTYQIGYYISVPGLAVITVMLVTTCLTSLVIMLCWHRSPALALIFFLIFGSIEALYFSASLIKFMEGAWLPIMLALILMAVMLIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFETELVESLAMFIKLDAMYNNGDDDSGGEEVYERENALTVIGSGNNPQFRRRISYDADLSHDGVEMSSPNGIVEVSSPAAAAAVKKVRFLVEAAAPETEKEVEEELRELWEAREAGTAFILGHSHVQTKPGSSVLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >LPERR07G23160.1 pep chromosome:Lperr_V1.4:7:21702800:21703426:-1 gene:LPERR07G23160 transcript:LPERR07G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCLHCNPCRSMLALFKRRPRPSPPSLLLRRRRRRRRAGSFSSVRAVFWPLMSMRSDADRNDTAPPPPPSLDDDDATPAAAASTTAARVLAIQAQLGEAAAAAASSTKQNIGGGGDCDGGGEKRNEGVEEACRSFEKHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVELVGRFYGELCVDLFSGRGDDDDVSSDDDSQDLSL >LPERR07G23170.1 pep chromosome:Lperr_V1.4:7:21719131:21729219:1 gene:LPERR07G23170 transcript:LPERR07G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEIFRFEETAREKKGRKGKRKDELFIIRGDDFLNDFDVLFCWQAFNVQVTLDGHDGGQPISGLKLYDVPLGSADSLSIDASMKALQDSPKCPDAHDGGKQPIGVKGNTSNSNSDLIPNATCFKGLTNVSSPQWSFLLHPQIVQRAYRSMSTVTDVPLKIEIGDGLALTQQISSETALMEDKILNFKERYNKVIRPQLVSRGLIAPDDFNNMSTSFLHRQTYKITNHYPNYLSCFDESIADNRAEWEAFLEHLVRKRVYIIEDWYLTIASIMPLMYEHGLIRPEDGTHIDFFKGECDASYDSKTETASLSLIIWKGVDMFYIEVTKDVPCTSATEAEGFASFALLSKARELKILKLLLCSDCKPVCRILSGELSIGWMHEHCNLYLMLRSMRRHFMKLVCEWKPRELMVFADDLAKASKSDLASPVFLKKLLNKWSHHLKGGPVFRIERTPAANSKIKQCVVVWDSNTPREVYYEDGAFHIIFVRPEERENVKGIPELNALALQLLGVTEE >LPERR07G23180.1 pep chromosome:Lperr_V1.4:7:21732978:21741752:1 gene:LPERR07G23180 transcript:LPERR07G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSTQFNCAWWQLFVTPLMFLPDQAGHTHELTGVRALICICPAAATTGRMIPSEVMLVVVH >LPERR07G23190.1 pep chromosome:Lperr_V1.4:7:21749583:21750479:1 gene:LPERR07G23190 transcript:LPERR07G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLFHRSISLLPLSAKLFPNKKQLPTNLTSTQFSSRPPHSLPSSTCFCYCTRHEYTMPLPTSWLFHKLRRRNTARVDANAAAAAVVEAPIVTATATACSPNRASYYFPSKERCRLPPPPPRVAAMDVVNPKLRDTRFPPRSPQPTNDIVFDVVAVSMAPMPELKLRPIVTKRSTADDDGEAASSPVACRMRRRRINHAKKKTASPARRRRRWLYESVVVVKESADPEEDFLASMAEMIAAAANDGDGGGVRSARELEELLACYLALNAADHHRAIVAAFRRVWLLAAGDNKSTIST >LPERR07G23200.1 pep chromosome:Lperr_V1.4:7:21754039:21759436:1 gene:LPERR07G23200 transcript:LPERR07G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPRRLRLLLLLVLAVSLPATNGWRPAAVSSAPPPLRIQPLPTAALRRIYDTSDYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRATADALVSTGLADLGYNYVNIDDCWSNVKRGNKDQLLPDPKTFSSGIKALADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKERYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMNFAEYHPLGVQGRRILGQGKNGCQEVWAGPLSGNRLTVALWNRCAETANITVKLPSVGLDGSSAYSHETLSENVVGTFGAQVDVHDCKMYIFAPAVTVAAS >LPERR07G23210.1 pep chromosome:Lperr_V1.4:7:21759983:21768362:-1 gene:LPERR07G23210 transcript:LPERR07G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGGGNSGGGGGRRMTLAAAMAMRAPSAAPIRAEAVRAADEVVLRVQPTEEAERRRQNVIAYLKHLFGTGLGCEVFAFGSVPLKTYLPDGDIDITLLGNTSADSTFISEVRSILELEEKDYDAELELKGLQFIDAEVKLIKCVIDNIVVDISFNQTGGVSTLCFLELVDQEIGKKHLFKRSIMLIKAWCYHESRILGAHRGLISTYALETLVLYIFNMFHKCLHSPVEALYKFLEYFSKFDWDNYCISLNGPVLLSSLPNLTAEPSGIHDELLFGKDIPDGSFNRLIVLKNISDGLENFRLKHLNIIDPLKSSNNLGRSVSKGSFHRIRSAFSFGAQKLGHILMLPSNLIPTEIFGFFANTLRSHGGGKRSDIGNDGSFKSSLGPEYALGEDASDFKKSDSSEDENMIPDLQRTSDSYFCGDAQDRPWNKIWFMNSDNHYYSKVSGDSFNSHSSFSPENDYMKSHCKDDCAATDKYLPPGRSSMEQHIYANNQSHILTPSTRINTLDASSSCPAESNRSDLHEQKLLLSPSLPSNLLDLSGDLDLPLGCLRIVQFHLESLFDGLAEEKKNSAALNNDSFKIPTESSSSSTDERAPGPLLFSSALTERRNLSPVYYSHSTGHVSQNSAPHTLVQVNAVCQQNVALSSGTNTIFNGLTLPPSCAADSENYPASPFHNTGDIVGTHGTGMYIPNNVSLLSGTNANALAQLPFPAADSEDYYYRWYHTTTDDHQSPTTNNHRWPTANNHRWPTANNHRLVQFLLQGYRDYKEHIFYDKGRRQREMLPDRYFRQSNSPELGCSSSSNGGSTVEYTSRPTRQQDYSSRSVVPAERGFGQGRAPANYVTRWTPTRQPWNVRNNQHGYGGTKMNMVVNQKPGPNEGLVRPNGEPRELPVLHPSEVQNRETRASSSRVEFPHCVGNGEGNFQEYNTCQPSSPLTEACYPIISRQAEGLEFGSLGPISSGENYIEAFPPLPARKVSAEAHVSAPVNSSPAAEAPVSTPESSSPSTRSKEFYQLRDEADFPPLKADSHNGFKHIVGK >LPERR07G23220.1 pep chromosome:Lperr_V1.4:7:21765293:21766933:1 gene:LPERR07G23220 transcript:LPERR07G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPFFLLSSQNKGEKKKEKKRPGIAGHLLLSPPPPPPPHPHLLAVAGHLLLSPSRRLQEKEAELDAARRRTADLEERLRQASGEAQEWCGLARNNEAVSAAAPAQIADDDAQSCCFATKVACDGSEATSPTAKWSCKWCGEGDATVLLLPVATTTATPSLPSGCTAATGPHR >LPERR07G23230.1 pep chromosome:Lperr_V1.4:7:21774454:21777963:-1 gene:LPERR07G23230 transcript:LPERR07G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPKPGGDHPSPGRSPNLNLPCPPLPPIVPSPSPAPPPPPHHRRARSEVAFRFPEDLGLGGGSGGDGASFDEIGSEDDLLFSTFMDMDKIAGGGADRDSRAAETSSPPRPTKHRHSASFDGFAFSAGAPGTATKQQDGGGGVGFAADVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMTKSNEAYNTGMQQVPYSPSFFQLSEQHAVQHHAGIQQLTHQFQQSHPSVPIHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGPVAVKSEAEVVVKSEGSSISAGESNSTF >LPERR07G23240.1 pep chromosome:Lperr_V1.4:7:21779052:21785273:-1 gene:LPERR07G23240 transcript:LPERR07G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAKRCMNAACGAPAGGQEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVLSPVVPKLFPSPNNQRLFGKSDELLSGRPLETTSVMVDARNDDLTIISKNSHPFMVKNIEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRMPFLTRSQSALEPPQYTRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFAEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSNTNENLAIVSGYSGFLQSIKGAADLHTSSLYDHHVNSADGDASWLKTDKFGSRPDDGPLQFLKRGRNIGSKSRRLSMDTEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEHDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAASFDALTTAAVFGEVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAETSKKVAWMNRDEPEGSSLSRSPQTLDTTRDGDVTMFDKVDINKGHIDLNFHPTAIRDEDQHNAGQPRASMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTNAAATVPQPTPVESEERTSNNEGRVPSAEQPESMAVDEAGDNQPDKAAASDAAAA >LPERR07G23240.2 pep chromosome:Lperr_V1.4:7:21779052:21785273:-1 gene:LPERR07G23240 transcript:LPERR07G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAKRCMNAACGAPAGGQEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKVLSPVVPKLFPSPNNQRLFGKSDELLSGRPLETTSVMVDARNDDLTIISKNSHPFMVKNIEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRMPFLTRSQSALEPPQYTRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFAEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSNTNENLAIVSGYSGFLQSIKGAADLHTSSLYDHHVNSADGDASWLKTDKFGSRPDDGPLQFLKRGRNIGSKSRRLSMDTEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEHDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAASFDALTTAAVFGEVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAETSKKVAWMNRDEPEGSSLSRSPQTLDTTRDGDVTMFDKVDINKGHIDLNFHPTAIRDEDQHNAGQPRASMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTNAAATVPQPTPVESEERTSNNEGRVPSAEQPESMAVDEAGDNQPDKAAASDAAAA >LPERR07G23260.1 pep chromosome:Lperr_V1.4:7:21804532:21808914:-1 gene:LPERR07G23260 transcript:LPERR07G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRWRWRWALLLAMVAADSAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANTKACKAFDGFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQSAGAAAVLVADDRVEPLITMDSPESSGTDYIEKITIPSALVTKKFGDDLKKALINGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMNFVRSFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFNIGYDGKDVVVQNLLQICLFKVANETRKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDIDKINKCVGDPEADEENPVLKAEQDAQIGHGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDICLSEEIQTNECLENNGGCWQDKTNNFTACKDTFRGRVCECPVARGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKTVSACSNEETKGCKCPPGFKGDGIHNCEDIDECKDKLFCQCKDCSCDNTWGSYECSCGGNNMLYMREHDTCIGKVGSSSMGWGLLWVIFLGLALAGLGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >LPERR07G23270.1 pep chromosome:Lperr_V1.4:7:21810712:21812247:-1 gene:LPERR07G23270 transcript:LPERR07G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQPFDWIKKTFFEKPEPEA >LPERR07G23280.1 pep chromosome:Lperr_V1.4:7:21814922:21833294:-1 gene:LPERR07G23280 transcript:LPERR07G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPVRAGALGAVVSAEAEPDAPSPSGGGGGGGGGGSKSTRREARRRDVCVEVDGSTWCLGDGDRRDLAELVMRDVRVSGEGLDVAALREADREKRYSLRLRVRDAPEEGFRLGNWPVVPSDCVILECAIAGVVVSGCFDGPDEGVSGLAHLVSLRFVTLRVHDFSVSHSGDSVLAGSFRVRLGMMEQAFAACESLLEVTRHPWRKSLMNMMAWVRPEVLTSAAIYGEADLVCPINGGANGDFTPKKDSQFDLDAFYEAVKPSMEAGQLEEELPGLLPHLRPYQLRAANWMVQREKRNTMVSSPNQQYAHSAPYCVPIDYMHNNSRMFYNLFNGNVSMHPEPSPSYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGTDQIKRHKRERVECICGAASVSSAYQGIWIQCDICDAWQHATCVGYSTKEDLRFNDDNGDAASKNEKGTIKSKNRRKKKDKYCTAETEEKYICTLCSELIEAAQTNISSHATLIVCPSPILAQWHSEITRHTRPGSLKVCIYEGAKNLDLTSTPKNDMAEISTADIVLTTYDVLKEDLSHDSDRYDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKNSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYEKGDMIAMNYTHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDRAHEIIRRIRNDANKRETISDSNVLSNVFLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRSPLSMGEILQVLIGKAKIEGEEELRKTVVALNGLAGLAVIEHKNQEAISLYKEVLALTRENFDDFRVDPLLNLHINHNLAELLRASSDYLQECQLKEQTFEVHGGRKRKETSPVDSDLCGIKRNKICENSRSSLNADNPETSEDDKNINTQVCTCGEIDSDNAGCQSSSVCLADGCLRKTCNSIREKYLLVFTSKLLTAQEDFSTSFTEVSTLSTELQSQNMNWWLHALDCIEQNKDSADELIRKINASLTKSNTGLGSEGISSRVRTIAGLKYTIQAGIDSLQSSRQQLMHRLLELDKTMDNPKDEDIECQRYCPNCYDGTGSLCIQCELDLLFQGYEARLFVVKKSNNGSVIASVDEARDLRRRNNELNQFFRNTNTNEGSEPYDGDKNPRSVQENIQVYRHPSPIETSLGVIRTHSKTIMGEQHAKMAKKHLLLFEAMRKEFSHARNLSIAQTQLLRAHDEIKMSLSRLQLKENDDEPSAVNIVTREELIPYNVQFTSDKFLSLSSLARIRGQLRYLEGLALCNGHGESLPKTNNSVSVGTSFPATGQTASDIGNEPCPICQEKVFDQKMVFQCGHFLCCKCCLHMTEKSAGHFGGSKRWIMCPTCRQRTYLENVAFVVENPSENADREVDDLAESTISVQGSYGTKIEAVTRRILRITTTDGATKVLVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSHAALSQFKGLASSISGEKAKKPFSNMQPAQVLLMLIQHGANGLNLLEAQHVILMEPLLNPAAEAQAISRIHRIGQDKCTFVHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKKFKDELVLTLKDVESLFPVAAHDQPSEQENKNHGGHLRSLPPSVAAGLAAERSMASPGGVEDGRRSQATVTPCELIDGLLEMREKAVMLQNMVQVTPAPSSCAVASTSRQLNQLLDGVVSRLQSSTLSVISPPIAGGRRGSGGSSSRKKRSAAVAGDSGPHRRSSSGRRRSKSPFVKMVTTKELEDGNQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKQVQISETNPLEFVISYYGEHTCRDPSTIPFLIEAEAPTANDNCYGNNRMINFGSGAAAAAVASTSSRQLMVAADLGAVDPTPSCSFAATNCRSPFSPEYCGSEDADQLSSSLPLAAVVGMGSPSTSIVGSAPAEYEWPAGVVSGDLAGGGIDSFSSSPICSLGFFGNLPGDDGDMADPLWL >LPERR07G23290.1 pep chromosome:Lperr_V1.4:7:21835485:21838887:-1 gene:LPERR07G23290 transcript:LPERR07G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRALDGWMGYDDAVATATGVGDTVAPCDLALLSPSSLSLKRHQASLIGSNSCKTSDVLRPCRLMAISDARVVRHVRCPRCFSVLQEPSGAPVYQCGGCGTNLKAKIRTGSSQEVISAPSYLGNGLLPPQSKHLGSSDVASTSGSTPEAPITRDDMMNRRETDDLVSAKNNSTEQVLPIEDEQVQSTSQQAVTGNSEDFTRGDAATASAQCCPRSSDNKTAPSVATEKKKATSPPHVHARHHHQSSDESLAPLQKKILKTVDNLKDELSELFSKSPELNKPTRTTRQRPPRLPRREGHPPRDAAALAAAAASLHATIRARHAAAHVAPPPRGLPSRRYRRCRADPQFCHHHGCCCAGAGAGGGKQACSSCRGHCCRRPRTQQPPAAAAGKEVVKRRAPPRNHCRPVLKGAPFIVCSTCFRLVQVPADFAVFPTKAVRKLRCGSCSAVLCYSYRDPDRKKSVSAAASPAPPRRRRDPFAFMDDFVDVSYSTEDDQPLHVSRNSSFNTVADERSSPAAATARLHRLMGYGSASDLLFRQHSPDLYESFSERTTPDVFAGAGAAAAAAKYDRKGKGICLEFDDGDDDDDDSDEDFSGKMKKSKLRGGSAWPLPGFLNKGMPGMGAIRIKS >LPERR07G23290.2 pep chromosome:Lperr_V1.4:7:21835485:21838162:-1 gene:LPERR07G23290 transcript:LPERR07G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWAVCFMLGKIRHQASLIGSNSCKTSDVLRPCRLMAISDARVVRHVRCPRCFSVLQEPSGAPVYQCGGCGTNLKAKIRTGSSQEVISAPSYLGNGLLPPQSKHLGSSDVASTSGSTPEAPITRDDMMNRRETDDLVSAKNNSTEQVLPIEDEQVQSTSQQAVTGNSEDFTRGDAATASAQCCPRSSDNKTAPSVATEKKKATSPPHVHARHHHQSSDESLAPLQKKILKTVDNLKDELSELFSKSPELNKPTRTTRQRPPRLPRREGHPPRDAAALAAAAASLHATIRARHAAAHVAPPPRGLPSRRYRRCRADPQFCHHHGCCCAGAGAGGGKQACSSCRGHCCRRPRTQQPPAAAAGKEVVKRRAPPRNHCRPVLKGAPFIVCSTCFRLVQVPADFAVFPTKAVRKLRCGSCSAVLCYSYRDPDRKKSVSAAASPAPPRRRRDPFAFMDDFVDVSYSTEDDQPLHVSRNSSFNTVADERSSPAAATARLHRLMGYGSASDLLFRQHSPDLYESFSERTTPDVFAGAGAAAAAAKYDRKGKGICLEFDDGDDDDDDSDEDFSGKMKKSKLRGGSAWPLPGFLNKGMPGMGAIRIKS >LPERR07G23300.1 pep chromosome:Lperr_V1.4:7:21842204:21847782:1 gene:LPERR07G23300 transcript:LPERR07G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSQTSSLARTLEQDPELFTAKIILPMGPPDAVSLESDEFDFSDVFGTTPVQTPTGISAAGPDSPASLVESNEEVYNDPIVIIKRSHSLVGPTSLVSQSLRFSKLTLNKTEGPSDPADCTAEEKERNLGQLSDEEFDNATTENEGVGLDDFEILKLVGQGAFGKVFQVKKKGTSEIYAMKVMRKDKILENNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDSDGHAMLTDFGLAKEFHENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKDKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWFKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVITPVAGSAGHSNFAGFTYVRPAPFLHDVKSPSSSRLKD >LPERR07G23310.1 pep chromosome:Lperr_V1.4:7:21846331:21849425:-1 gene:LPERR07G23310 transcript:LPERR07G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAEKREEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTENLTVAEPGELNFTGMKKKKKKPVDLDLSSNDIGDGEDTLDDQIADEEEGEGIVLGGAPTYPWEGTDRDYIYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYISNPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >LPERR07G23320.1 pep chromosome:Lperr_V1.4:7:21849445:21854953:-1 gene:LPERR07G23320 transcript:LPERR07G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALPSFPSLLYTLRTLFALAFYSSVVFFYSATSSSLLRVCVGWITYPATAVPTEAEGCNFLQRQERISGNSVAGDEPPPWKTGADLASEKQALLDFASAVYHGNKLNWSQSTSLCSWHGVKCSADQSHIFELRVPGAGLIGVIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPAFMNPNLSVVDLSYNSFTGEIRINLQNLSQLSVLNLQENSLSGSIPDLKLSNLRLLNLSNNDLKGQIPRSLQTFSNGSFLGNPGLCGPPLAKCLVPYSPTPSPESSSSAPAPMSTHHEKKFGTGFIIAVAVGGFAVLMFIVVVLAVCNSKRKSKKESGVDYKGKGTAVRSDKPKQEFSSGVQIAEKNKLVFLEGCSYSFDLEDLLRASAEVLGKGSFGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDHLANGSFSTKLHGIRGVSEKTPLDWNTRVKIILGTAYGIAHIHAEGGAKLSHGNIKSTNVLLDQEYSSYVSDYGLSALMSVPSNASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKIKDSNPPSKRIPPGKKPYPPESPRRRRLFSTGGEKSRDSIAAAAGFGLGAEEAR >LPERR07G23330.1 pep chromosome:Lperr_V1.4:7:21862407:21871994:1 gene:LPERR07G23330 transcript:LPERR07G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHVSRPDLVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGHAWAHQRKLIAPEFFPDKVKGMVDQMVESAQALVIKSWQERLDRSESNALDLMIDDDNRAYSADVISRTCFGSSYEKGKHIFEMIRELQKMVSKKPKHQLAEMAGLGFLISPSSSSAAAWRLSRRVRELILDLVGDHECGGGGDNLLGALLRSAGGGAAAEDFVVDNCKNIYFAGYESTAVTAAWCLMLLALHPEWQRRVRHELNIPETLTDPISQKLLLQLTMVIQETLRLYPAGAVISRQALRDLTIGDELQLPKGVNIYIPVSTLHLDEHIWGAAAAEFDPSRFAAGAPPPPHYAYLPFGAGARTCLGQAFAMAELKVLLALVVSRFELAISPEYVHSPALRLIVEPEHGVRLVIRNVGPRCGSWAGFD >LPERR07G23340.1 pep chromosome:Lperr_V1.4:7:21873768:21874385:1 gene:LPERR07G23340 transcript:LPERR07G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELNHPGESVAAAAKAPSLGKSPSPASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRAGLAATVGAYVPDGAAGLRFDDFESLHRALGDALFGAIDVPGDDGDSSPGAGEEGEEEEMKEAFRVFDVDGDGFISAAELQTVLKKLGMPEAGSLATVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >LPERR07G23350.1 pep chromosome:Lperr_V1.4:7:21878036:21886816:1 gene:LPERR07G23350 transcript:LPERR07G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGGEGGEMERRVMAALKASEARGDQPLVWAVEVARVVAGEEEGTAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELMKCNVTSSFLAMEPGPNRDKITKSIDGALQLSKIYGFSEIDVGHVIIFFMMFVITKLIDCILEDCGFPSGLTQDQESIYAIQGPQGMDLDAKGVYTEKQNEHRAQLRRKNTVMALDVLLMMVADRKIQSFLRLILLNMPDKFSALSQRLSLVESHKLDLETVLTANDKIYDLLMNIQRVSNTAYLPNNKRLLGVLGNMKYSGSMLGQFAGAGRAACWVIFDIYVENAIDGKHLSAISAIEILKETTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVSGTEGNKILPKRLGLISSLQDLVLYSGLLVPPSSVVNAANAAASKAAIYKANYKPGGGNPGMMGQNDSSTKAVGNMLHLIVEACISRNLIDTTAYLWPGYVMSSGHLKDVALPQESPWLNFMQGAPLSSPLIDDLIATPASSIAELDRLYHIALNGSEEEKSAAAKILCGASFVCGWNIQEYAVRMVIKLLSPPLPSNSSTQGSVSHYLAQMSTLNSLLLGISYGDAIHIISLYGMVPDVAAALMPICEAFGSIPPPSNHRSNSAGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMHNNLIDFPNSSAASRNSSNNIGPLNEVPTQPVYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMIYRKMNKPGVPSGNLSSTSSGSVSGSSVSTSDDSYQRPTVPAWEFLEAVPFVLEALLTACAHGRFSSRELTTSLRDLVDFMPASIAVITTYFSAEITRGIWKTVPMNGTEWPSPGASLHSIEAEIKEVLASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKNLEYIHAIIGQALENCAGGSSWPSMPIIGALWAQKVRRWHDFIVLSCLRSPFGRDKDAVEQLIRSCFSSFLRSSFSGFDITANRGVGALMGESITGQGLQLPMAPGFIYLRTCRTFNDTYFVSEVILKQVLEWADKLANGWSSNGPPNLKSGRMPLSGAASMAHQVAMLGAGLLCVAGGPLVIQVLYEETLPTLLLSAREQSMKDPRPVSSTLQGYAMANMLFFCGSLLWGAERTSPMLKLSFLSRRPRVVGTHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIAAGLRSWNEHDLALALLERGGPQAISTVVETLL >LPERR07G23360.1 pep chromosome:Lperr_V1.4:7:21887265:21895185:1 gene:LPERR07G23360 transcript:LPERR07G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKTYFGFSGFRSYQQEIIQKVLEGRDGLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQRGVKSEYLGSTQTDISVSGEAERGTFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHTLRDLLVGVPFVALTATATQRVRGDIATSLALHNPHIVVGSFDRPNLFYGVKSCNRSMAFMNELVENVSKNCTVGGSTIIYCTTIRETEQVHEALVAAGIKSGIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDIRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCSEAKSQTQQKAIMESFMAAQKYCLLATCHRKFLLQYFGEERASDCGNCDNCTRAKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRGKKIVENNFDKLPLHGRGKDYPPNWWKALGGVLLAHDYLKETVHDMFRFISVGPNGYKFLSTADKGDGAPLFLQLTTEMIELEGHGSSEGKEGSLNPLGQSESERLSEDELKFYQVLSSVRMKLAQDIGTAPYAICGDQTLRNFVKLRPSTMARLANIDGVNQHFISRFGGIFIQNITQLAKELNLPVDDSSALESIAVVPKPIQNNLPRNLGDAKYCSWELWQKMKFSFQKVAHFRRAVPIKEKTVISYILDAAQDGCEMDWSRFCEEVGLTLEIASQIRLAITKVGSHERLKPIKEELPENVTYEVIRTFLVIEGLGLSDQVFGSVPADEIQSKTSEAPKPTNNGSEVGENDNQGHRVIKIDGQDGKSTTAIGATEDAILELVTGRDGVTLEDVVKHFNGSKKECVVKMLDNLECNFSVYKKNGCYMIL >LPERR07G23360.2 pep chromosome:Lperr_V1.4:7:21887265:21895185:1 gene:LPERR07G23360 transcript:LPERR07G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKTYFGFSGFRSYQQEIIQKVLEGRDGLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQRGVKSEYLGSTQTDISVSGEAERGTFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHTLRDLLVGVPFVALTATATQRVRGDIATSLALHNPHIVVGSFDRPNLFYGVKSCNRSMAFMNELVENVSKNCTVGGSTIIYCTTIRETEQVHEALVAAGIKSGIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDIRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCSEAKSQTQQKAIMESFMAAQKYCLLATCHRKFLLQYFGEERASDCGNCDNCTRAKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRGKKIVENNFDKLPLHGRGKDYPPNWWKALGGVLLAHDYLKETVHDMFRFISVGPNGYKFLSTADKGDGAPLFLQLTTEMIELEGHGSSEGKEGSLNPLGQSESERLSEDELKFYQVLSSVRMKLAQDIGTAPYAICGDQTLRNFVKLRPSTMARLANIDGVNQHFISRFGGIFIQNITQLAKELNLPVDDSSALESIAVVPKPIQNNLPRNLGDAKYCSWELWQKMKFSFQKVAHFRRAVPIKEKTVISYILDAAQDGCEMDWSRFCEEVGLTLEIASQIRLAITKVGSHERLKPIKEELPENVTYEVIRTFLVIEGLGLSDQVFGSVPADEIQSKTSEAPKPTNNGSEVGENDNQGHRVIVLDDCDASPLTKRGQTDGLLISGDEPASKLQKIDGQDGKSTTAIGATEDAILELVTGRDGVTLEDVVKHFNGSKKECVVKMLDNLECNFSVYKKNGCYMIL >LPERR07G23370.1 pep chromosome:Lperr_V1.4:7:21895763:21901003:1 gene:LPERR07G23370 transcript:LPERR07G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALLPAAKRRRGPRVAVLALVLCSLLVPLAFLFDRSQSGYVTTDERRRQVSQLFHPFHAFLHMLIVSGCDVTFALIAKFHGGFQEVVLPKFDRVVKRDGGGAVNGLRQDAPKKIPNGNREGLHKHKQTDRQTSHVSTKPTVLSTPKIDPSEAEKESTQGTREVSKDRKRRDKGTNTEEMENVKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPIIAKLEGQEELTRIIKQNIQDHERVLSVSTVDADLPSFINKKMDQMEQTIAKAKSCTVDCHNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSLRLTLEYFKSSSSDSDVSSAHKFNAANSRHYVILSKNILAASVAINSTVSSSKDPKNIVFHILTDAQNFYAMKYWFDRNSYREAAVHVINYGDIIMEKLTKFSMPHLYLSEEFRVLVRSTERPAEKTRMEYLSLFSPSHFFIPDIFKDLKKVIILDDDVVIQRDLSFLWNLDMGDKVNGAVEFCGLRLGQVRNLLGSTTFNPKSCAWISGINVINLDNWRKRKVTENYMLLLKKKKRAQQWAIWKENAMLLTFLQATWKENVVVMMKDEASLRAAAFPLSLLSFQNLIYPLDERLILSGLGYDYGIAEEVARSSAALHYNGNMKPWLELGIPNYRKYWKKFLTREDRFMEECNVNP >LPERR07G23380.1 pep chromosome:Lperr_V1.4:7:21904408:21905379:1 gene:LPERR07G23380 transcript:LPERR07G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIIKANLECEKCYKKIQKVLLKLKEKENIKKLDYDNPKNTIKVTGNFKQEELAHKLRCKACEAIKDIEFVPINKPEEKKPESKKPDQEKKPEAKKEETKKSEEKKPEEKKKSDDGKKEEKKPAAGGEEKKSEKSKEEAKHAAAPAPAPSTTVNLQFTHMCGICYPWPCSDPTHWAGAGAGGVHPHWPGCKGCRIVQEGKFVYEEYPSGSACAVM >LPERR07G23390.1 pep chromosome:Lperr_V1.4:7:21912238:21915421:-1 gene:LPERR07G23390 transcript:LPERR07G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELALHLPATPTSVVAASSSPFMAAALSRQNSGRCGGGTAPSPLSVSSPSSWAPPPVFSRNGNGVGGEEIVGLGGDELISPANGGGGPPSPFFGGAGAGDPLMDELQLQDQLAFLNDGGAHQLLMFDGGECRSPGAGAGDGGGLFPYNIGGGWANGGPGHRRSASVSELCLGGGGAGADGLGWKPCLYYARGYCKNGSACRFVHGGAGGGIPDDGGAGGKMEPSAVEQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQQNENQRAAAAAAALMLGGDEAHKFMGRPRLDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLIRRKLEEQQQQHAAELQHAIELHSRRIMGLQLLDFKSRASAAPTPIGNPFSPSHTTANATGESPPDSGEFGKGSSFLHHKKAVNGGDKEESAGESSSPNTDSDQSVEHNLPDSPFASPTKAAAAGFARDPFAPSDAEIAASTGCSASYVGINNGAGNGGTKHLLPSALDMPSPKPYFFPMSRLASDHGAIGIR >LPERR07G23390.2 pep chromosome:Lperr_V1.4:7:21912571:21915421:-1 gene:LPERR07G23390 transcript:LPERR07G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELALHLPATPTSVVAASSSPFMAAALSRQNSGRCGGGTAPSPLSVSSPSSWAPPPVFSRNGNGVGGEEIVGLGGDELISPANGGGGPPSPFFGGAGAGDPLMDELQLQDQLAFLNDGGAHQLLMFDGGECRSPGAGAGDGGGLFPYNIGGGWANGGPGHRRSASVSELCLGGGGAGADGLGWKPCLYYARGYCKNGSACRFVHGGAGGGIPDDGGAGGKMEPSAVEQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQQNENQRAAAAAAALMLGGDEAHKFMGRPRLDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLIRRKLEEQQQQHAAELQHAIELHSRRIMGLQLLDFKSRASAAPTPIGNPFSPSHTTANATGESPPDSGEFGKGSSFLHHKKAVNGGDKEESAGESSSPNTDSDQSVEHNLPDSPFASPTKAAAAGFARDPFAPSDAEIAASTGCSASYVGINNGAGNGGTKHLLPSALDMPSPKPYFFPMSRLASDHGAIGM >LPERR07G23400.1 pep chromosome:Lperr_V1.4:7:21936060:21940297:-1 gene:LPERR07G23400 transcript:LPERR07G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADDEIIGDDDDEFYDYNPHPYAGGYDISATFGSPLPPSPSTCYPISSSSIHPPSPHPNPTPPPPPPPQEQNPRPPTLPPPPPYYWPKPHDYGDAPPQLDQPVYATPEVFRGWPYLPHSHCHCHSRCGGRDFWRQWMRGLDFLFGHADGYGERRIGVDSHGVPVYANRKGGVEDSVVVHVEPPATGVVEWHHAGGEEETDYGNGNRYYSWDDNARDETYAYAQPNYTSYDGCYEESYGAVSDETTWFPNQSYQHMYGEDESQYQETISSSYAEDKISTQPIYCYNQQFSEQPLHVLVEPPETAYSQKLEYYENFSTYNNYSSTNHFDMVGHPYEIQSDEHVPDEPIKPSWSTDSGYYQSCTDGAPAEFENHTLSSSDFGGIANLFATSFYPQQTQMYECHGNENVSLQQNWQCHWNVVSQNNSQLGDDSDHMNGSFWPFGDHSAYTIYKS >LPERR07G23410.1 pep chromosome:Lperr_V1.4:7:21941860:21945939:1 gene:LPERR07G23410 transcript:LPERR07G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPNGGRPETLADRLHRYRGVLLVVLAPLALVSLVLLLMPRSPNPSVSGAGRRYGPADTNKYAVIFDAGSSGSRVHVFRFDGKLDLLHIGDDIELFVQKKPGLSAYAKDPQEAAESLVSLLEDAKRVVPAELRDQTPVRVGATAGLRALGTEKSEQILQAVRDLLREKSSFKTLPDWVTVLDGTQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKELFLKGAKYYLYVHSYLHYGLLAARAEILKAGNSKGYSSCTLEGHQGQYKYGDDKFEASASPSGASYSKCRTDVVKALKVDEACTHMKCSFGGIWNGGGGSGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEKAAKRACKLNVKDAQAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVDPHQEMTLVKKVPYSNAFVEAAWPLGSAIEVASS >LPERR07G23420.1 pep chromosome:Lperr_V1.4:7:21954645:21956242:1 gene:LPERR07G23420 transcript:LPERR07G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSSSSATATALPPGFRFHPTDEELIVHYLSSRAGGDGGGGLPVAIIADVDIYKFDPWQLPAQAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHNSVTGDIVGVKKALVFYRGRPPKGTKTAWIMHEYRLASASAAGDPLAAVYKPAAAASSSSSSCRFRNVSMRLDDWVLCRIYKKSGQSEPSTILPPLAVAGDYDHDEQSAACLVDDTYSFFAPPPPPASSTFFPKLPKIPSISELFDEHALAQIFDAAEPPLPPPATDHLAVVHPSLNHLLAVGDNLLAECYSTTASSPAIAGGIKRKASPGEFAGGGGGGHTPAKRMMNGSCFESPQLLATPASVLAGLNHQILPQLF >LPERR07G23430.1 pep chromosome:Lperr_V1.4:7:21959275:21966487:1 gene:LPERR07G23430 transcript:LPERR07G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFCRADEAYSLIVRNSAVCLAPTNPRDEFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYQDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKEHGGVHDGTTVVLWEWCKGDNQRWKIRSSHREMPAVNSFREKKGRKKMSWFGHHHNQAPATPSDPNQIFKIFCRANENYCLTIRNGAVVLAPVNPKDESQHWFKDMRFSTKVKDEEGMPAFALVNKATGLAVKHSLGQSHPVKVVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNFDAFHGDKDHGGVQDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPSAGAAFTVNGVPVHTVRVYCAAGEGYCLTVRNGTAVLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPDYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGVHDGTEIVLWKWCEGDNQRWKILPWYSGEIHCIAIVVDRLLCC >LPERR07G23430.2 pep chromosome:Lperr_V1.4:7:21957759:21959272:1 gene:LPERR07G23430 transcript:LPERR07G23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWNGPVPFVFLPAPLTDRAPGGTPTATPPGLPATPSSMATTSGGGFNVDGAARGGIAARSVAVSRRLDYGTGIPFGTPGTVSDTPGYKKIYHTRRHLVRNDVGNGFRCIRMVNNIYLNFDAFHGDKSHDRRALGVGDNQSWKILPWGPDAFISPAPPSADYGHGNRLPVS >LPERR07G23440.1 pep chromosome:Lperr_V1.4:7:21967249:21969414:1 gene:LPERR07G23440 transcript:LPERR07G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYRREQYGYGGYGGIATPGYAPAAPYGMSQVNIEGNGGGRPLPPQPTVKVYCRANPNYAMTARNGAVVLAPANPKDDYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVKLVPYNPDVLDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTEIVLWKWCEGDNQRWMIQPYY >LPERR07G23450.1 pep chromosome:Lperr_V1.4:7:21982043:21984095:1 gene:LPERR07G23450 transcript:LPERR07G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINEMSGSEEEARLDLPPGFRFHPTDEEVVTHYLTRKAHDRRFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFVHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGVIVGMKKTLVFYLGRAPRGEKTPWVMHEYRLEGKLPPNLPRSAKEEWAVCRVFNKDLAAKNPQMATAAVLVDGAGDGAFPRNDSFSFLDDFLHDSDLPDLMDPFAAAAASTSTPPPPVKTEPHQQQPPPGANSYFSFPAANNHHISASASASAGAGLISHQHAAAVADQAIRRFCKAEAAPAPASLAAGEDTSDPFGDLLYYQDSSIPDYSHIWNEQL >LPERR07G23460.1 pep chromosome:Lperr_V1.4:7:21994155:21995672:1 gene:LPERR07G23460 transcript:LPERR07G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSRGGVVASPYGGEVAAGRATAAMAESVAIGGYSSKSTFPGGRMALTERKARPLQKNLEAAAGQMSLTIGKAMRWWEKGLQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATYEMQMCRLKLFVYKPAEC >LPERR07G23470.1 pep chromosome:Lperr_V1.4:7:22009537:22012298:1 gene:LPERR07G23470 transcript:LPERR07G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGQSPERHGASEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAASSSPPAAAAPCGGGHGGVGSAAASIGIMFGHHHGGGGGGAGGYSSSSSSTSWPSSSPPSMGIMGEYMDFGGGGGGDDLFAISRQMGYSMDGGGGGSSAAAVAGQQQQQMYYSCQPATITVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGSLLPSNEYGILLHSLQMGESYFLVTRSS >LPERR07G23480.1 pep chromosome:Lperr_V1.4:7:22014958:22017573:1 gene:LPERR07G23480 transcript:LPERR07G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVFDDAAILSKQEAIPSQFIWPADEAPGAAAFAVEEIAIPVVDLAEFMASGGGGGIGRDVVEACERHGFFQVVNHGVGEALIAEAYRCCDEFFYARPMEEKQRARRRAGENHRYASSFTAAAGTSTRFECKLPWKETLSFNCRAVHDYFVSVLGDDYRHMGRHASCIHRVVVNDAVTRRSLAFFLNPQLDRVVSPPPALIGPRAFPDFTCRRSDTKTMDAFHSSGHNDCTLIYHLGKWSV >LPERR07G23490.1 pep chromosome:Lperr_V1.4:7:22026011:22026520:-1 gene:LPERR07G23490 transcript:LPERR07G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSASVAVVVDVPLSLTAQQAAINDGDVDQWPPPAVPTACVDGKVMRMFPCIFCGKTFIKYQALGGHQNAHRKERVAGGGGLKNPYAGSGGGEVTAAAAAARSIPISSHGLSADIAGNEWWSGGGAARLTEHTRFLATLGGDRAVLAGGDPSAADAGDEQLDLELHL >LPERR07G23500.1 pep chromosome:Lperr_V1.4:7:22034541:22035128:1 gene:LPERR07G23500 transcript:LPERR07G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGQSPDRHAAGRPPVRSRWTPNPEQRLILEFIFNSGMVNPPKGETVRIRKLLERFGAVGDANIFYWFRNRRSRSRRRQAQAQATAAAAAASSGSPPAAAAPGGSAAALLGMMFGGAGGYTSPPSVGMMMGDVDCGGGGGDDDLFAIARQMGYRSMDGGGGGGSSSSAAAVAGQQQHQMYHWCQPGEARPPP >LPERR07G23510.1 pep chromosome:Lperr_V1.4:7:22047145:22047488:-1 gene:LPERR07G23510 transcript:LPERR07G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVRKIVEQSKSAKPTSTPQPRYGETQTCQASGTTSDSPRKSGMNQNSKPQGDDKGEKSLSIPKTLPIDDPDEAAKNSIWTTLGIEPGGRAMDVQTIPVEI >LPERR07G23520.1 pep chromosome:Lperr_V1.4:7:22049255:22052812:1 gene:LPERR07G23520 transcript:LPERR07G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGQSPKRHGAAVAEPVKSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDASVFYWFQNRRSRSRRRRRQLQAAAAAVPCGHGGGGSAAASTGIMFGHHGGAAGGYSSSPSPLSADCGGGDDLFAISRQMMGYSMDGGGSSAAAAAAGQQQQQMYYSCQPATITVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGSLLPANEHGILLHSLQMGESYFLVSRSS >LPERR07G23530.1 pep chromosome:Lperr_V1.4:7:22055961:22059146:-1 gene:LPERR07G23530 transcript:LPERR07G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVGSCPCSMDLAAGAASPQPPPPPPESHVAPSSPPPQPPEKDSCEDTGDMRISEEKPCTDQELDADQTNSSSLNSSSECEDQTRSKDEMTGSESKSEAVKTDGDGSNGEKILKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSTANCRSILIPGSSLAAPAGDSPVYQVCIKGNQTAAAVKFGPDSPLCNSMASVLKIGEQSKNAKPTSTTQPRNGETQTFKASGTTSDSPRNESVNGHQNGVVGQSGVTPMHPIPCFPGPPFVYPWTPAWNGIPAMAAPVCPTPTEPTNSSDNASTSNVQWSMPPVMPVPGYCGPPIPFPVIPSSVWPFISPWANGAWSSPWLGPSCGVSASSPTSSSTCSDNGSPVLGKHSRDSKPQGDDKVEKSLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRGMFRPFQSKSESREQISGAARVLQANPAALSRSQSFQETT >LPERR07G23540.1 pep chromosome:Lperr_V1.4:7:22064552:22066144:-1 gene:LPERR07G23540 transcript:LPERR07G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQVQSDSQHLSSRSGLPPEKQFQLHGGVDSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKSIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQGNASRAKSVLGCSTIETEKPCEGSGSPASHLDLETQTSSSMHINEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLQKAQEALGTIGVVESAATNASSKSLQNEHSLHHHHHQQQQQQQQIGDGSVDSCLTACDCEGSSHHSHSQRDQQDILSIGLQQFEPARSEGKDTSRMEQYLLFTDEPSRRRSCSEIRKDGFNSSSIPMQASELDLNIINDGGSSSSRSHSHSHRREKIDLNGSGWN >LPERR07G23550.1 pep chromosome:Lperr_V1.4:7:22069280:22080267:-1 gene:LPERR07G23550 transcript:LPERR07G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTTSPLPLFDLHSPPPSRPLAVSDRHAAVFLAHPKGFMAARTKAVIDASKEARDKGKASTRCVHDFCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNQVSKAFIVLSKDGLLSQGSLGEGLKDVMENVDAVDCCKGGTHIVLSKKNILNILSSDLKEICCMPLLFQLWSDNDDSEDASIKVDSIGWVRDDSIVVGCVRLNEEGYLLQVIRSDGNTFFENSSKPIVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMVASNKKSIDEHISLLKWSSTTDDKKTVAYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKELAPQHILLYLTSEGKLNIFYLARISDPSELPQKKLSSIEDSDVKKQISPATVSGKKFTPSLTSSLDKGILTASEAEISSAQPDKDQHGPTDVKNSSPVSKTKDIVTSPPAPSSFLAPAGNTKPGIPFSFPTANSVGTSPSGSNTSSQLAFSWQQSGSSSSINNQLGKGSIGSTQPVGAFGASQNSKKDSGSLSFKPSVFSSDGSTFAKSGERNDAGFASHLPQSSYNTDNKVPSSVGSSSETSSSVSPIKPFSAGPPSAGFRTGTLEAFPSSRGSPLPQESIDKSNNRIHAAVDHSKNFKFGMMFDTEQDLSKKFYSINDMTKELDTLLAYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKTAEQCSRVEDLRNKMFQGMSTTFVSARQAYMKGIVNQSSDAQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRAASSRRAIYSNKSRSSQTQLSSVYNALNSQLAAAEQLSDCLSKQISVLNISSPSTKRGAVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSTREQKASILGPSKSTEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKSSGSPTSSIVESYANKAHYPSEVPHEKTKSSGPQAQNNTLFKWVKESAGPSEGTQHKYHELPGQLRSVEQPPKLAPSSPLSFSYSHKDVRDNVSSSNVPSSGTTHTAPKSSTLTFKTTIIPKTNANALPDMSPSMTSSKFSQSPLSVKPLSGESGGMPSVITKNKQGGQVMPSLGSTKGLDVFPQNVGGTFRDLNKPSLSPEPPKSSLLQGNTVQLSKISDAVLNPAKAKPEVAFQPPAFSPTPVAQSPHTAKPAVSSSARSSSSTMLESTGKTSDVLSPTVPSTLTSKAMPKSSSPLPDGTISSSLPSIPTPVKETSVGLNKIVSKPEVVTSEVTGPTVSASTSSNIPFIEGKPSLIPATSGSLPSIPGSAPKVVPAAAESVVVTSTGKDVGPSNLSSDEDDMEEEDPSASSDLNLGALGGFGLGSVPSSSPQKSNPFGSSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSAQPAQSSQSTGSAFSSGLSGFGQSAQIGSAQQSGFGQPAQIGTGQQTGFGQPAQIGTGQQAGFGQPAQIQSGFGQPAQVGIAQQSGFGQPAQIGAAQQSGYGQPAQFGAQQALGSVLGSFGQSRQLGGFGGFASASASGGFGSSSNAGFAGGAAGGGFPAAAAPAGGGFAAAATGGGFAALASKGGGFAGAASSGGGFGGAAQGSGFSGGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >LPERR07G23560.1 pep chromosome:Lperr_V1.4:7:22080732:22083623:1 gene:LPERR07G23560 transcript:LPERR07G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAGVSPSAWRSAASTALAAAVFAFLDVVDVLLCYVYAFLDAVLEDDPVSCYCHAAALQNDDEEEVSDTLYLRRSPFRDALTRLVRSRRKFSSPETETTTTVQRCKGRSPRWSDCGCDSCRSWQQRSHDDDDGRQLLHIVVNQPQPPDATKQSDQEDAIFIHGFTSSSSFWAETVFHESSILNNCRMFAVDLLGFGKSPKPANCMYRLKDHVDMIERSLIDPLNLSSFHLVSHSMGCIIATALAAKHPERVRSITLIAPPYFPASEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFFICKHHLLWERLLKFLTRNRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLDAVEAAGIPVQVIHGGDDQVVPVDCSRHLKAKLPGAELRLMDGCDHKTVVFGREKGFAEELRKFWSSASSQKQNLNSEGRVD >LPERR07G23570.1 pep chromosome:Lperr_V1.4:7:22088732:22090384:-1 gene:LPERR07G23570 transcript:LPERR07G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTMVDRRMAPFAAEADHNNKEAFGFFSAAAGECFVGENDLVNTAPPPPQIFAGGEEDDDDDADAELDDIEELERRMWRDRVRHKRLKELQTSGGAGRPAPEIAGGRRNQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPENGKPVSGASDNLRSWWKDKVRFDRNGPAAIAKFQSAAAADSVNDNGAVNSTGGDSSLHSLQDTTLGSLLSALMQHCDPPQRRFPLEKGAPPPWWPEGKEDWWAEAGVPAQLGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVEKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLYLKLHPGACLPPPPPAPLSAAELSISGEYDVVDAGDDEDGNLQKVSPPFMDLTAIDNKFMIMPAAATEMMMKEEAIDVEFIQKRSAPPPPPPVAGGENGRVYTCENVQCPRSNYALGFLDRNERNAHQFACKHNAAGENKLFDPLAGFDFDLPVDGQRCLAGLMNMYDNVMHHPQQQQQAFFIGDGVAAAPEFRFGSNLNVSDYGGAMQQPPGKFVGSNWFY >LPERR07G23580.1 pep chromosome:Lperr_V1.4:7:22093566:22097109:1 gene:LPERR07G23580 transcript:LPERR07G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNAGVGGVSVDQDGLRALNIDPKVWLSGKAVNLIQSVIIQTYDEAVKCLNTNYYGLKWITEALLPLLKQSTSGARIVNTTSLRSELKRMPNEKLREELRNVDIWDEARIEAMLNEFLIDLKNERLEEAGWPTMLPSYSMSKTVVNLYTRILAKRHPEMRTNCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >LPERR07G23590.1 pep chromosome:Lperr_V1.4:7:22097460:22099838:1 gene:LPERR07G23590 transcript:LPERR07G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPLIPLLIAFIISPNPALCYINPSAKTLNNNAPNSSGRRTYIVFVEPPPVSHVDGDRRRWHESFLPATHLADESPRLVHSYGEVFSGFAARLTAGELDAVSNTPGFVHAFPDTMLHLMTTHSPEFLGLTRNAGAAADVWRDTGYGKGVIVGVLDTGIHAPHPSFDDHGVSPPPARWKGSCAGHGVRCNNKLIGAKSFAGADNDTSDAAGHGTHTSSTAAGNFVDGASVNGGVGNGTTAGIAPGAHLAMYKVCGGDGQCTGSALLAGIEEAITDGVDILSISLGSTIPLKFEEDPLAIGAFTAVAKGITVVVAAGNSGPFVGSVFNEAPWLFTVAAGSIDRQFSAVTKLGNGKVVNGETLYQSKKSTISRKSYPLFYSDGTRLCKFIERTRDVVGGKIVLCELDSSSSLFKQLIDQFKEAGVAGVVVINSEVDGYATVLSDLGSDVVQVTAADGNATAAYVAAAKGKKAAAASVAFKDTVVGVRPAPTVASFSSRGPSVHNPGVLKPDILAPGLNILAAWPPHLATGGDAGVNAARAATFSVESGTSMATPHVSGVAALVKSAHPGWSPAAIKSAIMTSSDDVDNTGGPILDEHHAVAGAYLAGAGHVNPARAVDPGLVYNIAVDDYAGYICTLAGENALKIIAQNSSLRCKNLPKISQTQLNYPAITLSVESSTASRTVTNVGPANSTYTANLTMMIGNSSSSVRVSVSPEKLVFGKAEEKKTFTVRVTARGRESGKRVVEEGSLSWVSEKHVVRSPVLVVSGGGGGASAPPPPATATAQLRDFHGLF >LPERR07G23600.1 pep chromosome:Lperr_V1.4:7:22107838:22108632:1 gene:LPERR07G23600 transcript:LPERR07G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGDGGGALSRQGSVYSLTMNEVESHLGEPLRSMNLDDLLSRAGVSVGAGGGGAHWLRGHYPPPPAQQLQCALAVADFSTFPSCVDFLKAFLTQKLEPVVQFVPQPEPKQELRRTNSASF >LPERR07G23610.1 pep chromosome:Lperr_V1.4:7:22111700:22112152:-1 gene:LPERR07G23610 transcript:LPERR07G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVMNALLLILLAVAARRLAELAMRKLITGDNKTAASAAAEQLRVARYSGGGGECAVCLSGVEEGDEVRELRCRHLFHRGCIDRWLLITAAAAATCPLCRCRLLLPTTSPAATAEAEADEDYYGCDGDDEESGMVMFMAYVRSSSTWL >LPERR07G23620.1 pep chromosome:Lperr_V1.4:7:22114727:22119021:-1 gene:LPERR07G23620 transcript:LPERR07G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSTASTGNGGGTSGDDGSKSKKKALDGGDSNVGSSSGSNSPVSRVCRSRSRRGKLDNEEPSNAKQLRRCRSFSSSAANGCLKERSFSFSGDVPRSLFNDHSELDHAGRTNHYLWSPERHPVSRQHTIKVPRAHPALQSDSPGSRCHSGSTGHSPVSSPIAIRCRSTRLSNILNQNEVLDRYIDGEHDGTILTERQKQNSPTKSAVSNLGRPPRPQSTVSSLPRPTKEILETYPCEDVKEAHLHQLAHEDARDTCKITTLCNASRNHADLPDAFERFSHLEDYKSESATFVEDIYEDLQEMQTPDFIRSSLDPISEHAETDDELLQRTKEIEAKFVLPREETYELSMSKYKRLSANDMLQMIQCLTEDRRQLAYELSSQIKARLTERFAAKEQCKQSNKELDIRTRRLEKEKIEVQIALEKEMDRRSDDWSNRFSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAKRVDASDKIACLEMQNKKLNDELEKVRKDHDNLQNSSENLHARFTKAVEEKDHLREFLEDKDGENKALHKVYNAELDKKSVECGNEKKKRMQMELIRLTGVEQKLRGELQSCHLEVESLRKENIALLNRIQRSIGNGLRLSTIRLDQELQARVDNLQIHGLSLLDKTSHLCIKLLDLMNCKRRENESDNGIAALTVTEYSSEFQSIKGRIQSLKQSLRTISSVLSEKEIVKETSGDIVVGCSPSTEQKDELSLDNSELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRVQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDETINQVQLDFQESAKELSSLRGTLKTVTDERDLLWQEAKQLRKNISVMQNETTSLKKKIEALEEDILVKDGQISILLDNINKPQLDFICSPRSMKKFDME >LPERR07G23620.2 pep chromosome:Lperr_V1.4:7:22114727:22119021:-1 gene:LPERR07G23620 transcript:LPERR07G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSTASTGNGGGTSGDDGSKSKKKALDGGDSNVGSSSGSNSPVSRVCRSRSRRGKLDNEEPSNAKQLRRCRSFSSSAANGCLKERSFSFSGDVPRSLFNDHSELDHAGRTKSTRLSNILNQNEVLDRYIDGEHDGTILTERQKQNSPTKSAVSNLGRPPRPQSTVSSLPRPTKEILETYPCEDVKEAHLHQLAHEDARDTCKITTLCNASRNHADLPDAFERFSHLEDYKSESATFVEDIYEDLQEMQTPDFIRSSLDPISEHAETDDELLQRTKEIEAKFVLPREETYELSMSKYKRLSANDMLQMIQCLTEDRRQLAYELSSQIKARLTERFAAKEQCKQSNKELDIRTRRLEKEKIEVQIALEKEMDRRSDDWSNRFSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAKRVDASDKIACLEMQNKKLNDELEKVRKDHDNLQNSSENLHARFTKAVEEKDHLREFLEDKDGENKALHKVYNAELDKKSVECGNEKKKRMQMELIRLTGVEQKLRGELQSCHLEVESLRKENIALLNRIQRSIGNGLRLSTIRLDQELQARVDNLQIHGLSLLDKTSHLCIKLLDLMNCKRRENESDNGIAALTVTEYSSEFQSIKGRIQSLKQSLRTISSVLSEKEIVKETSGDIVVGCSPSTEQKDELSLDNSELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRVQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDETINQVQLDFQESAKELSSLRGTLKTVTDERDLLWQEAKQLRKNISVMQNETTSLKKKIEALEEDILVKDGQISILLDNINKPQLDFICSPRSMKKFDME >LPERR07G23630.1 pep chromosome:Lperr_V1.4:7:22120169:22124607:1 gene:LPERR07G23630 transcript:LPERR07G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTPLLRPPRAVASFWYFLHPRILLIRVATHQAAASSALTASKTGRFRRRKGIEVQDRFECCCCASRMGVAPSKIDDDKALLLCQERKRFVREAIDGRCALATAHSDYIQSLRDTGFLLRKCFEHEASEESIPNNTSSSFHASHMKAAMNSIKTYLEKVAVPVTVTMASASSQDPTGTPPLDYVDQILPGDNQLSFQDKNISGQYLDKLDEDFAESKDDFPNEEEEYFAESKDDFGSPSIETFVPESSNKSDVSDENSSTDKAPEHHSYGSVASKDIALHNPDCQSDNPKNERRMGGIHANENDRISAVSPVNVVPPSGAAFPVGSNEPYPYSNISVKDLYLGMVEIERLFSRACDSGKEVTRFLDEDKLQFRALLPEETARGLESSSFLATLFACCREDVPLPETPSQAEVKYITWHKSVSSQLSPSRNPPGIITVMHTSTLDKLYAWEGKLYDEVKVNSAICRKYDEKRKQLRYQESRGKNQIHVDFMRATVKDLHSRILVAIQKIDFISRNIEDIRDKELQPQLDELIGSLTRMWETMLECNQLQHAIMKLVSSKFSVRLSFQSESQCQDALLLSAKLSKLRSDFQKWVASHKAYLSSLNLWLHKCMKPLKKRKSSRKQNVVDISLTECAVAPIFTTCEIWIKFIDDLPTSELVKAIEDLIADLNHSLPHQQQLLNGGTGEIIRNNGPADLQSSLMAFLEKLEAFSAVSVQKYIAMQKNIDEAKEKFWRED >LPERR07G23640.1 pep chromosome:Lperr_V1.4:7:22129369:22129995:1 gene:LPERR07G23640 transcript:LPERR07G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALSCLAPPHAADIFARALHFSTMPDTTNSSSSAAASPSSSSSAAFLATGGGAFALSAPTMPAITCESVLVADTARPTPAPSGRRHHQLGPAASGRGSGKRRSRASKRAPTTYISTDPANFRIMVQHVTGVQADPSLAAADNIIAHLPTTTASSHLLDTTTTAASAFATNPMLMQEPQQHHYQQQQQPCFPTLDSSWSAVMYDHLL >LPERR07G23650.1 pep chromosome:Lperr_V1.4:7:22131698:22135723:1 gene:LPERR07G23650 transcript:LPERR07G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKQEKLLQAVDAMRNIEQELLRISTNRPQWTNLVMAVDSRVDKTLATLRPKALTDYRALLAALGWPPSLSSPDAERDKYSEIPNPLVLMNEANQEKYSQSFLALCALQHAQANREARQCRTKGATPSMSDSKYTDKTACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMAGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQNFSVLVNSYQATDDKLEVHSSWMHLNDLMINFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHPDWLQMWAGVELNSAQHKLKSEMEDETNWSYSIKELDHQEITNNFLLSTREDYKAPPISEYVVKTASSMIERGHALPNRSLRIQYNRSSSVQFLNGFFLVLRERCEALQLTNTAVEDNSLQKASFAINAVRYCEYVLREWDDDIVFLEMGAHGKHVDEGQEKGHKHSAQHSCSFFADEIAFLVKLGTDYLEQIMSSVLLEFEDLSWDYVQSIGLSGEQIHPVNEVLDEENLGVSPGFVASLEVLRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWTEIKFSGQGVTQLRVDTRALLHIFRPFCLRPEAFFPFISDSLKLLTMRNTDAQYFVEVLNNSKENNSCLKQQGLQHVNASQAMKILGSKRTGG >LPERR07G23660.1 pep chromosome:Lperr_V1.4:7:22140040:22142509:1 gene:LPERR07G23660 transcript:LPERR07G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCATAPTPLESKVTRSSISTLTTATTDKASRRSSSAFSSAGQFSRASSDEPQSPAAPALSSLKSFNMSDLRAATKNFGSNSFLGEGGFGCVYKGWIDEITLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVNLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWPMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRAADGDVMLVEWARPYIGDRRKVSRVMDTRLGGQYPKRQAHDVAALAMRCLHHDPRHRPAMPEALQHLQLNAKPSFTSSFSSAAVAAPARRSTPPLIHEIAS >LPERR07G23670.1 pep chromosome:Lperr_V1.4:7:22143489:22148826:1 gene:LPERR07G23670 transcript:LPERR07G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSLSVLISVPSWLALLPLMQLLFVQFDDREDAGSWLHMLVLVYALSWAFSLGSVAGQTGQLNIDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSYFERNPVALRMEVLCDSKGTNVCPSGGVGIYNPGYWGMNVERRKVYKVALHIRSLDAVSLTVSLASSNGLQKMASHTITGSKKQFAKWTKIEFHLKSNQNNANSRLQLTTSKSGVIWLDQVSVMPLDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGALPVWVVNDGASQNEEVSTTTIASLVKDIVDGIEFARGGPESTWGSVRAAMGHPQPFNLNYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVISSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTPRSGPKAIISEYAVTGNDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQSYGCPNYWMLHFFKDSSGATLHPSNIQISGYNQLAASAITWQSSKDKSTYLKIKVVNFGNKAVNLSISVSGLNVGIKSSGSKKTVLTSSGPLDENSFQQPEKVAPLSSPMDNANQQMDVSLGAYSLTSFDLLLEQSKHSSS >LPERR07G23670.2 pep chromosome:Lperr_V1.4:7:22143489:22148826:1 gene:LPERR07G23670 transcript:LPERR07G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQREDAGSWLHMLVLVYALSWAFSLGSVAGQTGQLNIDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSYFERNPVALRMEVLCDSKGTNVCPSGGVGIYNPGYWGMNVERRKVYKVALHIRSLDAVSLTVSLASSNGLQKMASHTITGSKKQFAKWTKIEFHLKSNQNNANSRLQLTTSKSGVIWLDQVSVMPLDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGALPVWVVNDGASQNEEVSTTTIASLVKDIVDGIEFARGGPESTWGSVRAAMGHPQPFNLNYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVISSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTPRSGPKAIISEYAVTGNDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQSYGCPNYWMLHFFKDSSGATLHPSNIQISGYNQLAASAITWQSSKDKSTYLKIKVVNFGNKAVNLSISVSGLNVGIKSSGSKKTVLTSSGPLDENSFQQPEKVAPLSSPMDNANQQMDVSLGAYSLTSFDLLLEQSKHSSS >LPERR07G23670.3 pep chromosome:Lperr_V1.4:7:22143489:22148826:1 gene:LPERR07G23670 transcript:LPERR07G23670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVQISTYYEVQLTLRFMDIVDGIEFARGGPESTWGSVRAAMGHPQPFNLNYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVISSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTPRSGPKAIISEYAVTGNDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQSYGCPNYWMLHFFKDSSGATLHPSNIQISGYNQLAASAITWQSSKDKSTYLKIKVVNFGNKAVNLSISVSGLNVGIKSSGSKKTVLTSSGPLDENSFQQPEKVAPLSSPMDNANQQMDVSLGAYSLTSFDLLLEQSKHSSS >LPERR07G23680.1 pep chromosome:Lperr_V1.4:7:22151010:22154413:1 gene:LPERR07G23680 transcript:LPERR07G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAKRTAAQKVTRRVGKYELGRAVGQGTFAKVRFAKNIETGDHVAIKILDKGKVQKHRLDEQIRREICTMKLIQHPNVVHLHEVMGSKTKIFIVLEYAMGGELHDIIATGGRLKENEARRYFQQLINAVDYCHSRGVYHRDLKVLENLLLDTAGNLKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYDKISGAQFTCPSWFSAEAKRLIVRILDPNPATRITTSQVLQDQWFKKGYKPPVFDEKYHPSFHDVDAAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLKLDNFFESNKKYKRETRFTSQCPPKEIINRIEEAANLQGFNIQKKNYRMRLENIKAGRKGHLNIATEVFQVAPSLHVVELRKAKGDTLEFQKFYQTLSTQLKDVVWDREDAAEDMSQAA >LPERR07G23690.1 pep chromosome:Lperr_V1.4:7:22154767:22159216:-1 gene:LPERR07G23690 transcript:LPERR07G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANCVQPLRVWVNHTRLHMELEKKVKVLCLHGFRTSGSFLKKQISKWHPSIFQQFDMVVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECISHLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSIAGSKFRDPSICDVAYKDPIKAKSVHFIGEKDWLKVPSEELAAAFEDPAIIRHPQGHTVPRLDEASVKQLSEWSSSILEDIKNTDVAKASNLEKPSEGNIGAESGENLVEQVAA >LPERR07G23700.1 pep chromosome:Lperr_V1.4:7:22160862:22164120:-1 gene:LPERR07G23700 transcript:LPERR07G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLEDIADAEMDDGGIRGGGGAAGDYSSSFTRPPPYGAGGSSATGGGGHVRPASSSPPGSPPRPHSPRMFVPQSPVTPLHRAVDGPQPVFNQILTSEQEEDNDGPPQKLIPILLTWTLGGKNVYVEGSWDNWKSKHMVHKSGKDHCVMLGLASGVYRYRFIVDGERRFQPDLPCETDIVGVISNLIDVHDFIPESVDNVSELMAPPSPDSSYGFLPLDDKEFTKEPPTLPSQLHLGVLNSQPSSDGECARPKHAVLNHTFIEKGWGPQPIVAPLAITCRFQDKYVTSILYKAIQRER >LPERR07G23710.1 pep chromosome:Lperr_V1.4:7:22165813:22166151:-1 gene:LPERR07G23710 transcript:LPERR07G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVYIASPMMLTARPEEFRAVVQELTGRHSNIADRQYVDSPPPPPSCAGINVPSATATAAAPPPPVSVVSAAAALPAAAAPLPRQQMFQPDGHGEHEQAAGNMCYGQGYYW >LPERR07G23720.1 pep chromosome:Lperr_V1.4:7:22169278:22172705:1 gene:LPERR07G23720 transcript:LPERR07G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRASSRAASCPAAAGAPAPSLSGFARRLPLLASASAAISPLPPTARPPPSFSARPRRDPPPMRPGSGGGGLSRPSTRVFCTASATAPREGKEVLVQHLLVGEQDVRLLVDLEKTIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPEELHAKMQDPSFLEEAQLIDVREPDEVDKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFTKVYNVAGGIHAYAVKADSSIPTY >LPERR07G23730.1 pep chromosome:Lperr_V1.4:7:22173668:22177574:1 gene:LPERR07G23730 transcript:LPERR07G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRRRLAEISPNRHGRSFTWRRALFKLLWSVKEIGQPVVFQIDINASSRTDTSIVVDNDDKNHQFENGHSGAIMASNSSDRSDRSDKPTDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPTSLSCSSCHTRLLFTVACFEFTVAC >LPERR07G23730.2 pep chromosome:Lperr_V1.4:7:22173668:22177574:1 gene:LPERR07G23730 transcript:LPERR07G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQFENGHSGAIMASNSSDRSDRSDKPTDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPTSLSCSSCHTRLLFTVACFEFTVAC >LPERR07G23730.3 pep chromosome:Lperr_V1.4:7:22173668:22177571:1 gene:LPERR07G23730 transcript:LPERR07G23730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRRRLAEISPNRHGRSFTWRRALFKLLWSVKEIGQPVVFQIDINASSRTDTSIVVDNDDKNHQFENGHSGAIMASNSSDRSDRSDKPTDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPTSLSCSSCHTRLLFTVACFEFTVAC >LPERR07G23730.4 pep chromosome:Lperr_V1.4:7:22173694:22177571:1 gene:LPERR07G23730 transcript:LPERR07G23730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRRRLAEISPNRHGRSFTWRRALFKLLWSVKEIGQPVVFQIDINASSRTDTSIVVDNDDKNHQFENGHSGAIMASNSSDRSDRSDKPTDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPTSLSCSSCHTRLLFTVACFEFTVAC >LPERR07G23740.1 pep chromosome:Lperr_V1.4:7:22179579:22180700:-1 gene:LPERR07G23740 transcript:LPERR07G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNVSSEKKAMAAAARSRRAYVTFLAGDGDYWKGVVGLAKGLRRVGSAYPLVVAVLPDVPGDHRSKLAAQGCIVREISPVYPPESQTQFAMAYYVINYSKLRIWEFVEYDRMVYLDADIQVFDNIDHLFDLQKGNFYAVLDCFCEKTWSHTPQYKIGYCQQCPDKVTWPENAGEVAGDGEELGAAPALYFNAGMFVHEPSLKTAKDLLDALVVTPPTPFAEQDFLNVFFREIYKPIPNVYNLVLAMLWRHPENVKLDEVKVVHYCAAGSKPWRFTGKEENMDREDIKMLVKKWWEIYNDESLDYKEEDADEASRHMRTALAQAGAVKYFPAPSAA >LPERR07G23750.1 pep chromosome:Lperr_V1.4:7:22187069:22189568:-1 gene:LPERR07G23750 transcript:LPERR07G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGHITRLASGKAGFACAKQAPFFSSLFSVFDRSSTCASLWVSICRLQRVYLSFIHEKKNIKKLKGWLQDRARKHSKVRRDKSVATNDGSEVDRAPTTSSM >LPERR07G23760.1 pep chromosome:Lperr_V1.4:7:22191903:22193954:1 gene:LPERR07G23760 transcript:LPERR07G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGHQHEVSSEEETAAAGDELRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLMILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRFLWMPRLLERIQRNQSSSSSSPPSSCSDLEISCVTVDAGGVACQPPPLAMAGVDTSNGCSMWRQQNQAQMGGGVAAATVTPAAARQDAHKVFDQMPAAETAACWWSETESTLLPGVAGFYEDEVAFLPEFDVSGGESMWGGAGGDDASWYTQMLGL >LPERR07G23770.1 pep chromosome:Lperr_V1.4:7:22197528:22200008:-1 gene:LPERR07G23770 transcript:LPERR07G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIWSDDTTSQLEATVQFRKLLSDEKNSTVIEIIRADVLPRFSDFLSRHEHSQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSANANIRHQATWALGNISADLPSCRDIVLEHGAVTPLLALFSEDMKVPVLRTATWALSNLCFGKLPAEVQVLVENDILTYLAPLLARNYPNSIKKQACLIVSNIATGSNDNIQAVIDADVVSPLIFLLKTSEMDIKVEAAWAISNAASGGSSDQIQYLVSRGCLEPLCNVLTYQDDDLVYSCLEGLQNILEAGKQGQESGVNPYAHFILECGGLDKLEDLQEVDNDTIYKLVMKLLEGYWDEEVSDDDPNLPTSNDSAETVETTSEDAAQPAEPSVSPNETE >LPERR07G23780.1 pep chromosome:Lperr_V1.4:7:22204130:22208691:1 gene:LPERR07G23780 transcript:LPERR07G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRPAAWRDPLPFFHPPPPPPPLPPGHPLLTPTPPPPMAAQIILRHHHPAFLAQLDSHRTTSLLQFLKDEGGIPSPEADKRRELVIRKLKKIVMDWAKVVAYEQRVPPRRSTATVLTYGSYTLGAHGPESDIDALCLGPCIATLQYHFFVLLRQILEDRPEVSELQTVENAKVPLMRFRFSGISIDFTYAQLPVIDASEASLSSFKAINTSNPHVLRKLDSRSWRSLSGVRVNEQIVQLVPNAETFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAVLAAYVCQRYPYGSVNRLFTMFFDIFAHWPWQIPVSLHGQPPNDRHSDGSFMPILMPCTPPEFCASNMTRGTFRKIREELMRGYALTKELWRHEFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVVEPNIVCHWGLIYKTSTRVDTMSLGEEFMKDVINDVYGTVKCTHSSLTMSIIRSSQLPKSMHSHYVYSPPYLPQHMLRYQASTDYGG >LPERR07G23790.1 pep chromosome:Lperr_V1.4:7:22210035:22210495:-1 gene:LPERR07G23790 transcript:LPERR07G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSTTAAAAASHDGRKLDPASSSSSSPAAARWRTRREQDAYSSKLLDALRLVLGRLVPGCRKLAFPALLAEASDYIAALEMQVRAMAALAQALQSVAPPPPPSPPPPPPPAS >LPERR07G23800.1 pep chromosome:Lperr_V1.4:7:22215579:22216279:-1 gene:LPERR07G23800 transcript:LPERR07G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLALGLEVVRVAVSGEVVDLEEEEVLVVGLEEEEAMVAALEVGLVVASEVAVVASDMAVALEVEEAVLVVVVVLAVELVEVVVSEVVLVVASEVEEALEEAAVVSEVVPAVALVVEQEVVPVEVVVLEVVQAAAQEQAALVAGMVRAAGSVVLVAVEGLAKAQEVDSKLYASMR >LPERR07G23800.2 pep chromosome:Lperr_V1.4:7:22215579:22216279:-1 gene:LPERR07G23800 transcript:LPERR07G23800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLALGLEVVRVAVSGEVVDLEEEEVLVVGLEEEEAMVAALEVGLVVASEVAVVASDMAVALEVEEAVLVVVVVLAVELVEVVVSEVVLVVASEVEEALEALVAGMVRAAGSVVLVAVEGLAKAQEVDSKLYASMR >LPERR07G23800.3 pep chromosome:Lperr_V1.4:7:22215581:22216279:-1 gene:LPERR07G23800 transcript:LPERR07G23800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLALGLEVVRVAVSGEVVDLEEEEVLVVGLEEEEAMVAALEVGLVVASEVAVVASDMAVALEEAAVVSEVVPAVALVVEQEVVPVEVVVLEVVQAAAQEQAALVAGMVRAAGSVVLVAVEGLAKAQEVDSKLYASMR >LPERR07G23810.1 pep chromosome:Lperr_V1.4:7:22217305:22223665:-1 gene:LPERR07G23810 transcript:LPERR07G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAAAYALCRLLLLLIPPTVPSIDVDASDVLEEANQTKEDSFIYIPPRKGKATQSDKVQCYEPATMKYLGFFPALTPDEVKEHVGQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSVGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSIDKIIFVGSPGVGRMIMQRASQTLIPVTLELGGKDAFIVCEDVDLSNVVQVAARAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIVKSISVGPPLSGRYDMGAICMIEHSEKLQSLVNDAIDKGAEIAGRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSEHGFEFQELLVETLYGLSVWDRLRSLSRIPMPTPGRRADEQIGKSSVQNSVQM >LPERR07G23810.2 pep chromosome:Lperr_V1.4:7:22218388:22241655:-1 gene:LPERR07G23810 transcript:LPERR07G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQVLESSSNKPLVIILKRSEEGDEYENEAPTLMNPFSDDDDDSASFLQQKQKELQTVINKGKQQQCLDCLQGEWLLMLDNATKQCSLVSSTSSPNFITTISMPPLETPLEPLGRCALSSSSPLSPDCTVMFATLFDNYLAYTRPREDDDWWQLDDVDVEFVGDIVSCKGKMYVPIVMVDASTYHPDIERRGIPKPSRIHSMMQQRLLDMDHHRLDADDYTWQKVESIGGDNCVVLSDATKAGIRPDCVYVLYTRCRDGVRFYTIRMDDRTTSFRLLPPPTTPSISIVSHHLDDDLQVQEEEEEEEEEEEEEEEEEEEEEEEEEEEECCWPALPIDMVEEIVSKISFIDYFRLRQVCKGWSSSMVKPIKYADRYPAYPMLMTICSNSNGVYKLFDPIVEQEYTINKLESQDYFQMLLFSRHDDGWVLVTRGDKYMYATNPFSGEIEIPAGGNEFDGICLSATPTSPDCIAFSVSKERNPNSNGVYVMLWRAGDRQWTTERIEHHTPFRTAYCNPVFYNDEFYCLGTRGGLAVFNPKNMTWNVVLDKPEPILMNIGADDPMPGDMYCHLLEFMGDLFTVFRPHNEWGNQHVQARLMAWTKLDRLDDAVLFVDNWNATMIPAPRDAVRNRIYLPKFGGCNHAGDANKSAFYDLESRKSQSMRVGQAAFIFVSHKAALAAVGAPDNLVHIITGFAETGQALVSSVDKIFFVGSPGVGRMVIQVAARAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIVKSISVGPPLSGRYDMGAICMIEHSEKLQSLVNDAIDKGAEIAGRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSEHGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNSHANTRKKSR >LPERR07G23810.3 pep chromosome:Lperr_V1.4:7:22223740:22241655:-1 gene:LPERR07G23810 transcript:LPERR07G23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQVLESSSNKPLVIILKRSEEGDEYENEAPTLMNPFSDDDDDSASFLQQKQKELQTVINKGKQQQCLDCLQGEWLLMLDNATKQCSLVSSTSSPNFITTISMPPLETPLEPLGRCALSSSSPLSPDCTVMFATLFDNYLAYTRPREDDDWWQLDDVDVEFVGDIVSCKGKMYVPIVMVDASTYHPDIERRGIPKPSRIHSMMQQRLLDMDHHRLDADDYTWQKVESIGGDNCVVLSDATKAGIRPDCVYVLYTRCRDGVRFYTIRMDDRTTSFRLLPPPTTPSISIVSHHLDDDLQVQEEEEEEEEEEEEEEEEEEEEEEEEEEEECCWPALPIDMVEEIVSKISFIDYFRLRQVCKGWSSSMVKPIKYADRYPAYPMLMTICSNSNGVYKLFDPIVEQEYTINKLESQDYFQMLLFSRHDDGWVLVTRGDKYMYATNPFSGEIEIPAGGNEFDGICLSATPTSPDCIAFSVSKERNPNSNGVYVMLWRAGDRQWTTERIEHHTPFRTAYCNPVFYNDEFYCLGTRGGLAVFNPKNMTWNVVLDKPEPILMNIGADDPMPGDMYCHLLEFMGDLFTVFRPHNEWGNQHVQARLMAWTKLDRLDDAVLFVDNWNATMIPAPRDAVRNRIYLPKFGGCNHAGDANKSAFYDLESRKSQSMRVGQAAFIFVSHKAALAAVGAPDNLVHIITGFAETGQALVSSVDKIFFVGSPGVGRMVIQVAARAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIVKSISVGPPLSGRYDMGAICMIEHSEKLQSLVNDGIDKGAEIAGRGSFGNLGEDTVDQFFPPTVLVNVNHTMKIMQEQSLPFGGVKDSGFGRFAGVEGLRACCLAKAVVEDRWWPYVKTMIPKTIQYYKIATSRVDAIAS >LPERR07G23820.1 pep chromosome:Lperr_V1.4:7:22252545:22262859:-1 gene:LPERR07G23820 transcript:LPERR07G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSEKSIDDLKGKELLQRLHEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKENPYNKDDDVIKATSFEVISTLREVLRQSSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKILCQEVLEELDVYKRLKLTLELVKKEIEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPAQEAAIVTVNEEPNAGGSANKLKDENMQDPATENVAMTSNITDPASNEASELDLLKKTVDHDGNNGMEGAKEETAGKTVEKVVIDTSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILQDKESNNLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIIFPAANKRDFDELAANVKEGLEVHFVDTYNEIFDLAFQSESGTGTS >LPERR07G23820.2 pep chromosome:Lperr_V1.4:7:22252545:22262859:-1 gene:LPERR07G23820 transcript:LPERR07G23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSEKSIDDLKGKELLQRLHEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKENPYNKDDDVIKATSFEVISTLREVLRQSSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKILCQEVLEELDVYKRLKLTLELVKKEIEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPAQEAAIVTVNEEPNAGGSANKLKDENMQDPATENVAMTSNITDPASNEASELDLLKKTVDHDVHPAETPKEAVLTDPAQLTDKLCTPEGNNGMEGAKEETAGKTVEKVVIDTSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILQDKESNNLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIIFPAANKRDFDELAANVKEGLEVHFVDTYNEIFDLAFQSESGTGTS >LPERR07G23820.3 pep chromosome:Lperr_V1.4:7:22252545:22262859:-1 gene:LPERR07G23820 transcript:LPERR07G23820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSEKSIDDLKGKELLQRLHEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKENPYNKDDDVIKATSFEVISTLREVLRQSSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKILCQEVLEELDVYKRLKLTLELVKKEIEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKFRERIEAKKEKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPAQEAAIVTVNEEPNAGGSANKLKDENMQDPATENVAMTSNITDPASNEASELDLLKKTVDHDVHPAETPKEAVLTDPAQLTDKLCTPEGNNGMEGAKEETAGKTVEKVVIDTSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILQDKESNNLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIIFPAANKRDFDELAANVKEGLEVHFVDTYNEIFDLAFQSESGTGTS >LPERR07G23830.1 pep chromosome:Lperr_V1.4:7:22264757:22271496:1 gene:LPERR07G23830 transcript:LPERR07G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKETSRGENCLDYRHLFRSNTATTATQPVRFSSAFIHTKNILLLFPSPIHRQYMLPLLQRADQRIRFTHANSIAEPSPRRGTPSHRRPPRRRSSRHANPIALSTNSAAASSSSPAASVEEDDVGLGYRLPPKEIQEIVDVPPNPSYLISPRRDRIMFLKRRAMPPLSEFAKPEKALSGIRIDPGSNMRSRMSFYTGITVHVLMDNGTLGPAKEVHGYADGAKINFVTWSPDGQHIAFTLRYEDKVENSVNLSLWVANAESGEAWPLCISEGIKLNAIFELFVWVNNSTILVCTVPLPRGNPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILVSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVNGTFIREVCDLPLAEDIPIISGSVRKGKRLIKWRPDMASTLYWVEAQDGGDANVEASPRDIVYMEPADALNGEKPQVLLKLDLRYRRIAWCSGSHALVYEHWYKTRRTRTWVIAPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAEIKTNNEGTYILLKGKGATPKGSIPFLDILNINTGVKERIWESNKEKYYESVLALMSYNPKCEIHLNHLKLLISKESRREPTQYYIKVWPDKNQVQITNYSHPYPQLALLQKEVIRYQREDGIKLTATLYLPPGYNPSKDGALPCLIWSYPGEFKSREAAGQVRRSPNKFARISSNIPLLWLARGFAILADPTIPIIGEGDQEANDRYIEQLVASAEAAVADPDRIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSCQFYDALKGNGVPCRLVILPFERHHYAARESIMHVIWETDRWLEMYCASNSRNIQVTESTVDTTRSPACEALALYFTKIYSLGKLVRLAFAQIFHQEV >LPERR07G23830.2 pep chromosome:Lperr_V1.4:7:22264757:22271496:1 gene:LPERR07G23830 transcript:LPERR07G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKETSRGENCLDYRHLFRSNTATTATQPVRFSSAFIHTKNILLLFPSPIHRQYMLPLLQRADQRIRFTHANSIAEPSPRRGTPSHRRPPRRRSSRHANPIALSTNSAAASSSSPAASVEEDDVGLGYRLPPKEIQEIVDVPPNPSYLISPRRDRIMFLKRRAMPPLSEFAKPEKALSGIRIDPGSNMRSRMSFYTGITVHVLMDNGTLGPAKEVHGYADGAKINFVTWSPDGQHIAFTLRYEDKVENSVNLSLWVANAESGEAWPLCISEGIKLNAIFELFVWVNNSTILVCTVPLPRGNPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILVSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVNGTFIREVCDLPLAEDIPIISGSVRKGKRLIKWRPDMASTLYWVEAQDGGDANVEASPRDIVYMEPADALNGEKPQVLLKLDLRYRRIAWCSGSHALVYEHWYKTRRTRTWVIAPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAEIKTNNEGTYILLKGKGATPKGSIPFLDILNINTGVKERIWESNKEKYYESVLALMSYNPKCEIHLNHLKLLISKESRREPTQYYIKVWPDKNQVQITNYSHPYPQLALLQKEVIRYQREDGIKLTATLYLPPGYNPSKDGALPCLIWSYPGEFKSREAAGQVRRSPNKFARISSNIPLLWLARGFAILADPTIPIIGEGDQEANDRIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSCQFYDALKGNGVPCRLVILPFERHHYAARESIMHVIWETDRWLEMYCASNSRNIQVTESTVDTTRSPACEALALYFTKIYSLGKLVRLAFAQIFHQEV >LPERR07G23830.3 pep chromosome:Lperr_V1.4:7:22264757:22271408:1 gene:LPERR07G23830 transcript:LPERR07G23830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKETSRGENCLDYRHLFRSNTATTATQPVRFSSAFIHTKNILLLFPSPIHRQYMLPLLQRADQRIRFTHANSIAEPSPRRGTPSHRRPPRRRSSRHANPIALSTNSAAASSSSPAASVEEDDVGLGYRLPPKEIQEIVDVPPNPSYLISPRRDRIMFLKRRAMPPLSEFAKPEKALSGIRIDPGSNMRSRMSFYTGITVHVLMDNGTLGPAKEVHGYADGAKINFVTWSPDGQHIAFTLRYEDKVENSVNLSLWVANAESGEAWPLCISEGIKLNAIFELFVWVNNSTILVCTVPLPRGNPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILVSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVNGTFIREVCDLPLAEDIPIISGSVRKGKRLIKWRPDMASTLYWVEAQDGGDANVEASPRDIVYMEPADALNGEKPQVLLKLDLRYRRIAWCSGSHALVYEHWYKTRRTRTWVIAPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAEIKTNNEGTYILLKGKGATPKGSIPFLDILNINTGVKERIWESNKEKYYESVLALMSYNPKCEIHLNHLKLLISKESRREPTQYYIKVWPDKNQVQITNYSHPYPQLALLQKEVIRYQREDGIKLTATLYLPPGYNPSKDGALPCLIWSYPGEFKSREAAGQVRRSPNKFARISSNIPLLWLARGFAILADPTIPIIGEGDQEANDRYIEQLVASAEAAVADPDRIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSCQFYDALKGNGVPCRLVILPFERHHYAARESIMHVIWETDRWLEMYCASNSRNIQVTESTVDTTRSPACEALALYFTKIYSLGKLVRLAFAQIFHQEV >LPERR07G23830.4 pep chromosome:Lperr_V1.4:7:22264757:22271496:1 gene:LPERR07G23830 transcript:LPERR07G23830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKETSRGENCLDYRHLFRSNTATTATQPVRFSSAFIHTKNILLLFPSPIHRQYMLPLLQRADQRIRFTHANSIAEPSPRRGTPSHRRPPRRRSSRHANPIALSTNSAAASSSSPAASVEEDDVGLGYRLPPKEIQEIVDVPPNPSYLISPRRDRIMFLKRRAMPPLSEFAKPEKALSGIRIDPGSNMRSRMSFYTGITVHVLMDNGTLGPAKEVHGYADGAKINFVTWSPDGQHIAFTLRYEDKVENSVNLSLWVANAESGEAWPLCISEGIKLNAIFELFVWVNNSTILVCTVPLPRGNPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILVSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVNGTFIREVCDLPLAEDIPIISGSVRKGKRLIKWRPDMASTLRIAWCSGSHALVYEHWYKTRRTRTWVIAPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAEIKTNNEGTYILLKGKGATPKGSIPFLDILNINTGVKERIWESNKEKYYESVLALMSYNPKCEIHLNHLKLLISKESRREPTQYYIKVWPDKNQVQITNYSHPYPQLALLQKEVIRYQREDGIKLTATLYLPPGYNPSKDGALPCLIWSYPGEFKSREAAGQVRRSPNKFARISSNIPLLWLARGFAILADPTIPIIGEGDQEANDRYIEQLVASAEAAVADPDRIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSCQFYDALKGNGVPCRLVILPFERHHYAARESIMHVIWETDRWLEMYCASNSRNIQVTESTVDTTRSPACEALALYFTKIYSLGKLVRLAFAQIFHQEV >LPERR07G23840.1 pep chromosome:Lperr_V1.4:7:22279539:22280582:1 gene:LPERR07G23840 transcript:LPERR07G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMAMAAPEQEKKHQQQHEESDESIQKLVEKITGLATAIGKLPSLTPSPSVNALFTELVTTCIPPSRVDVDRHLSPEAQQMRRRLILLCGDAEGHLEAHYSDLLAGHENPLEHLPLFPYFNNYLELSKLEHGLLARHVSPSPSRVAFLGSGPLPLTSIVLASRHLTESSFVNYDVNGDANGRARRLLRGDAALASRMEFVTADVNGVDGGEIASYDAVFLAALVGMTAAEKARVVERLGRHMAEGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPPVTEADGGGEHAHGHGAVVSMACQRCEMEARAHQKMEEMVAVEKLPAASS >LPERR07G23850.1 pep chromosome:Lperr_V1.4:7:22290679:22293041:1 gene:LPERR07G23850 transcript:LPERR07G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYSPSSAPEKRRNWLRGNRKQIIGEYLGEARAALAAAAAAAASKDGESGGGDDEAATAALGLVDAVLEMSPRMEAALELRARSLLALRRYRDIADMLRDYIPSCAKSCSGDDTSATTSSSSGSRTELLSPCRDNSDDGAAVAGKFLCCFDFSELKQRVIAGFSKNAGGETQWRYLILGEACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSDDSFSGDATSSSSSSSSSSQLLAHVKLLLRRRAAAVAAHDAGLHGEAVRHFTKVLDARRGGVLPHPFATACLVGRAEAFRSAGRAADAVADCNRALALDPAFIPALRTRADLFESIGAAGDALRDLEHLKLLYDAALRDGKLPGPTWRPQGGVRFGEIAAAHRALAPRIQRIRAARAAAGGEACGGVVDYYALLGVRRGCARSELERAHLLLTLKLRPDRCASFAERLELVDEHRDIDAVRDQARMSALSLYRMLQKGYSFIMSVVIDEEAAERQRAKDAAAAAAAREKEETALPAEKADLGNVVRENVHVQISQAAVAMPTAAAMVAAAAAAAGSPVFQGVFCRDMAVVGNLLSRGGFDRPMPVKCEAMSC >LPERR07G23860.1 pep chromosome:Lperr_V1.4:7:22296013:22301989:-1 gene:LPERR07G23860 transcript:LPERR07G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHEGEDGGGADDGRLFAGVRFALVGFDPVSESQYRSEMVQHGGVDAGAYGAACTHLIVFGLVYDNPICVAARKDGKKVVSEQWVEDSLDLGEMADADRVQYRPVRDFSGIPGSESLCICLTGYQKNKRQEIMNMASLMGAQFSKNLTHSVTHLICYKFEGLKYETAKQENINLVNHQWMEDCLMAWKILPVGAYTKSSWELEIMTALVKDSEDEEETGRSSSGSKRPTRSAWTADTRMTDLIGPDSQATTRDPTVSSSNAEIAAGGHMSTSEQMKNAGGSSNRSLNIKPDLQNTPIFPDPDVHESAHLPLNGKEETPAAQVHSDEAKDDVTPGAHCISNIGGTAVCSDHYVHQSTMAPAILVDNTEIIIENCLDSINQNNVNNALWSTPSKETLSEKTLQSSDLSGNAGQKDGGSTPDLNAAVDQSNAGQKLTLHEANLRFSGNAASKNTQVLSYKRRRCRKYVSPEANLKPTGPPQSFERITPRVEFNISPSMNIDHKNSDLTDSGSLRVDEVAKKVDKSSGALAQRRTSKLSSISIKPSVSSETGIANSPFSSRESASEATTISDLIGNSTQSVILTKENSGTRKSNLLSHRRTLKLARPVEGEQLSENSSKANKPLRGNTLVLHEARSEKDYAAKSSANSEVEKRNSSSSLQTGDTEMSDAPQVNTTEVVAAPNKEFENVVSHQNMEVVTKEIQVSAIISECEPFPQEEPNSKVKNTSGKRFWNASKKAATKYIKNKDEVLSFKSDGDKVVSRQNVEAQSEKNCASPNGVECAVIIPEQVPSSGANSAAAKNLLHASQMNAALALSKTELAEKYTEENPGSASSDSRRKSSFLKVSQTADVEMPDAPIVDSMGAMSSKSGCKKVFTPENVGSSPKRLSSNTNTGGPETCTPSVVPNNRVRKAAAKRKVSAVQQNSFGAEHCKNGSAFVSEFKFVYSKRASGSSRNGSKKATDQNLQSSNEDVTKDTGGSFSKDAMRDRLKIVQNSQARSSKRHKSADLLNSSTDYDKENLPVNRNIIPNSKCGNSGMSSNCFIEAAGSGKDLLTDHGVVEENDCGMLTVLEPRLFILSGHRLLRKEYKSILRPLKGRVCRDSHHWSFQATHFITPELRRTEKFFAAAAAGRWILKSDYLTACNDAGKFLEEEPFEWHGDGLNNSDTISMDAPRKWRQLRQHTGHGAFYGMEIIIYGECISPSLDTLKRAVRAGDGTILATAPPYTRFLKPDVDFAVVSPGMPSADAWVQEFMRQGIPCISADYLVEYVCKPGHSLDKHVLFNMHHLADKSHAKLLKLKGQQHDDVLAAGAGEAADAFLLVDPTTGKD >LPERR07G23870.1 pep chromosome:Lperr_V1.4:7:22302445:22310499:1 gene:LPERR07G23870 transcript:LPERR07G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMANGVKVEAAPPAAAAADTTNADSPKSVVEEDENISNQNGDLTVPPVKEDIADDAISSLPVVIDPEADNGDESLITDAMKEEEEQLQEARVKAQEEEDARKREEAARLAFDPKARFSKLDELLSQAQLYSAFLLEKMDQIADVESVQAEPEQEPVEEKKKGRGRKRKAASAPKYNDKKAKTAVAAMLTRSREDCSPEDSTLTEEERWEKEQANLVPLMTGGKLKSYQVKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFDFSAKGGEEEQEESEEKRRVLIFSQWTKVLDIMEYYLETKGLPICRIDGDVKLEERRRQIAEFNDLNSNKNIFILSTRAGGLGINLTSADTCILYDSDWGRIIKKAFGKLKLEHVVIGKGQFEQEKAKPNVLDEGELLALLRDEQGEEDRMIQTDISDDDLLKLMDRSDLTVTGGPPAAAPLVPLKGPGWEVVVPTKSAGGMLTSLTS >LPERR07G23880.1 pep chromosome:Lperr_V1.4:7:22310040:22310300:-1 gene:LPERR07G23880 transcript:LPERR07G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYGGGGGGGEYGNAVVPYGGGERKAVVRWVPALWCLSDPEMKRRRRVAGYKSYAVEGKVKASIRRGLRWIKAKCSHIVHR >LPERR07G23890.1 pep chromosome:Lperr_V1.4:7:22311641:22315365:-1 gene:LPERR07G23890 transcript:LPERR07G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVVLDGGGRRRRKLEEEEDDEYVADDDEEDDEEEEYQQSAATAEEEEEEEGGDDEAEPEDESDADFVGDEEEEEELDDLEDEDDLEVEAPRPKRPLPRPRPPKVLRKGKPQGSRRRRLEEDDDDYEEGEEEDADFDPDVDEEEEEEEVEEEEDDEEEEYEEDDDDSDDFAPIRARKTSTKNHVAKRKPAAGRKKKKRKGSRVSKAKKPKKAVSGRPRRKKRWATDDEEEEEEDDADFIVEDDQEEEEENHRPKKKAKAARKPRDVMPEPDVEPSAWPAVESDTSDFEFVTSDEEAGEKEAPAPEPAKIKAKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPQESALQSPITPPRRTFLTRRMGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCSHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNCGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSSVQTQDRVAHCRESNTNPANSTSGSFGSATPSGVFQRPPPISTQPSPQGFDLNLSPRETPDEDKREESHVSADAASTPTGRHATLDRRRAFNRRIRILLFRPRGATNGWQNPIQLDRTIPESEQNVQSTCTPTEVNPSCSRDNSMQNQQSSSSFVQPARGLIERTYGGGSDFQQTEGAKEQLIPIVKRNIKLIYAQSPLDQSDFKNVARRATHTILALFGIAHNEDFVVTTPHPLPSHCNHACDGQEPTFLMRTCCSSCFNSFVGGVVSYIAKMLT >LPERR07G23900.1 pep chromosome:Lperr_V1.4:7:22319360:22322775:1 gene:LPERR07G23900 transcript:LPERR07G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGVGKERRLPPALPLATLIGRELRAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVAGDPSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENVEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKAASPPFRCAICQVDQEPFEGLMSENGGNYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >LPERR07G23910.1 pep chromosome:Lperr_V1.4:7:22323888:22325084:-1 gene:LPERR07G23910 transcript:LPERR07G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSSSKRQYGADRGSREEEERCVVKRQRPEKRKKHLYLMLDDWEHGYRMHKIDVDSPALSDPRLPEPALLQFGTPPTSQRRYGMCFSALGSSIFATQPPDTPSLVYDTDTGGLTVGSPLPDTLRGGGPGITVSIGGKMYALYAYSTCQPHPFEVMSWATDTDDPSSSSSWSWKTVPSPPPYGEYREITSYAVHPDDRTLFISVRECFVEHGGAKGTYSFDTKHCEWRWHGHWMLPFQERQRLAASYPGIQPTLVYMGGSSFCLLEYVLPEGALFDDASCCSSLLLLRLTIFGLKYDHKGDLRTSIHRTNASYLLSKHDRSYSPVAFWM >LPERR07G23920.1 pep chromosome:Lperr_V1.4:7:22330154:22332187:-1 gene:LPERR07G23920 transcript:LPERR07G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIVTNSLPRYAVLFAAVFLLAAAQAQPQPAMAPAPEPDCNGILLTYNFDRREKIRPFVAVPNAQPYSFHATAAVRNSGVHALRSWTLLITFAHGEILVAVDGAVLTSGADLPYNTTASDGAGNATSFTGYPQTDLLTPIATAGDLTKILATVSLVGTLFAVPEPYVPLPSEISLADPSYTCPKATNVSATSLSTCCVLTSAAVAGDGDDDDLDAARTSPRGNGDMVITYDVLQAYETRYVAQVTMENDALLGRLDGWELTWQWLRGEFISSMRGAYPRQVDVAECVYGRQGASYKELDFSNVLNCDRRPAVVDLPPSRRDDTVIGMVNHCCRNGTILPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYPPANFRVSGDSPMNPEYACGQPVRVSPTEIPDTSGLASNTLALATWQVVCNVTTATAKAKTPSCCVTFSAFYNESVIPCSTCACGCPANAAATCSATAASMLLPPYALLMPSGDRRAREAVKWAGEKRLGPTPSPMPCGDNCGVSINWHVATDFAGGWTARATLFNWQDDVDVTDWFMAVVMGKQAYDGFEQAFSFNATAIGNGTTMILMQGMEGFGQYLKRESNMSGVDYPVAGKQQSVLLFNKKKQHESIDVVAGDGFPSRVLFNGHECAMPQRIPSGAHRRRRVFLSLPHLASVSSILLLLSLL >LPERR07G23930.1 pep chromosome:Lperr_V1.4:7:22333528:22336731:1 gene:LPERR07G23930 transcript:LPERR07G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKNSGGGKGEDGELSMSVKSVKAFCQPTDYQQTCEAELTKAAGNASSPSELAKAIFAVTSEKISKAISESATLEELKNDQRTAGALQNCKELLEYAVDDLKTSFDKLGGFEMTNFNKAFDDLRTWLSAALTYQGTCLDGFLNTTTDAAEKMKSALNSSQELTEDILAVVDQFSATLGGLSFGRRRLLGDDGMPTWMSDAGRRELLAAPGPEAAAEFTPNVTVAADGSGDVKTIGEALAKVPPKNAARYTIYVKAGTYKEYVSVARAATNVAMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGITVENTAGPENHQAVALRAQSDMAVVLQNCLIQPRKPMDNQVNIITAQGRREHRSAGGTVLHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFGLDTLFYAEVDNHGPGADTSKRAKWKGIQTLTYEDVQKEFTVEAFIQGQQFIPKFGVPFIPGLLPQTQQGRMH >LPERR07G23940.1 pep chromosome:Lperr_V1.4:7:22336958:22345410:1 gene:LPERR07G23940 transcript:LPERR07G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLPLLVQAPLTTTTPRLRRPSAPPPPRLHRPRIALASPRLAGAPPPALLSEREMGVAAESEGARPLRVGLVCGGPSAERGVSLNSARAVLDHIQGEDLLVSCYYIDAAMNAFAISPAQLYSNTPSDFDFKLESLAQGFQSLSDFAGHLAANVDIVFPVIHGKFGEDGGIQELLEEANVPFVGSPSKNCLTAFDKYNASLELSAQGFLTVPNFLVEKDKLAKSELEEWFQSVNLNKENGKVIVKPTRAGSSIGVVVAYGANEAAEKAEGIISEGIDDKVIIEVFLEGGSEFTAIVVDVGTANNCEPLVLLPTEIELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGLSILFRSLGLRDFARIDGWFLPTPVTSLPSAEKSGKIGSIKCGAVLFTDINVISGMEQTSFLFQQASAVGFSHSAFLRTMVQHACLRFPSLVPRRNAWTALSKKKQAAKQAEAIHNGTSKKKVFVLFGGDTSERQVSLMSGTNVWLNLQGFDDLDAIPCLLIPGNWDRSSNNQNFNESSRDVWTLPYSLVLRHTTEEVHEACIEALDPERLEITSRLRDQVMDELRQAFSKHDWFSGFDIADKQPIKYSLQQWIDHVKEAKAVVFIAVHGGIGEDGTIQSLLESAGIPYTGPGSIASRTCIDKVATSLAVSHLASYGVHTIPKDVRATEELLKSSLADIWNGLKAKLQTETDLEVYANALGRKLHRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENEWLEVTVGVVGKRGEMRSLNPSITVKESGDILSLEEKFQGGTGINLTPPPPTIMSEDALQKCKRSIEVLANTLGLEGFSRIDAFVNVRSGEVLLIEANTVPGMTPSTVLIHQALAEEPPIYPHKFFRTLLDLAFARAN >LPERR07G23940.2 pep chromosome:Lperr_V1.4:7:22336958:22345410:1 gene:LPERR07G23940 transcript:LPERR07G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLPLLVQAPLTTTTPRLRRPSAPPPPRLHRPRIALASPRLAGAPPPALLSEREMGVAAESEGARPLRVGLVCGGPSAERGVSLNSARAVLDHIQGEDLLVSCYYIDAAMNAFAISPAQLYSNTPSDFDFKLESLAQGFQSLSDFAGHLAANVDIVFPVIHGKFGEDGGIQELLEEANVPFVGSPSKNCLTAFDKYNASLELSAQGFLTVPNFLVEKDKLAKSELEEWFQSVNLNKENGKVIVKPTRAGSSIGVVVAYGANEAAEKAEGIISEGIDDKVIIEVFLEGGSEFTAIVVDVGTANNCEPLVLLPTEIELQSSSNIDIQEDTIFNYRRKYLPTRQISGMEQTSFLFQQASAVGFSHSAFLRTMVQHACLRFPSLVPRRNAWTALSKKKQAAKQAEAIHNGTSKKKVFVLFGGDTSERQVSLMSGTNVWLNLQGFDDLDAIPCLLIPGNWDRSSNNQNFNESSRDVWTLPYSLVLRHTTEEVHEACIEALDPERLEITSRLRDQVMDELRQAFSKHDWFSGFDIADKQPIKYSLQQWIDHVKEAKAVVFIAVHGGIGEDGTIQSLLESAGIPYTGPGSIASRTCIDKVATSLAVSHLASYGVHTIPKDVRATEELLKSSLADIWNGLKAKLQTETDLEVYANALGRKLHRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENEWLEVTVGVVGKRGEMRSLNPSITVKESGDILSLEEKFQGGTGINLTPPPPTIMSEDALQKCKRSIEVLANTLGLEGFSRIDAFVNVRSGEVLLIEANTVPGMTPSTVLIHQALAEEPPIYPHKFFRTLLDLAFARAN >LPERR07G23950.1 pep chromosome:Lperr_V1.4:7:22347258:22347983:1 gene:LPERR07G23950 transcript:LPERR07G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQYESARTHHNRAGPTSTRVSRASSLARPKPLPRAQELASRQDQQPQLLQHLELELESSETEQQRNQWVVLRLYEAINGRDHAAAGSLLASDLEWWYHGPPAHHHMMRLLTGAGGPSSSSFKFKPISVDALPASDVVVAEGITTAGGYWVHAWTVGPNGVITHLREYFNTDLTVTRLAAASSCLWQSRRPDRHTNALPALLLAL >LPERR07G23960.1 pep chromosome:Lperr_V1.4:7:22353935:22354940:-1 gene:LPERR07G23960 transcript:LPERR07G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDFIPDDDNNKSTLHHPTTAMPPPAAVAHTRRLEGKVAIVTGGSRGIGEAIVRAFVRHGATVVIADIDDAGGQSLAAALPTTTCTYVHCDVSSEDDVERAVAATLDRHGRLDVLCNNAGVLGRQTGGGKSIASLDADEFGRVMRVNALGAALGMKHAARAMVPRRAGSIVSVASVAGVLGGLGPHAYTASKHAVVGLTKNAACELGQHGVRVNCISPFGVATPMLVNAWRQQPAPAEVGEEEVEKMEEMVRGMATLKGATLRAGDVAEAAVFLASDESRYVSGHNLVVDGGVTTSRNLIGL >LPERR07G23970.1 pep chromosome:Lperr_V1.4:7:22358038:22364247:1 gene:LPERR07G23970 transcript:LPERR07G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHQAAGLLEADGAESFICKLQLSVSHGLPHATPIPEMRTQEDELVKSVFQVLQGFDAALLYWDRNVLGYSEKAGIYVSHLSQMSLRAVLKPFLFAATCLKQVEIFVGRRLRKAALKEEEKLFLFADRTITLLGLTDSMSGLCSGAEHLYQVVQGAVPDAFWNSGTQMACSEVAVHVVNHLFKKLNEVCLVEDGEVVMKNPRLGKPYHMLLVIFAGSLLPYLQCLNSWLYDGILDDPYEEMFFYANKAITIDQPAFWEMSYVLRVRGSRADSPSTLADSEFIRKKESSNQETTAAGTFMKSKNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQDAHRIKTCEVEFSVDQLGNYISQEKFRQGISSSRIQDKHIIFEDIIEESKGQFGNDARKMGFLTLSESFLICLSGLLENGDHVDDYLRKLFADNAPVNKKFVRNKSSVLETEEACGENSSEKTWLKLLRDATSGRKHDGIKKTLASNSVIRDPTFVAGDHRDISSIAIEGHFNLSSYENPAITACQEMLLRNTNTWIDLNISKSFHLPPLNDENMRKAIFGDRHTAGTSPGDTRSTTYFPRLDGTDYKFGFQFDDSEYVRQEDDKRTLEALYAFPTLLPCVNENVPLSEILPLQKDSTLASRVLKFIQSMTLRDPLQPVGIIQECLSKCIKRQIYAFSPHQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVISLTKHDTRNDEETALTSRKGRAQGFGIEALDMLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTTHNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHDAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYVSDSGTFSAIPGSRQR >LPERR07G23980.1 pep chromosome:Lperr_V1.4:7:22368957:22374451:1 gene:LPERR07G23980 transcript:LPERR07G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRELYSGGGGNEQLPEAAIVEEVVDGFVGILRQLGDLAQYASSYILLTKRVHWRANVLLKQWLVAGGKNSNSNMPSFIFESIERCRGPPKLFLLDKFDADGEGACLRRYTNPSFFRSDSACSTNPIHQSIQSAKTSPKANGNTRPQIHKFQNEVSSELKISSNHSPESTIKVTEDITVSTCASTDSIGEERNSDLERTSSFEEWLSPNAHNLQHDQIAEEISHYTCNNGFVSHVTTNDTIGATDNANCKEDSNTYKKAVSKRSKYKGGMEFIASRVSSFPRKLFTRKQDPQPLTVADSFRNMTTKILELKCNSTLINDFGEFGSKSREDRHDGKRLEISHPVNLASPSLQEELIPPGESDKPSSPEDMPALAEVVSDEKNTKYTQNQFDDLCEASYDTLLDEELHQSIAHQEQNDSSISKLCSTTNINTQEDCGDPTLGKACSEGPRKDMVPPLPPMQWLSSIKVHPGSRVATPKLKKLRPQSPAVNHEAVSNYVHPVKEQRETGIIQARSHFSILSSDAEIVQTSASDVKSLADISNSDGIPEKDSEEIHHQEKEVVQPSDCKIPKTMEVCEPTEHSDEARPELAEIKLDPYEAAQSHRDEIHQTCNGDSDCNKKPSESFRQSLSNEKKDSATHRNGPRADNSLDHPTDEEHNTSVHPESVFFSAVQQLTKMNPPPVPRPKYSLLQLQEDIYVKYKTYLMFAGKNDSRFDIPFKKAFRRDK >LPERR07G23990.1 pep chromosome:Lperr_V1.4:7:22370673:22376508:-1 gene:LPERR07G23990 transcript:LPERR07G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDKKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYIVGLIACIVESITVTPANLTINVVVVCVVYLSRLKAFLKGISNLESFLPANISSRILVVMFRKESATVSGCGSCFLVKSFLGKLLTLEAMNSMPPLYFDLLLTAFL >LPERR07G23990.2 pep chromosome:Lperr_V1.4:7:22370671:22376530:-1 gene:LPERR07G23990 transcript:LPERR07G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDKKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYIVGLIACIVESITVTPANLTINVVVVCVVYLSRLKAFLKGISNLESFLPANISSRILVVMFRKESATVSGCGSCFLVKSFLGKLLTLEAMNSMPPLYFDLLLTAFL >LPERR07G24000.1 pep chromosome:Lperr_V1.4:7:22380685:22381299:-1 gene:LPERR07G24000 transcript:LPERR07G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASPNTGKLALPPPPLNPTTTTHKAAAPYNPYSGGGRRRASPSQHGGVDGDMQGVDGIVLVLRAAAAALAFVAVALVASCRHGDWMEFTRYQEYRYLLAVSVVASVYSALQAMRGFLRMRAAGNGACAATFLDFAGDQAVGYLLITASSAALPITIRMRSAVVNTFTDAITASISLAFVSFAALSFSAMLTGFRLSAAYSY >LPERR07G24010.1 pep chromosome:Lperr_V1.4:7:22382960:22385064:-1 gene:LPERR07G24010 transcript:LPERR07G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVADTLHLDLQPLYQRITCPLYRTYGHAFDAFKLIVADPDAILDSLTYQETQIGPDGNEVTKVLPAVTPEIKDALIKNIMSKMTLQPLKIRADIDMKCFQYDGVLHIKEAMRKAEAAGTNDCPVKMKLLAAPLYVLTTQTLHKDQGISVLNNAIKACSEAIEKHKGKLVVKEAPRAVIPHIRKVRAA >LPERR07G24020.1 pep chromosome:Lperr_V1.4:7:22390669:22398943:1 gene:LPERR07G24020 transcript:LPERR07G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPVPAAAAILGPKTYLHSDLATPDAWRTREWRKAVDRHAALLAPHLAPHGILSPSSRAVCLGSVQEALAMRELGVSAAVAVAKKRSPPLVVAGNDRRLPFPPASVDFVFAGRALDSSKRPSDLAAEAARILRPEGHLVVLTSSAGDAYNLRALQALLPSLRLLRSRQIDDSTLRELVFQKKLHDDDPVVTNCTIGDHKLQLLAQAEPLIQEEPLKPWITLKRNIKNIKYLPHLADISFKRNFVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVYAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLKFEINGDPGKEDEAKANGRGMGRIRPMEGKKMSGEVRSVPAFDFAEWLKRTVSQQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYQNTSLLHFLPCVCSASSAQLSLQISTFWSRIEADSIRFSVHGFLVALPNKSAFHDIEAFEVFYDGSWHGVNCIRIRNGNLFVKFIYPGSTVAHNVDWDCLRLRSRRATCSDCSNVLRPAVDVCVQSPHTLQASSQGGTKASVLPCHDARLITIKKNHQEDKCLCLFVVMLYKNQCPGNAEKMITDRRTQVVNINNIFLLQKLQSKEVEEGSMKWNSLEDRLSLSKGRLFSARFSSEITFMIVLSILRGMEFNIKLVEGEIVYHIIKGYQAQSSVDSMAIPPGFGKTMEIISFQLRGGVLRPTIRNIPITHVNKTNITEDMFTVKSDIDSESDKVPDVDTLYEHVDLRRSKRLKLQPDRFTSYDTPKFCGGYKKKETSSPPAKHVQGTLHCDSPVEVELYCVEIPENVPQKQTGVHSSIINEKPSSPEGHRKNKTKRITCSLPLKERPSSPEGQHKTTIKRITCSFPVKEKPGSVEVEEEISKGQSAREVHIPRTPAQNKEKHNRPSFSCKPKLFTSSGALGGSWEPAFCQKAGRKRKRHMCEREYKQIIDQCIGNIQSEMEKDSEFNLDVNMINCVQHSYREEDFTWPPSADDQEEEVDELEELWKEMDYSLTTLALLEQKQVMTQSRINMLVNSFVGLRLDCLTSTNGYRCYNGRKEKFNGNGYNDRSGCRNTCLDNDVIDPSLLSNFAPELSGLKSSESVRGAITNLDPKLLPHQRKALDFLWKNLAGPIQIKGVDNSKVGTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLAPKAAIHTWKREFEKWSISLPLHVLHHASRSGKPMGPIDPKVQSLLKNFHRPTWKNMRLMDSVDKLLKWHAHPSVLLMTYPSFLGMTKQDSKMQNQHREFIAEVLINNPGLLILDEGHNPRSTKSKLRKMLMKAKTELRILLSGTAFQNNFEEYFNTLCLARPRFITDTMSELAPGWKKETHKRKTKHQEAVARCVFVEKVGQKIESSNKHNITDGIFLLNKLTRGFIDSFEGAKLISLPGIHVYTIFMKPTDIQEDILSKVTTPKPGCSRFLLEVELLITIGSIHPWLIKTTKAVNTFFSPAELEKVERYKRDFTAGCKARFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIERVLGWRLGEEVLVLRGDQELPVRSDVMDKFNGDTAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERTVYVYLLVASGTWEEDKYNSNRRKAWMSKMVFLGRYVDDPLQNRVTDIDDEVLKELADEDESGSFHMIVKQD >LPERR07G24030.1 pep chromosome:Lperr_V1.4:7:22399940:22403164:-1 gene:LPERR07G24030 transcript:LPERR07G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSDERRDACGGRRLMQEEKGKANGNFVPMALYRSPLNAILDFLVYTKTGPDGDEVSEREDRMLLYDIEKLKLANEEVDGDEDSEEDTCMGKVDLTKAGVGTE >LPERR07G24040.1 pep chromosome:Lperr_V1.4:7:22404290:22409236:1 gene:LPERR07G24040 transcript:LPERR07G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRLLRRGLLSMLPTTKRPADGAEDGHPKRAKVDNGSANGGAAALPEIDEDLHSRQLAVYGRDTMRRLFASDVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGNNRAAACVPKLQELNNAVLISALTEELTTEHLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPAMVSCVDDERLEFQDGDFVVFSEVQGMSELNDGKPRKVKNARPFSFSIEEDTTKFGAYAKGGIVTQVKEPKILRFKSLRDAMRDPGDFLLSDFSKFDRSPVLHLAFQALNKFKKEYGRYPAPGCEQDAQCFLKCAADMNEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDFKPSNSRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGISCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINHNLRIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAATMRKAGDAQARELLERVSECLGKERCSLFEDCIKWARLRFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSATDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVVIPEFEPKKGISIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGGHPVEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTIAELLQWFSDKSLTAYSISCGTSLLYNNMFARHKERLNKKVIDVAREVAKVDVPEYRRHLDLVAACEDDDGNDIDIPLVSVYFRSP >LPERR07G24050.1 pep chromosome:Lperr_V1.4:7:22409786:22412697:1 gene:LPERR07G24050 transcript:LPERR07G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLLPLLLLVLSVVPLSCQLAHSSADTGAGVENWDGAAGQASVVDLRNAHTRKLLGLLHIDGGTLRAVLLESPKQAPAPPVRHKRHKRHRGAHSPTPSPAPSPSPSIAPHKAASPSTSAASTPPIITIPQSPSVSPFPYTPQSDAAAQSLHKHTWRDYGLVTAGSAVFLVMAVASIVYCRAKKVGTVRPWATGLSGQLQLAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLSSSISSAKDWSKECETQYRKKITSLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRIAMGIAYCLEHMHQLNPPVVPRSFDSTTIYLTDDFAAKVSDLDFWNDSKGSSNSATSDESLMVEIDSMVHQYGIILLEILTGRVPYSKSDGPLEHWASGYFAGKMTLSELIDPSLGSLPEDAARALCDVAKWCIEQDSSKKPLMSQVAGRMKEITSMGPEGATPKVSPLWWAELEIMSSQTT >LPERR07G24060.1 pep chromosome:Lperr_V1.4:7:22414082:22415282:1 gene:LPERR07G24060 transcript:LPERR07G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGSPKETLGRHLARRLVQVGVSDVPPRGGLNAVTFTVGGAYSENLPLVCIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTIYQAVVNNLDDAHEQIDRAVSTAIRESKPVYISVSCNLPGVPHPTFSRDPVPYFLSPKLSNQASLHAAVDATLAFLDKAVKPVLVAGPKLRVAKAGEAFADLAEASGYPVAAMPSAKGLVPETLPRYIGTYWGEVSTAFCAEIVESADAYVFAGPIFNDYSSVGYSCLLNKEKAVVVQPDRVTVGNGPAFGCVVIKDFLAELASRVTKNTTAFDNYKRIFVPECEAGEPLRVNVLFKHIQRMINNNAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYALGTGVSR >LPERR07G24070.1 pep chromosome:Lperr_V1.4:7:22417626:22426631:1 gene:LPERR07G24070 transcript:LPERR07G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAEAALAQCEARPGFCSCLLIALQLAVLISKIARLDYPKEWPDLFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSYKDKQAKLWDFTKRACTKLMKVLVTLQGRHPYSFVHQAVLPATVDFCLNMITNPEQGGTSFEEFLIQSMVFVKSVLECKEYKPSATGRVINESSQPLSLEQRKKNFASVASDMLKVVLPGERVMLLCNILIRRYFIYTAKDLEEWSDNPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTGKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISALLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSLGYQSPISYNMLIPILQSGINIDSPDALNLLEDSVLLWETTLLNAPSIISQLLDLFPYLVGIVNRSFDHLENTFDGIATLQRHLAFEADAIHDGLRRKDVLDLAERHDAFASLWRRNSDLENHDCHLEATNVVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPALISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLASIRQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVMEKLDDILSVCTTVIMGGREVKNEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDANFNAAIGRIHPAAFAQLQQALNIA >LPERR07G24070.2 pep chromosome:Lperr_V1.4:7:22417626:22425859:1 gene:LPERR07G24070 transcript:LPERR07G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAEAALAQCEARPGFCSCLLIALQLAVLISKIARLDYPKEWPDLFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSYKDKQAKLWDFTKRACTKLMKVLVTLQGRHPYSFVHQAVLPATVDFCLNMITNPEQGGTSFEEFLIQSMVFVKSVLECKEYKPSATGRVINESSQPLSLEQRKKNFASVASDMLKVVLPGERVMLLCNILIRRYFIYTAKDLEEWSDNPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTGKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISALLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSLGYQSPISYNMLIPILQSGINIDSPDALNLLEDSVLLWETTLLNAPSIISQLLDLFPYLVGIVNRSFDHLENTFDGIATLQRHLAFEADAIHDGLRRKDVLDLAERHDAFASLWRRNSDLENHDCHLEATNVVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPALISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLASIRQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVMEKLDDILSVCTTVIMGGREVKNEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDANFNAAIGRIHPAAFAQLQQALNIA >LPERR07G24080.1 pep chromosome:Lperr_V1.4:7:22427005:22437326:1 gene:LPERR07G24080 transcript:LPERR07G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIFRAWCGYWVAEGPPVRRTQIRTPSSASPRTKEKAKPKKEKKRRKAHNQKEARKETPGGVEWKGGGGGGAMDSSTYAFHLAVATLVGASFAAASAYYMHRKTLDHLQRFARSLERDHRRRNRHLLDDYDEEEEEEKDDNPPAPRDHDRRTTLPIPPGLPLLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFESIEDSDEDELVPDTKTDAVYLATNGTIGSDPLPGKESQNGDVKPVPSTSMIRSQSATGSLHGAQLNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYIFREEVAPWEKEIITDPSTPKPNPNPFYYEHQTKTEHHFEMVDGVVHVYPNKDSKERVYPVADATTFFTDMHYILRVLAAGDIRTVCHKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASRPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTAHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGHDGNDIHQTNVPHIRIEFRHTIWKEEMQVIYLGNVELPEEIDR >LPERR07G24080.2 pep chromosome:Lperr_V1.4:7:22427005:22437326:1 gene:LPERR07G24080 transcript:LPERR07G24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIFRAWCGYWVAEGPPVRRTQIRTPSSASPRTKEKAKPKKEKKRRKAHNQKEARKETPGGVEWKGGGGGGAMDSSTYAFHLAVATLVGASFAAASAYYMHRKTLDHLQRFARSLERDHRRRNRHLLDDYDEEEEEEKDDNPPAPRDHDRRTTLPIPPGLPLLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFESIEDSDEDELVPDTKTDAVYLATNGTIGSDPLPGKESQNGDVKPVPSTSMIRSQSATGSLHGAQLNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYIFREEVAPWEKEIITDPSTPKPNPNPFYYEHQTKTEHHFEMVDGVVHKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASRPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTAHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGHDGNDIHQTNVPHIRIEFRHTIWKEEMQVIYLGNVELPEEIDR >LPERR07G24090.1 pep chromosome:Lperr_V1.4:7:22434001:22437439:-1 gene:LPERR07G24090 transcript:LPERR07G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKPPPAAAPAPAKAAAPRRTPGPLSFAGLLLSLLAVATFLYVNDHKLHHAADLDFPISAAARSPDLRLLQEAAHHKVNSIVASRTTKSSPTTPPDVPNPQSDRHHTPISISSSPWVSISLPNTTTTSNLNLKEEAESEECDLYKGRWVYDAEGKEAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPRFEAAAMLERLRNKRMMFVGDSLNRNQWESMVCLLQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNSLDIKVLKRRGAPFGSSGGWSSRYALIDRAMAYREVLKTWAKWVDRRLHPTKTTVFFMAMSPNHITPEAWGGSAGAVKCAMETQPIVNRTWGLDIGTDWRLHGVARGVLRSMRRVPVRFVDITALSELRKDAHTSVHTLRQGKLLTREQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAG >LPERR07G24090.2 pep chromosome:Lperr_V1.4:7:22434001:22437439:-1 gene:LPERR07G24090 transcript:LPERR07G24090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKPPPAAAPAPAKAAAPRRTPGPLSFAGLLLSLLAVATFLYVNDHKLHHAADLDFPISAAARSPDLRLLQEAAHHKVNSIVASRTTKSSPTTPPDVPNPQSDRHHTPISISSSPWVSISLPNTTTTSNLNLKEEAESEECDLYKGRWVYDAEGKEAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPRFEAAAMLERLRNKRMMFVGDSLNRNQWESMVCLLQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNSLDIKVLYVSIILLIVGKRRGAPFGSSGGWSSRYALIDRAMAYREVLKTWAKWVDRRLHPTKTTVFFMAMSPNHITPEAWGGSAGAVKCAMETQPIVNRTWGLDIGTDWRLHGVARGVLRSMRRVPVRFVDITALSELRKDAHTSVHTLRQGKLLTREQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAG >LPERR07G24100.1 pep chromosome:Lperr_V1.4:7:22439179:22442107:-1 gene:LPERR07G24100 transcript:LPERR07G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASDWQPVNLRPEFSAEVHALLVSDNLAAVVKRVRRRDEEFHTKFKKHLLALHWLISSRPNPGVLAPPRLRYVSPLDGKSYYSLLRLIKIINIRRLHAAPPHPDDDATQSQSQSPSPSQSESSEDTDTTVDIETLDDDDDDHHQEQDGHHQEEEDAIAEYVAFMKEDGSRKSKEQRSKGKLLVNKAKEQLVSSGWMLWKTTKYNGRLELRYKDPQNGRSYISLITACKAYLLRRPPLPPTTTTTTCSSSKEKTPKKRTPPADSSNSNCKKRKKKARVLQPRETNEHGHARTVLSLLIDKKIVKPREKINYRAKKGLITGDGMVKCVCGGCSKMRRREELTVAEFAAHGGVSSEDERRPWALMFLKDGRSLSQCMLQLMAMGLGENSSIKSRRKKKTYERGAWVKRKWWDADEDDDDDVCSICHECGELVICDCCQSMFHHGCVGLDSTPPGDWFCPPCRCGVCGNSDFDDPVNSTGSSSGSLRGFSDKIVIYCNQCQREYHAGCVRENEVWCPKSEGEGPWLCSESCSNIYLHLEGLIAAASTSTAAVSMSLVVLRHGAAQDRGDGEETQAEEYAKLCLALDVLHECFVPLTEPRTHTDLTADIVFNSSQVYGDKVAELPLVGTRFAHRRQGMCRLLMNKLEKLLGKIGVKRLVLPAVPELVATWTGSFGFREMGQSDRQELAHHPIVCFQGTTMCHKFLNPDAN >LPERR07G24110.1 pep chromosome:Lperr_V1.4:7:22442385:22451162:1 gene:LPERR07G24110 transcript:LPERR07G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQRLFHKARHQGGGAAAASAASHVAAEMEAQIALHYGIPYTASLLAFDPVQRLLAVATLDGRIKIFGGDNIEGLLISPNSTPYKFLQFIQNQGLLIAISNDNEIQVWNLEFRQLFYSSQWDINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAASVSLEDHQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAIAVRGYGDLHMKNQVTGVQRDAGEDEDNNINAEEEREICSLCWASKGGSTLAVGYITGDILLWDMTSKSSKGDTQSDASSNVVKLQLASGSRRLPVIVLHWSAGSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTAGLESAKCVSRMDLKLEGSFADMILIPDSGFSCKSRTSAVFVLTNPGQLNFYDGGALFSVPKSEEGKTQLQAQKFPIAVPTTDPNITVTNLYSLNGMESQSILLKKFCVKQNAAPFMQQNMKWPLTGGVLSEMSLNEDHTVERIYIAGYQDGSVRIWDATFPVLMLMFVLDSKVVGVNLDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVTESKQEVHVVQHGRGFHCHIAFLALKSPVKSLRFTASGEALTAGYQNGQLGSFDANQLSIMFTVDCASGTNSPVVSLSNYNVVASAEKANDQQKESPQNTKFPADVLLSMTKDAHDTSDEQNKLSEDKFPSQGHIAKEESVLDKRQTHTVEKSQKNTHNVGSDSFLLICCEDVVFMYSLSSLIQGGSKHLNRIKLARHCCWSAVLTNMDGKACGFILVYQTGTIELRSLPDLTIVAESSLMSLLRWSYKTGMEKSMSSSNGQVTLVSGSEFAIISLVASENAFRIPESMPCLHDKVLAAAAEAAINASMDQKTKQNPAAGILGGIIKGLKGKGEENAKLKGSLSAKTMSEQLESIFLKESSVEPSISNADDPIEELSIDDIDIDDEMPVPPPPASSSISHVDKKTTAEEERAKLFEGSSGVEKPRIRSHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >LPERR07G24120.1 pep chromosome:Lperr_V1.4:7:22451433:22468356:1 gene:LPERR07G24120 transcript:LPERR07G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARDLPPTFHPHPSSSAMEPRLRQLRKVPLLDFVARIGDLRADEASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLDLLNDDTPAVARQAIKTGTTLFAKVLQQLVIQGLFTSGGIDESLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDSPPDPPNEVTKDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSTIKVQVPGAFHALKSAFAACLKCTHSSAEPWRARLLEAQNIINQADSIEHSSNRVESLPQMETTSTDNSNKRNLVDDMNNALEDGGHSKKRIKSHDDQEHTDNAKNSVEPTSVDVSSSLSTPASTGNSEAVYQLVNMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVCRAEVDSQPFGTPSSSLLSACLPLLESLLKRINQNDREVDEVPPTIDSAVVPSAAGEIAAISSIPGSTSRNPPIEENSNSSSVPFDMETVEAKAPTVDASIEIQESSEASHASTEPQGTQEHGGSFISSLPADNSSVGLSLAQSSETRSPSSSMVEASQAQFSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFMRILGSDKQDASGGSIAHLPLLAHLGVEFPLDLDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLTIAESLRDMFPASDKSLGKLLCEIPYLPEGALKLLEGLCSPGSNEKQEKDLQSGDRVTQGLSAVWNLIMLRPSNRERCLEIALQSSIHHLDEVRMKAIRLVANKLFPMSSISKRIEDFANEKLNSVLEVVPADESASSELSTPEAPKDGGSENMSSSVADAQTLMSLYFALCTKKHSLLQHVFAIYGSLPQAAKQAVHRQIPILIRTIGSSPSLLGIISDPPTDSCDLLMQVLQTLTDGAMPSQDLISSVKNLYAKTKDIEVLFAVLSHLPKDEVLPVFPSIVNLPMDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPDKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMDIMSRLVNKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALSKNPLLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQNSQNQAAETSSSAADTTTEVTQESSAVS >LPERR07G24130.1 pep chromosome:Lperr_V1.4:7:22465243:22467941:-1 gene:LPERR07G24130 transcript:LPERR07G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCLVFKRRFRWNDAPPPEDVRAIFATHAAGGGPHMPADGLRAYLQSAADSEAPDDADLERLLDQIRQLQGRGGRIPRVGRPLGLTLDDFHRFLFSQELNPPLRHAHGQVHHDMGAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDVSILHGRTLTTPVSLLKCLVSIKQYAFVASPYPVIITLEDHLPPDLQDKAAKVQLSTNTPSVLNYHLVCLKIFSSCHQMVLEVFGDMLYYPDTDHLKEFPSPDELKGRVLLSTKPPKEYLEAKDGGTMKDGDAELHLSKSAGDDVAWGKEVPDIQSEIQSATKHDDDDTDEDDDEEEEQKMQQHLAPQYKHLITIKAGKPKGTLVDALQSDPEKVRRLSLSEQQLAKVADHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPEIFDPKKPLPPKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSVMKKTKAIEDNWTPVWEEDFTFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELLPGIRAVALFDRKGNRFNSVKLLMRFEFE >LPERR07G24140.1 pep chromosome:Lperr_V1.4:7:22469836:22471317:-1 gene:LPERR07G24140 transcript:LPERR07G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSLVRASNVTITNSDGPSPWDAIGLGGRKAGQICLSKSHMLMVSWTSLVVKRVLAFAGANSDN >LPERR07G24160.1 pep chromosome:Lperr_V1.4:7:22476678:22478610:-1 gene:LPERR07G24160 transcript:LPERR07G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGCKKKKTATATRSGRPVVLRRAMLHSSLCFLVGLLTGLVAPSEWDWALLGPSAAVFLRASRNIIIQATGGSSSSSRQQQPQLVVVVTTTEQYTDPERRAAGLTRTAHALRLVSPPLLWLVVEAAPEEEHAAPPTALLLRRTGVVHRHLLIPRDKQDYSREQQRNVALRHMEDHRIAGVVLFSGLADVYDTRLLHRLRHIRTFGVWPVATVSVYDRKVTLQAPLCTTSSESEGPITRGWFDMEAGGERRPAVDRPPPEMLMDVAGFAFSSRLLWDPHRWDRFPLSDPDISQDSVNFVQRLAVEEYNQSTTRGMPDSNCSQIMLWRIQTTL >LPERR07G24170.1 pep chromosome:Lperr_V1.4:7:22480447:22482156:1 gene:LPERR07G24170 transcript:LPERR07G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFDLNSAADPQALAPPKRGRGRPRKNPPPPPPAPAPDPPSSAAANSTATAAACPFAKGDLVWGQKLAHPAWPGEVVSASPNGGAQLLVSFFGDKALAWCDAAHLRPYEPYFPIGELYDGEADDFDAALDASLHEFSRRIEASLLSSSTARPFVPRDFISMLHDLAADRMGFSNRVHAAVVKAHLRAFDKFRSLPDPPEYNLHLGLPNAAPPVLFNHCNSNSSTPPSRRRGRKRKEEDIVDDSDEDWDPRKKGDGDWDPRKKVATDSDSDVDFHCKRSSYRGGRGGSALRGRPRGRPRKTDSGRTAMQVKDEVIQDTVKYPPAAEMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPSAYADKELRDTLGVKKGRKSMAGSLYPATKEEDELEVADGQRGRRKSAGNIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQDTGANKKMKQEVAPQDEASDDSPAMKQADGPVALILHFSNAEAIPSVDDINSIFRIHGPIMEGATEITKQSKIARVVFSKSADAERAYSSSGKFNAFGPALLRYDLKYLRSAPQVP >LPERR07G24180.1 pep chromosome:Lperr_V1.4:7:22484749:22487085:-1 gene:LPERR07G24180 transcript:LPERR07G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLGIPGRVHRPSINTHIHPPPHAVAIRCHRRSIAAASVSPPGDATGVPAPASRSDPISTSLSLPRPLTSADLMEPSGDGLKVAYQGCPGAYSEAAAKKAYPSCQTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKIQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSTPLNYSQCDKFIPPLFSSPYITMHYRHFDYLFYVDLEASMADPNTQNALANLKEFATFLRVLGSYPTDVSEA >LPERR07G24190.1 pep chromosome:Lperr_V1.4:7:22489453:22491398:-1 gene:LPERR07G24190 transcript:LPERR07G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYPTVSDEYLAAVAKAKRKLRGLIAEKSCAPLMLRLAWHSAGTFDVCSKTGGPFGTMKNPAELAHAANTGLDIAVRLLEPIKEQVPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPEPPPEGRLPDAHKGSDHLRQVFSAQMGLSDKDIVALSGGHTLGRCHMERSGFEGAWTSNPLIFDNTYFKELVSGQKEGLLQLPTDKALMADPAFRPLVETYAADEDAFFADYTEAHLKLSELGFAEE >LPERR07G24200.1 pep chromosome:Lperr_V1.4:7:22492083:22496414:-1 gene:LPERR07G24200 transcript:LPERR07G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLVLLLHAPSRSFRTLGRLRSPLTGSGLLPPRRPPLLLLRSFASSITPGTGRDLDLGPPPAPLAPPPPDELASDDDAYYHEHILEATQEDKSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVDEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEMGLA >LPERR07G24200.2 pep chromosome:Lperr_V1.4:7:22492469:22496414:-1 gene:LPERR07G24200 transcript:LPERR07G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLVLLLHAPSRSFRTLGRLRSPLTGSGLLPPRRPPLLLLRSFASSITPGTGRDLDLGPPPAPLAPPPPDELASDDDAYYHEHILEATQEDKSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVDEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >LPERR07G24210.1 pep chromosome:Lperr_V1.4:7:22501446:22521893:1 gene:LPERR07G24210 transcript:LPERR07G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACHNQTISALGDANGTVPGAYSDPDGRPASAVPPQPQVCWERFIQKKTIKVLLVENDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNNIDLVLTEVAMPGVSGISLLSRIMNHNICKNIPVIMISSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSSNDEDEDNGVSMGLNARDGSDNGSGTQAQSSWTKHAVEIDSPQAMSPDQLADPTDSTCAQVIHPKSEICSNRWLPCTSNKNCKKQKETNDDFKGKDMEIGSPRNLNIAYKSSPNERSINPTDRRCEYPLQKNLKEAVMENLEEPIVRAADLIGSMAKNMDAQQAARAANAPNCSAKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVVSNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPVQHWTSATNTTGKEKTDEMANNAAKRAQPGEVQSNLVQHPHPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGANGSNSGSNNGSNGQNGSTTAVNAERPNMEIVNGTINKSGPGGGNGSGSGSGNDMYLKRVTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQTVQDQGAREAATDR >LPERR07G24210.2 pep chromosome:Lperr_V1.4:7:22501479:22521893:1 gene:LPERR07G24210 transcript:LPERR07G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACHNQTISALGDANGTVPGAYSDPDGRPASAVPPQPQVCWERFIQKKTIKVLLVENDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNNIDLVLTEVAMPGVSGISLLSRIMNHNICKNIPVIMISSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSSNDEDEDNGVSMGLNARDGSDNGSGTQAQSSWTKHAVEIDSPQAMSPDQLADPTDSTCAQVIHPKSEICSNRWLPCTSNKNCKKQKETNDDFKGKDMEIGSPRNLNIAYKSSPNERSINPTDRRCEYPLQKNLKEAVMENLEEPIVRAADLIGSMAKNMDAQQAARAANAPNCSAKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVVSNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPVQHWTSATNTTGKEKTDEMANNAAKRAQPGEVQSNLVQHPHPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGANGSNSGSNNGSNGQNGSTTAVNAERPNMEIVNGTINKSGPGGGNGSGSGSGNDMYLKRVTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQTVQDQGAREAATDR >LPERR07G24210.3 pep chromosome:Lperr_V1.4:7:22501322:22521893:1 gene:LPERR07G24210 transcript:LPERR07G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACHNQTISALGDANGTVPGAYSDPDGRPASAVPPQPQVCWERFIQKKTIKVLLVENDDSTRQVVSALLRHCMYEEVAMPGVSGISLLSRIMNHNICKNIPVIMISSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSSNDEDEDNGVSMGLNARDGSDNGSGTQAQSSWTKHAVEIDSPQAMSPDQLADPTDSTCAQVIHPKSEICSNRWLPCTSNKNCKKQKETNDDFKGKDMEIGSPRNLNIAYKSSPNERSINPTDRRCEYPLQKNLKEAVMENLEEPIVRAADLIGSMAKNMDAQQAARAANAPNCSAKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRRKLFAA >LPERR07G24220.1 pep chromosome:Lperr_V1.4:7:22507845:22515063:-1 gene:LPERR07G24220 transcript:LPERR07G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWVRVLHKVALYFTRLSPLCCITICKIRNNPCASHWLPAYSKPQDMDEEQAHVKPAWLCNFKEVVEAWNQPTTHIEPMDRLAHGLRWTTITLKAWAKAI >LPERR07G24230.1 pep chromosome:Lperr_V1.4:7:22517382:22521284:-1 gene:LPERR07G24230 transcript:LPERR07G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKIMLKLLGEEADTFGKRAEMYYRSRPEVINHVEQLYRAYRALVERYDHLSKELHKANHTIATACPEQVQYALLEEEEDADFPKAITPIDSRRIQKSTVEEILKRKGKAPSGLNNNHACAPHITKQNAQQEISKLQKAILVLQTEKEFVKSSYETGIAKYWEIEKQIADMQDDICHIQNEFDAHASIEDDEARALMTMTALRSCQGTVAKLVKRFEELVRIAAGESEKINHLREKLYAMNNIIDPSKGQSGTTNITVTNRVYPNTQEILELQPIYEKIERFFECNSESIVEEMAAKVDELVDKVMNLELKFPKQSAQIKQLIEDNDSLKDRLDDLQDEITLRDDPSDLLEQLKLADDELNRIRTLETSVMEDEVLVSTVISEVVSCITNISKTFGSIGPEDMSNLSAAAENDGEKTPEDAITSMLGDEFRDIEEPTTDDNLGRDRCREEDASGVDGHDALNGTASIDDCKNSNKENFQSGNHLIQEDLKDTMSIQASNNIDRTVISGKEIKYNETCEGNIDCSKHKIDCSPSGGRKKYGDTGNDVIDNPTRGDSLKGDHPPTVVSQTHLPHSECIDTLINKCDPDEKGPSLVVTANSFGGSNGIQGLRIAGDENSVSGNSLIQDEEFVDGKSLKTHGHVDLVSSANQYSLNDENITDDISLPEARNSCFSDANMRLNLWHAGEAISVEKCPNQDGQLIAPGTIKSSNECGKIDSPEEVGRTSLVHTNSIQDINTSVLVDAHSSTAYQQVSEVTTKSDSIASYISDDELEERNSDGKELTREASNLSKPGSRSLRANSSLVAEAGAPSWQEILLDGIEGREAILLDEYTLILRNYKETKRRLAELEKKNEQHLEETKAVIRELRNANSIKYVEIQSLRGLLDPSDMPPTHSKMGSNRSSHHLDTEMSVLEETDLSHAGALKNASPLEGKFRSEIDALVEENLQFLVRFSMACHRMQDFDSKYQELQKAMRNFKEKKTGEPDAVPEPDPAEKKLRELRTELDVWFEQNALLDQDLHVKTTSLCRLQDEIAEALRVSPETDGGRFTPYEAAKFQGEVMNMQQSGAKIERELQAALTRMRELENKVNDGLHKLRESFDLSSRRSSLAEAESSSYHSQFKHFPTRTRVPLRNFLFGAKPKKKSMFACLNPTLNKQFSDL >LPERR07G24240.1 pep chromosome:Lperr_V1.4:7:22523985:22526267:1 gene:LPERR07G24240 transcript:LPERR07G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKERTASRERKGKKEKKMEEGDLDSAALWAAVDAQASRRLPRPRNPDDDDHRGGEVLQPARPFKSPRLLTTLPPPSLPSPHLLRMPPQSQSQYSPDAAAAAMTRTSLVVVDSPPPPAVHDLLFPALSVTNFRKYQDAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDETRNVNRAEFVVRASMQGGRFSDGWGSCDRREKRFNKPNHDVPSTAETRAKNKACQDLLGIGNNRPG >LPERR07G24250.1 pep chromosome:Lperr_V1.4:7:22527511:22532896:1 gene:LPERR07G24250 transcript:LPERR07G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLVRAYQVNGHMKAKLDPLLLDDRSIPEDLDLSLYGFTDADLDREFFLGVWRMAGFLSENRPVLTLREILSKLEHAYCGPIGYEYMHIPDRDKCNWLRDRIETAKPKEYLKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDIDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPILHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIGSGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQESGRKYCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHLGFDKQGTRFKRLIKDRNDHKQVEEGINRLVLCSGKVYYELDEERKKTGRSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHVQEQTELVKKALQAEPITFP >LPERR07G24260.1 pep chromosome:Lperr_V1.4:7:22535408:22537937:1 gene:LPERR07G24260 transcript:LPERR07G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGDAFLILELVAGNRLIPNSIFTTLLIKLPSVSPHTSPRLRAGLALRALDAALSETEAPAPAAVLLHKARAVLADPDLSPCFPEHLASFSADDAPSAAVADLKRLVDLEWASLPPSKLEIAAERIVGSEALHLWASADPAQRSKLRLLVGESTARDILNKLQQDASTNRLPQLDHNAPKTKATYVSDCAQQTDRAGSVELNAKADRPQQDTTRHQQESVHGVPSRQLQESSIPGASITSSLLGESIRGKEEAIPARVTGQFAPENIKNHQITGSKHSLMERNSTASTFEWDGLGDSDAERPAAKRQLPSFERITKPSPTAAHKMRNKWSEIQEKTLLEGVQAHGKGNWKEIKMAYPDVFEDRSTVDLKDKFRNLERHLCV >LPERR07G24260.2 pep chromosome:Lperr_V1.4:7:22535408:22537964:1 gene:LPERR07G24260 transcript:LPERR07G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGDAFLILELVAGNRLIPNSIFTTLLIKLPSVSPHTSPRLRAGLALRALDAALSETEAPAPAAVLLHKARAVLADPDLSPCFPEHLASFSADDAPSAAVADLKRLVDLEWASLPPSKLEIAAERIVGSEALHLWASADPAQRSKLRLLVGESTARDILNKLQQDASTNRLPQLDHNAPKTKATYVSDCAQQTDRAGSVELNAKADRPQQDTTRHQQESVHGVPSRQLQESSIPGASITSSLLGESIRGKEEAIPARVTGQFAPENIKNHQITGSKHSLMERNSTASTFEWDGLGDSDAERPAAKRQLPSFERITKPSPTAAHKMRNKWSEIQEKTLLEGVQAHGKGNWKEIKMAYPDVFEDRSTVDLKDKFRNLERHLCV >LPERR08G00010.1 pep chromosome:Lperr_V1.4:8:9061:11183:1 gene:LPERR08G00010 transcript:LPERR08G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTVQRDLLIFGWVYSGPPIMVIAILVGPSCITWMVVTVGCLSVVLIYGDIAFWVHLVRTYKK >LPERR08G00010.2 pep chromosome:Lperr_V1.4:8:9070:11176:1 gene:LPERR08G00010 transcript:LPERR08G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKQGITVGEANLKACTSRAAIWLAGISVPFLFMAGTLTIVYPFYNGHNMWSLLPILFGGVHMSLVWVITVYMDLYLPQAPRTVQRDLLIFGWVYSGPPIMVIAILVGPSCITWMVVTVGCLSVVLIYGDIAFWVHLVRTYKK >LPERR08G00030.1 pep chromosome:Lperr_V1.4:8:29708:32745:1 gene:LPERR08G00030 transcript:LPERR08G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDAAQEALLAIKTMMEDLEADDDAPRSSSENSALLREEVDTLKEAEIADEALAKINQQQEENNLLLRRILSLSQNAGVAQTYAFCCDD >LPERR08G00030.2 pep chromosome:Lperr_V1.4:8:29688:32747:1 gene:LPERR08G00030 transcript:LPERR08G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDRRRRPRRIDDDHCAGSTTSTSTMDRPTRRRRRAPPRRCRRPPRWSTSTTASPPDRQRPPRLSTGFPDPTRGKNNVVDLDVLDAFAECHTSKKMGLSDAAQEALLAIKTMMEDLEADDDAPRSSSENSALLREEVDTLKEAEIADEALAKINQQQEENNLLLRRILSLSQNAGVAQTYAFCCDD >LPERR08G00040.1 pep chromosome:Lperr_V1.4:8:42230:42628:1 gene:LPERR08G00040 transcript:LPERR08G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIHEHIHHVLVFLLLFLLQPTAANIFLTFTMAKAESATVMCMLLPPASGFSKSTNDDHERPDCREAGGDQEIVPVVVLSPKNRRNHIRATSSRMDRAVASNIIANHAPPNKPQCTRQACLRFLHRCSCQ >LPERR08G00050.1 pep chromosome:Lperr_V1.4:8:55479:55800:1 gene:LPERR08G00050 transcript:LPERR08G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTDVDNNNIMIPDFGELPEADRQEFESHVEDLRRMMLSCYRKTRQGVTKQEEFTLPVNDKSKMLT >LPERR08G00070.1 pep chromosome:Lperr_V1.4:8:66477:77131:1 gene:LPERR08G00070 transcript:LPERR08G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHDIAIFPIALHSFLRLGFDFCRVLERRTQEAAVWRGLRRRPKARAIRLPPAASMGRTEIRTRIRVTFHSNASFLFMEMKEDLRE >LPERR08G00080.1 pep chromosome:Lperr_V1.4:8:67820:74265:-1 gene:LPERR08G00080 transcript:LPERR08G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKIAVALSLSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCSLADENDKPLENRTGNNIAGKELMMRHRRRVIFSVIVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGILGLGRRDAVKAVFVGMAFMSMRIGKPTQPNWSITFHAVGWKDMPTFPHTVKILS >LPERR08G00090.1 pep chromosome:Lperr_V1.4:8:76339:83507:-1 gene:LPERR08G00090 transcript:LPERR08G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPPTPAASGSGGGDDEEDEEEEQCRICRQPAEAGRPLRYPCACRGSIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAADAPARLPLWEFMVGVGNKLMGWLLLLLSLVLAMYIWEFVMPFTTLWIWRLALSRTFARVRHLLSIRSAHGLRFTFMPSPDTVLACVSIRRAFLRDIAHFRDLNVVARIAADALAPFAHFVARLEARLDRRFGGLDSLQVIALHIVEASFMVVLVDMMLAFAFGFIPFSLGRIILFCISCFSFGNIDGVYSHTSTLSILFVGYGFLFSLALLFTGLHTFDQYSRGERLTIAVFFKLLTNIICMLFIPFRRLPGIHVMLQMALSLLQLFFRGIINLAIAANMSVNLINIIAICPLFFGWSVDICASQLFGGTIYQKLELPFASSFASTALHWLIGCIYMMLLSIFSSPLCLVLGPGVTIPFVHFAGDQNLMQLFREPFYTFSLKMLPGLFISAVDIAMVILVPVQIATRLAPTLFPLDITYFEPPTKGSAFWQAPRNYAELLSGALLLRFVICNTLKYLQPRPLLQKVLLYWFAATGHVLGLPDLLIAQSAGDGEREVGNSSTQKCHHGSTSEAQYKRGFAAIRMILLVVLAWSTLAIFNSAVLIVPVSVGRALLFAIPKLPVAGGLKYNDLFAFAIGFCITSTMIVASRDLFVYMASGRTHLLAYVIYKSVITALKGSPLLFIWVVIIPVLIGLLLNFLLISPFTAPANDILAIDLFCTWFLGLLLLKFWVKLVHWTRVAPFLIYFIDERWDWKLTRARMDGFSRLRALWILQDILMPITMKLLTALCVPYALARGVFPNFGYPDAVNSAVYRFAWLGGLALCMLCHLAKVFCKILVKLHDSIRDERYLIGRRLQNYVDNSTLN >LPERR08G00100.1 pep chromosome:Lperr_V1.4:8:84853:106269:1 gene:LPERR08G00100 transcript:LPERR08G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKTHQWTTTPQLGDLVLAKVSRPEDWDQTPVPRKVFVVFFGTKEIAHIALPDLQPFTDNAKSEVMGRARNKQCPKKYIDSFAEAVEEICKAYDDLPKSSETMSCTLPDHTLDRSEKPTEHPVKSPNNDETPKSAQMEGDSPSDNLNTSELGSGTEVDIKDGAHDRRDSSLAAVKRKKPKDADHPEKKKPVTSKSAINLYLEQDCPASTVHAEREPEEPKVEKEINPSEFPTLDPNVQIVCALEVPKKSKAMKQSKNAERKDNKRGNVAGISGRSSPGVVLDTELKRSPGKESKELKKSKLMMKQSVSDGSEKIDHKRIMFDKSDKQLGRKLSSVFYSNKKLLPGNEQHKLDSSTDARPAKRTKLKDRADETVKTEAKKETNFSADNEKDNALKIEKSIPVEAVSNSVPKIGTGDDRIWRSGNILSPLARLHFQGSEPASGSLAQLSVVDTAKKGSSLKDDSSRVAKPAKPRRRACLFDDDDGEEQRTPPHKSSVKSISMRTVPTEKFQSHTGTRGISSSQIGNASAMKFAVAREEKPKSIRRSPVEHETVYSSPNQDKMHGRLQIMGRRSTTSSLDTSASLGNRTNLADRKSSGQFRIPASSEVKKTHGNSSKLLHQTPGNLHSQNPDDSEKNMLLSKSENTKAKTKSGTPIATTVENRISAAMPAEQIGKLDHSKEQRSNFIDKTAFAEPNSDSAKSMKHLIAAAQARRNLIAAAQGMSEGLSTDNAVLSSTPYGLPGLSTSPVFHISSASRTVIPENDGMQFPDSCATTEPGQQVAMKNTLEIEHEHGKTPKTKQSNDSLSGGTDAAIARDALEGMIETLSRTKESIGRATRHAIECSKYGIAAEIVELLVLKLESEPNLHRRIDLLFLVDSITQCSHSQKGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLQRKIMPEDILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGSSSAIPLPVESGSMQENEQAISPNFVEENIRLPKNVTSDVLMEDALVLPTNKLQTDGTIPIVHDLQHEMGQEQASTDQNELPPLPDGPPPPPLDSLPPPPLPEGPPPLPSPLPSGPPPLPTQPPPIPSIPPPVPSSPSSMGYQPPAPEYFRASNGNQLNQMAGNASIQGSGNMTNFIPCGSVNTQAAVNFIPPVPADYGNNNLFLTSQGSNGNFQFRPTGVSFQQGPYNAFPSAQTPPVRSHNHLTQMNPVGQQAVPPCNPYAAQSFPNSQGQYASDEQWRMTTGNFSPDDQRNTWLPGARALSCSEGSFMQDGYPRSNIDRSSMNPMIHQRPVLNRMPSGAPDIFLRCCQLDLTFTHLIAGGLLKIVRLNSLLVVFTWEIGVARSYFNSTCNKRTDAKMLPAHGIATGALVNRKSRHLFGRPSERKNPLNVQFERRVAHLESRQEHQRCYIVTVIPYNYCCDYKPSQHTDANSHSSSELASPEDSLHLSSSPSSSPVILLHLNVPDESNKRWTDTSPGLLLEKSTASNSMSNSDFLDNSFTKASANAGHTVRRKSKRKSKKHKQRCRKPTAGSEITCRGNNSPITAIDKVNCEDLTLSPKGVGDILFEDTFSPSSSVKEASEEAHGSENDNDYRACSVASVSSASYCDETELYRPTTACLELFRQHNNSNNSSLLDNNPNPQRLHSSQETCASWSWDCMDDNKALLNLKNECGPDPCETAECCSRDGVGQNCGSGVCSQNCVVMCNGVQAVHLCRDTSSDSGFHLVVSRKRARKEKKLSLWKSCNVVRAPAATRGRNDNCIGRSSRQIFQELNTKDWSDRQNRVGSSMQLKHGVVLRDSKNCIHKPSNFSIQVKPHRSAASKVPKHSRILHSSNPIEDDSRELNSDCNKEWGIDSDKKLPHAMHSTKSNRREMMFDSSSEPTASKFSMVNCPSESGRSTNCTVGDLPVQKRGPGTSLQINDANGTTSRLLLPGSESARVDLVVGYDAVPPLEWNHSFQKLCSAEIHLSEMLKVVADAYKVQVSADAHLAAGNPITDLDTFIYSATPVIGHVPCMKRSSCSNDRLINRSVCQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSSCNSSEFCAYFVPYLSAVQLFGWSRKSMEHSFGVGEGDLLEASNTGSSLCSHPVPARFLRPFQKSMRLSESFSIIQDHGEVIFEYFETEQPFSRPPLFEKIKELVSGVNVSGHQIFGDPKKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGQLVPRKGSLDLTGLGTHVVSPVFGLQSYNDKGEQWFQLRCPESKQLQRDGELSGFGRAEVLKERLRTLQRGALAAARGVVPKGAEESVNCHPDYEFFLSRRCT >LPERR08G00100.2 pep chromosome:Lperr_V1.4:8:84853:106269:1 gene:LPERR08G00100 transcript:LPERR08G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKTHQWTTTPQLGDLVLAKVSRPEDWDQTPVPRKVFVVFFGTKEIAHIALPDLQPFTDNAKSEVMGRARNKQCPKKYIDSFAEAVEEICKAYDDLPKSSETMSCTLPDHTLDRSEKPTEHPVKSPNNDETPKSAQMEGDSPSDNLNTSELGSGTEVDIKDGAHDRRDSSLAAVKRKKPKDADHPEKKKPVTSKSAINLYLEQDCPASTVHAEREPEEPKVEKEINPSEFPTLDPNVQIVCALEVPKKSKAMKQSKNAERKDNKRGNVAGISGRSSPGVVLDTELKRSPGKESKELKKSKLMMKQSVSDGSEKIDHKRIMFDKSDKQLGRKLSSVFYSNKKLLPGNEQHKLDSSTDARPAKRTKLKDRADETVKTEAKKETNFSADNEKDNALKIEKSIPVEAVSNSVPKIGTGDDRIWRSGNILSPLARLHFQGSEPASGSLAQLSVVDTAKKGSSLKDDSSRVAKPAKPRRRACLFDDDDGEEQRTPPHKSSVKSISMRTVPTEKFQSHTGTRGISSSQIGNASAMKFAVAREEKPKSIRRSPVEHETVYSSPNQDKMHGRLQIMGRRSTTSSLDTSASLGNRTNLADRKSSGQFRIPASSEVKKTHGNSSKLLHQTPGNLHSQNPDDSEKNMLLSKSENTKAKTKSGTPIATTVENRISAAMPAEQIGKLDHSKEQRSNFIDKTAFAEPNSDSAKSMKHLIAAAQARRNLIAAAQGMSEGLSTDNAVLSSTPYGLPGLSTSPVFHISSASRTVIPENDGMQFPDSCATTEPGQQVAMKNTLEIEHEHGKTPKTKQSNDSLSGGTDAAIARDALEGMIETLSRTKESIGRATRHAIECSKYGIAAEIVELLVLKLESEPNLHRRIDLLFLVDSITQCSHSQKGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLQRKIMPEDILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGSSSAIPLPVESGSMQENEQAISPNFVEENIRLPKNVTSDVLMEDALVLPTNKLQTDGTIPIVHDLQHEMGQEQASTDQNELPPLPDGPPPPPLDSLPPPPLPEGPPPLPSPLPSGPPPLPTQPPPIPSIPPPVPSSPSSMGYQPPAPEYFRASNGNQLNQMAGNASIQGSGNMTNFIPCGSVNTQAAVNFIPPVPADYGNNNLFLTSQGSNGNFQFRPTGVSFQQGPYNAFPSAQTPPVRSHNHLTQMNPVGQQAVPPCNPYAAQSFPNSQGQYASDEQWRMTTGNFSPDDQRNTWLPGARALSCSEGSFMQDGYPRSNIDRSSMNPMIHQRPVLNRMPSGAPDIFLRCCQLDLTFTHLIAGGLLKIVRLNSLLVVFTWEIGVARSYFNSTCNKRTDAKMLPAHGIATGALVNRKSRHLFGRPSERKNPLNVQFERRVAHLESRQEHQRCYIVTVIPYNYCCDYKPSQHTDANSHSSSELASPEDSLHLSSSPSSSPVILLHLNVPDESNKRWTDTSPGLLLEKSTASNSMSNSDFLDNSFTKASANAGHTVRRKSKRKSKKHKQRCRKPTAGSEITCRGNNSPITAIDKVNCEDLTLSPKGVGDILFEDTFSPSSSVKEASEEAHGSENDNDYRACSVASVSSASYCDETELYRPTTACLELFRQHNNSNNSSLLDNNPNPQRLHSSQETCASWSWDCMDDNKALLNLKNECGPDPCETAECCSRDGVGQNCGSGVCSQNCVVMCNGVQAVHLCRDTSSDSGFHLVVSRKRARKEKKLSLWKSCNVVRAPAATRGRNDNCIGRSSRQIFQELNTKDWSDRQNRVGSSMQLKHGVVLRDSKNCIHKPSNFSIQVKPHRSAASKVPKHSRILHSSNPIEDDSRELNSDCNKEWGIDSDKKLPHAMHSTKSNRREMMFDSSSEPTASKFSMVNCPSESGRSTNCTVGDLPVQKRGPGTSLQINDANGTTSRLLLPGSESARVDLVVGYDAVPPLEWNHSFQKLCSAEIHLSEMLKVVADAYKVQVSADAHLAAGNPITDLDTFIYSATPVIGHVPCMKRSSCSNDRLINRSVCQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSSCNSSEFCAYFVPYLSAVQLFGWSRKSMEHSFGVGEGDLLEASNTGSSLCSHPVPARFLRPFQKSMRLSESFSIIQDHGEVIFEYFETEQPFSRPPLFEKFSVAWYPVYRVPHGKLRAAFLTYHSLGQLVPRKGSLDLTGLGTHVVSPVFGLQSYNDKGEQWFQLRCPESKQLQRDGELSGFGRAEVLKERLRTLQRGALAAARGVVPKGAEESVNCHPDYEFFLSRRCT >LPERR08G00110.1 pep chromosome:Lperr_V1.4:8:108040:114973:1 gene:LPERR08G00110 transcript:LPERR08G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSTSTAMAMMASSSSLYLGSSLPSPSMSPFHLLLQQQPHQMEMEMLSFQSMDSPAAPTFPQPPNAASMPMLLPPSSSSSSSPSPSPPPSSSPAFRKYEFVTGSPADWTDQEVAVLNDGLLRYAHEPNITKYIKIAAMLPTKTIRDVALRCWWPTGKEGKRGKPDEVYMGKTTRGLKENMVSATLPATFQIQSPNNMVSFSISMHHQGQNTLIRKEVHVLDSATRHLLEENNQLLSQIAENIETFKMVENMDLFLRTNNNIRTVLKRMNETPGIMGQMPPLPIPVNEGSLNSLLQMDRMVGAYGVP >LPERR08G00120.1 pep chromosome:Lperr_V1.4:8:113060:115903:-1 gene:LPERR08G00120 transcript:LPERR08G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGQPYHHYQALLAAVQQQNVPFPLPFPSSPTGSEAGPHNYHHHQSHSHSHSHNAALAPSPSPTPSRGFADWSASTSAFTSLASHSSPAPSNALHYSFSPCYAFWTHYMLNKNAYPPSFPPPPHDDQLRLANNHPIRDAPGPASTYGVDSFTSPSMAPNICTHMPPIEGPISVKEEKKPEVFPRVVKSTDELETRNSAVEFHCETVGTLPESKQGHESRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMEDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPDKFIIRGEKAIHQQTTNP >LPERR08G00130.1 pep chromosome:Lperr_V1.4:8:115359:115619:1 gene:LPERR08G00130 transcript:LPERR08G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAGRGRGVRRKGGEGAGGCAPVGEASAGSGTGTGSQSSIVTVTVTVALMVVVIVRAGLASCNSTTVEIINGIKSNLTVNANKK >LPERR08G00140.1 pep chromosome:Lperr_V1.4:8:119471:120371:-1 gene:LPERR08G00140 transcript:LPERR08G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKSGGARTRKRVEATESAVLKRARDGSAFTRCDACGKSVSVALIDMHNCSLDDKIRSNLEAQVVEQAVEVSSKKASGGKKGKAANKEKKGKRPPSAFILFMADFRKEYKAQHPDKKSVSAAAKEGGERWNAMSDQDKKPYFDKAAELKAQHDNGERSDENNVAGDKAAGEQEVDQPPKKGSDDDDDEEEQEEEKNELDDDV >LPERR08G00150.1 pep chromosome:Lperr_V1.4:8:121803:125626:-1 gene:LPERR08G00150 transcript:LPERR08G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAIIGRYPFPAGDEPGPGGDVISHDRKCRDLPFLALFATFWVAMLINSSFGFNQGNPLRLTYGLDYKGNICGSRHGDPDLRELDVRYWINPNQVYQSGLKSNQINLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPAMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVSIDENMLIDKTIHNAINSKSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAGMPWITVVLFNVLVISVTMFFYIKAGWIGSDPLTVVIGESDPYVSIGGREINHLHVAAVLMTVIMIIAFLTSIAIVRRILISTSVLKVAAKVIGEVQALIIFPIMPYFILAIFYMFWFSATLHLFSSGQVLRNDCNINCCSYDLKLGKVNCDNCCGYSVHYTQYVGIAILFHLFGCYWATQFFLGCSSTVIAGSVASYYWARGEISHDIPFRTVISSLKRLLRYSLGSVALGSLIVSIVEWVRFILKSVRRKLKLVDYARDSCFGKTVSSSSQCCLGCVDWTIRSVNRNAYIIIAITGKGFCKASELATGLIMNNILRIGKVNVIGDVILLLGKLCVSLMSALFAFLMLDTHRYKSAHNKISSPLVPVLVSWALGYVVAKLFFGVVEMSIETIILSFCQDAEEHEGNARYAPPLLMETLDDDDHQQLQRLTQGQGP >LPERR08G00160.1 pep chromosome:Lperr_V1.4:8:127667:132199:1 gene:LPERR08G00160 transcript:LPERR08G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDEAQLKALEDTLAALPAQVGVVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRSAAAPIPNKPNKPRPDAPSSLDFDVDWLAEHARQVSRMLLGGMSVIGIYIWASEASFKATSPAVFSQVAPWHGTAGVDERLLIHISYSPRRWACRICDVGSGSLRPCDFKLPVVQAEPFKKVISKAIGHLTKEVQNAKASINGVMFSGDMDSTLEGPHNVEFLVPFKNNLPAEEGVAGLLLFSGSVSASAYLGPKESISEAISDLKLDIITSLRSRLDIILDEADDDSTTSSLENSLPQKAAQVVFHELRVPYSFPFPRRVLIPWLASTYVCDYLQQSETTEDAIERCKEVMSLEGMWNHSIIEPESASVATLGSFWDVIPGALSKAPSEPGLKEIHSAQNGSRKTNGSNFSILAALVVLLIALLVGFIFTLSATLKT >LPERR08G00170.1 pep chromosome:Lperr_V1.4:8:133901:135313:1 gene:LPERR08G00170 transcript:LPERR08G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRRLSMATVDVDPEALGAGHGDGEGSGRRKEEARGGVGGVVARARENLRFRSVWGELNGAMGDLGTYIPIVLSLALSRHLDLGTTLIFTGIYNVVTGLIYGVPMPVQPMKSIAAAALSDPSFAIPEIMAAGILTAAFVFFLGLTRLMKLVYWLVPLSVVRGIQLAQGLNFAMAAVKYIRYDQDLAKAKSLGTRAWTGLDGLILAIAAVCFIVLVNGAGPEAAASSQREGEAAAREPSSWRRRLASSVPSAVMVFVLGVAFAVARQPAAVRELRVGPSRMRVVHISREAWRQGFVKGAVPQIPLSVLNSVVAVCKLTRDLFPDDERASPTSVSLTMGAMNLVGCWLGAMPCCHGAGGLAGQYRFGGRGGGCVAALGGLKLALGLLLGGSMLRVLLQFPVGLLGALLLFAGIELAAAARDMSTRSEAFVMLLCTAVSLVGSSAALGFLCGIIAHALLLLRAYALRSHHA >LPERR08G00180.1 pep chromosome:Lperr_V1.4:8:135369:139248:-1 gene:LPERR08G00180 transcript:LPERR08G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQFCSAHRSPAFAKDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGSNSDAARDQANSTSLRRNRGSEFSCMIKEAKRLGMALGIPCLDGVEEAEAQCALLDLASLCDGCFTSDSDAFLFGARTVYRDVFIGDGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNAILDQILSNGVKATRRCKAKNIGNKVDEVGITKDNDGQFRDVINAYLEPKCHSPDSEAVQRVSGQHPFLRAQLQKICEEYFEWSPEKTDQYILPKIAERELRRFSDLRSASSALGIEPSLSEIPVPCPVSAIVKQRKVHGNECYEVSWRSIDGLQVSVVPGDLVKSACPEKITEFLEKKGEDKKQKRRARTKKSSGQAAVKDVDERLQELLLGIEAESGTFGARANEPPTRASAHTVMEDIVDLSSPSPPLRKLSKSQKMVAVDVSAIGIDLQCQTVVAGMKMTDSESSSSQSSGDVENTNSGQSEEVKLIDLSSPLPPAPASAGGKKPGRGVLSDMSNSASSDKEAGSRCVASVIHGTIDLSSPSPVIDSKNRWMVHLEQEESDGDVIHERKARELRMFLESIRNELY >LPERR08G00190.1 pep chromosome:Lperr_V1.4:8:143118:143854:-1 gene:LPERR08G00190 transcript:LPERR08G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLFSTSSKIQMLSQHFLNLAHPLDSPASIPSHTPMCPSLLSPRSRHLLLP >LPERR08G00200.1 pep chromosome:Lperr_V1.4:8:145035:145736:-1 gene:LPERR08G00200 transcript:LPERR08G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDGGVGAWTVVAHVLAVAATALVLIWCIHFRGGLALRSHNKQLIFNIHPVLMLIGPIVLGAEAILSYRSLIKISSSREVRKKAHLALHGVALAVGAVGIYAVFKYHAEADIPDLYSLHSWIGIATISLYALNWLAAFLTFFFPKASPDTRRSVVPWHALLGLLIFGLAIATALLGFLEKLTFLQAPPMHLVSRYGAEALLVNFTALIVLFLGGAVLLATANIDSTRYTAM >LPERR08G00210.1 pep chromosome:Lperr_V1.4:8:153239:157475:1 gene:LPERR08G00210 transcript:LPERR08G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGNQQQQEEEEEEGVALVQVVDDGCNNKTKKQPQPQPPDNDDDESAIANNKTAAEHVGWSTWTSGGLSSAVKMRMPGRVGLAVGGLILLALLLAFATTCTTTVDFAAISSFLPFGGGGQQQSGRPQRSSSRVLPIPLLCANDTATKCPRAGASAAPAPAPSDQQQQQQAVVECPSYFRYIERDLSPWASSGITREAVERGRRQAHFRLVVVDGRAYVETYKHAFQTRDVFTQWGIVQLLKRYPGRVPDLDLMFNCEDMPEVRAASYPDRSAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNVRPWAPLLAEMAHENARLPWADREPYAYWKGNPYVSQVRRDLLRCNDSHAAVVYIQDWGFANRNGFRDSNLAQQCRHRYKIYVQGRSWSVSRKYILACDSPVLALATQYQDFFSRGLLPAQHYWPIDPDPNHMCRDIRFAVLWGNAHPAQAQKMGRAGSGFTRDDMAMDLVYDYMLHVLTRYASLLRYKPSVPERAVELCPESMACPQRGRNRDFMMQSREEFVADYDPCIMPTPPLTDDDATNMAHREADVLAKIHTMKST >LPERR08G00220.1 pep chromosome:Lperr_V1.4:8:158704:163853:1 gene:LPERR08G00220 transcript:LPERR08G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSPNPNLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVTEIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRGLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >LPERR08G00230.1 pep chromosome:Lperr_V1.4:8:163038:163637:-1 gene:LPERR08G00230 transcript:LPERR08G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARVRVRVGGGLSSVATASTSSVSFNKKKRAAAASEQVLLSVSDTELAARGFAVRRSTAGLDVGALNEVFSRVGFPRRQEDRLRRALEHSEVVWLQEDSSNSVVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVAGLRAKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMALYRSRLQQSSTTTSSS >LPERR08G00240.1 pep chromosome:Lperr_V1.4:8:164040:169210:1 gene:LPERR08G00240 transcript:LPERR08G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSADEGPPLLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKEDENDDNYEEEQDAGDEFDSDFGEDEPEPDDEPENEVRERLPIKKRLLFPGKTMKKINAKKKKKVVAKPEDDSKTDKSPDQQRPSNQTDIPDELETEKTIRKSTRTSVIVRQAEREAIRAEKEATMKPVIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVIHKDVYDGPTIRFSSRDGESRLEFINGATFGSELCTTSAPYPEKSICVVTGLPAKYRDPKTGLPYATMEAFKIIRESFLKEEADKKRPNLANMGELFESITGEYSTPKKRRIEARSPSISADQMHVGRFRRIPALDMLDDD >LPERR08G00250.1 pep chromosome:Lperr_V1.4:8:168180:173494:-1 gene:LPERR08G00250 transcript:LPERR08G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDVLASVSSALAVLIVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAAADLSRSALVAPLLDLPLSFLREYLAEKKHLGGLLILLPKKISDEDATANNESKGQLKDSLAELEKLLLHEEVPYPVYFAFQDDHFDNLLADICKIASSGQPASATTGGYKLVVSSPEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWNNELWMHVSKPPENPYIKQIFEDFSDVSKEVGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPEFLESTGGLYDTRESADAESVTRTVRLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTTDVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >LPERR08G00260.1 pep chromosome:Lperr_V1.4:8:177839:178078:1 gene:LPERR08G00260 transcript:LPERR08G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAGMSSPHAESPASSCVSSEYVEEATVMTMVVAGCPQCLMYVMLAVPNSKEEMKQPRCPRCNSAVLLHFHNLTTN >LPERR08G00270.1 pep chromosome:Lperr_V1.4:8:187539:188315:1 gene:LPERR08G00270 transcript:LPERR08G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKAKLGITSLLFSSSSSSSSCTGSTRGGAGLPYSSSSSWSMSSSSSSSAWQWPSCKQPRTLSFRQQETMMKTMNSAYSAADLDSLASLDSSRSSSSSSACRSRRTASDVVVIVNAAAADQADTVVSRALRSDRLFFDPDASMLNKKKKVQEGKAAFGGATAMSMESSNPYRDFRSSMEAMVKSSGKIDDWRWLEKMLGWYLRSNVKSTHGLIVGAFVDLLVSSSSSSPAAAAAKCPSCCSACSSKAHQQHDDIN >LPERR08G00280.1 pep chromosome:Lperr_V1.4:8:192101:192715:-1 gene:LPERR08G00280 transcript:LPERR08G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGEVCYPCRRRSVWCGVATCVVGVVACIFLVALVVYLMFRPNLLHATAVDADLSTFSLALKAWTLTYNLSVGMDLSRRRARLVLHYHAVAADAYYQDQRFAHARLPDFSQPASTNVTRLQPSFHGHHQLQGGVAAAAFRREDTEGTFSINVMVVAKTEIQALALRLPGPHMKLDCPLRLQRWNASSSQPLVFHPTACYVSY >LPERR08G00290.1 pep chromosome:Lperr_V1.4:8:196578:197252:-1 gene:LPERR08G00290 transcript:LPERR08G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCEQHKDCERQRLYRRCCATILAIILLLLLIILIVWLILRPTKPHFYLNDLTVVCLNVTGSGAAGAGAYSYSYLTVTMQATIAARNGNERVGIYYDRADVYAEYKGLRVTVPTSLPPVYQGHPDLTVWSPFLSANNVQLPPYLAVSLTQDETAGYLLVTIRVDGWIRFKAGAFITGHYHLRVRCPALLTVNDGRGSYGSNTGGGDGYFKFQRAAACVVDI >LPERR08G00300.1 pep chromosome:Lperr_V1.4:8:202926:203516:1 gene:LPERR08G00300 transcript:LPERR08G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTMMLLLFACAKASKLSPPAQLRAYEWPEQYHAVVVSNLTKRGGRLQVIEMYYDWPRGHGINIVREQLGGVLYNVEWTNGSSFLFDPAASTCRSFHFAVGILPPDWIAHGAVYHGRDTADGFDCHVWSNFLFQRYYADIATGRPVRWIFNGITRHVLLFQKGRVINDSSKWQAPPYCFSNTTSGDVASAPAPR >LPERR08G00310.1 pep chromosome:Lperr_V1.4:8:213305:213991:-1 gene:LPERR08G00310 transcript:LPERR08G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDGMMNRLRLSAAEKKGIKIGGSGGKKGVSAAPMAFGKVLSDRLESGKRHALDEGPWMFGKDLVIMVEFEGEKTLEEVEFNFIPIWVRVFKLPFGLMNKETGEAIGQEIGEFMGMDKDEDGSALGKFLRVKVCSRRIAPGAEH >LPERR08G00320.1 pep chromosome:Lperr_V1.4:8:214729:227522:-1 gene:LPERR08G00320 transcript:LPERR08G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTEEEAAAAARRIYERLVATAGRHHLQEQEEDCFQLLQLRLHFRRMPARYMVEMCSMSMVKAEEVVIHRKMLADCADPANRPVVHARLLNTLRPPSSSDFLSLVHIHEIAFGCLDKIKLLTQLSTLVSELGLNIREAHVYSTLDGFSLTVFLVDGWHKQEAGGLLKAIKEAIRSPITIEGGAETTKISTKSTTIDQLEHLVLGESSLEEERSPTKHRALWWNCGESRPTHAAHRRGKEKILMVPPPAPLMKGAEWPEEGIGESLWPPHPMKIWEGGNDLRVKEKDPEELKRRLYERVVESCMSEEELPEEASAFHRHLARLPKRYLVELGVDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPVAEFDDNSCQRLMEDLNLERRNMGRAGVGADNTGSMSISSRDRKTILLHEIIFSSLDRPKLLSRLTALLSEIGLNIREAHVYSTTDGLCLDVFVVDGWETEETDDLIAKIKEALSRKNASPSNSTNSSASVKIFELQQQVGDSEIEWNLLKMGKKFATGSFADLYRGSYNGIDVAVKILRDTHFNSSSVNHENVLQFYGAFTRPPKYCIVTEYMPGGNLYDFLHRKNNVLDLLTILRIATSISKGMDYLHRNNIIHRDLKTANLLIGYHEVVKIADFGVSRQGNQEGQMTAETGTYRWMAPEVPYDDMTPLQAALGVRQGFRLEIPSSVPPRLSKLMQRCWDEDPEVRPIFAEIVIELENILHHAQANRGGSRRSRAKMQKR >LPERR08G00320.2 pep chromosome:Lperr_V1.4:8:214729:227522:-1 gene:LPERR08G00320 transcript:LPERR08G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTEEEAAAAARRIYERLVATAGRHHLQEQEEDCFQLLQLRLHFRRMPARYMVEMCSMSMVKAEEVVIHRKMLADCADPANRPVVHARLLNTLRPPSSSDFLSLVHIHEIAFGCLDKIKLLTQLSTLVSELGLNIREAHVYSTLDGFSLTVFLVDGWHKQEAGGLLKAIKEAIRSPITIEGGAETTKISTKSTTIDQLEHLVLGESSLEEERSPTKHRALWWNCGESRPTHAAHRRGKEKILMVPPPAPLMKGAEWPEEGIGESLWPPHPMKIWEGGNDLRVKEKDPEELKRRLYERVVESCMSEEELPEEASAFHRHLARLPKRYLVELGVDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPVAEFDDNSCQRLMEDLNLERRNMGRAGVGADNTGSMSISSRDRKTILLHEIIFSSLDRPKLLSRLTALLSEIGLNIREAHVYSTTDGLCLDVFVVDGWETEETDDLIAKIKEALSRKNASPSNSTNSSASVKIFELQQQVGDSEIEWNLLKMGKKFATGSFADLYRGSYNGIDVAVKILRDTHFNSSSVNHENVLQFYGAFTRPPKYCIVTGMDYLHRNNIIHRDLKTANLLIGYHEVVKIADFGVSRQGNQEGQMTAETGTYRWMAPEVPYDDMTPLQAALGVRQGFRLEIPSSVPPRLSKLMQRCWDEDPEVRPIFAEIVIELENILHHAQANRGGSRRSRAKMQKR >LPERR08G00320.3 pep chromosome:Lperr_V1.4:8:214729:227522:-1 gene:LPERR08G00320 transcript:LPERR08G00320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTEEEAAAAARRIYERLVATAGRHHLQEQEEDCFQLLQLRLHFRRMPARYMVEMCSMSMVKAEEVVIHRKMLADCADPANRPVVHARLLNTLRPPSSSDFLSLVHIHEIAFGCLDKIKLLTQLSTLVSELGLNIREAHVYSTLDGFSLTVFLVDGWHKQEAGGLLKAIKEAIRSPITIEGGAETTKISTKSTTIDQLEHLVLGESSLEEERSPTKHRALWWNCGESRPTHAAHRRGKEKILMVPPPAPLMKGAEWPEEGIGESLWPPHPMKIWEGGNDLRVKEKDPEELKRRLYERVVESCMSEEELPEEASAFHRHLARLPKRYLVELGVDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPVAEFDDNSCQRLMEDLNLERRNMGRAGVGADNTGSMSISSRDRKTILLHEIIFSSLDRPKLLSRLTALLSEIGLNIREAHVYSTTDGLCLDVFVVDGWETEETDDLIAKIKEALSRKNASPSNSTNSSASVKIFELQQQVGDSEIEWNLLKMGKKFATGSFADLSVNHENVLQFYGAFTRPPKYCIVTEYMPGGNLYDFLHRKNNVLDLLTILRIATSISKGMDYLHRNNIIHRDLKTANLLIGYHEVVKIADFGVSRQGNQEGQMTAETGTYRWMAPEVPYDDMTPLQAALGVRQGFRLEIPSSVPPRLSKLMQRCWDEDPEVRPIFAEIVIELENILHHAQANRGGSRRSRAKMQKR >LPERR08G00320.4 pep chromosome:Lperr_V1.4:8:214729:227522:-1 gene:LPERR08G00320 transcript:LPERR08G00320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTEEEAAAAARRIYERLVATAGRHHLQEQEEDCFQLLQLRLHFRRMPARYMVEMCSMSMVKAEEVVIHRKMLADCADPANRPVVHARLLNTLRPPSSSDFLSLVHIHEIAFGCLDKIKLLTQLSTLVSELGLNIREAHVYSTLDGFSLTVFLVDGWHKQEAGGLLKAIKEAIRSPITIEGGAETTKISTKSTTIDQLEHLVLGESSLEEERSPTKHRALWWNCGESRPTHAAHRRGKEKILMVPPPAPLMKGAEWPEEGIGESLWPPHPMKIWEGGNDLRVKEKDPEELKRRLYERVVESCMSEEELPEEASAFHRHLARLPKRYLVELGVDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPVAEFDDNSCQRLMEDLNLERRNMGRAGVGADNTGSMSISSRDRKTILLHEIIFSSLDRPKLLSRLTALLSEIGLNIREAHVYSTTDGLCLDVFVVDGWETEETDDLIAKIKEALSRKNILRDTHFNSSSEVESVNHENVLQFYGAFTRPPKYCIVTEYMPGGNLYDFLHRKNNVLDLLTILRIATSISKGMDYLHRNNIIHRDLKTANLLIGYHEVVKIADFGVSRQGNQEGQMTAETGTYRWMAPEVPYDDMTPLQAALGVRQGFRLEIPSSVPPRLSKLMQRCWDEDPEVRPIFAEIVIELENILHHAQANRGGSRRSRAKMQKR >LPERR08G00320.5 pep chromosome:Lperr_V1.4:8:214729:227522:-1 gene:LPERR08G00320 transcript:LPERR08G00320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTEEEAAAAARRIYERLVATAGRHHLQEQEEDCFQLLQLRLHFRRMPARYMVEMCSMSMVKAEEVVIHRKMLADCADPANRPVVHARLLNTLRPPSSSDFLSLVHIHEIAFGCLDKIKLLTQLSTLVSELGLNIREAHVYSTLDGFSLTVFLVDGWHKQEAGGLLKAIKEAIRSPITIEGGAETTKISTKSTTIDQLEHLVLGESSLEEERSPTKHRALWWNCGESRPTHAAHRRGKEKILMVPPPAPLMKGAEWPEEGIGESLWPPHPMKIWEGGNDLRVKEKDPEELKRRLYERVVESCMSEEELPEEASAFHRHLARLPKRYLVELGVDRADDVLLHWRILTLCAHPDNRPVFHARFQKCITVPVAEFDDNSCQRLMEDLNLERRNMGRAGVGADNTGSMSISSRDRKTILLHEIIFSSLDRPKLLSRLTALLSEIGLNIREAHVYSTTDGLCLDVFVVDGWETEETDDLIAKIKEALSRKNILRDTHFNSSSEVESVNHENVLQFYGAFTRPPKYCIVTGMDYLHRNNIIHRDLKTANLLIGYHEVVKIADFGVSRQGNQEGQMTAETGTYRWMAPEVPYDDMTPLQAALGVRQGFRLEIPSSVPPRLSKLMQRCWDEDPEVRPIFAEIVIELENILHHAQANRGGSRRSRAKMQKR >LPERR08G00330.1 pep chromosome:Lperr_V1.4:8:229146:236118:1 gene:LPERR08G00330 transcript:LPERR08G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELCDRPVVVDQSSWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRTWREMCKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVIYDTQPPYNAGSLASFQHGSHRISSRRVSPKLPTGSYPIAQVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSSFMDRSLDFSSSRFSEISGSMNPQGEDNGEVKERPLVLKNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIADASSESSQPEQQQTQPSNSSSSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >LPERR08G00330.2 pep chromosome:Lperr_V1.4:8:229995:236118:1 gene:LPERR08G00330 transcript:LPERR08G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELCDRPVVVDQSSWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRTWREMCKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVIYDTQPPYNAGSLASFQHGSHRISSRRVSPKLPTGSYPIAQVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSSFMDRSLDFSSSRFSEISGSMNPQGEDNGEVKERPLVLKNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIADASSESSQPEQQQTQPSNSSSSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >LPERR08G00330.3 pep chromosome:Lperr_V1.4:8:230425:236118:1 gene:LPERR08G00330 transcript:LPERR08G00330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELCDRPVVVDQSSWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRTWREMCKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVIYDTQPPYNAGSLASFQHGSHRISSRRVSPKLPTGSYPIAQVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSSFMDRSLDFSSSRFSEISGSMNPQGEDNGEVKERPLVLKNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIADASSESSQPEQQQTQPSNSSSSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >LPERR08G00340.1 pep chromosome:Lperr_V1.4:8:233283:236721:-1 gene:LPERR08G00340 transcript:LPERR08G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKQLRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARNLIHIFPAYNAGYAILAVTIVLSIYTPPKSQDPSLQNLIVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDTSAPHTLQFPRVKEVLVNGVPIKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCVYILSMCALYIKLLMNRNHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIAANKTTYENLKYKYNNQPNMFDRGCVNNCSEVFCTKRAPSRIKLRAIVQEDPVVALPRISRSNVPEDETPHRPRAKVKDDLEMGLDILKTSHRRSDELSDEDLRAESNGVKHRRADCSPGLDDEIPVTRTKIESSSEVRDLEILSTGNAALPSSPEQKQHPDALC >LPERR08G00340.2 pep chromosome:Lperr_V1.4:8:233283:236721:-1 gene:LPERR08G00340 transcript:LPERR08G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKQLRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARNLIHIFPAYNAGYAILAVTIVLSIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDTSAPHTLQFPRVKEVLVNGVPIKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCVYILSMCALYIKLLMNRNHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIAANKTTYENLKYKYNNQPNMFDRGCVNNCSEVFCTKRAPSRIKLRAIVQEDPVVALPRISRSNVPEDETPHRPRAKVKDDLEMGLDILKTSHRRSDELSDEDLRAESNGVKHRRADCSPGLDDEIPVTRTKIESSSEVRDLEILSTGNAALPSSPEQKQHPDALC >LPERR08G00350.1 pep chromosome:Lperr_V1.4:8:238542:242664:-1 gene:LPERR08G00350 transcript:LPERR08G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLAVAMVVVVLLGSGGARAVSVGDTCSSEGDCGAGLHCSDCGGDKTCTRATPINPLTHGTGLPFNNYSWLTTHNSFALTGASSATGSPIITQTNQEDTITAQLKNGVRGLMLDTYDFNNDVWLCHSFQGICYNMTAFQPAINVFKEIQTFLEANPSEVITIFLEDYTASGSLPKVFNASGLNKYWFPVAKMPKSGGNWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWSYVVENQYGNGGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGACGNNSAPLVSMLKTCHDLSGNRWPNFIAVDFYMRSDGGGAPLATDIANGHLANSTFGTCVIPPPPPPSPPRKAPSGKGASAGGSGSSSGAMGLFVPKLSFFFGAALQVLVILSY >LPERR08G00360.1 pep chromosome:Lperr_V1.4:8:244017:246364:-1 gene:LPERR08G00360 transcript:LPERR08G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLSASMSGGENRLETISRLAQWRVDTIGPCSYRRSDAFKIGIWNWYLSVEKGRSINVRLFPEPGRVAKERPPLARFLLRASWAGPPRRSFTSPVHEHLLRNSEDFFWPIEMSLGRLTIDASESPVSIWPNESMALQNIASKSTLGLLSRMLTESIHADVTINTTDGVLKAHKAILAACSPVFESMFLHDLKEKESSTINISDMCLESCSALLGFIYGAIKQDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDITSSNVLERLHVAWLYQLEKLKKGCLTYLFVFGKIYDVRDEINSFFQHADRELMLEMFQEVLTAWKPI >LPERR08G00360.2 pep chromosome:Lperr_V1.4:8:244017:246364:-1 gene:LPERR08G00360 transcript:LPERR08G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLSASMSGGENRLETISRLAQWRVDTIGPCSYRRSDAFKIGIWNWYLSVEKGRSINVRLFPEPGRVAKERPPLARFLLRASWAGPPRRSFTSPVHEHLLRNSEDFFWPIEMSLGRLTIDVEFLDLKIATNNAKSPVSIWPNESMALQNIASKSTLGLLSRMLTESIHADVTINTTDGVLKAHKAILAACSPVFESMFLHDLKEKESSTINISDMCLESCSALLGFIYGAIKQDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDITSSNVLERLHVAWLYQLEKLKKGCLTYLFVFGKIYDVRDEINSFFQHADRELMLEMFQEVLTAWKPI >LPERR08G00370.1 pep chromosome:Lperr_V1.4:8:249114:249569:-1 gene:LPERR08G00370 transcript:LPERR08G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQQQHRMSYGCIPGSYAAVPDNYSLLLHHDNPSFAAGRPSLMGAATALFAANNNNIVDHSNITDSKQLHFSDMMPPLESPTVDGEAGYVSQASSCVDVDQHAAAGIVDWNLLTSLLPPPAAQLFHHLPSASSSKNSNNISSSGFIDDRD >LPERR08G00380.1 pep chromosome:Lperr_V1.4:8:249712:250349:-1 gene:LPERR08G00380 transcript:LPERR08G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSLSPSPSSTRVIGMRKTLVFYKGRAPNGRKTDWIIHEYRLQSNEHAPTQASTITN >LPERR08G00390.1 pep chromosome:Lperr_V1.4:8:259720:262050:-1 gene:LPERR08G00390 transcript:LPERR08G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDAAAGVLGRAVDEVREALNEHADVVAELFGRVSSDLRQGFRPAVDSFLGFFHAVDWKEPWLISMLAFHAILLLVTVISRRNVNFQLILSALTFSGVFLAERINAFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCMLMVRWKRAELRHRARQVRNKQD >LPERR08G00400.1 pep chromosome:Lperr_V1.4:8:264516:267531:1 gene:LPERR08G00400 transcript:LPERR08G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIQTTIHFSIRGSGSILPKENCSNKMNRMKGGRFPLLFCAVICLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKDSGVKGAVYAVEPLDACGPLRNKAIDGPTSPFALVIRGGCQFDDKIRNAQNAGFKAVIVYDNEDSGVLVSMAGSSSGIHIYAVFLSKASGQVLKKYADQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLASCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSYGEKLRVLPCRHKFHVTCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSIINLPAESTALSSFRSTVAVSPPRPTRRHPSSQSTSHAYPISIAPRNYNPQRYYANSPLLTTSRSNVDLANMSSQWSHSPHQASVHSLRGGHFSLPINIRYAVPHVPRSGYGSGNLGLSHASRSHHGSPTKLLPHAPHGVRAKPVYYGAPVATIEVYQVRFSSIITSDLCTALSWF >LPERR08G00400.2 pep chromosome:Lperr_V1.4:8:264038:267531:1 gene:LPERR08G00400 transcript:LPERR08G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSSSEAVLSSKVALLHSLFCSTVLPKENCSNKMNRMKGGRFPLLFCAVICLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKDSGVKGAVYAVEPLDACGPLRNKAIDGPTSPFALVIRGGCQFDDKIRNAQNAGFKAVIVYDNEDSGVLVSMAGSSSGIHIYAVFLSKASGQVLKKYADQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLASCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSYGEKLRVLPCRHKFHVTCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSIINLPAESTALSSFRSTVAVSPPRPTRRHPSSQSTSHAYPISIAPRNYNPQRYYANSPLLTTSRSNVDLANMSSQWSHSPHQASVHSLRGGHFSLPINIRYAVPHVPRSGYGSGNLGLSHASRSHHGSPTKLLPHAPHGVRAKPVYYGAPVATIEVYQVRFSSIITSDLCTALSWF >LPERR08G00410.1 pep chromosome:Lperr_V1.4:8:270454:276205:1 gene:LPERR08G00410 transcript:LPERR08G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQCFQQHHLCWPGVRRASVPNIRLLPAPGALISRGLDSSLDQKSDTISEAGVIQLYRTPYLQESETIELLRQVQAKVSANIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEVSRSPYSVIVEVGPRMTFQTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGHGPLDESQLNDFAALVHDRMTECVYPKKLTSFHSDVVPEPVRIVPVIEKGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGDTMPSTLFQLVKSPLKANPDNNSVIGFNDNSSAIKGYPANHLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGYTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPEGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLIKPESRTLLESLCERERVSMAVIGTINGCGKIVLVDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVPLVSEPLDIAPGVTVMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTNLTGGACAIGEQPTKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFASNCGVKLNIDSEDSGLLQALFAEELGLVLEVHLKNLSVVKQMLEAGGISANVIGQVTTSPDIELAVDGMLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFSDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGTFGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMLKGMEGSMLGVWSAHGEGRAFFPDENVLAGVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKSDPSPWLRMFQNAREWQRSSAMLYENNPVAAMSIQSTASPAYRSSRASGCRHWATSKTETRSRCVLRRHTASTGTCSSYQSVADVYDHNFRESSRCVIFIYDYTF >LPERR08G00420.1 pep chromosome:Lperr_V1.4:8:276843:277097:-1 gene:LPERR08G00420 transcript:LPERR08G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEALPHGRAQTKVKGDDEILRTGFINGTPLEAGKIADSQPVDFFAQARRVAEADNSSHESHKNQQQGSMAEPTAGGHQA >LPERR08G00430.1 pep chromosome:Lperr_V1.4:8:278816:281673:1 gene:LPERR08G00430 transcript:LPERR08G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDENDDGDEGDEY >LPERR08G00440.1 pep chromosome:Lperr_V1.4:8:308030:310206:-1 gene:LPERR08G00440 transcript:LPERR08G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSEEEEDEEAHDDAVNKNKKEGCDWANDFLPEIVEEISGHLLSIDVAEYIRFRAVCKPWRNLTAAIAELDSRFRPRNWAVMSITHPEPWPHRRLLNLATAASISVVLPALADNCHLFAADGLLLTQAHLPPQPSHQFPHPFPPNLPHPRRLRRRRRQVESGGGDNIDHVRIKKLQPQDDQWRRIRRLHNPTYPRPLPAWNRTDDHHWTLVTAGDASSYLPEYDAAGKILYHSMLPWRGRCYFASPEGSVYLLDLQPPRLVEIVSQRHLCKPGTHHLVNRVLSFLVAGDDGDGDGIPMMRSMTSKFSVYHVDDRRIEPPHQFGMDEYHRLVPGARPCNLDQYLVNYVDAAHGFSVN >LPERR08G00450.1 pep chromosome:Lperr_V1.4:8:310911:312111:-1 gene:LPERR08G00450 transcript:LPERR08G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESALAVDTGTGGIGVIIRDEHRGVLLSSSKFLCRCADVEEAETRACKEGLALAADWINRPGTL >LPERR08G00460.1 pep chromosome:Lperr_V1.4:8:313351:313773:-1 gene:LPERR08G00460 transcript:LPERR08G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALSSQVRIPFGSVRVAAAAPARVSVVAPASNKLAERLRMQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQVAAGWVLTCHAYPKSDVVIETHKEDDLV >LPERR08G00470.1 pep chromosome:Lperr_V1.4:8:320124:328341:1 gene:LPERR08G00470 transcript:LPERR08G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPLHNPTRDALSEDFDGNRSDHNVFDAEHSGQNGIGDTKKRRSSSNLSTDDNFSSSTLQSKHEGVSRDAISIDDRSVKSGDDSDGAESTNGKSGNLDSTCVENDSIWIPPEAADKDAEAESVSANIAYDDDDDDYSDGIKWGQSSFPAINEEQEASTSPRDERENAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPESNKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPKLLLLKGVLGHSDVGLSSFNSMDQEKDHLERDISKMMEICSPNVILVEKTVSRDIQEILLKEGVTLIFDMKLNRLKRIARCTGSPIISFSEVLDRPKLKRCDSFHMEKFVEEHNNASDGGKRSSKTLVFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNSSRENSITAMAGPSANGYDLSVLDASDFSSRDDSPALRLYHTTSNGYADLNKSLSSPTKVEAPSSIKNSSLNEPREDANTRYDSKPPLHSGKLPSSVPVSYRKYVGVLCHQNIYLPVTSLQETSGNQILDEVESSKEVVSNGFHVGSKVEEPAVSGANVDLSQDHERQERIQDVTPTSSMHDKSEGSPVIVDGEQHSTTGIVSKEKIANEDQVDDALDSHSILILMSSQCITKQVICEQSHLTRIKYYGNFDVSLGRYLQDILQNQQKLSCSSCQEPPESHLYSYTHRNGNLTVLVKHMVPQHCLPGESEGKIWMWTRCLRCENEHGMSKSTPRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYTTCKPQTSLQFDNPCRQDWFKEERRYVLARGIRLFSEVARMLQPLKDQLHDVTTTNCIGSPPVKDFSELEKLLIKEKAFFEDSLEKTIHQNGRLSESVHELLDINWSYQDLLLELYVWDHRLDQLIKYVSAGEGRGANCKDLVDTVVKNSEANHRIENKADELTCDRTTSILGAVYLTECPSNRNYIDHQSVDIEAPVLTENQGDGCGQLSCIGGRKDEDSFTVPYRLEVDSTAQTKKVPLFEISEVQGNGVVAHPISMEQELSNSPTHLKRISDWDTGEGWIWNSFHESQLAYRKGIQSGCLEKFELINHYSPTHMSPLFEQHEEVSSPQFTVGPGFNIFSVLEDEISSIIARALAIHDKNEAEDAKLGHAKTMEKSYSFLSESSFNSSPWSSIGSSDSEASFSSFSSSISSDDLSGYDGLPLFSPIHPEVIVNGKVALRGKYSVTSIYAKQFLDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPEYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEMRIDLMVMENLLFGHNISRIYDLKGAIFSRHVANSNDRDTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMAKYFLTVPDTWSPENSFGSCNSSGHINNMLEVHSDDNLLQHPTEAEA >LPERR08G00480.1 pep chromosome:Lperr_V1.4:8:349487:352550:-1 gene:LPERR08G00480 transcript:LPERR08G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVSVATAARPVPRELAIPGFVPYDPQETTPGSDHTLLFENVLFPVAAVAPVLLATWPVFIYLLSGNLGQTVRFFRLPLTGFVVGIIVVSSVTYLFIALRWPQVLPVGWEKELGIAGLASITVCHIWQMWDVIHLDRRILERVVVAINLLVPLILNFGICYWAWLLSPTLVFFVWAQSLLNTFFYFVEFALFIMRICEGYGAGAAAMDLPPPPPPPPAIDVNIHGNILHFLGPAAAAPPAPPSGGNVANIGNHLVHLVGPVAPPGGNVANIENHEGNVAATEISAEEFDLGDGPAVAAAAAVGDGGTEGVVEAAENDGAVVVDAAAAQVVAKAAKPAEDGLPNEVSVSSKIFQMVKMSAGQRHE >LPERR08G00490.1 pep chromosome:Lperr_V1.4:8:355443:356330:-1 gene:LPERR08G00490 transcript:LPERR08G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSSIAHGLDALHRSLAGGEVAFMSAPFLQQAAALLRSLHSQLLVLVQRLHLPPGESWLDEYMDETCRLWDTCHLVRHGAASLDAYCATAARAVRSSSFINAARRHAAGLVQENRAVAEARLDPASLLLDRRSPVEFRLNAFNGFRGVLYALRNATSFILAVLLSAVLSSDHITAVSFRGGGQGQSPSSSSGIGYDDDVSSSMARLRQRVAEEMDAADEQGGIMMYEFRQVRAGIDSLTETGYGGGEAAAEVVKGWVAMLRSGAEAVIAELDDFFDDIVEGRKMLSDLCSHR >LPERR08G00500.1 pep chromosome:Lperr_V1.4:8:363325:371117:-1 gene:LPERR08G00500 transcript:LPERR08G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSVRRNPVSLSVSVIKFGSFLSSLSLRPPPPNPSHHSLPLLLLLRLHCAASRRGERSQIRRSLHPPGRDILLLLCRRRPCPPTRPCCSSSSWMAPPPRKKRPPPPPPPTAAEKQQPDTEDLLVTYKRRRFKDKPPLAPMANGANSKKEDHRVDQHWISWRDTLQGFLQSPGISQGGGIQTCIRAALVYNGCQPLWPATNGAVPQAEFKGNLANNFQVEGKEEQHPDGAAQARLVVAADAATGDAVAAACPETNKAMCNSALFEILVSPKFALLCHLLVGTFHVNKPDEVIDMEKIDAKMRNGDYAHNPALFDDDIQQMWEKFEQVGREMTGLAGNLSAISRVSYQKQVSGCSEAEVAEHRIEDTSLPGAVFVTKESTTTVQLATCDSSHSTIPKRTVPPGRDICPCDGCGIKVDGEEGLICDECDTMYHFACVQLLNPDMKQVPATWHCSTCSLKKKELAADTTNNVGHDCLHSANCILCDQLEPVKPAEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDEKKFMVCGHPYCVYKFYHIRCLKTNQLAIEQQKKLGCWYCPSCLCRGCFKDKDDDMIVMCDGCDEGYHIYCMRPARNTIPKGQRKECTSMKILCCKYMGIVSMLLKSISQKTLKVMEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKTKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSVVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQAVNSSPRTPRSRMEMLPLVGEKQEKI >LPERR08G00500.2 pep chromosome:Lperr_V1.4:8:366464:371117:-1 gene:LPERR08G00500 transcript:LPERR08G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSVRRNPVSLSVSVIKFGSFLSSLSLRPPPPNPSHHSLPLLLLLRLHCAASRRGERSQIRRSLHPPGRDILLLLCRRRPCPPTRPCCSSSSWMAPPPRKKRPPPPPPPTAAEKQQPDTEDLLVTYKRRRFKDKPPLAPMANGANSKKEDHRVDQHWISWRDTLQGFLQSPGISQGGGIQTCIRAALVYNGCQPLWPATNGAVPQAEFKGNLANNFQVEGKEEQHPDGAAQARLVVAADAATGDAVAAACPETNKAMCNSALFEILVSPKFALLCHLLVGTFHVNKPDEVIDMEKIDAKMRNGDYAHNPALFDDDIQQMWEKFEQVGREMTGLAGNLSAISRVSYQKQVSGCSEAEVAEHRIEDTSLPGAVFVTKESTTTVQLATCDSSHSTIPKRTVPPGRDICPCDGCGIKVDGEEGLICDECDTMYHFACVQLLNPDMKQVPATWHCSTCSLKKKELAADTTNNVGHDCLHSANCILCDQLEPVKPAEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDEKKFMVCGHPYCVYKFYHIRCLKTNQLAIEQQKKLGCWYCPSCLCRGCFKDKDDDMIVMCDGCDEGYHIYCMRPARNTIPKGQRKECTSMKILCCKYMGIVSMLLKSISQKTLKVMLPH >LPERR08G00500.3 pep chromosome:Lperr_V1.4:8:363325:365892:-1 gene:LPERR08G00500 transcript:LPERR08G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKTKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSVVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQAVNSSPRTPRSRMEMLPLVGEKQEKI >LPERR08G00510.1 pep chromosome:Lperr_V1.4:8:372325:375772:-1 gene:LPERR08G00510 transcript:LPERR08G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCNSGELAVAGDGAELILSLPEDVLALISAHLRPRDLLALSAASRSLRHAVSGADKAWLAQCCRLLPTASHLLAWRAAVGEDDSSSAAAICRFLHSSSPLLGLWAHQNPELGNLVTVVPGFLSLVAVRSIPQELSPRLLWSPVFELLADAIGRPSFLFLHGGAAGDSLFPGHLAGGGGGLHPKSNTLLLEAHTCLLTTGPHFSRLAFADRRRLLNALVAASRVTLPPDLAAAPIFARSDADLPLLAARREAMLRLHRDAGGGMVRRSEIEERLIGARKPTAMPADTAGDRMRLRRSFSAVAGYVRNSLRQMVTRSASANSRVEYAHPKHLPLDEFLRSGESVGLSLRGARMRLSVYRNWPNMHDNCFALYKLTTQAPMPGRECAGLWGGTFGWPPGRPDDESKPGKALFFLLLTYEEDSEGKLLLIATKVLEGTHYVVHPNGSSMFTARVTEPSTESFPWQTDEESRGVEIRRSFAGDGIATGYGFRYPGTKPGSLFVLQDGRLAFVWRENKAVLTLQRLDLEEMIRRGECVPALPPIQNFAYLTKSYSNVFAVAPSTGGTSFPASPRKSGN >LPERR08G00520.1 pep chromosome:Lperr_V1.4:8:378805:395627:-1 gene:LPERR08G00520 transcript:LPERR08G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMLLPMVSSSYYNTTLLLAIAKIDESAVAHKVVDLRGRNQMFRELIEANSLLLGGFNLEDFFPGLARLGVVRRLLCAKAHDVNKRWDKLLDKLIDDHASKRSSSSVVEQDDDEESDFIHHEYGLTRDNVKAILVIMFEGGTDTSYIELEYAMAELMRKPQLMAKLQAEVRSVVPRGQEIVTEEQLVNMPYLKAVIKETLQLHLVGPLLVPHLSIVDCVVEGYTIPLGTRVFVNAWALCIDPNFWENAEEFMPKRFLNGMAPDYKGNEFHFLPFGTGRRICPGINFATATIEIMLANLVYRFDWEIPADQVAKGGIDMTETFGLTVHRKEKVLLVPRIPQKLNSQSISIKIAVLIFVFRRKGKQGRTACNAEEFTPVRFLNDTAPDYKKNEFHFLPFGTGRRICPDINFAMATIEIMLRNLVYHFDWKIPADQVAKGDIDMAETFWLTVHREEKSSSLEHINNKLEEKKASSIHNLPPSPPKLPLIGHIHLVGTNPHISLSNLAKNHSGDGLLLLQLSTALLLALLCPLLLLLIKRHCWPKTSREMVLLDKLPSPPWRLPVIGHLHLVGTLPYVSFRDLAAKHGPHIMLLHLGAVPTLVVSSARAAMAVLRTNDHVFASRAYSAVTDILYYGSSDIVFSPYGDYWRQVKKIATTHLLTSNKVRSYAAARQHEACNGQDRRGSGGTKGSKFFREQGRNQMFRELIEANSLLLGGFNLEDFFPGLARLGVVRRLLCAKAHDANRRWDKLLDELVDDHAIKRSSSSVPVVEQDVDEESDFIHVLLSIQHEYGLTRDNVKAILMIMFEGGTDTSYIELEYAMAELMRKPQLMAKLQAEVRSVVPRGQEIVTEEQLVNMPYLKAVIKETLRLHLPGPLLVPHLSIADCVIEGYTIPSSTRVFINAWALCRDPSFCENAEEFMPKRFLSDMAPDYKGNDFHFLPFGTGRRICPGLNFAIATIEIMLANLVYRFDWEIPANQVAKGGIDMTEPFGITVHRKEKLLLLPTPPGRLPVIGHLHLIGSLPHISLRDLANKHGPDLMLLYLGAVPTLVVSSSRAAQAVLRSNDRVFASRPYSTVANILFYGATDVVFSPYNEHWRNIKKIATTHLLSMKKVRSYGLARQCEVQLVVARIAMVASTHAVVDLTELLSCYSNNILCHALSGKEEGQNQLFRELVEANSSLLGGFNIEDYFPSLTRLAAVRRLLCAKSHDINRRWDQLLEKLIDDHTNRHRFSSVLSHDDEEHDFKDVLLSIQHEYGLTRDHIKANLVVMFEAGTDTSFIELEYAMAELMKKPQVMAKLQAEVRSVVQAVIKETLRLHPAGPLLVPHLSMAACNVKGYIIPSSTRIVINAWALARDPIYWENADEFLPERFISNTIDYNRNDFHFLPFGSGRRICLGINFAMAAIEIMLANLVYRFNWEVLADQAMKGGIDMTEKFGVSVHRKEKLLLVPHLP >LPERR08G00530.1 pep chromosome:Lperr_V1.4:8:397384:401106:1 gene:LPERR08G00530 transcript:LPERR08G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMELNEATSSIIFFFLLPLALLLLLLHQFTRSTRSSQGDQTHSNNLLNKLPSPPWKLPVIGHMHLIGSLPYISLRDLAVNHGPDLMLIHLGAVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIILYGASDSCFAPYGDHFRNVRKMVTVHLLHAKKVQAYRPARQEEVSIVIDKLRKAAMSAATVDMSEILHSSNDLICRAVSGKFFRKEGRNRLFRELIDTNGALLGGFNLENYFPGLARMKLVSKIICARAMRVRSKWDELLDKLIDDHVANKLLLAHDREENEEHQDDSAFIDVLLSRQEEYGFTRDHIKAILLGMFEAGTDTSYLVLESAMVELIRNPDVLAKLQDEVRTLVRRGQDMVTEDDIIDMVYLKAVIKETLRIHPPAGLNIPHLTREDCEVNGYMIPTGTRVIINLWALNMHVDFWDKPDEFLPGRFMDVDNKRTIIDFKGKDFQFVPFGSGRRMCPGIHTATATIEIMLANLVYCFNWKLPAGLKKEDIDMTKVFGLTVHRKEKLF >LPERR08G00540.1 pep chromosome:Lperr_V1.4:8:403049:405009:1 gene:LPERR08G00540 transcript:LPERR08G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNPINNAQAAAIFFFLLLLLHFARSRKPQDHKNNLLSKLPSPPWKLPVMGHMHLVGSLRDLAVHHIWPRPDAPPPRRRADARRLLAEAVLRTHDLAFASRPRSMVTDIILYGAKDSCFAPYGDHFRKVRKVVTVHLLNSKKVLAYRPARQEEVRIVIDKLRKAAMSVVDMTEILHSFANDLICRAVSGKFFREEGRNKMFRELIDTNASLLGGFNLEDFFPGLARKKLINKMLCARAMRVRRRWDKLLDKLIDDHATRLARRQDDDDDDAEHQQDSDFIDILLYHQEEYGFTRDNIKAILVDMFEAGIDTSYLVLESAMVELIRKPHLLAKLQDEVRRAMPKGQDMITEDDLVDMAYLKAVIKETLRLHPPVPLYIPHLSREDCSIDGYMIPAGTRRRMCPGIHSATITLEIMLANLMYCFNWKLPLGMKKEDIDMIEVFGLAVHRKEKLLLVPQVA >LPERR08G00550.1 pep chromosome:Lperr_V1.4:8:408587:408808:-1 gene:LPERR08G00550 transcript:LPERR08G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTGQDGGEAKFGSSSSINCKTPPPCIKPSADGQGERSPLAGDCISPPPPPHSPCALPVAVAPQQRQPNPS >LPERR08G00560.1 pep chromosome:Lperr_V1.4:8:411935:415051:1 gene:LPERR08G00560 transcript:LPERR08G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEAKTAQAAAAAAISLLLLLMLLLQYFTRSTRSQIHTKLLNKLPSPPWKLTVIGHMHLIGSLPHVSLRDLAVKHGPDLMLIHLGAVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIILYGAKDSCFAPYGDHFRNTRKMITVHLLNSNKVKSSRPVREEEVRLVIAKLRDAAMSAVDVSELLRSFANDLICRLVSGKFIWEWEEGRNRLFHELIQANSAILGGFNLENYFPALARMKLVSNIICARAMRVRRRWDQLLDKLIDEHVASKLLLAHGDNKDDNDEQKVDSDFIHVLLSRQEEYGFTRDHIKAILIDMFEAGTDTSNLVLEYAMVELIRNPHVLAKLQEEVRRITPRGQEIVTEDDIADMVYMKAVIKETLRLHPPGGITIPHLAREDCNIDGYMIPAGTRVLINLWAISRHANYWDKPDEFLPERFMDGNTKSTDFKGQDLHFLPFGSGRRMCPGIHTAEVTLEIMLANLVYRFNWKLPYGMKKEDMDMTDVFGLAIHRREKLILVPQVA >LPERR08G00570.1 pep chromosome:Lperr_V1.4:8:442731:443083:1 gene:LPERR08G00570 transcript:LPERR08G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLRPREGGGMVPEQTFLQMHARLSGMLSDPPTPRTRLAHEYLYLASAVALFCLLVVMHTNFVQQEAG >LPERR08G00580.1 pep chromosome:Lperr_V1.4:8:444428:448107:1 gene:LPERR08G00580 transcript:LPERR08G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTCSTMKVTADAVDVASCTLRRTRRTTPRSNSGDLGGAAAQGGGSVFLGFTQRSGSTCGGGNVFGRGSRKHSWRSRARRVLSSCFAFFACILFHCHLDRRPCGWPGPRTAAARASQGNLETTVGERVEGQQRCCRRRRRSEQRHGDRGRESSST >LPERR08G00590.1 pep chromosome:Lperr_V1.4:8:448350:450504:1 gene:LPERR08G00590 transcript:LPERR08G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSLKIKASTCRRLVRELRSYEEEVEKEAAKTAGMGGSTVILSVLADTDDFRQTENVLAESRMVVPDCHNRHETALSDLKATLAELEESNEHGVEIGEAESTVTEVEAVFIPRED >LPERR08G00600.1 pep chromosome:Lperr_V1.4:8:451305:453091:1 gene:LPERR08G00600 transcript:LPERR08G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILCAPHLLAPLQGTFVEIGRQGNVAGGEDGAGKDLPTPKEICVGRTKPRRCYLWQALRRVQPVGATSVAGSGSESSRWGRGARPWAAEAAAVNFAAAAAGYLPEKATEDEEEARSGLA >LPERR08G00610.1 pep chromosome:Lperr_V1.4:8:472074:478783:1 gene:LPERR08G00610 transcript:LPERR08G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIDLFRTGRRGKKEDGNPDAVRESQRSRFASVDIVDEVIYLDELWRTRQFDLDNIRKELNATSRNTGKLKMVMRQVEQELNAKKELQKDFEEKLAANAESKLEGLHEEQSELSIRLNELNLSIEEYCSKMRNNELKVKELMESTNQIKERLVATEAEVRRFKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTWGERRMERNLKNHVDLCRELDIVAFEEGVDVAGGRGYFLKGYGVLLNQALINFGLAFLQNRGFKLLHTPFLMRKETMSKCAQLAQFDEELYKVTGDGEEKYLIATSEQPMCAYHLGDRIHPDELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMFEEMIKNSEDFYKEIGLAYQVVSIVSGALNDAASKKYDLEAWFPASNTYRELVSCSNCTDYQARRLGIVYGQKLDEQSNNKFVHMLNSTLTATERTLCCILENYQKEGGVEVPKVLRAYMGGIEFLPFKQPMDGKEAANKLKSGSKVASASGSKVPEI >LPERR08G00630.1 pep chromosome:Lperr_V1.4:8:493704:495359:1 gene:LPERR08G00630 transcript:LPERR08G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHTLYCSSSSLPLTFLLAAAAAAVFLLLQRYIFRRPETPRGHVPRLPPSPPRLPIIGHLHLIGFLPHINLRDLAAKHAAGDYMLLHLGAMPTLVVSSPRAAEAVLRTHDHAFASRPPYSVTDALFSGTPDIAMAVYGEQWRQSRKLLTAHMLTVKKVSSYRHGREDEVRNAIAKLRRSAASDAVVDMSELLYSFTTGIMYRAVAGEPSDDGRNMRIRELLDATVNLVGGFYPESFFPWLGVLRRVTCARAEKVKRRWDELFDAVIDDAGKPSAARRHDPEGFVRILLSLQHEHGLPREHIKGMLINVFFGGTDTSYMVLEFMMAELMSNPQAMNKLQVELRSGIPGKREAKEVVTEDDLSDMIYLRAVIKETLRLHPPAPLLEPHLSMEKLEIDGYIIPANISVIVNAWAIGRDETVWGKDAEEFKPERFIDSKVSFKGNDFELLPFSAGRRICPGVNFAVASLEIMLANLMYHFDWELPVGIESSGIDMTEVFSSTLHRKEKLLLVPKIRGNNPIVGQ >LPERR08G00640.1 pep chromosome:Lperr_V1.4:8:496444:497112:-1 gene:LPERR08G00640 transcript:LPERR08G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVVRQRPTTIGSSKQSGEDGVTGDRPPPAAATARPSVLSQALASTASLANLLPTGTVMAFQLLSPTFTNNGSCDAITWLLAAGLLAVLALSCAAACFTDSVKLSDGRVYYGLATPRGLWLLDYPPAGAGDGAATAPLPDMSRYRVRAIDVVHAAMSVAVFAAVAARDKNVVGCFWPAPEKETAEVLGIVPLGVGVICSLLFVVFPTTRHGIGYPVVTN >LPERR08G00650.1 pep chromosome:Lperr_V1.4:8:498248:498478:1 gene:LPERR08G00650 transcript:LPERR08G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGCRRFVFGADSSPDAHTTHERHRRRLERLRPSLDASSDRTGHDLPRILLLARAACPCQQLWLSLLLSVQLMS >LPERR08G00660.1 pep chromosome:Lperr_V1.4:8:501305:503618:1 gene:LPERR08G00660 transcript:LPERR08G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQQQQQLQDGNDDDDFTFPTPPPLFAAAATRHHHSPSSSPPVWLLSSPIRRSFSAADCAGASPWRNARLVLRRHHHGGACSPALTDFSAAGDEDVDEDEEEEEEERMDSLWEDLNDDDAIAGGKKAKGGDVFLSSLADVSRRHRRFSVGSGVEKRKSTAPAASNDDGGGAAATAALGASRSSRRGAPGLVAMLRSLKKMLVAHKAKSKVLHKSDEQSNASLTTQMY >LPERR08G00660.2 pep chromosome:Lperr_V1.4:8:501305:503620:1 gene:LPERR08G00660 transcript:LPERR08G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQQQQQLQDGNDDDDFTFPTPPPLFAAAATRHHHSPSSSPPVWLLSSPIRRSFSAADCAGASPWRNARLVLRRHHHGGACSPALTDFSAAGDEDVDEDEEEEEEERMDSLWEDLNDDDAIAGGKKAKGGDVFLSSLADVSRRHRRFSVGSGVEKRKSTAPAASNDDGGGAAATAALGASRSSRRGAPGLVAMLRSLKKMLVAHKAKSKVLHKSDEQSNANSGSIMHRQFRE >LPERR08G00670.1 pep chromosome:Lperr_V1.4:8:505345:517603:1 gene:LPERR08G00670 transcript:LPERR08G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVTASKGAMNHLLAKLATLMGDEYKKLKGVRKEIVFLNNELSAMNALLEELADRDELDPLSTDWRNHIREMAYDIEDCIDDFMRQVASNRKGTKGGFHQKIARRLNKLRARHQIANQIQDIKARVVEASKRRKRYMLNDDRVSSSHVKPIDPRLSALYKETASLVGIDGPKEKLTKWLMGDEQERKVVSVVGLGGLGKTTLVKEVYRDIGGKFDCKAFVSVTQRPDMTVLLNSIISQIGQLKSSHSCSVEDLIDSLREYLQHKRYFVVIDDLWDPLTWEILSCAFPQNKQRSRVVVTTRNVTVAVACCCLDDCIFNMKPLNLQDSRRLFLNRIFGSQEDCPSQFEEVSEEILKRCGGLPLAILTISSLLASNRTRLKEEWEYIRNSLGSQFATNPTLEGMRQILDLSYKHLPHHLRACLLYLGMYPEDYVFMKSDLVRQWVAEGFVSNLQGLSAMDVGESFFNELINRSMVQPEWTGYNGNVLSCRVHDMMLDLIIKRCREDNFACVTYNSHDTTGPDRCHKVRRLSLNFCGAKDGEIPGSIDSLKQVRSLVVFRKTVYIPPLSKFRFLRVLVLIFFVDCINFEEGQEWSQMTAAKVDLTSISQLFQLRYLRIQSQRQHLQSSTYYIKMPSQIRGLQHLETMIISWGSVNRIPSNIFHLPCLSYLKLPPHTRLPDEIGNAKSLCTLQYFDILNNSLENIEGLGELTDVLQFHQRMNLEVAGLLVSASMGVVKLTGFLGLEWNKYTGLEKNMQFLRGELSAMVAFLDTMAVDDDELNEQAKQWRNQVREMTYDIEDRFDDFVHHFHGDEAAAIHKIINTLKMLWKGRQIANEIYELKHRVFEEDHCRKRYRLDDFCKITSPTSNHVDVDPRIAALYEEAEGLVGIDGSMKELVQLLSMGVNTDQQRQHKVVSIVGFGGLGKTTLAKHLYEKVGDEFECKAFVSVSQRPDIAGLLGRIQSKLKKTSSSQACEDIIDSIRSHLSNKRYIIVVDDLWDEEAWSIIKCAFPENRKGSRVIVTTRLEDVAILASGNHQGVYKMRPLVEEDERRLFCKRVFASEDGCPSQYKEVSTEILKKCAGLPLAIITIASMLACQPARIIQEWENIRNSLGSPFCINPNLEGMRRILNLSYKNLPPHLRTCLMYLGKYPEDQLISREDVVRQWMAEEFVSDSRGQDKEDVANSYFNELVNRGLVQPDYIDNGGGGD >LPERR08G00670.2 pep chromosome:Lperr_V1.4:8:515939:526273:1 gene:LPERR08G00670 transcript:LPERR08G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMILDLILGKCTEENFLSIADNDEACKYMGRQHGYRRCKVRRLSLQFNTEELDCTILTDGGAIPACLASLRSLTIFGTKCTRGLVAIPLLLPFRYLRMLHVESDVQGNQLDLTVINQMIHLRYLKVSMGFSIESSGQTEIQLPSTICGLKHLETIEISRTKPVKLPSDIVGLTRLLNLWVPKGSRLSDGAVRSKSLRTLGNVYLMDVDMMALGELNNLRELTLYCDEAAVAENDIANSNLGAFGPSIEKLRRLTQFVIDTNYGRFDDNDRLGKLSTFPRSIKVLQLEGWRFARVPRWLNGALYSLQALWLDVLETSTDEVGVLGELPSLDYLELRVESECRNGIMFGTSKGSFPALEFLYLDLEAGGDAVSQLGFQAGVMPKLWSLSVFFYLCESGNGTTPVGLEHLSSLRKINVDVMINVDVMITPRDEDPEEMDVMDDNDEETDVMDEYFEEMYAMECAFRDAAQAHPNRPSFKFECIDDEGDVTGRSPELSLLHLVQQIGPLTPKRTTAPRSGTALLTGLPRLCACARELCCCLLPLRCRRRAVLDTKQGGIIGGSRARAVPLGGGGSRAGVLSPLALKVIQTLH >LPERR08G00670.3 pep chromosome:Lperr_V1.4:8:505283:509232:1 gene:LPERR08G00670 transcript:LPERR08G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVTASKGAMNHLLAKLATLMGDEYKKLKGVRKEIVFLNNELSAMNALLEELADRDELDPLSTDWRNHIREMAYDIEDCIDDFMRQVASNRKGTKGGFHQKIARRLNKLRARHQIANQIQDIKARVVEASKRRKRYMLNDDRVSSSHVKPIDPRLSALYKETASLVGIDGPKEKLTKWLMGDEQERKVVSVVGLGGLGKTTLVKEVYRDIGGKFDCKAFVSVTQRPDMTVLLNSIISQIGQLKSSHSCSVEDLIDSLREYLQHKRYFVVIDDLWDPLTWEILSCAFPQNKQRSRVVVTTRNVTVAVACCCLDDCIFNMKPLNLQDSRRLFLNRIFGSQEDCPSQFEEVSEEILKRCGGLPLAILTISSLLASNRTRLKEEWEYIRNSLGSQFATNPTLEGMRQILDLSYKHLPHHLRACLLYLGMYPEDYVFMKSDLVRQWVAEGFVSNLQGLSAMDVGESFFNELINRSMVQPEWTGYNGNVLSCRVHDMMLDLIIKRCREDNFACVTYNSHDTTGPDRCHKVRRLSLNFCGAKDGEIPGSIDSLKQVRSLVVFRKTVYIPPLSKFRFLRVLVLIFFVDCINFEEGQEWSQMTAAKVDLTSISQLFQLRYLRIQSQRQHLQSSTYYIKMPSQIRGLQHLETMIISWGSVNRIPSNIFHLPCLSYLKLPPHTRLPDEIGNAKSLCTLQYFDILNNSLENIEGLGELTDVLQFHQRMNLGWMARG >LPERR08G00680.1 pep chromosome:Lperr_V1.4:8:521236:523234:-1 gene:LPERR08G00680 transcript:LPERR08G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRPSSTNPLPLPFTACGGGGACSRDPRPIVAAVGRSVPPALRRRRQRQYVVGYPGRPDPASAARPRRMRRLGGAAPGYAQ >LPERR08G00690.1 pep chromosome:Lperr_V1.4:8:526315:529660:1 gene:LPERR08G00690 transcript:LPERR08G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSMGVISPLLAKLTTLMGDEYKKLKGVRKQISFLHAELTTMNAFLEKMALMDDDDGLDPLAKDWRSHVREMAYDMEDCIDDFMCHFYYYADDAGFIRKTARRLKTLRARYRISSQINDLKALVVEANERRMRYNLTDDYTKSGASSTFVPVDPRISGLYKDSASLVGIDGPIKELIDLLSVDGDVEQQRQRKVVSIVGFGGLGKTTLAKQVYDKIKGQFDFKAFISVSQRPDVTRLLYGIQSDLGIVTSSEPPEVQKLIDDLRVHLQHGRYIIVVDDLWDQAAWDIIGCAFPENDKGSRVIVTTRMNDVAWWTCGNHQYIYIMKPLNDEDSRRLFFKRVFGSEDGCPWQYGEVSSEILKKCGGLPLAIITISSLLASRPARIMQEWENIRNSLGTMLGAHPTLEGMRRILNLSYKNLPIQLRTCLLYLCKFPEDYVLERDNLVREWIAEGFVSMSCEQEAEYVGKSYFNDLINRGLIQPKHTNNCGEVESCTVHDMMLDLILRKCKEDNFIHIAHSCKDYADMTRLHGSSRNKVRRLSLHLNNVESDCTTLLVEGGGLPAVCLAQVRSVSMFGGPARGIPLFLPFKYIRVLYIKPHFQKDRFDLTCISQLLQLRSLMFDLSNGTVELPTRLCGLVHLDTLDINAHGAVNSLSDIGSLPCLSHLRLPVCTRLPNGIKKIKRTLQRLSIELPPYVDVKALGELTNLKELRFRFNREAVSSSGNFDALGTCIRKLNSLRILDMQFVLDRCFMHGSIYYDDNRLGSLSDFPRSIEILKLYGWCFSSVPRWMNAALCNLRILVLVVSEMSTDGVDLLGELPSLMDLNLSVKQSSSEESQMMFGYSTSSNSNGSTVRSRGSAFPSLQILSLFVGENAPSSLSFAKGVMPNLRDLTLHFNWHRSCMDGTPAGMDNLLTLQRLTVYMSINRKDRQLLEPDKEEAVKYAFGDIAREHPNRPSFQFKQVLLFSRLN >LPERR08G00700.1 pep chromosome:Lperr_V1.4:8:533395:533634:-1 gene:LPERR08G00700 transcript:LPERR08G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHSNYTQQGEERGEEEPSPDRPLRRIAPTATQHPVPTTRVEFTPLKRTPTWPQLQEHPHNISRRSN >LPERR08G00710.1 pep chromosome:Lperr_V1.4:8:533716:534012:-1 gene:LPERR08G00710 transcript:LPERR08G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANRIGAATNRENIPQSTTLPVQAEVRAPTTTTTKEQPHEAIFRYQAPARTLHKKATTWCAIHKTTEHSLKDCKIVQRVKACTEEYGRRDGRRVFAN >LPERR08G00720.1 pep chromosome:Lperr_V1.4:8:538144:539157:-1 gene:LPERR08G00720 transcript:LPERR08G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGVVGIYREAASILRAHRPLFARIAAAFVLPLSLLFLAHIAISHALFTHIDSDDSALDSAAPGTPTQRRLLHRLADDWLALLLFKAAYLLALLLLSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLIFAYHLLFLAVFVALLVAADSGSGLAALLAFLLAIAYLVGLVYLSVVWHLASVVSVLEDYKGFEAMRKSKALIQGKLWTASAIFFLLNFVFVVVEIAFRAWVVRGASHGIGAGGRLILGLAMLAALCGVVMVALVVQTVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >LPERR08G00730.1 pep chromosome:Lperr_V1.4:8:542276:546021:1 gene:LPERR08G00730 transcript:LPERR08G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQATAHQPSPCRAAAHPILPVAALLLLSSYLAITYLPTAAPILLIAGGGGGGDMISSCAGLYRGRGGGRAVAASVEEFGAVGDGVTSNTAAFRRAVATLEARAAGGGGGARLEVPAGRWLTGSFNLTSRFTLFLHHGALILASQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLNDVVITGNNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVNSTNIMISDITLRNSPFWTVHPVYCRNVVIRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGVSVAMPSSNIIIQRISGTTPTCSGVGFGSEMSGGISNVIVRDLHVWNSAQAVRIKSDVGRGGYITNITIENVRMEKVKVPIRFSRGADDHSDDMYDRSALPRISNVLIRNVVGVDLQRAPMLEAVHGAVYEGICFRNVSFTVIRRQDRWHCESVYGEAHDVFPAPCEEFRKNGSSSWCGPS >LPERR08G00740.1 pep chromosome:Lperr_V1.4:8:546796:552566:-1 gene:LPERR08G00740 transcript:LPERR08G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAAGDRDDDSPGDRGRPRAWRRRIRPLRARQQRIRPSAPPRGPRTQGAVRPPRGAVRAGGGEDAVAAGKASHSLFHGNLTALDGKSGRQLTPSVAIPVDSDASPGKNAQETAVSPLVNGEKSIFRDQVRGYTALGSPLRREIGNRHVSKDRDRPSLFLSPPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSVLSISGVIPTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMLTILKNVANVITASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLLETPLLRMPMFWIIITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFEVRTSMENSFSILFGRAFFITNIKVLYYE >LPERR08G00740.2 pep chromosome:Lperr_V1.4:8:546796:552566:-1 gene:LPERR08G00740 transcript:LPERR08G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAAGDRDDDSPGDRGRPRAWRRRIRPLRARQQRIRPSAPPRGPRTQGAVRPPRGAVRAGGGEDAVAAGKASHSLFHGNLTALDGKSGRQLTPSVAIPVDSDASPGKNAQETAVSPLVNGEKSIFRDQVSKDRDRPSLFLSPPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSVLSISGVIPTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMLTILKNVANVITASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLLETPLLRMPMFWIIITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFEVRTSMENSFSILFGRAFFITNIKVLYYE >LPERR08G00750.1 pep chromosome:Lperr_V1.4:8:553683:557231:1 gene:LPERR08G00750 transcript:LPERR08G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYTNVSKGVLAKSKDLLRAFATDDLTQICLEILDKGELQVSGKEREAQLSSQFHEVATIVMDKTINPETRRPYTITMIERLLHDVHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTASKSKFASLTEQIEEWNANVISKDESGSQPSVVCEIEPSILRSCEEKLKDVQGRVEVLSVSAHAEGGSSVDQYEKAEESQSVPTVVQTDPVTQIGEAMQKQSISSETGNLGQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLSPLSQEECLVEMELADSKRDLKDYDF >LPERR08G00760.1 pep chromosome:Lperr_V1.4:8:558474:561076:-1 gene:LPERR08G00760 transcript:LPERR08G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVGVSVGTLVLGTNGASRLIHTKQGRRRASESEDAHHLFDELLRRGSRASIYELNRSLNDVARERPAPQCVMCGFASGITAGLARNAASDDDGSSGPWTAISGLFRSWAGLNLNPDPFHPQGTTGEKKKKKKRPPLAKIWRATPTACSQLRTRMALAAKKKKSVVILLFFCAAASSLWFLHARISSSSSYGKIQSTWEDKDYEDSFRFEVNFHEGAGKYQAYFVLLWKHPNKDNVDARRRWAEALALASKPGIRRIKLWLSDKTQEPRSFQTPAFGVIRLGLNGCLMMGLKRVPLNKV >LPERR08G00760.2 pep chromosome:Lperr_V1.4:8:558474:561076:-1 gene:LPERR08G00760 transcript:LPERR08G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVGVSVGTLVLGTNGASRLIHTKQGRRRASESEDAHHLFDELLRRGSRASIYELNRSLNDVARERPAPQCVMCGFASGITAGLARNAASDDDGSSGPWTAISGLFRSWAGLNLNPDPFHPQGTTGEKKKKKKRPPLAKIWRATPTACSQLRTRMALAAKKKKSVVILLFFCAAASSLWFLHARISSSSSYGKIQSTWEDKDYEDSFRFEVNFHEGAGKYQAYFVLLWKHPNKDNVDARRRWYESFLPSTLTDSGEPRLLYCYSFHDVNGFLAFLTRAEALALASKPGIRRIKLWLSDKTQEPRSFQTPAFGVIRLGLNGCLMMGLKRVPLNKV >LPERR08G00770.1 pep chromosome:Lperr_V1.4:8:562552:563181:-1 gene:LPERR08G00770 transcript:LPERR08G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRIGVGTPNGASSESEDAHHLFDELLRRSSRASIYDLNRALTAVARDFPAVAISLFNRMVTGRYRRVARLDLAFATFGRVIRAGWRVKAITFNPTPDVFSYAILLKGLCDDNRTQLTLDLLCIMMADDHTAGGCPPNVVIGHWLFSIDNKCGHHQ >LPERR08G00780.1 pep chromosome:Lperr_V1.4:8:577798:578301:1 gene:LPERR08G00780 transcript:LPERR08G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVKKSVVVVVILLFSAASLWFLHARSSRSSYGKIQSTWDDKDYENYFRFYVNFLHSHRGYQAYFVLLWKHPNMDDKDARRRWYESFLPSTGLTDSGEPRLLHCYPFDVNGFISILTRAEALALASKPGIRRMYSTFWNEKYITSPASCGFETRARSLVRSRPAF >LPERR08G00790.1 pep chromosome:Lperr_V1.4:8:581347:581835:1 gene:LPERR08G00790 transcript:LPERR08G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSVGRILFLHRKLKNDKKFSQKTVLHCFVIEPLDTIESLADIAFGQSLADRQYLTKVQPDVKRDLLFSNDGHRANCVYPEDELPVRVPGWVVICQSGTMENLDKLLKNNASAIITVDAEKHGCTGVLVNMGADQQALLAVWPEFMPGGINSKQIPGYF >LPERR08G00800.1 pep chromosome:Lperr_V1.4:8:582358:585113:-1 gene:LPERR08G00800 transcript:LPERR08G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTINPETRRPYTITMIERLLHDVHFAVDPNLTSKEQALKVIKKLMEHFPIKRAALRMRFTAPKSKFAEQIEEWNANVISKDESGSQPSVVSLKSCFAQDIIVEPTML >LPERR08G00810.1 pep chromosome:Lperr_V1.4:8:594974:596909:1 gene:LPERR08G00810 transcript:LPERR08G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWGVEAITFTPLLKGLCDNKRTDDAMDIVLCRMPELGCTPDAFSYAILLKGLCDDNRTQQALDLLRIMMDDDHTTGGCPPDVVSYNIVINGLLRAGKTEEAIGIFKRMCRDGVEPNVVTYNTDYLCKNGRSTEARKIFDSLVKRGHKPDITTYSILLHGYATQGSHAEMHHLLDVMVRNGMQPNHHIINIIIGVYAKQGRIDEAMLAFSKMRQQGLNPNIVTYGTIMDGLCRVGRVDDAMSQYNSLISEGLTPNVIIFSTLIHGLCTCDKWDKVEELALEMENRGICPNTIFFTIIMGHLCKQGRITQALKTFDLMVRTGVTPNVITYNTLIDGYCLDGKMDEAINKLLEGMVSDGVKPDGVTYTTLVNGYCKKGRIEDALSLFKQMASKGVNPDIVTYRTILHGLFQARRTAAAKELYLWMIKSGIQFAIATYNIILHGLCQNNCTDDALLMFQNLCLTDFQVENKTFCIMIGASLKGGRHDEAKDMFASLLAKGLVPDAVTYLLLMQSFIDQGLLEQFDDLFLSMEKNGCTAGSRMLNALVRRLLQKGEVQKAGVYLSKIDQKNFSLEASTAELLIELVSCGKNSQQIKFIPEKYCPNVKPIAV >LPERR08G00830.1 pep chromosome:Lperr_V1.4:8:602933:605891:-1 gene:LPERR08G00830 transcript:LPERR08G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRLLHFAVDPNLTSKELNIHLFFSPPLALKVIKKLKERFPIKRAPLRSRLKSGMLINVISKDESGSQPSVVCEIEPSILRSCEEKLKDVQGRVEVLSVSAHAEGGSSVDQYEKAEESQSVPTVVQTDPVTQIGEAMQKQSISSETGNLGQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLSPLSQEECLA >LPERR08G00840.1 pep chromosome:Lperr_V1.4:8:605961:607462:-1 gene:LPERR08G00840 transcript:LPERR08G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIVWHRRARWGGEDDGDASPREVSAGADPGARGTVAVARRATTALTAALTRPAGKGQLGVVSSIVQGRPRRASVVVVVILLFFSAAASLWFLHARTHSQQQQELWQKKIQSTGWDDMTKDSFRFNVNFLHSPRGYQPYFVEDPVGLTNVAVVRLRFEIANCSSPTRTVYTNVSKSKAFATDDLTQIRSASSSRSPARSATPSSPPS >LPERR08G00850.1 pep chromosome:Lperr_V1.4:8:609008:609491:1 gene:LPERR08G00850 transcript:LPERR08G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKKKVSVVVVILLLFSAAAAAASLWFLHTRTRSSSRIYGIQKSTGWDDKGSTSTSTEKYQAYFVSHPNKDNVDARRRWYESFLPSTLTDSGEPRLLHCYSFHDVNGFLAILTRAEALALASKPGIRSMYFNQKYVVSSAATCGLKSQHQD >LPERR08G00870.1 pep chromosome:Lperr_V1.4:8:619480:621868:1 gene:LPERR08G00870 transcript:LPERR08G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRIGVSVGTLGLGTNGASHLIHTKQGRRRASESEDAHHLFDELLRRGSSASIYHLNRALSDVARDCPAVSISLFNRMVEVRAPDLCTYGIVIGCCRRVARLDLAFTTFGRVIKTGWRVKAITFNPLLKGLCDDKRAGEAMDIVLRRMPELGCTPNVFSYSILLKGLCDDNRTQQALDLLHIMMADDHPAEGCPPDMVSYNTVINGFLREGRVDNAFSLFDEMLDRGISPNVVTYSSIIAALCKAQAMAEATEVLTRMVKNGVLPDCVTYTSLVHGYCSSGKPEEAIGIFKKMCRHGVQPNVVTYNTLMDYLCKNGRSMEARKIFDSLVKRGHKPDITTYGILLHGYATEGSHVEMHHLLDVMVQNGVYAKHGKVDEAMLAFSKMKQQGLNPDIVTYGTIMDGLFRVGRVDDAMSQYSNLISEGLTPNVVIFSTLIHGLCTCDKWDKVEELASDMINRGICPNTIFFTTIMGHLCKQGRITQAQKIIDLMGLFQAGRTAAARELYLSMIESGIKLGIGTYNIILQGLCQNNCTNDALQMFQNLCLTDFQLETCTFNIMIVASLKGGRHDEAKDMFASLLAKGLVPDAVTYWLMMKSFIDQGLLEQFDDLFLSMEKNGCTAGSRTLNALVRKLLQKGEVQKAGVYLSKIDQKNFSLEASTAELLIELVSCGKNSPQIKFIPEKYCPNVKPMAV >LPERR08G00880.1 pep chromosome:Lperr_V1.4:8:629091:642795:1 gene:LPERR08G00880 transcript:LPERR08G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRSLLPAALLLLLLAISSDASSDVHHHAPAARRRGHDAANEQDYRREAHLEPADEAGYRTYIVMLKRPKGKDMMDADAYRAWHQSFLPSKTTSLGEPRLRRSYRTVIHGFSARLTKEKPASELESGYNQVRILCRSALMTTADTVDNLGQRIQDEQLHTANAYKMLAVVSLATKKLKFCVVPSMSCASSSSCSPFLIRPQTDIPIQWDTSLRECCKIKII >LPERR08G00880.2 pep chromosome:Lperr_V1.4:8:629142:642795:1 gene:LPERR08G00880 transcript:LPERR08G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQELPSPAPPLGKKKKRKSAAARSPPPPPDPLPPSVHIETEGRKRMALAAKKKKSVVVVVVILLFFSAASSLWFLHARSSRSSYGKIIQSTSWDDNFRFYVNFLHRRRGYQAYFVLLWKHPNMDDKDARRRWYESFLPSTLTDSGEPRLLYCYPFDVNGFLAVLTRAEALALASKPGIRRMSWTWNEKFTSSAASCGFDTRASSLKKKGMPSTSVVLSALAPSLCVMIRPGPVKHPLILLESPIFLSNAMALPTTGEVALLPSRLCASQSGLEAPQIGFAAVPTDLKLAAVDGCSDERCWKGDRVSRFPHTLCAPLLTLSCPSPLDLLPPSSSTTDSVLGWRCAEATVAESSPCQNLLSLPCQIASAVLPQVRLILPTTGRPASPSIPSPPPVSNQICSMASHRSLLPAALLLLLLAISSDASSDVHHHAPAARRRGHDAANEQDYRREAHLEPADEAGYRTYIVMLKRPKGKDMMDADAYRAWHQSFLPSKTTSLGEPRLRRSYRTVIHGFSARLTKEKPASELESGYNQVRILCRSALMTTADTVDNLGQRIQDEQLHTANAYKMLAVVSLATKKLKFCVVESLLSPVVVAEPLEKNGIGEYIRRAAELRHSSPALLSSQRPLRRGLKSTELSQDMPHSGVRL >LPERR08G00880.3 pep chromosome:Lperr_V1.4:8:629142:642824:1 gene:LPERR08G00880 transcript:LPERR08G00880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQELPSPAPPLGKKKKRKSAAARSPPPPPDPLPPSVHIETEGRKRMALAAKKKKSVVVVVVILLFFSAASSLWFLHARSSRSSYGKIIQSTSWDDNFRFYVNFLHRRRGYQAYFVLLWKHPNMDDKDARRRWYESFLPSTLTDSGEPRLLYCYPFDVNGFLAVLTRAEALALASKPGIRRMSWTWNEKFTSSAASCGFDTRASSLMWAAMGWNYPNSQVPIAFYEIARISIAEEKGHAFNVSCAVGIGSVALCDDPAWACQASVDSAGITDFPLQCNGLANHGGGCIAAKPPLRVAERVGSAADWIRSGANRSEIGGSGWVLRRADGDVLRPPLPNPHRAKICCRCRARSRLQCCHRFDLSYLPQAGRRHRRSRRHHHSMASHRSLLPAALLLLLLAISSDASSDVHHHAPAARRRGHDAANEQDYRREAHLEPADEAGYRTYIVMLKRPKGKDMMDADAYRAWHQSFLPSKTTSLGEPRLRRSYRTVIHGFSARLTKEKPASELESGYNQVRILCRSALMTTADTVDNLGQRIQDEQLHTANAYKMLAVVSLATKKLKFCVVPSMSCASSSSCSPFLIRPQTDIPIQWDTSLRECCKIKII >LPERR08G00880.4 pep chromosome:Lperr_V1.4:8:629142:642824:1 gene:LPERR08G00880 transcript:LPERR08G00880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQELPSPAPPLGKKKKRKSAAARSPPPPPDPLPPSVHIETEGRKRMALAAKKKKSVVVVVVILLFFSAASSLWFLHARSSRSSYGKIIQSTSWDDNFRFYVNFLHRRRGYQAYFVLLWKHPNMDDKDARRRWYESFLPSTLTDSGEPRLLYCYPFDVNGFLAVLTRAEALALASKPGIRRMSWTWNEKFTSSAASCGFDTRASSLKKKGMPSTSVVLSALAPSLCVMIRPGPVKHPLILLESPIFLSNAMALPTTGEVALLPSRLCASQSGLEAPQIGFAAVPTDLKLAAVDGCSDERCWKGDRVSRFPHTLCAPLLTLSCPSPLDLLPPSSSTTDSVLGWRCAEATVAESSPCQNLLSLPCQIASAVLPQVRLILPTTGRPASPSIPSPPPVSNQICSMASHRSLLPAALLLLLLAISSDASSDVHHHAPAARRRGHDAANEQDYRREAHLEPADEAGYRTYIVMLKRPKGKDMMDADAYRAWHQSFLPSKTTSLGEPRLRRSYRTVIHGFSARLTKEKPASELESGYNQVRILCRSALMTTADTVDNLGQRIQDEQLHTANAYKMLAVVSLATKKLKFCVVPSMSCASSSSCSPFLIRPQTDIPIQWDTSLREC >LPERR08G00880.5 pep chromosome:Lperr_V1.4:8:629142:637086:1 gene:LPERR08G00880 transcript:LPERR08G00880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQELPSPAPPLGKKKKRKSAAARSPPPPPDPLPPSVHIETEGRKRMALAAKKKKSVVVVVVILLFFSAASSLWFLHARSSRSSYGKIIQSTSWDDNFRFYVNFLHRRRGYQAYFVLLWKHPNMDDKDARRRWYESFLPSTLTDSGEPRLLYCYPFDVNGFLAVLTRAEALALASKPGIRRMSWTWNEKFTSSAASCGFDTRASSLKKKGMPSTSVVLSALAPSLCVMIRPGPVKHPLILLESPIFLSNAMALPTTGEVALLPSRLCASQSGLEAPQIGFAAVPTDLKLAAVDGCSDERCWKGDRVSRFPHTLCAPLLTLSCPSPLDLLPPSSSTTDSVLGWRCAEATVAESSPCQNLLSLPCQIASAVLPQVRLILPTTGRPASPSIPSPPPVSNQIWLN >LPERR08G00880.6 pep chromosome:Lperr_V1.4:8:629142:633695:1 gene:LPERR08G00880 transcript:LPERR08G00880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQELPSPAPPLGKKKKRKSAAARSPPPPPDPLPPSVHIETEGRKRMALAAKKKKSVVVVVVILLFFSAASSLWFLHARSSRSSYGKIIQSTSWDDNFRFYVNFLHRRRGYQAYFVLLWKHPNMDDKDARRRCPHQGRGSGVGQQARNSEDVLDLE >LPERR08G00880.7 pep chromosome:Lperr_V1.4:8:630544:637086:1 gene:LPERR08G00880 transcript:LPERR08G00880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNYPNSQVPIAFYEIARISIAEEKGHAFNVSCAVGIGSVALCDDPAWACQASVDSAGITDFPLQCNGLANHGGGCIAAKPPLRVAERVGSAADWIRSGANRSEIGGSGWVLRRAVLEGGDRVSRFPHTLCAPLLTLSCPSPLDLLPPSSSTTDSVLGWRCAEATVAESSPCQNLLSLPCQIASAVLPQVRLILPTTGRPASPSIPSPPPVSNQIWLN >LPERR08G00880.8 pep chromosome:Lperr_V1.4:8:629034:637088:1 gene:LPERR08G00880 transcript:LPERR08G00880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSWASLNPDRIGFGPQPFHPCRRRRSFPPLRRRSGKKRKEKAPPLDRRLRHLTLCRRPSTSPRDPLQQPQARSGGRRQEEKGHAFNVSCAVGIGSVALCDDPAWACQASVDSAGITDFPLQCNGLANHGGGCIAAKPPLRVAERVGSAADWIRSGANRSEIGGSGWVLRRAVLEGGDRVSRFPHTLCAPLLTLSCPSPLDLLPPSSSTTDSVLGWRCAEATVAESSPCQNLLSLPCQIASAVLPQVRLILPTTGRPASPSIPSPPPVSNQIWLN >LPERR08G00880.9 pep chromosome:Lperr_V1.4:8:637115:642795:1 gene:LPERR08G00880 transcript:LPERR08G00880.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRSLLPAALLLLLLAISSDASSDVHHHAPAARRRGHDAANEQDYRREAHLEPADEAGYRTYIVMLKRPKGKDMMDADAYRAWHQSFLPSKTTSLGEPRLRRSYRTVIHGFSARLTKEKPASELESGYNQVRILCRSALMTTADTVDNLGQRIQDEQLHTANAYKMLAVVSLATKKLKFCVVESLLSPVVVAEPLEKNGIGEYIRRAAELRHSSPALLSSQRPLRRGLKSTELSQDMPHSGVRL >LPERR08G00890.1 pep chromosome:Lperr_V1.4:8:638890:640892:-1 gene:LPERR08G00890 transcript:LPERR08G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESNHLQSSPQGPLRRQESRRRMPELGCTPDVFSYAILLKGLCDDNRTQQALDLLRIMMADDHTAGGCPPDVVSYSTISNGFFREGQVDKAFYLFDEMLDRGISPDVVTYNSIIAALCKAQAMAKAIEVLTRMVKNGVLPNCVTYNSLVHGYCSSGNPEEAVGIFKKMCRDGVQPNAVTYTALMDYLCKNGRSMEAKKIFDSMVKRGHKPNITTYGTLLHGYATEGSHVEMHSLLDVMVEEVAFEMIDRGICPNTIFFNTILGHLCKEGQITQAQKIIDLMVRTGVNPDVITYSTLMDGYCLDGKMDEAMKLLEGMVSDGVKPNAVTYNTMVNGYCKNGRIEDALTLFKQMASKGVNPDIVTYRTILHGLFQARRTAAAKELYLWMIKSGIQFAIATYNIILHGLCQNNCTDDALLMFQNLCLTDFQLETSTFNIMIGASLKGGRHDEAKDMFASLLAKGLVPDDMTYWLMMKSFIDQGLLEEFNDLFLSMEKNGCTASSRMLNALVRRLLQKGEVQKAGVYLSKIDQKNFSLEASTAEVLIELVSCGKNSQQIKFISEKYRPTARSRAD >LPERR08G00900.1 pep chromosome:Lperr_V1.4:8:644890:649734:1 gene:LPERR08G00900 transcript:LPERR08G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKDHRGAAVSRSKRMGSARSGRGGGGGAGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVTNAKPAPIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSRPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPQKISKAVFLTATMVKDGQRPFDVFSEELASADVFLQESQFLLYGNGKDKPPTGLMFDKQQIKGLYFNQSPSKDTVLASVSMRPIPLAPIMEKLSLTPENYGTVRRYFIQTLDDHMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTALLPGKAKTEATEVIEATEAKTEEEKTEKSS >LPERR08G00910.1 pep chromosome:Lperr_V1.4:8:650105:654850:1 gene:LPERR08G00910 transcript:LPERR08G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKDQLREVRKIIKEKKDDPGISEYLDMEKELQEVITLTEELLATANQSGSAQNDGISPPNYSGGLQSEAFDDPSQSNEKFAVGTKVQAVWSEDGEWYNATIEDVTENGYYVSYEGWGNKEEVDPANVKPLEEEAADTLRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKDGSSDALDEE >LPERR08G00920.1 pep chromosome:Lperr_V1.4:8:665614:668190:-1 gene:LPERR08G00920 transcript:LPERR08G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHVPVTSSSSFFLLAVAAVFLLLLGGCLAARQFSTVAISNTDNSTLICALVRPNAGDVGAKLQCTSFPGGEVVSYPSADIPYNAIAAGEDFLCGLMAPTGGHAAMRWWSFSEESAANRSRPVGRRLYWGPSLRALSAGGRHVCGLSDDHDPTCWEWPSLTLPKGLDFSRIALGRDFLCGVVKGSGDGKLSCYGGGIAAPTIAAGDGAAIKAVAAGHRHACAVDGEGGLSCWGDGFPAGMNAGELPSEMKAMALGRNTTCILAGDDTVRCWGVEVPEVYRRASFVSIEADGDVVCGVLTTNFAVVCWGGRFFSGAGAVVYNDTMPGACSTKGNCSSACGFIPGSGPMCGNGGGEGGEELVVCQPCKLMLNASRLSIGNGISKNAATPVTSSRNKKKKAVEIAVAAVAIAVAALVLSGLVFHLAVVKRRQRRHVKTHAMTIQLGESSSLRFCRDMEAAAAVMPAPEESSPAARPLGCEEFTAKELSRLTNGFSEERKIGSGSFGSVYRAKLPDGRDVAIKRAEKSPNSGVSRRRGRRRRYDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILIFEFMPHGALHDHLHAGAGDVGAYSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDGEWTAKVSDFGLSLPSSSGTASGTASNTSSTTAGTVGYIDPEYYRLQELTQRSDVYSFGVVLLELVTGRKAIHRDGGDGGDGEGSPRNVIEFAVPAVEAGNIVKILDGRVPPPRGHETEAVSRVAKIAAECVRLRGRARPVMSEVVAELEWAVTLCEESVLRRSGHQHSSRHGGSDLSRSDSDDRSPFQSREFGAGVGSGRPLAGHGRSNSAM >LPERR08G00930.1 pep chromosome:Lperr_V1.4:8:670958:673437:1 gene:LPERR08G00930 transcript:LPERR08G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSLEEKINENEEETEEEDSDDWINDGLGAKLGNGGLILVLFVFETPTGFALFTYDGIKLLRPDAIKDVWGEFVMDFMLEQHPVWLKAFETFEDKAGALSLHTGVNSKLADMIMGCICPDQTLAVEKPQYATIIQTNLCLHNAEVMELMWGLNNLKEHLMPDGKSEPSKDYGLPMCEGMKFVLIKYRRSNAKPDMVNRRMIEITGLLYECDYAVRKQAKRLRGAGKHLKRISGINCEDWDIMKLATAIMMLCYPNGEYKLAGNLPKLFGDDYSKLVEDAPKYKFIFMKWSCLRGYSEMLRARKHRSSALRNLNYVVREAAEAYEAAEQAKICMASQE >LPERR08G00940.1 pep chromosome:Lperr_V1.4:8:674189:679019:-1 gene:LPERR08G00940 transcript:LPERR08G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKVGKKSKSKTSTMDASPKSPSSPSTGDASPSSPSTDENGISPAHQADSAPPPPPEPTPPEPQKPAAPPANPADVQDAKPKVYSRVRLKFKSAKVSETHQNSSEAKPPADASGVKPASAAPEASKQVVVEKTTVSPDGQKDVQASEPSGSDKDKVARKVASIKIKSAGSSSVQQDKNHDTKADSVGEPLPSKQETVLENGESQTALEPRSSQELDVKQVTPERQRDDNELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGGNDNTGDEDTKGNSKAKSKQKQRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENETAEMNTSISDQQIMEIDLGITNAGSHDTTSSQELPTDMYKRTAVADDSRIQIENRVKFYNNQSLPPDYEDEGSRQYFEEKEEVDYTDLTSQEENTSSQPNDISEVAQHQHKEPKETSQEIEMEDYPMQQENHSFLQLCARLFPSNHTCVFRASHSLFRQQKRQVSVKESPLHAAITAIMKR >LPERR08G00940.2 pep chromosome:Lperr_V1.4:8:674191:678990:-1 gene:LPERR08G00940 transcript:LPERR08G00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKVGKKSKSKTSTMDASPKSPSSPSTGDASPSSPSTDENGISPAHQADSAPPPPPEPTPPEPQKPAAPPANPADVQDAKPKVYSRVRLKFKSAKVSETHQNSSEAKPPADASGVKPASAAPEASKQVVVEKTTVSPDGQKDVQASEPSGSDKDKVARKVASIKIKSAGSSSVQQDKNHDTKADSVGEPLPSKQETVLENGESQTALEPRSSQELDVKQVTPERQRDDNELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGGNDNTGDEDTKGNSKAKSKQKQRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENETAEMNTSISDQQIMEIDLGITNAGSHDTTSSQELPTDMYKRTAVADDSRIQIENRVKFYNNQSLPPDYEDEGSRQYFEEKEEVDYTDLTSQEENTSSQPNDISEVAQHQHKEPKETSQEIEMEDYPMQQENHSFLQLCARLFPSNHTCVFRASHSLFRQQKRQVSVKESPLHAAITAIMKR >LPERR08G00950.1 pep chromosome:Lperr_V1.4:8:687445:691041:-1 gene:LPERR08G00950 transcript:LPERR08G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGMDNLSLEEKRMVEEYEDEEDQDTALAAKLGNGGLILVLFETPTGFALFTYDGIKLLRPDAITGIKCLHNAEVMELMWGLNNLKEHLVPDGKSEPSKDYGLPMCEGMKYVLDKYGRGNVKPELVNSPMIEVTGLVYECDYVVRKHGKRLCCAVKHLKKLSGINCENWDLMKLATAVMLLCFPNGEYKLPSNIPKLFGDDYSKLVEDALKYKFIFMKWSCFRAYSEMLRARKARENRTAAPSQPVGWPLVRAFRKNLSTPKPADAEDLMNKVKLCSEEGNVCRDATQERSPSTMFVKVNLEGYAVGRKIDLEAHRNYDSLSQARQSCSFLQ >LPERR08G00960.1 pep chromosome:Lperr_V1.4:8:693470:694934:-1 gene:LPERR08G00960 transcript:LPERR08G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVAARLKQEKQDDCKFHEGDLNSLELRLGISSDNGQTGGATSPWLGAGVHPWSSAARQGKADFEQVHQRPNECAVQRENRPAATSQPVGWPPVCSFRKNLSTPKPADAEDLMSKVKLCSDEGHGFGDANQERSPSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSGHL >LPERR08G00970.1 pep chromosome:Lperr_V1.4:8:695210:697322:-1 gene:LPERR08G00970 transcript:LPERR08G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLDEWFLVGQDTPERDQMNILSYFTKVSCGQAEKKAKNKEKQSKLTFRKRLKWTEVSTGAPIATTFPTRHKIKIFH >LPERR08G00980.1 pep chromosome:Lperr_V1.4:8:700100:704599:1 gene:LPERR08G00980 transcript:LPERR08G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMAAAAAAAASLSPPPLSAERPIARGGIFFPGSPASSRSLRLQSTGRGGLSQATRKPRSLPRAAKAVVAVKADPLKVMIAGAPASGKGTQCELIKTKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLGIRPDIFILLDVPDEILVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDRQLTSSLDKKTEMVASS >LPERR08G00990.1 pep chromosome:Lperr_V1.4:8:703912:713016:-1 gene:LPERR08G00990 transcript:LPERR08G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEAEADDPTAADTLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLHRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGLKEMPYENLFVWNTFLTEPVRSRCRNTLWTLALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRFLKRGVNDHGKVANDVETEQIVLEEEAGSWKGRMSAIVQMRGSIPLFWSQEAGRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEILDLTGFYYSGKPKVQKKRSTQLSRTSTARDGSIDVRASSGDLSRLSSNADTLGSTASQDGRKDDSKQELPGYAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGVTDVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDTDYYLHVTTSADDLTSDSYHMTSSTGNSAAGEAGVALSPRATLSPVPACKEDFSRMKLTSFDKLIQRTCSLIRNVRLHCDADLKQSGTVGTSGMAPDAAYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPFEHDSENERHYAEALSLDIDITDDSRVEAEMQDALDDYQIIGSDLSIIPSCGLLAEDPSQLTRLHRHKPCKITQPVFLSILLGANRHCWSLGGKPPFHSMSISSASRRAGGLLRRIVGGALRRPFSSEPDAAASAAAGYHVAGGPSFMRGAVFWEPGRPLTVEEFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLESARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAAANVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGVFNLKNTISRKCKFEEANSAYEDLDHGKIIGRAVVEIMS >LPERR08G01000.1 pep chromosome:Lperr_V1.4:8:714633:718293:-1 gene:LPERR08G01000 transcript:LPERR08G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKVFLLLDATQTSLGGAKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQVPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDALLSVHPTTTIASTLKEEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSHTDKKLGPLNIRSPDPEVKPEAVLIPPPPAKHAERERFFREKSMDANLPDHLRGVSLHSRDGSSSCSGSTDYGD >LPERR08G01010.1 pep chromosome:Lperr_V1.4:8:720566:723895:-1 gene:LPERR08G01010 transcript:LPERR08G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTSPPTANPSRSAPPPPLLSPSFLRKPLRAAAAAASACGPLPFPSEDSEESSCVVLFDWWLERVEGDDLKVRVGGHTERNGKPHVFTSAPIVKRHKACVVQTEDNIVVLINGPLDLEQMKENGYTPEVCEDFMIGFPYFWESCDLGSQPSCSNTSNSRDGSIQFYLQESLGNFVDKVGSSFLANLLNKGRSFSGNDADSFEKRSYLSNETPIFEEYTCGPGISAKEKTTAFEEGNKVSPAVCNNVCNEKSGLIAKSNSQEREHDNIDLNASLTSIEEFTTDKTSKETGSQNEFIHPEAEVQEAGRHLFNSDLICDRSTDNMPCEMGDGSANAGSSVGQGSKEFLTTVPLERANLSSDDCSPLTHAKAKSLSVSTPESLKLRRTRSGRVVVPPLIPGEQDRDSCKEEEGSLSIY >LPERR08G01020.1 pep chromosome:Lperr_V1.4:8:725429:728047:1 gene:LPERR08G01020 transcript:LPERR08G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRREEMEKGKYELRIAMEELSLPCPGNEEDQQQRQKRSSTMDFLCVSKHLIHVLDEIGPTLLVLRQDIQQNVQRLHDVLARDPSKYASLAAIVTEEVEEGTSKKANSCTRAILWLSRSINFSKHLLEGLLNNHDQSNLEEIVEKAYVATLKPWHGWIASAAYRVAQKLIPDREIFIALLMGNCQEFKDFAGDVKVLLSIVQPLLEEANAILVKHNLDKLKST >LPERR08G01030.1 pep chromosome:Lperr_V1.4:8:741502:742389:1 gene:LPERR08G01030 transcript:LPERR08G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMAHNNSSSSSSWDLDMSLGSHHHPLLFDHPPPPPPPPPPPLPFDLHHHPLDPSPSSLFPHHHLHPLDLDHHHHRTRFVIEEHHQHQQEEEEIQHHQQHQEEAGDQEQQQQVGDQEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHPNPPPAAAAPAGPSSSAAVVGPPGRPFLPMAGPMIDWVGLTRPMDIHGPTSSSSSSSMGGALGFGFGGGGGGGGGQSSHHGMH >LPERR08G01040.1 pep chromosome:Lperr_V1.4:8:757282:757644:1 gene:LPERR08G01040 transcript:LPERR08G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLSGHVHVHKSTASDPDGEKATILSVDEELKFQEVAGEASSAAGAGGHAPWSWHRRQEGRAEKAEAINVKEHIHVDEKIVQGPQGKKIEILSEDEDISFEEAGKKENSDERSKTRIKT >LPERR08G01050.1 pep chromosome:Lperr_V1.4:8:758155:764084:-1 gene:LPERR08G01050 transcript:LPERR08G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHEQQPVLTLDFHPVSGRLATGGSDHDIKIWVITSDDSDKKLPTATYHSSLSSHSSAVNILRFSPSGENLASGADGGGIVIWKLHSTDDGEAWKVHKTLLFHHKDVLDLQWSQDGSFLVSASVDNSCIVWDAIKGSVQQKLEGHLHYVQGVAWDPMGQYIASLSSDRTCRVYANKPQSRSKNTDRMNFVCQHTLVKAEHQNHDESKPPVRTHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEAINTAYIMSRRDLSRPAVQLPGASKAIVAVRFCPILFRLRGSQSDGFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKYLAVSSRDCFCTIIEFENEELGQPYNPSGTKKLAERNTNCENTTPLNVDSMEVDAGANKAKIQTYSAAVEVTPPPVLAQNSILMTEGVAEGNVTCENDKPLAVDNMEVDVGASKAKMEATPVAVQVTAPPVSTKNSASSKPAKKRITPIAIN >LPERR08G01060.1 pep chromosome:Lperr_V1.4:8:768152:768823:1 gene:LPERR08G01060 transcript:LPERR08G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLILVAFAMPTTVVCSRSSPSSKHGHGGGSSGGHGKRSPPPAPAQVVPTAAALVKSTCNTTAYYDVCVSALAADPSSTTADIRGLSSIAVSAAAANASGAAQAAAALANASATSPPPSLAAADATLQSLLRTCAAKYGDARDALAAAKESIGQGDYEMATVHVSAAAEYPQVCKALFRRQRPADYPPEIAAREEALNKLCSVALDIIALLNSSSSPSSP >LPERR08G01070.1 pep chromosome:Lperr_V1.4:8:770910:773962:-1 gene:LPERR08G01070 transcript:LPERR08G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGGENGGGGEEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKKDTMIRKEVFVSPSVLREVTRIIHQSEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >LPERR08G01080.1 pep chromosome:Lperr_V1.4:8:774421:779443:1 gene:LPERR08G01080 transcript:LPERR08G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGVRGGGRALGRGGETRSKSKKQGGERKATKSGDAHQVFDSMLQQEGGRSSIYHMNRVLSDISRDSPTVAISLFNRIHRAGGVKKAAPDLWTYGIVIGCCRRVGRLDLAFATFGRVIRTGLRMSPILFSPLLKGLCDCKRTNDAMDIVLRRMPELGCKPDVFSYTILLKGLCDDKASQQALDLLQIMADRGGGCPPDVVSYTTVINGLLREGLLDKAYSLFDAMLGRGTKPDVVTYSSIINALSKSEAMDKATQVFTRMIKNGVKPDCITYTSLVHGYCSSGMPKEAIRIFKKMCRDGVEPDVVTYTSLMDYLCKDGKSTEARKIFDSMVKRGHKPDSTTYGTLLHGYATEGALVEMHDLLDLMIQKGMQPNHHIINMIIGTYAKHEKVDEALLVFSKMRQQGLNPDIVNYGTVIDILCTVGRVDDAMSQFNRLKSEGLAPNIVVFTTLIHGLCTSDKWDKVEELAFEMIDQGICLDTIFFNAIIGSLCKNGRVMEAKTLFDLMIRIGIEPSVVTYNTLIDGYCLDGKMDEAMKLLEENVVKQGLLDELDDLWRRLVELSYLTKGRTQQALDLLCIMMADDHTDGGCPPDVVSYSTIINGFLREGQVDKAYCLFDEMLDQGISPDVVTYTSIIAALCKAQAMAKATEVLTRMVKNGVLPDCVTYTSLVHGYCSSGKSEEAIGIFKRMCRDGVQPNVVTYSTLMGYLCKNGRSMEAGKIFDSMPDHHVFSILIGTYAKQGRVDEAMLAFRKMKQQELNPNIVTYGTIMDGLCRNLCSTDIQLEIRTFNILIGALLKDGRQGEAKDLFASLLANDLVPDIVTYSLMMKSFVEQGLLEELDDLFLLMEKNGCTADCRMLNALVRKLLQKGEVQKAGVYLSKIDQKNFPLEASTAELLVMLVSDGRYNQHIRFIPEKYLPVVKFGAV >LPERR08G01090.1 pep chromosome:Lperr_V1.4:8:786130:788422:1 gene:LPERR08G01090 transcript:LPERR08G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGVRIQVSVSTSSRSNHTKQGRRRASESEDAHHLFDELLRRGSIYHLNRDLNDVARDCPAVAISLFNRMVEVRAPDLCTYGIVIGCCRRVARLDLAFATFGRVIRTGWRVKAITFNSLLKGLCDDKRSGEAMDIALRRMPELGCTPNVFSYTILLKGLCDDNRTQQALDLLRIMMADDHTDGGCPPDVVSYGTVINGFLREAMDKAIEVLTRMVKNGVLPDCVTYNSLVHGYCSSGKPEEAIRIFKRMCRDCVQPNVVTYNTLMGYLCKNGRSAEAKKIFDSLVKRGHKPNITTYRILLHGYATHGCIVEMRHLLDAMVRNGLEPDAIAYNTLIDGYCLDGKLSEAEKLLEGMVSDGVKPDCFTYNTMVNGYCKNGRIEDALALFKQMASKGVNPNIVTYSTILHGLFQAGRTAAARELYLWMIKSGIQFDIAIYNIILHGLCQNNSTDDALRMFQNLCSTDFQVESRTFCIIIGALLNGGRHNEAMDLFSSLLSKGIVLDVVTYLLMMKSFIDQGLLEQFDNLFLSMEKNDCTADSRMLNALVRKLLQKEEVQKAGVYLSKIYQKNFPLEASTAELLVVLVSDGKYNQHIKFIPEKYLPVVKSGAV >LPERR08G01100.1 pep chromosome:Lperr_V1.4:8:789953:790780:-1 gene:LPERR08G01100 transcript:LPERR08G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAEEDERMSSLADNTVYCCIAKGKKIIYCYNSKDGDPHMETTAELCLENAPLYHRHYVHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVRDVFRTVNRNGFHDSLVPAVQRLVASLEKMPHAAFVLEESVEKGEPSSDGSSCTSSKVPLLGRSGSRKDKKKAKEKALSSEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQHSSRSLWVRHVKIIIIVDAIICVLLFAAWLAVCKGFQCVSG >LPERR08G01110.1 pep chromosome:Lperr_V1.4:8:798576:800504:-1 gene:LPERR08G01110 transcript:LPERR08G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKDLKSRGGVAGGGVAGDEEADYYPPTPRKDAWWWTTGLLAKLVSVAVIFMAGVVIGLSASANVSRYYYNSHTELFFPATTYGDASPAAAFKSFVHPPHLLHTMSDRELFWRATLVPVADEFPFQRVPKVAFLFMTRGPLPFAPLWDRFFKGHEGLYSVYVHTLPDYHLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLMANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPTQWRKGSEWFELNRELAVQIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAANISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTVLEF >LPERR08G01120.1 pep chromosome:Lperr_V1.4:8:803700:809022:1 gene:LPERR08G01120 transcript:LPERR08G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKNTGLMEDFSPRTLLNSILRISVLTSDGSTAKPKPIQKYCQNVCDISSIVSPLIEDLCDTPEEQLNEVLRELGTAVNEASGLIGNWHQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDMNYEHMFDLVKEASMELAETDTASPERLSRLSSSLSLSTNLELYMEAVSLENLRARAIRSENREREELDLAEKMIPLVGYMHDRLLRDTQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIKLPDPMKSLKLNFPSAASALQDLSTIGSSPLHPTVVSKGSIPGSPEADLYMRNLNRASPPHSVINQNSHVHVNRASHEASAKQSSEKANGSAPDVSRLSLAGSETRESSLEERNAGSMGQTSEQSTEEAFQASNLDRDSQDHVGSSSVNGSLPNSGQLDAECDNGPSDRTNYSSDASGEVTDTGPSASSAPQREHLIPSRLADVRSRGQFVRRPSSERGFPRIISSSSMDTRSEHSAIESQVRKLVDDLRSDSVDVQRSATSEIRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKIQEHAVTALLNLSINDNNKIAIANAEAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSSRR >LPERR08G01130.1 pep chromosome:Lperr_V1.4:8:808630:809672:-1 gene:LPERR08G01130 transcript:LPERR08G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSPELVVDAYLHTLQLCKEHEEQANDVMEPRSMEYIAALAAGNHARLLLHIAAGDGDGDASTAVAFAIAAARTGGPLAIVRDDPRSLDAVRGHLRRLGLESSSAEFHLLIGRPLESVLRRLRRVDFAVVDAKLDRCGEVMRAIDVDPNGAIVVVTNVFVEQQEDQTTTSSGHGGESRVRVRCSYGQVVGKKGKSKSMVLPIGRHGMEVTKIGGAHMQRHKKLVSTPKRTFLVCE >LPERR08G01140.1 pep chromosome:Lperr_V1.4:8:810234:820241:-1 gene:LPERR08G01140 transcript:LPERR08G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDEIPASVASTNHQSVPVDGGGGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGDLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGSTIKLKRGFSSSGSTKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYAHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEGQIAKLSDTITAHDSGIKEQDTSSTSGRSCADNVNDVVDEGSSRTDALCTEDTILPTHVLENSPKVATDALSTAVDGEQIEIVNQVVDHKMVCSEEGVTSSVIAKDADNSSILRSAEDVLDKDTLISSLREEVECLKARLALLEQQNDLVTETSVSHQGKSASHAVKSSGKQRSGQASTSESYTPHIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYLSKRPVIVPYHRGGKRIHVGMQEFTEDIAVRSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSDKEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERIPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKSPPNRTLLNNFNGEKVHNKSMKDGWGRQPSRRNYSQPH >LPERR08G01140.2 pep chromosome:Lperr_V1.4:8:811134:820241:-1 gene:LPERR08G01140 transcript:LPERR08G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDEIPASVASTNHQSVPVDGGGGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVDLMRRHCFPVYWNGENRRVLRGHWFARKGDLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGSTIKLKRGFSSSGSTKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTTNLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSIYAHSLGSVLSYDILCHQESFSAPFPADYMNMEVSSDEGQIAKLSDTITAHDSGIKEQDTSSTSGRSCADNVNDVVDEGSSRTDALCTEDTILPTHVLENSPKVATDALSTAVDGEQIEIVNQVVDHKMVCSEEGVTSSVIAKDADNSSILRSAEDVLDKDTLISSLREEVECLKARLALLEQQNDLVTETSVSHQGKSASHAVKSSGKQRSGQASTSESYTPHIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYLSKRPVIVPYHRGGKRIHVGMQEFTEDIAVRSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSDKEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERIPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKPDNDSSCESS >LPERR08G01150.1 pep chromosome:Lperr_V1.4:8:822045:828994:1 gene:LPERR08G01150 transcript:LPERR08G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADATPPPPPAAEVVAPSEEEQQPSAVVDASAAPAVEDAAEPAATTEGEGEHKRKLDEVEAGADANGGGGEDAKRPRVEGDGDAEGVVQSNESSAKVDEPSAAENTDAAPTEGSADAENGKIAPAGEKPQEPAAVAEAPQQEGGGAPGQETSRMIEVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDIEVAENASTRSVELVGTLESIDRAEQLIKSVIAEADAGGSPALIARGFGSGQSGSEQFEMLVHDNKVGLIIGKGGETIKSLQTRSGARIQLIPQHPPEGVTLTERTVRVTGNKKQIEAAKELIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGGASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGAMGWDQRQGPPPHGFHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGQGYGDPRYNAPGPNQQYYGQPPAGPPQGYPQQDPYARPYGGPGQWPPRGAPAGDGAYQAPPPTSYGPPSQQPPAYAQTYGPTTGPDGYSQQSYPQQGAQAPAAYGQSAPAGPGYAQQGTQQGGYAQYPSQPAYGDQAAQNNASYGYQGAPADPNYGSAYPQSGYGSAPASGQAGYAAAPAAGQPGYGQAGYTQPPTNPPTYDQSAQPPAQSGYTAPPANPQPAAKGVSPQPAGYGGQWTA >LPERR08G01160.1 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIVPLMIKMVGLNLKDNAKGLAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSVSALHFAFLTSNAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTTADVTLLFTWANSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.2 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIVPLMIKMVGLNLKDNAKGLAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTTADVTLLFTWANSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.3 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSVSALHFAFLTSNAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTTADVTLLFTWANSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLGDDMILTICFLNARKKHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.4 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIVPLMIKMVGLNLKDNAKGLAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSVSALHFAFLTSNAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTNSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.5 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSVSALHFAFLTSNAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTTADVTLLFTWANSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.6 pep chromosome:Lperr_V1.4:8:827813:834276:-1 gene:LPERR08G01160 transcript:LPERR08G01160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIVPLMIKMVGLNLKDNAKGLAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSVSALHFAFLTSNAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTTADVTLLFTWANSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01160.7 pep chromosome:Lperr_V1.4:8:827813:834290:-1 gene:LPERR08G01160 transcript:LPERR08G01160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEQTKVHSYMDCAQPPAISWERRFDDEGKKVAMFSMTLNDMMAIVPLMIKMVGLNLKDNAKGLAPVYDPFKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPRIYEEKPILANQFSAFVSRPNGKSYSTVLSAPKADVLKGIDKAGIGSWDWKLKEENCNYHGLFPRSWTVYNGEPDHEIKITCRQISPFIPHNYKESSFPVAVFTFTNSVGGKSELTGNHTNSRMTPRDGVHGVLLHHRTADGHPPVTFAIAAKETDGVRNGSFSGDGDGEGKAASRPGSSIGAAVAATTAVAAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDSDSSAECLAHDALLEHMRWESQIEEWQRPILQDKNLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTGLSSATEPFSLDTFSTATNGVTDVDGILHMVAAATSSSTPAAAMGTTLLLDGEENVGQLLYLEGMEYNMWNTYDVHFYASFALISLFPELELNLQRDFVRAVLLHDPRLRRTLNGATVPRKVLGVVPHDMGLNDPWYELNAYMLHDPSRWKDLNPKFVLQVYRDVAATGNVGFAAAAWPAVYMAMAYMDQFDRDGDGMIENESRPDQTYDLWSVSGVSAYTGGLWLAALQAAAAMASIAGDASAEAYFRLRYTHSRRVYSDRLWNADGGYFNYDSSGGKNGDSIMADQLAGNWYAVACGLEPVVDGDKARRALAAVFENNVMKTKGGGVGAVNGMRPAAAGGGVDATSTQSKEVWPGVTYAVAAAMLQEEGMEETAFATAKGIYDGGWGNGYAFQTPEAWTEEGGYRALHYMRPLAVWAMQWAISPPVLHSHIRLPVTETKVEERWRSPEEAAVAGQEKFEKVARMLRLPEEKQHKGIVRAVFDLVRGLIFSCS >LPERR08G01170.1 pep chromosome:Lperr_V1.4:8:841631:853797:-1 gene:LPERR08G01170 transcript:LPERR08G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTFASSNDATARKRNRQRVKMQRSVRIVSRRMVRPAAHAGELPEHETTHHLTPLDLRMITVDYVQKGIVLPKPPTGGEHFVEHLESSFSRALARFYPLAGRLAVAATASRISVSLLCNGEGAEFVHAVAHGVAVADIAYSLLVPRVVWSFFPLNGVLGADAAVDSFPLLAAQVTELDDGVFVAVSLNHAVADGFTFWNFFNTWSEITRHGEALATPAPVFDRWFVETSPIPIPLPFAKLDDMIRRPVYTPVEECFLHFSPESVSSLKATANAEMSGVATISSLQAVLAHAWRGVCRARRIAPDAETMYGLAVGWQGRVKEASQHYMGNAASPAAARASAGEVFDKGIGWLAWQLNRAVACADEASVRDMLAAWPENPVFVYADNLQRRAGGGMAISSSPRFDVYGNDFGWGKPVAVRSGAGNKIDGKMTVYEGRGGSGAMALEVCLSPETLARLVADEEFMAAHKVKKLCVYAFPAVEALYGLIPRDQRRRDMGNNDDNVAVAAIDRVHILSRRVVRPSPPPPRDAGADEVIHLTPWDLRLMSIDYIQKGVLLPKPPLSGERLADALAASFSRALAVFHPFAGRLVSDERDGEVTVTLRCSGEGAEFVHAAAPGVSVSDIVSSLYTPPEVWCFYPFKLVLGADATAAGDDSPALPVLAVQVTELADGVFVGMSMNHSVGDGTTFWHFMNTWSEINRRGGDGVDENTTPAPVLRRWFVETSPVPIPMPIGKLQNVIRRFERPDVMECFFTFSAASAKKLKARANAENAGTDMATATISSLQAVLAHLWRAVSRARRLSLSQATFYTVLIGCRGRVNGIPAGYVGNAVAFGKAEATAGEIEEKGIGWTAWLLNRAVASFDEADMREWLERWVREPEFTYMSSLQSTAGVALITGSSPRFDVFGNDFGWGRPVAVRSGSGNKMDGKATVFEGPEGGGSMSLEVCIAPDALARLVTDEDFMDAVTVPSR >LPERR08G01180.1 pep chromosome:Lperr_V1.4:8:852713:854101:1 gene:LPERR08G01180 transcript:LPERR08G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVRIVSRRMVRPAKLAGELPEHETNHHHHLTPFDLQLLTVDYIQKGIVLPKPPTGGEHFVEHLASSFALALARFYPLAGRLAVAGTASPGGVPAISVSLLCNGEGAEFVHAVAPGVAVADIADSLLVPRVVWSFFPLNGVLNVDAAVNSLPLLAAQITELADGVFVAVSLNHAVADGFAFWKFLNTWSEISRRGEALATPPPVFDRWFTDTSPIPIPLPFSKLDDIVRRPAYTPVEECFLNFSPESVKMLKSTANAENAGTDMATATISSLQAVLAHLWRAVSRARRLSPSQATFYTVVIGLRGRVNGISPEYAGNAVAFGKAVATAGEIEEKGIGYTAWLINRAVASFDEANVRSSIENWASEPAFTYVSSLIQFAAGVAMATGSSPRFDVYGNDFGWGKPVAVRSGGGNKIDGKATVFEGSGGDGSLAFEVCLAAEAVARLVADEEFMASVSSPPA >LPERR08G01190.1 pep chromosome:Lperr_V1.4:8:855905:858424:-1 gene:LPERR08G01190 transcript:LPERR08G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKKKITGAFCLFDQVVEAIEASNHTPLELATKMEDTTVCGGVRVVSRRMIRPEFAGLKNGELSPEPETVHLTPWDLRLLTVEYIQKGILLPKPPTNGEHFVEHLVSSFALALGRFYPFAGRLAVAEVNDGASPETSSITVSLICSNKGAEFVHAVARDVAVADIAASLYIPRVVWSFFPLNGVLAADAAVDTLPLLAAQVTELADGVFVSMSLNHVAGDGTNFWEFMNTWSAISRSSFSKPSTSPSSSTPVNIKRWFLDTCTVPIPLPFPNLDQILPRRDHATPSPPVKECFFAFSAASIRNLKAKANADLAGIAAAGGVTVSSLQSLVALVWRAVTRARGLSPRQETFYVLVVGCRGRVGGISPGYIGNAVVPGAARLNAGEVTDNGIGWTAWQVNKYVASFDEDGMRRALAEWPRWPDFFSVVSLHGGASIITGSSPRFDVFGNDFGWGTPVTVRSGSGSKFNGKVTVFEGPEGAGSMSLEVCLAPAALEKLVADKEFMDVVTMP >LPERR08G01200.1 pep chromosome:Lperr_V1.4:8:859119:859390:1 gene:LPERR08G01200 transcript:LPERR08G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYDVRELGDLHGQNGRTSRESFKSSASSSRLSRARRRFQQHFLRPLFYKKSRRQKDASLLRHNKMEVLLHPSALPRQFVFEMK >LPERR08G01210.1 pep chromosome:Lperr_V1.4:8:860077:867560:-1 gene:LPERR08G01210 transcript:LPERR08G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEDQRGGEGKPRRRPSSSNSLRRLVSYNSSKRHEHFEEDEEEGVVVSATSSSAGHRVGNDPSTTRLIRKPPVPVVEAVAVAALPDEAASVAVGVVDAERPVAAPANWRRAPNGVAEQEPQPRSGVTRSEAKPRITDVPNGVPGEHVAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLLDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPPALALLDCLLAVEPENRGTAGSALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHEADAGRRKQLPAPNGNNELQQRRAMLNAKSSNNKFIPKEDTVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSTGTDQNAQRFYTSQIAAADMSTATGGQRGNATKVSNLGDSARRQYLREHRSSSRYSQLTAAEPSDRPEWTNQFQERPSSSHRKDDGATNKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAHLFRIRRCIPPVWRNAAPAQQPSPPPPPSPRHPASSLSGILYSCAAHRARRPGEQVHARAVALGLVAHPSLLPRLASFYLALDDLSAARAAVELAAGRGRAFPWNLLIWGYAGHGMWEEAIMAYEKMVDLGVAADKFTFPSVLRACGELREVDIGRKIEQRVRRSRFGLDMYVWNALVGMYAKCGEIEDARRVFDGMAVRDVVSWNTMVSVYALAGKWGKAFELLQQVPGANIVTWNAVAAGNLKAGNYDEVIRLVSQVRRCHGEEVDYVNVMIGLKACGRNGYLRFGRELHGVAVRLCFDGLECVVNSLITMYSRCRMMNSACLLFGACSIRSITTWNSLLAGFAFMDQIEEASLLFREMIGSSVCPNDVTILVMLSLAARFGHLCHGRELHCYIIKHQLDGSKLLENSLVDMYSKCRQMVVAQSVFELMQFRDKHAYTSLILGYGLQREGHVSLKLFDDMIENSIEPDHVTMVALLSACSYSRLVTQGQLLFAQMASVFGIAPRVEHFSCTVDLYCREGLLKMAEEIIDKMPFQPTAAMLATLIEACRIHGYMEVGDRAAKKLLAMRTNNPGHYKLIANMYIEAKCWPELANVRSLMSTMELNMIPSHSLLESEYDACPVEQDDFLNHVVHGCSFDYLTDTDSSSSEEVKCSEAFGG >LPERR08G01220.1 pep chromosome:Lperr_V1.4:8:870307:871524:-1 gene:LPERR08G01220 transcript:LPERR08G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVHHGKSLSQLPSDVLVSISRRWRHLHTQLPHLVLHVHGFVVDTEADGINLDDYIDCQDENGADDDGDSIDNQYNNDAAAATDDDDEVAMHYNEEENNAEDEDNVSSTSFSFSLDNCGPGLPSFLPGAEMPRKALVICHSKLVELRIIKCVLPRVELQWLPELVRFTLCGWVAKSRSKMPVYFGHVPRLVTLTLANTARKWQDQIKLSDLLANTAVSDLEIDFQAENVWNVKSLKIHYNVHDKCNLFWTLFLLEAAPLLEELFIKVWSHPGMVEDEDGEERRFLRNKSDFTWVGRLATGFKHYRLSRITIFGFQSNDERTINYVLHVVEMAPRLKELCLHEKEPCEDCDDYYNMCLTFPRTNEERDLIRNNIITSDTRRSLDIKFISN >LPERR08G01230.1 pep chromosome:Lperr_V1.4:8:872569:873803:-1 gene:LPERR08G01230 transcript:LPERR08G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQASYAGLSNLPNDVLVSIIDKLELREAVLSRRWSRLHAQLPQIVLDVDDFVVYDGDVGDLENDNTQLATTDHEEEEEVKEYNEGDEFDDDVMISSLQQANDRLLEAATKMNIYPSLSSDDDIRSELNDMEEYGRRYLSLVGTYPRVFAALTTLFLDNIMLPDFSSAIIVACTNLQFLTLQRCDQGRSVPTPPLEIRHPNLVYIKIAWCNFSVVKLQWLPQLRRFTIWDWSGTIRSNIPTFFGHVAPLMEDLYIKVVSHPCKNDETEEERLGMRGLRNKSDFTWTDRLATGFKHHGLTRLTIFGFRSGDDRVVEYVRRVVEMAPRLEDLCLRDKEPCEDCNRINPFPTFPQTDEEKDSIRRRIYHYD >LPERR08G01240.1 pep chromosome:Lperr_V1.4:8:879132:883658:1 gene:LPERR08G01240 transcript:LPERR08G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEEDDEAARLSREGKVSVTSNPGETPTMNVPRNKRPELDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQKSLGLGNEDGSRRVR >LPERR08G01250.1 pep chromosome:Lperr_V1.4:8:887859:891065:1 gene:LPERR08G01250 transcript:LPERR08G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRGNEHMTVEELNALERYLEIWMYNIRSAKIMIQEIQALKSKASHTEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSKVPLIEEITNPLTILSGYSTCRGSEMGYSF >LPERR08G01250.2 pep chromosome:Lperr_V1.4:8:887859:891065:1 gene:LPERR08G01250 transcript:LPERR08G01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRGNEHMTVEELNALERYLEIWMYNIRSAKVIHTESSYFTKKKRVVEFAKIFNLTDADNDPRDPSTQEQGKSYSLEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSKVPLIEEITNPLTILSGYSTCRGSEMGYSF >LPERR08G01250.3 pep chromosome:Lperr_V1.4:8:887859:891065:1 gene:LPERR08G01250 transcript:LPERR08G01250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRGNEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSKVPLIEEITNPLTILSGYSTCRGSEMGYSF >LPERR08G01260.1 pep chromosome:Lperr_V1.4:8:892423:893150:-1 gene:LPERR08G01260 transcript:LPERR08G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKRHWAKNFAFLDYFKKVYGRDESLPKWSDADVDEFIASDPVYGPQLKALRESRKFALAGALIGGTHLGGVAVKYSKAPHGVLLATGFGAVCGAVFGSEVAEHWYQLYKMDKQKANLRFIYWWEDKVAVAET >LPERR08G01270.1 pep chromosome:Lperr_V1.4:8:894384:897459:1 gene:LPERR08G01270 transcript:LPERR08G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFILTAMAGRRLLLLIGLVAAVAAQGGNNGGGEEEEKAGKAAALVPALFVFGDSLIDNGNNNNLASFAKANYFPYGIDFAAGPTGRFCNGYTIVDQLAELLGLPLVPPYSQAQASVQQQLQGVNFASAAAGILDESGGNFVINQSISFFLHGLINSNAGRIPFNKQIDNFEATVEQIGEAIGKDAAAAMVGRSILFVGLGSNDYLNNYLMPNYDTRRRYNPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDDMIIPFNANVRATLRRLAGDLPAARMVFLDNYGVFKAILGDPAAYGFAVVDRGCCGIGRNSGQVTCLPFMPPCDDRDRYLFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >LPERR08G01290.1 pep chromosome:Lperr_V1.4:8:909328:913854:1 gene:LPERR08G01290 transcript:LPERR08G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGAAAAAASPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVDILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEAAAREGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGYSVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAAAHELISKGSN >LPERR08G01300.1 pep chromosome:Lperr_V1.4:8:913508:922333:-1 gene:LPERR08G01300 transcript:LPERR08G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEDLPESSAAAAGPTPAPPGGNGEKPAPADSDSDSSDSDDGGDGGDAADELRIQALESALQEQPLDYESHVQYIQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEISLRPESFDDIEKLYERGVQEYLSVRLWRDYLDFVEEHDKSVSQCSPPGLTKMRNLFERAIIAGGLHVTDGSKLWEAYREYEMAILTIVDDDDEEKAKQVQRIRALFQRQLSVPLADMESVLVEYKSWEAEQGNANDPSSNFDGVPSTVLSAYKKATEMYNARKQYEDQLSNADASDGDKLQEFLKYIKFEESSGDPARVQVLYERAVSELPVSSDLWMGYTSYLDKILKVPAVIKSVYHRATRNCTWVSELWVRYLLSLERIHASEEELRPVFEQALQCSFPNIKEYLDIYLTRVDSLRRRMTDGLDFQLIRQTFMDATEFLSSQMGTEDLLLLNAYWAKLERTLGENLAAARGVWENTLKKSGSVLEVWQHYIAMEIETGNIHEARSLYKRCYSKRFAGSGSEDICHAWIRFEREHGTLDDYDLAVKKVNPRLKELMMFKSQQEVKVDNHAFSKETTANDSSQKRKPSKMPTKQQPPAKKRKDNPPKSAVQSDDQGSKEQSSTDHAKAGEFSGEKNMEMNLVEGSRTENTSSKESKSNFYSDKCTIYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDRFTKKSRGLAYVDFSDNEHLEAAIRKNKHKLLGKKVSVARSDPSKGKKSREAGSFSKDQDRLPASGDSGEKAVSASRSDKDIPKDEPKITGKNTFAAPRSVIKPLGWTKKDDKSDDGAEELKSNEEFRNLLLKKCVDLHAVFKPRDFLIVLS >LPERR08G01310.1 pep chromosome:Lperr_V1.4:8:923374:929251:1 gene:LPERR08G01310 transcript:LPERR08G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSAAASKRQAELLKQEGNAFFKKERISAAIDAYTGAIALCPKVAVYWTNRALCYKRRNEWVKVEEDCRTAIQLDSHSVKAHYMLGLALLNKDELAEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWENLSSERVRQMHNLKEACKEALRNYNRLDNAAAYDPEEQLNELEEAFQKAAKSDIPTEVPDHLCCKITLDIFRDPVITPSGVTYERAVILDHLHRVGKFDPVTREALEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >LPERR08G01320.1 pep chromosome:Lperr_V1.4:8:929117:930106:-1 gene:LPERR08G01320 transcript:LPERR08G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSDGSKSKKPPLPAIRRRFCSTTMVTMLLFVLTNTTSILLSSGAGAFLLRRYKPATVRLWAWDDSAALLDDLNATQSSLAVTRSQLADLHSRLAVANSLLHTLLATMSRRRHDVFPEVEHACVRFREEMVAYMNYTAGGECPSDEALVHRLVVNGCDPLPRRRCRTRSTPTTTTEKWRRDDDGAMSYSVDGVLAARPNGTVRVGLDIGGGGAFAARMRDRGVTVVPVHVGPARRLPFFDGTLDVVRWATAPETPIAGVAGEMEIELTLFDVYRVLRPGGLLWLDHFIVVSGERTFVPMIDRVGFKRVRWNSGKKKMVSALLEKPMA >LPERR08G01330.1 pep chromosome:Lperr_V1.4:8:936924:940194:1 gene:LPERR08G01330 transcript:LPERR08G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDHHQDVIAARGGDDGQANDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRLVKVEGKGSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTGTTTKSSGSKAAAAMDKKHAAAHRTNSSAAAPRLAPMFAGGGGHSYAAAEGTNAITMSLSAAAGGTTPPTAMFRSTAVSVASLSSTTSTEEDGTSLFHLKQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNNHQANPAAHQATTNLPPSQQSPLLPFASMEKIWDWNPLLESPKVCTSFK >LPERR08G01340.1 pep chromosome:Lperr_V1.4:8:942151:942471:-1 gene:LPERR08G01340 transcript:LPERR08G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYRTTPAAAVKRRRVDASSSSAAAEKGGNGGYLGVEAVVLLGLVTATLLVLPLLLPPLPPPPPMLLLVPVLIFAVLLLLVLMPSDARAIGAAASGGGSSSSSYL >LPERR08G01350.1 pep chromosome:Lperr_V1.4:8:945875:950823:-1 gene:LPERR08G01350 transcript:LPERR08G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAASLAALLLLPYFSPPPHAAASHSPFDAGTAPFLRFRRAFLFVFSLASVAEGIQSVFGEDEFVRCGLGREQMAARLAAAAAAALFLGGFSGVVSDKLGPRQACIFYWMLQLAVGTLKSFSGLRCAWINNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLVGSQGITNLLVNDDYKGFLLPYAFVALISIAGILYVRKNASSTTHHASAIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFSFLWAPTIVADGRDTNLSLIYPCFLASRMFGGASFPWFDGATAPFRNEDSLTIAYITAGIALLIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIVLMQGAYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSQVDKRRISRLRLFPGVAVLLSCIVCGSTMVDVPEPGALD >LPERR08G01350.2 pep chromosome:Lperr_V1.4:8:945875:950823:-1 gene:LPERR08G01350 transcript:LPERR08G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAASLAALLLLPYFSPPPHAAASHSPFDAGTAPFLRFRRAFLFVFSLASVAEGIQSVFGEDEFVRCGLGREQMAARLAAAAAAALFLGGFSGVVSDKLGPRQACIFYWMLQLAVGTLKSFSGLRCAWINNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLVGSQGITNLLVNDDYKGFLLPYAFVALISIAGILYVRKNASSTTHHASAIGSYQKSFFAHVFRDKRVLILVLAQASIHFSIMFGGASFPWFDGATAPFRNEDSLTIAYITAGIALLIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIVLMQGAYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSQVDKRRISRLRLFPGVAVLLSCIVCGSTMVDVPEPGALD >LPERR08G01360.1 pep chromosome:Lperr_V1.4:8:952956:953264:1 gene:LPERR08G01360 transcript:LPERR08G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMKPTATEVAQCRRREVAAAVAVDACLVVAAMGGASLLALWAVAFHPSNSRLWMVPVGLVLAFTPVVVYLALSFSQSPACDEVAAGKPMLTLSTVVVDK >LPERR08G01370.1 pep chromosome:Lperr_V1.4:8:955833:958457:1 gene:LPERR08G01370 transcript:LPERR08G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFARKMEKFAVAGAGKGKKKSSSSRAVWDWDYDSFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYPNLCSISTPSAPADSSYVVLPLFVIWYIDRQVTRKSSIISLTAKLRLYLELEQFPHKY >LPERR08G01370.2 pep chromosome:Lperr_V1.4:8:956016:958457:1 gene:LPERR08G01370 transcript:LPERR08G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALLSLSAPAPAPCSRRRRRFATVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKFAVAGAGKGKKKSSSSRAVWDWDYDSFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYPNLCSISTPSAPADSSYVVLPLFVIWYIDRQVTRKSSIISLTAKLRLYLELEQFPHKY >LPERR08G01380.1 pep chromosome:Lperr_V1.4:8:960259:961479:1 gene:LPERR08G01380 transcript:LPERR08G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCGGGWGDLPPDLLARIADALTIKPYLRARAVCSAWRAALPPASPSLLVRDLDNRHSAWCLSPRISTPLHKLMAAALSPQQSSCVVGSGGGGFVAVHASDWFGIVNPLSGKVVSFNSFPQLYVPRGEKLVVNKVVFAPNPMRAHFAAAAITGRGCVITYTAVGNAGWTDFQCPRLAGGIADVVYHEEHVYCLARTGDVHVLRLTAADDRLAAFEPLFDKDGTVFDATAAFAPPHDTIRECAGEKNLVVCNDNGDMYQIWRNDTCARIRTLPGGGKYRVENSQIFVFRYYPHRRPCWVAVEDLGGRSVFIGKNNAVALRVDGGGDASWLRGNCVYWIDTNHCPARAKVFDMVTRKSAQCFPGAADHSVICWCFLHEKQSSSSKGVFGCLDSLQPGSHDKAWLI >LPERR08G01390.1 pep chromosome:Lperr_V1.4:8:968228:970093:1 gene:LPERR08G01390 transcript:LPERR08G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSIGGLRLRLLVLHLLLLAPGFATAFNYADALSKSIIFFEGQRSGKLPPGNRMPWRSHSGLSDGAQYNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVLDFGKFMAAAGELENARAAVKWGADYLLKAATATPGKLYVQVADPNQDHRCWERPEDMDTPRGVYHVDVDKPGSDVAGETAAALAASSLVFRRSDPVYSNRLLNAAVATFEFADRHRGAYSDTLASVACPFYCSYSGYHDELLWAATWLHRANPSNSSFLSYVAVNGLQLGAGDDDFSFSWDDKRAGAKILLAKFFLRKKYNNLEMYKAHADSYLCSLVPGTASFNAGEFTAGGLLFKSGSSNMQYVTTATFLMLAYAKYLRSSNSTASCGISGEGDVSAGEIVAVAKRQVDYILGKNPAGISYMVGFGCKYPRRVHHRGASIPSVRAHPGRIGCDAGFGYLHSGEPNPNVLVGAVVGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTRSGTGRE >LPERR08G01400.1 pep chromosome:Lperr_V1.4:8:979019:981820:1 gene:LPERR08G01400 transcript:LPERR08G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHHHHHVLVILLLPLLAAVLRLAGGSPPPQPVKCNRGDTTDCEVTNVYGSFPDRTICRAANATFPRTEQELVAAVAAASAARTKVKAATRHSHSFPKLACPGGRDGTIISTRFLNRTVAVDAGTRRITVESGMVLRDLIRAAAAAGLALPHSPYWYGLTIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGFAVVRELVDGDPDLDAAKVSLGVLGVISQVTLQLQPQFKRSVKFTTRDDTDFAEKIGVWGGLHEFGDMAWLPSQRKVIYREDDRVNVSTPGNGLNDYLGFRAQPTLGLITARAAEERLERNGSTDTARCVAARLPPALFELQGYGFTNDGVFFTGWPVKIFLTPGTCIASPEDGLLSSCTWDPRIRGPFIYNLGFSIALSKAPAFVADMMRLRDLNPKSFCDLDAKLGILMRFVKASSAYLGKPEDCVDFDVTYYRSYTDGEPRPHSDVFDELEQMALNKYGAIPHFGKNRNFAFDGAVRKYPKSGEFIKVKDRFDPDGIFSSEWSDQVLGIRGNPNIVGNRCAIEGLCVCSDDSHCAPELGYFCRPGKLFKEARVCSNSKSSVDSDDLLIDEL >LPERR08G01410.1 pep chromosome:Lperr_V1.4:8:986647:988656:-1 gene:LPERR08G01410 transcript:LPERR08G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTTSWLTAVKRAFRSPSKEDSPKKTPRLRDDSDATTPASAADDDKGKRERRRWLFRKSSSPSPSPSPAPTARRHQNTPSPAPPQSTSAARTAAVPAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSTANTSSTFFRDQHYAAIVIQTAFRGYLARRALRALRGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQESMSFSGAGCGGAVSAAPCGSSKSSYSVDTSAFWDSKYTHDFAVDRRSVERSRDGSSFAGGGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEEMDVDGGGGKPRWAERWMASRASFDTSRSSIRAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHAPPSSSSPMRHHHSPITPSPSKARPPIQVRSASPRIDRAGSGGSYTPSLHSHRHAAATASSGSGAAVPNYMAATESAKARVRSQSAPRQRPATPERDRMSFGGGGGGSAKKRLSFPVPLVDAYAQSLRSPSFKSAAGRFSSEMRSNVSSSCAESLGGDVVSPSSTTDLRRWLR >LPERR08G01420.1 pep chromosome:Lperr_V1.4:8:997609:998631:1 gene:LPERR08G01420 transcript:LPERR08G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIMVKIVKMRKPFEEDFKELVEEARDYHQETRCIVTIEGFHPITGEHQKTVIDSIGEDDVLEVNPFTNHVVRRYLRLKGRLGEVALRMLSEELSRDMRLDEVKKFMLRVRAIRLAALRRSKAARMVREAELLPELTNLGKFFHAPMAVTPLPEEEMKVTSHLVKLGSSIVKRRAEKNLTLTMVYL >LPERR08G01430.1 pep chromosome:Lperr_V1.4:8:998882:999856:-1 gene:LPERR08G01430 transcript:LPERR08G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAATVALLPLLLFASTSPTPAITASGGGGGGWDNAHATFYGDKGGEGACGYDDVFKQGYGFETTALSVALFAGGWSCGGCYEIHCKGSTACRRGATVTVTATNLCPANYSKPYENWCNPPLKHFDLSKRMFLNLITDYRVGIIPVTFRRVACAGKSGGVRFEMKGNQWWVTVLVFNVGGAGDVRAMAVRGSRDGRWLDMSRNWGMIWNGDVRMEGQGLSFRVATGDGRAIVFDGVVPPTWMVGQTFQGNRQF >LPERR08G01440.1 pep chromosome:Lperr_V1.4:8:1036602:1038417:1 gene:LPERR08G01440 transcript:LPERR08G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVAAEEIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNSLHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPATDTAAATVAAAAAAADGGQEDGWVVCRVFKKKHHHKEYSGGGKNGDGGGKSAAAAAQHAYSGGSSGDDALDQILQYMGRSCKQEQEHISPPPTATASGGGRTTASRYLRPIDTVLAGHGFMKLPPLESPSAAATPAMSSSSTTPMITGDEHLLLHHRTDWAMMDRLVASHLNGHSDAAADDDQLCNFAAAGDADASLAFYSAAATRLLAGGNDDDLWSFARSAAPPPPSATS >LPERR08G01450.1 pep chromosome:Lperr_V1.4:8:1061321:1066255:-1 gene:LPERR08G01450 transcript:LPERR08G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSAAPPAVDDAPAAAESAAGEGDSAAAGEREMRDLEDLLSKLNPMAEEFVPPSLASSTPLPFSPAAAGYYPNGGFASPSPTGPRAGVVGFLPIDGAGPRAAAAGRKKGGGGGGFAGGQGHPGGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDFHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >LPERR08G01450.2 pep chromosome:Lperr_V1.4:8:1061321:1065753:-1 gene:LPERR08G01450 transcript:LPERR08G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDFHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >LPERR08G01450.3 pep chromosome:Lperr_V1.4:8:1065768:1066255:-1 gene:LPERR08G01450 transcript:LPERR08G01450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSAAPPAREMRDLEDLLSKLNPMAEEFVPPSLASSTPLPFSPAAAGYYPNGGRRGEEEEGSPAGRGIPEGSAG >LPERR08G01460.1 pep chromosome:Lperr_V1.4:8:1070297:1071930:1 gene:LPERR08G01460 transcript:LPERR08G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRPTNRPKARNPRERLLSTAAASDCNLLCVRLLNSSRRSVAMSSSEVACTLAALILHDDGIPITAEKIATLVKAANIKVEAYWPALFAKLLEGRSVDDLILSVGSGGGAAPVAAAAAPAAGGAAAAAPAAEEKKEEAKEESDDDMGFSLFD >LPERR08G01460.2 pep chromosome:Lperr_V1.4:8:1070297:1071930:1 gene:LPERR08G01460 transcript:LPERR08G01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRPTNRPKARNPRERLLSTAAASDCNLLCVRLLNSSRRSVAMSSSEVACTLAALILHDDGIPITAEKIATLVKAANIKVEAYWPALFAKLLEGRSVDDLILSVGSGGGAAPEEAKEESDDDMGFSLFD >LPERR08G01470.1 pep chromosome:Lperr_V1.4:8:1076493:1105134:-1 gene:LPERR08G01470 transcript:LPERR08G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATGTYSPAAAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDNGRLNCNVTYTTFATPIRGQGTDNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLTVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACKEIILVNVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSYSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGSFIFGLEFSSLSIQTDPKKQSFAMSLMTMSRQDEVNKTVEISDVGIYCHNLDEQRDPCDIGALTETNFSFSHRLAHPRDNYLINPFNVTIFVLANKAEKLDGAPQYNITVELTALILLADEIQVQQILNLCDYFSICALRTKYGRYRPSQNSLSKRHKGWQRMWWHYAQKSVLADVRRRLRKTSWSYLGQRLDCRHKYVNLYRMKLELLQKGQEALVKSTKDNFSSPGSPRTDEQSAGAGQGWLKWLSRGMLGAGGTADTNSFADVSDDIIKKQLAKWKFGTKLVDAKFSGLGVECKIWDDSTAILAWLDSLEITNPLNENKVLLAEKCSTGDGLGAPVISVQVDFPKSNHNSEASTRVVVQELSAIYEPEFIYNLLHIYDLFSSFQFQHDRVLSSLNCFDNFGARLLSKLKYVSANRKKHLWDLRIHHFVVRLPSQNYGTEELIMVFEAGDVSMKSKDTVKDTSRTQENNSFLDHMLKTLPINFSDDLLIGFKLDDLYNHFEVNLTGIEVKVLMPDGHDIASTLVKLEASIVFGLCIFLDEPVLKQLEVAFIVPFADIYFSQTMYSAFVNLCFSVEKTNLIRNNTSDDTKSEPKKPTLNMFASLKLAKLNLRVGLEGHHDGSSNITVCVRDVDTRYAIQESLDIWVVAKMIQITSNNMEESNSRVLCQSGNYKSNTCVNLTECPESSTSDACLELHYRTHKDNDQTHHVYQLNLNDVDLHVIPSVFGQIRMFLKTLDAVCPDGANVVPSELDLDSMKFGAANAKFPKFALSNFCGVDGTLFAGLSVDHFPFVRTNFIPGHNSECLVTQGAQVSEISCSKSKCNGTSDLNCCCAQGLPSNSLCKIEHSNCSSISTKNSKNASLTVLDVSLISVRTHFHESCGILATLTVPESIATLSLSDATSWDFLLSAKDIMLASSWTSPSVDELLWGRSSHGNANVLNIRVKKDFPAPSTEVCIGVQNVCCILPSKLLAMFIGFFLLDDWNPLAEEHHSVENHSLESSGESLDSMAYIFEICDCVVVFPVENQDFFGVKLGVPYFFGEFISNGSSAEFANRIPKEFFSSECMVLSRVDVISLSAVKASISLLFPDDEANFILKLGENMPSRIQSLVEKLDADLYFMNGMEAVFSVTDELISVGKASKLYKGNTLQFLEERILNEESPDPNDPINVTVSVNDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVGEKPEHIDMDIVSLSLQSSDSNTLVSIISDGPLSPVFIKFAKHDGRDEISASIPSFEIWLYLVDWDIIINHFHSYIRNEECSSPVAHSAALPCSSDSAMSSFPETDCGSLDDSKLLVTCENIAGVVHVPFWQKTENGASNLMPGTSGSCTMQDTTQHIADDFQSPKPKDCKFITLIFNSKHFVVSLGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFIHISKAKAAGYIHQPEGHLQHLSVDLQAEYMDVGFSHQIFSFCHSMEMKLPVSSSSSSSAALFYSMTFKAGLRKGSLLLNDGRWGSHGPVIETLVKNLSVQFSQMSDQIEVSASVDLLINYNNIDKVMWEPFIEPSKFQLNVLRKCANNALDISPSTEVRLNSSDQLNLNISEPLIEAVLRLGQMITNSLNPVSESGLREDPGILRFSDDVHTRRYAPYILSNDTSLPFKFKVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMGAVSHYMISVEFDGTSGSSKPMSMDLVGIYFFDVNFSSSKKPISEESWEAFASNRKGSHDDGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDREFPLPVHLSEAGQIRWHPVSFASIFFVDPSNDLGITFHVQDYRSLAIKFPRVESFSSAAKLNGSKFSLTETVTFYSNELNCPLNVTLEKAMDAHSGARELYLSVPFLLYNCTDLLLTVTESSYERNGSTLVIPSSFELNGKTRHMHGKNGLSLVSEVPPKQSFANKIPELNFMDGCSSCSNSTVANNSKNAPNECNKEAKAYMFAPSGHTPATELLVKLNASLPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPRELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGLSNMIRVEVQHADIDVHSNKIAGRSNSKSGTILILLSDDKTGFVPYRVDNFSMEKLRIYQQKCESIETIVYPYTSCEYAWDEPCYPHRLTVEVPGERSLGTYSLDILNDDVHMSLPLTPEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKREGNLLGSRDPKEADQKQEFELNYSDVFRIHLPFVGMSLISSLSQELLFASAKDTTIVAMQSLDQQRIMIEMQSMQIDNQFSDSPYPVMLSFDGSQKGKHMNFFKSRDTKLRSPNENSSQEPILRLAAAKWRSNDAPFVSYQCINMSVTPFHLELEERLVFSMIDFFRSVSARIHLGQLDRSFDLNILDGATNIFGEYERISKRVSGKTQSSYMVEAQQNQLLPSVIPVGAPWQQIHLLARKQKKVYVELFELTPIKLTFSFTSTPWLNRNEGGSDPNTTFNNSTAIQRGLMALIDVEGVQVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFISASRKGILQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPYESNRLRSPQFVGVPPDPQWVIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKGGSIRNRAASSTFIPLFHFSVEMPNIEDAEGTLQVLLALIEKGKARRWDKNIIHRSNIY >LPERR08G01480.1 pep chromosome:Lperr_V1.4:8:1107201:1110358:-1 gene:LPERR08G01480 transcript:LPERR08G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPSAPARLPHKAAPPARVPTRLVAALCTACFFLGVCAVNRYWAVPELPDCRNKANSDNPGAVMNQVSQTREVIIALDRTISDIEMRLAAARTMQARSQGLSPSDSGGDQGSMRPRLFFVMGIFTTFNNRKRRDSIRQTWLPQGEHLNRMEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPSKIQMFLSTAISIWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVDRNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >LPERR08G01480.2 pep chromosome:Lperr_V1.4:8:1107201:1110358:-1 gene:LPERR08G01480 transcript:LPERR08G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPSAPARLPHKAAPPARVPTRLVAALCTACFFLGVCAVNRYWAVPELPDCRNKANSDNPGAVMNQVSQTREVIIALDRTISDIEMRLAAARTMQARSQGLSPSDSGGDQGSMRPRLFFVMGIFTTFNNRKRRDSIRQTWLPQGEHLNRMEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPSKIQMFLSTAISIWDADFYVKVDDDVHVNIVCLKNGLMKHLLGVNSDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >LPERR08G01490.1 pep chromosome:Lperr_V1.4:8:1112664:1117122:1 gene:LPERR08G01490 transcript:LPERR08G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTCKVAIATFPIYRPLSCLFPVCRRPETHTVELVRRRRFRSSVWCSSAGRMSSVTVCVRFRPLSHKERKANGDKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAINGINGTIITYGQTGAGKTYSVEGPSILHCNKQKTGLVQRVVDELFQSLRSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIMNSSDALECLSEGIANRAVGETLQQGSTSDERLRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTATGKPNHVPYRDSKLTRILQDALVGVKKISRVSELLGWQFKSGIAVLLFPQCLKCTRKTKLIKTPLKSTVEADSAKTASKSTSTSPEVDSVNKPIRDSHEQNDLRDRILNKIKVCSQLRLSLKEEDVDLLEELFVQEGIIFDPEYSVADIDSACQDAASQEISLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAARADGALFGFVPAAAISSLLRPFGFVPD >LPERR08G01500.1 pep chromosome:Lperr_V1.4:8:1117711:1119948:1 gene:LPERR08G01500 transcript:LPERR08G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNQMADEGSEDGVGSAAGEGLIAAIKRKRDLIEDEDSSSSSSANRSVDMEEKSDYCSAGAEEVTRSEMEEDVDEQHIMQILKEAEPTWAEKALRVLNIVRRHQISEYDPKEDDIVYTRYCGHNIALFDLDKESTIGPGPPIHSLTSSQYWWLDDSVNVIAIKVAESDVGYPIRIYGTVIARDHQDFRCVTRNILKKLIHRRITLTGPYRALASKDSMTFEFNLKILDDGDVGKDFSKDKLMTLDLTSWLSTIELVYTPVQFAVEASLAVNILEGLSDFTGKVIAWTTGNKDNEIVLHDSRVADSPAKLGENGSVELTRHMVAVPLDEELVLNIVLFSGDHEYEYFEFVLGHHDEEVTFSCKQGCYEVQVKVIWTAVLRRHKHKMWESIGRCRLLL >LPERR08G01500.2 pep chromosome:Lperr_V1.4:8:1117711:1120585:1 gene:LPERR08G01500 transcript:LPERR08G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNQMADEGSEDGVGSAAGEGLIAAIKRKRDLIEDEDSSSSSSANRSVDMEEKSDYCSAGAEEVTRSEMEEDVDEQHIMQILKEAEPTWAEKALRVLNIVRRHQISEYDPKEDDIVYTRYCGHNIALFDLDKESTIGPGPPIHSLTSSQYWWLDDSVNVIAIKVAESDVGYPIRIYGTVIARDHQDFRCVTRNILKKLIHRRITLTGPYRALASKDSMTFEFNLKILDDGDVGKDFSKEASLAVNILEGLSDFTGKVIAWTTGNKDNEIVLHDSRVADSPAKLGENGSVELTRHMVAVPLDEELVLNIVLFSGDHEYEYFEFVLGHHDEEVTFSCKQGCYEVQVKVIWTAVLRRHKHKMWESIGRCRLLL >LPERR08G01500.3 pep chromosome:Lperr_V1.4:8:1117733:1119948:1 gene:LPERR08G01500 transcript:LPERR08G01500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSEDGVGSAAGEGLIAAIKRKRDLIEDEDSSSSSSANRSVDMEEKSDYCSAGAEEVTRSEMEEDVDEQHIMQILKEAEPTWAEKALRVLNIVRRHQISEYDPKEDDIVYTRYCGHNIALFDLDKESTIGPGPPIHSLTSSQYWWLDDSVNVIAIKVAESDVGYPIRIYGTVIARDHQDFRCVTRNILKKLIHRRITLTGPYRALASKDSMTFEFNLKILDDGDVGKDFSKDKLMTLDLTSWLSTIELVYTPVQFAVEASLAVNILEGLSDFTGKVIAWTTGNKDNEIVLHDSRVADSPAKLGENGSVELTRHMVAVPLDEELVLNIVLFSGDHEYEYFEFVLGHHDEEVTFSCKQGCYEVQVKVIWTAVLRRHKHKMWESIGRCRLLL >LPERR08G01500.4 pep chromosome:Lperr_V1.4:8:1117734:1120585:1 gene:LPERR08G01500 transcript:LPERR08G01500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSEDGVGSAAGEGLIAAIKRKRDLIEDEDSSSSSSANRSVDMEEKSDYCSAGAEEVTRSEMEEDVDEQHIMQILKEAEPTWAEKALRVLNIVRRHQISEYDPKEDDIVYTRYCGHNIALFDLDKESTIGPGPPIHSLTSSQYWWLDDSVNVIAIKVAESDVGYPIRIYGTVIARDHQDFRCVTRNILKKLIHRRITLTGPYRALASKDSMTFEFNLKILDDGDVGKDFSKEASLAVNILEGLSDFTGKVIAWTTGNKDNEIVLHDSRVADSPAKLGENGSVELTRHMVAVPLDEELVLNIVLFSGDHEYEYFEFVLGHHDEEVTFSCKQGCYEVQVKVIWTAVLRRHKHKMWESIGRCRLLL >LPERR08G01510.1 pep chromosome:Lperr_V1.4:8:1121023:1123534:1 gene:LPERR08G01510 transcript:LPERR08G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTSSSSYYYALLHLHLPNPLHSRHLPTPSSPLHRHRCIRLTLSRTSRSPPERRASGGPLAFETEEEEEEGRGWSGAGESQSDSDEEEEQGWLGRGESDEGEGYSGGEEEGWRPPPPRRSRPRELFVSNLPRRCDVDDLYELFRPYGTVLSVEISRDPETGLSRGCGFVTMRSQPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINPTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELEAALKLDNTHFHGRNILVREAHEERQAR >LPERR08G01520.1 pep chromosome:Lperr_V1.4:8:1123159:1130233:-1 gene:LPERR08G01520 transcript:LPERR08G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAASDVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLSPFILCLLPSLSSSQSITNGLRYPLFSLAPPPRAVLALPAAAAAASSPTAVPVAVAVAEFPTATMGRMHSRGKGISSSALPYKRTPHSWVKTAAADVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYISTALKDGLNQQISQTKMQEMRCNPVPGHSACLTFFMSFPDKDIPSMKMLLHKQ >LPERR08G01520.2 pep chromosome:Lperr_V1.4:8:1123159:1128715:-1 gene:LPERR08G01520 transcript:LPERR08G01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNLYMGLSPFILCLLPSLSSSQSITNGLRYPLFSLAPPPRAVLALPAAAAAASSPTAVPVAVAVAEFPTATMGRMHSRGKGISSSALPYKRTPHSWVKTAAADVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYISTALKDGLNQQISQTKMQEMRCNPVPGHSACLTFFMSFPDKDIPSMKMLLHKQ >LPERR08G01520.3 pep chromosome:Lperr_V1.4:8:1123159:1130233:-1 gene:LPERR08G01520 transcript:LPERR08G01520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAASDVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYISTALKDGLNQQISQTKMQEMRCNPVPGHSACLTFFMSFPDKDIPSMKMLLHKQ >LPERR08G01520.4 pep chromosome:Lperr_V1.4:8:1123159:1130233:-1 gene:LPERR08G01520 transcript:LPERR08G01520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAASDVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYISTALKDGLNQQISQTKMQEMRCNPVPGHSACLTFFMSFPDKDIPSMKMLLHKQ >LPERR08G01520.5 pep chromosome:Lperr_V1.4:8:1128729:1130233:-1 gene:LPERR08G01520 transcript:LPERR08G01520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAASDVEDMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >LPERR08G01530.1 pep chromosome:Lperr_V1.4:8:1133617:1134063:-1 gene:LPERR08G01530 transcript:LPERR08G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIIAQEKLTSPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >LPERR08G01540.1 pep chromosome:Lperr_V1.4:8:1137137:1140910:1 gene:LPERR08G01540 transcript:LPERR08G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASILLFPLLLHSTLATISPSSSPTAAAGNAEVDALMELAAALDPSGKLLPSWIRGGDPCGRGDYFEGVTCDARGRVATISLQGKGLAGAISPAVAMLPGITGLYLHYNELRGVLPRQLGDLPLLAELYLGVNNLSGGIPVELGRLGGLQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPELARLDLSSNRLFGSIPSKLAAIPKLAALDLRNNTLSGSVPSGLKKLKEGFHYENNSELCGALFDSLKPCANGEGDEDGSGKTTPKPESTSVSNVKPLQTPRTMNLNTACNNNGDCSKPSSSSSTTLSKGAILAGTIIIIAGAAACGLSVFSWRRRQKQKIGGSTVESLEGRSSIDQQKENYQRSNASSSLINVEYSSGWDTSSEGSQQGVRLSPEWSPSVRYNLEEVECATQYFADGSLLGRSAFAATYRGTMRDGTAVAVKSISKSSCKAEEADFLRGLRVITSLRHDNLVGLRGFCRSRARGECSLVYEFMANGSLSKYLDVKDNAGGDAAVVLDWPTRVSIINGIAKGIEYLHSSKPNKSPLVHQNICADKILMDNHFTPHLSGAGEHKLVADDVVFSALKDSAAMGYLAPEYTTTGRFTDRSDVYSFGVVVFQVLTGRKAVSSELRLLGGAGGKLDDLIDPRLGGRFSRPEAAKLAGIALLCTSESPAQRPAMAAVLQQLGATQ >LPERR08G01550.1 pep chromosome:Lperr_V1.4:8:1142333:1146451:1 gene:LPERR08G01550 transcript:LPERR08G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATATATASHVQEANVNQSLFCFQPATNSGATSSGVFAGDDPLKFYFPLFLYHVCIVFALSRAIHFILRRANVPLVICQILAGTLLGPSFLGHLMPRAGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIAKSGKKAIAIAVLGTAAPHLAMYVAGVALRPRVPASWAASFLITNLTSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFSIAGVTSYLLAASPSEKLQRIGFLSVITFTAFIAFMAVVARPAILRLIRDVPEGALLSEARLVAVLLICLTCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTLAERGMRLNINKITDASTCLLLETFLVVGVVSKFVASIAPCLYCNMPVREAIVVGLMMNFKGITEVVYASAFMDAKVLDEQAYAAFMVNVLVIGALTATAVKWMYHPEEKYVAYRRRTVRHKKLGEELRVMTCIHSQDDVGPMIAFLDASSPTPASPIAVYLLHLVPLAGLTSSVLRPFKHGERNCVPSGTADSERVVNAFQFFVKQREAATAAETAAASLLPFVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTTHNAGAVQSANTKILNYSPCSVAILVDRGSLSAVAGASGVAGDSAAGDGIFPHRVAVYFLGGPDDREALALAAHMAEDAAIGLTVFRFKPPPEFRGGEEDERLDEEDIQEFVRRWVDDSRVTYSENLVNGSDEMVAVIRKTSPGFNLLIVGRRSESRESPLTAGISDWSENLELGVLGDLLTSTDFGCRVSTLVVQQQTRAAAGESSRSPELSVSQHRSSGPV >LPERR08G01550.2 pep chromosome:Lperr_V1.4:8:1146427:1146991:1 gene:LPERR08G01550 transcript:LPERR08G01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPVSPQALGKHAAWTSPSCVLLSSILSGLIGFCVAYRLVDIFACFYINIGIDFSPSPSHPVYGRIDHPAQCTTTTHFSTMFVFKHIYHAIELWVTTVSPPWATASLPGVHIH >LPERR08G01570.1 pep chromosome:Lperr_V1.4:8:1153645:1155723:-1 gene:LPERR08G01570 transcript:LPERR08G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRRDGFFGFGDPFPGLGSFGQPGSLLPSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGTFGSNMFGPHGNFNGASNPRGFLQQTPEPSRPRGPIIKELSSDDEDGAGMDKEDEKKTDNPRKHPRMGQVPYVEVPDEDTEDNKRPKHNQFGRGYARASTSHPQPQTFIFQSSTVTYGGPNGACYTSSATRRTGGDGITLEERKEADTTTGRATHRISRGIGNKGHSLTRKLSSDGNVGTMQTLHNLNEDDLAGFEESWQRNAGQHLSGWDPRVNMLGSGTSRSGIQDENHMLALPAPGQSHGTSSNRMKRPSQNASSKGTFSHT >LPERR08G01580.1 pep chromosome:Lperr_V1.4:8:1163558:1166949:1 gene:LPERR08G01580 transcript:LPERR08G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASVAPVAAAPVSMAPAAALITVSFQPQTAAPAEQGSTSGGVLVSPPPAAAGMAATGGGVLVAPSPATASTAAVVKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASAVGPYTPASAVGAAMKRGRGRPLDFAAAAAGGGGKLHHHHHQQQQFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRMEAAPALAQTPPAIPISSTDTHSSDQGQHSSVAPRTNIVTSAYSADQSWASPAQSIPESTRTPSGDMKVTASGA >LPERR08G01590.1 pep chromosome:Lperr_V1.4:8:1169352:1172487:-1 gene:LPERR08G01590 transcript:LPERR08G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATVVQIDSARKTGVLLEAVQVLADLDLSINKAYVSSDGRWFMDVFHVTDRLGRKLTDDSVISYIEQVGASCRGLAGVGEEMIVLRRVFFFQSLGTWNGPARPPALEGLTALELTGADRTGLISEVFAVLADMDCGVVEARAWTHRGRLGCIVFLRDDDAGGERIGRIVARLGHLLGGAVAAVPAASVAHAERRLHQLMSADRDELAAASPAETASTPTPAISVESWAERGYSVVTVNCRDRPKLLFDVVCTLTDMDYVVFHGTIDTAAGDQAHQEFYIRHADGSPISSEAERQRVTQCLQDAIDRRSLEGVRLELCTADRPALLSDVTRTFRENGLLVAQAKVSTKGDMASNVFYVTDAAGQTVDQSAIDAVRQRIGMDCLVAKEELRPQLYQKAGPGHRDDGNGGIGGIGLFYLGNFVKRNLLGIDQVVLIDMKPKWGIREEHKNSVKISAWLMPSFAS >LPERR08G01590.2 pep chromosome:Lperr_V1.4:8:1170888:1172487:-1 gene:LPERR08G01590 transcript:LPERR08G01590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATVVQIDSARKTGVLLEAVQVLADLDLSINKAYVSSDGRWFMDVFHVTDRLGRKLTDDSVISYIEQSLGTWNGPARPPALEGLTALELTGADRTGLISEVFAVLADMDCGVVEARAWTHRGRLGCIVFLRDDDAGGERIGRIVARLGHLLGGAVAAVPAASVAHAERRLHQLMSADRDELAAASPAETASTPTPAISVESWAERGYSVVTVNCRDRPKLLFDVVCTLTDMDYVVFHGTIDTAAGDQAHQEFYIRHADGSPISSEAERQRVTQCLQDAIDRRSLEGVRLELCTADRPALLSDVTRTFRENGLLVAQAKVSTKGDMASNVFYVTDAAGQTVDQSAIDAVRQRIGMDCLVAKEELRPQLYQKAGPGHRDDGNGGIGGIGLFYLGNFVKRNLVSLGLIKSCS >LPERR08G01600.1 pep chromosome:Lperr_V1.4:8:1183508:1183804:1 gene:LPERR08G01600 transcript:LPERR08G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMNLARNRSSSSSASAVDVPRGHFAVYVGESRKRFVIPTAYLKQPAFVLLLKRVEDEFGFDHRCGGLTIPCTEGDFTSIVYGMSSGDH >LPERR08G01610.1 pep chromosome:Lperr_V1.4:8:1190119:1190418:1 gene:LPERR08G01610 transcript:LPERR08G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHLMERLHLGKGGGGGAARDVPRGHFAVYVGEDRARFVVPTAYLKQPAFVALLKSVEEEYGFDHCFGGGLTIPCSEHDFAALLRRLSSSPSSWR >LPERR08G01620.1 pep chromosome:Lperr_V1.4:8:1191406:1194258:1 gene:LPERR08G01620 transcript:LPERR08G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRLAAGAARSSRLSRASTAGGTRRMGAAAAALDESYWTEWEEEEEMARAMASAPVAEMCPTGGGGGGSGPQWVVMGRPGPQKHAHAARLAEVLAVPYITMGTLVRQELSPSSSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDVSKTASTDRNPSLGSCTWPSQVQHAAVLGLEASRMEKMRTYAEQTKLLEDYYKKQRKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTV >LPERR08G01630.1 pep chromosome:Lperr_V1.4:8:1194627:1199126:1 gene:LPERR08G01630 transcript:LPERR08G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVETLVAHIQGLSGSAEEVAQLHNLLRQADGEPLRAHSAGLLPFLANLHPGAHSLGFLYLLDAFVSSASNLRAQGGGDFLVTMADFLVSCSAEQIRLAPDKVFKNEVMQLNAPIRGIAPLRVAIHKIQTSSEELTPIHADYLLLCLLAKQYKAGLSILEDDILEVDHPKDLFLYCYYGGMIYIGLKKFTLALDFLHNAVTAPMSSLNAIAVESYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKTHSQVYVDLSTCYGKGNYSELEGFIQSNAEKFQLDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFNEDPEQYKSCEMVERIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFDYDDFDSVPHKYF >LPERR08G01640.1 pep chromosome:Lperr_V1.4:8:1200333:1202364:1 gene:LPERR08G01640 transcript:LPERR08G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSWEKHFDKISIEERSKFDEETLYNVQGVKMNRRYFKKPDSCTKENELLVLTILLAAEGKLEFPIVRSAADLTKVLGILNSIHANEIQGIQVLWTPQEEGDVLSEERLLKDYPHLKPLMKNLSAGSCAIPIASTTEGKDSS >LPERR08G01650.1 pep chromosome:Lperr_V1.4:8:1210917:1216164:1 gene:LPERR08G01650 transcript:LPERR08G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFWEKFWYSVAVVVGFVGVVFGLIFLIRKSLPPPRTISVVKLQVALGGVAAAKLFQKDLNKIAERVEGSSPRWYKFILTDSDSWNDHFNKISLDERSKFDEETLSNLEGIKRKKEYSTKTDGSKNEYIVLTILVAADGKLDFPEVIGSAADLEVSLAKLYSTPQTDLEGVHVLWAPQDEDDVLSKERMQKDYPYLKPLSNKADDQNSTHESVGTAASPPPPPVTVNPYARFCSNVALASGVIGALFLIARFCPSTHVIKLQVALRGNAAVKSLQKDLNKIAERTDDSNERWYKFILTETISSLRCHKDCCISTSLTVDVKYSIDWKDHFEKISMEERSKFDEETLSNLQGIKRKKVYSKKTDGSKNEYIVLTILVAANGILDFPQVIRSVADLETALAKLNSTPETDLWGMHVLWAPQDEDDILSAERIQKDYPYQKPLSSL >LPERR08G01650.2 pep chromosome:Lperr_V1.4:8:1210917:1216164:1 gene:LPERR08G01650 transcript:LPERR08G01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFWEKFWYSVAVVVGFVGVVFGLIFLIRKSLPPPRTISVVKLQVALGGVAAAKLFQKDLNKIAERVEGSSPRWYKFILTDSDSWNDHFNKISLDERSKFDEETLSNLEGIKRKKEYSTKTDGSKNEYIVLTILVAADGKLDFPEVIGSAADLEVSLAKLYSTPQTDLEGVHVLWAPQDEDDVLSKERMQKDYPYLKPLSNKADDQNSTHESVGTAASPPPPPVTVNPYARFCSNVALASGVIGALFLIARFCPSTHVIKLQVALRGNAAVKSLQKDLNKIAERTDDSNERWYKNHIFIALPQRLLHLYKLNNWKDHFEKISMEERSKFDEETLSNLQGIKRKKVYSKKTDGSKNEYIVLTILVAANGILDFPQVIRSVADLETALAKLNSTPETDLWGMHVLWAPQDEDDILSAERIQKDYPYQKPLSSL >LPERR08G01650.3 pep chromosome:Lperr_V1.4:8:1210917:1216164:1 gene:LPERR08G01650 transcript:LPERR08G01650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFWEKFWYSVAVVVGFVGVVFGLIFLIRKSLPPPRTISVVKLQVALGGVAAAKLFQKDLNKIAERVEGSSPRWYKFILTDSDSWNDHFNKISLDERSKFDEETLSNLEGIKRKKEYSTKTDGSKNEYIVLTILVAADGKLDFPEVIGSAADLEVSLAKLYSTPQTDLEGVHVLWAPQDEDDVLSKERMQKDYPYLKPLSNKADDQNSTHESVGTAASPPPPPVTVNPYARFCSNVALASGVIGALFLIARFCPSTHVIKLQVALRGNAAVKSLQKDLNKIAERTDDSNERWYKFILTGNANSKFLQSIESDHFEKISMEERSKFDEETLSNLQGIKRKKVYSKKTDGSKNEYIVLTILVAANGILDFPQVIRSVADLETALAKLNSTPETDLWGMHVLWAPQDEDDILSAERIQKDYPYQKPLSSL >LPERR08G01650.4 pep chromosome:Lperr_V1.4:8:1202986:1210917:1 gene:LPERR08G01650 transcript:LPERR08G01650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRLTARFATTAIAAGVRHPSPPIPLFRTPCRTSPPPPPSPGFRHLFTFPNRAPLPPPGPAAHLPASRRRRGRRRRSRDGGGGNRARGVERGDGRARDLLIPKQLIVVVDLLLVNIRPAVTPTAAAAAAEIGLAKTMQRDLNAIAIKVDTTKQRRYKFMLRETICSLNRHKDYCVSATISSDVKWTVDKVNSWEEHFDKISIEERSKTDEETLFNVEGIGMIKKYSKEPEDGKKEFIVLTIILAADGKLKFPKIRNTTDLITVLGMLNGVHATEIKGIQIIWTPQHEDDVLSEERLLKDYPYLKPGRSSSSSSMVTRPPPVTTPLAARHDTIPDDPDEPPRKRNPAPLLAAAAAAVASPRAALALSGGSMGGCSSSSSYSSSSSSSSDSSSSWSSSGSSWGSSSFSSPKKRRRRWRRQIWRRLTNRSARRLLHHRP >LPERR08G01660.1 pep chromosome:Lperr_V1.4:8:1217109:1217879:1 gene:LPERR08G01660 transcript:LPERR08G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDAKPRFFHLHLPLRPLLLLLIPFLSLLLLYSYSSSSSNAAVEFPLSPTPPSPHIRMRRAAFRTYEDYLRHQLNKTLNPRLRRVWATRDWERKVAAFAATFAALAADGLLSNTSRALCVGARLGQEVAALRLVGVRDAVGIDLAPAPPLVVKGDFHSQPFAGEVFDFEFSNVFDHALYPDKFAAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVGGLVGLFRRSEVVRISKVDAFGLDTEVVLRKKRSSI >LPERR08G01670.1 pep chromosome:Lperr_V1.4:8:1221238:1223282:-1 gene:LPERR08G01670 transcript:LPERR08G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGRRRKARRGGGGGVKKKKTKYLSLSRHLNLIKVEEDLGDVRSPELVVKEETPPSPELMVKEETPLSPEEDAGDRGEQQQMETFALFAAAPSLTDILGASSSSAAAGGGESSSPEVSGGTEIPGEEDDLARRALRGRERWVYCSSSSSPSATTATTTSSSSSSAVSTGASSGSDGGGGTTAARSLLLKLDYEEILAAWAGRGSLYIAAAAGEDHVAASKLKLDSVFVDVGHSPEPATAAAAAAETWSVAGTAARAEKVRRYKEKRNGRLFAKRIRYEVRRLNAVKRPRLKGRFIKEKEDVPMKSRRQLQIT >LPERR08G01680.1 pep chromosome:Lperr_V1.4:8:1229439:1232824:-1 gene:LPERR08G01680 transcript:LPERR08G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSSRLVASKPHSSSPAPPSPPPSSNTKTFSIYRWDPDSPSTKPHLKDYQIDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISPASSASTVSPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDPPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKYELKGKLYKAVCRDPDLPADMRDKFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTIKKLQLDA >LPERR08G01690.1 pep chromosome:Lperr_V1.4:8:1241298:1245941:1 gene:LPERR08G01690 transcript:LPERR08G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEARRHSVDIPISRALVAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDMEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHKQNCSSVISGMSRGVKDRASSLMIEGEQLGRREGGTFQESARSLLQKYRPKTFSELSGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSPGGNQPCGHCEECVAIFSGNSDCVIEIDASKLDCKYRVAALLRNACEVPASSHFKVLIVGDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDDAEIATRLSKICLSEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELIDLLNLAFSSDAATIVRRARELLSSKVDPMQLLAQLANLIMDILAGRHQSDMSEVRRITDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWKPLVLSLQNLLKCNVDIRINLSPISSSNRVMSKNSSVSLVMQSREDQEMQNPVATGCRTVASSRKECPSPLVGQPKEKPSHILGCLHATDGDVVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEGRARRGCLSTILQRGAGAPYRNPQSRDKNGDLPRKGLFKCCFCKIRPDCKTKAEETDEFQGHSKN >LPERR08G01690.2 pep chromosome:Lperr_V1.4:8:1242001:1245941:1 gene:LPERR08G01690 transcript:LPERR08G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEARRHSVDIPISRALVAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDMEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHKQNCSSVISGMSRGVKDRASSLMIEGEQLGRREGGTFQESARSLLQKYRPKTFSELSGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSPGGNQPCGHCEECVAIFSGNSDCVIEIDASKLDCKYRVAALLRNACEVPASSHFKVLIVGDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDDAEIATRLSKICLSEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELIDLLNLAFSSDAATIVRRARELLSSKVDPMQLLAQLANLIMDILAGRHQSDMSEVRRITDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWKPLVLSLQNLLKCNVDIRINLSPISSSNRVMSKNSSVSLVMQSREDQEMQNPVATGCRTVASSRKECPSPLVGQPKEKPSHILGCLHATDGDVVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEGRARRGCLSTILQRGAGAPYRNPQSRDKNGDLPRKGLFKCCFCKIRPDCKTKAEETDEFQGHSKN >LPERR08G01700.1 pep chromosome:Lperr_V1.4:8:1247305:1251314:-1 gene:LPERR08G01700 transcript:LPERR08G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSSLLQQYELQKEQQRAAAAAAAARGGGGGGGGVRHVRRTHSGKPIKVKKDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVDDYKKSVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRVTDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPNAEALIVKLLKEASEELLISSSQMMKGFSRFVDSLDDLCLDIPSAKSQFQALVSKAVSEGWLDSSFVHLGANGDVQDDEYEKLRRYKREAVSMIHEYFLSDDVPELLRSLKELGFPEYNPIFIKKLITIAMDRKNREKEMASVLLSSCMELFSTEDIVKGFIMLLESAEDTALDILDASDELSLFLARAVIDDVLAPLNLDEISSKLTPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWAVEDAKDKIAKLLEEYETGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNERILSLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFSVATSA >LPERR08G01710.1 pep chromosome:Lperr_V1.4:8:1253121:1254462:-1 gene:LPERR08G01710 transcript:LPERR08G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLSSIDVENTEENRRALRELLFTAPGALECLSGVILFEETLYQTTRDGVPFVDVLAAGGVLAGIKVDKGTVVLSGTDGETTTQGHDGLGQRCAKYYAAGARFAKWRAVLSIAGDSKPSELAVAANAQGLARYAIICQENGLVPIVEPEILVDGDHTVDRCADVTERVLAACYMALNDHHVLLEGTLLKPNMVTPGSDSEKISPEFVAEHTVRALMRTVPPAVPAIVFLSGGQSEEEATVNLNAMNVAAARLGEKKKPWSLTFSFGRALQQSTLKAWGGKEENVGKAQAALLARCMANSQATLGVYAGDAAAGEGVSESLHVKDYKY >LPERR08G01710.2 pep chromosome:Lperr_V1.4:8:1253121:1259153:-1 gene:LPERR08G01710 transcript:LPERR08G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVENFETALNKNYTTPYHELIRNAAYIGTPGKGILAADESTGTIGKRLSSIDVENTEENRRALRELLFTAPGALECLSGVILFEETLYQTTRDGVPFVDVLAAGGVLAGIKVDKGTVVLSGTDGETTTQGHDGLGQRCAKYYAAGARFAKWRAVLSIAGDSKPSELAVAANAQGLARYAIICQENGLVPIVEPEILVDGDHTVDRCADVTERVLAACYMALNDHHVLLEGTLLKPNMVTPGSDSEKISPEFVAEHTVRALMRTVPPAVPAIVFLSGGQSEEEATVNLNAMNVAAARLGEKKKPWSLTFSFGRALQQSTLKAWGGKEENVGKAQAALLARCMANSQATLGVYAGDAAAGEGVSESLHVKDYKY >LPERR08G01720.1 pep chromosome:Lperr_V1.4:8:1257656:1259845:1 gene:LPERR08G01720 transcript:LPERR08G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKASQDTALASPTPGRLGATAPLPALSPISSFASPPPEQSVAKSRGRKDGSGGASRSMRLRWPEEPPEVAAAASPSRSGTAPIGSGGVAGGGSGAEAGSRGRRRERRQVGAASQRRLWWLPVGTRPHGTTTDLAASRCGRRIRGGLRRLVLVTASVGVRPCGATAVASPWQRLRWRGGDNTGVATSGQIRGRRR >LPERR08G01730.1 pep chromosome:Lperr_V1.4:8:1260656:1262515:1 gene:LPERR08G01730 transcript:LPERR08G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAVGIIPSPSPTLLRENTRATVVSFPRRSPPITTSLILSISSSSPTPPPSANPKYHNAKVDAGEEVDGEEMLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKTRSAARRFRRRRFKGPYSFDEEQETKERMMDDDKDDNWDLPGGKLPSF >LPERR08G01740.1 pep chromosome:Lperr_V1.4:8:1265026:1267382:-1 gene:LPERR08G01740 transcript:LPERR08G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKERKLSRLGSKGGNGGGSFGARGQRSPAAAVAGTQRRLFAAFFFFLCAGVVVFGAAHVIGASFRPVLKTAWPSATLNAVSSERGAKQAGVVSVDTVLPSVHIQNAIALPDHVLLILRDGSLLPAPGRFECLYSPVNSSQLRRLPLSAAALPDGPSLVHCPAGPARVAVSLSLAQSAPVAPLQWDRLVYTALIDSRDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLKMTTGGKDSGNNDEKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIVYHSRIGVQRWFIYDNNSDDGIEDVLNTMDPSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPADWPRRFCEVYDTGLKDFVQKVFTDPHTGNLPW >LPERR08G01750.1 pep chromosome:Lperr_V1.4:8:1280886:1284107:1 gene:LPERR08G01750 transcript:LPERR08G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRAAAAAATVRSAARRARSPAASPLFQSANHPVAPPRLRRHFVAAAAALASVDSLMPLHSAVAAARLRSCIAADSSCWSCLSQGFGYLPTDKEIPAHITRNTNKK >LPERR08G01750.2 pep chromosome:Lperr_V1.4:8:1280886:1283685:1 gene:LPERR08G01750 transcript:LPERR08G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRAAAAAATVRSAARRARSPAASPLFQSANHPVAPPRLRRHFVAAAAALASVDSLMPLHSAVAAARLRSCIAADSSCWSCLSQDNESCPSDEVTGGAYVGTGILVGSTGSGPLDWRTAMAALIDGRALGSGCAHQSPTTMTDSISDVS >LPERR08G01760.1 pep chromosome:Lperr_V1.4:8:1283434:1301090:-1 gene:LPERR08G01760 transcript:LPERR08G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGCISCFCSSPSSSSCYAACQAIASLPLAAWIELTTGENLPSGGTMGSMVYASPLPHPSVPSPNLSLAPAAVAALSFNYINFGPDEQKDIRLEGDAAFSGDGGRWIDVSANRLTGGIAHSRGCASYARPIPQWDKDTGEMASFTTTFSFVIDPQDINNKGTGMAFFLACYPSRLPSAGSWAYNLGGLASPVTNQTADEIATGDDRLAATAAVSFNFSTFSNMTQNITLQGSASAALTAGTTGWIELTTGKNSSSSGTGTMGRVVYTPPVQLWDAATGEVASFTTRFSFNISPKNKSNKGDGMTFFLVSYPSRMPYMGFGGALGLTSQKFDNATAGDRFVAVEFDTYNNSFLDPDATYDHIGIDVNSLRSVKTESLPSFILIGNMTAIVDYNSNSSIMSVKLWANGSTTPYNLSSKVDLKSALPEKVAVGFSAATGSAIEQHQLHSWYFNLSLEQKQSTRVKSPLPSHAGVIAGVTVGAAVFAMLLFTAVVFLRRRQRKKMREEENDSSEGDPIVEIELGTGPRRFSYHVLVNATKNFAAEEKLGQGGFGTVYRGYLRELGLAVAIKRFAKHSSKQGRKEYKSEINVISRLRHRNLVQLIGWCHGRNELLLVYELVPNCSLDVHLHGDGTFLTWPMRINIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAAGIQTMTHPSGTPGYIDPECIITGKASAESDVYSFGIVILEVACGRRPMALMDDQNNGLFRLVEWVWDLYGQGTILKAADKSLNSDYDAAAMERAMAVGLWCAHPDRCARPSIRAAMSVLQSDGPLPVLPAKMPVPTYAPPAASSEGQHSSSTGMSCLLLPHVATLSFNYSSFTQANGNTIKLEGDASFGVGWIDISANRYNDIQYSKGRASYNAPMLLWNKDTGEVASFTTRFSFVINTPKDIGGINNKGTGMAFFLAAYPSMIPDGRDEYGYNIGLTNQSTDAVATGNNRFVAVEFDTFNNTMVHDPDATYDHLGIDINSVVSSKTLTLDSFTLTGNMSAVIEYDNASSILAMKLLLGYGRHGPRNGTYNLSYKVDLKSVLPEQVLVGFSASTTTSAELHQLHSWYFSSTLEPPLPSPTPTTSGSGHGGVVAGATVSAVLFSVLLFAVATVVVLRRWIKKRRSAEEVENGSWDGGDDDGEPIMEIEMGTGPKRFPYHELVKATNSFASEEKLGQGGFGAVYRGYLREEGLVVAIKRFAGDSSKQGRREYKSEIKVISRLRHRNLVQLIGWCHGRAELLLVYELVPNRSLDIHLHGNGTFLTWPMRIKIILGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNSKLGDFGLARFIDHNVGIKTMTAVSGTPGYVDPECLITGKVACGRRPMSLLDNHKNSIFRLVEWVWDLYGRGAPLMAADERLNSCYDTSEMESVIVVGLWCAHPDPSARPSIRAAMAVLQSNGPLPVLPTKMPVPTYAPPVTSSEGQLSLSTGMSSSSWTLTSVTPR >LPERR08G01770.1 pep chromosome:Lperr_V1.4:8:1311795:1314146:-1 gene:LPERR08G01770 transcript:LPERR08G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLNNLILFFFISVVFYLPPSPATALSFEFPNFSQVDQDHIKFEGNASFGAGYIDITSNNRAGNYQSMGRVSYNLPMQLWNATTGEVASFTTGFNFGINTSDINNKGDGMAFFLVSYPSRLPDKTADGGTLGLTSKSYDNISPGDNRFVAVEFDTFVNRAFDPNDTYDHIGIDVNSIRSVKTESLPSFSLMGNMTAVVDYNSSLSVMSVELSIGDSMYNLSYKVDLKGNLPEKVAVGFSAATGASVELHQLYSWYFNSSFEEKKPIQLVASPPPPRQQPSLITSNGSGIATVPPPSLKLKTSGSRRVGVIAGTATGASLFVVILFAVVAVLMRRRHQRKKRTEAKEVENGGWDGGDDDDDGEPIVEIEMGTGPRRFPYDELVNATKSFAEEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGMKEYKSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGIFLTWLMRIKIVIGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHTIGMQTMTAVSGTPGYVDPECVITGSASAESDIYSFGIVLVLEVACGRRPLSILDSQKNGVFRLVEWAWDLYGQGAIVSAADERLNGDYDVAKMERVIAVGLWCAHPDPSARPSIKVAMAMLQSNGQLPVLPAKMPVPTYLSPAASVEGPMKSSAGLSSSSATQSSSTEIGYATHTSSFSDTSTSAGSKDSSSLLKHQY >LPERR08G01780.1 pep chromosome:Lperr_V1.4:8:1317589:1319873:-1 gene:LPERR08G01780 transcript:LPERR08G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISLITCAISIVFFSACCLPPPPVAALSFNYSTFGSDQGQKDIGIEGDASSRVGCIDISANRASGIGGSTGRVSYTPPVQLWDAISGEVASFTTGFSFSIDPSDRNNKGDGMAFFLASYPSMLPNGAGGYELGLTNQNFDNVSTGVNGFVAVEFDTFRNTDFDPNDTYDHIGIDVNTVRSVKTMSLPNFSLIGNMTAVIDYDNRSSILSIKLSINGSGYSLSGKVDLKSVLPENVTIGFSASTGASVELHQLYSWYFNSRSSFEQKHTTEIASPLPSTPPQPEQPSLPSNSDSGRGGVVVGAIIGATLFIVLLFTMAAVILRRRSRMKKRMEAEDGENVNSDGDDDGEPTMEIEIETGPRRFPYYELIEATKNFAAEEKLGQGGFGAVYRGYLREHGLAVAIKRFAKDSSKQGMKEYRAEIKVISRLRHRNLVQLIGWCHGREELLLVYELVPNRSLDVHLHGNGTFLTWPMRVKIVIGLGSALVYLHEEWKQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHTVGMQTMTVVSGTPGYIDPECIITGRASVESDVYSFGIVLLEVACGRRPMSLLDNQKNSAFRLVEWVWDLYGQGAVLTTADERLNNDYNEAEMERVIVVGLWCAHPDPSMRPSIKTAMAVLQSSGHLPVLPAKMPVPMYASPVTSQDKLFASSVRMSMTQSSTTSTSGYTTHTSCSSDMSISATSKDSSSLLKHQC >LPERR08G01790.1 pep chromosome:Lperr_V1.4:8:1321940:1322425:-1 gene:LPERR08G01790 transcript:LPERR08G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGAEEVEAGTLALNFIHTMTSLFHSGSGGETHRAQHDVGGSAQARAVVLYQQAEQQVDIAGASHSKQRSNKRRRGRRGRRRGAAPTRTPLSQRRRQVVARGGVAEVTIPRGVEVTHPTTSMDLCTLPRAPIFSNISEYGGGLPLDLIVFSLAVVLRC >LPERR08G01800.1 pep chromosome:Lperr_V1.4:8:1325012:1327138:-1 gene:LPERR08G01800 transcript:LPERR08G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSMAASALACLLFLLGCLPNLASSISFNYSTFSNTTKNITLQGSAEFAGVDGWIDITTGSNLPSGGTMGRVAYTSPVQLWDAATGEVASFTTTFTFNITSTNLGNKGDGMAFFLVGYPSRMPDTADGAALGLTSRSFDTVMSGDNRFVAVEFDTFNNSFDPNATYDHIGIDVNAIRSVKTLSLPDFSLLGNMTAIVEFDSNSSVLSVNLWINGSTTPYSLSDKVDLRSALPEKVAVGFSAATGASVELHQLHSWYFNLSLEQKQSPEGVSPQPPSNSGSGRAGVVAGATVGAALFAALLFGVAAVVIRRRRSKKRRETQEAEKTSFEGDGNDDGDDGEPIMDIEMGMGPPVKIILGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHTVGIQTMTVVSGTPGYVDPECVITGRASAESDVYSFGIVLLEVACGRRPMRPLDNQMNGVFRLVEWAWDLYGQGDVLMAADERLNNDYDVAEMERVIVVGLWCAHPDPSARPSIKDAMAMLQSSGQLPVLSAKMPVPTYAPPVTSLEGLFMSSTELLFRVV >LPERR08G01810.1 pep chromosome:Lperr_V1.4:8:1348379:1352634:1 gene:LPERR08G01810 transcript:LPERR08G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDTKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTSDINIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKSQ >LPERR08G01820.1 pep chromosome:Lperr_V1.4:8:1353925:1355025:-1 gene:LPERR08G01820 transcript:LPERR08G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSNPTSASGAVSAAWRAALRPPPPSLLLTHHHRSTFSISAWSLSSSSFVRSTVLNQSVPTVVTLHHPSICVGSGDGWVAVSGLDLAGLMNPLTGEEIPFQFKFFPKKKKEKQMKLFKVVFAPNPTASDFTAAVITGGARVTYTINGNNGWIEVNCPCLDDEVDGIADVVYREKCGEKMVYCLMRSGGTHVLLLRHQKPATFQPLLDKPNTVFYPNAAFAPPYNTIRSHVKVKNLVLCDDGSFYQIWRSEDICTLALPLPGGGEYHVEEDQIFVLKYYPCRRPYWVEVKDLGGYSFFVGKNNVVALRVEDDGGMMMLRSNCVYWIKRFEDRAKVLDVKTGKSMQCFPDAKLHSAICWYNLRDTL >LPERR08G01830.1 pep chromosome:Lperr_V1.4:8:1356509:1364771:-1 gene:LPERR08G01830 transcript:LPERR08G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGATWDDLPPDLLSRIAVCTSWRAALRRPSPSLLVSGYRISALCLSPKSSTMIHQGPISLFPTTRCLGSGNGWVVIYKPCHGWLFSSRDISLLNLFTCENIPFQCFPKKKMELFNDPFLYPFPNKLKIVFAPNPTPSDFTAAVVITGGTTVTYTDRGGSGEDLKVQVYCLTIGGDVHVLNCHRQRTASFVPLFDKSSMEFYPAVAFAQPYDKIRNYPNTKNLLRETLKYYPRRRPCWVVVEDLNGHSFFVGKNNAVALYVDGDGGTPWLKSNCVYWIDDSLGQAKVFDMKTGKSQSLPGAIGYNGNDYAICWCNLGDTRSNTHGSVATSGYHLAKRARHSSTVIYPNVAISLFPIKHCVGAGNGWVAIYKTSLKNLFTGQEIPLHCFSGYYTFTNDLKVVFAPNPTPSVFTAAAIAGNATVTYTTEENSGWIKAKCPRLAQEDGITDVVYHEKGGSGDDLKVQVYCLTRGGDVHVLNCRRQRAAATFEPLFDKGSTDFYPTVAFAQPYNMIRNYANVKNLVVCDDGHIYLIWRKSNIGTTALSDGGECCVEKNQIFVLRYYPRRRPCWVAVKELNGHSFFIGKNNAVALYVDGGTPLLRSNCMYWIDDSLEQTQVFDMKTGKSQCFPSAAQGYFHRNVMIWCNLGDTWSNTHGSVATSGYQLAKRVRHV >LPERR08G01840.1 pep chromosome:Lperr_V1.4:8:1365600:1368028:1 gene:LPERR08G01840 transcript:LPERR08G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHGGWDELPSDLLARIADGLSIPTYTLLRAVCTALPMAASSLLVDLGNHRCSFWFVSTSISTVLQYQMSLQASSISPTKRCVGSGDGWVAVVQGLNCSGPVNPLTSMYIPFNSFPQLGKNMEVSKVVYHMFTIVANAVGATPTPAMMTLTYTTKGNARWTDTECRRLAQQDHIADIVYHKKAVYCLTGSGDVLILRLPGHRRRQSASFEPLFDKASRQHGVLSHGCVCATIRHDPRLCRYQELGGM >LPERR08G01850.1 pep chromosome:Lperr_V1.4:8:1380486:1388972:1 gene:LPERR08G01850 transcript:LPERR08G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQEETNYPPAKDGRTSQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVICENSCKDIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKAVNVDYSLRATTTTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNQVNDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLVARTTYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVLLMVLSPIGGLRQIIMDAKTYKFYS >LPERR08G01860.1 pep chromosome:Lperr_V1.4:8:1387182:1392601:-1 gene:LPERR08G01860 transcript:LPERR08G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQKFSKGHPLGFVPDYRHGVETMGESKGCNGSPERIDSGSSCAVPKRKCGTLKTDDGGEFPGFNVPRDVFMLPRMSSLDKKDLEMRLRKELEQVKALQSRLFSRQGAVSMNGGAVSASGDAIAKRNDGKLKRNYSLQPVRGVPPSAPPPVVSSANYAEGFKQCGNLLKNLFKHQWSSPFLTPVDAVKLNIPDYFDIIKNPMDLGTIEKKLNAGLYSTPWDFAADVRLTFENAITYNPRDNVVHTMAKTLLKIFETRWRFIEKKLPSLDDKLSMRREPSKKGAVKKDIVEKDYPSEKKLSAKGAPKKDVFKKEDAMHKPVLQPKKRKASPLVQDAIEIPVVEADKVIEDAQVVQTSKEVMTDEQKYELSARLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELEIDMNVLSDSTLFELQKLLDDSDRVNQSENPTKDDAREVEFQSEYGLSNSSVHHEEGNELVEEDVDIGGNDLPPLTYPPAVFENEQAERTSKHSTSSSSSSDSESSSSDSDSSSSSGSDIDVNVPPPTSGAKDDTHSVDRLDEENDPLNTSNLPQQSSDPVPISAEDEGENASEKQASPVKNYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDKEELERLRREERARLQAEAKAAEDARKRAEAAAASEAAAEAKRQREREREAARKALQQMEKTVEINEGNLFLKDLEMLGTVTTGEQFPSTVGETSPSHTPEALGFQLGSNPLEQLGLYMKNDDEEDEEGEPAHGPTFDVEEGEID >LPERR08G01870.1 pep chromosome:Lperr_V1.4:8:1394173:1397577:1 gene:LPERR08G01870 transcript:LPERR08G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASVLAPPSASSAAADASSFSYLAMLGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVGLAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPTQVFAGGVLGFAVATFTAMIAGLGNSG >LPERR08G01880.1 pep chromosome:Lperr_V1.4:8:1397004:1400225:-1 gene:LPERR08G01880 transcript:LPERR08G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAATAAPAAVPALVSPLSRRAFLPLPRRAGPKSLRLFASAVRRRGGLVVVAADAAAAAGGAEFSDEENPYEILGITPLDSFDHMKLAYKRKHKEAEENGDEYYLAKLEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRPSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFGFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAALNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >LPERR08G01890.1 pep chromosome:Lperr_V1.4:8:1400613:1405210:1 gene:LPERR08G01890 transcript:LPERR08G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRNVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGGENVASEEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEREIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRQEEKRLATWGTDVPDDLVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMKDFLH >LPERR08G01900.1 pep chromosome:Lperr_V1.4:8:1405650:1406318:-1 gene:LPERR08G01900 transcript:LPERR08G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSNGWPMSPAARSTSRRTRGSNSKPWRWWRKCAGLAAAIGSKIRLRAVRWPRQGDSRRRRHASSSTATTTQNGWCHHRSFAPVYVDELYTHPKSHHVAVHATPPPPQQPITGNDAGKVASRRRNAAAIDAGAKNAGESGKAPRKKATTATATAASNGGKMRGGVRRLVMSPMRGGGVCGMGEVDVRAEMFIRKFREEMRLQSQKSAEEFHAMLARGL >LPERR08G01910.1 pep chromosome:Lperr_V1.4:8:1410059:1415031:1 gene:LPERR08G01910 transcript:LPERR08G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVPRLDGYSVQIGDRLYVFAGYENLDHVHSHVDVYNFSSNKWVERFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPMPKPNSHIECAWVVVNNSIVIVGGTTEKHPITNKMILVGEVFRFDLDTLTWLVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHI >LPERR08G01920.1 pep chromosome:Lperr_V1.4:8:1416122:1420258:1 gene:LPERR08G01920 transcript:LPERR08G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNRLAGAPPPPPPPPPPPPILPPRGVPPAPSENHNTAIAISAPLLHPAGADAAPLARWLRKLEAFLTAAGLAASSPLGKAGAASALAVLGVALPAVAVVMSPCRGRRMGMGSCDEFEVEVFEVCVLMSQAAAAAVALGCVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFRIILWWILPCFVVKVTREMFRFAHIFQESAWRSCAVLFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPMVYLKEHLQLRYNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYASTPNSSGNLMPFPAHMFLRDFSESDLESLESGSVQGSSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >LPERR08G01930.1 pep chromosome:Lperr_V1.4:8:1425633:1426691:1 gene:LPERR08G01930 transcript:LPERR08G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRTISTCTTETVKGVHRFEIFNYSLQNMESDSFIRSGIFHVGGFDWSLLYYPEDDDSMGCIGVFLELMTKYGEAWARFNVCLINQESGQAKLLFSQSDEPHLFKATGSPTMGADKCMKMTDIELTPGFIMNDCLVIECAVSVMFEPKVSKTRALCDIEVPPSNILLDFATLLDDPEGADVTFKVGEEIFRAHKVVLAARSPVFKAQFYGPMKEKMMKDIIVPDMQPAVFQVLLHFIYTDSVPRKDRLNDDENKHLMMHLLKAGDRYGLERLKIMCESFLAMNLDVETVSDTLRLADCHGCKKLKEACMNFMIPPDRMDDVVESIGYQQLKRRYPSLAIEVWERRNRIRIE >LPERR08G01940.1 pep chromosome:Lperr_V1.4:8:1428251:1429306:1 gene:LPERR08G01940 transcript:LPERR08G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSSAMPPETTTSGTYVMEINGFSGLRKQHCGGDSYVISPETFTVAGLDWAVQYHPDGDADEETGHVAVFVVLVTKDATAWAHVDFRLLDHAAGEMVSFFREKDPILFDSGSEDLSTWGTGELAARIFLENSPYLAGDCLKIECSLTVCLNRLNFAADDEDEDDGHPPPLQFPVADDDETVDVTFEISGEKSTAHSSILAARAPGLLTVTTVSGDETTTAAAFRALLQFVYTDTLPAAIGDGGETAAMLRDILLAADRHGLARLAAICERAMCRTIDAAAAADTLAMADRHGFAVLRRRCAEFMASPDNYFLVEKSAGYGRLDPSLRREISNMYYDIYCSRYGAPDV >LPERR08G01950.1 pep chromosome:Lperr_V1.4:8:1430297:1432461:1 gene:LPERR08G01950 transcript:LPERR08G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPGHRLGGRGEEEAGNGGERRRRRKQLTLQVPHESPLEGDAGDGTFLLIVTDRIIQSDQLIVLHAG >LPERR08G01960.1 pep chromosome:Lperr_V1.4:8:1433925:1441758:-1 gene:LPERR08G01960 transcript:LPERR08G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARSRVFRAELYGAMKENGAGHVITITDIQPSVFKAFLHFIYTDDMPPELLAVAGEEDENNNTTKVDMARHLLVAADRYGVERLRVICERVLCRSLDVATVMDTMALADRHSCSDLMEACVEFIASQKKESVMETDGCKNLKRTCPSVVVDMWERITTTATATESMSKIETVWGTHRFTFHGYSLSKGVGAGRCFRSGTFTVGGFDWCICFYPEGQHDGAGAGGAAVGDHVSVSLRLLSRGATARAFYVLRLIDQDTGRPAAVARRTDGEPRVFASETETAACCFGRRAFMERSKLESSPSCLRDDCVVIDCAVRVVLDVPVVAAVRRGETPEDDLLLPPSNILRQIVSQIEADGADVTFTVGEKGNNPETFTAHRMMLAARSPVFRAELYGSMRENDTGHVITIRDIQPAVFKALLHFIYTDDMPPELLAVAGEEEDNDDDDTNRSVDMARHLLVAADRYAVERLRVICERVLCRSIDVETVMETMALADQHSCGELKEACVQFVGRHSKERIVETEGYKYLKRTCPSLIVDMWERIVRGREI >LPERR08G01980.1 pep chromosome:Lperr_V1.4:8:1446516:1447373:1 gene:LPERR08G01980 transcript:LPERR08G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESTSKMKTVTGTHRFTFHGYSLSKGVGAGRCFRSGTFTVGGFDWASAATTPTATTAPRPGPSSSSASSTRTPAAPQRVFASNRPDAACFGRRAFMERAKLEASPSCLRDDCVVIDCAVTVVLDKPVVAATDDGNLPPSNILRQIVSQIEADGADVTFTVGEEGNNPEKFTAHRLMLAARLPVFRAELYGAMRENDSSHVITITDIQPSVFKAFLHFIYTDDMPPELLAVAGEEDENNNTTKVDMARHLLVAARTDTAWRGYG >LPERR08G01990.1 pep chromosome:Lperr_V1.4:8:1447418:1459956:1 gene:LPERR08G01990 transcript:LPERR08G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMALADRHSCSDLMEACVEFIASQKKESVMETDGCKNLKRTCPSVVVDMWERITTTTRSTKSTCTSTTVEGHHRFAINDYSKLRAGLAAGEFVRSGSFSVAGYDWAVVFYPRGVSSSHAAAVYVQLMTPLVAVAAASFEIRFVRSDSGKPCPVHPPVDSPLEFSTLARSSTAMFGVKVESMRIFEANYIRRDILNIDVTVRVVGKPFVSPATALPEIHLPAPDLPRHLGNLLERKVTHADVTFDVAGELIPAHRLILATRSPVFNAQLYGPMSHVTAGDGDDAVIKVGDMQPGVFKVLLGYIYTDTLICGNMLARSLTATTVASTMALAERHGCPGLREACAEFVISMGMNDEVLISRQGGDKINCVSIFQHFFKEIASSKSTKEAQTVLLPVSLSSSVSMATATACTTTTVEGRNRFVVAGYSATKGAAAGHRVVSGTFTVGGFEWAVAFYPEGVTAADRDYISLYFEIKHLAGVATATAARAFYDISIVHPVTGESRSAAKLPGAAHAAPPRVFSSAFPSWGHPRFMRWREMEAAGFVAGDRLTVDCVVNVIQDPVVTAGESPEHEQRQPPSNILDHIAGLLGDIDTADVTFAMNSGELLAARSPVFKAELYGAMKESSSNSPIAIDGVEPAAFKSLLQFIYTDKIADEFDGDDEMIPHLLEVADRYDVMRMKLMCELMLCKSIAVDNVAARLALADQHHCQKLKEACVEFLATSKMESVLESQGYKNIKFGCPSFMVDLWEIIGRKMSSYSVIPKIHGAHAFTVYQHGLVKKTTAAGDFIRSGTFAVGAYDWAVRYYPNGGTGGDKGDGVRKGGEPSVIVELMTKGAVVTAMYELKVVDQITGTRLVIRDGKTAAFDTRNNEHSCSGVQIVAAGGDEEMRPFVAGDFLTIECFVTIFGEPRVSKTNTPPPPPPSERSNIS >LPERR08G02000.1 pep chromosome:Lperr_V1.4:8:1456303:1456734:-1 gene:LPERR08G02000 transcript:LPERR08G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDIVEFAVGSGNDGRTKAVDVTAPGGGALTGGSRPSGGGDRGYGGDRGYGGGGGGGRYGGGGGGYGGDRGYGRGGGCYNCGESGHIARECPNKNY >LPERR08G02010.1 pep chromosome:Lperr_V1.4:8:1460157:1463281:-1 gene:LPERR08G02010 transcript:LPERR08G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPASSGKAGSDSSAAPPAVANGNGAATTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQSCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSTNSPITGAASRLASMQRLPHHFLNALRTKKSLVKKDIVGINKWRFEKLKEHLQEDIDAENEAYERMETLVSEAKVRLKSDSANADGFKDRIATILDQKIKELLESKSTYEDDNPCDQNLDGHPEPVKLSIKQQMERSTKMTELLAKMIRAQSEDDLKPCSGISSQLFGSNQESTAAATIPYSFPKLWTRLEIDDAMMSNINGEFSFSNEVMQL >LPERR08G02010.2 pep chromosome:Lperr_V1.4:8:1460157:1463281:-1 gene:LPERR08G02010 transcript:LPERR08G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPASSGKAGSDSSAAPPAVANGNGAATTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQSCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSTNSPITGAASRLASMQRLPHHFLNALRTKKSLVKKDIVGINKWRFEKLKEHLQEDIDAENEAYERYTQNVGLLEETFGVTEDADVEPEDEPTSSEERMETLVSEAKVRLKSDSANADGFKDRIATILDQKIKELLESKSTYEDDNPCDQNLDGHPEPVKLSIKQQMERSTKMTELLAKMIRAQSEDDLKPCSGISSQLFGSNQESTAAATIPYSFPKLWTRLEIDDAMMSNINGEFSFSNEVMQL >LPERR08G02020.1 pep chromosome:Lperr_V1.4:8:1463607:1468246:1 gene:LPERR08G02020 transcript:LPERR08G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYETASSVASGRQIKLFPEMIQLKKKIMRCWGPDSMAIEDMGVNLSTSRNKERSEEQDMNVF >LPERR08G02030.1 pep chromosome:Lperr_V1.4:8:1464396:1468048:-1 gene:LPERR08G02030 transcript:LPERR08G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMFDNQTKPCRSRVDSKSNVNVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLANVENVNTTNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELTLQSELRQCRENPRVSELEKELDSRKNEIDRLVRLKASIETEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGDNVSSSGNLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLALIEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDDRTCHVDDCDGNARLDENSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDEAVSCNKDETIREKRELLVDKYDFGRSESSRFLLSKSEICKTQSMDIEKRALRIPNPPPRPSVSKPNSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >LPERR08G02040.1 pep chromosome:Lperr_V1.4:8:1471044:1476130:-1 gene:LPERR08G02040 transcript:LPERR08G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNSMVPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHTNAVARISDREKKAPLKT >LPERR08G02050.1 pep chromosome:Lperr_V1.4:8:1485677:1491699:1 gene:LPERR08G02050 transcript:LPERR08G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNVGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFTKGRKDMSVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIDYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPHGYGSN >LPERR08G02060.1 pep chromosome:Lperr_V1.4:8:1493581:1495769:1 gene:LPERR08G02060 transcript:LPERR08G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARVAGKTLTRRRISPRATLVAVAAAAAMMMTTTMMTESAVYGRVEEEAHEEAEEEAPKDEAEI >LPERR08G02070.1 pep chromosome:Lperr_V1.4:8:1497112:1497420:-1 gene:LPERR08G02070 transcript:LPERR08G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVITCSQCAASRTGFGVFYRIGWAHPSDYPAKADCTLLIGGWKLGRAWRSNLAQSSTRSSCLWNARVFQQKFRTAETLVADIKEEAALWKLAELFIQSNN >LPERR08G02080.1 pep chromosome:Lperr_V1.4:8:1500986:1501362:-1 gene:LPERR08G02080 transcript:LPERR08G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTKILAKVIGNEGNEIVLQHDSKVVGFLIKLGDHEYVELTHHIVAVPLDGHLVLNVTILFEGDHENECV >LPERR08G02090.1 pep chromosome:Lperr_V1.4:8:1501395:1502027:-1 gene:LPERR08G02090 transcript:LPERR08G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIKDPEFDVAHPIKINGTMLTRDQQDYTCEIGTMPNASSPPEDTLNFDMAISRHLL >LPERR08G02100.1 pep chromosome:Lperr_V1.4:8:1505867:1507135:1 gene:LPERR08G02100 transcript:LPERR08G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPKKLESILREEARKNAKSFERISGKLFTPKGGGTFLIRLIPSTSSSFDEPVYLLYRWSDLYFESFYTRRRWFRLKDHKEKLPPRSQLLYPENEDGVYILTNTTNYGSIGGKSIVLGPRAWDHCHTTFLKAGDLIRQSNKKSLTSGDSPALAVPQWIINNTVSADLLAPQEFTELFDIWGKLSSVLFSGDLPDELRKTYTLEQIAKMIGILMTGKREVVSQQSSPKKFEERP >LPERR08G02110.1 pep chromosome:Lperr_V1.4:8:1522400:1524847:1 gene:LPERR08G02110 transcript:LPERR08G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLQMDGSDPPAASPSTAAAGDDERAAAPAPAAQPEWCEALAGAIAGVLGGALEEHEARFVATARSQDELAAAVERLNGELDKLLENAPSPVIMQQAARISSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHSQS >LPERR08G02110.2 pep chromosome:Lperr_V1.4:8:1522400:1524847:1 gene:LPERR08G02110 transcript:LPERR08G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLQMDGSDPPAASPSTAAAGDDERAAAPAPAAQPEWCEALAGAIAGVLGGALEEHEARFVATARSQDELAAAVERLNGELDKLLENAPSPVIMQQAARISSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHSQS >LPERR08G02120.1 pep chromosome:Lperr_V1.4:8:1525003:1528331:-1 gene:LPERR08G02120 transcript:LPERR08G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGAGEPKLLHARRDSPVVVVGKPLPSGAMPRHAYVFDGEGRFADAPWDVAAAPGEFTWRHIELPRQNPSGTAKPLHHAQALIELLSPPLTLQEILAFVATGPHCGVVDAAGGGGALILRVSSPGSVASGFALRLAARVTESSVVTVSVGGVPRLAFGATQASILTEIPLGVIATEEEDGGGGGGGGRAVEGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCANKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANRDGFFNVITRRALTRSSSQSKRHLKLFKGHKEDIGHRVCQRKFQFQLEHGGSTPDCVYFRLPAG >LPERR08G02130.1 pep chromosome:Lperr_V1.4:8:1530041:1531432:-1 gene:LPERR08G02130 transcript:LPERR08G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCRRVVQYRRGVAGVCCPGCNTLTAVDPSAAANMSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSAAANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNMVVGVTSWKR >LPERR08G02140.1 pep chromosome:Lperr_V1.4:8:1545293:1546407:1 gene:LPERR08G02140 transcript:LPERR08G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIDGLPSSSSRRRRRRRRGGAAASSASSPSPPPPAPAASPTPPTTTRGSRGGGPSTGATATATATAEGTPVSITVNVHNFEAALNEIIEEARRLLAEVNEVVNSLRRRLDSDAFETKHQQ >LPERR08G02150.1 pep chromosome:Lperr_V1.4:8:1553031:1560680:-1 gene:LPERR08G02150 transcript:LPERR08G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHCRGHIAHCLGGALAGRDTVAVSGRCRLTGPGLADGVRGLAAGLSELGVRPGHVVAVVAFNSVEYIELFLAITYIGAIIAPLNYRWSFEEASHAVDLVRPSVFIIDDSFRSWALRLMKSKSLTSINLYLIMGDPCSTSQAANFVSIEQIKRSSGGTRAAEPVSAPNDVALICFTSGTTGQPKGVAISHTSLIIQSLAKIAIVGYGEDDVYMHTAPLCHIGGISSCMAILMAGGCHVLLPKFDAKSALDAILEHRVTSFITVPAIMADLLSYARKEKISGHGITVTKILNGGGGLTSELISGASYLFPHATIFSAYGMTEACSSLTFMVLNRPKLQQPNDQLGYYAGGVCVGRPAPHIEIRIDRDGRNSSSSPVGNILTRGLHTMSGYWVNNSIDISGPIKNGWLDTGDTGWVDKTGNLWLMGRQKGRIKTGGENVYPEEVESVLSQHPGVAKAVVIGLPDSRLGEKVVACVSIRDGWKWVDAGAEHMGEGREVSAHVLQDHCRMKKLSRCSTKFRNAERREAAAAVDVAEGGGAEVEPLHAWIGPGPRQAAGERGVLLTRDAPGERWRVLRLPRDAADERWRVFTAAKGAVFVGGGFALRAGEAGSGNWKLSCHVRCNLVDSSEKKVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRSEEAIEAIRSFRDRCPNEAQESIDNILLDLYKKCGRTKEQIEMLTVRLRIVDEELASGRWKTKMSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAEAKYLLQAIPDNCSDESHLRSLARATEMLMELESPTLPSPITQMKSKESLIWLASDVENLEHLQPRTLSTPLTQLKSEEPHISVAADAEKHEDCNSQVFPSPITQMKREEPQIVIATSEEKNEECLSKYQDLSRLFNDAATPQSLLEKLRKRLVKEDKPNISMQRQVQIPSFNTCSQNCDGATDASENIRQQEKALVDGVGKTWADMVEEDEHQLDNVASTICMDSTEQNVSSKHANEKMYRTPSSSQGSNTLQRSSVGNHLQSSSAGSWRRSDSKIFACENSKFVRTAPAWRQHKVEDYSNRVSQRLDTVRLSEKAQGTEQSPWRSSTAQRSLFPEWKSKCERYGHGYVPFGDNAHFQGSSQSEAAHRWPKNVTNGRPWRQQNRLRVFQEITNEINQKVV >LPERR08G02160.1 pep chromosome:Lperr_V1.4:8:1561287:1563645:-1 gene:LPERR08G02160 transcript:LPERR08G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMTKAQKKVRYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVYAENTGNKEFLELMPLLVGNVGLIFTKGDLKEVREEVSKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAAAAGGSAAPAAKEEEKKEEPEEESDGDLGMSLFD >LPERR08G02170.1 pep chromosome:Lperr_V1.4:8:1564695:1569649:-1 gene:LPERR08G02170 transcript:LPERR08G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWDRESEIREAQYITPKCGIIYVLTIWMSSNMACLKLGSRADVFKKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLEQCIREKIENGEDSCVVDLSDIPGGAKAFELAAKFCYGVKFEMTSSNVVHLRCAADYLKMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALLACDDILDLAEKLQIVKRCIDSIATKSCTDPDLFGWPVAQYGGPMQSPGGSVLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKKLISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSMSSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAMDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLMPTTSGEQYRPGWPLASRENQALREGMDNMKQRVSDLEKECSTMRDEIERLGRSQSTGKSRFSLAGVKPQICSTKEAIPTATTARSEEKLAAVKGDTTPRLKLSRHKKLSIEA >LPERR08G02180.1 pep chromosome:Lperr_V1.4:8:1574168:1578885:1 gene:LPERR08G02180 transcript:LPERR08G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWRPSHLVLLAGAAYLLLISLKFRRVLDLATADLTAATTDAAFSSPSSSDHLPPLPPTSTSNSTTPPLFDAHPFWHRYDRVSLPDIALRNRSTLDRMADDAWALGLTAWEDAAAFAGDPWELAAVGDAASSTDKCPSAVSMRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNEDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSLPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEIRSSKVVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGAQNLTAVHIMKCDDDTFVRVDVVVRHIKLNSGGKPLYMGNLNLLHRPLRTGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTSSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >LPERR08G02190.1 pep chromosome:Lperr_V1.4:8:1582871:1585487:1 gene:LPERR08G02190 transcript:LPERR08G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLLSIILCSWIFVVVSWKKLNCMRLRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFASHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKARDAKKIASLDDYNKEDLDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDAVVGRSRMVAESDLGNLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNTRIWDAVDEFRPERHLPPPENGNGNGRVEISHLPDFKILPFSAGKRKCPGAPLGVVLVLMALARLFHCFDWSPPDGVRPEEIDTQEVYGMTMPKATPLVAVAVPRLAPHMYARHGKQG >LPERR08G02200.1 pep chromosome:Lperr_V1.4:8:1585952:1586999:1 gene:LPERR08G02200 transcript:LPERR08G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSVIGVAVVALLAVAVAVEGAVTCGDVDASLMPCVAYLTGKAAAPSGECCAGVKHLRTLPVGTAERRFACECVKKAAASIP >LPERR08G02210.1 pep chromosome:Lperr_V1.4:8:1588451:1590030:1 gene:LPERR08G02210 transcript:LPERR08G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDHDKECEHHKLPSLHKRKNLLAISYDAPAPAKLAWNGDKLRSNAARLTSPFCTRRDKLRDAHNQPNMPGQATIQDTKHVHNVLVLISVSGPVNVIAIKVAESDVGYHISIFGTVLMSDRQDYRCVYLFRHTLTLTGPKRGLASNGSMYIEFNLKIKGDVGADKDFSKGFLEHSAVAHEKPLMTLELESFMSTVAFIYTPVPCAVQATLALNFLEGLTNFTGKGQTIVMSDGHVALARNIVAVHCNDELVLQVSVFDGGNEVDTDEECIRKTGPYVLQDKVGWTGIIARRREIWERVGRFGNILW >LPERR08G02210.2 pep chromosome:Lperr_V1.4:8:1587777:1590032:1 gene:LPERR08G02210 transcript:LPERR08G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKVKKRFGVNMGGEGAKIGLGSPIHSTPYSRFWMLESSVNVIAIKVAESDVGYHISIFGTVLMSDRQDYRCVYLFRHTLTLTGPKRGLASNGSMYIEFNLKIKGDVGADKDFSKGFLEHSAVAHEKPLMTLELESFMSTVAFIYTPVPCAVQATLALNFLEGLTNFTGKGQTIVMSDGHVALARNIVAVHCNDELVLQVSVFDGGNEVDTDEECIRKTGPYVLQDKVGWTGIIARRREIWERVGRFGNILW >LPERR08G02210.3 pep chromosome:Lperr_V1.4:8:1587737:1590032:1 gene:LPERR08G02210 transcript:LPERR08G02210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSVNVIAIKVAESDVGYHISIFGTVLMSDRQDYRCVYLFRHTLTLTGPKRGLASNGSMYIEFNLKIKGDVGADKDFSKGFLEHSAVAHEKPLMTLELESFMSTVAFIYTPVPCAVQATLALNFLEGLTNFTGKGQTIVMSDGHVALARNIVAVHCNDELVLQVSVFDGGNEVDTDEECIRKTGPYVLQDKVGWTGIIARRREIWERVGRFGNILW >LPERR08G02210.4 pep chromosome:Lperr_V1.4:8:1587777:1588373:1 gene:LPERR08G02210 transcript:LPERR08G02210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKVKKRFGVNMGGEGGERS >LPERR08G02220.1 pep chromosome:Lperr_V1.4:8:1590867:1592871:-1 gene:LPERR08G02220 transcript:LPERR08G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCQVGERASTDGTGKQCATDVNKSDLAAMKRKPRKRELTWEEKVVKVLHMVLRREITEYNHKMFCSAPTRFCDYNIAFFDLDKESDLEPGPPVKSLTFSECWWHIDSINVIAIKVVESDVGYPISIFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDRMYFEFHLKIKGNGGVDKVFSKGLQEHNAISYTRKPMTLSLESWLSTIDLVYSPVQFAVEASVAINIKGVLSSKFAGKVTAWSTGDDENEIVLYDSEVEGTNKVLGAGGSVDLTRRFVAVKLDDALVLNVCVFEGDHETQIFEVVLGHNDEECIRKQGPYELQVKVVWTAALENRRRPMWKGIGDFRVLW >LPERR08G02230.1 pep chromosome:Lperr_V1.4:8:1600516:1603566:1 gene:LPERR08G02230 transcript:LPERR08G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIPTRPPPPATPSRVHALYELCKRTFPSPSSVSTAAVSSSRSPPPDNAIRAISSLMDTITPVDVGLRGDNFEDGKGFGFFESNFLKDSARVARWAQPITYLHVFECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVLYPQSGGNIHCFTSVKSCAVLDVIAPPYSESSGPAGHTKVRGPDSYAWLEALSVPVNINMHSGMYTGPTVQEHLP >LPERR08G02240.1 pep chromosome:Lperr_V1.4:8:1608445:1613144:-1 gene:LPERR08G02240 transcript:LPERR08G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAARGAWRDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIVKIEEGMTADIYVSSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASIGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESVSWNFPPFTLFLNCLCTFILNLSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKVKPQGNEQQGADSKVNAGSPQDVETSSISSKEAFFYSRC >LPERR08G02250.1 pep chromosome:Lperr_V1.4:8:1613901:1616283:-1 gene:LPERR08G02250 transcript:LPERR08G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAVVSWEPDWEGKVKIVLGLVRRYLVSELEPKSGRVIPYRFYGYGPYIDPYTFHPDHECTAGLGPPLSKLPPSRWCLLMPSVDVLFVEVLESDVGFPVNLYGTVLVRDELNHKCIYLFRRDRDNCQVVESSYDSLPLIGPSRGLVACDELLFEIDLKFRCDDDHHHGDKNFSKGIIEHDNVYYERFNFKKHELTSWLSTVGLTCAANIRYGVEATIKVKIIKGPRYFHGKIKDRTAKNPNNILLYDSDEVSCNAIISGCDDDGFVNLSRRVVAVNVDDELLIRARVWHGDDIKGQSCTVRFVSPGMQPDEQYCNCFVGSYVLRFEVSWSAIVRDAKRVEGDIVMF >LPERR08G02260.1 pep chromosome:Lperr_V1.4:8:1621405:1626424:-1 gene:LPERR08G02260 transcript:LPERR08G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEARHSTRSSMATVSSEPDASASQCEELVHFIKSTFGELEGQNHCWLNCMNDTWKTLNQGSYLILLYESCGTSDNHGELMSAFRRLKYLQQRYPRLAVFAMEYGVDITSLAQSQAVHTVMKEYISFPILMSDKDFSNMTNGACYLLFEGSEDHVLFSKWDEELEVLIKGMDNTVMKTEPSETALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISDSNGTILDYIGSSPGFEDGEFESAKFLRPAASFYNASEDCLYVVDSENHAVRKADLGRRVLQTVYPASSKSTGIWSWLVEKLGLRREDAPSENFDPDSISFPWHLLKITEEDFLVADRNFETLWILSMSTGQKQEIGRGRAEEMESYQQAINEKCALLKDVNRNWSSSAKEHSDLLGKIPFKELASSIARFQNYIVFCDADSQRVLKYDLDTKDISNIQFSNFGVLGLPYWFVCHLERVSTWGHSTGQFQQHIRQVNVLPGRCNIKVSVDIPADTELASPLAESCIWRQVRGSGAEISGSDEPATNTEKVGIAQQWYDEIDNLAFSEVHEEPTEHEGDENPSDGNFQDQRRVNFTCVVNVSPGTCELVASAALYLKIDSTKGDHGEQKALIKKIIQCHCREEHAGIEQLMENCKDARDLTIMKPVHLRLMLECADHPAGATNKETINSESSFEINISLD >LPERR08G02260.2 pep chromosome:Lperr_V1.4:8:1621405:1626424:-1 gene:LPERR08G02260 transcript:LPERR08G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEARHSTRSSMATVSSEPDASASQCEELVHFIKSTFGELEGQNHCWLNCMNDTWKTLNQGSYLILLYESCGTSDNHGELMSAFRRLKYLQQRYPRLAVFAMEYGVDITSLAQSQAVHTVMKEYISFPILMSDKDFSNMTNGACYLLFEGSEDHVLFSKWDEELEVLIKGMDNTVMKTEPSETALESRVSWQKEEVPKEPYVGSIGSSPGFEDGEFESAKFLRPAASFYNASEDCLYVVDSENHAVRKADLGRRVLQTVYPASSKSTGIWSWLVEKLGLRREDAPSENFDPDSISFPWHLLKITEEDFLVADRNFETLWILSMSTGQKQEIGRGRAEEMESYQQAINEKCALLKDVNRNWSSSAKEHSDLLGKIPFKELASSIARFQNYIVFCDADSQRVLKYDLDTKDISNIQFSNFGVLGLPYWFVCHLERVSTWGHSTGQFQQHIRQVNVLPGRCNIKVSVDIPADTELASPLAESCIWRQVRGSGAEISGSDEPATNTEKVGIAQQWYDEIDNLAFSEVHEEPTEHEGDENPSDGNFQDQRRVNFTCVVNVSPGTCELVASAALYLKIDSTKGDHGEQKALIKKIIQCHCREEHAGIEQLMENCKDARDLTIMKPVHLRLMLECADHPAGATNKETINSESSFEINISLD >LPERR08G02260.3 pep chromosome:Lperr_V1.4:8:1621405:1626424:-1 gene:LPERR08G02260 transcript:LPERR08G02260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEARHSTRSSMATVSSEPDASASQCEELVHFIKSTFGELEGQNHCWLNCMNDTWKTLNQGSYLILLYESCGTSDNHGELMSAFRRLKYLQQRYPRLAVFAMEYGVDITSLAQSQAVHTVMKEYISFPILMSDKDFSNMTNGACYLLFEGSEDHVLFSKWDEELEIGSSPGFEDGEFESAKFLRPAASFYNASEDCLYVVDSENHAVRKADLGRRVLQTVYPASSKSTGIWSWLVEKLGLRREDAPSENFDPDSISFPWHLLKITEEDFLVADRNFETLWILSMSTGQKQEIGRGRAEEMESYQQAINEKCALLKDVNRNWSSSAKEHSDLLGKIPFKELASSIARFQNYIVFCDADSQRVLKYDLDTKDISNIQFSNFGVLGLPYWFVCHLERVSTWGHSTGQFQQHIRQVNVLPGRCNIKVSVDIPADTELASPLAESCIWRQVRGSGAEISGSDEPATNTEKVGIAQQWYDEIDNLAFSEVHEEPTEHEGDENPSDGNFQDQRRVNFTCVVNVSPGTCELVASAALYLKIDSTKGDHGEQKALIKKIIQCHCREEHAGIEQLMENCKDARDLTIMKPVHLRLMLECADHPAGATNKETINSESSFEINISLD >LPERR08G02270.1 pep chromosome:Lperr_V1.4:8:1628434:1633107:1 gene:LPERR08G02270 transcript:LPERR08G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGALFGGGGGGRRREVERTPANGGEHRRRAMLSKKYSYIPDTYHSLDQVTAALREQGLESSNLILGIDFTKSNEWTGKRSFYGQSLHKIGDTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFGFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFEPIVEAAVDIVDRSRGQYHVLVIVADGQVTRSVDTNQNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARHFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVKPAPPPLPAAQRQPSLRREGSNAAAAATTADSPREDQVCPICLTNAKDLAFGCGHMGMWGEPDQMPNLSTDDSVQAEAKKLIAFSAAAPPPPSHYHSQSTKTWCVANPSANEAALTANLEFSCSESDCAAIQGNGGCSFPGHDNSLPTRASVAMNSYYQAKERNSWNCFFNGTGLITITDPSSGSCKYA >LPERR08G02270.2 pep chromosome:Lperr_V1.4:8:1628796:1633107:1 gene:LPERR08G02270 transcript:LPERR08G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGALFGGGGGGRRREVERTPANGGEHRRRAMLSKKYSYIPDTYHSLDQVTAALREQGLESSNLILGIDFTKSNEWTGKRSFYGQSLHKIGDTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFGFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFEPIVEAAVDIVDRSRGQYHVLVIVADGQVTRSVDTNQNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARHFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVKPAPPPLPAAQRQPSLRREGSNAAAAATTADSPREDQVCPICLTNAKDLAFGCGHMGMWGEPDQMPNLSTDDSVQAEAKKLIAFSAAAPPPPSHYHSQSTKTWCVANPSANEAALTANLEFSCSESDCAAIQGNGGCSFPGHDNSLPTRASVAMNSYYQAKERNSWNCFFNGTGLITITDPSSGSCKYA >LPERR08G02280.1 pep chromosome:Lperr_V1.4:8:1633336:1635417:-1 gene:LPERR08G02280 transcript:LPERR08G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAANPNTDDPAAQPQPGAAAAAAAVAAGAAPPPVPQAPPALDLTEQPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHSQDHIAMRDMSKIHP >LPERR08G02280.2 pep chromosome:Lperr_V1.4:8:1634087:1635417:-1 gene:LPERR08G02280 transcript:LPERR08G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAANPNTDDPAAQPQPGAAAAAAAVAAGAAPPPVPQAPPALDLTEQPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPN >LPERR08G02290.1 pep chromosome:Lperr_V1.4:8:1644149:1644562:1 gene:LPERR08G02290 transcript:LPERR08G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAEYKRAHGELMAEAAAMAAECEVDVHIIAFLPGGAGATKHTFVGAPTDATVAAEETKRNQAAAKDKAKLMAFIGKDVSKMTMEEAKTHHGKLMNLRANVIRKLQQKAAAATSIAGDGDDEDGHRNKISKIGS >LPERR08G02300.1 pep chromosome:Lperr_V1.4:8:1645181:1645694:1 gene:LPERR08G02300 transcript:LPERR08G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASRSQRWSLAGKTTIVTGGTKGIGRAIVEELAGFGVRNAGAAGGDGEITGSVCDVSARGDREALVAATRKVIDGRLDILVNNVGQMLFAAAADTSPTDYARIMATNLESSFHLSQLLAPK >LPERR08G02310.1 pep chromosome:Lperr_V1.4:8:1647054:1648582:-1 gene:LPERR08G02310 transcript:LPERR08G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAESYRRPSYPYSAVLDWAAQPLQLQKPPPRPAVTAHRSTRSSTSCCRAPRRIDDEPSRCTARCEETARQRIRCAARKDEAAAAAQDLLKVDAARVHKTAAAQSRKDAAAPMRKAHGRARVPLVPKQSSSGYGAAYSTKVLHRRQPLLQRLLSNWKLKKLETVKLGYPGQLYT >LPERR08G02320.1 pep chromosome:Lperr_V1.4:8:1652373:1652618:1 gene:LPERR08G02320 transcript:LPERR08G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATAVECGVDVHVIAFLPGGDGATKHTFLGAPTEATAAAEVAKRNQAAAAKDKTKLMAFVGKDVSKMTMEEAKTIRN >LPERR08G02330.1 pep chromosome:Lperr_V1.4:8:1660460:1661008:1 gene:LPERR08G02330 transcript:LPERR08G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNGKNQQAQSSSSSASTAAADEQREATGMSPALARTADDFNRAHGELKAEAAAMAAECGVDVHVIAFLPGGDAATKHTFLGIPPDATVAAEEAKRNQAVAKAAKDKAKLMAFVGKDVSKMTMEEAKTHREKLMNLRANVIRKLQQKVAPATSIAGGGGDDEDEDGHRNKISKIGSSLAS >LPERR08G02340.1 pep chromosome:Lperr_V1.4:8:1667709:1668230:1 gene:LPERR08G02340 transcript:LPERR08G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEKKNQQSHPSNSSAAAAADAESTRPAMTTPVAEYKRAHDELMAEAAAMAAECEVDVHVIAFLPGGDCATKHTFLGAPTASMTAAKAAAEEAKRNQAAKKKATLMAFVGKDVSKMTMEEAKTHHEKLMNLRTNVIRKLQQKTAAAAATSVVGDGDEDGDGHCNKIRKIDS >LPERR08G02350.1 pep chromosome:Lperr_V1.4:8:1677217:1684699:1 gene:LPERR08G02350 transcript:LPERR08G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEARKERSKWDAKGPPDIVEISEDESLPLNTDDQHKSGDLLHPNRDFAHGKDSQTGESVNLKSAVSMHHGSAGHEQDRADGHNKDIKERSSKASSERLHPLRGDEDHNKNDWHSRGFEKATGNQGMSRYTDDRRRSDGWGTTISRGYSSRISSGPDAWKQRSRSPLSPRGGWNRPRRNRSRSRSRSRSRSRSIGRGRGRSRSRSPYFNDRGSEWRLDRGRPALPCRDFVAGRCRRGSNCRFPHEDGVRRQFDEHYPIDSRERYGHQNREFMDSREQDDYIRSRPSRGGHYDEGTWERSEPRREYRSTMQCHDFVKGRCSRGANCRYNHDDSTSHSGWRDEVRDSAIGRGGPDASFGSRVDHRRTNKNPCKFFANGHCRRGQNCPYLHEEASQSQTGLSAPDDPLGYTGGTTTRGNYLNWSEQNNAVQASSHVLSRDDRENPVPQGISRNDPRYENKNRHPKDPGSSQYQIFPQEDFGSLEQKKSEIAASQPPHFLPSIQTDAKIINIDNVSETGGQSGPGAIGNLSMQSGMHVANLVGGQNLDQKAESQDAIAQISAAPSLPGATQLQNTTSSVPFNGQLQQSDFSLHPNRQDQFVVPHATTNNSAPVQSQPVAPYMGHSQHSYIMGAQALPDLSAHNGHVFSVAGQVPQNLPTIMHTGQAQAANDTPNPGRDSGDQSFQNTHNFQPVAPNELTQNQTLQGLSVLANSSSVDMAGAPLSHNAVSSLTASLAQYFGPVLTVDTTGQQSSQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGTIQPAHTVQSEQPAPQTFQAPMASGSSNGPLPLPYSVAPIAPAAVLAGHETTPAENKKEPKDTDAEALEDGENKKGKDSKALKMFKLALADFVKDALKPTWKEGQMSREIHKTIVKKVVDKVTTTVENTPQTKDKIDIYMSYSREKLNKLVQAYVGKYAKKD >LPERR08G02360.1 pep chromosome:Lperr_V1.4:8:1686779:1690521:1 gene:LPERR08G02360 transcript:LPERR08G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAVGNPNSAAAFRVTPPLRAGSLRVVAGGSLAQRRGVAAAAMQPAKAVAAEASPEVAENGAAVSGLPRPDAFGRFGKFGGKYVPETLMHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLGCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRIIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKFLQL >LPERR08G02370.1 pep chromosome:Lperr_V1.4:8:1692052:1697372:-1 gene:LPERR08G02370 transcript:LPERR08G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWMVMTRRVCDQGEMMIPGRNLSPAMIGRNGVGGVAYASSSSALSLGQSLLDGHHHQLPSVLQQHHHNGQHLMDQHQHQLPPQATTTSESDARNPRDELEMSKSGGSDNLESGGGGGGSGEDQDPNQRPRKKRYHRHTQHQIQELESFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENDKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAVAVSAAYPPLPPPPPSNHHLGMPTADVFSADFDKSLVIELAVAAMEELVRMAQLGDPLWVPAIGGEALNEDEYARAFPRGLGPKSPDLLSESSRESAVVIMNHVSLVEMLMDVSQWNSLFSNIVSRSATLQVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRETHFLRYCKQHPDGTWAVVDVSLDNLRSATGIAAVPAARHRRRPSGCLIHEMPNGYSKVTWVEHVEADDSMVHDLYKPVVNSGVAFGARRWVATLERQCERLASAMATAANVVGGDIGGVITTAEGRRSMMKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDTTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAIAGGADNASALAPPCPR >LPERR08G02380.1 pep chromosome:Lperr_V1.4:8:1711651:1716750:1 gene:LPERR08G02380 transcript:LPERR08G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAVGSLVPSRKREYKACNKLTEGKRPLYAIAFNFLDVRYYDVFATVGGNRVTTYSCLKDGNFAILQAYVDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPSIKN >LPERR08G02390.1 pep chromosome:Lperr_V1.4:8:1716921:1726376:1 gene:LPERR08G02390 transcript:LPERR08G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGETDEAKPDKEADTASDSKNGTKPMDVDKAKTKRKLYVGQELEFRRDHMKVISPMKDGTVTDWDIVDNIWNHAFRQRLLINPEEHPMLIAEPSTNTGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSSVVAAVHDGYSVATSPIGGEFLTDCMMKSLESKGVAIRPRYSFKKKEISPGEYTVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >LPERR08G02400.1 pep chromosome:Lperr_V1.4:8:1727543:1731622:1 gene:LPERR08G02400 transcript:LPERR08G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVPLEGLGHEVVVGSLTPSREREYKITNMHTEGKHPVYAIVFNFLDVRYYDVFATACGPRISTYRCLMDGKLALLQGYIDDNSDESFFTVSWACDVDGKPLLVAAGSTGIIRVINCTSEKIHKSLIGHGGSVNEIKSQPLKPSLIISASKDESIRLWNVQTGICILIFAGVVGHRHEVLSVDFHSSDIYRFASCGMDNTVKIWSIKEFWPYVEKSYSWTDATSKFPTKFVQFPVLIAEVHSNYVDCTKWIGDFILSKSVENEILMWEPITKEENSNEGHIDVLQKYPVPGCNIWFIKFSCDFHLNQLAIGNREGKIYLWEIQASPPVLIARLSNPQVKSAIRQTAMSFDGSTILACTEDGNIWRWDEVDQPAIPNKLAIVPSKKQK >LPERR08G02410.1 pep chromosome:Lperr_V1.4:8:1733524:1737154:1 gene:LPERR08G02410 transcript:LPERR08G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTSPSPSRRRLLLPLRPLLLSLPLLLLFLLLLIHHHHPTLPPPPPPLLSTNSHRDDSPPPPPSPSPTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPSHAFLFLDSPSPAAAASSPLPPNLHIVVSSTDSTKFPYTHPRGLPSAVRVARIAKEILHHHNPTSPPARWLVLADDDTAFVLPNLVHTLSRYDWREAWYIGARSESAAQNAWHGFAMAYGGGGIAVSWPLAVRLARVLDSCLIRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAIEHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNVTDVFMFDTKHYPRDECKRAALFFLKSISSGEGKTKGNYNRQLPKKCLPNLIPLRNLRQIRVTSEPLHLVPGKALRRHCCDVVPSSSETNMDINIRKCKDDELIAMHS >LPERR08G02420.1 pep chromosome:Lperr_V1.4:8:1738898:1739767:-1 gene:LPERR08G02420 transcript:LPERR08G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFESEDPLVHDEPMLDDVDSDEEQSESESEDDSGEEFHAEPSKKAIYNKEAILEKLEDIGWPENVDWRHKLTVEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRSKEVQAQKNKEKIRQKKEQIDSVKKWRKQRQQGGFSKGNDDGPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKKGNPGKNRKTRDSKYGHGGRKGLKKQNTAETTNDFRGFNQRGESQNKKRKMS >LPERR08G02430.1 pep chromosome:Lperr_V1.4:8:1744956:1752534:1 gene:LPERR08G02430 transcript:LPERR08G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVRARGGLDAAMAAAVVMVAAVVVAQAAVAGATTYTVGAPEGLWDMETDYNEWVNRRTFHPGDKITFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDVITLAAVGTRYFLCGLTGHCDSGMKIRIDVIAAASGPSAAAGPTAAPPPSTTSASSPPVTAGIGLVHVIFSSIDSTAIMAAKALLILAMASAVLTTALGATTYTVGAPNGSWDMRTNYAQWVSNINFRVEDQIVFKYSSSVHDVVEVTKANYDSCSASGAIATFTSGDDTVQLNAVGTRYFICGVPGHCTAGMKVAVKVEAATGSNTAPSPRTPAAMAPNAIPPTVGGRPVPPSSSASKSVGVRSLVGLSLSAIAAGLMINLHITPTAIMAAKVLLLVAMASAVLATALGATTYTVGAPNGSWDTRTNYAQWVSSINFRVGDQIVFKYSSSAHDVVEVNKAGYDACSASGPIATFTSGDDTVQLSAAGTRYFICGVPGHCTAGMKVAIEVKAATGSNSAPSPMAPRAGTPAAMAPNAMAPKAGGRPVPPSSSASKSVGVESLVGLGLGVLVAGLMVFY >LPERR08G02440.1 pep chromosome:Lperr_V1.4:8:1755965:1767020:-1 gene:LPERR08G02440 transcript:LPERR08G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVNRRAAPGGGGTPTIWMDPGHVAAAPSLPARIHLAVAPSSKEHQQKQLAVHVEDLWNEWEIQVLVLASFSLQVFLLLFSGVRKRATYNILSFLLWLAYVSADSLAIFVLGHLTFHIYGGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNLLWRRHLLNFVIQVLLAAYVVGKQWKGESKQLLAPMVLMFISGTIKYAGRISALMLAAEQTTRRSRVRRSRDSLMAPGYRVWLLPDWLRIQELTNYKRLILEANKSISAYMGFLMDIALPPTNRASNNYNLLQQRLSTEQRVYACYKLTELQLSNLYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAALFLFAWADLRGNLFYYKRADIIVSYILLAGAAILDTLSVSIVISSFQAVQDYGRSHDVMFSIIRCVHPEGKAQWSHKLAQYNLISGCIQEKRAAAGGCGFLECIMFNVLGMNPSHTTYVDVSHELKKELLDKLIQVGGHEDDVWDISKFTGQWAKLELQSKMQIESSSRAHLQVLLSDSIDRAASFMSSVLTWHILTDICFFHEDDTGCSSSSGGPSREFSNYMMYLCSEYGMYSGSDAGNVMLQNAQGFIYDCLGDCQESLDQISAVVRHITAKLGHLTPTEFVDPREKLINAEPALILAFQLSEELLKIKEASDRWDIILNVWMEMLCYMAFHCESGFHVEHLSKGGEFITHQRPAWEFREEEENSIGDSQFPLTREVEASQLTEENLNLMACVVVATCYHRQIYPGLSRLAAHVEELWNEWEIQVLVLVSFSLQVFLLLFSGIRKRTTSKLLITLLWLAFVSADSLSIFVLGHLTYHINVGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNMLWKRHLLTFSIQMGLAAYVVSKQCQGDNKHLLAPMVLMFISGTIKYAGRISALMLAAEPTTVEAEPIQELTNYKLLVLGANRRFRTSIGFLMDKELPLIYMCRDYYELLHLCLSTQQGVNVFYKLIELQLSILYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAPLFLFAWADLRGNLFNYSRADIIVYYILLGGAAILDTLSVSIVILSFQAVKDERRCHDVFFSTSEREAQWSHKLAQYNLISGCIKEKRAAAGSGGGLLKCIMLNVVGMNLSHTTYVDISHELKKQLLDKQTHVGHHEGHEVWDISKFTGQWAKLELQSKIQIESSSKTRLQALLGESIERAASFMSSVLTWHIATEICFFSCSSSSGGPSRELSNYVMYLSSEYGMYSGSDAGNVMLQNAQGFIYDYLGDCRESLDQISAVVRHITAKLVLTFQLSKELFKIQEANDRWDIILNVWMEMLCYMACNCEAGFHIEQLSKGGEFITHVKLLVLNLNRPYSLDP >LPERR08G02440.2 pep chromosome:Lperr_V1.4:8:1755965:1766458:-1 gene:LPERR08G02440 transcript:LPERR08G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLAVHVEDLWNEWEIQVLVLASFSLQVFLLLFSGVRKRATYNILSFLLWLAYVSADSLAIFVLGHLTFHIYGGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNLLWRRHLLNFVIQVLLAAYVVGKQWKGESKQLLAPMVLMFISGTIKYAGRISALMLAAEQTTRRSRVRRSRDSLMAPGYRVWLLPDWLRIQELTNYKRLILEANKSISAYMGFLMDIALPPTNRASNNYNLLQQRLSTEQRVYACYKLTELQLSNLYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAALFLFAWADLRGNLFYYKRADIIVSYILLAGAAILDTLSVSIVISSFQAVQDYGRSHDVMFSIIRCVHPEGKAQWSHKLAQYNLISGCIQEKRAAAGGCGFLECIMFNVLGMNPSHTTYVDVSHELKKELLDKLIQVGGHEDDVWDISKFTGQWAKLELQSKMQIESSSRAHLQVLLSDSIDRAASFMSSVLTWHILTDICFFHEDDTGCSSSSGGPSREFSNYMMYLCSEYGMYSGSDAGNVMLQNAQGFIYDCLGDCQESLDQISAVVRHITAKLGHLTPTEFVDPREKLINAEPALILAFQLSEELLKIKEASDRWDIILNVWMEMLCYMAFHCESGFHVEHLSKGGEFITHQRPAWEFREEEENSIGDSQFPLTREVEASQLTEENLNLMACVVVATCYHRQIYPGLSRLAAHVEELWNEWEIQVLVLVSFSLQVFLLLFSGIRKRTTSKLLITLLWLAFVSADSLSIFVLGHLTYHINVGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNMLWKRHLLTFSIQMGLAAYVVSKQCQGDNKHLLAPMVLMFISGTIKYAGRISALMLAAEPTTVEAEPIQELTNYKLLVLGANRRFRTSIGFLMDKELPLIYMCRDYYELLHLCLSTQQGVNVFYKLIELQLSILYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAPLFLFAWADLRGNLFNYSRADIIVYYILLGGAAILDTLSVSIVILSFQAVKDERRCHDVFFSTSEREAQWSHKLAQYNLISGCIKEKRAAAGSGGGLLKCIMLNVVGMNLSHTTYVDISHELKKQLLDKQTHVGHHEGHEVWDISKFTGQWAKLELQSKIQIESSSKTRLQALLGESIERAASFMSSVLTWHIATEICFFSCSSSSGGPSRELSNYVMYLSSEYGMYSGSDAGNVMLQNAQGFIYDYLGDCRESLDQISAVVRHITAKLVLTFQLSKELFKIQEANDRWDIILNVWMEMLCYMACNCEAGFHIEQLSKGGEFITHVKLLVLNLNRPYSLDP >LPERR08G02440.3 pep chromosome:Lperr_V1.4:8:1755965:1767020:-1 gene:LPERR08G02440 transcript:LPERR08G02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVNRRAAPGGGGTPTIWMDPGHVAAAPSLPARIHLAVAPSSKEQRPAWEFREEEENSIGDSQFPLTREVEASQLTEENLNLMACVVVATCYHRQIYPGLSRLAAHVEELWNEWEIQVLVLVSFSLQVFLLLFSGIRKRTTSKLLITLLWLAFVSADSLSIFVLGHLTYHINVGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNMLWKRHLLTFSIQMGLAAYVVSKQCQGDNKHLLAPMVLMFISGTIKYAGRISALMLAAEPTTVEAEPIQELTNYKLLVLGANRRFRTSIGFLMDKELPLIYMCRDYYELLHLCLSTQQGVNVFYKLIELQLSILYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAPLFLFAWADLRGNLFNYSRADIIVYYILLGGAAILDTLSVSIVILSFQAVKDERRCHDVFFSTSEREAQWSHKLAQYNLISGCIKEKRAAAGSGGGLLKCIMLNVVGMNLSHTTYVDISHELKKQLLDKQTHVGHHEGHEVWDISKFTGQWAKLELQSKIQIESSSKTRLQALLGESIERAASFMSSVLTWHIATEICFFSCSSSSGGPSRELSNYVMYLSSEYGMYSGSDAGNVMLQNAQGFIYDYLGDCRESLDQISAVVRHITAKLVLTFQLSKELFKIQEANDRWDIILNVWMEMLCYMACNCEAGFHIEQLSKGGEFITHVKLLVLNLNRPYSLDP >LPERR08G02440.4 pep chromosome:Lperr_V1.4:8:1756149:1766458:-1 gene:LPERR08G02440 transcript:LPERR08G02440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLAVHVEDLWNEWEIQVLVLASFSLQVFLLLFSGVRKRATYNILSFLLWLAYVSADSLAIFVLGHLTFHIYGGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNLLWRRHLLNFVIQVLLAAYVVGKQWKGESKQLLAPMVLMFISGTIKYAGRISALMLAAEQTTRRSRVRRSRDSLMAPGYRVWLLPDWLRIQELTNYKRLILEANKSISAYMGFLMDIALPPTNRASNNYNLLQQRLSTEQRVYACYKLTELQLSNLYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAALFLFAWADLRGNLFYYKRADIIVSYILLAGAAILDTLSVSIVISSFQAVQDYGRSHDVMFSIIRCVHPEGKAQWSHKLAQYNLISGCIQEKRAAAGGCGFLECIMFNVLGMNPSHTTYVDVSHELKKELLDKLIQVGGHEDDVWDISKFTGQWAKLELQSKMQIESSSRAHLQVLLSDSIDRAASFMSSVLTWHILTDICFFHEDDTGCSSSSGGPSREFSNYMMYLCSEYGMYSGSDAGNVMLQNAQGFIYDCLGDCQESLDQISAVVRHITAKLGHLTPTEFVDPREKLINAEPALILAFQLSEELLKIKEASDRWDIILNVWMEMLCYMAFHCESGFHVEHLSKGGEFITHQRPAWEFREEEENSIGDSQFPLTREVEASQLTEENLNLMACVVVATCYHRQIYPGLSRLAAHVEELWNEWEIQVLVLVSFSLQVFLLLFSGIRKRTTSKLLITLLWLAFVSADSLSIFVLGHLTYHINVGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNMLWKRHLLTFSIQMGLAAYVVSKQCQGDNKHLLAPMVLMFISGTIKYAGRISALMLAAEPTTVEAEPIQELTNYKLLVLGANRRFRTSIGFLMDKELPLIYMCRDYYELLHLCLSTQQGVNVFYKLIELQLSILYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAPLFLFAWADLRGNLFNYSRADIIVYYILLGGAAILDTLSVSIVILSFQAVKDERRCHDVFFSTSEREAQWSHKLAQYNLISGCIKEKRAAAGSGGGLLKCIMLNVVGMNLSHTTYVDISHELKKQLLDKQTHVGHHEGHEVWDISKFTGQWAKLELQSKIQIESSSKTRLQALLGESIERAASFMSSVLTWHIATEICFFSCSSSSGGPSRELSNYVMYLSSEYGMYSGSDAGNVMLQNAQGFIYDYLGDCRESLDQISAVVRHITAKLGHLTPEVFIDPIEKLIEAEPALLLSPHFPTFQGAV >LPERR08G02450.1 pep chromosome:Lperr_V1.4:8:1760903:1761971:1 gene:LPERR08G02450 transcript:LPERR08G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWMEPGGEAATWGESYLHRNGTNWRYVARFWCELHQTQQRNYMEFSVKLQAQKKYISANKGYSYHINYNKALNNGDIRTAYTPAAIMESKPVTAKNNIPARDQY >LPERR08G02460.1 pep chromosome:Lperr_V1.4:8:1767970:1769073:1 gene:LPERR08G02460 transcript:LPERR08G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLERMSLLAVVVVAAAFTTVSGASYGVGEPNGGWDMQTNYTAWASSINFHLGDQLVFKYSPAAHDVVEVTKAGYDACSAASPVAIHRTGQDAVELNRLGSRYFICGVPGHCNAGMKLQVRTRCGSALPPGGGVCLDGSSPPTIISSPGVISYSSSPASSGIFSTVIVTMAATTVILILLMV >LPERR08G02470.1 pep chromosome:Lperr_V1.4:8:1769477:1771577:-1 gene:LPERR08G02470 transcript:LPERR08G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDAGGGGGGDDWFLDCGILEDLPAAACGAFPWDAKPSCSNPSVEVSTTLSSYVGTSDALKEPGSHKRVRSESCGRPTSKASREKIRRDKLNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQMKILTATPAYMPHPTLMPAPFPQASLAPFHPAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDNEACPPVA >LPERR08G02480.1 pep chromosome:Lperr_V1.4:8:1775928:1780563:1 gene:LPERR08G02480 transcript:LPERR08G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACGMVADARQVFDGMPTRSEVTWGVMMGGLVDARRPRAALALFRELWEEVGGDVAPRVVVVAVRVATALGSVRVGQQLHCCIAKTGMWDDQYLSCALLDMYSKCGQLDEARRVFDGMTQKIVVAWNSMLASYSLHGCSEEALDLYHDMCKSGVDIDHFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIIGNTALVDLYCKWGQMEDARNVFERMPCRNLISWNALIAGYGYHGMGHRAVEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFLSMTQNPRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFVPTANMWGALLTACRIHKNMQLARLAAEQLLAMEPQKINNYAVLLNLYINSLRQTEASKVVETLKRRGLSIHAACSWITVRKKDHRFFFKDSLHPQCSEIYKKLDSLLKEIKQFGYVSEENELLPDVLPDEQKMSKVYHSERLAVAFGLISTPSSTTLRVTQCHRLCHDCHKVLKFVTQVTKREIVVRDGSRFHHFKLGTCSCGEYW >LPERR08G02480.2 pep chromosome:Lperr_V1.4:8:1775928:1780563:1 gene:LPERR08G02480 transcript:LPERR08G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACGMVADARQVFDGMPTRSEVTWGVMMGGLVDARRPRAALALFRELWEEVGGDVAPRVVVVAVRVATALGSVRVGQQLHCCIAKTGMWDDQYLSCALLDMYSKCGQLDEARRVFDGMTQKIVVAWNSMLASYSLHGCSEEALDLYHDMCKSGVDIDHFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIIGNTALVDLYCKWGQMEDARNVFERMPCRNLISWNALIAGYGYHGMGHRAVEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFLSMTQNPRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFVPTANMWGALLTACRIHKNMQLARLAAEQLLAMEPQKINNYAVLLNLYINSLRQTEASKVVETLKRRGLSIHAACSWITVRKKDHRFFFKDSLHPQCSEIYKKLDSLLKEIKQFGYVSEENELLPDVLPDEQKMSKVYHSERLAVAFGLISTPSSTTLRVTQCHRLCHDCHKVLKFVTQVTKREIVVRDGSRFHHFKLGTCSCGEYW >LPERR08G02480.3 pep chromosome:Lperr_V1.4:8:1775928:1780563:1 gene:LPERR08G02480 transcript:LPERR08G02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACGMVADARQVFDGMPTRSEVTWGVMMGGLVDARRPRAALALFRELWEEVGGDVAPRVVVVAVRVATALGSVRVGQQLHCCIAKTGMWDDQYLSCALLDMYSKCGQLDEARRVFDGMTQKIVVAWNSMLASYSLHGCSEEALDLYHDMCKSGVDIDHFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIIGNTALVDLYCKWGQMEDARNVFERMPCRNLISWNALIAGYGYHGMGHRAVEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFLSMTQNPRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFVPTANMWGALLTACRIHKNMQLARLAAEQLLAMEPQKINNYAVLLNLYINSLRQTEASKVVETLKRRGLSIHAACSWITVRKKDHRFFFKDSLHPQCSEIYKKLDSLLKEIKQFGYVSEENELLPDVLPDEQKMSKVYHSERLAVAFGLISTPSSTTLRVTQCHRLCHDCHKVLKFVTQVTKREIVVRDGSRFHHFKLGTCSCGEYW >LPERR08G02480.4 pep chromosome:Lperr_V1.4:8:1775928:1780563:1 gene:LPERR08G02480 transcript:LPERR08G02480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACGMVADARQVFDGMPTRSEVTWGVMMGGLVDARRPRAALALFRELWEEVGGDVAPRVVVVAVRVATALGSVRVGQQLHCCIAKTGMWDDQYLSCALLDMYSKCGQLDEARRVFDGMTQKIVVAWNSMLASYSLHGCSEEALDLYHDMCKSGVDIDHFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIIGNTALVDLYCKWGQMEDARNVFERMPCRNLISWNALIAGYGYHGMGHRAVEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFLSMTQNPRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFVPTANMWGALLTACRIHKNMQLARLAAEQLLAMEPQKINNYAVLLNLYINSLRQTEASKVVETLKRRGLSIHAACSWITVRKKDHRFFFKDSLHPQCSEIYKKLDSLLKEIKQFGYVSEENELLPDVLPDEQKMSKVYHSERLAVAFGLISTPSSTTLRVTQCHRLCHDCHKVLKFVTQVTKREIVVRDGSRFHHFKLGTCSCGEYW >LPERR08G02490.1 pep chromosome:Lperr_V1.4:8:1779969:1785296:-1 gene:LPERR08G02490 transcript:LPERR08G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRANGVNACAILSNSTTGQESPREVEDRGASLWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKMLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAYMPNGSLASHLYGENIAPLRWDLRVNIALDVAKGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDAVQALMRVVKHNQSRKHHNRRLPAGRTDDESVDLEASEVQSSLSGHQRQESYNLLGPKLCNAYPVS >LPERR08G02500.1 pep chromosome:Lperr_V1.4:8:1790720:1791194:-1 gene:LPERR08G02500 transcript:LPERR08G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAAAMSSAATTCAAAARRRRRRARSLGGSPQWRPSLGDIYEEYSTADAAAAKLRRSVAAAGRSAGRDAARVLPRAHSDEHRHIETAASMPAFAPAAFLF >LPERR08G02510.1 pep chromosome:Lperr_V1.4:8:1794268:1797607:-1 gene:LPERR08G02510 transcript:LPERR08G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPATPPPKADPSPNLFVSGLSKRTTTDGLRDAFAKFGEVIHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKAQISAATRHEFAATTIMGPSIQFLGCEQTF >LPERR08G02510.2 pep chromosome:Lperr_V1.4:8:1794391:1797607:-1 gene:LPERR08G02510 transcript:LPERR08G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPATPPPKADPSPNLFVSGLSKRTTTDGLRDAFAKFGEVIHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRSPQQQDMNSQPQQSWGPPSSSWGAQ >LPERR08G02520.1 pep chromosome:Lperr_V1.4:8:1798682:1800208:-1 gene:LPERR08G02520 transcript:LPERR08G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPTTAAAARLAARAFYFAVPLAPKPSALFPRAAAAAPSFPSLAVAPPVQGRAARRQQPVAAAAAGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVNEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRQQAAQPESASS >LPERR08G02530.1 pep chromosome:Lperr_V1.4:8:1802727:1804429:-1 gene:LPERR08G02530 transcript:LPERR08G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIYIVYYSMYGHVAKLAEEIQKGAASVEGVEAKLWQVPETLSDDVLAKMGAPPKKDVPIITPTDLAEADGLIFGFPTRFGMMCAQFKAFMDSTGGLWNSQQLAGKPAGIFYSTGSQGGGQETTAILLADGPMSIMRLTAITQLVHHGMIFVPVGYTFGAGMFEMEKVKGGSPYGSGTFAGDGSRVATELELQQAHHQGKYFAGIAKKLKGSA >LPERR08G02540.1 pep chromosome:Lperr_V1.4:8:1808680:1811958:-1 gene:LPERR08G02540 transcript:LPERR08G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALSDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLISAISPPAPPPPAPEEKRPAAPPAASEEVCAMLRLAKSGPEGRKVVMESGDVAALLRWCAAGDETAARAVLHLSLDGDDARVGLVADGAVDALSAASREGRLELARIPGIVAVLAAVAGGGNARAIEQALVVLNWICGESGELAREAIKIGAFHLCEALVNDDNCKIAKNAVELARTLEKLLLGLKLLRNAPGGKLS >LPERR08G02550.1 pep chromosome:Lperr_V1.4:8:1816676:1830253:1 gene:LPERR08G02550 transcript:LPERR08G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGRGPPLSGSSGELYSGMGWYVAYSGIGSYILGVLVLPHLNLKKEKYRKYFLNSTALLFISLTAHHLWNEWEIQMLVLLSFSLQVFLFLFSGIRKRSTSKILSTLLWLAYVSADSIAIFVLGHLSLHINGRTHGLVLFWAPFMLLHLGGQELITAFSMEDNMLWKRHLLNLTTQVGLAAYVVGKQWQGDKLLLAPMVLMFISGTIRYAGRISALMFAAKQTTPGSSLMQTKNFHSTFDLNEWIMKEMHTYEDLVYEANKNLTLCMGFLKDITPVKLWRTRYELGRGRYEIQDLLSKEHRVYVSYKLAELQLSIVYDYFYTKLGAHFKGEEQLNGWFPQLVTLGSTFSAFFLFAWADRRSLFNYNRAYIIVSYILLSGAFILEILSILTVISSFPGYCMVAPAVFRYPWLRTMFFSIVKRIHPEGKPQWSQKLAQYNLITGCIKQKRAAADNFLLKCIKRVIGMKPRNTTYVDVSHGVKKLVLDKLLQVGSRGSWDISKFTGEWAKLEVRNKLQLKRSSRNLLKVLLNDSIERMPVSLTDICFFLENKLGASSPSREPSRALSNYVMYLSAEHGILSGNDGHWALRNAKQFIIILLAGAQEIIIDSLKNFQDTLDHQGTVVRRVNAAITEVNNRAVIRSGVESILIRSGQLAKELLKIKEANDRWDIIINVWIEMLCYMAFHCGPSGFHANHLSKGGEFISHVKLLIWMYVANRLSEEYRRGVAENNMRESMNDHMRCPYIDCKNEKLWSEKKQVQTLLFISLTAHAEHLWNEWEIQMLVLEAFNLQNLEHTPMACICVCRLYRHLCPWPSFSPHQWPDPGACALLGAIYASSPWPARDDHHGVKKLVLDKLLQVGSRGAYPDNEWDISKFTGQWAKLELRSKLQLESSSRDLLKLLLSKSIENASFMSAVLTWHIVTDICFFQEDEELGSSSPSREPSRELSNYVMYLTAEHGILSGNDGHWLLRSAQAFIIFCLENFQDTLNYGSVVRQVYADAAITDLNNRLLGIRLEPLKHGKHILIRSCELAKELLMIKQTKNRWDIIINVWMEMLCYMAFHCGPGFHAKNLSKGGEFISHVELLMFNLTYSPPLKRDI >LPERR08G02560.1 pep chromosome:Lperr_V1.4:8:1835609:1839808:-1 gene:LPERR08G02560 transcript:LPERR08G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVQGVLSTVVSRPVAGELLRNKPQFDHHPNVWGDYFLTFSPCTPSMLFNMKSKAHVMEEQVRRMITECSSGHNLRTKLELIDTLERLCVDYHYKKEIDDMLRMVNEEEDNTNYHYDLHTTALRFYLLRKHGYHISPNVFLRFRDEEGNFTCDGNNGTRSMLSLYNAANTRIHGEEILDKAIIFTKNYLESALKHLESPMENEVHCALRTPLFRRPRRVEATHYISVYQILPGCNETILEFAKLDSGILQALYCEELKTLTMWWKELQLQDHLSFARDRMVEMHFWMLGVLFEPQHSYGRIMLAKLFIFVSIFDDIYDNYSTLEESKLLTEAMERWDEEAAEELPGYMKFFKKVLDTIKAIERDLKLQGNKLVDYVKNLLINATQCYYYEVKWHAQGADQTATVKEHLKISVPSSCCMHVPVYAFISMGNINNVTTDDAINWGMAYPKIITYSCVVGRIVNDITSHEREQGSSSEHVVSSTVEACMREHGGITKSEAYVKLRELVEESWMDIAEEYCLRPAAVQLQPLLEAVVNATRMLDFLYKDQDAYTDPHALKNTIASVYIRGFI >LPERR08G02570.1 pep chromosome:Lperr_V1.4:8:1845954:1846369:-1 gene:LPERR08G02570 transcript:LPERR08G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASLNLRFILVLALLLNPASADECIVDAGYVLFCTKAYCNYSCWFEGLIKKGKSHGPLVL >LPERR08G02580.1 pep chromosome:Lperr_V1.4:8:1849874:1850629:-1 gene:LPERR08G02580 transcript:LPERR08G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASLNLRFILVLALLLNPASAEECIVDTADIIWCTKALCNFNCWTEGLSRKGKVKDHCYF >LPERR08G02590.1 pep chromosome:Lperr_V1.4:8:1878383:1879966:-1 gene:LPERR08G02590 transcript:LPERR08G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAAAADVDGFHPLNPDDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLHRLLQSAPPSSSAPFDIAMKELKDAVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQASPAATELEVLALDWLAQLLKLPASFMTRTVAGGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSAGVAGLTRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGADTDYALDPAVLLAAMRADADAGLIPTYVCATVGTTSSNAVDPVGAVADVASTFSAWVHVDAAYAGSACICPEFRHHLDGVDRVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGATKLQEHIRSDVAMAKMFEEFVRGDDRFEVVVPRNFALVCFRIKPRKFASEEEAERVNRELMERLNKTGKAYVAHTVIGGRFVLRFAVGSSLQEERHVRSAWELIKKTAAEIVGDGEDDRLMMMMRE >LPERR08G02600.1 pep chromosome:Lperr_V1.4:8:1892523:1895908:1 gene:LPERR08G02600 transcript:LPERR08G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFATRIPPMRFTHDPVVDFSARATPTLHIFSVKVAATRGDLQWPIHVFGIVSMRDILDRNRNIFRLGYVVWSVEATIFVRVIDGSWPEDFRGQFAAFTTCFCCKDAAREDEATNIDDERILLLDSGGEKVVVTADGKIMLSRHVVSAERGGELKVSVRVWKDGNNVVETLVVFTALEAGLSDAKIPPMRYTFKPDVDYYTARAETTLQIFSVKRQVEAYSGRLMCLVWFQFETLLTTLFHRERDNCQTLTEEFRFGYIVSSVEATISVQVIHGSWPDGFRGEFSAFATGFAWKDSGRKDDADHEKILLLDSVGEKVTVTGDGKIDLSRCVVSVENKGELKVSVKAWEVNKNVVETEMVFTALESGLSHGTLEIGFCMLKVTVAWSLISCKP >LPERR08G02610.1 pep chromosome:Lperr_V1.4:8:1896591:1904064:1 gene:LPERR08G02610 transcript:LPERR08G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMKKAAAAMARQRSLRNQVLGKLRKESAEEWESRVRKSLEEQNKAYLEQIAGDPEDEESQCAIDYRRFWNDVWFPRRGSFRLDLAILRHLWRPQYLCDSLVGHGLMVFRVNLLPLPLISLARNWPVISTTLPVLIMRGLPCLILRGEKVVVTDDGKIELARRVVSVDIDGELKVSVKAWELDINVVENVEVFTPLETGLSKHGFCELEITVAWSLISGHPVFADSVLEETEEERAARLEERIDRDMASDCYSWQASCFRSDWQLWFRCHGSFEDTTRIPPMRYTHKPPAERFSACVSPTLQIFSVKVGETRGDLRWPLHVFGIVAMRDDLDRNRNIVFHRTRDNCQTLTKEDRNLVLVGPTRAVTLSTPHPMIIEVELKVKGTTESEDKDLSYLAVRIVCNDTTYSRMLKSCSYTSKLSTMEFSLGYVAFSVEATISVQIIRGSWPDSCRGLFTAFTTGFHCKGTACEDSVASIDDERIVLLDSRGAKVVVNGDGKIELSRRVVSAESLGKLNLSVKAWEVDNNVVEKVEVFPSLEAGLSKSMIAFDFCTLEVIVGWSLISCDPVFVDTVV >LPERR08G02610.2 pep chromosome:Lperr_V1.4:8:1899989:1904064:1 gene:LPERR08G02610 transcript:LPERR08G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVNLLPLPLISLARNWPVISTTLPVLIMRGLPCLILRGEKVVVTDDGKIELARRVVSVDIDGELKVSVKAWELDINVVENVEVFTPLETGLSKHGFCELEITVAWSLISGHPVFADSVLEETEEERAARLEERIDRDMASDCYSWQASCFRSDWQLWFRCHGSFEDTTRIPPMRYTHKPPAERFSACVSPTLQIFSVKVGETRGDLRWPLHVFGIVAMRDDLDRNRNIVFHRTRDNCQTLTKEDRNLVLVGPTRAVTLSTPHPMIIEVELKVKGTTESEDKDLSYLAVRIVCNDTTYSRMLKSCSYTSKLSTMEFSLGYVAFSVEATISVQIIRGSWPDSCRGLFTAFTTGFHCKGTACEDSVASIDDERIVLLDSRGAKVVVNGDGKIELSRRVVSAESLGKLNLSVKAWEVDNNVVEKVEVFPSLEAGLSKSMIAFDFCTLEVIVGWSLISCDPVFVDTVV >LPERR08G02610.3 pep chromosome:Lperr_V1.4:8:1896591:1900469:1 gene:LPERR08G02610 transcript:LPERR08G02610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMKKAAAAMARQRSLRNQVLGKLRKESAEEWESRVRKSLEEQNKAYLEQIAGDPEDEESQCAIDYRRFWNDVWFPRRARVPPMRFTFEPPRGIKAGTSQTLQIFSVKVAATRGGLQWPLDVFGIIAVRDIIDRNRNIVFHRTRENYQTLTEQLDPSLLLVGPTRAVVLSMPEPESERHY >LPERR08G02620.1 pep chromosome:Lperr_V1.4:8:1919967:1922299:1 gene:LPERR08G02620 transcript:LPERR08G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGRGDSPRHSSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDRQELKHCFQKLGISFTDDEIKDLFQACDIYEHMGMKFNEFIVFMCLVYLLNDPIVSQARKRMGLGNLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTHWVGIDDNEDDGEEE >LPERR08G02630.1 pep chromosome:Lperr_V1.4:8:1923407:1930337:1 gene:LPERR08G02630 transcript:LPERR08G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCPHPSALHAASRTRRLGRLRPPRRSTAPPPRSNPRPLLTAPPPPCLTRSNVRRCHSSPDLRRPSRTHSRRSAPARPHRPCPAPIHSAPSPSLPSSRVAPHPPRAVADSVGDLLSSPPSLNPLRRAGVLLEAPSHLTRCRHAVHATSGAVTVLSRCIALRLPKWPYKYARQDRESPKSPYYVNSEKMSRGRDDAAKMAKLKLLLHRSENPLVEILMPMRYMNSFCHKATTSHIQILPRKNEKISSEVKIRVEEFLKPSLQIASHQCSDSGKHADSASHSVNSKSEVCSSVPKLQDFHLYFTLLSACSVTPSSILQIPDLYFRWVWLNVKVKGGTNLVTRDMSSSDILIISTNLLQNSPYLDCLQKAQTSVIKANLNPVWNEELKLYQVFDHDKLSKVDLMGEAKIDLQPMINTGTAFGD >LPERR08G02640.1 pep chromosome:Lperr_V1.4:8:1934157:1937544:1 gene:LPERR08G02640 transcript:LPERR08G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTGSSASPAAAAEGSGAGDGARSSSSSSSGGGGAAVIRSLLPTRRRLRLDPASKLFFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILGPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >LPERR08G02650.1 pep chromosome:Lperr_V1.4:8:1934493:1934690:-1 gene:LPERR08G02650 transcript:LPERR08G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSKLPPRRSSATAAPEPETEKVVVMPPRVTAGGSTSSSGAPSSPSPPPRMVLAGDSRRRLGS >LPERR08G02660.1 pep chromosome:Lperr_V1.4:8:1939336:1944068:-1 gene:LPERR08G02660 transcript:LPERR08G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFIWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSNDVLDKPQGPPKKKVVVLGTGWGGTTFLRNLDSSQYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKDGDIKFWEAECFKIDSANKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCYFLKEVEDAQKIRRNVMDCFERASLPYLNEEERKKNLHFVIVGGGPTGVEFAAALHDFVTEDLSKLYPSIQHLVKISLIEAAGHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGNRRVLATDEWLRVRECDGVYAVGDCATINQRKVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQIELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYAT >LPERR08G02670.1 pep chromosome:Lperr_V1.4:8:1949192:1949737:-1 gene:LPERR08G02670 transcript:LPERR08G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSAAAVFVFVAVLAAAGGSIVVYGCDNVPSMSMVDACLKASTSQPLLELCHRVLLNAPDSGEVTVYAVIAAKSAQWAYEASAREAARQDAGEAQAAYAACAARYAAARSLVMAAQEQLLSCSYGSPKQELIDGKADVEACGKEIARFTAAPLFAMNAADQLKADLAYYLTGLIIGL >LPERR08G02680.1 pep chromosome:Lperr_V1.4:8:1951685:1967093:-1 gene:LPERR08G02680 transcript:LPERR08G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTTTVILSLTTAAFLLLVTGVDACDGMPSLSAVAACQQASTSAAMADACASVLGTSTQPQEVTNFLLTTITSNRDVFDAFFDAAATIEDKPSTPPDLNEATHYCIVFYQAAERIYYDMIYNLQDCNMENVRMDCATAVSKIDDCLTKLLYVRGGMDSVLYHLALLHRDRTMKRSVVLVFLLAAVVVVVDVHACDGVPSMSQEDACRKAFGTSSSTACTDGCSPSMYEFCITVLQRGGPAAGEATVFAEVAAKYAKETYESTAESFFRALQNASLAGGERAACAACRDTQYAQARSSTVGALNLLDACSFGQLRQQYDAAAAAVAACGDALSRLPSLSQLAGAAVADRRVAALASGVGELEATGFAVATGNATTASFAATRDAIGAELSMELAPDDIRLQCLVCADKYEQASEFISNTTDDKATITFLVLSLSVAITATGGDACDGAPWMTAAAACQKASPSPSMSRLCADTLGTSPDTQEATGFAVTAGNAATASFAASRDAIGVVLSNPLAPDDVRLPCLICAQKYDLASEFVASTADDVQRCKSLGDAPANLVTALAAIDDCATEVFKVSGNTTEVYKSAIADRDRSVLVLRLVMLLVSNQQLA >LPERR08G02690.1 pep chromosome:Lperr_V1.4:8:1968195:1968734:-1 gene:LPERR08G02690 transcript:LPERR08G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAIFSALLLSVTATLLLTGSTACSDTPSMSAAEACRKATTGRFHQICIDVLGTSPEKREVATYVIAAARAALLTYEPIKEAAGKLVSDPSTLPYMKSAGQNCVDRCVKAEAAVTGVIHRLRGCLLVNIRGDCTAAAADIDDCATAVHLVGRDTEFYRQILFSRDRSVLTLRLATLL >LPERR08G02700.1 pep chromosome:Lperr_V1.4:8:1972261:1972812:1 gene:LPERR08G02700 transcript:LPERR08G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATVFVMLLTAAVIAGVAAAGCDDYDVPSMSPAAACQKASTGPSMAKLCNDRLGAASSPEQEVTAFVLAAANAASASYGATGELLMAASQDAAATKESRAAASTCVEKYGEAMDRVAAAVAHLNGCELAELVVDVLPAVVAVDDCGTALLSAGDQGSPLYGMVMADRDRSMLVLRLRQPGN >LPERR08G02710.1 pep chromosome:Lperr_V1.4:8:1974153:1980195:-1 gene:LPERR08G02710 transcript:LPERR08G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATLFAVLSLLLTGEIVAVAVAAAAATCTDYDVPSMSPAAACQKAGTAKSMMELCSNTLGTETSPAGARRDVVRARRPKCRPFVRRRDDIQYFWPLLPDPQVPSEVRSAALKCVTKYNEACRQINAAVGHLNGCQLAELVADVPAALVPHRAPAFGGLRSSLYRRVIRDRDRTVLALRLTPTVFAFLLTGFLAVTGAAAAASCPDYNVPSLPPAAACQKAATAKPILDLCGAVVGTATSPAQEVTAFVIAAVNEAGTSYGNTARFFQVLIGDTSASPAVREAAKTCIGRYNDAVGQLNAAVGHLRGCQLAELTADIPPAIVAVDDCSTALLRAAVFAFLLTGFLAAAAAAAICSDYNVPSLSPDAACQKAATAKPMLDLCGGVVGTATSPAQEVTAFVIAAVNEADTSYGNTGCTCRF >LPERR08G02720.1 pep chromosome:Lperr_V1.4:8:1984846:1985412:-1 gene:LPERR08G02720 transcript:LPERR08G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMSSLLLGLLILAVAVAGDDNLKTCPGAPWMTVESACRNVSGTQAMYDTCKDALREIPNPLSDHDATVYALAAASSALASAAATEDAAIRLVHGGSEKVSGEEKDAYEECIEAYTTAGHAMVAVIDKLGACGFGDLAGGYLDVIVDIESCRDRVLKLTASPIYGMVLVDRNKAGLAFIITKKLLGV >LPERR08G02730.1 pep chromosome:Lperr_V1.4:8:1987329:1989100:-1 gene:LPERR08G02730 transcript:LPERR08G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPQLVLLATTIILAVAGDDDPSCPRAPRMTVDSACRKASSTEAAYEMCKDALREIPNPQSGHDATAYALAAARRAMASERGTADAAFRLLMYNSSLSGDETYAYMECLKTYTTAAEAMGTVEERLRRCDFRGLGSVYLNGLIDVESCRNWVIKLPASPLYAMVLVDRNNFGLAFSLGKLLGASHTQATYQMCRDALREIPYPTSDAHDATVYALAAARRALASADATMDAAIKLLTYNHSLTGGEREAYSECVEAYTTAEHAVGRVISRLAACGFDGALAGDYMDGLIDVESCRDRVLRLTASPIYALVLVDRNRFGLALFLGKLLGI >LPERR08G02740.1 pep chromosome:Lperr_V1.4:8:1990753:1991373:-1 gene:LPERR08G02740 transcript:LPERR08G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAIISLTAVTVALLAAVAGGGDTTARCAPVPSMTAEEACSAVCGTDHMRSLCHRTLLPPRRRVAAAARRHAVTRYISAAARVALDAYAATAAAKRVTEYSAAVPADERTAHERCIDGYDLAARFMARLAVVSVSGDVARSGCDAAARRRVREDFEGCLDGMDVCRRRLFGYPASPLYGRNLADRNMTLLVALLSNLVISPAPAT >LPERR08G02750.1 pep chromosome:Lperr_V1.4:8:1997894:2006195:1 gene:LPERR08G02750 transcript:LPERR08G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLNSGPGRPSPDRRWLLPFLTSLLISLTLLLASSTGLFSPPSLSPSSSSSILIDITTFESSNSGELNSSSIEFDNPDDAAVNSDAAGGDPPRIAYLLEGTKGDGIRMRRTLQAIYHPRNHYILHLDLEAPPRERIDLAMYVKGDAMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAMLLKEGIEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHMQISGWKIGRSSPSLIDAATASTLTRADLRRLVPTLAAALRSRHGIRKGSVVVLLLPNSLAFPVTLLAVLAAGGVVSPMNPSSSSAEIAARVSSTAASLVIASPDSARNIPPLAVPVVLVSDHHADHRAFEQLLDGDGGDGERIETIAAGVGQDDAAAILYSSGTTGRSKGAVLTHANLIAMVELFVRFEASQYAAASRTRGVRENVYLAALPMFHVYGLSLFAVGLISLGTTVVVMRRFDAGEAVRAIGRYGVTHLPLVPPIMAALVRAAAAGGVSPAEVASLVQVSCGAAPTRASLIRDFLQAFPHVDFIQVGYGMTESTAVGTRGFNTAKHKKYTSVGLLAPNMHAKIVHLESGSCLPPGSPGELWLHGPGIMKGYLNGDDDSCTRKDGWLRTGDIAYFDSDGYLYIVGRLKDTIKYKGFQIAPGDLEEVLIHHPEILDVAVTSADDEEAGEIPVAFVVRKSGSNLSCMQVMDYVAKQVAPYKKVRKVMFVEAIPKSAAGKVLRRLLKDTLDSAAASASSYISSKL >LPERR08G02760.1 pep chromosome:Lperr_V1.4:8:2003130:2006457:-1 gene:LPERR08G02760 transcript:LPERR08G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFNTLLDHATRLREYLKAAAEGISLGEGIERLRRLYNVAKTVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEYGEDGVSIMVEGDQVFKADMALCTVPLGVLKSGSVLFEPELPVMKLKAIDRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKDRSKRGEFFLFYSYHTVSGGAVLIALVAGEAAMEFEKVDPAVTIHRVLDILKGIYGPKGVTVPDPIQSSCTRWGGDPLCLGSYSHIRVGSSGADYDILAESVDDRLFFAGEATNRAYPATMHGALLSGLREASKIRRASESRVSDYKKYALQKSLRHINGVLDDIFMEPDLEFGRFVFVFSYITTEEQQAPGLVRITLQKHLLLPSKNHNVKGDQKDKEPVAKKIDQEVFHLYAIISREQATELMAGEDDKSRIAFLCKDLGVKLMGYDSTCDIGSSLISSILSTRKARKRLQLQKNFKIPL >LPERR08G02770.1 pep chromosome:Lperr_V1.4:8:2007554:2008148:1 gene:LPERR08G02770 transcript:LPERR08G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFKGTAVGHDLHYIAWDYPPKQHPNILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKDS >LPERR08G02780.1 pep chromosome:Lperr_V1.4:8:2024065:2025519:1 gene:LPERR08G02780 transcript:LPERR08G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAASPATSLLRPATLTTTNNRVHLNNLEHLFRNRGSATIETAPPPQPAAKKQATAAPLLRLPSFLGRGRSDEHHQNVSPRRLERVLLPAAADGPSPRGNIAATWRDLHGEGQWRGLLDPLHPDLRREIVRYGEFVDAAYSAFLSHPDASPADSAVTVNTTSTYRVTAPLFATSSVTLPSWVASASPCAAQRTSLVGYVAVCESPSELRRMGRRDIVVALRGTCTVLEWAENIRADLVPAVAAGENTIASGQNAGGTTPKVECGFWNLYKTRAGAAAASLSESVVSEIRRLIAKYDGEELSITITGHSLGAALAILIADELSAGIPNPPPIAVFSFGGPRVGDVAFAERVERGGARVLRVVNAHDVVPRFPPRRSYADVGSELRLDSRASPYLRPDADVACCHDLEAYIHLVDGFLGSHCPFRANAKRSILRLLQNQGGNVKQLYIRKALDMRARLDAGDMSVSSASPLGRRRRAGVEVTA >LPERR08G02790.1 pep chromosome:Lperr_V1.4:8:2030536:2036841:-1 gene:LPERR08G02790 transcript:LPERR08G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGGDEESAVQAEESAAGGVDVWSDAVFSHDPDHLLVMVHGILGSNADWQYGANEFVKQLPDAVIVHCSEKNINTLTLDGVDVMGERLADEVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPNNTLKGETKGTICGLEAVNFITVATPHLGSRGNNQVPLLFGSIAMEKVASSVVHWIFRRTGKHLFLTDDDQGEPPLLQRMAEDYDIVGWRTSSIRRNAELPKWEESISEKYPHIVHEEYSEELNDETCQNSATNCDSDILEEKMVTGLRRVSWEKVDVSFHTSKQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQNGQRGEEAAQRKQEAAQTQQQSSLCGTVQENAAVAMTDVYPQIQPSTTYGLHVGKQDPVAVT >LPERR08G02790.2 pep chromosome:Lperr_V1.4:8:2031477:2036841:-1 gene:LPERR08G02790 transcript:LPERR08G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGGDEESAVQAEESAAGGVDVWSDAVFSHDPDHLLVMVHGILGSNADWQYGANEFVKQLPDAVIVHCSEKNINTLTLDGVDVMGERLADEVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPNNTLKGETKGTICGLEAVNFITVATPHLGSRGNNQVPLLFGSIAMEKVASSVVHWIFRRTGKHLFLTDDDQGEPPLLQRMAEDYDIVGWRTSSIRRNAELPKWEESISEKYPHIVHEEYSEELNDETCQNSATNCDSDILEEKMVTGLRRVSWEKVDVSFHTSKQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >LPERR08G02800.1 pep chromosome:Lperr_V1.4:8:2039502:2043566:1 gene:LPERR08G02800 transcript:LPERR08G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSKGNHCSNGEAWSEEEHKKFLLGLNKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRQTNVHRRKRRTSLFDMVMEDSDDQPLSRTSSQEIEENLEDASPVTTPVIPPAAVPMLSSSSVPPPVPVMAPVAPGPMLTSSSAEPQPEEKEAVASGSNTPETGAAIPEVMPPYGGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGPEQAPHEVFKPVALHSKPPVHIDELYSMSELSLKGETSVNNGTPNCPLPPKPIGRPERQSAFHGKGPADGSSNGLIPAK >LPERR08G02810.1 pep chromosome:Lperr_V1.4:8:2077630:2077950:-1 gene:LPERR08G02810 transcript:LPERR08G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPSLACLMRPSCDDKVSPRENKSLDLSPQKCQKYLPYDAAAVSASDRDHVTSSPRIPVGIAVALPFSTRGRTARWSLPPSQLQYRTSACPPTLARLTRKPSC >LPERR08G02820.1 pep chromosome:Lperr_V1.4:8:2108751:2109344:1 gene:LPERR08G02820 transcript:LPERR08G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDQQSLAAAAVKPSLKKSATASASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLVANRDGLAATVAAYVPDGANGLRFEDFDALHRALGDALFGSLAGADIADSGVDSGDDEEEMREAFKVFDVDGDGFISASELQEVLKKLGLPEAGSLATVREMILNVDRNSDGRVDFGEFKCMMQGITI >LPERR08G02830.1 pep chromosome:Lperr_V1.4:8:2150962:2155130:1 gene:LPERR08G02830 transcript:LPERR08G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTTSLASRPLPPRHPPYPTSPPGEHLTKKQRREAIHEWRNGGVTGSESCTNKNKLKLILLNGIELLVDGKLLRQFTGVVFDWDEANKRGKILTTSSVVCDFNGELHEPTLKLSVRLPNKTITEGQLLFFNVNYGFALLEVIGDIQLQVPSYASSTNYGQDVFALARDENMSLMVRHGTISWLDYPVLFNYSMFVSCGIPEGGSGGLVSDHDGNIIGIAFDIHLGSVVTSISTIRTCIEMWHKFSRVGRPIAGMQLKAVELLDVSTQEELCLDYNITGGFIVNQVYEDSTAERLGIRRGDVIVFQDNSCSTVPQLEEYLLSLGWVYLQGISLTADLKVEVHNLVDSYKECITFPVQFSDSSKRVYG >LPERR08G02850.1 pep chromosome:Lperr_V1.4:8:2186238:2190476:-1 gene:LPERR08G02850 transcript:LPERR08G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAEVAEVRYGIVGVGMMGREHLHNLAHLSGEVGRADPPVRVVVTCLADPHPESLRLGLLLADELGLPVPQIWGPTKLEVLNPKQLEGFKTFSGHCELLDSGLCDAIVVSSPNMTHYQILMDIISHPKAHHILVEKPLCTTVQDCQKVEEAAKQRSDILVQVGLEYRYMPPVAKLIDIVRSGTLGQVRMVAIREHRFPFLVKVNDWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFIPESIVRVGKRAGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVNLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >LPERR08G02850.2 pep chromosome:Lperr_V1.4:8:2186238:2190476:-1 gene:LPERR08G02850 transcript:LPERR08G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAEVAEVRYGIVGVGMMGREHLHNLAHLSGEVGRADPPVRVVVTCLADPHPESLRLGLLLADELGLPVPQTFSGHCELLDSGLCDAIVVSSPNMTHYQILMDIISHPKAHHILVEKPLCTTVQDCQKVEEAAKQRSDILVQVGLEYRYMPPVAKLIDIVRSGTLGQVRMVAIREHRFPFLVKVNDWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFIPESIVRVGKRAGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVNLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >LPERR08G02860.1 pep chromosome:Lperr_V1.4:8:2199628:2202947:1 gene:LPERR08G02860 transcript:LPERR08G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFHFHGLLLLLLPLLTITSASSAPLPLLALLSLKSSLTDPAGALRPWTYAAAASAGPTRSSIPPPWCSWPGVTCDAATGDIAGIDLSRRNLSGNFPATAARLLSPTLTSLNLSGNAFSGELPRSLLLLRRLLSLDISHNFFNSTFPELDGGNNGGGIAKLAASLVVFDAFSNCFLGGIPRGIGELRRIEHLNLGGSFFNGSIPGEIGRLRRLRFLNLAGNALSGRIPAVIGELTSLERLEIGYNSFDGGIPPELGKMTRLRYLDVAAGNVSGELPPEIGELRQLEELFLFKNRIGGVIPARWSSLRSLRVLDVSDNRLAGEIPAGIGELTNLTTINLMGNLLSGTIPATIGNLPNLEVLQLWNNSLAGRLPESLGTASRRLVRLDVSTNSLSGPIPTGLCAGNRLARLILFGNRFDSAIPASLANCSSLWRVRLESNRLSGEIPAGFGSIQNLTYMDLSSNSLTGGIPADLVGSSSLEYLNVSGNPIGGALPEMTWRAPKLQVFAASDCALAGELPAFGVAGCANLYRLELAGNALKGGIPGDIGSCRRLVSLRLQHNELTGEIPAAIATLPSITEVDLSWNALTGAVPPAFANCTTLETFDVSFNRLAPAEPLEEEDSGDGPARRHTTAMWVSAVAVAFAGMAVLAATARWVRWRGDDVAPASGGGTGTDIVVGPWRMTAFQRLGFTADEVARCVEGSNGIVGAGSSGTVYRAKMPNGDVIAVKKLWQPQKEENQNQKLRRNDIDGGGKRTMAEVEVLGHLRHRSIVRLLGWCTNGDTMLLLYEYMPNGSLDDLLHGAGTAKARPDWDAKYKIVVGVAHGLSYLHHDCLPAIVHRDIKPSNILLDDDMEARVADFGVAKALQNAGAAMSVVAGSYGYIAPEYTYTLKVDEKSDVYSFGVVLLEILTGRRSVEAEYGEGNNIVDWVRRKVTGGGVRDVIDEAAWGEEKDSGGGERDEMALVLRVALLCTSRCPQERPSMREVLSMLNETRPERKATEAKKQVN >LPERR08G02870.1 pep chromosome:Lperr_V1.4:8:2208040:2212247:1 gene:LPERR08G02870 transcript:LPERR08G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNSETIRTTFIPTINGFTNALQWWEEWQLRILVLGSLAVQYLLAIFGGRRKFHIPPWYRLFIWLSYLGSDALAIYALATLFSRQKKVHYNNGSRDLEVVWAPILLIHLGGQMFITAYNMEDNELWKRHIVTAVSQITVALYVFCKSWSSSADRRLFVAEIWLFIIGIVKCFEKPMALKAASFSSLVNNTKYHHAERANDESREEELELFVKNARDSIIGISSLDMNNINTIFNRLLVPKGQFLDSTLPYPVRLDNLKYFLSGGWVSAYNDIQDGLSNIFNFFYTRNKFTNRQHASVAEYCFMSGWPLTLALAMIPAIGLLHSSHKQAYSHNDVIVTFVMLYGTLLVHIISALIIFLSAVDLEDDIPQQSLIGFYAHNKRHRWSLSIVDYLQCKPLLDQYWQCIKPCNNSMGLTELVYDHVKQGWIEHIHDAESYRTFNDNMGWLALERAGCNGVLGWSLEKPFDETVLLWHLATDFCYHNKPLTTDDASCMEMGRVISNYMTHLLFANPEMLMAGSRKNLFMEAAYKELEGILKDEKNLHPYHEEKLAQLVFSKVMKSEDHKVVKSKDHGSFIRDAGRLAQGLMDLGDEKKMWRVIRDVWVQMLCFSAGRCRGYLHAKSRSGVEYLSFVWLLLLHAGMETFADRLQRRHQLRNHLPKDQEPSDSKDLKPLNHKEEDNNAAPLAHQGEGTIVPELKEIIVSP >LPERR08G02880.1 pep chromosome:Lperr_V1.4:8:2211651:2218438:-1 gene:LPERR08G02880 transcript:LPERR08G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIDKVLTETGMITSHGEQNVPDRISDLSDELLHHVMSYLTMQEAVQTCVLSQRWQNVWLSLMYIRAKGDKFSSMKIFRKFLDNVLLYRSPVPLKGFWISGICNNSDDSLDYSDIHRWVCHVLRRITPSVGILVHSGSKLLSTDGYPFPFISVHLSVLRLLHFRIDDCFVKKLSSGCPALKDLYLISCGIYVTMFSSTTLKTLVIHDAEPTEHLPKNFEHLVIDMPNLVTLHLEEIPRRNIHLVKFALSNATSLKLVSGSVYEEVVSKVLMRGLPRCKPFRNLKKLKLGERFLKDGCYPLLFLLRHSPNIEKLRLQLTKARYNASVGADKHEKFPNVAAVIDPPCKETERMFNCEKLTEIEILYPKGDKRVPIIVRILFANISPLPAIKINPCPNSGLTCSGGGGGRNAGERMQAATKGWTDSTLHGSCLIQCPETRMSAPNNETCVLSRRWKNVWSSMKWIYADAEKFGSMKSFTKFVDNFLLYRNPVPLDALWISAICNHSDDSLDFSDIHPWVRHALTSNAWALGILEHSGTNLLSVDGYNPFPFTSRHLCILRLCHFSIDDNFVKMLSSCCPVLDDLGFKSCAINVTMFSSSTLKSLIINTTETMEHFPEQFEHLVIDMPNLVTLHHDEIPNRNIQLVDVSSVKKATIYLFGLSFQNSSVDCNILSALSNATSLELISPSVYEEVVPNVLVRALPKCKIFGNPKKLTLGEWFLRDGCYPLLFLLWRSPNIEKLILQLCKYGADDYEKFPNAAAAIDPPFKETEGVFNCEKLTKIKISYPGADKRLHIVVKILLANICPLPAIKITPLPDALKFFISSFHEEILSAASHQHLRVSKQ >LPERR08G02880.2 pep chromosome:Lperr_V1.4:8:2211651:2218438:-1 gene:LPERR08G02880 transcript:LPERR08G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIDKVLTETGMITSHGEQNVPDRISDLSDELLHHVMSYLTMQEAVQTCVLSQRWQNVWLSLMYIRAKGDKFSSMKIFRKFLDNVLLYRSPVPLKGFWISGICNNSDDSLDYSDIHRWVCHVLRRITPSVGILVHSGSKLLSTDGYPFPFISVHLSVLRLLHFRIDDCFVKKLSSGCPALKDLYLISCGIYVTMFSSTTLKTLVIHDAEPTEHLPKNFEHLVIDMPNLVTLHLEEIPRRNIHLVKFALSNATSLKLVSGSVYEEVVSKVLMRGLPRCKPFRNLKKLKLGERFLKDGCYPLLFLLRHSPNIEKLRLQLTKARYNASVGADKHEKFPNVAAVIDPPCKETERMFNCEKLTEIEILYPKGDKRVPIIVRILFANISPLPAIKINPCPNSGLTCSGGGGGRNAGERMQAATKGWTDSTLHGSCLIQCPETRMSAPNNETCVLSRRWKNVWSSMKWIYADAEKFGSMKSFTKFVDNFLLYRNPVPLDALWISAICNHSDDSLDFSDIHPWVRHALTSNAWALGILEHSGTNLLSVDGYNPFPFTSRHLCILRLCHFSIDDNFVKMLSSCCPVLDDLGFKSCAINVTMFSSSTLKSLIINTTETMEHFPEQFEHLVIDMPNLVTLHHDEIPNRNIQLVDVSSVKKATIYLFGLSFQNSSVDCNILSALSNATSLELISPSVYEEVVPNVLVRALPKCKIFGNPKKLTLGEWFLRDGCYPLLFLLWRSPNIEKLILQLCKDALKFFISSFHEEILSAASHQHLRVSKQ >LPERR08G02890.1 pep chromosome:Lperr_V1.4:8:2219032:2219876:-1 gene:LPERR08G02890 transcript:LPERR08G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIQQFSPVAGMSTSNNEENALDRLSDLPDMLLRKIMFYLTAQEAQHEKFLDNLLLHCRPVALDARWVSATCNQSDDSLDYSDIHPWIRHALRSNAWALGIMEHSGTNLLSIDGYPFPFTSIHLCILHLCHFSIDDSSVKKLSSGCPVLEDLELKSCAINVTMFSNTTLKSLLINSTQNTEHLPNQFEHLVIDMPNLVILHLDEIPKRTIQFVDVSLVNKAGTPASGCKQSWNRTPPPSH >LPERR08G02900.1 pep chromosome:Lperr_V1.4:8:2222911:2225569:1 gene:LPERR08G02900 transcript:LPERR08G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSALDWWEEWQLRVLVLGSLGVQFFLAIFGGRRKSRIPSWHRFCIWLFYLASDALAIYALAALFNRRKKVKYGNNHLEVLWAPVMLMHLGGQIFITAYNIEDNELCRRHILTALSQVIVALYVFFKSRPSSADGTLLAAAILLFIIGILKCLAKPISLKTASFNNLVSPSDHAQRTESTSRERKLESFIENARALIAVQRTTSYRVAFQKIFQEDNKLLTKKQKLSVPTKLFVDFACALSDRLADLEFFCALNVDQAYERRESGDTEHTTNALRCCFSTWILTIVLGTIAIILLHISHKHAYSHYDVNVTFVLVYGTLLLDIISVFIIFNYDAKLADDVAQQSLIGFFAHNKRHAWLISIAECLQCKGLLDQYWCMNPCDMSNSITGVVFKHVKDGWTKYIHDAESYWRFNDNMGHQTLERAECGKLLGWSLEKPLHEIVLLWHVATDYCFHMSGRGPHKAEVSSSREIGRAISNYMMHLLFANPEMLMAGSRRNLFTTAYEELEDILKHEHTWQLAQDLMKLNDEDKMWGVIRQMLCFSAGRCRGYLHAKSLGSGVEFLTFGWKHYQRGSRGRNIFAFPKRNPRTKKMVMLGLKPLNQEEEGVDAQSETSQGTESHKEKQNHAAASPPQGKGSSVPQGRTQESEGGSSSSSSFSLPYSP >LPERR08G02910.1 pep chromosome:Lperr_V1.4:8:2236079:2241118:-1 gene:LPERR08G02910 transcript:LPERR08G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSESEWASEGSNKSARHFDPLDNYQVKDYMIPPPAPLIDSGELRQWLLYRALVCELVAASLFQYITVATVIGYKVQSSDDHCDGVGTLGVAWASGGMMFVLVYCNTKISGGHISVAVTFGLLLKRKVSVIRAVLYMVAQCLGAILGTGIVKGTMDKHLYNSSGGGANMVTDGYSHGTALGAEIIGTFILVFVVFSSADPKRKAHGSHIPLLLSLPLGFTLFAVHSATFPITGAGLNPARSFGAAVIYNHKKAWDDHWIFWVGPLVGALAAAVYYHYNPRHEFIKVLLDGFEDNPSN >LPERR08G02920.1 pep chromosome:Lperr_V1.4:8:2251086:2253972:1 gene:LPERR08G02920 transcript:LPERR08G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRAVRWWDEWQLRVLVLASLAVQYFLAIFGGRRKSRIPPWYRFFIWLFYLGSDALAIYALATLFNRRKKLPYGNGSRDLEVLWAPILLMHLGGQMFITAYNIEDNELWKRHILTTVSQVTVSIYVFFKSWSSSTDGRLLAAAILLFIPGVLKCFEKPLALKAASFNNLVSSFHRAQKATAKSRQDELDKFITTAKSYFQATGFNNDDAADDAKLHVPHKLFVDFAYPYTDRLANLKYFMALDDDTAYKEIETGLSNIFDLLYTKSKLNIRANALAICCCYWTWSLTVPLVVVAIGLFHSCPKSSYNHKDVAVAFAILYGTLMLDIVSALILLFAYGVKWPDVVAQHSLVGFFAHKKKFRMSRIGCCLLKCFGCCNVLIDQYCFNKDPSYLSKEITKLVRNHVRDGWVNYITDAESYRKFNDTMGQWTLEKCRYDGILGWSLEKPFDEIVLLWHLATDFCFHSTSDIADCSSREMGRAISNYMMHLLFDNPEMLMAGSRKNLFTTAYDELERVLRSVELLPDDGEEKIAIKVMASDDKVKMWDVIRDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLSFVWLLLAHAGMETFPERLHRRQNLRLLKEENQNRGEDDDDPSATNFQCSEFREENKIHASTVMPSRGKGISVSESELDQIVVQQ >LPERR08G02930.1 pep chromosome:Lperr_V1.4:8:2266962:2270460:1 gene:LPERR08G02930 transcript:LPERR08G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWEEWQLRVLVLGSLGARPVFPCDFRRKSRIPPWYRFFIWLFYLASDALAIYALATLFNRRKKVKYSSGNHDLEVVTVALYVFFKSWPSSADGKLLAAAILLFIIGILKCLMKPIALKTASFNNLVSPSDCAQRTEATSRERELESFIENARALIAVQGTSAYRAAFKETLEQDEKLVSHQQKLSVPTKLFVDSASPYSDRLADLEFFCALDWDKAYGRIILGISKIFGILYTRESGDTEHTAYACFCCMSTWMLTFVLATTAIILLHISHKHAYSHYDVIVTFVLVWHPFARHHFPIYDTGLADDVAQQSLIGFFAHNKRHTWSISIAKCFQCKGLLDQYWCMNPCKRSNIITAVVFEYVKYGWTKCIHDAESYWRFNDNMGHQTLERLKCDELLGWSLEKPFDEIVLLWHVATDYCFHMSGRGPHTAGVSFLREIGRAISNYMMHLLFANPKMLMAGSRRNLFTTAYDELEDILENERNLPVDDEKELTLAIIEKVKSEESLFIHDAWLLAQDLVKLNDQEKMWCVIRDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLTFVWLLLAHTGMETLPERLQRTQHLRFPKKEPQNREDGDASPSDSQGLKPLSQEEEGVVDAPCGTSEATESHKEKTNHAAPSAPQGEGSIVPELNEIKVVPP >LPERR08G02940.1 pep chromosome:Lperr_V1.4:8:2272620:2276647:-1 gene:LPERR08G02940 transcript:LPERR08G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFGGNQLVSPADERRRAEEGGTKSAAAAAAAADHLEMEVGTPSTPTAWLNAPSDTESSSMNQFSRPITVCDRISALPDEVLHHVIEGCCPDMCAVTKVAECLGIFAYLNVDTSDFITVKRFKKFFDNLLLQRSCAPLEMVCIQTSYDNSYDSLDYSDIHPWIRHAIRCNVQMLGIINYCHGKLLSTDGYPIPFTSLHLNSLFSGCPVLLHLELRRCAIKATVFCSATLKSLAITSTHKTQDDPESFWHLVIDMPNLICLDIDEIRNRNLQLRDVSSVESASVYRDKFSFGHSDVDCTILSSLENATKVDLISSVYEEVLLRDLPRCGTFSNLTSLALGEWFFIDDCYPLLYLLRRSPNIEKLSLYLVKSGAYAYNHHAKSANAAAEIDPTSEGTETALNCEKLRKIEIICPQGDRRVHIIVKILLVNICPLPEIKIEPE >LPERR08G02950.1 pep chromosome:Lperr_V1.4:8:2285254:2288168:-1 gene:LPERR08G02950 transcript:LPERR08G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLSVYEERLKLFGRQCKRYYYVIFYNHDLCSKSPNATAGTEPSCKEIETPVNCKKLRKIEIIGWQGDRRIPIIVRNLLAYITPFPEIRIIPIRCLERPTALVLHHVMSFLTAEQAVRTCVLSRRWQRVWEICETHPWLRHAIRNNAKILHIDNWCYGKVLSVHGYPFPFISLHLTTLHLCKFFIDNNFVEKLFPCCPVLRDLKLRRCAIKVTMFSSITLKSLTITVPDKTEDNTEGFQRLVIDMPNLVSLILDEIPKRYLHLTNVSSVEDFSIFFDEFSFGHTDVYCNILSSLSNAIRVDIMCSSVYEEEFGTFWQVVQKIGEFTFILRNKPPNNTTATDPICKEAKKSVNCKKLWSIKIVGERSDRRIPIIVRNLLAYITPFPEIKIISTNQ >LPERR08G02960.1 pep chromosome:Lperr_V1.4:8:2290442:2294189:-1 gene:LPERR08G02960 transcript:LPERR08G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAQIGARGGRGLGLYAGKVSRLKQGGTRQQAAAGTGSDNAHHLFDELLGRGSRASIYDLNCALNDVAPERPAAAISLLTKVPTPNLCTYSIVIGCCRRVARLDLAFATFGRVIRTGWKVDAITFNPLLKGLCESKRIGDAIDIALRRMPELGCTPDAFSYTILLKGLCDDNRSQQALDLLRIMMADDHTSEGCPPDVVSYSTVINGFLREARLFLPYARLKQWTRPLRSLLGWLKNGVVPDYVTYSSLVHGYCSSGKPKGAIGIFKRMCTDGVEPDVVTYSTLMDYLCKNGRSMEAKKIFDSMVKRGHKPDITTYGTLLHGYATQGCLVEMCHLLDAMVRVDMQPDHRIFNILIGAYAKHGKVDEAMLLFSKMRKQGLKPNVVSYGTIMDGLYRVGRVDDAMSQYNSLISEGLTPDAVIFSTLIHGLCTCDRDKVEELAFETIDRGIHPDTIFFNTILGHLCKEGWITQAQKIFDLMVRTGVNPDVITYSSLMDGYCLDGKMDEAMKLLEGMVSNAVKPDVVTYNTLVNGYCKNGRIEDALALFKQMASKGVNPNIVTYRTILHGLFQAGRTAAARELYLWMIKSGIQFDIATYNIILHGLCQNNCTDDAFPMFQNLQNLCLTNFQLETSTFNIMIGASLRGGRRDEAKNMFASLLAKGLVPDVVTYGLMMKSFIDQGLLEQFDDLFVSMEKNGCTAGSRMLNALVRRLLQKGEVQKAGVYLSKIDQKNFSLEVSTTELLIELVSGGNYDQYIRFIPGKYLPGVKSRAVIPIPFISLHLTMLHLCGFYVDNRFAEKLFCGCPVLQDLELRHCAIKVTLFSSATLKSLTITIPYKTQDNPKAFELIVIDMPNPESRRDSKLKSSSLQCIIVEDVLILLDEFSFGFTDSVI >LPERR08G02970.1 pep chromosome:Lperr_V1.4:8:2310053:2331836:-1 gene:LPERR08G02970 transcript:LPERR08G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKCMGPTAREASTYDFISSLPDELLHHILSFMTAREAVQTCVLSTRWRHIWQSLHCLNIKASEFTSKVGFVNFMDNLVLRRGDMPLDSLRMSTSHQYGSVSLNHDRANLWIGYALRSNVHELLIQENYYEHFNLDHSSFISSHLKVLCLNYVSISDLFIENLFTGCPALQDLTMVDCCVYATKFSSTSLKNLTFISHSPDNGDLIHDDFKDLVIDTPSLVSLHLEDLPFLAPCLVNVSSVVKAYISLDGASFGCFDMKYNILSALSNVTKLTLRTTQYEMMSPYVDSLYLLQSMVLKRDLWRCETFNNLKKLFVNDWCLNVLLTRLEFWLGNRVTHKQIRQTCHSVVSIKIICVQDDKRVPIVVKAILANANSLPEIVIKPAIVHHMFDRMSLKLEEISPRKMRMSNKRMRPTALEASTYDIISSLPDELLHHILSFMTARQAVQTCVLSSRWRHIWQSLQCLNIKASEFTGKMEFVNFMDNLVLRRGGAPLDSLRMSAPLEYGSISLNHDRANLWVGYALRSNVRELHIQENYHEHFNHFNLDHSSFISFHLKILCLNYVSISALFIEKLLSCCPALQDLAMVDCLVYATKFSSPSLKNLTFTSHTLNNGDIVHDDFQDLVIDTPSLVSLELEYLTFLSPCLLNVSSLEKAYICLDEMSFPCYHTKYKILSALSNVTKLKLLTEESYDDTFHLRQNEILKKDLLRCQTFTNLKNSVDDWCVDGDLRALIYLLRCSPMIEKLTLRLGTIGDLAWEHWKRYLEEDMLDMSFSCERLKKGDKRVPIIVHAILDNANSLPEIRMRPTALEASTYDIISSLPDELLHHILSFMTAREAVQTCVLSSRWRHIWQSLQCFNIKASEFTNKMGFVNFMDNLVLHRGGAPLDSLRMSAPLEYGSISLNHDRANLWVGYALRSNVLELHIQENYHEHFNLDHSSFISSHLKILCLNYVSINALFIEKLLSCCPALQDLAMNLTFTSHTLNNGNIVPDDFKDLVIDTPSLVSLDLEYLTFLSPCLLNVSSVEKAYICLDEMSFPCYHTKYKILSALSNVTKLKLLTEECYIGDLAWEHWKRYMEEDMSDMSFSCEHLKKVKIICMQGDKRVPTIVNAILDNANSLPDIVIRPKNFATDMGAQTSGGPYQSARMWVLYMSSGWYTVYIPVGRRSSCATSSLAVAGRRTPAQRNLEAKRRRPPTTLGRNKIVRMWGFHVGEPMMNQSSKRKSVRDRISALADELLHHVMSFLTAEEAVRTCVLSRRWQNVWASVKHLNADSSKFRRVKSFKKFVDNLFLHRSYVPLDTFCISCWDDSYDDSLDYSDIHRWVCHALKNNAKILDISNYCDEEIFSAHGYTFVSLHLTSLELYRFFVDDRFVEELFPGCPALQDLVLNTCSIDVTMLSSATLKSLTITDPWETEHNSVGFEHLVIDMPNLVSLLIDGIPNRNLHLMNVSSVEEASILLDKFSFEHSDVGCRILSSLSNATTVDVITPSVYEKALQKVLLRDLPRFLNKSPKVTTETEPSCKEMETPLNCKKLRKIEIVRPDGENDRRIAIVVRNLLAYITPIPEITIISPRRW >LPERR08G02980.1 pep chromosome:Lperr_V1.4:8:2336538:2338848:1 gene:LPERR08G02980 transcript:LPERR08G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVAAEETGVGELPEACLADVIALTSPRDACRLAAVSPSFRAAAESDVVWERFLPPDYRAIMPSPSPAAGDGGKRRRMKKGVYLGLCDKPVPVDDGTMMVWLEKESGAKCFALSARKLSLPWEDGEFSWRWTPHPLSRFGEVAQLVDCTCLDIYGRLPASSLTPATSYAAHLVFSTAADGGHRGISFPDQETTVSVGGRVVARHAVCLRPDDDEAKKFRGAAGGGEVPVRRPARREDGWWEVEIGRVNGGDVAAAAASGGEDVVASFEVLGWYPKRGLVVEGMEFRPVV >LPERR08G02990.1 pep chromosome:Lperr_V1.4:8:2356608:2360311:-1 gene:LPERR08G02990 transcript:LPERR08G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPIRVTEITRFLAPKMQGVFVCGSISSSHGASFRPGCLAVDDLRLFYKINYVTRGAHSWRWCVKKLNMRTNTRKMGATVRTNARWLFGGDGRSSTNARLERSEAANEDILIFYFQLDVQTQIQYALNTEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDELAKLEAESLAVSAKALAYRNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKLWMDTANVENLPKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRFEASGDEDDDDGSTDT >LPERR08G02990.2 pep chromosome:Lperr_V1.4:8:2356606:2360311:-1 gene:LPERR08G02990 transcript:LPERR08G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPIRVTEITRFLAPKMQGVFVCGSISSSHGASFRPGCLAVDDLRLFYKINYVTRGAHSWRWCVKKLNMRTNTRKMGATVRTNARWLFGGDGRSSTNARLERSEAANEDILIFYFQLDVQTQIQYALNTEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDELAKLEAESLAVSAKALAYRNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKLWMDTANVENLPKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRFEASGDEDDDDGSTDT >LPERR08G02990.3 pep chromosome:Lperr_V1.4:8:2356606:2359499:-1 gene:LPERR08G02990 transcript:LPERR08G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTRKMGATVRTNARWLFGGDGRSSTNARLERSEAANEDILIFYFQLDVQTQIQYALNTEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDELAKLEAESLAVSAKALAYRNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKLWMDTANVENLPKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRFEASGDEDDDDGSTDT >LPERR08G02990.4 pep chromosome:Lperr_V1.4:8:2356608:2359499:-1 gene:LPERR08G02990 transcript:LPERR08G02990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTRKMGATVRTNARWLFGGDGRSSTNARLERSEAANEDILIFYFQLDVQTQIQYALNTEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDELAKLEAESLAVSAKALAYRNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKLWMDTANVENLPKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRFEASGDEDDDDGSTDT >LPERR08G02990.5 pep chromosome:Lperr_V1.4:8:2356608:2359499:-1 gene:LPERR08G02990 transcript:LPERR08G02990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTRKMGATVRTNARWLFGGDGRSSTNARLERSEAANEDILIFYFQLDVQTQIQYALNTEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDELAKLEAESLAVSAKALAYRNVEYAFRLGQKVRHKVLVDVYADPELLVAYVAEENLAEAEASEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRFEASGDEDDDDGSTDT >LPERR08G02990.6 pep chromosome:Lperr_V1.4:8:2359600:2360311:-1 gene:LPERR08G02990 transcript:LPERR08G02990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNFLVPWSKL >LPERR08G03000.1 pep chromosome:Lperr_V1.4:8:2368588:2374604:1 gene:LPERR08G03000 transcript:LPERR08G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSESSAADAAASSSTPAVEGIVAGVEAMSLQERFDLLRGIAEECIQEDELMNLLEKKPLPICYDGFEPSGRMHIAQAGCKVVIWVADWFAQLNNKMGGDLKKIQTVGRYMIEIWKASGMNLDGVEFVWSSEEINKRADEYWPIVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPKIVDGNPCLEYIKYIVFPWFESFEVVRKEANGGNKVYANMNELTADYESGALHPADVKPALAKAINQILQPIRDHFNNNSEAKVLLNTVKKYRTTS >LPERR08G03010.1 pep chromosome:Lperr_V1.4:8:2376918:2383682:1 gene:LPERR08G03010 transcript:LPERR08G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSHAAAAGGMRLFGVTIAPAAQSPEPDPSDGRDPSPPVVAVREDAMRKCKSMGNLAAAAAGAGDAGGSGDGYLSDGGLLQSPGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCSMLTSSTSMGKLHDMVEMTKQLKNSTLEGVSSSSAVNLAPPVARDLPPPIPSFKATNVDSSLSKMNHMEDFLRAPMLFRPISRIADGASSSTPATANIAAPAFQANLTACTNAFWSPKSKPSPLPKKADPPAENDLDLTVAPPSQQTRASISSQNAVGCDLLSGDTLEASLDDN >LPERR08G03010.2 pep chromosome:Lperr_V1.4:8:2376918:2383787:1 gene:LPERR08G03010 transcript:LPERR08G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSHAAAAGGMRLFGVTIAPAAQSPEPDPSDGRDPSPPVVAVREDAMRKCKSMGNLAAAAAGAGDAGGSGDGYLSDGGLLQSPGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCSMLTSSTSMGKLHDMVEMTKQLKNSTLEGVSSSSAVNLAPPVARDLPPPIPSFKATNVDSSLSKMNHMEDFLRAPMLFRPISRIADGASSSTPATANIAAPAFQANLTACTNAFWSPKSKPSPLPKKADPPAENDLDLTVAPPSQQTRASISSQNACDLLSGDTLEASLDDN >LPERR08G03010.3 pep chromosome:Lperr_V1.4:8:2376918:2383787:1 gene:LPERR08G03010 transcript:LPERR08G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSHAAAAGGMRLFGVTIAPAAQSPEPDPSDGRDPSPPVVAVREDAMRKCKSMGNLAAAAAGAGDAGGSGDGYLSDGGLLQSPGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCSMLTSSTSMGKLHDMVEMTKQLKNSTLEGVSSSSAVNLAPPVARDLPPPIPSFKATNVDSSLSKMNHMEDFLRAPMLFRPISRIADGASSSTPATANIAAPAFQANLTACTNAFWSPKSKPSPLPKKADPPAENDLDLTCDLLSGDTLEASLDDN >LPERR08G03010.4 pep chromosome:Lperr_V1.4:8:2376918:2382700:1 gene:LPERR08G03010 transcript:LPERR08G03010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSHAAAAGGMRLFGVTIAPAAQSPEPDPSDGRDPSPPVVAVREDAMRKCKSMGNLAAAAAGAGDAGGSGDGYLSDGGLLQSPGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCSMLTSSTSMGKLHDMVEMTKQLKNSTLEGVSSSSAVNLAPPVARDLPPPIPSFKATNVDSSLSKMNHMEDFLRAPMLFRPISRIADGASSSTPATANIAAPAFQANLTACTNAFWSPKSKPSPLPKKADPPAENDLDLTVAPPSQQTRASISSQNAVGVIQVI >LPERR08G03020.1 pep chromosome:Lperr_V1.4:8:2388189:2390351:-1 gene:LPERR08G03020 transcript:LPERR08G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFSAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPPAAVSTTTSSASVTTTTSPNNNNPPPPCSTATSDHQATPFAPAFAGATAATDHHQLQLDAIINHNLLSLPPKLAAGDSHHCPLFMFDTVSPPSPSPALIPTHHHHPFIASFTAAMSAAADTPISYLPPLIDGMVDNIGSSIDDDASNHHSNQVAAGMGNCNGGGGGGCHGLMYEQMNEKQMELGEEQEQNNQWDEEEAEHLLMWDQEVLTSSNLEVMQSGAHHSLLFMGPNHHD >LPERR08G03030.1 pep chromosome:Lperr_V1.4:8:2405268:2412210:1 gene:LPERR08G03030 transcript:LPERR08G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPAASREEEDPKSSTEKSLGAPMIPPPKKRKKTDQEESASASSGTSTLVSRPLPPRYPSYPSSQPGERVTKKQHRHSIHQWIKENSRINKLYQQEKDIPTLRDKPRDPLTADSVVSSQDKAMVLRVARSVVTVSSKMPDGEELYQCSGILSVRMPNKTITDGRLAQEGRWLTMMLEEYLLSLRWGYLQGISLKADLKVEVHNLVDSYRESITFPVQFSDSSNAKACIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRIQLKGDNITLMMNTGK >LPERR08G03030.2 pep chromosome:Lperr_V1.4:8:2405268:2412210:1 gene:LPERR08G03030 transcript:LPERR08G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPAASREEEDPKSSTEKSLGAPMIPPPKKRKKTDQEESASASSGTSTLVSRPLPPRYPSYPSSQPGERVTKKQHRHSIHQWIKENSRINKLYQQEKDIPTLRDKPRDPLTADSVVSSQDKAMVLRVARSVVTVSSKMPDGEELYQCSGIVGSGGPVVDHDGNIIGIAFDINPGLLEEYLLSLRWGYLQGISLKADLKVEVHNLVDSYRESITFPVQFSDSSNAKACIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRIQLKGDNITLMMNTGK >LPERR08G03030.3 pep chromosome:Lperr_V1.4:8:2405268:2412210:1 gene:LPERR08G03030 transcript:LPERR08G03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPAASREEEDPKSSTEKSLGAPMIPPPKKRKKTDQEESASASSGTSTLVSRPLPPRYPSYPSSQPGERVTKKQHRHSIHQWIKENSRINKLYQQEKDIPTLRDKPRDPLTADSVVSSQDKAMVLRVARSVVTVSSKMPGSLFWLKHKLWLAQEGRWLTMMLEEYLLSLRWGYLQGISLKADLKVEVHNLVDSYRESITFPVQFSDSSNAKACIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRIQLKGDNITLMMNTGK >LPERR08G03030.4 pep chromosome:Lperr_V1.4:8:2401126:2411782:1 gene:LPERR08G03030 transcript:LPERR08G03030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTGK >LPERR08G03030.5 pep chromosome:Lperr_V1.4:8:2401126:2405039:1 gene:LPERR08G03030 transcript:LPERR08G03030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTP >LPERR08G03040.1 pep chromosome:Lperr_V1.4:8:2413752:2430065:1 gene:LPERR08G03040 transcript:LPERR08G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWKKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSKKPRKRGRAKFQSVSKPSDTQHPDQLQSHPASSSYGCLSLLKKKRSGGSKPRAVGKRTPRVPVASMYQRDDKIGPTNRQAKPGGNNGDDEGADVAALLAEVFQRGGSPQVSQTPGRSGDRMFLSPVKSTDKKNADSEMGSSKLHGFQVDADFPEGSLGSGEAETGDYSKYASYLMNNEASASGKSQQKVKRTQRRRKKAAHKADDQLEDDREACSGTEEGHRTKKTKDKSGVNALGRKARWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIAESESSAQIKDENKDIDSDEKPSIPAAVSLLEKKDNSRSAVKKVKRQSELASADMASRKKARVTKVPHDGSTVSETKQLDSIFGVTTEKKKRKPSAAKISKDEKSALKDVEKTEVSAEEGKACSNKAMDTVDTTQGATTQQADLASKGKSRRKMGILKALAPECKPTDGADDPRSDKFSYPVNNAIDLKDSLSHCLSSRLLRRWCMFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEERDKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNCCRVQFDRPELGVEFVTDIDCMPLHPPENFPESLRRQNIVNKYYNSFSEAKFEDRAKDLGTGGPTRFSSNACFDGGDATSSMPSSHPINTLMKQAKGDTVDSIAQTKATVNEEALLVELRHMNEEVYGRQKDGEAIRDFEHFRKQYAMVASALLSLRQRNTYHGHPVQSYPKPMENGGALTGTPDLYNLFGYINQESGSHVMEVIETSRSRAKLMVDAAVQAMCRVSEGEDAYAKIGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHHDNSTSGHHDPATNNTSSPRLPNGCDSEQQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPTG >LPERR08G03040.2 pep chromosome:Lperr_V1.4:8:2413752:2430065:1 gene:LPERR08G03040 transcript:LPERR08G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWKKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSKKPRKRGRAKFQSVSKPSDTQHPDQLQSHPASSSYGCLSLLKKKRSGGSKPRAVGKRTPRVPVASMYQRDDKIGPTNRQAKPGGNNGDDEGADVAALLAEVFQRGGSPQVSQTPGRSGDRMFLSPVKSTDKKNADSEMGSSKLHGFQVDADFPEGSLGSGEAETGDYSKYASYLMNNEASASGKSQQKVKRTQRRRKKAAHKADDQLEDDREACSGTEEGHRTKKTKDKSGVNALGRKARWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIAESESSAQIKDENKDIDSDEKPSIPAAVSLLEKKDNSRSAVKKVKRQSELASADMASRKKARVTKVPHDGSTVSETKQLDSIFGVTTEKKKRKPSAAKISKDEKSALKDVEKTEVSAEEGKACSNKGMHTHVSPVSDHMINSTAHTDFGNVAMDTVDTTQGATTQQADLASKGKSRRKMGILKALAPECKPTDGADDPRSDKFSYPVNNAIDLKDSLSHCLSSRLLRRWCMFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEERDKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNCCRVQFDRPELGVEFVTDIDCMPLHPPENFPESLRRQNIVNKYYNSFSEAKFEDRAKDLGTGGPTRFSSNACFDGGDATSSMPSSHPINTLMKQAKGDTVDSIAQTKATVNEEALLVELRHMNEEVYGRQKDGEAIRDFEHFRKQYAMVASALLSLRQRNTYHGHPVQSYPKPMENGGALTGTPDLYNLFGYINQESGSHVMEVIETSRSRAKLMVDAAVQAMCRVSEGEDAYAKIGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHHDNSTSGHHDPATNNTSSPRLPNGCDSEQQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPTG >LPERR08G03040.3 pep chromosome:Lperr_V1.4:8:2413598:2430065:1 gene:LPERR08G03040 transcript:LPERR08G03040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWKKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSKKPRKRGRAKFQSVSKPSDTQHPDQLQSHPASSSYGCLSLLKKKRSGDLLVGSKPRAVGKRTPRVPVASMYQRDDKIGPTNRQAKPGGNNGDDEGADVAALLAEVFQRGGSPQVSQTPGRSGDRMFLSPVKSTDKKNADSEMGSSKLHGFQVDADFPEGSLGSGEAETGDYSKYASYLMNNEASASGKSQQKVKRTQRRRKKAAHKADDQLEDDREACSGTEEGHRTKKTKDKSGVNALGRKARWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIAESESSAQIKDENKDIDSDEKPSIPAAVSLLEKKDNSRSAVKKVKRQSELASADMASRKKARVTKVPHDGSTVSETKQLDSIFGVTTEKKKRKPSAAKISKDEKSALKDVEKTEVSAEEGKACSNKAMDTVDTTQGATTQQADLASKGKSRRKMGILKALAPECKPTDGADDPRSDKFSYPVNNAIDLKDSLSHCLSSRLLRRWCMFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEERDKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNCCRVQFDRPELGVEFVTDIDCMPLHPPENFPESLRRQNIVNKYYNSFSEAKFEDRAKDLGTGGPTRFSSNACFDGGDATSSMPSSHPINTLMKQAKGDTVDSIAQTKATVNEVTVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVYGRQKDGEAIRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPVQSYPKPMENGGALTGTPDLYNLFGYINQESGSHVMEVIETSRSRAKLMVDAAVQAMCRVSEGEDAYAKIGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHHDNSTSGHHDPATNNTSSPRLPNGCDSEQQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPTG >LPERR08G03040.4 pep chromosome:Lperr_V1.4:8:2413598:2421740:1 gene:LPERR08G03040 transcript:LPERR08G03040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWKKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSKKPRKRGRAKFQSVSKPSDTQHPDQLQSHPASSSYGCLSLLKKKRSGDLLVGSKPRAVGKRTPRVPVASMYQRDDKIGPTNRQAKPGGNNGDDEGADVAALLAEVFQRGGSPQVSQTPGRSGDRMFLSPVKSTDKKNADSEMGSSKLHGFQVDADFPEGSLGSGEAETGDYSKYASYLMNNEASASGKSQQKVKRTQRRRKKAAHKADDQLEDDREACSGTEEGHRTKKTKDKSGVNALGRKARWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIAESG >LPERR08G03040.5 pep chromosome:Lperr_V1.4:8:2413570:2416631:1 gene:LPERR08G03040 transcript:LPERR08G03040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFKHAGGKSSHLAGQLASGAGLPSAVRSPLPHFPTVRSLPTPPHRSPSSPPRTHTRFSTRFPLSQALLSSFPSVPISTSPSFPPCCRRCPSPSPPRSPPLPELPESIAPRCRGWPGRAAGSWRRRRHFGARPARALRLRARPPPLGAVSVRLIFNVFNKESEKCEQTICQNK >LPERR08G03050.1 pep chromosome:Lperr_V1.4:8:2430464:2431108:-1 gene:LPERR08G03050 transcript:LPERR08G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRNPAFSGAGGDEAEVEALLRAAQDAVLLKLQANSHLVSSSSPSSAAAPNPLPSLDPAAADPLDGDLARRFEALRSRPPKLPHAPTSAAAGMDELEARFAALKGAEKGDSRVKLEDLGDESEEEDEVDKVMRWAMDAARLDVATASAGKAKPAAADDDEAEEEEEKDEKSSSVSSEDSDEEEERMELERERKRKEMMSKNKSKSKWFSLF >LPERR08G03060.1 pep chromosome:Lperr_V1.4:8:2431729:2433779:-1 gene:LPERR08G03060 transcript:LPERR08G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGPCGAAATDAWIGREEAQFFSSQPLGLGKRGLSDDDDSRSFYDTTEDKIADPEPLHNSGPESNSEQGKVKRVEFVAEEKDIESDVALWALYERWCKAFRQERDRDEMLRRFEYFKNCVLLVDRTNKESIRNGDPCTLELNKFADGKLVEQQQFKDPFHSYFEDWPYAEPGTVIYGTTTQYISGETDISDTDSESQ >LPERR08G03070.1 pep chromosome:Lperr_V1.4:8:2433850:2436097:1 gene:LPERR08G03070 transcript:LPERR08G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGSIQHPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARSLKRRFF >LPERR08G03080.1 pep chromosome:Lperr_V1.4:8:2436284:2440285:1 gene:LPERR08G03080 transcript:LPERR08G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCVQQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFPSTGGCSTQASPSRQGGDSGADAEELAVEVARLENKAAALGRVVEEQRDGIQKLNAEVAMWREKTVTAEAMKESARREKECVQQLLNAKTEELSRKTSECGRLQEKSLALAKELAALKLSTDMNLQEEEILKLASLGNHGNPANAVDVLTRSLALRNKSYKELMIQCNVLGRSETRSQQKFEKAKELIKKLRVRVQDLEKELEEKENAVIRDLRSAKKFKADEKKSGNNTTNNGFSGLAAGCENHTMKLDEVMEDPCIKPGPLPVAKSDLDIKGKMDNKHADVIDLDIDDSVFQDKHKIGLSAKAFGNCSNNLDLQRQSGQRDRKESITCKTSCAAGENSFLKHSIATERSTLQESLTMNKLQNFQEIPVLRSTKVTTSTWEKETLTIDGISKQATRLAPGTGPQQVHNFNSLSDDFQTPIKTLGGEGTRKGVGKWCKGVTATGSLNANANKNNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKAGSKGGQSQIDHFFGKR >LPERR08G03090.1 pep chromosome:Lperr_V1.4:8:2441037:2446185:1 gene:LPERR08G03090 transcript:LPERR08G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGTASQGYCSWALRGQRGLGARVAPGVPRRRFCVSAAAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKVVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNSVKFVKGALIDKLEVGSDGRVSSAVLKDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVATFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETIEVGSFDPKIATFWIDSDSRLKGVFLESGSSEEFALLPHLAKSQPVIDKAKLKSATSVEDALEIARSSIQAGSSI >LPERR08G03100.1 pep chromosome:Lperr_V1.4:8:2447167:2449703:1 gene:LPERR08G03100 transcript:LPERR08G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVVMSSNDENQSQVAIDLCSTPTVDRSQRGGGATPRSPGVSKAVVPVESPEKMTSKSQPGDRDQQQERVKEVPLVKKVAAEFIGTLILVFTVLSTILTNTQHNGSGSGETLLAVAAAAGLAVVAVVLSVVHISGSHLNPAVSLAMAAFGHLPPSHALPYAAAQTLASLAAAFLARGVFRPPIPAVMATVPVAGVGAGEAFVVEVALTFVLVFVITAVATDPTSASEDYCKFFSKELIAIAIASAIMMNALVGGRSTGPSMNPARTIGAAVATGEYSQMWIYLVAPPLGAIVGAGTYTLIKP >LPERR08G03110.1 pep chromosome:Lperr_V1.4:8:2449327:2453999:-1 gene:LPERR08G03110 transcript:LPERR08G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKSLQEEKGSKGGVAEAGVPPMEPSESNRIAIIISPREAPAAPSKIVPMELINHGNCNAHPQISHHPWHPSFAMAGRAMPLIKKVMAEFIGTFILIFTVLSTIIMNEQHDGVESLLGIAASAGLAVTVLVLSLIHISGCHLNLAVSVAMAVFGHLPLAHLLPYMAAQLLGSIAASFVVKEIYHPLNPGIVTLPRVGTIEAFFAEFITTFILLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTIGPAIVIGKYTKIWVYLVATPLGAIAGTGAYESMVAAEMLGTFLLVFLVLSSLTSNDKHGGALGPVGEAAAAGLAIAVIVAPLVNVSGGHLNPAVSLTMAVFGHLPRAHLAPYVAAQLAGAVAAAFASTAASFGGGATVPSVGDAEAFGVEFVATSCSSSSSPPSSPIPNQEWTGASMNPARTLGPAIAAGKYTKIWIYMVAPPLGAVAGAGAYLALKLN >LPERR08G03120.1 pep chromosome:Lperr_V1.4:8:2453796:2454047:1 gene:LPERR08G03120 transcript:LPERR08G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLWMSIAIAMVDQLHWNDFGGCSRCFPWRDDDGNPVALAWLHWRDTSFCNTTLATFLLLKTLLKSHETVSEFLNLISAVTD >LPERR08G03130.1 pep chromosome:Lperr_V1.4:8:2455096:2456662:1 gene:LPERR08G03130 transcript:LPERR08G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKSGMDSVSAVTVPPMQTGESNRIAIIISPRAGSSKILPFELVNDASNAGSQRHADPAESTPKSHNHLWHPGLPKVKPPVPLVKKVSAEFFGTFTLIFTVLSTIIMDEQHKGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYIAAQILGSISASFAVKGLYHPVNPGIITVPKVGTVEAFFLEFVTTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWIYLIATPLGAVAGEGGKMWSLEHYN >LPERR08G03140.1 pep chromosome:Lperr_V1.4:8:2460854:2462111:1 gene:LPERR08G03140 transcript:LPERR08G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIDRSINESCKNTWSGHSYREIHSNLDLFGCYTTGCCSWRRILFCNKVVVTVRGKMWSLEHYN >LPERR08G03150.1 pep chromosome:Lperr_V1.4:8:2464709:2466343:-1 gene:LPERR08G03150 transcript:LPERR08G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLACSLLLRARASPAAAASSSSPPLPPGPRPVPVLAPLLFLARRDFDIEPTLRRIASEHGPVFTFAPLGRARPTIFVSARGPAHRALVQRGAAFASRPRGGGGPASALLTSGGRNVSSAPYGPTWRALRRCISSGVLNPARLRSFSAARRWVLDVLISQIRRDSGAGEEGNSSPVMVMEAFQYAMFCLLVYMCFGDGAGEEKVREIEALQRELLGNFLSFQVFAFLPPLTKLVFRRRWRKLVSLRRRQEELFVPLIHARREISAAADAVADECYVDSLVKLRIPADEEENAAGRELTDGEIVSLCSEFMSAGTDTTATALQWILANLVKNPSFQRNLRDEIAGVVSDSGEIKEEDLNSMPYLKAVVLEGLRRHPPGHYVLPHAVEEDTTLDGYRVPANTPVNFAVGEMGMDGEVWESPEEFRPERFLAGGEGDGVDLTGGKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREVAGDEVDLAEKLEFTVVMKKPLKAIAVPLRGVTSAADVTGSGCGRLSSLNV >LPERR08G03160.1 pep chromosome:Lperr_V1.4:8:2468077:2474302:-1 gene:LPERR08G03160 transcript:LPERR08G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHTQSDASRLLRLPADSPYARAKSKNTEEEQSKGDTKINPRRHAHLQDPTFLPFQNARSVRPHPPSTHPARSHRRQHPHILMDCSNGEVQHKWIEDVKSGGAVPFLDPDNCPNGWATPPGDTFMVRGPEYLTTKVKIPGGEYLLKPLGFDWVKSTSKISEVLKNKNHRVRKAIDSEVSSGNQPFVWAFNLQLPSKDNYSAIFYFLSLEPVQEGSLMDQFLKGDETFRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYIQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLAAVRFSELNPGSAGVYEVPSEEQQENASFLPARLWQGFSHLLHNPGNSREPSSSSQSTNGSLHKEDAVNDAAVGLHKEDVDDNTTGSLLKEDGYESATGSFDKEDTEENTNGSLHKGDADENTKWWCVLVVIASIENLSLNLLDFLARNLLLLLLSQSRAAAAANPSSSWCRREGRFRDGRLPRSAADADMQQRSTFSSAAALPPSPISPADGFLCVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVTEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIISKTQPKRGLIQNPSWGFQSAKSSGTWEDLGTTNGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDNSEESVSSTAPGSSQAGAHCASTPSDAEKDDLPVSSQLDNNTAPMNESFSSIGISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >LPERR08G03170.1 pep chromosome:Lperr_V1.4:8:2476574:2482840:1 gene:LPERR08G03170 transcript:LPERR08G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSFSALNTCLTLNPCRSRNYYQCSNTVKLQRSQVGQILLPRKLRKSMLWHTNFTQRQIATHCSSDLSTSCREEVPKYLAVNVLQDQSCTRQGNFRKVLVILNPNSGFRSSREVFYRQVQPTLALSGFMMQVVETAYAGHAHVLASTVDLSTCRDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGTRDPVSAATALAKGGSTPIDVFSVKLIKDGITHFGLTASYYGFVADVLQLSEKFRLHLGPFRYFLAGLLKFLSLPQYRFEVDYLPLSPRRNHKLLPLTEKCNDRSADSSAEDNWVSRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLKLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPVGNTHNGCGVDGELLHGEGQPEWQCSLLPAQGRLLGRHHSTSE >LPERR08G03170.2 pep chromosome:Lperr_V1.4:8:2482408:2487196:1 gene:LPERR08G03170 transcript:LPERR08G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQHADLPPTDPPPPEPKPASPPTHHPPAILRLTGANAFEAEKAKKKEKKSNLFAVGVGGGLAEPGELGLEGGGSAAEGLLLRPRTREEPRRREMASHSLINVWTVTDAKDTRQCVC >LPERR08G03180.1 pep chromosome:Lperr_V1.4:8:2481649:2485047:-1 gene:LPERR08G03180 transcript:LPERR08G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGPINLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRSWLWNGCFPALPVQLYQRGVELFLCWAIMQGFAMMLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGALLIAMAIGNFANTVDTLMAKSRFKAKKKSKGKRDSDTCNSPTGLSPTNSTARA >LPERR08G03180.2 pep chromosome:Lperr_V1.4:8:2481649:2485101:-1 gene:LPERR08G03180 transcript:LPERR08G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEAAAARVAEQARELQDAAAGLLSRAWAEEEALRRRAAALQAELSRLRKSAAHADCEKVEEDLDRATCLISDGDIASLLPSKTHGTFLKMFLGPINLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRSWLWNGCFPALPVQLYQRGVELFLCWAIMQGFAMMLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGALLIAMAIGNFANTVDTLMAKSRFKAKKKSKGKRDSDTCNSPTGLSPTNSTARA >LPERR08G03190.1 pep chromosome:Lperr_V1.4:8:2489199:2502539:-1 gene:LPERR08G03190 transcript:LPERR08G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTSTSSDSGAREWRTALLTLRDESVASPSPPALLALLRRVLLLSSPPPSSLAASAAALSPHEVGSDVAFLAETAAAVAPCAGADDVLRGVCHLIYDIMCKTNMEIDSPCLLAMLKFLDVLMQCSMEGACGKGLSVRKTALDTVSECLQILRFLSKNICGSTSLPENAHLLRALISIVSCLQSELNLSDKPNGAGVSTHTSGSINNKNSNIWDMEISAFSMIEDALSKIASSLSEDLWQSVVEVLRKVMDFLTARNLIVESSIMSRFCTSFLRCLHSVLSDPKGPLSAHTQNPVPDDMSDSDGCAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPITKVRIEAASTIAAMLEGQSLVLAQVAEYKESSRRGPFTTLSCSLGQILMQLHTGMLYLIQRETQTTLLAALFKILILLISVTPYARMPKELLPTVITDMCRRLLDRHSNKNEHYALLVNVLNCMEAAFSKEPPSPNVFEVLTQDGCAGPSHAQQESSVVAVLLHCVEQEMHFSVRCGAFQVLRSAVHNYPSCANIIWAKVQDIVLDLLQMQSLEEQRDTNFGPPKEESSIKGRCLVAGIKVIDECLRVSSGFKGADDLKECRLQDIQQISDCTIYKTVKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIETLLPQGLSHGSAMVRTASLTCFAGMTYDVFFSLPESRRDYVTSSSIHTALSDAAPAVRSAACRAIGIVACFPRILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPLNFKHRDNLEKQVTFTALHLFSFVSPKDDQSLRDFLNKKASFLEDWLKSLCSLLNDAEDQPLAHEAINDEDGFSPNVAQKVMLSSTVKSLLDVDKLTANYGKRQESCSRQLVPVNSAFPGASGLTIGACGPFVLLLSRLCSSLSHRAWVG >LPERR08G03190.2 pep chromosome:Lperr_V1.4:8:2489199:2502539:-1 gene:LPERR08G03190 transcript:LPERR08G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTSTSSDSGAREWRTALLTLRDESVASPSPPALLALLRRVLLLSSPPPSSLAASAAALSPHEVGSDVAFLAETAAAVAPCAGADDVLRGVCHLIYDIMCKTNMEIDSPCLLAMLKFLSKNICGSTSLPENAHLLRALISIVSCLQSELNLSDKPNGAGVSTHTSGSINNKNSNIWDMEISAFSMIEDALSKIASSLSEDLWQSVVEVLRKVMDFLTARNLIVESSIMSRFCTSFLRCLHSVLSDPKGPLSAHVPGFVANLQMFFMYGLRSSSPPVITPMEYKTDSKSSAGRYKPPHLRKRGGKGNDSFDGQSLDSESSRYDLSSSDSDMSDSDGCAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPITKVRIEAASTIAAMLEGQSLVLAQVAEYKESSRRGPFTTLSCSLGQILMQLHTGMLYLIQRETQTTLLAALFKILILLISVTPYARMPKELLPTVITDMCRRLLDRHSNKNEHYALLVNVLNCMEAAFSKEPPSPNVFEVLTQDGCAGPSHAQQESSVVAVLLHCVEQEMHFSVRCGAFQVLRSAVHNYPSCANIIWAKVQDIVLDLLQMQSLEEQRDTNFGPPKEESSIKGRCLVAGIKVIDECLRVSSGFKGADDLKECRLQDIQQISDCTIYKTVKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIETLLPQGLSHGSAMVRTASLTCFAGMTYDVFFSLPESRRDYVTSSSIHTALSDAAPAVRSAACRAIGIVACFPRILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPLNFKHRDNLEKQVTFTALHLFSFVSPKDDQSLRDFLNKKASFLEDWLKSLCSLLNDAEDQPLAHEAINDEDGFSPNVAQKVMLSSTVKSLLDVDKLTANYGKRQESCSRQLVPVNSAFPGASGLTIGACGPFVLLLSRLCSSLSHRAWVG >LPERR08G03190.3 pep chromosome:Lperr_V1.4:8:2489199:2502539:-1 gene:LPERR08G03190 transcript:LPERR08G03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTSTSSDSGAREWRTALLTLRDESVASPSPPALLALLRRVLLLSSPPPSSLAASAAALSPHEVGSDVAFLAETAAAVAPCAGADDVLRGVCHLIYDIMCKTNMEIDSPCLLAMLKFLDVLMQCSMEGACGKGLSVRKTALDTVSECLQILRFLSKNICGSTSLPENAHLLRALISIVSCLQSELNLSDKPNGAGVSTHTSGSINNKNSNIWDMEISAFSMIEDALSKIASSLSEDLWQSVVEVLRKVMDFLTARNLIVESSIMSRFCTSFLRCLHSVLSDPKGPLSAHVPGFVANLQMFFMYGLRSSSPPVITPMEYKTDSKSSAGRYKPPHLRKRGGKGNDSFDGQSLDSESSRYDLSSSDSDMSDSDGCAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPITKVRIEAASTIAAMLEGQSLVLAQVAEYKESSRRGPFTTLSCSLGQILMQLHTGMLYLIQRETQTTLLAALFKILILLISVTPYARMPKELLPTVITDMCRRLLDRHSNKNEHYALLVNVLNCMEAAFSKEPPSPNVFEVLTQDGCAGPSHAQQESSVVAVLLHCVEQEMHFSVRCGAFQVLRSAVHNYPSCANIIWAKVQDIVLDLLQMQSLEEQRDTNFGPPKEESSIKGRCLVAGIKVIDECLRVSSGFKGADDLKECRLQDIQQISDCTIYKTVKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIETLLPQGLSHGSAMVRTASLTCFAGMTYDVFFSLPESRRDYVTSSSIHTALSDAAPAVRSAACRAIGIVACFPRILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPLNFKHRDNLEKQVTFTALHLFSFVSPKDDQSLRDFLNKKASFLEDWLKSLCSLLNDAEDQPLAHEAINDEDGFSPNVAQKVMLSSTVKSLLDVDKLTANYGKRQESCSRQLVPVNSAFPGASGLTIGACGPFVLLLSRLCSSLSHRAWVG >LPERR08G03190.4 pep chromosome:Lperr_V1.4:8:2489199:2502539:-1 gene:LPERR08G03190 transcript:LPERR08G03190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTSTSSDSGAREWRTALLTLRDESVASPSPPALLALLRRVLLLSSPPPSSLAASAAALSPHEVGSDVAFLAETAAAVAPCAGADDVLRGVCHLIYDIMCKTNMEIDSPCLLAMLKFLDVLMQCSMEGACGKGLSVRKTALDTVSECLQILRFLSKNICGSTSLPENAHLLRALISIVSCLQSELNLSDKPNGAGVSTHTSGSINNKNSNIWDMEISAFSMIEDALSKIASSLSEDLWQSVVEVLRKVMDFLTARNLIVESSIMSRFCTSFLRCLHSVLSDPKGPLSAHVPGFVANLQMFFMYGLRSSSPPVITPMEYKTDSKSSAGRYKPPHLRKRGGKGNDSFDGQSLDSESSRYDLSSSDSDMSDSDGCAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPITKVRIEAASTIAAMLEGQSLVLAQVAEYKESSRRGPFTTLSCSLGQILMQLHTGMLYLIQRETQTTLLAALFKILILLISVTPYARMPKELLPTVITDMCRRLLDRHSNKNEHYALLVSFSTLIHMFRKWMVICLYRNMSMQQVNVLNCMEAAFSKEPPSPNVFEVLTQDGCAGPSHAQQESSVVAVLLHCVEQEMHFSVRCGAFQVLRSAVHNYPSCANIIWAKVQDIVLDLLQMQSLEEQRDTNFGPPKEESSIKGRCLVAGIKVIDECLRVSSGFKGADDLKECRLQDIQQISDCTIYKTVKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIETLLPQGLSHGSAMVRTASLTCFAGMTYDVFFSLPESRRDYVTSSSIHTALSDAAPAVRSAACRAIGIVACFPRILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPLNFKHRDNLEKQVTFTALHLFSFVSPKDDQSLRDFLNKKASFLEDWLKSLCSLLNDAEDQPLAHEAINDEDGFSPNVAQKVMLSSTVKSLLDVDKLTANYGKRQESCSRQLVPVNSAFPGASGLTIGACGPFVLLLSRLCSSLSHRAWVG >LPERR08G03200.1 pep chromosome:Lperr_V1.4:8:2513630:2521223:1 gene:LPERR08G03200 transcript:LPERR08G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAHYGEDHPVDFSKHVSMDDEKITSAPMEEKAAAATGQQFPFFGLLSYADGLDWLLMVAGTMGSFLHGMGPSMSYYMVGKAIDVVGNNIGNQEATVHELSKRQMSRMRMAYLRSVLSQDIGAFDTYLTTANIMAGATNHMSVIQDAIGEKLGHFLSNFSTFLVSIVVAFVCCWEVGMLSMLVVPMLLMVGATYAKMMIDMSMKRIALVSEATTVVEQTLSHIKTVFSFVGENLAIKSFIKCMDKQYKLSKKEAMTKGLGLGMLQIATFCSYALTVWVGAAAVVDRSAKGGETVAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPSISYESKGTILEKVIGNIEIREVDFMYPSRVDKRILRGFSLDIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGDILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIETAKAANVHSFISKLPNKYSMEVGERGLQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDRAMQGRTVILIAHRMSTIVNADKIIVVENGKVAQSGTHEELLEKSPFYLSVCSMQNLEKESGVSEERFTDQVTEEQDNGTGTNNEPSSSTAHEQEKSLELNRNQPKQEIRKRASAFYRMFLGTFMLEPGKVLLGSTAAAISGVSKPIFAFFIMTVGVAYFEPDAKKIVGKYSIILFLIGLLTFFSNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRMSVIVQCISSILIATGLSLAVNWRMGLVAWALMPFQFITGLVRSAKGFATDTSMSHRRLISLTSEAVSNIRTVASFVQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLEKSLSSLAIEPGQRVGLVGSSGAGKSTVLVDGKDIREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIIEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKKPVILLLDEATSALDGESERLVMSSLAAQKWKSNKMTSITIAHRLSTVKCADVIVVMDKGEVAEMGSHETLVTASNGVYSRLYRMQSKGVKD >LPERR08G03200.2 pep chromosome:Lperr_V1.4:8:2507813:2513630:1 gene:LPERR08G03200 transcript:LPERR08G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDDMDESKSTIPVPSEASADEKPFPFFGLLCYADATDWLLMALGTIGSVIHGMAFPVGYLLLGKALDAYGTNINDQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTNHMSIIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVALLSFLVIPLILIIGATYTKQMNGISLSRNAIVSEATSIVEQTLSHIKTVFSFVGEKWALKSFVRCMDNQYKLSKKEALIKGIGLGLFQAVTFCSWALMVWIGAVAVTRRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYEKHGSVLGKVHGEIKLRKVVALVGSSGCGKSTVISLLQRFYDPTSGDIFIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIRDNLRIGKMDANDDEITKAARTANVHSFISKLPNGYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMHGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHQELLEKSTFYSNVCSMQNIEKEAGKRLASSSDNVIEDEIDEVYNRQLSPKQGEQNKLQQLNSKQPKQEKDDIAKILFGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRKVTKYSLIFFTAGVITLATLLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRIVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFEMLDRDTQIVPDKPERPGNGWLIGRTEFQDVSFNYPSRPEVTILDGFNLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDNKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKQPTILLLDEATSALDGESERVVMSSLGAKEWKNKNEGSSKITSITVAHRLSTVINADIIVVMEKGKVVELGNHQALISADDGVYSRLFHLQSNMKD >LPERR08G03210.1 pep chromosome:Lperr_V1.4:8:2522297:2523020:-1 gene:LPERR08G03210 transcript:LPERR08G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNSTSPSPAKSGGEQEHAEKAVHVVVVGDGEAVAVTQSTQKGDDGDDVTDYSGRAQWLRAAVLGATDGLVSVASLMIGIGAVNETSRSMLVSGLAGLVAGACSMAIGEFVSVYAQFDIEAAAARRRRRDAGEKGDNSEEDFLRRLPSPSKAAAASAMAWAARVAAVCAVTTASLAGFGALGAAVGGASPAMSAARVLIGGWAAMAACYGVLRLFATM >LPERR08G03220.1 pep chromosome:Lperr_V1.4:8:2525209:2526032:-1 gene:LPERR08G03220 transcript:LPERR08G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESLDYVLVPLGLALMAGYHAWLLLRIRRRPSTTVIGVNAINRRIWVRHIMQDSSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAILMSTNGGGLLPDAPLVVGATGESALSAKFFAILVCFLIAFLLDVQSIRYYTHAGLLVNVPLRRRRRRSPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACVAMVCVLYFLDVCEDWAEEEDDDDDGEGRHHSGSDGTSVEVKV >LPERR08G03230.1 pep chromosome:Lperr_V1.4:8:2530906:2537991:1 gene:LPERR08G03230 transcript:LPERR08G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESELSDTEIDEYADKSYLSLKTDKVVVKLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVKANHMALAKLLKNDYANAAESLPSRQVAAPSNPPQPLQGQEVYVWPWMGILANVPAEKTKGDGASMMPQLADFHPLQFSAVYCSEKRYTGFAVVRFSKDWIGFKNALAFQNYFKSKRLGKMDWAEAHGQEKYIFGWLAKEEDYKSADPVAVFLSANGDLKTVSDLEHDMSRRTENLIASLSHQITAKSKYLLELECKYNQMNLSIKRAMEENDLLHKRYNEEMRNMQFAAREHSQRIFQETDQLRNQLDEKEKAIERRVKQLSKFVAQTDLERRKLESEMKKSGHNDLLLECYMWFCLTARLFSLQNSEQNDSLHMAKIEQQKSDKSVLMLVEKHKKEKETALNKILQLEKQLDEKQKLELEIQQLRGKLQVVQYMEGEGVDVKKRTEELTGELNEKIEEMEDMEALNQTLIIKERKTNDELQDAKKELISGLTELLGPRSTIGIKRMGELDEKPFLTACKQRYSVNEAEIKALEFCSEWQEHLKDANWHPFKMVTRGGKTEQIINEDDEKLVGLKRQLGDEVYRAVITALVEINEYNASGSYVVSELWNNKENRKASMQEALQHILKQWKLQRRRR >LPERR08G03230.2 pep chromosome:Lperr_V1.4:8:2531031:2537991:1 gene:LPERR08G03230 transcript:LPERR08G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESELSDTEIDEYADKSYLSLKTDKVVVKLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVKANHMALAKLLKNDYANAAESLPSRQVAAPSNPPQPLQGQEVYVWPWMGILANVPAEKTKGDGASMMPQLADFHPLQFSAVYCSEKRYTGFAVVRFSKDWIGFKNALAFQNYFKSKRLGKMDWAEAHGQEKYIFGWLAKEEDYKSADPVAVFLSANGDLKTVSDLEHDMSRRTENLIASLSHQITAKSKYLLELECKYNQMNLSIKRAMEENDLLHKRYNEEMRNMQFAAREHSQRIFQETDQLRNQLDEKEKAIERRVKQLSKFVAQTDLERRKLESEMKKSGHNDLLLECYMWFCLTARLFSLQNSEQNDSLHMAKIEQQKSDKSVLMLVEKHKKEKETALNKILQLEKQLDEKQKLELEIQQLRGKLQVVQYMEGEGVDVKKRTEELTGELNEKIEEMEDMEALNQTLIIKERKTNDELQDAKKELISGLTELLGPRSTIGIKRMGELDEKPFLTACKQRYSVNEAEIKALEFCSEWQEHLKDANWHPFKMVTRGGKTEQIINEDDEKLVGLKRQLGDEVYRAVITALVEINEYNASGSYVVSELWNNKENRKASMQEALQHILKQWKLQRRRR >LPERR08G03230.3 pep chromosome:Lperr_V1.4:8:2531952:2537991:1 gene:LPERR08G03230 transcript:LPERR08G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESELSDTEIDEYADKSYLSLKTDKVVVKLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVKANHMALAKLLKNDYANAAESLPSRQVAAPSNPPQPLQGQEVYVWPWMGILANVPAEKTKGDGASMMPQLADFHPLQFSAVYCSEKRYTGFAVVRFSKDWIGFKNALAFQNYFKSKRLGKMDWAEAHGQEKYIFGWLAKEEDYKSADPVAVFLSANGDLKTVSDLEHDMSRRTENLIASLSHQITAKSKYLLELECKYNQMNLSIKRAMEENDLLHKRYNEEMRNMQFAAREHSQRIFQETDQLRNQLDEKEKAIERRVKQLSKFVAQTDLERRKLESEMKKSGHNDLLLECYMWFCLTARLFSLQNSEQNDSLHMAKIEQQKSDKSVLMLVEKHKKEKETALNKILQLEKQLDEKQKLELEIQQLRGKLQVVQYMEGEGVDVKKRTEELTGELNEKIEEMEDMEALNQTLIIKERKTNDELQDAKKELISGLTELLGPRSTIGIKRMGELDEKPFLTACKQRYSVNEAEIKALEFCSEWQEHLKDANWHPFKMVTRGGKTEQIINEDDEKLVGLKRQLGDEVYRAVITALVEINEYNASGSYVVSELWNNKENRKASMQEALQHILKQWKLQRRRR >LPERR08G03230.4 pep chromosome:Lperr_V1.4:8:2531955:2537991:1 gene:LPERR08G03230 transcript:LPERR08G03230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESELSDTEIDEYADKSYLSLKTDKVVVKLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVKANHMALAKLLKNDYANAAESLPSRQVAAPSNPPQPLQGQEVYVWPWMGILANVPAEKTKGDGASMMPQLADFHPLQFSAVYCSEKRYTGFAVVRFSKDWIGFKNALAFQNYFKSKRLGKMDWAEAHGQEKYIFGWLAKEEDYKSADPVAVFLSANGDLKTVSDLEHDMSRRTENLIASLSHQITAKSKYLLELECKYNQMNLSIKRAMEENDLLHKRYNEEMRNMQFAAREHSQRIFQETDQLRNQLDEKEKAIERRVKQLSKFVAQTDLERRKLESEMKKSGHNDLLLECYMWFCLTARLFSLQNSEQNDSLHMAKIEQQKSDKSVLMLVEKHKKEKETALNKILQLEKQLDEKQKLELEIQQLRGKLQVVQYMEGEGVDVKKRTEELTGELNEKIEEMEDMEALNQTLIIKERKTNDELQDAKKELISGLTELLGPRSTIGIKRMGELDEKPFLTACKQRYSVNEAEIKALEFCSEWQEHLKDANWHPFKMVTRGGKTEQIINEDDEKLVGLKRQLGDEVYRAVITALVEINEYNASGSYVVSELWNNKENRKASMQEALQHILKQWKLQRRRR >LPERR08G03230.5 pep chromosome:Lperr_V1.4:8:2534986:2537991:1 gene:LPERR08G03230 transcript:LPERR08G03230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESELSDTEIDEYADKSYLSLKTDKVVVKLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVKANHMALAKLLKNDYANAAESLPSRQVAAPSNPPQPLQGQEVYVWPWMGILANVPAEKTKGDGASMMPQLADFHPLQFSAVYCSEKRYTGFAVVRFSKDWIGFKNALAFQNYFKSKRLGKMDWAEAHGQEKYIFGWLAKEEDYKSADPVAVFLSANGDLKTVSDLEHDMSRRTENLIASLSHQITAKSKYLLELECKYNQMNLSIKRAMEENDLLHKRYNEEMRNMQFAAREHSQRIFQETDQLRNQLDEKEKAIERRVKQLSKFVAQTDLERRKLESEMKKSGHNDLLLECYMWFCLTARLFSLQNSEQNDSLHMAKIEQQKSDKSVLMLVEKHKKEKETALNKILQLEKQLDEKQKLELEIQQLRGKLQVVQYMEGEGVDVKKRTEELTGELNEKIEEMEDMEALNQTLIIKERKTNDELQDAKKELISGLTELLGPRSTIGIKRMGELDEKPFLTACKQRYSVNEAEIKALEFCSEWQEHLKDANWHPFKMVTRGGKTEQIINEDDEKLVGLKRQLGDEVYRAVITALVEINEYNASGSYVVSELWNNKENRKASMQEALQHILKQWKLQRRRR >LPERR08G03240.1 pep chromosome:Lperr_V1.4:8:2537976:2541863:-1 gene:LPERR08G03240 transcript:LPERR08G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVFAALCKIGSVLASSASITLGARLDTNLTIINEIENRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKAAFEIEDIIDEFLYLSVKHKSRFFNGEFMSYFRKLGKTSWHRIATELKDLQSHLQNLRNLRVQYEIQLPNGSKVSTDVEDHRLPHHLSYPADEMVGVEQERTMIMHWLNATTNSTSVITVWGMGGSGKTTLVNGIYEDERIKNHFDCHIWITVSQKFHASDIMRKMIQHILQKWCPSNIDSIDGRDLVEILKKTLEQRKILLVLDDVWSTDVWMDLAGTVGRSNNNGNKVIITTRIKEVASLASEDQVLELQKLNEADSWRLFCRWAFKNHIDRSCPQELEPLGREIMGKCDGLPLAIVVVGNMISFKKQDMEEWSKCNDQLTWELRDRLRDQELSSVMKILKLSYKHLPSHLKNAFVFCSIFPEDYIITKKRLARLWVAEGLIKPEKRRTTEEVAEEYLNELIDRCLLQVVERKHFRKVKEFQMHDIVRELTISISEKETFCMTHNKSQHGEHEYRCRRLSIHEHNDRIKSISDPYRLRSLYHFDVTCSSFPSVGTPRSARYLNALELQDVPITVLPEELSGLFNLRYLGLRRTKIKQLPQSIYKLFNLQTLDIYLTNVDKLPTGITKLKRLRHLLAGKLSAPLYCGIVEKSRGVEAPKTVWESMELQTLKGVLANMDLVEHLGNMTQLRTLAIEDIVGAKLEKLYLTGSKMNIDPLISISCLSNLKVLQIGDAYDGQSVVFQRGWFTKLHTLIMCNLSSIGSMVMEEQTLQSLQWLALVNLPELKKVPRGIEFVRSLKNLMLVNMHDEFMEHIQGEDKFRNSSENLRYLRPQYCVLSAMIIQ >LPERR08G03250.1 pep chromosome:Lperr_V1.4:8:2549981:2553388:-1 gene:LPERR08G03250 transcript:LPERR08G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLASASSLPPPAEAAALRFGPSNPLPPRALSLTASARPWRPRYLCSSSSSAAAAAAVEEARQGRKQLGATAQLCEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLTQILGAVRCIEVGVYTGYSSLAVALALPESGCLVACERDERCLEVAKKYYQRAGVAHKVDVKHALAADTLKSLIDNGEANSYDFAFVDADKRMYEKYYELLLKLVRIGGLIVIDNVLWYGRVADPLVNDLKTISIRNFNKKLLEDNRVNISMVPIGDGMTICRKLVDT >LPERR08G03250.2 pep chromosome:Lperr_V1.4:8:2549981:2553388:-1 gene:LPERR08G03250 transcript:LPERR08G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLASASSLPPPAEAAALRFGPSNPLPPRALSLTASARPWRPRYLCSSSSSAAAAAAVEEARQGRKQLGATAQLCEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLTQILGAVRCIEVGVYTGYSSLAVALALPESGCLVACERDERCLEVAKKYYQRAGVAHKVRIGGLIVIDNVLWYGRVADPLVNDLKTISIRNFNKKLLEDNRVNISMVPIGDGMTICRKLVDT >LPERR08G03260.1 pep chromosome:Lperr_V1.4:8:2556232:2561722:1 gene:LPERR08G03260 transcript:LPERR08G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSKELDYVLVPLGLAIMVSYHLWLLLRIRRRPATTVIGINAINRRIWVRHIMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSSNSGDQGAIVVGAGGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTGILVNVPLHAHNAARNRRRSPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFATCVAMVCVLYFLDVYTEWEKTEEEDELNGGDGDGDGDVCHEQHKTAAGAGAGRVAPQQDLMRKPKQQLDYVLVPLGLAIMVSYHLWLLHRIRRRPATTVIGINAINRRIWVRHIMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSSSSSNSGDVVVGATGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTGFLVNVPLHAHRRRPGLAVDYVMATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKPDDDEEDNLNGDPTKCHE >LPERR08G03270.1 pep chromosome:Lperr_V1.4:8:2558535:2565130:-1 gene:LPERR08G03270 transcript:LPERR08G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFLSFLPPLSRRHPWRTPPLGLATSIGDGDWGTHAGGEVWLTLPYRKLRRHLQARMILRSHRRPFLPTARSLTTAAVAAAVRRGDLSGAEEAFASTPRKTTATYNCLLAGYAKASPGRLTDARNLFDGIPTPDVVSYNTLLSCHFGSGDVDGARRLFSAMPVRDVASWNTMVSGLCRSGAMEEAKAVFLAMPARNSVSWNAMVSGFACSGDMSAAEELFSNAPEKGDAVIWTAMVSGYMDVGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHVDDALRLFKIMVRDANVQPNASTLSSVLLGCSNLSALGFGQQIHQWCMKLPLSRSVTVGTSLVSMYCKCGDLSSACMLFGEMHRRDVVSWNAMISGYAQHGDGKEAINLFERMRDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGRLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLVEKDPQNAGAYVQLANIYAVANRWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLHLIHEKLDQLEEQMKAMGYVPDLDFALHDVDESLKVQMLMRHSEKLAIAFGLISTSPRMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRAGHCSCVYLMDCTLRRKATRKQTRMAKNFTDKAVSPVAPTTTSPELEEEEEKMPWATPLAMSAATRELSVMATEASTVDAMMLFLIVCTASTACFPDASSMMCRTQMRRLMALIPITVVAGRRRMRWRSHRPLVQEYYY >LPERR08G03270.2 pep chromosome:Lperr_V1.4:8:2558344:2565130:-1 gene:LPERR08G03270 transcript:LPERR08G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFLSFLPPLSRRHPWRTPPLGLATSIGDGDWGTHAGGEVWLTLPYRKLRRHLQARMILRSHRRPFLPTARSLTTAAVAAAVRRGDLSGAEEAFASTPRKTTATYNCLLAGYAKASPGRLTDARNLFDGIPTPDVVSYNTLLSCHFGSGDVDGARRLFSAMPVRDVASWNTMVSGLCRSGAMEEAKAVFLAMPARNSVSWNAMVSGFACSGDMSAAEELFSNAPEKGDAVIWTAMVSGYMDVGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHVDDALRLFKIMVRDANVQPNASTLSSVLLGCSNLSALGFGQQIHQWCMKLPLSRSVTVGTSLVSMYCKCGDLSSACMLFGEMHRRDVVSWNAMISGYAQHGDGKEAINLFERMRDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGRLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLVEKDPQNAGAYVQLANIYAVANRWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLHLIHEKLDQLEEQMKAMGYVPDLDFALHDVDESLKVQMLMRHSEKLAIAFGLISTSPRMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRAGHCSCGSWGEVVNIYRKSKRPNGVTGVSSTTREQ >LPERR08G03280.1 pep chromosome:Lperr_V1.4:8:2566036:2571133:-1 gene:LPERR08G03280 transcript:LPERR08G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAVMVVAVVAAAASAASPAAAGESTREFRWEVGYMTVAPLGVSQKVIAVNNQLPGPVLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRAVVPVPFAQPDGDIDVFIGDWYTKSHVELRKMLDDGKDLGIPDGILINGKGPYSYDNSLVPEGIQHETIGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTLQQNFTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVTDDHRWHDVTGVAILQYSNSKGKASGPLPDGPNDFYYKSYSMDQAKSIQMNTTSGAARPNPQGSFKYGSINITQTFILKNELPLRINGKRRRTINRISYSPPETPLRLADLHNLTGVYKTDFPTIFNNSTPKMASSVLNASYKGFLEIIFQNNDTDVQTYHLDGYSFFVVGMEYGEWTPDRRISYNKWAAVFRSTTQVFPGAWTAILVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIIPDNALYCGLLKNLQKPQIHQTSDKSSAHAADRWSMRILAMVC >LPERR08G03290.1 pep chromosome:Lperr_V1.4:8:2574403:2577997:-1 gene:LPERR08G03290 transcript:LPERR08G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISAPTYSSLLPPSLQVGGRWSSSAKTVSFSLRRPALAARVAAGGGNAASSSVGGKVVTELDAVASFSEIVPDTVVFDDFERFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNVLEKPEDRMSCYLTKALANVGAELAHLVPGRVSTEIDARLAYDTQGIIQRVHELVKLYNDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALRKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKMYNFTEEELVKWDQLSLAAAMGPAAEELLASGLDGYVNQARRVEELFGKIWPPPNV >LPERR08G03300.1 pep chromosome:Lperr_V1.4:8:2580775:2587007:1 gene:LPERR08G03300 transcript:LPERR08G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDDEGPISFKRSSTSVKNRPTPTKQEGSSGNAGSVRSPKPVASNQQKNGLTGVSRPLQHKPQSSSPNPRPLGSGQPNSSREHSNSSNAVDKGKLKRPFVDDKSDSEDDHKPIGLRRKVDDRGLKKPAVGIGRDDDSDDDNKPLSLKINSTKTPSNGTNKAIVLKATPKIEQPGDDSEDDKPLASRLPARPALKSGANVSDDDSEDEKPLAARFSKVTGSASASISTSKDKVLSASLKPTSSSKVSNNDTSTSRNSIKRPIDNNNQTISALKKARPSDGSASAIVKREPKADDNDNVPLSQRLKIGESSNSKAPAKNIVKKSPSSFKKDSKKMKMKMKTKKTMKNSQFSKTMKVPPGSGGGKKWSTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYASKKTFIDNFFTDWRKILGKNHIIKKFELCDFTPIYEWHLIEKEKKKQMTSEEKKAVKEEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIQPSDITINIGKGVPVPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRLLKDHIHKIRDTYTKDFRCKDVGKKQIAVATYLIDKLALRAGNEKDDDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIEEFRAGKKPGAELFDKLDTSRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTILEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDEFKVDLSKVKKGKSLGNDKDGNAKRKLAPEAYEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLVAKFAWAMDVEPDFRF >LPERR08G03310.1 pep chromosome:Lperr_V1.4:8:2587676:2591312:1 gene:LPERR08G03310 transcript:LPERR08G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >LPERR08G03320.1 pep chromosome:Lperr_V1.4:8:2599312:2608507:1 gene:LPERR08G03320 transcript:LPERR08G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGGHHHHHLNPHGLCCLSTAALPAPDAPPSPSPEPDAAAAGAAVSVAGVLHKWTNYGRGWRERWFSLHDGVLSYSKIRRDAAAAGDEDGGGGEVRLIGGASARIGGVRRTEKPVGVVCLKLSAFRESKSDDRRFYVFSPTKTLHLKTDSKEDRVAWIEALILARSFYSLRSLSGRITFVQSDVSVSTARLRNRLHQEGLNESLIQECEQIVLTEFSSFRKHLKLCYEDQLSLFGSCRHHFEEGKDASIIQGPLTRNDFSSSRHGNFSEYSTTESDEFEKQDGGELTCEDESTFFDAADYFIESNNRSSAMLSSTSCDEGLLDIQMQDSSHLVPQIERRSKLPEPAEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSHLLDQAYQNGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGIRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNREYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDENMYYSDLDTSKVKNVDQLKGASLLWEKNKPSPNPTRYNLSSFAITLNELSPGLQDKLPPTDSRLRPDQRHLENGEYEKASTEKLRLERRQRMSSKLQDNGWKPRWFEQDSQDGTYRFKGGYWESREQGHWDDCLDIFGEFSET >LPERR08G03330.1 pep chromosome:Lperr_V1.4:8:2606075:2609854:-1 gene:LPERR08G03330 transcript:LPERR08G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALEEGKPIPTELRNEELALRREIDLEDKDTSVPRSLIDDEYAGAAQREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVVSEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQGEAQNEFVLRPYMNTAKKQKSLGA >LPERR08G03340.1 pep chromosome:Lperr_V1.4:8:2612544:2616955:1 gene:LPERR08G03340 transcript:LPERR08G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSASFLEIQPSELAFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVMMQAQREAPPDMQCKDKFLVQSVAAENGATTQDINADMFNKVAGKVVEEFKLRVVYVPTTSSAIPEESEQGSSARPFAQENGIHNPTMPQPVSRSSAETAKERPSEASSMINKLAEEKRSAIQQNQKLRHELELLRKESGKSNGGFSLTFLAIVGLLGIIVGCILKKT >LPERR08G03340.2 pep chromosome:Lperr_V1.4:8:2612588:2616955:1 gene:LPERR08G03340 transcript:LPERR08G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSASFLEIQPSELAFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVMMQAQREAPPDMQCKDKFLVQSVAAENGATTQDINADMFNKVAGKVVEEFKLRVVYVPTTSSAIPEESEQGSSARPFAQENGIHNPTMPQPVSRSSAETAKERPSEASSMINKLAEEKRSAIQQNQKLRHELELLRKESGKSNGGFSLTFLAIVGLLGIIVGCILKKT >LPERR08G03350.1 pep chromosome:Lperr_V1.4:8:2620240:2627184:-1 gene:LPERR08G03350 transcript:LPERR08G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESNHNAAPAAEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGVSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACPAGSPPATCERASSSQMGILYLALYLTALGTGGLKSSVSGFGSDQFDETNPGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAGGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRRLELPSDPAMLHDIDVGKIAAVEAEEASSKKSKIKQRLPHTKQFRFLDHAAIDDEALSGNGEERSKWTLATLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPIYDRIVVPISRRFSGNPHGLTPLQRIGVGLVLSILAMAVAALTEVKRLKIAKNSHLAAGEPVPMTVFWLIPQFFFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTVVHKITGDRHPWIADDLNKGQLDKFYWLLAGICAANLAVYLVAARWYKYKAGRPGADGAVNGVEMADAEPCLH >LPERR08G03360.1 pep chromosome:Lperr_V1.4:8:2646641:2655447:-1 gene:LPERR08G03360 transcript:LPERR08G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVSKVELVQFGILSPDEIRQMSVVMIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEEDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLEVQDQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAAKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGFITPGDTVVRIEKGELLSGTLCKKTLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADASTMEKINETISKAKNDVKELIKQAHDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKAEFDNVFRYELDDENWRPNYMLPDHVDDLKTIREFRNVFEAEVQKLEGDRLQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKYGKVNKFEDNDGFKSEQEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVESLDFGMTPARSPISGTPYHEGMMSPSTYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGGGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPNFTCIQPNISILQPHITVIQPNIAPNISIIQSDVSLIQPHLPFLQSNITLIQPNIACIQPYFSWVQPDITKLQPNFAKLQSNLAKLQSFFGQVQSFTCVLSNPTSPTYSPTSPSYSQPSPSYSPTSPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSLSSTGQQFSPKAGDKDDENAQ >LPERR08G03370.1 pep chromosome:Lperr_V1.4:8:2662029:2662301:1 gene:LPERR08G03370 transcript:LPERR08G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDHCPLHRRHCCHRRRYDHDHHTADEMDYKEAAGEEFYMKERKRGTTMKAKKAAVAAREEDDDDDE >LPERR08G03380.1 pep chromosome:Lperr_V1.4:8:2664435:2664653:1 gene:LPERR08G03380 transcript:LPERR08G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLMGTAVGAYAAQNYRLPNLRALADRGVDAAKHYEETYRKKPSTVGSKKKINKAGEIDDDEE >LPERR08G03390.1 pep chromosome:Lperr_V1.4:8:2675157:2675435:1 gene:LPERR08G03390 transcript:LPERR08G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLHRLFPFLIGTAVGVYAAQNYKVPNLRCLADRGVDAAKSYEEAYRKKPSSAGAGGSRKKTNKTVEAGAGAGGSRKKTNKTVEIDEEEE >LPERR08G03400.1 pep chromosome:Lperr_V1.4:8:2684175:2687798:1 gene:LPERR08G03400 transcript:LPERR08G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHGMASRKPPGIRLFGGITALRTYQTLVLVLTFIAYTCFHMTRKIPSIVKSSLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTVDGSALLGEIDVAFLAVYSCGMFFAGHLGDRMDLRIFLSIGMFGTAIFTALFGAGYWLNIHNFYYFLVIQMIAGLFQATGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVVVGLIVFVFLPVSPEVMEIDIDDGEINAVKDTTKEPLLEPGQEVRHSAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFIVS >LPERR08G03400.2 pep chromosome:Lperr_V1.4:8:2684175:2687798:1 gene:LPERR08G03400 transcript:LPERR08G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHGMASRKPPGIRLFGGITALRTYQTLVLVLTFIAYTCFHMTRKIPSIVKSSLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTVDGSALLGEIDVAFLAVYSCGMFFAGHLGDRMDLRIFLSIGMFGTAIFTALFGAGYWLNIHNFYYFLVIQMIAGLFQATGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVVVGLIVFVFLPVSPEVMEIDIDDGEINAVKDTTKEPLLEPGQEVRHSAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSRSWSAVFTMLMAAALFAGLLLTQLVCSELRGKVPSNASKDVADDQATYTSEV >LPERR08G03410.1 pep chromosome:Lperr_V1.4:8:2688296:2692530:-1 gene:LPERR08G03410 transcript:LPERR08G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPATAGELLRIDPLELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPSGVSVKDVTGEMFMKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLSGGFTRALRERIEPQDNSLEDMMRREISKKRGGFSFIIVIIVALIVYLVDPKLLSIPALLLIVELGRALIEEFYRINFLAI >LPERR08G03420.1 pep chromosome:Lperr_V1.4:8:2693418:2696808:1 gene:LPERR08G03420 transcript:LPERR08G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSSKS >LPERR08G03430.1 pep chromosome:Lperr_V1.4:8:2699437:2702057:-1 gene:LPERR08G03430 transcript:LPERR08G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVASASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALADPSAPAISALPLISRRAANRGLRRALLRDDVSSVYLSFASSRRSLTRASDGKPFVRVWKVMEVCYKILGEGKMVTQRELFYKLLSESPNYFSCQRLVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVLHGPDEEHVDCSILGPSGHAITGDMNMLSKFIFSSEARYIIVVEKDAIFQRLAEDRIYNHLPCILITAKGYPDLATRNPAGLAILCTYKYGSISMGLESYRYACNVKWIGLRGDDLQLIHQSAYQELKPRDLQIAKSLLSSKFLEEKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYV >LPERR08G03430.2 pep chromosome:Lperr_V1.4:8:2699437:2702057:-1 gene:LPERR08G03430 transcript:LPERR08G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVASASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALADPSAPAISALPLISRRAANRGLRRALLRDDVSSVYLSFASSRRSLTRASDGKPFVRVWKVMEVCYKILGEGKMVTQRELFYKLLSESPNYFSCQRLVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVLHGPDEEHVDCSILGPSGHAITGDMNMLSKFIFSSEARYIIVVEKVYLASAEPDFSENANFRIRTQQALLYYARTTCNVKWIGLRGDDLQLIHQSAYQELKPRDLQIAKSLLSSKFLEEKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYV >LPERR08G03440.1 pep chromosome:Lperr_V1.4:8:2703653:2706721:1 gene:LPERR08G03440 transcript:LPERR08G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGHGHGHHGGGHSHGIGGGGEAQIKGTLTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSVGFLRSDNARRYVRSLPQYPKQQFRSRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCTVPFSFDFEQPSLTEEDIKEIIWREAIKFNPEPIH >LPERR08G03440.2 pep chromosome:Lperr_V1.4:8:2703653:2707850:1 gene:LPERR08G03440 transcript:LPERR08G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGHGHGHHGGGHSHGIGGGGEAQIKGTLTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSVGFLRSDNARRYVRSLPQYPKQQFRSRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCTVPFSFDFEQPSLTEEDIKEIIWREAIKFNPEPIH >LPERR08G03450.1 pep chromosome:Lperr_V1.4:8:2709596:2714351:-1 gene:LPERR08G03450 transcript:LPERR08G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSQATVLQKTQQVRAAQQLQSRHHQQPSGASRPAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPDDLGIPLDLLDMSVYKYELSHTHTCLATIHVRGFFLAYLTYAPTIFSPPPVQPPMDPEDEELLRDDEVLTPVKPDGIRKKERPTDKGVSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENLNDRDKQIKAIEHSFKAAKSHPVHQTKRGMEAEWVLPLLPDFERYKDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPTKQEKFLAYMAPSPHELNKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDEDGAKYLPLPTKLVLQKKKAKEGRSGDEVEHFPVPSRITVSRTAHGGMMERGESSGMHENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGEEYSD >LPERR08G03460.1 pep chromosome:Lperr_V1.4:8:2719268:2719819:-1 gene:LPERR08G03460 transcript:LPERR08G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLCLSTLGLAAPPPPDEHPAYAPPPPPPAGMEPAELVWAAFQAAPEPAAIKAGLPAVRYGDFARSRRGGTSPAAAPASCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRAALLPGAGAGEDDDVTAACRHIPSSFTTFSF >LPERR08G03470.1 pep chromosome:Lperr_V1.4:8:2730283:2733009:-1 gene:LPERR08G03470 transcript:LPERR08G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAAADEDACMYAMQLASSSILPMTLKNAIELGLLETLVNAGGGKKSLTPAEIADKLPSKANPAAADMVDRMLRLLSSYDVVRCEMEEGDDGKLLRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDARFNRVFNEGMKNHSIIITKKLLDIYTGFDSNSGVSTLVDVGGGIGATVHSIVSRHPQIKGINYDLPHVISEAPPFAGVEHVGGDMFASVPSGDAILMKWILHDWSDEHCARLLKNCYDALPANGKVIIVECVLPENTNATPKEQGVFHVDMIMLAHNPGGKERYEREFRELARGAGFTGFKPVYIYANAWVLEFTK >LPERR08G03480.1 pep chromosome:Lperr_V1.4:8:2752535:2765261:1 gene:LPERR08G03480 transcript:LPERR08G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLSRRRRGRPWPACGGLGLLRGASQRARRQRTRFDSTGLLCLLEVCDHASRATAVGWHLFVVLCCVRLKEVSGLSRLTAIGSIQINSSGEEAMVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREIPNDKATKSNLTVNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREAPSASFSSVNKSSSNHGASRGLEPTKTEIKDVVILERDGISNGAGKNVKDINDQETERLNGIHISSKSDHSHENCLDTSRQQLKPKATAVEPTYVDWSAAKTSHCQMDRNGATGFPATGTEGSHPDQTSDQTGRTSETMNQCIHPTLSVDPKFDSTATAQPFPHNYAAFAPMMQCHCNQDSYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAVDGNSADPNQEDLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSTPFPAADVQRAPEKDMNCPIDSAQKEMQETQKQDNPEAIKVIMSSESDESGKGEVSLHTELKISPADKADATPTTGADTSDVFGNKKKQDRSSCGSNTPSSSDHDIEADNAPEKQEKANDKAKQASCSNSSAGDNNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQAEESKEISKEEEDEVTTVTVDLNKNATIIDHELDTVDDPRAFFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLDSETST >LPERR08G03480.2 pep chromosome:Lperr_V1.4:8:2752535:2765261:1 gene:LPERR08G03480 transcript:LPERR08G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLSRRRRGRPWPACGGLGLLRGASQRARRQRTRLKEVSGLSRLTAIGSIQINSSGEEAMVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREIPNDKATKSNLTVNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREAPSASFSSVNKSSSNHGASRGLEPTKTEIKDVVILERDGISNGAGKNVKDINDQETERLNGIHISSKSDHSHENCLDTSRQQLKPKATAVEPTYVDWSAAKTSHCQMDRNGATGFPATGTEGSHPDQTSDQTGRTSETMNQCIHPTLSVDPKFDSTATAQPFPHNYAAFAPMMQCHCNQDSYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAVDGNSADPNQEDLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSTPFPAADVQRAPEKDMNCPIDSAQKEMQETQKQDNPEAIKVIMSSESDESGKGEVSLHTELKISPADKADATPTTGADTSDVFGNKKKQDRSSCGSNTPSSSDHDIEADNAPEKQEKANDKAKQASCSNSSAGDNNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQAEESKEISKEEEDEVTTVTVDLNKNATIIDHELDTVDDPRAFFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLDSETST >LPERR08G03490.1 pep chromosome:Lperr_V1.4:8:2768077:2768865:1 gene:LPERR08G03490 transcript:LPERR08G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLGRQVLQVVEEEEDQEEEEDQSPAPPPRRQGVVTGAGGEEIREHMFEKVVTPSDVGKLNRLVVPKQHAERFFPAMAAAAATATQLCFEDRAGTAWRFRYSYWGSSQSYVITKGWSRFVRAARLSAGDTVSFSRSGNGDGAAAGGQYRYFIDYRHCHRRGSAAIDFSSAAAMSPAAATMAWPYFGRPVLQTTAAPVIYGGGHTVAPPPAVEVGPTGQRSFRLFGVNFECGGEAAAAAEVEDDGDRRGEEMELVMWTTNN >LPERR08G03500.1 pep chromosome:Lperr_V1.4:8:2772770:2776229:-1 gene:LPERR08G03500 transcript:LPERR08G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAAAAIPSASSSTLSPHAAPFALPACSVRTPLQDGEVSRSMDGSIVVHEEDTISGDTRLGSYFQMKSCETALPSSTAYPSSICSTSAVFSYPSVTMTSNHNQKIPLYSGMGSNGRKCSTVKIERPPNKTPDTISRGLASNSSITKDVTKPNKDTVKKASFPQSLEVDRNGMPQDTMICSKEASPVSHMRPLRISSTGTDPCDSVADGVKPDPLECSVDSPCWKGASVSHLSSFDVLQTPALQLINQESEALGAEQKESTSIVLPYDVLTAPQNLDTIGNKQNQPQSHVQLSVPINSGDIGKNQTKVSHKGLESAHQCAAKCTAEQKHSLELRDSVKRSGLNFAAPDFIPSSAGKSKNSKGSCSTTGRNTFGILKEMKNLSEMLRDSYFLDGIGPDEHEYTLLQSLIENLQTCLHKERKGLIKDGASNKAGLNAHHSESTVLKSDAGNYKGSCTINGGKGITINKSVGPSHLLNDFGKNSLTWSQPSSNNFPRMISCEEEHSQILIYKNLWIEAEHTNCELKYLLKQTRLKIGEESSVAHVGGPRIPSSQACDFGAGPSNSYGAAISYPPTLSFPKVDHAEETSKARNTLYTGDCIQLSDNRALSCSASTSSHSIQPKNFQGDLLTGLEDTVLNHHVQPVLQFAPSRAHRDEVSARSCFTGVDSFLHGNSEYDSSSDWEHVLKEEIGWS >LPERR08G03510.1 pep chromosome:Lperr_V1.4:8:2780567:2784254:-1 gene:LPERR08G03510 transcript:LPERR08G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRGETEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPQFESGEQVYDLSRSLVGGYVLYRLFRKQEEKIERPSLDEMDRSGYSPTPSRSTPDNMEPNEDGNTPLNKESPESALHESPIDLPALTEAPAAPITRWLADTADNVTTNEANISHMPFHGLDGGAKASPSVGASTQLIHSRKNIYDNDELATVSAPMLPLQDFGEFPLGAIGNFDGNMKPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIVLTEFENPGMMQGGFMDDPSGLENLSFWPDDTNPQLSALYENATLLPYDISADQDVLSMDSGAESLQDLFNSLEDSSTKINTWNNEPVFQGTGIEIYEQLQSNDIFVNQGTARRRLRLQQSESLSPDFEDEESGIVVTSKYVNEVAEESTAEKDMPSDGDDAESTGITILRRRQAPTASSDDEEAESTGITILRRRHAPTASSGSFTQQGAAVRRVRLQCDLNAAPCSSGDGSSSCIIDESESECTMEKAEIEEHTRTTLAEGVDLSGPEHDASAVVADDKSVLRQRKTAEGSDKENKQDYCVLDSHVRAPVKKRGFPAYIIWLVLSLALVLLIFVGIYGWV >LPERR08G03510.2 pep chromosome:Lperr_V1.4:8:2780567:2784254:-1 gene:LPERR08G03510 transcript:LPERR08G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRGETEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPQFESGEQGGYVLYRLFRKQEEKIERPSLDEMDRSGYSPTPSRSTPDNMEPNEDGNTPLNKESPESALHESPIDLPALTEAPAAPITRWLADTADNVTTNEANISHMPFHGLDGGAKASPSVGASTQLIHSRKNIYDNDELATVSAPMLPLQDFGEFPLGAIGNFDGNMKPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIVLTEFENPGMMQGGFMDDPSGLENLSFWPDDTNPQLSALYENATLLPYDISADQDVLSMDSGAESLQDLFNSLEDSSTKINTWNNEPVFQGTGIEIYEQLQSNDIFVNQGTARRRLRLQQSESLSPDFEDEESGIVVTSKYVNEVAEESTAEKDMPSDGDDAESTGITILRRRQAPTASSDDEEAESTGITILRRRHAPTASSGSFTQQGAAVRRVRLQCDLNAAPCSSGDGSSSCIIDESESECTMEKAEIEEHTRTTLAEGVDLSGPEHDASAVVADDKSVLRQRKTAEGSDKENKQDYCVLDSHVRAPVKKRGFPAYIIWLVLSLALVLLIFVGIYGWV >LPERR08G03520.1 pep chromosome:Lperr_V1.4:8:2790847:2791215:-1 gene:LPERR08G03520 transcript:LPERR08G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSRTAPGSSTEFQYGLYWDQLDQARSSEYIEWLHSFYMFMAPHVSKDPRGAYANYMDMDLGTNNWTNPIGESSIEAVAHARSSWGASYFGNNFDRLVRAKTMIDPGNVFNNAQSIPPLY >LPERR08G03530.1 pep chromosome:Lperr_V1.4:8:2812052:2817513:-1 gene:LPERR08G03530 transcript:LPERR08G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRCKQEGPGEGFLVDEPFAGLIRSCGVEMRAVVGGRGFLLHPRPPWRAPAPPSPCPPPPASAAAFGRRLHHRRLPEAILSTLEQGVSTVCFRRWVHSATCVALDDRSQAESVDGAKSDIVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINFVGKQHASVCAKSLTKREAEERLTEGRKKLEEAFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVSDQYITYKHIKERFGDRLWLDVISKSDLLDKKTPSEFDDTDDEVGTYRRLGPEGAIRVSVQGQVGVKELKGKVHQLLTSQMARIKAETTDQESQRTVANVPSQT >LPERR08G03540.1 pep chromosome:Lperr_V1.4:8:2817784:2818731:1 gene:LPERR08G03540 transcript:LPERR08G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDSQPRARVIHSRSNATTTTASPTNPSRSLPHAAACAAAAVALLAAAYYLLPSYQILASLAVWTAASLLLAPFAPSSATGGDISVGIGEPLPEPEPIEDPIPDPAPASRRGRRQNPAPAPNPPPSKPSDPIAHPPPRAAAAAVTAVPDSGETVEDAGEWTDQEMDILRRQMVRHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGGGAAAAGASFDQFLRKRKPLDPRAEAADAGGNAGVGENADGSWSAGDDRALLNALKEFPKDTALRWEKVAAAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >LPERR08G03550.1 pep chromosome:Lperr_V1.4:8:2821037:2824895:1 gene:LPERR08G03550 transcript:LPERR08G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNFVVMVVGLAMMGYGAYLLVVWLQVLPSPPAPPVAPSPGGVAGDEMVRFGRPLMLLLDVSMSHGMEERLSSAWFIFTFIGVGLILFITSIFGCAGASRGGCCLSFVSKYKMHVIPVDKTGNFDMMYSFLKDNWRIAKWVALGAALFEALLFTLALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNSFQQGTISPAEQRSRCTIL >LPERR08G03550.2 pep chromosome:Lperr_V1.4:8:2821037:2824905:1 gene:LPERR08G03550 transcript:LPERR08G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNFVVMVVGLAMMGYGAYLLVVWLQVLPSPPAPPVAPSPGGVAGDEMVRFGRPLMLLLDVSMSHGMEERLSSAWFIFTFIGVGLILFITSIFGCAGASRGGCCLSFVSKYKMHVIPVDKTGNFDMMYSFLKDNWRIAKWVALGAALFEALLFTLALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNSFQQGTISPAEQRSRCTIL >LPERR08G03560.1 pep chromosome:Lperr_V1.4:8:2830606:2836751:-1 gene:LPERR08G03560 transcript:LPERR08G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARLYHH >LPERR08G03560.2 pep chromosome:Lperr_V1.4:8:2831448:2836751:-1 gene:LPERR08G03560 transcript:LPERR08G03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >LPERR08G03570.1 pep chromosome:Lperr_V1.4:8:2848368:2849228:-1 gene:LPERR08G03570 transcript:LPERR08G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSSYLHHHHQSLHLRHDDSAAAASDDQDSLSPGNNNGGGTPASGGAGGEIVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPAAAGGGGGGSAAVATMHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLDDGDEVVPPSAAQAEDVPPPFAGGGGGDPSAGGLPFFNLPFGMPPMPVDGHGGGGGWPGAGAGVGRPPFS >LPERR08G03580.1 pep chromosome:Lperr_V1.4:8:2860689:2863587:-1 gene:LPERR08G03580 transcript:LPERR08G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWANAAAAAGGDGGIWATEDDYNSQWNESRAGSEQPPPGKKPRGASSSGGGGGGDAGSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATETREEHQIPIMTSSGPHGGGGGGGGGGAAGDSGGGGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGGGGSYNSGAVAVGAAAANGVNMQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDLDSRDAAPTPDSKQVAASGKAPAETAAASNAAPPHADVYHLGMQAQRSTIVGQRSGQLQRPIQKWKGPDKISRIYGDWIDETD >LPERR08G03590.1 pep chromosome:Lperr_V1.4:8:2865279:2869749:-1 gene:LPERR08G03590 transcript:LPERR08G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDAGSSKHHRRDKEKDRERSSSSRHHRDRERSSSSRHHHHRDRDDDRDRDRERERRHREKERDREERKAREREEKERDRARRREEKSRRRGDDEEEEDEDRDRKRRRRSSHHHHHHHRDAEAAAAAAGEGSSSAAMVEEEVVDEEEAERRRQKKKEEENQLLDEEMETRRRRIREWQEMKRREEEIKRREQEEAADAVAAEADNAGKKWTLDGEESDEEGDKEDAKKTDDDGGGGGSGAGAMDVDAPNGDNNANGANAIDEDEIDPLDAFMNSMVLPEVAKLESMPAANVDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYDDDEDDEGGSEDEDDEEFMKRVKKTKVEKLAIVDHSKIDYEPFRKNFYIEVKDITKMAAEEVATYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQVQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKPLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCNLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRRGFAVTFISEDEERYAPDLAKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAALVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKVQIHGTVAA >LPERR08G03600.1 pep chromosome:Lperr_V1.4:8:2872648:2873783:-1 gene:LPERR08G03600 transcript:LPERR08G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKEEDNIGDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISMQPPRSETEKLIADLEKEIANLEADNTACIRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAATEEQKMSIEEGSGGASDAMAVD >LPERR08G03600.2 pep chromosome:Lperr_V1.4:8:2873783:2876413:-1 gene:LPERR08G03600 transcript:LPERR08G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding IAAEELAVSVLSRPPTGCPPPRPPAGASPIREAPEPPGRRERVTTERRASASSLGTVLISVIGTLKL >LPERR08G03610.1 pep chromosome:Lperr_V1.4:8:2881978:2886223:1 gene:LPERR08G03610 transcript:LPERR08G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTVAAATSATPRFFAAGGGGNNPAMAAPPSQLQLPIPMPLPMSAGAGAGGGLQFYHHPDAAAMMRPIRGVPLYQHQQQFVAAAPAQMVPHHASSGGGAAAACYCEPCHVAGAAWRRAGCGGVAVAAARGVYPPAKRAPRAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSVAVAVVAARHGRLAACNDDGSNNGAQGALWNSSSREDWSGFPSESNTGSMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >LPERR08G03620.1 pep chromosome:Lperr_V1.4:8:2891894:2894935:1 gene:LPERR08G03620 transcript:LPERR08G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQVEHNLPMPPHLLNRPLVDAIKAELERLFLDKVVANLGLCASVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDICIPGHLMQYGTARALDGRWMLKTEDGDGLYLDMDDEYA >LPERR08G03630.1 pep chromosome:Lperr_V1.4:8:2900856:2906527:-1 gene:LPERR08G03630 transcript:LPERR08G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPTTGGGGGGGAARNAACVCGFPVCACTGAAAVASAASSADMDIVAAGQIGAVNDESWVAVDLSDSDDVGGGGGDVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSICGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDVFVTTADPIKEPILSTANSILSILAADYPVERNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGLKDGEPRATWTADGSQWEGTWVEPSENHRKGDHAGIVLVLLNHPSHGRQLGPPASADNPLDFSSVDVRLPMLVYVSREKRPGHNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPMLGGMFAKSKYQKPGLEMTKPGAKPVAASSPVTVAKGKHGFLPMPKKAYGKSDAFADTIPHASHPSPYTAEPVIAADETAIAEAVTVTAAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGTSSLHGHHGGVHGKKIGFVW >LPERR08G03640.1 pep chromosome:Lperr_V1.4:8:2937064:2940804:-1 gene:LPERR08G03640 transcript:LPERR08G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEAAAGAGRLVASGVPRDNAMDVLLVDTRKGNKVVAFYLKNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFICEMENMTTKMRLKKIRQSLQPTKKAPRVNTRTTTTFTTNCCCRIRVRKPSCPSCSCNFNCSCCSALRSCFSFRLFRCSTCFSCNCRSCFKCCFDCCCCGSCGDAH >LPERR08G03640.2 pep chromosome:Lperr_V1.4:8:2937064:2940804:-1 gene:LPERR08G03640 transcript:LPERR08G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEAAAGAGRLVASGVPRDNAMDVLLVDTRKGNKVVAFYLKNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFICEMENMTTKMRLKKIRQSLQPTKKAPRVNTRTTTTFTTNCCCRIRVRKPSCPSCSCNFNCSCCSALRSCFSFRLFRCSTCFSCNCRSCFKCCFDCCCCGSCGDAH >LPERR08G03650.1 pep chromosome:Lperr_V1.4:8:2950841:2956739:1 gene:LPERR08G03650 transcript:LPERR08G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRLLLLPALRKSLAGANGASRSLSTETAVGPAAIVGSHTAKWMQIWIGGLTIRGFARFMLDTSKKSPMELINAVPPIKVEGRIAACDGRMEGHETASLGHPIEYICLDLDKPASGAAMASASAAPAPGKKKSWMPAGMGGSGKFSATIDIPLEDPRKKEKELLAWEEDLRRRERDIKQRESAMDRAGVTVEVKNWPPFFPIIHHDIATEIPVHAQKLQYMAFASWLDIVLFLLAIIYAISGCPLSYILWYRPLYHAMRTDSMVTFGQFFVFYSVHVGFCVIAAVAPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFAFFTLESIISIWVLERVYMYFRGHR >LPERR08G03660.1 pep chromosome:Lperr_V1.4:8:2957913:2959791:-1 gene:LPERR08G03660 transcript:LPERR08G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAKDAAVKEKSAVAAPSTEWYDPMSSPRPVRVYADGIYDLFHFGHARALEQAKKSFPNAYLLVGCCSDEITYRYKGKTVMTEDERYESLRHCRWVDEVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSDSNLSGSGEDSDS >LPERR08G03670.1 pep chromosome:Lperr_V1.4:8:2961206:2962981:-1 gene:LPERR08G03670 transcript:LPERR08G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNSSDNKNEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGNDSKFRSYNDSVGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVYQKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDVVFPKRLSSEQRQNIRKVLGGQPQQQ >LPERR08G03680.1 pep chromosome:Lperr_V1.4:8:2969674:2977158:1 gene:LPERR08G03680 transcript:LPERR08G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVGIGASVLGVAVVVLGCVLGAGADGSNHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKAEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKVKEQGDAKYLLFKHIHFDIMYNDDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSNIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKPYSKPTLSFLFWTLERTTVGPLNPTASLLAAAATSQPVAVGERDGQIHREQEVRASSPPPKTTNNDSASAACHGCGAAGLHRQPQGLRRINLDGLRWRVFDAKGQERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGSEHPFHDRPLETFVMPPRQVREMRPRARRALIRAQKKEQDRAAASTKDNEDAKSAKTEEEGKKMLEVKGKARKGHAWGQENYKRTSPPQEVKEMPKCPTFN >LPERR08G03690.1 pep chromosome:Lperr_V1.4:8:2998572:3007062:1 gene:LPERR08G03690 transcript:LPERR08G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNPNPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPIQQTILLVGTNVGDIGIWEVGSRERIANKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGNILGVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQSGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTSILTTTDCDGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLASMDVSRTPDVKPRITDESEKVKTWKLADIVDSGHLRALRMPDTSTTSSKVVRLLYTNNGIALLALGSNAVHKLWKWQRTDRNPNGKSTASATPQMWQPATGILMANDTTDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGNVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDTDPKWGVAPPQDNGAHPTISAAPAAANKPEV >LPERR08G03690.2 pep chromosome:Lperr_V1.4:8:2998302:3007062:1 gene:LPERR08G03690 transcript:LPERR08G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNPNPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPIQQTILLVGTNVGDIGIWEVGSRERIANKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGNILGVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQSGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTSILTTTDCDGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLASMDVSRTPDVKPRITDESEKVKTWKLADIVDSGHLRALRMPDTSTTSSKVVRLLYTNNGIALLALGSNAVHKLWKWQRTDRNPNGKSTASATPQMWQPATGILMANDTTDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGNVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDTDPKWGVAPPQDNGAHPTISAAPAAANKPEV >LPERR08G03700.1 pep chromosome:Lperr_V1.4:8:3008522:3009778:1 gene:LPERR08G03700 transcript:LPERR08G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPEARSFLVSRNSLLVSLLRSGDLAAARELFDAMTVRDVVSWNSMVAGFAKAGQLDAAIELFDRMPERNAASWNAVMCGYIAQGDVAKARELFERMPVRSNVSWITMISGYAKSGDVHAASELFERMENKKDLYAWNAMIACYAKNGCAREALAAFNQMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAENFMGSVGVELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVVSYSAMIVGSGMNGKFNEAVNLFKEMSDAKISPNAVTFVGLLSAYSNAGLMEEARACFASMPEKYNISPSMEHYTIMADLLGRSGKLDEAYQLIMQMPMKPDASVWGALLLACRLHNNVELGEIAASKCFELEPGESGYYILLGNIYSEAKKWEKVKRLRKIMAESGLNKMPGSSWVQAA >LPERR08G03710.1 pep chromosome:Lperr_V1.4:8:3011504:3017025:1 gene:LPERR08G03710 transcript:LPERR08G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMPLRSLLRRRRPPIPSAAAVAAATFFTSSGGARIVLPPPPPPAAADSRGEPEQEGWSLAQRVERSASVCAAIRGWMAAGRAVHRGHVFHAVNRLRRRRLHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSTPGRQKTISKILTQMKADRVTPHTSTYNILLKIKANEHNIDGVARVFNDMKKAKIEPNEITYGILAIAHAVARLFTVSQTYVEAIENSMTGTNWSTLEILLILYGYHGKEKELNMTWDLMQGLPHIRSKSFILAIEAFGKVGSIDQAEEIWGKIKSTRKLKLTEQFNSILSVYCRHGLVDKASAVFKEMRASGCQPNAITYRHLALGCLKASIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMMLESFAEKGDLLNAKRVFDELNESKYCRNSFVYNSLLKAYVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIKQFKT >LPERR08G03720.1 pep chromosome:Lperr_V1.4:8:3015335:3016939:-1 gene:LPERR08G03720 transcript:LPERR08G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDSAVGGSGGRNPMDLNLYLGLPPLPQPPGRRLDVAADYPSLLPNSGATAAAAVEDEPRSSSASQEVVVVAQPSEAEGAAYSPSNALSAPEQVMVDPVSAWLVDPPEQQPAPFEMPSHTGRVTEILEHLSSSDIAQALGLEVIATARPIQPALFRRRPESGPMNSPEIVQVLGLGVIAQAAQPIPPPLFRGEIAQARMESGSAAAEAMPPEIRLRRLIQVSDQHQIGNGRAGLGPASRGQRANSPEADALAQSIQRSHSSLEASRKRKLNGDDGNVKGTDGVKKDDCCGCNGSFECNICLEAAKDPVVTPCGHLFCWPCIFQWLHAHSEHSDCPVCKAEVLEVNVTPIYGRGADCEHNEQDPTDNGIKIPPRPNASRADSLRQQLHMSDARGIASMVRRLIQNQDIVAGQAASSAGAESTAIPSPPPRARVRRQARQDQTALLPSAPATQQQVFNAGTGSGNQAPLPPPNANDAAPAVAVAPQQSSSVGQASISSTVGVIVEGSSQGSRRSRNSESTPTPTPTRRTRRRLQ >LPERR08G03730.1 pep chromosome:Lperr_V1.4:8:3020277:3023206:1 gene:LPERR08G03730 transcript:LPERR08G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAASASAAEAAPGRYAKLHRFFKLAAHSILTACSREDVNRAFPSFTAAERERLYQMFVYVIKSLHGNIEEEFDTYCQEMQVATALEKIDMFVEEQNLDVLSSDKTNIEEAKQRITNAKKDEVKYLKSLLEEVEEKNNAMKARIELLKKDDGLAAGKHVLEKLKQCNSAVQSYIDR >LPERR08G03740.1 pep chromosome:Lperr_V1.4:8:3020285:3020467:-1 gene:LPERR08G03740 transcript:LPERR08G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCSAGDGGTKWWIGNSGGGFRRGGCAAAVGARFSGFEISRSEMKWPLPSPTPAGDAAS >LPERR08G03750.1 pep chromosome:Lperr_V1.4:8:3023581:3025719:1 gene:LPERR08G03750 transcript:LPERR08G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLSSVPAPSKHCSIVRAQHSPPPSILSSSTKTAFNGLSLVDRRWVASVGGGRRRRVMQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLRSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTDSQKARATHICLDCGYIYFLPKPFDEQPDEYGCPQCNAPKKRFAQYDAETGKAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >LPERR08G03760.1 pep chromosome:Lperr_V1.4:8:3026601:3027206:1 gene:LPERR08G03760 transcript:LPERR08G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWGSPVTSSPAWVPGRRRIIGGGGPSPVVPLLIIVALIWINYNETLTEWYDTAASLPETVAENAISLVAAGGLLLLAAVAASRRSEVVVVPAALALSVLLLQNIMVFLILLLVVAYFAGIYCYPASDGRYGVASGECGGGGGGCWSGLGFYMLLLLCLVLCAIFADEGVKWWIPGTLLAASLLFLNLFSGGQVLGYGHL >LPERR08G03770.1 pep chromosome:Lperr_V1.4:8:3028188:3029986:-1 gene:LPERR08G03770 transcript:LPERR08G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEEHAQKAKTLPESTSDQNKLILYGLYKQATVGNVNTSRPGMFSPKERAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAAAAGSS >LPERR08G03780.1 pep chromosome:Lperr_V1.4:8:3031987:3033991:-1 gene:LPERR08G03780 transcript:LPERR08G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKAFKAYGKLRVERMNQRHVGARQKRAAEAEKEEKK >LPERR08G03790.1 pep chromosome:Lperr_V1.4:8:3038067:3039494:1 gene:LPERR08G03790 transcript:LPERR08G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVGIKYSSVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTMAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLIACTAAVPVVREYWTDGYFSDIARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSILAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPDSTVEEDDTTPLVF >LPERR08G03800.1 pep chromosome:Lperr_V1.4:8:3039766:3042394:-1 gene:LPERR08G03800 transcript:LPERR08G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEEELRPPRLWSVRVTKTY >LPERR08G03820.1 pep chromosome:Lperr_V1.4:8:3044508:3046847:-1 gene:LPERR08G03820 transcript:LPERR08G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQGVEFVRLRSWEHGTYVTADEDGRSVLLGSGYARGAVWAVEPLLAAGPPPVLHVRFRGAYGRYLGVPGAGERRCGGGCGCCFPLPSRCCLLGAAQLDRGEEEVGVLIWRPIRCADDVIADHDARGVVLLRDGVFRYLHGGGGVSVDGNVNDDTTLRWEVVAVPRTHDMPDLPIEVPDFRLLFRGNLFVRACFPRPLQREIRFVAANDDGTIAAGEEDWTSFQFTGRSVGRLTAELASRVGYDVMACVCAGNHGAITPLLIDLPRSRETLRIVLLRHSTPGYDQMIFPDMNALYEVATPTIQ >LPERR08G03830.1 pep chromosome:Lperr_V1.4:8:3052017:3055781:1 gene:LPERR08G03830 transcript:LPERR08G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >LPERR08G03840.1 pep chromosome:Lperr_V1.4:8:3056289:3056768:-1 gene:LPERR08G03840 transcript:LPERR08G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDVKSFFRQQKAHAGSVKPTGGVSKKAAQPQQPRHHHKPAAQDLDGDAEDAMRRTRVEEEEERMGREFDMDMRYGPCLGLTRAQRWRRAAALGLVPPPAVIAVCSDDQPCLWEGRV >LPERR08G03850.1 pep chromosome:Lperr_V1.4:8:3060299:3073360:1 gene:LPERR08G03850 transcript:LPERR08G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLLTTSFGPTDLMTDKVNLAGGGDALQFAPPAPATPKLTAVAQAHRLKLSPHGRAQVMRALRHHSSAAPAALLPPPPPTPHPPPSPTPSRADADLEALVLLQRSMLEKQWELPFDDEDHASDDDEGGNGYPIAIGLAEVDAASRDVIVARSAVSARQRRMSGRRRGRAKNGGGGAAHFAVSPELMQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNDPSYKQLAHSLKISPPELRSRMRESFLAREMLTMSNIRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASKGFRISTYVYWWIRQGVSRALADNSKTFRLPTYLHERLIAIRSTKYTLEDQGIAPTIENIAESLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKDEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKHLDALLEDH >LPERR08G03850.2 pep chromosome:Lperr_V1.4:8:3060796:3073360:1 gene:LPERR08G03850 transcript:LPERR08G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQVRRGLGGAMTATPAVIGLSAGNRLLTTSFGPTDLMTDKVNLAGGGDALQFAPPAPATPKLTAVAQAHRLKLSPHGRAQVMRALRHHSSAAPAALLPPPPPTPHPPPSPTPSRADADLEALVLLQRSMLEKQWELPFDDEDHASDDDEGGNGYPIAIGLAEVDAASRDVIVARSAVSARQRRMSGRRRGRAKNGGGGAAHFAVSPELMQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNDPSYKQLAHSLKISPPELRSRMRESFLAREMLTMSNIRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASKGFRISTYVYWWIRQGVSRALADNSKTFRLPTYLHERLIAIRSTKYTLEDQGIAPTIENIAESLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKDEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKHLDALLEDH >LPERR08G03850.3 pep chromosome:Lperr_V1.4:8:3070284:3073360:1 gene:LPERR08G03850 transcript:LPERR08G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKIFVRLSVGQLGLKLPGANARKAVRSFHCEIRLRGFPIQTAHVPLIDSSEFNLDPHTNAAVFSLDESDIKALSAPGCFGAHGSYLEVAVYVGRHGGHCGIVTCMKRLVGVIKMDIGPEWRDGKPVMLHHGWVGIGKGEAKPELHMRVKMEADPRYIFEFDDEVTLNPQVVQLHGRIRQPIFSCKFIGDRRASSQSDQLGGPYWSSSGSGEDKESETTTTTMMMRKGWKVVIHDLSGSAVAAAFMATPFVAASGRDTVARSNPGAWLIARAAATSAPAAGESWQPWARLEAWRDQDTVCLRLRLLPDGEDDACTLVAETPLRSDRGGEFAIDMDSHGEVGVGGGFVMSCRVEGEARSSRPVVQLAARHVACMEDAAMFVALAAAVDLSVKACRPFRRKTTAAAAVAAARKKKKKTAAASSSPDPLDLDT >LPERR08G03860.1 pep chromosome:Lperr_V1.4:8:3066997:3068962:-1 gene:LPERR08G03860 transcript:LPERR08G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAHGGGGHGLHHHHNNQNQLHLHPRGRRAHRSGPLEWTAAVVFTVLAVVILIAAVAVLVIVLLLQPRAPYLAIRSAHLDGLVYDQTGTLEAELSIGAAAENGNARSAAAFTELELRVAFRRMVIAVLRADPFVVPARGSLPLGYVARSSGIPLDGEGMEAMEAALAAGVVPFSVAGEARTRWKVGGLVPFKYWTRLRCDLKFFWPNGTALDLSCSSKPKSKSISYWRKQILRREEERGKRIKGEHHEKKQRAQQRRTKPRKRKKKMKKKQESTTRAQPSDRQKLLQF >LPERR08G03870.1 pep chromosome:Lperr_V1.4:8:3073637:3076774:1 gene:LPERR08G03870 transcript:LPERR08G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRACGLVFMQDRSGRYLHCNRSFFLGCPRHSVSVDGNINSEDTLRFSAGPSSPSSLRSSDLREIFNTCCSAVEWEIQFVTADDDYSNATTSRHGRLSPLLVNLPRSQETNTAGNVLEVKENTNLEDQLKKDHIELREKQAPAPLSLELNRGKNDSGWGQLHLNANRPGLAIRGSSPCSLAPRS >LPERR08G03870.2 pep chromosome:Lperr_V1.4:8:3073637:3075659:1 gene:LPERR08G03870 transcript:LPERR08G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRACGLVFMQDRSGRYLHCNRSFFLGCPRHSVSVDGNINSEDTLRFSAGPSSPSSLRSVTNCLLISIDRIISDLREIFNTCCSAVEWEIQFVTADDDYSNATTSRHGRLSPLLVNLPRSQETNTAGNVLEVKENTNLEDQVK >LPERR08G03870.3 pep chromosome:Lperr_V1.4:8:3076776:3077706:1 gene:LPERR08G03870 transcript:LPERR08G03870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWARSVILVNGGMTAVKISALGSVAPKYFISAPSGLTQRSRFSSETNPPCQGFDARYDAGEDARDTDDDRIIVPDANWSDLREIFNKCCSPVEREIHFVTADNDADADDTSDIIDGDWASLHHRGKSAQLLREKLLEAVQQRYDFTLCVRAGRHGRLSPLLVNLPRSQETLRVVLVRPNTQVTSLVHPTRMLLNLLDSKLFCERS >LPERR08G03880.1 pep chromosome:Lperr_V1.4:8:3081187:3081720:1 gene:LPERR08G03880 transcript:LPERR08G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGVEFVRLRSYEHESYAMAGEDGRSVYLAGAHDLDTAPRNTVWAVEPLLNAGTLYVLFRGPYGRYLGAPVAGGGGCFPALSCCSSLDAAAQLDRGGEAVRPIMWRPIRCGNGVVNLRDGEDRYLRGNESSLLTLLGCGGDSVSVDGDINDDKTLRWELVAVPRTHDMPELPIM >LPERR08G03890.1 pep chromosome:Lperr_V1.4:8:3081912:3083580:1 gene:LPERR08G03890 transcript:LPERR08G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNRRNLFVRACFPRPLQREIQFVAANDDGTFAATEEDWTSFQFTGRSVQRLREELASRVGYDVMACVCAGNHGAITPLLTDLPRSRETLRIVLLRNNTPGHDQTIFPDMDALSDF >LPERR08G03900.1 pep chromosome:Lperr_V1.4:8:3085091:3089906:1 gene:LPERR08G03900 transcript:LPERR08G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPQVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALKDPYFNGLANSEREPVTHPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGEDQMSFMYPSGVDRFKRQFAHLEEGGSKGEKSSPQLRQNASLPRERAIGNKHGDDDYHTKLNVGEKPGHASVTDGISKPLMSARSLLKSETMSASRCIGEKPMQDNDQEESLTESLDETVDEASEKIAQLKT >LPERR08G03920.1 pep chromosome:Lperr_V1.4:8:3117263:3118527:-1 gene:LPERR08G03920 transcript:LPERR08G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSKAAAEEYYQLDSRPPSVADIARPDQAPMPEGPAIDFFNEVSNDEEEEAVEAATAATTVHADSLQGRKRKLIIANDSDNEAANHSGSSSVFSLTTPNT >LPERR08G03930.1 pep chromosome:Lperr_V1.4:8:3139999:3144735:1 gene:LPERR08G03930 transcript:LPERR08G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQELHGDDHEHQHQTTTGGGGVINFSAPAPSTTTISSSSTNCDGATAVVSIVNSSAAGAVVHPTTYSPPSAAARLRPSSNSFPLILKFEEVVYKVKIGNKPAVGWCATMSSAICCGGVGKKKMNSATVTTREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGNQGMLSGKITYNGQPFSGSIKRRTGFVTQHDVLYPHLTVSETLWYTALLRLPRSLTAGEKRAQADSVTAELGLGKVSHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVATLRRMATAGGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGRAADALSYFGSVGFASPLSLNPADLMLDLANGITPQIGGGGDGDVGGGLPAPANGGVGGGSEAEQKEVRSKLAAAYERHIAPTVKLDICSGAAAAVAQTPATRRRGKSSSSSNWTTTWCAQFNVLLRRGLKERRHESFNKLRIFQVLSVASLAGLLWWRTPESHLQDKTALIFFFSVFWGFFPLYNAVFVFPVERPMLLKERSSGMYRLSSYFAARTAADLPMELALPTAFVAILYWMGGLDPRPIPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLLGVQFRDGGGFYDCGGERCIVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >LPERR08G03940.1 pep chromosome:Lperr_V1.4:8:3145719:3146405:-1 gene:LPERR08G03940 transcript:LPERR08G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLGRGRNASRRRCWWCDDGRMSAASARRTCSPPSTAAMRTPVFAIADRLASPRAAGDAFLCSAQRNEPGCVVGEHEHSLLPLPPPRRDDTTAAAEERRLLRLGWRGEDGEHVVAPAEESLRRELKEAAVEAEEGGRRVGVVDLAMALCGDQKSGARFGCGSAKPRVAAAASIYRREKKRSKSKLVVWLRGPSLRFSLGLGPYLHRSLLLELESSHTEKRRSNLF >LPERR08G03950.1 pep chromosome:Lperr_V1.4:8:3153781:3158136:-1 gene:LPERR08G03950 transcript:LPERR08G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEQMAKLQEQARFVGVAAGNAGFDETRWLSRVRERMTDSHAAAELGAAAVKVFDVPKVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKKFDDLVARFYDIHEKILAPYHRLLELNEQTLAWMMAIDTCFLLDFLEGYHRDEATDMVSSAANWINAVVRDAMMLENQIPLFLFAAALELRHVDVAADAAADALRGVLDRFITEVSPIKTTANAALAVAGDLERHAHLLELLYHFLVPTDAVAEAEGNEPPPLVPEDFSALDVFDEMQKEIPDYDKVKTACLQVSSLDVAPVRFIKKNLISRPMSMATSLPGKLMRKVPILSAIAPLVGKIWSSPDVEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAPEGIAGIAFDPVTATLSLPVITVDGNTEVILRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDIKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSKVPRLDGTIRAVNEHRSRKATVRMRKLLKRYVFRSWRVLTLLAAVVLLLMTALQTFCSVYGQCSRWFGNVMQFPQPGGKGP >LPERR08G03960.1 pep chromosome:Lperr_V1.4:8:3162788:3169527:1 gene:LPERR08G03960 transcript:LPERR08G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAATVVLQFPVSPHRMRRFPSSGASVQSGSRWPLAAPPGRAAAAGFRRSVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINNDTIRTLDLSPVQEVLGDLNSLTAAETRNLLDRTVGFTINYEREDPYDVRELSELPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQNHPKPGLKTADMARMLGFGIGDELFDLIEKYPVGPS >LPERR08G03970.1 pep chromosome:Lperr_V1.4:8:3169143:3169942:-1 gene:LPERR08G03970 transcript:LPERR08G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYMALASLILLIMATTAHGLRLDMGLHAALKNEEVLNSKWQASASRPIDMHRTSNDWRGSDRTRPPKMNGPHDVAPRFSEDYSGPGGHSPNHHRTTPCVVLSFSLNSEQQFLWNRISVLF >LPERR08G03980.1 pep chromosome:Lperr_V1.4:8:3175568:3176220:1 gene:LPERR08G03980 transcript:LPERR08G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFITILDDIFDTWYN >LPERR08G03990.1 pep chromosome:Lperr_V1.4:8:3183649:3190352:1 gene:LPERR08G03990 transcript:LPERR08G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWIRCPKSSAVWLGDYGGIRVEFSIAISGLEIERLRCHRHLQLAPTKTSKCSERLQPSTPASGAISFSITSHLHAYMKERAEVLKEEVRKMVKGSNDIPEILDLVITLQRLGLDSYYETEIDELLYTVYNTDYNDKDLYLVSLRFYLLRKNGYDVSSDIFLHFQDKEGKFVADDIRGILSLYNAAYLRTHGEKVLDEAIVFAKFRLRSELEHLKSSLADEVSLALETPLFRRVRILETKYYIPIYEKYATRNEAILEFAKLNFNLLQLIYCEELKKVTRWWKELNVASNLSFIRDRIVEMHFWMAGACSEPHYSLSRIILTKMTGFITILDDIFDTYGTTEESMMLANAIYTCNETATDSLPNYMKDFYLYYLKTFDSFEDELGPNKSYRVSYLKELFNMLVRGYCQEVKWRNDHYIPKTIDEHLEVSRITVGAHALGCASFVGMGDFITKEILDCLLTYPELLKSYTNGVRLSNDIASTKREQTGDHHASTIQCYMLQHNTMVHEACTRIKELIEDSWKDMMKEYCTPTSQPKVVARTIIDFARTGDYMYKQADSFTFAHTIKDTITSLYMEPYDL >LPERR08G04000.1 pep chromosome:Lperr_V1.4:8:3191329:3199582:-1 gene:LPERR08G04000 transcript:LPERR08G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKAQYDIQRMEAEAEAAARQEEEAKASAVREEARAAWLLDTEGRYDESLARGDELAAKHPGSAVAAHLAAVLHHHAFKLAFVANDKPRIDKHRDAALGHYIRARQLAPNCVEIAYRLASMRLLCDNDGEAQPDILRAIAIASPTDPAENNVQYDFVPTVAETTAKDRVENSLELAHQRCGYIVSYLRDEWIPNNVRSLLALSDKEGTAKAIKPARELAERYYYSARAQLTHAHINLEFAFNLDPEIDNRPFLNRVLRELNSVAGRFDDSLVLAMVRAKLLFVLGDYTAMSAEAIWAINMVDPADPGNEDVAPGSVPGENSQDRISYVRAEIGRLLKKLVSVAGYYWRCYLTREERDGFLFVGINSLHRHYVNVYQENHEAANVISDALSFVKKSSSWRFWICPYCVGKKIPDTDSLLQHIRNKHPEGSVWPKLLSVLDPKLISDIFGGDNFSDNVTIFPDSEEQYVFHFKSITVSDITEPRPFSELRENKRTEGIEILEEIKLKLKNFPTDKSIEFNEACAEIRDLWYYFLEISVLDFRVVILPRAAAFIWNQLLQCMSKDKKAANRSIDSVVIDAVFPYVDEAPDIDEIFSNDSNAVEPAICPNVANTDVPNNNAANTDESTLNISGAPNINASDIDETIPNTPIDPDRSSAIENGSNVSHASCSDDTLTAGEDKERNATKPAICPNVGGDILDTNADNNDALSLDVAEVSNSNASITSETMPKDDPDSNATEPALCPNIGDVLDNNATEPALCPNIGDVLDNNTTNTDALSLSIADVTNSNASGTDETIPNIADDPDNNAAEHAISPNVGHVLDNNAANTDALSLNGVGGPDGNASDIDETISDIADSPYRNSAKNGSNLSDVSCTDDILTNGKDKEREVHVKDESYGATVNEKDSIPPIKMVEYGSELDATPENTASHLLGKFDKSTEEIASISCYRKNIDVLKNNNADEDMYFLNLITQVLWNLRHFRNEFLWRQPTFDVSHADPCIAEKLYEIFSAWEKNEHSRMVLLLTDVKTTLCEIVGRNFASEIMAIILEGLHKFDTSLYFGAERVVLNTPCKYRILTLGIFGVELKQLMSCGCGEWFGEEEYRFFHKLDASSLDTTKINSFGELSILMDSQSDCERRCNKCSGSVKQIGCFLSKGPHYFTIVLQDWLGSDEIQAILSEALFGIASPLDIALLYKGVILPQKGGHSATKYRLISVICYIEHGYVCFARDQDKWLKYDNMTVMTIHCLRELLELYWEINLQPEVLIYEVIK >LPERR08G04000.2 pep chromosome:Lperr_V1.4:8:3191331:3199582:-1 gene:LPERR08G04000 transcript:LPERR08G04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKAQYDIQRMEAEAEAAARQEEEAKASAVREEARAAWLLDTEGRYDESLARGDELAAKHPGSAVAAHLAAVLHHHAFKLAFVANDKPRIDKHRDAALGHYIRARQLAPNCVEIAYRLASMRLLCDNDGEAQPDILRAIAIASPTDPAENNVQYDFVPTVAETTAKDRVENSLELAHQRCGYIVSYLRDEWIPNNVRSLLALSDKEGTAKAIKPARELAERYYYSARAQLTHAHINLEFAFNLDPEIDNRPFLNRVLRELNSVAGRFDDSLVLAMVRAKLLFVLGDYTAMSAEAIWAINMVDPADPGNEDVAPGSVPGENSQDRISYVRAEIGRLLKKLVSVAGYYWRCYLTREERDGFLFVGINSLHRHYVNVYQENHEAANVISDALSFVKKSSSWRFWICPYCVGKKIPDTDSLLQHIRNKHPEGSVWPKLLSVLDPKLISDIFGGDNFSDNVTIFPDSEEQYVFHFKSITVSDITEPRPFSELRENKRTEGIEILEEIKLKLKNFPTDKSIEFNEACAEIRDLWYYFLEISVLDFRVVILPRAAAFIWNQLLQCMSKDKKAANRSIDSVVIDAVFPYVDEAPDIDEIFSNDSNAVEPAICPNVANTDVPNNNAANTDESTLNISGAPNINASDIDETIPNTPIDPDRSSAIENGSNVSHASCSDDTLTAGEDKERNATKPAICPNVGGDILDTNADNNDALSLDVAEVSNSNASITSETMPKDDPDSNATEPALCPNIGDVLDNNATEPALCPNIGDVLDNNTTNTDALSLSIADVTNSNASGTDETIPNIADDPDNNAAEHAISPNVGHVLDNNAANTDALSLNGVGGPDGNASDIDETISDIADSPYRNSAKNGSNLSDVLWNLRHFRNEFLWRQPTFDVSHADPCIAEKLYEIFSAWEKNEHSRMVLLLTDVKTTLCEIVGRNFASEIMAIILEGLHKFDTSLYFGAERVVLNTPCKYRILTLGIFGVELKQLMSCGCGEWFGEEEYRFFHKLDASSLDTTKINSFGELSILMDSQSDCERRCNKCSGSVKQIGCFLSKGPHYFTIVLQDWLGSDEIQAILSEALFGIASPLDIALLYKGVILPQKGGHSATKYRLISVICYIEHGYVCFARDQDKWLKYDNMTVMTIHCLRELLELYWEINLQPEVLIYEVIK >LPERR08G04010.1 pep chromosome:Lperr_V1.4:8:3203879:3204575:1 gene:LPERR08G04010 transcript:LPERR08G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSNLISWLPIAAIATSLVYYFIFLNDRRRPLPPGPPPLPLIGNLHNLAGGGVLHHTLMRLARRHGAVMSLKLGLTTTIVISSRDAVREAFTSHDRRLAARPVPDALRAVGFSDRSMIFLASSDPRWKSMRAIHATRVLSRRGARPSAARAGTVVDVERVVYGGVLNLLSSAFFSVDVVDDVAAGGDQPARNGGGGLPELLPPPEAAIDEGDVARPPYLHAAWK >LPERR08G04020.1 pep chromosome:Lperr_V1.4:8:3204579:3204950:1 gene:LPERR08G04020 transcript:LPERR08G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHPVGPLLLPHYATEDGIEVGGYSIPKGSTVLFNVSAIMRDPEIWDKPDEFMPERFLQKGELDHYRWGLKGKEFEYTPFGTGTRLCPGLPLAERGRAADIGNTAACIRVATTGRHVGWSWT >LPERR08G04030.1 pep chromosome:Lperr_V1.4:8:3211978:3215111:1 gene:LPERR08G04030 transcript:LPERR08G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAEVLKEEVRKVVKGSMDVSKTLDLVITLQRLGLDSYYKTELDELLHSVYNSNYNDKDLNLVSLRFYLLRKNGYDVSSDIFLRFKDIEGNFPAYDVRSLLGLYNAAHIRTHGDEVLDRAIAYSKSRLKAELEHLESPLKGEVSFALETPLFRRVRILETRNYIPIYERMSTRNEAILEFAKVNFNLLQLLYCEELKKVTLWWKELNVQSNLSFIRDRIVEMHFWMTGLCPEFNYSLSRIILTKMMAYITIIDDIFDTYGTTKESMMLAEAIYMCNESATVLLPEYMKDFYLYLLKTFDLIEDELGTNNSYRLKRLVRGYSQEIKWRDENYIPKTIEEHLELSRATVGAFELACASFVGMGDTITKETLDWLLTYPELLKCFTTLARLSNDVVSTKREQRGEHHASTVQCYMLQHGTTMDDACVKIKELIEDSWKDIINEYLKPTEQPSVVAQTIVDFARTADYMYKKTDSFTFANTIKDMVALLYVKPISF >LPERR08G04040.1 pep chromosome:Lperr_V1.4:8:3216830:3221555:1 gene:LPERR08G04040 transcript:LPERR08G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPGSGNINPALQLAKLLHAHGVHITFVNTEHNHRRVVAAEGAAAVRGRDGFRFETIPDGVLDADRDAADYDLGLSVATTTRCAAPLRELVARINGGGGDADAPPVTCMVFTALMSFALEVAREMGLPTMVLWGGSAASLMAHMNYPTLTQLNHACILHFADESLLTNGYLDMTIIDWITGMPPISLGDISSFVRTTDPNDFGLRFNETEANNCTKANALILNTFDDLEADVLTALRAEYQCIFTVGPLGTLLIDTSEKNSVTAGVGLSLWKHDIDCLAWLDTQKPGSVVYVNLGSLTVLTPEQLAEFAWGIAATGRPFLWVIRENLVPGGMMKLPTGFAAATEGRRCLSTWCPQDQVLCHRAVGCFLTHNGWNSTCEGVAAGLPMVCWPVFADQYTNCKYACEVWGVGVRLDDKVRREQVTGHIKLAMESDEMWRSANKWKVKAEVAAQPGEHADQWPPRYNDHRLYPWHSADQPWRHLQDSSFMRMTDPNDFGRLINETKANNYKKDDTLILQTFDNLEANVLISLHTKYPLIYIVSPLGTLPNRWNSTCEGITAGVPTVCLPVFVTSNQLQECKYAY >LPERR08G04050.1 pep chromosome:Lperr_V1.4:8:3222284:3223184:-1 gene:LPERR08G04050 transcript:LPERR08G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLNGSSERCGGSGERRMDRNTPASDHCYRISSDGMEWTCVTLPCDVAADADTCVQCGYNLLWTDRAGDVVYTLMSPLRHEGFSPTNSISP >LPERR08G04060.1 pep chromosome:Lperr_V1.4:8:3232774:3233761:-1 gene:LPERR08G04060 transcript:LPERR08G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDQSNEVNVIPRDFKTFNVLLDLDYNVKLSDFGLAKDGPTGSKNHVTTTVKGTFGYAAPECLETDNTRPSNECNLVEWLGRQYSLTGAQMATELALKCLSGNPRNRPSMKLVEAELEHIQDIEETT >LPERR08G04070.1 pep chromosome:Lperr_V1.4:8:3265354:3275208:1 gene:LPERR08G04070 transcript:LPERR08G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRKPPSLLELCIRTTMDNLRYVQSVDGVEMNLLERILPHCKLEDLTRIEDNTEMDLSPITDKMWKLFYRQQFGEDSVNLVIKRMSTSGVRYKWRNLFEAKTEKQKEFADKMGQRLAKKYEAAKAGSGPSNLSSYKSPILKKARMEVNSDAKMKAAIQRNTISTSQHIRMNSGYAQPVRTTTVHRPNSTITITRPLQSNKPTGLNRSFQSDKPTGPNRPFQSDKPTGPNRPLPSNKPTGLNRPLPSNKPTGPNRPLQSNKSTGTNRPLPSNKPTGLNKPLQSDKTTGLNRPFQSDKPTGMNRPFQSNRPKS >LPERR08G04070.2 pep chromosome:Lperr_V1.4:8:3265354:3273417:1 gene:LPERR08G04070 transcript:LPERR08G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRKPPSLLELCIRTTMDNLRYVQSVDGVEMNLLERILPHCKLEDLTRIEDNTEMDLSPITDKMWKLFYRQQFGEDSVNLVIKRMSTSGVRYKWRNLFEAKTEKQKEFADKMGQRLAKKYEAAKAGSGPSNLSSYKSPILKKARMEVNSDAKMKAAIQRNTISTSQHIRMNSGYAQPVRTTTVHRPNSTITITRPLQSNKPTGLNRSFQSDKPTGPNRPFQSDKPTGPNRPLPSNKPTGLNRPLPSNKPTGPNRPLQSNKSTGTNRPLPSNKPTGLNKPLQSDKTTGLNRPFQSDKPTGMNRPFQSNRPKS >LPERR08G04080.1 pep chromosome:Lperr_V1.4:8:3270795:3278556:-1 gene:LPERR08G04080 transcript:LPERR08G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSVVFPKHYLQELARVPTDVSSSNFFSQLNNLISLTSTYAQVIAVKDLASAFVQFVSSPAISDDAVLVATKLYLEILFLENSLPLHRTLISVLAKCKKYSSLISGCFATLCEEYGGSGSKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEIMALDVVAGLDGVIRDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTEIDKASTVFKNVVRTILTVLKSSAFSRDCLVASGVSFCAAMQVFMSSEDIYWFISQGLFSICDEQKEIEELVGHGVLSDFNLCDEIRDLSILSRLCLLRGILTAIPRAVLNMRQLHSNGSLWTILYDGILPDLCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADSTDFSGDYKPFSRDAINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDLKENSKLFLFNIANDLLRLGPRCKGRYIPLASLTKRLGAKSLLRLRPNLLLETAYAYIDDDVCCAATSFLKCFLETLRDDCWKDDGVEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAVIDVDADSIFAMLGFINVGPSAKAIKLDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDESPQQAANKCAAIISVRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSCDKDSNSVDTVKATTVQRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGNNDVYPYSDSITLPDSTISFVGSIVDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVMEFGCVLVFSKENDCLQCYTKSTNDETEVTSQNPVAQYVAALIQWLCAVVEEGENDLSEACKKSFVHGVLLTLRYTFDELDWNSEIVQSCFAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSEIIDEDQPGSASEITETNVKSGQNGKPAEHIVMVGCWLAMKEVSLLFGTIIRKIPLPGCSRSNSSHGDLADNIEQTGTSGDILDVEQLEKMGNHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMALLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPQALEWLIEFAKTSLANFQKDCNQKLETVMDFPGGLCESQSATAAGVHSNGDLSKSRNEGIVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRSLTGLEFFHRQVDVFVWYPALHPFLLSELKVATELLANRLSSNLESQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQQVVSNILHNLPFGGHEMVAHHAMCSNPLSSADTGNGNLILPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEVLSKCSWLGCVKLCTCPVVSTSYLRVLDLMLDVARTGKSGHTDAIQTLLSDLSYQCLNDGTSTHYAFHDPTQIELRQQATASYFSCVGLPKEHDDTADEDVQLQILDTTASISEMPHEVSLHELHKKIMTCLVDPAYDVRITVLKRILQLAKSARPRDSKKLLHQWAKTNLQPVILKRIFEEEHPKCLNYNLKIIFSWHMECQFNCGEDSITFLSFWDRLVYLNNTVSHAKTRETILCCMGMCMRQFAKMLRGAILLDPKTHEHSTSFFTIDEVKNMSTAILSASSFASLVENQSAPSETVNSRRAAAEAIIASGLLEEANLFAPSLSNTCLPSELDEIHIKEKCSNASADELINLYACKILDLWFVCIQLLEDEDTYLRQKLSNNVQKIIAKGSSNNLCDDSTPVQVDRVIELSFEYLTSLLGHWPKYIEYLLRLVLDTANTLNSSGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYQVEARAEVELFLQNWRESFLHQLKSLTSGFLEKEAKTDWIGGIGNHKDVFISVYSNLLGLYALAQSQSMEQLKDRHTVYLKEFSDLDEVITPFLKNPLISNLYLLVKQSHQMFNSWKKREDQVRGSAPESFDPYFLIR >LPERR08G04090.1 pep chromosome:Lperr_V1.4:8:3279015:3285984:1 gene:LPERR08G04090 transcript:LPERR08G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAARGPLLHQLRRLPAPRLAAVRRSSGMRCVSAAAGEGGRERRRSSPAYDGLLLDAGGTLLQLARPVAATYASLGRRYGLTKPEDKIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNDDYFEEVYQYYAHGDAWRLPAGAHGTLRDLKDAGVKLAVVSNFDTRLQKLLMDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGDDVKTFSDIRSRSLEARINGR >LPERR08G04090.2 pep chromosome:Lperr_V1.4:8:3279015:3285984:1 gene:LPERR08G04090 transcript:LPERR08G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAARGPLLHQLRRLPAPRLAAVRRSSGMRCVSAAAGEGGRERRRSSPAYDGLLLDAGGTLLQLARPVAATYASLGRRYGLTKPEDKIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNDDYFEEVYQYYAHGDAWRLPAGAHGTLRDLKDAGVKLAVVSNFDTRLQKLLMDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGDDVKTFSDIRSRSLEARINAIDAHEEGVDDVLHVIGQLPDVTSPELHRLVELLQWHLADPVKGSICALQLQ >LPERR08G04090.3 pep chromosome:Lperr_V1.4:8:3279015:3286138:1 gene:LPERR08G04090 transcript:LPERR08G04090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAARGPLLHQLRRLPAPRLAAVRRSSGMRCVSAAAGEGGRERRRSSPAYDGLLLDAGGTLLQLARPVAATYASLGRRYGLTKPEDKIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNDDYFEEVYQYYAHGDAWRLPAGAHGTLRDLKDAGVKLAVVSNFDTRLQKLLMDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGDDVKTFSDIRSRSLEARINGR >LPERR08G04100.1 pep chromosome:Lperr_V1.4:8:3281784:3292568:-1 gene:LPERR08G04100 transcript:LPERR08G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVDAFLVIVDGVYDKDKPVDPQFLWRFRKKMGKLFKKSKARRDIAFAIEGINEKLKEADARRGRYAAVDDMVSSSSAQERIDPRLVYLYQEATTLVGIQGQMDKLVQMLSIGDGISTDELSDQKMKIVSVVGIGGLGKTTLVKAVYDKFKHGFDCRAFVQVGQKPDMKKVLTRILIDLDKQAYQNSNITLLHDRQIIDNLREILQEKRCFVVIDDVWDSKPWKLIKCALQGGNSGGRVVITTRKIEISTHVDEIYEMRPLSRDDSKALLLKRIFDGEEEFVHGPRAEACDDIISKCGGVPLAIITIVSLLAKKPRDQWSEVHNSIGLGMEKMTIEEYELPRNGARRLALQYYIDEEDRRQLANMEMEQLRSFVEVHHYSGNGAPASSHVLRVLALQHFSSWSCIWSLLHLRYLRIDGNCAFELPEEIGDLKFLQVLDLSGTCLDELPESVSQLKKLLCLRVELGKVPASLIGKLTSLQELWIRSTDDNVRQFVKELGKLIELRVLQVLLIYDSDERLNRDVLESICNMSKLQTVDIQVIAGMGSIKIPRGSLVQRNKMAIMPSLEYFEFGVNLRFLKDAEIIGIDQLRSLSFDQMLSFGNLGLTTLIKVEVCSGTHETEVEEAEAVLAHEAAIHPNCPALETIRQGEQKMRPAHKKLRRFNSESFPKEVEYEPNVRGINDMEDYSFSSALHNWLLDNPCVKKCSVYVDCEDATVEEVEEVEAAVRCAIDDHPNCPTLEMERLDEDKMVLSVGPTSRARPLITPKINSSMDLVTGAMGSLLAKLGQLLKEEYGLHKGVKDQVMSLSLELKSTYAALHRISEVPLEQLDEPMKLWARDVRELSYDMEDIIDTFLVRVDGCHGEGANDEAHGLINWIVQKMVNLYKKNKARREIAIAVKDIHKKLQDVADRRDRNVIADGIVAKPSGLATIDPRLQALYKKSSEFVGIDRPMGELIKMLQNQEEEDVHMPSSSKDVHMPTKKRKMDVQVSINRTKFVSVFGSGGLGKTTLAKAVYDKLKPGFDCGAFVLVGQKPDMKKVFRDILIDLDKQTYTNSNMMLLDERQLINELQEFVRKKRCFIVIDDIWDKKSWELIRCALQENNCRSRVVTTTRNFDVATCVGDVYKMQPLSHDESKKLLYTRIVDSEDESIHRTSAEACDKILKKCGGVPLAIVTIASLLANKPRDEWSAVYNSIGLGHGGNDDVENTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWVAEGLVHKEHEAVLGLYEIGEGYFNELINRSMIQPVEIENKGYIDGCCVHDMVLDLIRLLSTEDNFVTILDGSGQQQLPGSNARRLALHHMIFEEHNWDQLANMRQEHLRSFSVSDCEGILMLSQSFQVLRVLAIENRFIRNNLSTKCLQNVGSLLHLRYLGLTKSCTSELPKEVGDLKFLQVLDLLGTGIQELPEAVGLLTKLLCLRASETTKVSASLIEKLTSLQEMCIWPCSDDIRQFVKVLGKLRELRVLRTSINTYELDESVGKDLLESLRNLHKIQTVDIKGTSGTIEITWDVGFTSPQRLHHLCLLSLELRGLPVWINSSRLQNLRYMFLQVHFLKEKDMETLGMLPELSYLKLYSHNTVIVSTEKSTFSNGYFPKLRFFCTPFWFVRFDMHGRITSRKYSIMPCLEYFKFTVHVRSLKDASLASFDKLLSFENLGRTSLRKVQASIYCENAHVMDVEEAESALAHVAAIHPSHPTLRTSRQSEDGILWP >LPERR08G04110.1 pep chromosome:Lperr_V1.4:8:3293590:3293787:-1 gene:LPERR08G04110 transcript:LPERR08G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAIGALLPKLCLLFRSEYDMQRGVRKKIETLSRELKSVHAVLRKVRKVRGRAREKLRRCT >LPERR08G04120.1 pep chromosome:Lperr_V1.4:8:3293806:3303204:-1 gene:LPERR08G04120 transcript:LPERR08G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVINTLPLGDDRKMKIVSIVTLAKAVYDKLKPVFCFEALVPVSREPNMKKVSRDIFIDFGKKKVSDLMILDERRATRGSRALAATTRIIEVVTHVGDVHEMQTLSRDDSQKTVLTVRADVLIAHQLRDVTKFQKICSGVPLAIIIMASLLASKPREAVMITKEQSIDRSTMELVTGAMGSLLPKLSQLLKDEYNLQIGVRKKIESLSRELESVHAVLCIIGEVPSNQLSNLVKLWARDLREASYQMEDIIDAFLVRVDGPEPAADPGMLRRFKKKMCKRFNKSKHRHNIGGAIDDINEKLKEVAERRGRYTVDDIPKPAVPATIDPRLLSLYKKSSELVGIQGQMDKLMKMLCLSDDSDLTDKMVKMICIVGIGGLGKTTLAKAVYDKLKPDFDCGAFVLVGQNPDVKKVLRDILSGLDKQMYMNSNMLSLDEKQLIDELQKFLQKKRCFIVIDDIWDKKSWQIFRCALQDNTGSRVITTTRNFDVATHTSYIYKMQPLSRDDSENLLYDRVNGEGKCLDSPSAQACEKILKKCGGVPLAIITIASLLANKPMEYWPEVYNSIGFLHEGNDDVENTRRILSLSYYDLPLHLKPCLSYLSIFPEDYYIEKILLIWKWIAEGFIPEKQAAKLGLFETGEGYFNELINRSMIQPVEDENSWCIDGCHVHDMVLDQIRVLSSEENFVTVIDGGEQHELLRKNCRRLALHHWSFQKNGNQLASIGVEQLRYLSVQSVPFILLPDEVGNLKFLQVLDLSQTKILELPVSVSLLTKLLCLRVGTYARVSPSVIGKLQSLQEIWIKPSSGDRWQFVKALGKLTELRILRIEYLRLDGLDETSALLESLLNLHKIHTMEINDHFYVSEGVTWESGFTCPQHLRYLYLISLKFHRMPVWINSSVLPNLFYLDLQVNVLDGQDIETLGRLPELRCLKLHTEYNGIVTSEKTVSDGYFRELRYFSTPYLCVRFDDLHGIMILKDADLPGFDKLLSFTHIGRNSLETVEVNTYCSGACDEEVKEAEAALAHSAAIHPNHRTLRIMRFWQEKMLSPHEEPSSFFPKVVVENVNVEERNHDDFTIVFYWMLKRNPCVEKFSISINCENASLEDVERAEAAARFAVDVHANRPTLELMRYSEDKMVLSDQHQQCTEEPKPH >LPERR08G04130.1 pep chromosome:Lperr_V1.4:8:3304924:3308424:-1 gene:LPERR08G04130 transcript:LPERR08G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKRPPRIEPFRHKVETDPKFFDKGWRKLDDAIREIYNHNASGLSFEELYRTAYNLIIHKHGAKLYDKLTENMEEHMQEMHASIEAAQGGLFLEELQRKWDDHNKALQMIRDILMYMDRCFIPNNNKTPVFDLGLDLWRDNIVQSPKVHGRLIDTLIDLIHRERTGEVINRSLMRSTTKMLTDLGSSVYEEDFEKHFLVMSASFYSGESQRLIECCSCGEYLKKAQQRLDEEAERVSQYMDAKTDEKITAVVVEEMLTNYMQRLILMENSGLVNMLVEDRYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKDMVTDPERMKDPIDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGTKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTVRFYAATSDLGDAPTISVQILTTGSWPTQSCNTCNLPPEILGVSEMFRSFYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSTDCLSYRDIEQTTAIPAADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLIKVKIGTVAPQKETEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKMYRYLA >LPERR08G04140.1 pep chromosome:Lperr_V1.4:8:3311132:3317168:1 gene:LPERR08G04140 transcript:LPERR08G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKPSSCSSTRLRSPATTAACFLPAAVLLFLLRRPPMGSFPPTIPGGSVSSRRAELYNRMARDLDERGAAFLDGGETSQSLSLSELFDTRDGAVVPRLKAANPPVRANVLYLDPEFAAVISKAVKDVFLPYFTQAIWFQNASIYHFSMFHASHHLEPIVATEDEIEAEVDAVKRVTEVVCPLRIVLDRVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYEPVMLHTSLARILGHPKLPQEGSAQSLDRVKFFHDLVAQVNRKIRGFQATVKELWFVEEYDVLALALNGKMKVRRLQFGCNKSQGNGNI >LPERR08G04150.1 pep chromosome:Lperr_V1.4:8:3314885:3318101:-1 gene:LPERR08G04150 transcript:LPERR08G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPPPSSTEGEGEPQQQQQLGDPPGEAGAGEEIAAIDKQLAVADGGGGGGDEGKAAPADAAAGGGGGGKLVAEAMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNTSQVEGRKRKGKHGSYVTEENAARAHDLAALKYWGAGPTAKLNFNISDYEKEIEIMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGVHAVTNFDISNYCEDGLRKLEGSSDVPRLEGSSESCSLFLQLKEE >LPERR08G04160.1 pep chromosome:Lperr_V1.4:8:3320226:3321398:-1 gene:LPERR08G04160 transcript:LPERR08G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRDVRVGGAPAPAPAAAERRQSLRSRSVSRAASALSSLAFCRGRLRDDAVHAYSTLPLQEPPPSPAKVAASGGDAAAATTTFAPVNASPGLKAALDAAPAPVTRRCASCNASSPPPPPPPPAATTAPAVEDLCGFDEAIMSGLRDIVVDPSPSHPALLFPEHENPTTPTAPPPPFAAGENDDGGDKSTPAARDIPEVTGLVRARVNDFHAEIAEKKNAFAAANDAATPPPPTTPRRVVVVYYTSIRGVRRTFEDGRAVRAILRCHRVRVDERDVSMHAAFKSELRDLLAGGGAPPLPRVFLDGRHDLGGAEEVRAMHEAGELAKILAGCDAVTGGACAACGEMRFLPCETCHGSCKVFAGEEGMFFRRCPDCNENGLIRCPVCCY >LPERR08G04170.1 pep chromosome:Lperr_V1.4:8:3324194:3331760:-1 gene:LPERR08G04170 transcript:LPERR08G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQITDMQSPVVTSIEMDFMNFDDILEGNEKQSSMDVDLRVISSLKDGGHADPQVQVPADKSPMDADLGVISSLQDGGLADPQVSVEKSIANLEKLCKEASRSFFRETKLVSHQINSYNDFISHGLQKMFDSMEEVTVEPDYDPSKKAGPWRHATVKFGRVELEEPVFWVDNSDLDVETLKLKPKHARLQKMTYSSKMKVQMTVQVYSLNKSDKGKTGHDPFVQRKDIIEETKWVTIGKLPVMVKSNLCWLHKLHESECEYDFGGYFLIKGMEKVFTAEEQRCLSRIWINDHPIWEASYGSQSRREKIYVKLVQSNDGFRKVINLNFLGATIPIWIMFFALGASSDKEAFDIIDIQECDASIANIISATVIESDEQCKGFRGGEQASQYIDELIKKTKFPPKGSFSENIGKYMFPNVNGNRSKALFLGYMVRCLLMAYSGNRKCDNKDDFRNKRLDLACELLRKELWRHVMHARRRMVKFMQRHLSGDGDLQPLEHYVDASIVTNGLNRAFSTGSWCHPYIQRERCSGIVATLKRTNPLQMMSDLRKTRQWVAYAGLAGDARYPNPSYWGKLCFLTTPNDAKNCGLVKNLATTAIVSSLGREPLVDTLVSCGMKKLDELLLQEISGKDRIFLNGNFVGVCADPGEFVLKSRNVRRSKKIDPQVEIKRDRQNKEVRVFSDPGRILRPLLIVENLRKIMKRKDGSYSFQELMDQNIIELIGVEEEEDIRCACGIRHLFAGEEEKSFSFYTHCELDPSFLLGLSCSIIPFANHDSASRILMQAQKISKQAIGNFTTNPLFRVDTHSHQLYYPQRPLFKTVVADCLGRRDYTSGSKHDFSRPEYFNGQNAIVSINVHQGFNQEDSLVVNRASLERGMFRTQHFKSYKALIENKEITKRLKYKEKINFGKTPSKKGRVDSLDTDGLPYIGASLQSNDIVIGKVSESGEDHSIKLLHTEKGMVEKVVLSANDDGVNSAIVTLRQSRSPRIGDKFSSMHGQKGVIGFLDSQENFAFTHQGIVPDIVINPHSFPTRQTPGQVLEAALGKGIALGGAIRYATPFTSPSVEVITEQLHKAGFSRWGGERVINGRTGEMMSSPAFMGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDLSRMHVCRRCRRFAGVVSRPTDGGKKVTGAYCGFCRSAEEVVRVSVPYGAKILYQELFSMGIILKFDTELI >LPERR08G04180.1 pep chromosome:Lperr_V1.4:8:3335666:3336644:-1 gene:LPERR08G04180 transcript:LPERR08G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKNKFCGFIGRITSCGRAGHKDAAYGNQVKRTSTVCVRRVQAPYQLTVIYAIQRSMDLSA >LPERR08G04190.1 pep chromosome:Lperr_V1.4:8:3338164:3339024:-1 gene:LPERR08G04190 transcript:LPERR08G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPHPVVPNTGGGAAATEQTTTSSSSPASLTSLDANHHRRPQPQPPGSTLEPPPSSRKHRSSAARYTSSSRPARLFQKLRHSLPVLTLMITPRCGRLPSPANSPTPAASRPVRRLTGTLFGRRKGRVALALQETPRCLPYLVVELALQTHSLLRELSNPAGARIVLETERSKNRSPAAARLVMEEAAWTMFCNGRKTGYAVRREASGEDLAVMETLRPVSMGAGVIPSSPAAAAAPGKEEDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGSTGPELAVFFVRL >LPERR08G04200.1 pep chromosome:Lperr_V1.4:8:3344036:3344592:1 gene:LPERR08G04200 transcript:LPERR08G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRQCCSPPALPAPSLGKSRSGEKSKTDHVVVVDELISKQQIGAGSDALLSREYDNVQKEAAADVAGDGGGEKMKRAPLLAQGGRKAELLGWGEPMPGGGPHWN >LPERR08G04210.1 pep chromosome:Lperr_V1.4:8:3351305:3351736:1 gene:LPERR08G04210 transcript:LPERR08G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLTRLHLSTIAGKRQLLHALRRSPAHQSAVLGGKNAISLLFSSPLRLKYNAAAGVSLDDNIAAKHHVIGALQTKAVADGDVDNEKMATSPARGGSKAGLLLGCGEQPPLQGGGPHYNKSTK >LPERR08G04220.1 pep chromosome:Lperr_V1.4:8:3356878:3357213:-1 gene:LPERR08G04220 transcript:LPERR08G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAGSGEKHEVDETSTTLFERLHGELVTEAEDLAASCGADVTVMAVSPRSGEPRVSHFGGGRIVEPAAVASMGLEEVVAMEERLLQLRQLVLRRIEEEQEKAKAAAKP >LPERR08G04230.1 pep chromosome:Lperr_V1.4:8:3359263:3360652:1 gene:LPERR08G04230 transcript:LPERR08G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSRLHLSTIAGKRQLLHALRRSPAHQPAVLGGKNTITQLFSSPLLLVGGARRLKYNAAAGVSVDDNIAAKHHVMGALDDELGTEEHHSGVGISPPSSSSREYHSVAQMEAADDGDGDGGSGENMAARPARGVNKASLLGWGEPLPGGGPHYN >LPERR08G04240.1 pep chromosome:Lperr_V1.4:8:3364247:3364579:1 gene:LPERR08G04240 transcript:LPERR08G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNSPPQPSSFLHAQLLRHHKEETHRSSDQRQLPSPEKEEKVACDHSTISNIAAADAANASRSREGRAHGGRINAVSGGGGADSNRPEGPGAPAEGSGGDGGAVHPAA >LPERR08G04250.1 pep chromosome:Lperr_V1.4:8:3376705:3380396:-1 gene:LPERR08G04250 transcript:LPERR08G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASTGTTTAMKTTAAAKTGRPQATPMDLAAPVACRWPDSATGRGGGMAARLRQLDERQITGGSRRRRPRRRLERLEHWVWHVEKGYILGDQALFVGTHGSKCVPAKECGGIQEDSIYFMCDYYLPYDADDPLVYSSIYNMKNGMITPLLQGIISQRLPPRGKGRLTRLFLADVPIWMALSDIVSLGFFVCTCNM >LPERR08G04260.1 pep chromosome:Lperr_V1.4:8:3381375:3381704:1 gene:LPERR08G04260 transcript:LPERR08G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPPTLPSSPFRLHLHVSASPRHHLPVISLSSPSSSSDRRHTTTAQLAVAAAAAPPVILEMRSRGGMAAPRRPAPPAGPREGRGGVVVHAVARDAPPLPGSGPKTN >LPERR08G04270.1 pep chromosome:Lperr_V1.4:8:3384840:3385220:1 gene:LPERR08G04270 transcript:LPERR08G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSQFLPANYHMSLRDTPVPGSGPHYNAVLFPERKKVTVVRLPAAATADDTPMKGDGPKTNAVVEIKTRGGGGAVRRPTPPGPRGGDGGVIHAVVKSFSPKWPGPPAEGAGGNDVCCQRCI >LPERR08G04280.1 pep chromosome:Lperr_V1.4:8:3389006:3389227:1 gene:LPERR08G04280 transcript:LPERR08G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTCLIAFLSPSDQQSPTTTELAPAPSVILEMQRRPAPPAGLREGHGGVVVHAVARDAPPLPDSSSKKN >LPERR08G04290.1 pep chromosome:Lperr_V1.4:8:3392323:3392592:1 gene:LPERR08G04290 transcript:LPERR08G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASPTSPSQFLAANYHMSLRETPVPGAGPHHNANLFPEREKVTVVRLQAAAAAADSPMKGDGPKTNAVVETRHGEQGHSDGRRRPV >LPERR08G04300.1 pep chromosome:Lperr_V1.4:8:3397077:3397781:-1 gene:LPERR08G04300 transcript:LPERR08G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIFDIAFYGGKLYAFHASGKLYIHEINEGFEGEPIDSSIELIVDESAVRSYSQPFSESRLWPYLVESGGRLLQVVCYLRIPIYMPIDDNPENSRTISFEVYEADLSTRSVMWRTVTSWGDQAHFVGTHGSASKPVPAKEFGGIQDSIYFMCDYYLPYSADDPLVDSGIYNIKNWMITPLLQGNNSQRLPTEARGIRHGSFLQLLLSGWPSLILFLWASLYALATCKPVFCNM >LPERR08G04310.1 pep chromosome:Lperr_V1.4:8:3400314:3400583:-1 gene:LPERR08G04310 transcript:LPERR08G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDDIPENSRTISFEVYEADLRTGSGMWRRVKSWGDQAHFVGTHGSASKPVPAKKCGGIQEDSIYFMCDFYLSCCADDPLVDSGIYMG >LPERR08G04320.1 pep chromosome:Lperr_V1.4:8:3404927:3405327:1 gene:LPERR08G04320 transcript:LPERR08G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSQFLPANYHISLRDTPVPGSGPHYNAVLFPDQKKMTVVRLPEAADTPMKGDGPKTNAVVEIKTRGGGGAERPTQPGTREGRGGGGGVIDAVVNSFSPQRPGAPAEGAGGNGAAVHAASSVTVSS >LPERR08G04330.1 pep chromosome:Lperr_V1.4:8:3411023:3411409:1 gene:LPERR08G04330 transcript:LPERR08G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLPLLRPAGARMSLRGTPVPGRGPQHNAVVLPERNNVAAVVRLPATAAAADTPMKGDGPKTNAVVVEMKLAGGAVKRPTPPGPREGSGGGGGVIHAVVADSSPEGAGGNGGAIVHAACAAIASS >LPERR08G04340.1 pep chromosome:Lperr_V1.4:8:3414578:3415141:1 gene:LPERR08G04340 transcript:LPERR08G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLALAAACRCRPPLLHLHSSLRPSPPARRFSSFVFFTPRQPPPLPLLQLQQQRHPSSSSSSSCQHQQQQSPDQDEEVIVSNSAVEEHTAAAPPVAVKSLQAAPRQQRGGRGGGGGRGKGGGRPTPPGNPSEGQGKGGGVIHSVGQSKKPDPAPKPPGDPREGDGGNGGVVNGAPSSSSSSSSS >LPERR08G04350.1 pep chromosome:Lperr_V1.4:8:3416550:3417400:1 gene:LPERR08G04350 transcript:LPERR08G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMNLLLLRLLLQLLLMNMRQKATSGHSLIRLSPYLNLLVIVENLAGSRSVLLVRRGPSREPGPPREGRGGDGGSHN >LPERR08G04360.1 pep chromosome:Lperr_V1.4:8:3420862:3422162:1 gene:LPERR08G04360 transcript:LPERR08G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKEELSIKEKSFKKGEAMASSSSSSLFSHPPSLLRHVVDGFAGYLAGLCHSLQNLKPATPATAVPKQEAEVDEATNTAPALSSEEPVAVQTVRSRAMARPQGPVLREANGGIGGSHHNVGV >LPERR08G04370.1 pep chromosome:Lperr_V1.4:8:3423688:3424191:-1 gene:LPERR08G04370 transcript:LPERR08G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPQQQQMTTAMAAQQQRRRRRARASSEYLGVRRRPWGKYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSAISISGKAAARTNFVYPDDAALSQSPAPAIPPPPPPPPSPMDDDDDDYVCSPVMVVEGIEDGDDDSLTIATILQSFQYQQSFSPAAASSGSLFY >LPERR08G04380.1 pep chromosome:Lperr_V1.4:8:3438903:3443063:-1 gene:LPERR08G04380 transcript:LPERR08G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAVSGESAGVRVLAVSRVTPSPPPPTPERVKLSLFDAPWVVLPPIQRVFLYETASPAPSPPAFDVAVERLKRSLAATLSLFLPLAGMLTYVADTGDVVVDCAGAGGVAFVEAEAAGFDAGPLAGDEAHDVAAFVALVPELDARVLPAPVLAVQATRLAGGIAVGVSVHHAVADGRAVWGFMEAWSTAAKVGTSPPVTKGIAAPHYGREAAIPHPADGGERARQLLKLVAPNLPVASGQHDFSQRFRLDRRTFHLDAASIHSLKRRINAAIAAESPKPKPKPVSTFVALAAISWTAFVRAKSLAAGEDTYLAFLADMRTRLNPPVADGYLGNCVKIILAKCADAADLAADAGERGIAAAAMAVQAAVAEMEAAAAAATEGMDREAVERMMRLPYHRLANVAASPRFMAYEAADFGWGSPARVELVSMNHDGEIVLVGGRRDGEVQLSVSIDPAQVDAFKAHLLG >LPERR08G04390.1 pep chromosome:Lperr_V1.4:8:3446360:3448658:1 gene:LPERR08G04390 transcript:LPERR08G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVTIIEETRVAAAAAADGGTTREPSVLFKLSALDAQWITLPLIQRVLVFDAGAGDIPPFDAVVASLRASLSATLARLIPLAGRIVHLPDSGDAAIDCSQNGGGVRFVVAECDGVDATRLAGDADHDVEAFERLAPVLEAEVLPAETMAVQVTRLAGAGGEERGGGVAIGVAMHHAVVDGRSVWRFIEAWAAACRGDEAWREEAAAATFDRAAVAIPGGEELARGVLRNYAPNLPVATVPEFLVKGRLKLSRRTFTVAPPQIHRLKHRIISAAAGVNPSTFVTLASLAWVSFVKTKHAAGEIADDDDVHLFFFIDIRGRAAAVDPPVSDSFFGTCISGCLAAAAARDLVADCGDAGLAAAAAAVQGEVRRAAEDPLARWEWMSLVGRVPLDRLVNMSGSTRFPAYEAADFGWGSPARTELVTMNHDGQVVLVAAAAGGGGGVQASVAMKPAQMEAFTSEFESYLG >LPERR08G04400.1 pep chromosome:Lperr_V1.4:8:3451069:3451869:-1 gene:LPERR08G04400 transcript:LPERR08G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKSYGQQNHNLLSPVGSPPSDNESGAAAAAAAAGGGCGSSAGYGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAGAGAARYGDGGDVIDGGGGGMAHHQHQQDGGEVGGIMAMGGGGAGVVGYGGAAAAGATTSPAPAFYAAAAAGNKAAYGSRVMEFEGIGGEEENGGGGGGRERGFAGGHLHGVQW >LPERR08G04410.1 pep chromosome:Lperr_V1.4:8:3460242:3467364:1 gene:LPERR08G04410 transcript:LPERR08G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWAVWAVLLLRLVLPVARVVANMEGDALHSLRTNLVDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLDLSNNNLSGEVPSTGSFSLFTPISFANNPSLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSTGAIAGGVAAGAALLFAIPAIAFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWRTRRKIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDIEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVIRQEVELGPHRNSEWIVDSTDNLHAVELSGPR >LPERR08G04420.1 pep chromosome:Lperr_V1.4:8:3476012:3478460:1 gene:LPERR08G04420 transcript:LPERR08G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRRFSSPRRRNLSTLLDRYGFIPPTSLTPTREQRGGEDAGGEKRRRKKPPYRPPSSLDRGGRPAARSDHLPFDFRFSYTESSPGDAPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRDVAADDPAPAAERGLEEARRRQRERVLGGPLTPAEKAFFVAKCQKSRTKRQINLGRDGLTHNMLNDIHNHWKNGEAVRVKCLGVPTVDMQNVCHQLEDKTGGIIIHRHGGQLILYRGRHYNTKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLHVPVLTKLGLPKSDYRKIGVKLRDIVPCIIVSFDKEQIIVWRGKEYNETLQDHTHNTSVPVLEEESAGVESENVDQEQESSGWSSDECSQHSSSNEVSDDKSVISEVRNWKRASCRQCNASLGLRQSEEGASRCNVAPCSDSCRDFY >LPERR08G04430.1 pep chromosome:Lperr_V1.4:8:3492084:3494860:-1 gene:LPERR08G04430 transcript:LPERR08G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAFFKGAGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDAKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTVPLPKEKHEETLAASAAVPDEDYESDTGFESLDLPEVPKAAIRPPSDTPATPDIGTHVQGSQPSSHEFSNLQSSQPSSHEFSNIQSSQPAAHEFPNVQSSQPTAHEFPKLQSSQPALHEFSNPSDLEENPTANAAFMTQPKGSEHMSTFAQPSMPVSPNEKKHFVPFASPPPFVVPSQVEQNESIPSPSPSPPMKPTDPETIRTIDEVTPTPATTDYLFSRQPEQLHSTSTENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDGVQKESHPQTAVTQKPVFDHQDSFSNDTQGYVPSHVPQRSPSLEDDPYFSYPNLFSSKP >LPERR08G04430.2 pep chromosome:Lperr_V1.4:8:3492084:3494436:-1 gene:LPERR08G04430 transcript:LPERR08G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDAKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTVPLPKEKHEETLAASAAVPDEDYESDTGFESLDLPEVPKAAIRPPSDTPATPDIGTHVQGSQPSSHEFSNLQSSQPSSHEFSNIQSSQPAAHEFPNVQSSQPTAHEFPKLQSSQPALHEFSNPSDLEENPTANAAFMTQPKGSEHMSTFAQPSMPVSPNEKKHFVPFASPPPFVVPSQVEQNESIPSPSPSPPMKPTDPETIRTIDEVTPTPATTDYLFSRQPEQLHSTSTENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDGVQKESHPQTAVTQKPVFDHQDSFSNDTQGYVPSHVPQRSPSLEDDPYFSYPNLFSSKP >LPERR08G04430.3 pep chromosome:Lperr_V1.4:8:3494488:3494860:-1 gene:LPERR08G04430 transcript:LPERR08G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAFFKGAGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVRRRRGFDSSAHSEEEGWIKG >LPERR08G04440.1 pep chromosome:Lperr_V1.4:8:3509325:3511022:1 gene:LPERR08G04440 transcript:LPERR08G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSHRQRIDIGSEEYVHLAMYRAEEQIVDSIWQHTNSSHIWHQQIGRPSGCWFHRKAWTCTPQQWPWPLRQIP >LPERR08G04450.1 pep chromosome:Lperr_V1.4:8:3510189:3532306:-1 gene:LPERR08G04450 transcript:LPERR08G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPLPPPARQLRRWPGSSSPPPPPWMASPFRRTRYLSRPAFAGAGRQDYCPSSGMVVSRNSAFKLGLYGNLNVQSGLQEWVNETKRIFFLRTANNVRNNLSNGNTPLRAGNLCHDPSENLSSSNYPSLYNLRERGPSNSIVNRHVDTDLAKHRVVYQSAHAVPAPFSVVNNDTKQLNMPIGSKAKIPWQYSFPVESSMPKISKSETTSVVEKANAIPDKKENKRVTRKVTPNILEKTSLSSESKDARKVLATIYDKVLVVNNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSIYSGNSEGQADFGNGKTCLWVDVLDGGSDVLMEFAPFFEDPSIKKVWHNYSFDSHIIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDPRVMNAVLNDLPKTGKMSMKTIFGRKKARKDGSEGKIITIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAVEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKAAIAERELATDKFRKWASKYCPDAKYMNVNSDDQIRQLFFGGIENRSKPGETCPQSKTFKVPNTEMIATEGKKTLKSRTIKLFSIVEDLKIDKLTNSGWPSISIDTLRILAGKIPTEDIYKTDDDSKEFDEDGSSLELPEQDAEDISSYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISSFIIPLQGDRISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSQDWKVSVKEARDTVNLWYKERKEVSAWQKKQKALALEKCEVYTLLGRSRRFPDMTHAGPGQKGHVERAAINAPVQGSAADVAMCAMLEIERNAHLKELGWKLLLQVYDNNTLMFTKKVLRLVHDEVILEGPTESAEVAKAIVVECMSKPFYGTNILKVDLSVDAKYAKSCDADISDAVTGISPVHVANIDVKKETPVGHGEVICFSIYSGNSGGQADFGNGKTCLWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHIIENCGIKVAGFHADTMHLARLWDSSRKDDDPRVMNAVLKGLPKTGKMSMKIIFGRKKVRKDGSEGKIITIEPVEKFNEYIKALRKLEAVEWIFDGCPRGTMYDLYEEYWRPFGALLVKMETRGMLVDRAYLSEIEKAAIAERELATDKFRKWASKYCPDAKYMNVKCDSQIRQLLFGGIKNRSKPGESCPQSKTFNVPNTEMIATEGKKTPKSRTIKFFNIVENLKIDKITLKGGQPSISIETLTILAGKIPTEDIYKTNDDSKEFDEDGSSLELPEQDVEDTSSYGTAYEAFGGGKKGREACHAIAARREIFSIDKLISSFIIPLQGDHISCKEGRVHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSQDWKVSVKEARDTVNLWYKERKEVSAWQKKQKALALEKCEVYTLLGRSRRFPDMTHAGPGQKGHVERAAINAPVQCSAADVAMCAMLEIERNARLKELGWNLLLQVYDNNTLMFTKKVIRLVHDEVILEGPTESAEVAKAIVVECMSKPFYGTNILKVDLSVDAKYAKSWPLTISYRVSSPCCTTEEDFFHIGGEPRIRITLCFIL >LPERR08G04460.1 pep chromosome:Lperr_V1.4:8:3533205:3543727:1 gene:LPERR08G04460 transcript:LPERR08G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTGRRRLGSVRCAGVICVASVPDSDADKQLSEGVMSILLSKLSKVLEEKYMKLKGVRHQITFFRDELSSMKAALEMLDSLEELDPLQKEWRDTMRELAYDIEDCIDSFLVLVNHKQDEQSTFFNRFSYELKTMKTHHEISNEIEELKTHVIEASKRYKRYNFVDLVFSHSTSGIDPRLPALYVEGKGDIKVKVFSIVGSGGLGKTTLANQVFRQLRCQFECTGFVSVSRCPSIRSILRQMSTEVGITDDTSEDERQIIEKIRNHLKDKRYLIVIDDVWDVEAWKTVSLALFSNRCASRIVMTTRNAAVASHCSSDGGCVYQMEPLSFADSKILFCERAFRSRELYYPHLEKVCDEILVKCGGLPLAIITISSLLAGKHAKDEWDRMLTAIGCALAKNPDAGNMTKILSLSYFDLPRHLRTCFLYLSVFPEDYKIDKQCLINRWIAEGLVRDEQGWATYEVGENYFNDLINRSLIQPIDVNYGQAKACRVHDIVLDFITCKAAEENFVTSMDAMEHGHISEYKVCRLCVKNHKYEKVNKPTCLNLTHVRTLIMFGHIDGTFLFSFPTLRVLDLSNSGLEDSHLENIQKLHILKYLSLRSTLVTKLPREIGQLNYLDTLDIRGTIIPELLLSIAKLECLAHLYVERWTRFPGGLVGKMQSLEELEEFAVSCELGNFLQEFSQLSKLRTLKVHLFWWSDAKECQNYVSALLSSNLHHLYLTGGPLIMDKWYPPTPCIIQKLHIIGCYNRNVPQWISSLGSLTELQLWIHKMGPSDVEILGAIPCLRFLKIKTLCGINGRIIICSNKGFRSLTYYSL >LPERR08G04460.2 pep chromosome:Lperr_V1.4:8:3533205:3543727:1 gene:LPERR08G04460 transcript:LPERR08G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTGRRRLGSVRCAGVICVASVPDSDADKQLSEGVMSILLSKLSKVLEEKYMKLKGVRHQITFFRDELSSMKAALEMLDSLEELDPLQKEWRDTMRELAYDIEDCIDSFLVLVNHKQDEQSTFFNRFSYELKTMKTHHEISNEIEELKTHGKGDIKVKVFSIVGSGGLGKTTLANQVFRQLRCQFECTGFVSVSRCPSIRSILRQMSTEVGITDDTSEDERQIIEKIRNHLKDKRYLIVIDDVWDVEAWKTVSLALFSNRCASRIVMTTRNAAVASHCSSDGGCVYQMEPLSFADSKILFCERAFRSRELYYPHLEKVCDEILVKCGGLPLAIITISSLLAGKHAKDEWDRMLTAIGCALAKNPDAGNMTKILSLSYFDLPRHLRTCFLYLSVFPEDYKIDKQCLINRWIAEGLVRDEQGWATYEVGENYFNDLINRSLIQPIDVNYGQAKACRVHDIVLDFITCKAAEENFVTSMDAMEHGHISEYKVCRLCVKNHKYEKVNKPTCLNLTHVRTLIMFGHIDGTFLFSFPTLRVLDLSNSGLEDSHLENIQKLHILKYLSLRSTLVTKLPREIGQLNYLDTLDIRGTIIPELLLSIAKLECLAHLYVERWTRFPGGLVGKMQSLEELEEFAVSCELGNFLQEFSQLSKLRTLKVHLFWWSDAKECQNYVSALLSSNLHHLYLTGGPLIMDKWYPPTPCIIQKLHIIGCYNRNVPQWISSLGSLTELQLWIHKMGPSDVEILGAIPCLRFLKIKTLCGINGRIIICSNKGFRSLTYYSL >LPERR08G04460.3 pep chromosome:Lperr_V1.4:8:3537815:3553382:1 gene:LPERR08G04460 transcript:LPERR08G04460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVGITDDTSEDERQIIEKIRNHLKDKRYLIVIDDVWDVEAWKTVSLALFSNRCASRIVMTTRNAAVASHCSSDGGCVYQMEPLSFADSKILFCERAFRSRELYYPHLEKVCDEILVKCGGLPLAIITISSLLAGKHAKDEWDRMLTAIGCALAKNPDAGNMTKILSLSYFDLPRHLRTCFLYLSVFPEDYKIDKQCLINRWIAEGLVRDEQGWATYEVGENYFNDLINRSLIQPIDVNYGQAKACRVHDIVLDFITCKAAEENFVTSMDAMEHGHISEYKVCRLCVKNHKYEKVNKPTCLNLTHVRTLIMFGHIDGTFLFSFPTLRVLDLSNSGLEDSHLENIQKLHILKYLSLRSTLVTKLPREIGQLNYLDTLDIRGTIIPELLLSIAKLECLAHLYVERWTRFPGGLVGKMQSLEELEEFAVSCELGNFLQEFSQLSKLRTLKVHLFWWSDAKECQNYVSALLSSNLHHLYLTGGPLIMDKWYPPTPCIIQKLHIIGCYNRNVPQWISSLGSLTELQLWIHKMGPSDVEILGAIPCLRFLKIKTLCGINGRIIICSNKGFRSLTYYSL >LPERR08G04460.4 pep chromosome:Lperr_V1.4:8:3537815:3543727:1 gene:LPERR08G04460 transcript:LPERR08G04460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVGITDDTSEDERQIIEKIRNHLKDKRYLIVIDDVWDVEAWKTVSLALFSNRCASRIVMTTRNAAVASHCSSDGGCVYQMEPLSFADSKILFCERAFRSRELYYPHLEKVCDEILVKCGGLPLAIITISSLLAGKHAKDEWDRMLTAIGCALAKNPDAGNMTKILSLSYFDLPRHLRTCFLYLSVFPEDYKIDKQCLINRWIAEGLVRDEQGWATYEVGENYFNDLINRSLIQPIDVNYGQAKACRVHDIVLDFITCKAAEENFVTSMDAMEHGHISEYKVCRLCVKNHKYEKVNKPTCLNLTHVRTLIMFGHIDGTFLFSFPTLRVLDLSNSGLEDSHLENIQKLHILKYLSLRSTLVTKLPREIGQLNYLDTLDIRGTIIPELLLSIAKLECLAHLYVERWTRFPGGLVGKMQSLEELEEFAVSCELGNFLQEFSQLSKLRTLKVHLFWWSDAKECQNYVSALLSSNLHHLYLTGGPLIMDKWYPPTPCIIQKLHIIGCYNRNVPQWISSLGSLTELQLWIHKMGPSDVEILGAIPCLRFLKIKTLCGINGRIIICSNKGFRSLTYYSL >LPERR08G04470.1 pep chromosome:Lperr_V1.4:8:3544509:3548685:-1 gene:LPERR08G04470 transcript:LPERR08G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGVELQPRRGVTAGRGARTVLLTQPLGEGGRRRHPSYSAYKEEAEGYQCLNRQHQRFYVLVDADQLMPSI >LPERR08G04480.1 pep chromosome:Lperr_V1.4:8:3556634:3560932:1 gene:LPERR08G04480 transcript:LPERR08G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMPVDASTGVMNTLLSKLSKLLEEYTNIKGLTRNQITFLRDELSRMKPVLEMLADIDELDPLKKEWRDNVRELAFDIEDYTDSFMVRVNHGSDELPTGFKGFFRKLKKLKACPEISDEIDELIKTCAMESCKRHKRYKSVDVTSNSSTFGVDPRVLMLYDEVDKLVGVDGPKKHIIDWLTKDKDDKNLKVLTFMDLGSLRKKTLAVEVYCQVEDQFQCKSFFPMSRNLSRKKILRSIYTYLGITDNTPDDEGELIDRIRDYLKHKRYFIVIDDVWDEEAWKTIKLVLLNNRRGSRIVTTTRNAAVASCCTRDSDYVYQMKPLSLRDSKLLFFKRAFGSEDLPHPHLEKITDGILEKCDGLPLVIMIMSSLLADQHAEDEWERMLTDIDCALTKDPDIDIVKRVLSLSYYGLPHHLRACLLYLSIFPEGYEIDKQRLINRWIAEGFVHEEQGLSSYEVGEGYFNYLINKSLIQPVGSKHGKAKACQVHGIILDFLACKASEENFNTPSDAAEQQGLVSDNKVRVRRLCINNRNNNEIDTRLKGPNLSHVRSLTVFGDFGKTNLSDFTALHVLDQENKWSFGENWGSEDYHIANIQKLLHLRYLRLSSLSLNFDLTAKIEGLQNLETLDLLGLSIIELPSAITRLRRLARLYIGHLARFPNGTIVKMVNLEELTEFGIMSNDSYQQWKYLQELSQLTKLRTLKVTWDFEWSFVQSEEGLQSHVQSFISSCNVHNLYIRSIRIWPSPYPLSLKSCCPTNTCNLQKLHITYCFICKIPNWMSSLQYLRELKLYIYCIRQEDVEILGAIPRLIFLKLKTFYGTNGRILVPGYKGFRCLKYFGLVIMSCGTTPEFGEGSMPKLEHLKIRFCAHEEECLNGVTDFSFASIQQLSNLNKVEVHIYGCLVSCKDYDPDADMEDSNAKSAACLIKAAVKALPNRVICSFELAKTYGRLGTFHGLIKALNRHTGIPAEKILQEFLKLRVKQMIQNNKALRGLLNR >LPERR08G04490.1 pep chromosome:Lperr_V1.4:8:3561580:3566721:-1 gene:LPERR08G04490 transcript:LPERR08G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLATNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRIATGRK >LPERR08G04500.1 pep chromosome:Lperr_V1.4:8:3568493:3570352:-1 gene:LPERR08G04500 transcript:LPERR08G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPAAQFAAAPAPAAMGIYERRHPPLAAGVWGDPFLLRQHDAGTSNGAMAMQAAAASLPAAAPPPATLAEPKFESQVVALPLQPVDKDDALLQEGQRLSPDSFEHEPSRPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEHEITRARQQGVYINSSSKHSSLPSPIDSGVAAFELEYAHWVDEQKKQTEDLRAAIHSGASDTHLQILVESGLDHYDKLFKSKSAAAKRDVFFVMSGVWRTPAERFFLWISGFRPSDVLAVVAPCLQEDAAAVDERQAAEVEGLRQKARHLEDALSQGMEKLKQTLADSILADAVLASGDGDGDESPPDSFSGGGGGGGDGGYMARMGSAVGRLNTLVDFIDHADHLRLETLQNMYRILGPRQAARGLLALGDYCQRLRALSSLWAARPREPA >LPERR08G04510.1 pep chromosome:Lperr_V1.4:8:3586463:3590423:-1 gene:LPERR08G04510 transcript:LPERR08G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTSYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEAYSRVEIVHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFEETKTEEIFPATLETISNVGKVVDSLYMRASGLFAQQQSDIRSTTVLGLCPSFGISI >LPERR08G04520.1 pep chromosome:Lperr_V1.4:8:3595612:3598630:1 gene:LPERR08G04520 transcript:LPERR08G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRPKGAGMAGVSKKLAYVLLLLLALAAAALSVVVLHKVRERQTFAVLLRERDRQLISTRIFLQKEKAFNKEMKRKLEELKATTSSLRTQKTDLKTKIKGLEATMTTLKNKEKELEAALVEKKNQLEATATNLKNKEKELEAALKEKNSRINQMEEKATGSNPDQMAALMELLQSKEAELEEIKVRFQDYKIPEKRSVGSKSTPVQINNANAKPDNAVKKFTRSSDATPTKSEEESYRNTTTSESKHPKDRSLEEKQIKSTTNTEDDGLQDKTDDVIEDIDDIYGESHSSKPKFPRQSKKFLTNSRVDSQEELDRIGHSGNSLDQDSDRVRYNKLLEKENEKVSGETKEKKNIDGNLEKISKHSLSDANKNRSKQAVEDMAGAAGVKLNMSVNDDGAQQQNKRHKKKKNRSKKKIIDATTINDDGGITKQKEVDAISIPE >LPERR08G04530.1 pep chromosome:Lperr_V1.4:8:3601899:3606181:-1 gene:LPERR08G04530 transcript:LPERR08G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKCVFFFFRAEEESSTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAVLNKVDFILLGGDLFHENKPSTSTLVKSMEIIRRYCLNDRKVQFQVVSDQSSCLKNRFGRVNFEDPNLNIGLPVFTIHGTHDGPAGVDGMSTIDILSACNFVNYFGKVDAGSSDGCQISVCPILIKKGTTSVALYGLGNIRDQNLSRMLQTPHKIEWIKAESEDDWFNLFVLHQKRHAKWLGSSTTNGINEQLLPHFLDLVIWGHEHECLTDPQEVPGTGFHIIQPGSSVTTSLSNAEAKQKNVVLLEIKGRQYRQTNIPLKSVRPFGYAEVGHFQSLLHISLHNQSGVEPNNEASLYAHLDEIVSNLIDKTSTSGSEPNLPLVRVKVDYSGFSTIIPQRFGQKYVGKVANPNDILLFSRSIQKNRARENTDGSEQLEPNDLDQQTIEELIAQSNLKMQILDKNDLDSALHDFVNKDDSTAFHSCLDKNIDAEKKKLTSAAEDFRAGEDILLQLDQCMQERMNESSLTSKERSEPTSSSQSLPTNALSAFQELKCSPTEEQDGHESDELIETSDEELSQLAPQKRPAPVDGGSASTRRRKTDLTSFYRPMSKNDGDGAKKDKAPVAGRLLYSPGQIQVAVLKS >LPERR08G04540.1 pep chromosome:Lperr_V1.4:8:3610097:3613721:1 gene:LPERR08G04540 transcript:LPERR08G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFHFYWCNLHSSVIAFPCVLSLFCINCIATGTIPVWCLFLLLREVITSSHVKLDRISYSSAVPPCLELMNSFCFVHVKSKDNIPNCVPGSINSTKYNIIKG >LPERR08G04540.2 pep chromosome:Lperr_V1.4:8:3610259:3613721:1 gene:LPERR08G04540 transcript:LPERR08G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGAGA >LPERR08G04550.1 pep chromosome:Lperr_V1.4:8:3615471:3619957:-1 gene:LPERR08G04550 transcript:LPERR08G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKFNYLRIGTRGEWKWSNPTHPPPPPAPPIFPQPRRRQPFAGIDSERKRGRRAAGRRRSGVAWRRHAVAAGGGGGGALNNHHLRYLVFRPPAPPPLPIGLALERFFSSSSSSSSSRRSGKRSAAAKQSIQPTPTPTKPMEEGGEPFYVVRKGDVIGIYKRLSECQAQVSNSVCDPSVTVFKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLRYAAKKGFKYIRAQGDSKLVCNQVSNVWRARHDNMADLCKKVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGPSMTACELGERSGPPILGGLQTS >LPERR08G04550.2 pep chromosome:Lperr_V1.4:8:3615471:3619715:-1 gene:LPERR08G04550 transcript:LPERR08G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAAGGGGGGALNNHHLRYLVFRPPAPPPLPIGLALERFFSSSSSSSSSRRSGKRSAAAKQSIQPTPTPTKPMEEGGEPFYVVRKGDVIGIYKRLSECQAQVSNSVCDPSVTVFKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLRYAAKKGFKYIRAQGDSKLVCNQVSNVWRARHDNMADLCKKVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGPSMTACELGERSGPPILGGLQTS >LPERR08G04550.3 pep chromosome:Lperr_V1.4:8:3616035:3619715:-1 gene:LPERR08G04550 transcript:LPERR08G04550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAAGGGGGGALNNHHLRYLVFRPPAPPPLPIGLALERFFSSSSSSSSSRRSGKRSAAAKQSIQPTPTPTKPMEEGGEPFYVVRKGDVIGIYKRLSECQAQVSNSVCDPSVTVFKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLRYAAKKGFKYIRAQGDSKLVCNQVSNVWRARHDNMADLCKKVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGEKLLRISLV >LPERR08G04560.1 pep chromosome:Lperr_V1.4:8:3620176:3630290:1 gene:LPERR08G04560 transcript:LPERR08G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCIATVVYPGGAETYYHHARWPRPKPIPRLRNALVNAVAWNRQTITEASTKEVILGTEDGHIIEIAADEADKKEKYVKNLFQLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLETVFANYTGRAIHFMELPGDIPNSELHFFIKQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGTKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEITKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVFLDMKEYATALSHCRNPFQRDQVYLVQADTAFNAKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDGTGTNSNGVAESKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRHNVLVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIGHVTRCSSQAQAEKILDLQKRLSLMDRKAAKDNGANMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISMPFVLPEESDEKASWEIKPQPTGQKILPMTMSI >LPERR08G04570.1 pep chromosome:Lperr_V1.4:8:3630738:3632888:1 gene:LPERR08G04570 transcript:LPERR08G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVQTVGGKVYPGKMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAKAKANKDTNQYCKFDSQLLTLFTSSLYLAALVTSFLAAWVTRVFGRKWSMFCGGITFLAGSALNGAATDVMMLIVGRVLLGVGVGFANQSVPLYLSEMAPANMRGMLNIGFQLMTTIGILGANLINYATSSIAGGWGWRIGLGLAGVPALIITLGALVLPDTPNSLIARGYAGDAKKILVKIRGTDNVHDEYDDMVAASEEAAAIEHPWRNILQRKYRPQLTVAVLIPCFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATVVSIVSVDRLGRRALFLQGGTQMFISQIVVGTLIALQFGWSGVGDMSRPYAILLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRFGLFYFFAAWMLVMTTFVALFLPETKGVPIEEMNLVWSRHWFWGNYVDLPGNRRSHGV >LPERR08G04580.1 pep chromosome:Lperr_V1.4:8:3634250:3638778:1 gene:LPERR08G04580 transcript:LPERR08G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVQPTSPVQHLPTAIPPPAGVNPRTSISPHLSTPPSFQFPSPAMATKQPAASTSGAAMSMKEYLKRYQSDDAQSKGNKKKKKKPKPAAAVAASVGGGVLIVDEDPTWHKPVQIEEEPVSSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAREDADEGGLAGCRRRNDTPSPERGGAGREDLSPPRRRQRRDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGNEVAGHDDLSPPRKSRRQQDPSPPRRLARNDSKEPLDLSPPRRRTRHDSEEPKDLSPPRRKGRHDSVELQDLSPPWKRVRHDSDEPKDLSPPRRRMRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSHEPKDKLPSQKRQALAQNLDDGDISPPRKGRKEVAPTEVRRAGLMTADEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEMHIEWGKGLAQKREAEARLQELEAEKSKPFARTRDDPELDKMLKNRLRWGDPMAHLVKRNDTDLLLEDLGADEKMKESGFIVPQNIPNHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >LPERR08G04580.2 pep chromosome:Lperr_V1.4:8:3634346:3638778:1 gene:LPERR08G04580 transcript:LPERR08G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPAASTSGAAMSMKEYLKRYQSDDAQSKGNKKKKKKPKPAAAVAASVGGGVLIVDEDPTWHKPVQIEEEPVSSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAREDADEGGLAGCRRRNDTPSPERGGAGREDLSPPRRRQRRDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGNEVAGHDDLSPPRKSRRQQDPSPPRRLARNDSKEPLDLSPPRRRTRHDSEEPKDLSPPRRKGRHDSVELQDLSPPWKRVRHDSDEPKDLSPPRRRMRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSHEPKDKLPSQKRQALAQNLDDGDISPPRKGRKEVAPTEVRRAGLMTADEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEMHIEWGKGLAQKREAEARLQELEAEKSKPFARTRDDPELDKMLKNRLRWGDPMAHLVKRNDTDLLLEDLGADEKMKESGFIVPQNIPNHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >LPERR08G04580.3 pep chromosome:Lperr_V1.4:8:3634391:3638778:1 gene:LPERR08G04580 transcript:LPERR08G04580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPAASTSGAAMSMKEYLKRYQSDDAQSKGNKKKKKKPKPAAAVAASVGGGVLIVDEDPTWHKPVQIEEEPVSSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAREDADEGGLAGCRRRNDTPSPERGGAGREDLSPPRRRQRRDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGNEVAGHDDLSPPRKSRRQQDPSPPRRLARNDSKEPLDLSPPRRRTRHDSEEPKDLSPPRRKGRHDSVELQDLSPPWKRVRHDSDEPKDLSPPRRRMRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSHEPKDKLPSQKRQALAQNLDDGDISPPRKGRKEVAPTEVRRAGLMTADEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEMHIEWGKGLAQKREAEARLQELEAEKSKPFARTRDDPELDKMLKNRLRWGDPMAHLVKRNDTDLLLEDLGADEKMKESGFIVPQNIPNHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >LPERR08G04590.1 pep chromosome:Lperr_V1.4:8:3639913:3640185:1 gene:LPERR08G04590 transcript:LPERR08G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATAAAAKAWWTAAMSVGAVEGLKDQSGLCRWNYAFRSLQHTAMDSLLLQQQQHSGVGDGSPAAAAAVEEEGMRTVMYLSCCWGPS >LPERR08G04600.1 pep chromosome:Lperr_V1.4:8:3643866:3648265:-1 gene:LPERR08G04600 transcript:LPERR08G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVGSSSKPCGSLSDYCIPDYILRPDAQRVVFDLSEEAPDKVLHRLYANLERLKIEGDILAVQIWRSLRLIVAGGDGTASWLLGVVSDLKLSHPLPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVKHAKEMKIDSWHIILRMRAPKEGPCEPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEVSYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFFASLSHPSSRNIAQLAKVKIMKSAGSQWQELHIHHSIRSIICLNLPSFSGGLNPWGRPGQRKVEERDLTAPFIDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHQGAADHTFMRMDGEPWKQPLPTDDDTVVVEISHLGQVTMLANEPCTSKSVHDESPHAQQDGHGEGDNDSIGDDDEWEDGRRKFGAAETFKIPDEVDIAHLS >LPERR08G04610.1 pep chromosome:Lperr_V1.4:8:3653321:3654807:-1 gene:LPERR08G04610 transcript:LPERR08G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAPAAEGKEKGSPPAAVAGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCHRCVPRGGAGAHGRRDEEMGGGDDGDVAEDDDEEEEDDEEDNEEGEGEGENQVVPWAAEEAEAVTPPPVASSTSSSSREAPAATAANAADHAKEDQPCSTSQPGLCRYASSARHGGGGRSDEATSSRNGSGGGGGRFLASRHRKRSPSDFRRSGSAQSVSGTQGRNCSNAAAIGRNDRQQVS >LPERR08G04610.2 pep chromosome:Lperr_V1.4:8:3651980:3654807:-1 gene:LPERR08G04610 transcript:LPERR08G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAPAAEGKEKGSPPAAVAGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCHRCVPRGGAGAHGRRDEEMGGGDDGDVAEDDDEEEEDDEEDNEEGEGEGENQVVPWAAEEAEAVTPPPVASSTSSSSREAPAATAANAADHAKEDQPCSTSQPGLCRYASSARHGGGGRSDEATSSRNGSGGGGGRFLASRHRKRSPSDFRRSGSAQSVSGTQGRNCSNAAAIGRNDFS >LPERR08G04620.1 pep chromosome:Lperr_V1.4:8:3668185:3672368:1 gene:LPERR08G04620 transcript:LPERR08G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCSLCTSTIILLLSCLFSSIHLCASENRLVPGKPLSPGSTIVSDDGTFALGFFSPSNPKKYYYVGIWYNNIPKFTVVWVANRATPITDPSSAVLSLTSNLTLSAGNGHVLWTTAISSINISSSPDTKSVLTEAMLDNTGNFILRSLADNAIMWQSFDHPTDTLLPGMNLRLSHNTHPLQRLTSWKDHQDPSPGPFSYGADPNNLLQRFIWHGSMPHRRSPVWNSYLILGNYMNNLNSTIYMAINHYDDEVYMSFGMPTGPFSVLIRMKINYLGKVNMLTWQSNISAWTTLYSEPARECNVYGYCGPNGYCDNTETVSACKCLDGFEPRDSKGWTNRSFSQGCRRRKVLRCRHGNSFLTYPGMKVPDKFIYIRNRSFDECTAECKSNCSCVAYAYANVSIRVDEADDTRCLMWMGELIDMEKVTQGGENLYIRINRLNGNKEKTNILGTVLPSVASFMILICMLIWVCGIRGKQGGDEIFDGLVLGDISTSSELSDRKVGFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMEGDKEIAVKRLCKGSGQDASRNSVLDWITRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMHPKISDFGTARIFGGNEQQTNTTRVVGTYGYMAPEYAMEGIISVKSDVYSFGVLLLEIVSGLKINRVIDPTTGHSNLITYAWSLWKDGNMGGLVDSSIFEKSILDEALRCIHIALLSVQNNPNARPPMSWVVSSLDNIDIELPEPKEPMYFAHRSYGTDGAGESFVNDMSIATLEAR >LPERR08G04630.1 pep chromosome:Lperr_V1.4:8:3675384:3678900:-1 gene:LPERR08G04630 transcript:LPERR08G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAASPGSHGGDWWSAAAPPSCSAPETMAGFGGWSSAAVIDGGGNASRSAAAGNTTAESPGSNSLATGSSITFQEPAAGGGVADPAAVHAQTVAGGGWNQQQTFLDGSGFHGYMSSRTDHHAHHQMLNNTPSLMSHSSSNNSGVMLQDHHHHDQNYQFLSNLGFELLSSPTSPYGGGGGGGFRSSLLRSLTEPAAAAAARPGSPGSLIQQYHYHQPPAMSLQPPAAGREPLQFTNSTAPFWNPSTGFAVAAEGTALGGAGASSAQPTTARLAAKSALEGVGDSSSIITKKAKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKSSGDNDGGGGEATASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGATFR >LPERR08G04640.1 pep chromosome:Lperr_V1.4:8:3688145:3691211:1 gene:LPERR08G04640 transcript:LPERR08G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAASAGGRFRHAAPAFATAASAEAAAATPLVSAGGDAPAVAQWGASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPVEVVKEQDKDLSKLGADAIIPAMPGHLVEIKPRGSGLVHAFPEDTATVVSPEKFEDASSENISPGEKPNSSPFADFVDNAKKTVDIAKKTVVDIVDKITHFFEDIFRKPDEADAGNNNYKEIAGGSFVALALAVILVVLFKRSS >LPERR08G04640.2 pep chromosome:Lperr_V1.4:8:3688145:3690738:1 gene:LPERR08G04640 transcript:LPERR08G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAASAGGRFRHAAPAFATAASAEAAAATPLVSAGGDAPAVAQWGASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPVEVVKEQDKDLSKLGADAIIPAMPGHVVQAFSLLKSSPEAQGVVASLASDKNVWDAKTVDIAKKTVVDIVDKITHFFEDIFRKPDEADAGNNNYKEIAGGSFVALALAVILVVLFKRSS >LPERR08G04650.1 pep chromosome:Lperr_V1.4:8:3692033:3695643:-1 gene:LPERR08G04650 transcript:LPERR08G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDEPSSSFASAPKRHFGAGGGGGGGYGGQGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYAHPGDCVLDLACGKGGDLIKWDKARVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRETDGMEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHGFVHEYLQKPEFAELMRRLGALGDARPTALPTDGKQCKQRKDVSHRGRHRYSWSIKAEM >LPERR08G04660.1 pep chromosome:Lperr_V1.4:8:3701850:3714036:1 gene:LPERR08G04660 transcript:LPERR08G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVPPTQRPVEGFRAGGLVRRAASGGGEAMPDKGEKGDHSHHHHNSNNHHSHRKQQAASAAELEEGELLLNGEADSSRDMERTMPPKKWRKVLAASSPAAAAAEVEPGEIVSAHAMPSNSKKSRRNGELEKGEIAPERQRKDKSEKSSRKSSSKDDVEPGEVSAPSSSDKKRDRDHNKKLGSSAQVRDDGKKGSSRDSDEEPGEIRPEGSSSGSARKSRATEPESNNHKHQAETPDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPLPRDRGRHYDNRDRSPYVSPRHRVRQSHYRDNTPSRGEMHHHRDNTPSRIDNSPRRSQHDDFRDRSPLRRDKSPSERIRTTESLEAGKKNRGAKLENNNLEKAQHKSKSSKQPTKSKSSSNGSNEKISKEKATETIHYTELPPPPPLPPPPPPPPPPPPPLPPPPNMPPPLPPPLEPEVNGASAEDVSMEEDMDICDTPPHTSSAPEPTEPPTSDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEAPGAEHEQMEEAPAEEQEDFYIDDRVEALMDGSIMVDGQELEILGELLNARFEPANWESADFSRFQVQSERDDGGKSSEFSDSRTAQIYGAGPAEKDAYQHYVEYSELFSGRWSCKGGDWKRNDDFSQEPYRKKLVLNEGYPLCEMPKGGHQDPRWLCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVMPGRSGQTKQPPKGVKGTTLPVVKVNARAVKEQQSSSEHRIKPKGADRPPSRSSRSHSIGTDRISTYEGLSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVDELSVKVGDWYYLDGTGHEHGPFSYSELQELVKKGTILERTSVFRKTDNTWLPVLKDLKSGCSARSGEAGSSTSALMHSNQSSVAVNHGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKEIETYFSLSSVSKNFVQEDGGSTKRARLLPDQSDECSDMSEDILASQKDDCCFEDLFEGATHVKESPLNLESESWGLLNGHVLARIFHFLRADVKSLISSAATCRTWNTAAKYYKSMCRFIDLSSVGPQCTDPVFHGIMAGYDIQNIRTLVLTGCSNLSSLALVEVLKQLPHITYVHIQGCSQLGDLTNRFQHVKWITSSLNQDASYQKIRSLKQIDDGSNCTSKVGRNLTSQMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDARKSSAVLSRDAQMRRLMQRKAENSYRRMEEFVVNKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSELGDMKQIVVAFIQLAKKLENPRLISDRDGIVDQKDSSDTSQYSSDLKLKKKQNKTTSERRGTNWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDIDVNSAAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEDVLRKMRVALPDDYSEKLLSQKNGTENLEIPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPAELDWSSSDKHTFVEELLQKTLNKQVRQFTGSGNTPMVYPLRPVIEEIQKSAEESGDRRTAKMCLGMLKAMRNHPEYNYGLGVVCNKKGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGVYTVRPIGEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKILMESHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPEWLVAYTAHLVRFIFFERQKLPNEIFKHNVEEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKKAPPPLVRLTGKSLVSAIWKGEGSLVDELLQLMEPHVDEDVLTDLKAKIRAHDPSASEDIEGDIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRIRDYKTVKSPPVYISPLDLGPKYADKLGPGFQEYCKTYPENYCLSQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSAKPTQERVYGTRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >LPERR08G04670.1 pep chromosome:Lperr_V1.4:8:3715790:3716886:1 gene:LPERR08G04670 transcript:LPERR08G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVTVVGPGIACQDKAFAQHQTYAHTAANRDEQQETTPRNLEDMHPSAQPTTAGQQSHRHDHGGVALHSGTRRNRHGQTKPRDGRRRNPALRCPALGAPRQGSASRGDRASPAPSCDRRQGRRKGSVKLRLRLQLLDVHTQNRRRPEKKTKGAHQIWSPRHRIRPRGRQIWSPATLPADAVEISHDGAPSAMTSEREAPPPPSLGSSGFAGGLSSGGEARDDASSGEAGEILWSDPRFFGTPMMDAVLNNYPLDKKMVHWLKTRSKVFLG >LPERR08G04680.1 pep chromosome:Lperr_V1.4:8:3720377:3720655:1 gene:LPERR08G04680 transcript:LPERR08G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRITVASYEQLQAAEGAQMSVSVAREMPISDALAVRDRIRAKGWLLINPEMVNEKISRASQLKGEVAALHGISNNMLARADELTHKLDQP >LPERR08G04690.1 pep chromosome:Lperr_V1.4:8:3722368:3724700:-1 gene:LPERR08G04690 transcript:LPERR08G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWGPYDVVTAGFQVVAVTVAVRTRSAAAAGHMGRFRWWSWVARGMVRAFGPRGDGLGILLAYGPLLDTEHTRRQIALPVLQRGQVLREAGGDPPPPLLGVYAVDAPALGAVGLQGVIGGGHGEMQGPWGMAPPHGGAAALYWELPPFFEGDLAVGRMDGRPDPNYPGDIFVGSTVTLFVAMVQTMRRTGWPTGIATILPCLPPADAWLIWNLTQCSSILFITNPLLLEEKKQGADARWGEIRGLRTAHGVILRELVDKQAALFLPGPTYVILLIGNNRTLWYDLIAKIMYTNLNNNEVTFKWNLHQNGQFSVRSMYLALTNNGFRDRNRGLWKLKLPLKIKIFLWGSRMCWALWLSTNDVMFDKVSLESYLQMFFKATYWLRF >LPERR08G04700.1 pep chromosome:Lperr_V1.4:8:3728066:3730740:-1 gene:LPERR08G04700 transcript:LPERR08G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEDVLCDIVSHLTLKEAARASVLSSSWRNAWRHYPDLTFSHSIFPRNIHVTPSMSRQVKLRLKEQTERFIHIVNNILQRHSGLVVKSLKIKFNLYRVHQVHIDQWVGFAIKSKAADLILDLRPLSLLTTNEPYVFPFNLFMDKQQCCIQVLRLNFCSFKPPLEFSCLNNLKTLDLRSVDIIEVDVDSLLTNCICLEYLRIEKCDKLINLVVSHPLHSLQSLVVRNCRSLQRIDFLVASHPLHCLQSLVVRDCRSLQRIDLDAVDLAYFVVKAPRVVDAWIGIHSPIVVLHILSELADMLPDLQKLCLHLSSTENEMLFMPGNTSMFMHLRDLDLRILHWKNLHMRELLLLGHVLDLAPVMQRCNLNLHEKEPYDAYCPLMKRLPTSFHTHLKEVMITGFVSEWQYASLAAHFLFNATSFQTMEITSMYKPMQCGEKLLVHRDFCSRIPYVVS >LPERR08G04710.1 pep chromosome:Lperr_V1.4:8:3733576:3742026:1 gene:LPERR08G04710 transcript:LPERR08G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGNMNNDNYIDLSSDSDGDFDFDSDDPVGGSDQQLTISSSRPTENRNDQYRTLPPSFTNGRHIDNARHASGSSSYRGSPNDSTRAIPASNRTDIAVRKHNGFASDENDNGKRILPSSFSNDRTMAASGTRKLPPSFSNGNSQRLAENRMGKNVANGVGEPSSSRLPSRSSFVGNNQKVITDNDNEDVYVYGSSSSHRVLPPSFGRNSSVNQNDFANGIDMQGRPILENRIIDSDERAVYQEALQNISQDKREDDLPEGVLSVPLLKHQGLGKTVSTIALIQKQRAEQSKFMSVDSDRLKAEALNLDEEDEVVPVEDKGEQSNNVEPTKDLGAGSSSTAAGTGDMEICASQMNIVPDITVERKVERKKNNKANTSSTTQAMTRPAAVYHGGSRTRDPNELAKYDVVITTYTIVANEVPKQNADDDDQKNGEESSAGNKRKQPSNARSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYTSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETMIDGQPIINLPPKTINLDKVDFTKEERNFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVAALCSVCNDPPEDAVVAMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICISGESSTHAAGSSSLDDESSSISQSSYISSKIQAAIDILNSIINTYALTDSDTIESNPNRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNLRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRAVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRM >LPERR08G04710.2 pep chromosome:Lperr_V1.4:8:3733576:3742363:1 gene:LPERR08G04710 transcript:LPERR08G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGNMNNDNYIDLSSDSDGDFDFDSDDPVGGSDQQLTISSSRPTENRNDQYRTLPPSFTNGRHIDNARHASGSSSYRGSPNDSTRAIPASNRTDIAVRKHNGFASDENDNGKRILPSSFSNDRTMAASGTRKLPPSFSNGNSQRLAENRMGKNVANGVGEPSSSRLPSRSSFVGNNQKVITDNDNEDVYVYGSSSSHRVLPPSFGRNSSVNQNDFANGIDMQGRPILENRIIDSDERAVYQEALQNISQDKREDDLPEGVLSVPLLKHQGLGKTVSTIALIQKQRAEQSKFMSVDSDRLKAEALNLDEEDEVVPVEDKGEQSNNVEPTKDLGAGSSSTAAGTGDMEICASQMNIVPDITVERKVERKKNNKANTSSTTQAMTRPAAVYHGGSRTRDPNELAKYDVVITTYTIVANEVPKQNADDDDQKNGEESSAGNKRKQPSNARSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYTSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETMIDGQPIINLPPKTINLDKVDFTKEERNFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVAALCSVCNDPPEDAVVAMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICISGESSTHAAGSSSLDDESSSISQSSYISSKIQAAIDILNSIINTYALTDSDTIESNPNRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNLRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRAVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRM >LPERR08G04720.1 pep chromosome:Lperr_V1.4:8:3742807:3745416:1 gene:LPERR08G04720 transcript:LPERR08G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATTARSKPKAKPSPASLLSGCSSPASVDAADGLSFLSPSPSPEKPKPRPSSPLAAPASSPLAASPAPVSVSTVADLRSLAASHLDSLKRRLDALHGDSSRDLEASHSRISNRFKMQTQSCLQVADEAEKEHRKVAGKISERAEAVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAV >LPERR08G04740.1 pep chromosome:Lperr_V1.4:8:3751553:3751741:-1 gene:LPERR08G04740 transcript:LPERR08G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAMLAATVAAATTVFPTTGGTPDDQGVTTIRTYAAVLLLCAAFHIGMALIIRGVVRRGR >LPERR08G04750.1 pep chromosome:Lperr_V1.4:8:3785848:3791834:1 gene:LPERR08G04750 transcript:LPERR08G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYEMVEPAAAGMTEEKEGPVTMTNLTTIGLLVIMVAVSASVSVHGSYGLLVGLLGVIVGSNLIYLGVKTAGNLVAIFLGTIASLVAKYPRRNFATVGLIMVSFAVGGHLAASDDTYGPAISFMFFLVLLFGQVVVTLGVHGGLDSST >LPERR08G04750.2 pep chromosome:Lperr_V1.4:8:3785848:3791322:1 gene:LPERR08G04750 transcript:LPERR08G04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTTIGLLVIMVAVSASVSVHGSYGLLVGLLGVIVGSNLIYLGVKTAGNLVAIFLGTIASLVAKYPRRNFATVQHSFDWLAYDANGGITSHVMA >LPERR08G04750.3 pep chromosome:Lperr_V1.4:8:3786139:3791834:1 gene:LPERR08G04750 transcript:LPERR08G04750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYEMVEPAAAGMTEEKEGPVTMTNLTTIGLLVIMVAVSASVSVHGSYGLLVGLLGVIVGSNLIYLGVKTAGNLVAIFLGTIASLVAKYPRRNFATVGLIMVSFAVGGHLAASDDTYGPAISFMFFLVLLFGQVVVTLGVHGGLDSST >LPERR08G04760.1 pep chromosome:Lperr_V1.4:8:3788132:3788974:-1 gene:LPERR08G04760 transcript:LPERR08G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEVLLENIVFSNRLMNCFTAANAAMLQQSRATPVGSCYHGGSRGGGWRAGGCTGGTPAVYKDLSSTVPVCFHNLLEQVADVHAYVCNLSETNIIQFMMVASYGPDQSFCLG >LPERR08G04770.1 pep chromosome:Lperr_V1.4:8:3792966:3796304:1 gene:LPERR08G04770 transcript:LPERR08G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAVVGVVEEIGGSVVDDEWLGLGDLSLYGGARARVEGGGDQRHTTPAAATRRSERCTPFFLLIDQSQPPQGNPRDDLIAFASTFIIYSFYTMMQIFYYYGKRIPLEVDPFDTIDMVKSKIEAIEGIPPEQQELLPLARLNDDSTLADHNIKEGTTLVLFRVEQLGPPGHKKITMRTFSGETYTYTRVLKPSLPRVPFEEMSFLAKVRFFASLCWECRRDFHLPSVIEFCRESELFSLLGTIACQVTVMSLFTVNLMRYARIRQAEFSST >LPERR08G04780.1 pep chromosome:Lperr_V1.4:8:3804872:3805552:-1 gene:LPERR08G04780 transcript:LPERR08G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKFLSLAAVDIFSTPNLQSWPQQRKRPPSSELCDANRSRDQRGKNSACRQLRRSYYLKETTVWTPEMGAAVIAGVSMVSTAVAAASVVAVSREAPPDSYQTFAIMALCAVFHGGIALLIHGVLRRTGAPPRQP >LPERR08G04790.1 pep chromosome:Lperr_V1.4:8:3859202:3862689:-1 gene:LPERR08G04790 transcript:LPERR08G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINPLRWAFKCFRPSTTRHGRDVIRPTASRVPGSKFTATYLGDDEDMKAPVGKPMENQAVLTQQMNRAHLLEDHSPSSLGLRHRRPSSLKISEDQDAQVDSPYIGEGKKYLLTIKTLTGKTFTLSVMSSNTVQDVKEMIQFKEGIPPDQHRLVLSGGIQLENIHRTLSYYKINEHSVVYVIVRLRGGGNVGPDGILIEEGSSVNSAQTIPADDLFPGVSPLSPPSSSDYEGLLSPSIFDQDRSIEEEVDESVIDISDSIHHLWPNEVTEDSTERSSVSSEPIIVEDLFGRIEDAISPGPLILNVQSQTEEEEVNEETTEDEINGRVFHFHLEPIVALSKGTQLQANVLAMAADVVVFSVSLEFAVSPGELFLWSSVLPLLLVVLLKKFCFPHIYRFVMEFCKPWQKQLDAAVEALFNVLPPWCSDLLQEQEPIMVCEMMKAALVVVIYMLLPSWIKVNLRFRPEHGVAGAIWLGLREQALGRFHRDSFIIAAARDG >LPERR08G04800.1 pep chromosome:Lperr_V1.4:8:3864008:3866064:1 gene:LPERR08G04800 transcript:LPERR08G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAGATLLGLASGIKVFSIGLCGSIPLAVCAVKTRFMKPRLSQDVPGFKYFQTVAQDEQERKNLHYFCLKICSPITDSDLKILVELEFKI >LPERR08G04810.1 pep chromosome:Lperr_V1.4:8:3875630:3876559:-1 gene:LPERR08G04810 transcript:LPERR08G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGRGRRQGRRSSPASNSSRNGATDARATASARRQRGGGGAPAGRLATTQKTLMITITTACSSSSHAARTPAVLLLPAPAPLSAATATATAALPIPRCWMTRWSLPIGTSLSHSSMYKLISWQTSILVASWPIGRDLG >LPERR08G04820.1 pep chromosome:Lperr_V1.4:8:3875670:3876035:1 gene:LPERR08G04820 transcript:LPERR08G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLINLYMELWDNDVPIGRLQRVIQHLGIGSAAVAVAVAALNGAGAGSSRTAGVLAAWLLLLQAVVMVIISVFCVVARRPAGAPPPPRCRLALAVARASVAPFLLLLLAGLNDGREVD >LPERR08G04830.1 pep chromosome:Lperr_V1.4:8:3893667:3893891:1 gene:LPERR08G04830 transcript:LPERR08G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSFLSLAVQIHAGEAFGGGNVARLRSAPCPGGAWPRACGGGHIRPCRRDGNDSCSCEVAKRWRSPVAAPRR >LPERR08G04840.1 pep chromosome:Lperr_V1.4:8:3897471:3898361:1 gene:LPERR08G04840 transcript:LPERR08G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITYEMEPTYGTAVAGDVATVAPVKQRRRLSFGFAGAGKGNRLGFRAGDAQGNNTLSQFITDHVVPLLRRRGTVAGGAAGMQIFVRTVTAGALALEVNASDTVGKVKAMIQAKKGIPADQQRLMFAGRHLDDGLTLADYGIRKEANLHLALRLRGGTRDAAAGGGWGIWALTVGLFATVVSLGVAVNVNAGEADVVKLFCLLVLAVAGVNLITAGVFMTSRGDGRRCGVISPLTEAVEFARRSFAVLGTIGASWAATGVVLSDTQPVLCFFFSALFIFSISLVTVGLSLTNLGC >LPERR08G04850.1 pep chromosome:Lperr_V1.4:8:3908116:3908499:-1 gene:LPERR08G04850 transcript:LPERR08G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTTMGLIILVLAVAVGVSVQLLGGAHSLGSYGPIVGLFGVIAGANLIFHGDRLTGFLAVVSLVTMASLTLRRKLPMLGLFTVSFAIMVHVIAGNAIDLALSIGLFVMLLLGINMIILGVNGGFK >LPERR08G04860.1 pep chromosome:Lperr_V1.4:8:3918790:3919305:-1 gene:LPERR08G04860 transcript:LPERR08G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKNYLDMIAAGEYKDAIHKASSKLQALIAEKFTPGNRRRSGWAWVTEAGLLAVMIYLSAAFNFFPSYAISGSGAGDVAAAVYLFLFAVGGVNLIAVGVLLQNRADNLRGCSMLSKAVDFARRNLAVLGTVAASTAATGVVFTTDLRGLCFAFFALLLFSIFLVTIGVF >LPERR08G04870.1 pep chromosome:Lperr_V1.4:8:3945150:3946848:1 gene:LPERR08G04870 transcript:LPERR08G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGAVVVPEDAVAAAAAAKGKRIVVFLDYDGTLSPIVDNPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKTQLQALQRPHIKTGRGEGFVGADAESVLPLETGTILYLLGGRGGRVDDILGLLPENDTATVYHYIPILGGGDAGEGLSGVLIPNKDPGEADYYNSGMPEHKTAMVAAEHVLTFPDETIASKATGRDKFAGHVRRGLELAGIAAASLAVTGLAGGGAAMTTAAGIGLFAAMICGVSLITFT >LPERR08G04880.1 pep chromosome:Lperr_V1.4:8:3949468:3952795:1 gene:LPERR08G04880 transcript:LPERR08G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPATSTTVCVTGAGGFLASWVLKLLLSKDHYVVHGTVRDLRDGKNAHLKALENAGKRLRLFKADVLDYDSVTSAIAGCDGVFHIASPVTPVPSGLATNPEEDVIATAVIGTLNVLKASYEAKVKRVVVVSSVAAVFNNPNWPKGKPFNEDSWSDEDCCRKKGSAKLLCMRQKLGWTFIGDWSIDAAYGEGETVGNRLEILLDVRDVANALLLAYETPEASGRYICSSTPRKLCDIINISKSLYPNFTYPQKFLEVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.2 pep chromosome:Lperr_V1.4:8:3949541:3952795:1 gene:LPERR08G04880 transcript:LPERR08G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTICPALVIGPLMQPTVPTSIKVFFDIVKGEGETVGNRLEILLDVRDVANALLLAYETPEASGRYICSSTPRKLCDIINISKSLYPNFTYPQKFLEVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.3 pep chromosome:Lperr_V1.4:8:3949468:3952795:1 gene:LPERR08G04880 transcript:LPERR08G04880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPATSTTVCVTGAGGFLASWVLKLLLSKDHYVVHGTVRDLRDGKNAHLKALENAGKRLRLFKADVLDYDSVTSAIAGCDGVFHIASPVTPVPSGLATNPEEDVIATAVIGTLNVLKASYEAKVKRVVVVSSVAAVFNNPNWPKGKPFNEDSWSDEDCCRKKGEWYSYFFSKTLAEREAFVYAAKTGMDIVTICPALVIGPLMQPTVPTSIKVFFDIVKGEGETVGNRLEILLDVRDVANALLLAYETPEASGRYICSSTPRKLCDIINISKSLYPNFTYPQKFLEVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.4 pep chromosome:Lperr_V1.4:8:3949468:3952795:1 gene:LPERR08G04880 transcript:LPERR08G04880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPATSTTVCVTGAGGFLASWVLKLLLSKDHYVVHGTVRDLRDGKNAHLKALENAGKRLRLFKADVLDYDSVTSAIAGCDGVFHIASPVTPVPSGLATNPEEDVIATAVIGTLNVLKASYEAKVKRVVVVSSVAAVFNNPNWPKGKPFNEDSWSDEDCCRKKGREAFVYAAKTGMDIVTICPALVIGPLMQPTVPTSIKVFFDIVKGEGETVGNRLEILLDVRDVANALLLAYETPEASGRYICSSTPRKLCDIINISKSLYPNFTYPQKFLEVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.5 pep chromosome:Lperr_V1.4:8:3949541:3951924:1 gene:LPERR08G04880 transcript:LPERR08G04880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTICPALVIGPLMQPTVPTSIKVFFDIVKVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.6 pep chromosome:Lperr_V1.4:8:3949468:3951924:1 gene:LPERR08G04880 transcript:LPERR08G04880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPATSTTVCVTGAGGFLASWVLKLLLSKDHYVVHGTVRDLRDGKNAHLKALENAGKRLRLFKADVLDYDSVTSAIAGCDGVFHIASPVTPVPSGLATNPEEDVIATAVIGTLNVLKASYEAKVKRVVVVSSVAAVFNNPNWPKGKPFNEDSWSDEDCCRKKGEWYSYFFSKTLAEREAFVYAAKTGMDIVTICPALVIGPLMQPTVPTSIKVFFDIVKVEEEDSTTFSSEKLQKLGWTFRHMEETIRDSFESYTALGILT >LPERR08G04880.7 pep chromosome:Lperr_V1.4:8:3952782:3954258:1 gene:LPERR08G04880 transcript:LPERR08G04880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLLYVLLRQSPCFGDGKNAHLKALENAGERLRLFKADVLDHGSVTSAIAGCDGVFHIASPVPFGQPTNHEGKPFDEDSWSDEECCRKKEVINGKLF >LPERR08G04890.1 pep chromosome:Lperr_V1.4:8:3957791:3958910:-1 gene:LPERR08G04890 transcript:LPERR08G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIDRSWRREYGYAWDDLPPELVALIADSLPIKSYTRARAVCTSWFAALPPASPSALIRHDHPRRFSLTCLSSPPTTSTTLHESISTVLPPSSRGFFICSGDGWIGVYSFDNSMAFLVNPLTGEEIPFQHNLEEKRQMVFYKVVFAPNPTPTDFTAAAITGCARITYTIDGNRGWAHIYCPRDYIADVVYREKDGEKLVYCLTESGDVLVLRLPGDKAAMPAVFEPLFHATAPAFAPPYDTIVRTDCDKYIVICDDGTTLTTGCWLAVKDLNGYTVFVGKNNPVAIRMEDGTGNNVYWIDSSIAGRRAKRFDLATATSWLCFPDAQACCSWYFLGDAQCRVIFKNGL >LPERR08G04900.1 pep chromosome:Lperr_V1.4:8:3960944:3962515:-1 gene:LPERR08G04900 transcript:LPERR08G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCHIISLYVELWDDDVPIQRLRRVIQHIGIGSAAVAVAALNGGGGRREGVLAMMLLLHGVAMVALSVCGAVARGPGGARRRCRLALAVARASPVPFLLLLLAGLIQVHRCGPSRCSPPWSLRGKGQDRSSPPFRFGDNLGAISSVGDDGKVGGLRNPPHCRPRVADPLGSVSVDARHHFVIAD >LPERR08G04910.1 pep chromosome:Lperr_V1.4:8:3968865:3976671:-1 gene:LPERR08G04910 transcript:LPERR08G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWWFSLPIFVGSQKRKMSDLGPQWSKDELLRFYEAYHRHGKNWKKVSASVGGKSADRVEALYSAHKTFLSLPEREGTAMGFVALVTGHHNVSDESKRHKEGDQTVRASRKTWTCGEATGKKERELPNAHRSYHERTYGLSSFKKRYYRELVENIPRHHSAKRTPCVPIIIPADMNVADAATPAKENAVNSTKKASEAINNELGCSRDESSGIPETFLQTTRIGDSQISPTLGGLKKRRIEKSMDLTDYQNLSQIFSPDTLTHFPFYEMLTLDVLETLVTVPSEISEPKINIPSGILGKNDSALSLKRVEGTSSVKRSKQGKQVGECISKKRNKRRKKSIAEVVPAEEPNISNHLNLPEERQADATECALNFDPERGLVDLPESTANICSEVPDLTSQTKPEINMFRRSKRKSKRQCGSKYAISNRSDNLQARRLQHCLSSESLRRWCTYEWFYSAFDYPWFMDNDLVNYLNFANLSHLSRLTRSEWSTIKSSLGKPRRFSDHFLVVEKEKLEVYRKKVIVCHPMTRELSDGEVVMMEYDGYRVQFDRPDVGVDKVKDTECMPVNWLDNLPNDLKRKSFLLNNSHNREVEQIPDLTSNEIWDHISGEPVPSKTMHRTSDEQVEIALDTKRLSNKSTSGNCGPLQPLQRPGACMHNAAPKLFTEHPDHIYDCICVIKNQILALVPTASSNAWLPMYI >LPERR08G04910.2 pep chromosome:Lperr_V1.4:8:3968865:3976671:-1 gene:LPERR08G04910 transcript:LPERR08G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWWFSLPIFVGSQKRKMSDLGPQWSKDELLRFYEAYHRHGKNWKKVSASVGGKSADRVEALYSAHKTFLSLPEREGTAMGFVALVTGHHNVSDESKRHKEGDQTVRASRKTWTCGEATGKKERELPNAHRSYHERTYGLSSFKKRYYRDEMLTLDVLETLVTVPSEISEPKINIPSGILGKNDSALSLKRVEGTSSVKRSKQGKQVGECISKKRNKRRKKSIAEVVPAEEPNISNHLNLPEERQADATECALNFDPERGLVDLPESTANICSEVPDLTSQTKPEINMFRRSKRKSKRQCGSKYAISNRSDNLQARRLQHCLSSESLRRWCTYEWFYSAFDYPWFMDNDLVNYLNFANLSHLSRLTRSEWSTIKSSLGKPRRFSDHFLVVEKEKLEVYRKKVIVCHPMTRELSDGEVVMMEYDGYRVQFDRPDVGVDKVKDTECMPVNWLDNLPNDLKRKSFLLNNSHNREVEQIPDLTSNEIWDHISGEPVPSKTMHRTSDEQVEIALDTKRLSNKSTSGNCGPLQPLQRPGACMHNAAPKLFTEHPDHIYDCICVIKNQILALVPTASSNAWLPMYI >LPERR08G04920.1 pep chromosome:Lperr_V1.4:8:3977388:3977808:1 gene:LPERR08G04920 transcript:LPERR08G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSEASSPSSQPTSRAPIQPRVQGGSVDLVELGQPWGVGTVEVVIGRLAAINFEWYDPPTNSFLKELLGDLRDMVLSLRRAQKMMPFLVLMFAYGILF >LPERR08G04930.1 pep chromosome:Lperr_V1.4:8:3988821:3995766:1 gene:LPERR08G04930 transcript:LPERR08G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04930.2 pep chromosome:Lperr_V1.4:8:3988821:3995779:1 gene:LPERR08G04930 transcript:LPERR08G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04930.3 pep chromosome:Lperr_V1.4:8:3988821:3995766:1 gene:LPERR08G04930 transcript:LPERR08G04930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04930.4 pep chromosome:Lperr_V1.4:8:3988821:3995779:1 gene:LPERR08G04930 transcript:LPERR08G04930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04930.5 pep chromosome:Lperr_V1.4:8:3988865:3995766:1 gene:LPERR08G04930 transcript:LPERR08G04930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04930.6 pep chromosome:Lperr_V1.4:8:3988865:3995779:1 gene:LPERR08G04930 transcript:LPERR08G04930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTTAVAADSGDTMLHGRADAGGLVDEFESKSCSENVDGTGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISTIAAKHVGKPIPPLAAGAFPILSSPLAAIAAGRSPLDLAGAYGATGAGHHHEMFGAGDLLRSVSSAAVAGVHPLDVDKPMIVELAVAAMDELVQMARIDEPLWSSSSEEEGLGIGRMMLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNFNGALQVMSMEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGEPDYVALLPSGFAILPDGPSGNSQAVGENGSGSSSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCRESNPQ >LPERR08G04940.1 pep chromosome:Lperr_V1.4:8:3996408:4004501:-1 gene:LPERR08G04940 transcript:LPERR08G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGAVVERFRARLREEEVEVDGEGDGAAAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVSADQKLPSLYLLDSIVKNIAREYVGHFAARLQKVFCDAYRKVHRSQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATVSDIQQSESISPRPPHGIHVNPKYLEAQQQFKHTTSVHQPINRGNRQITDLEEDQVNGLTSKTSRGWPAANSKLQKSAMLYADDLDQQETFRSHTGGPLANSRRTMSRSPPLDVLPRNVSPKRAFERPPLSHSVLGPDPRRLPDRNGWFERPSMSILDEEYRKQSARELIDAYGNSQGKDIDERLPKMQRIDSNGMASRPSAQQWLTSEEEEYTWEDMSPTLTDRNRTDMPPLPLPGAMRAGFPGPNSVLRESDIVRHSWPAQAPRPAIDGPSLNFEDRIPANGLVDRATNRRYPGNFGVQNGALVDYQNSEHTVDPGRTTIPAPPWQQTIGQPLRVQAPQPAILNRKPLPTDSTYDALNVDIPLLEKHRSSPAHAPIEWQPLHTQPQIIHPHDTKHPRGAADSLDSRPFIGQGANSSVFVPQHQYDGLDRRTISTGDAAQPSYQHPDLLSLSQQNQGIVLGNQAQPHHPPQFHPHPHPHLQDTIRSFAPSMSVAPPQNVFQGQGGSAAVPPVPLMSSSFPVPPAVAPYGLPSIPGFPLPPLPSGAPPPSQIGPSSSQVGGPPFVSGLLSNLMQQGVISLQPPNQPQDSVGIDFKNVDLKVRKESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTACALCQEPFEDFYSDETEEWMYKGAVYMNSPDGNVDGLERTISVG >LPERR08G04940.2 pep chromosome:Lperr_V1.4:8:3996408:4004501:-1 gene:LPERR08G04940 transcript:LPERR08G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGAVVERFRARLREEEVEVDGEGDGAAAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVATVCSALLYPPHPGLLLGMSDFVYVSADQKLPSLYLLDSIVKNIAREYVGHFAARLQKVFCDAYRKVHRSQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATVSDIQQSESISPRPPHGIHVNPKYLEAQQQFKHTTSVHQPINRGNRQITDLEEDQVNGLTSKTSRGWPAANSKLQKSAMLYADDLDQQETFRSHTGGPLANSRRTMSRSPPLDVLPRNVSPKRAFERPPLSHSVLGPDPRRLPDRNGWFERPSMSILDEEYRKQSARELIDAYGNSQGKDIDERLPKMQRIDSNGMASRPSAQQWLTSEEEEYTWEDMSPTLTDRNRTDMPPLPLPGAMRAGFPGPNSVLRESDIVRHSWPAQAPRPAIDGPSLNFEDRIPANGLVDRATNRRYPGNFGVQNGALVDYQNSEHTVDPGRTTIPAPPWQQTIGQPLRVQAPQPAILNRKPLPTDSTYDALNVDIPLLEKHRSSPAHAPIEWQPLHTQPQIIHPHDTKHPRGAADSLDSRPFIGQGANSSVFVPQHQYDGLDRRTISTGDAAQPSYQHPDLLSLSQQNQGIVLGNQAQPHHPPQFHPHPHPHLQDTIRSFAPSMSVAPPQNVFQGQGGSAAVPPVPLMSSSFPVPPAVAPYGLPSIPGFPLPPLPSGAPPPSQIGPSSSQVGGPPFVSGLLSNLMQQGVISLQPPNQPQDSVGIDFKNVDLKVRKESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTACALCQEPFEDFYSDETEEWMYKGAVYMNSPDGNVDGLERTISVG >LPERR08G04950.1 pep chromosome:Lperr_V1.4:8:4011297:4015393:1 gene:LPERR08G04950 transcript:LPERR08G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLSPNQPSRHLLLSSPPFILAGTETSASVPFSLRKDEALKLISTVPETMLPAVKLSPGPVVFSGTNLRSRSASVPSVSSLKPSKFVVSSLRPLYLAPLDGPKSAEQKPQRQPLDFKCAASAADDKESSAEVVPRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKQ >LPERR08G04950.2 pep chromosome:Lperr_V1.4:8:4011253:4015393:1 gene:LPERR08G04950 transcript:LPERR08G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKLSPGPVVFSGTNLRSRSASVPSVSSLKPSKFVVSSLRPLYLAPLDGPKSAEQKPQRQPLDFKCAASAADDKESSAEVVPRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKQ >LPERR08G04960.1 pep chromosome:Lperr_V1.4:8:4016789:4017625:1 gene:LPERR08G04960 transcript:LPERR08G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMTPRCQLRAPPPPRAATTTPPAPSFTTPSRADPDELRSTWQHRAWTLAGATAVLSSVTTSATTTSPTSIAEPLAASLLAYTLADLATGVYHWLIDNYGSPTTPLLGPQIAAFQGHHAHPSTITRRDFSNNLHSLARAVAFLLPPIDAALAAFTPDAASAHAFVGVFAASVAMSQQFHAWAHENPRRLPAGVAALQRAGVLVSRAAHAAHHREPYDCNYCIVSGVWNPVLDRYRVFLALEMVVFFRTGVRPRSWDQPDAAWTEVHAGDADGDGESE >LPERR08G04970.1 pep chromosome:Lperr_V1.4:8:4018790:4020764:-1 gene:LPERR08G04970 transcript:LPERR08G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLKLLPWRRRANAAAAAGQALLHQRAPYLSESDDDPPFTRIADRQPRAASKKKEEEREAQGGGIRPPEPARSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVSAAAGRVGREGAASREEVLGEPLSEEEVAQLVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKIIYHNINIIILYRGRNYDPKQRPQIPLMLWKPLAPIYPRLVQNVVDGLTFEKTKELRNVGLNSPPLMKLTRNGVYVNVVERVREAFKAVEVVRLDCSHVGSSDCKKIGVKIRDLVPCVPILFKGEQIILWRGKVNGENSV >LPERR08G04980.1 pep chromosome:Lperr_V1.4:8:4034455:4050653:1 gene:LPERR08G04980 transcript:LPERR08G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPMDVCANDFFKAAMLDVPRDTRANKLGSNVTLVSVLQLPGLNTLGVSIARLDFAPLGLNPPHTHPRATEIFAVLEGTLYVGFVTSNPDNKLFSKVLNKGDVYVFPQGLIHFQFNPNPHKPAVAIAGFGSQNPGVITIANAVFGSNPPISDDILAKGFQAIALAPSPLQDFCVADLNSQVRVNGFACKNPTNVTADDFFMAAMLDKPRDTKANKVGSNITLINVMEIPGLNTLGISMVRVDYAPLGLNPPHTHPRSTEVFTVVEGTLYAGFVTSNPDNKLFSKVLNKGDVFVFPVGAIHFQFNLNPHKPAVAISALSSQNPGIITVANAVFRSKPLISDDILAKAFQAVASDHSPLQDFCVADMHSPVRVNGFPCLNPMDVNADHFFKAAMLDTLRNTKDSKVGSNVTLINVMQLPDYAPLGENPPHTHPRATEILTVLEGTLYVGFLTSNQNNMNKLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVKKGTIDWLQAQFWENNHY >LPERR08G04980.2 pep chromosome:Lperr_V1.4:8:4029305:4034535:1 gene:LPERR08G04980 transcript:LPERR08G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFFHLAVLIALVASQAMASDPSPLQDFCVADKNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPNGQNPPHTHPRATEILTVLEGSLYVGFVTSNQENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLSKAFQVDKKAVDWLQAQLHPVCVQEKMASPSFYFLLLTAILALVSWQALASDPSPLQDFCVADKDSKVLVNGFVCKDPKDVSVDDFFMAAKLDMPRDTKISKVGSNVTLINAMRLPGLNTLGISLARIDYAPLGENPPHAHPRATEILTVQEGTLYVGFVTSNQDNKLFSKVLNKGDVFVFPEGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLSKAFQVDKKTVDWLQAQFWADNHN >LPERR08G04990.1 pep chromosome:Lperr_V1.4:8:4062821:4073549:1 gene:LPERR08G04990 transcript:LPERR08G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNSVRYKNMRVNGFPCLNPMDVNADHFFKAAMLDKPKNTKDSKFGSNVTLINVMQLPGLNTLGISIARIDYAPLGENPPHTHPRATEIFTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFPAVAVAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQNIMASSSFLLLATLLALASWQGMASDPSPLQDFCVADMHSPVRVNGFPCLNPMDVNADHFFKAAMLDTPKNTKDSKVGSNVTLINVMQLPGLNTLGISIARIDYAPLVGLIHFQFNPNPHQPAVAIAALSSQNPGVITIANAVFGSTPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >LPERR08G04990.2 pep chromosome:Lperr_V1.4:8:4062821:4073548:1 gene:LPERR08G04990 transcript:LPERR08G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNSVRYKNMRVNGFPCLNPMDVNADHFFKAAMLDKPKNTKDSKFGSNVTLINVMQLPGLNTLGISIARIDYAPLGENPPHTHPRATEIFTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFPAVAVAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQNIMASSSFLLLATLLALASWQGMASDPSPLQDFCVADMHSPVRVNGFPCLNPMDVNADHFFKAAMLDTPKNTKDSKVGSNVTLINVMQLPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGVITIANAVFGSTPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >LPERR08G04990.3 pep chromosome:Lperr_V1.4:8:4054872:4073548:1 gene:LPERR08G04990 transcript:LPERR08G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDVIHLGDSNRAKIESQMASTSSFCLLAALLALISWQQAIASDPSPLQDFCVADMHSPVRVNGFPCLNPMDVNADHFFKAAMLDKPKNTKDSKFGSNVTLINVMQLPGLNTLGISIARIDYAPLGENPPHTHPRATEIFTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGVITIANAVFGSTPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >LPERR08G04990.4 pep chromosome:Lperr_V1.4:8:4054872:4062907:1 gene:LPERR08G04990 transcript:LPERR08G04990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDVIHLGDSNRAKIESQMASTSSFCLLAALLALISWQQAIASDPSPLQDFCVADMHSPVRVNGFPCLNPMEVNADHFFKAAMLDKPRNTKDSKVGSNVTLINVMQLPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFVFPEGLIHFQFNPNPHEPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >LPERR08G04990.5 pep chromosome:Lperr_V1.4:8:4050779:4054996:1 gene:LPERR08G04990 transcript:LPERR08G04990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKNSQSGHQSLMASTSSFCFLAALLALVSWQAIASDPSPLQDFCVADMHSPVRVNGFACLNPMDVNVDHFFKAAMLDTPRNTQDSKAGSNVTLINVMQLPSLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQNNMNKLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >LPERR08G05000.1 pep chromosome:Lperr_V1.4:8:4079039:4083702:-1 gene:LPERR08G05000 transcript:LPERR08G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQQQPGMAPPPPPPQAGAPGGAPPQWGAIPPPLPHQQYAPPPPHQHQGPPPPQMWGQAPAPAPPPPQQQQAAYGQAPPPPVMAQGGGGYYGAPAPAPAAGVAPVGPGEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTTRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDETPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQTRAMTEMNGMVCSSRPMRIGPAANKKATSVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDALKQVFTPYGDVVHVKIPVGKRCGFVQFANRASAEEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYAGYPNYQQPIAQQPQQQQL >LPERR08G05010.1 pep chromosome:Lperr_V1.4:8:4088358:4092390:-1 gene:LPERR08G05010 transcript:LPERR08G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLEEMAVQELKLQTEVGKKVLELRHELEWLRTFLRDADRKRRQPLAAAGGDDLIEVWVRQTRELAHDAEDLLEEFVHKGELHCHGCFDLPSFLRWLRHSAAGVFARHAIFDEIEDIKERIEGMKKQRKECNLEKLSCASKPHRKQYTDWSTLTELEIEDNLHVETEDYNKIKSWLLDKTPQTTVIALTGKSGIGKTTLASYLYRKSEIRKHFTCAVWVHVPQKFRFIDLLNDIVCQATATASSGDEAVGQNLRRTTTIAAAATVTAEHRHEGERLLKRSLEAAIQGERYLIVLDDVRSWEEWAFFLAVLPTGLPGSSVLVTTHLKFPEVPSSSASPIEQTVGEDGDVDGRGSCSSLHVWELKKLDSDQATKLFCQRMYGKVTPDKQKQLWDFVDSMTKGATLPLNVVMLAGLLRSKRADEWNGVLESLDGTMTTIGEPTREQKPEEAEEKKKRQARPQRPTSTERILTVCMDDLPPHLKPCFLYFAGFTAQTPIDAVKLLRLWVAEGFIQAKNGQTTEERGAECLKELISRCLVQLVETDAAGKVTTVSVHQAVLDFVQAEAHDTNFLHVHSTAAVTGISNCATRRLSLRNTYDADITVIIEAPKLHTLICDIPERATTTAGKPTIFQKLLEFINGRAPTFSVHGSKFLRVMDLKGVRMPNRETLPEEIGWLIHLRYLGLSHAASMKRLPNSINKLRNLQTLDVSHTDVEALPWRFWRNPTLRHVLARRLAVTSAPDESDVLPDLQTLHGVQWGRWARSGGAIEKMTSLRSLMARNVAAATGELLSALASLECLRSLYLEAVDDTEMILPMRDILAMLGLRQLQYLTLRGKVEAWNPLETTTTTLPVHHGHQYLIPNLAKLELHRSECDESLIDVLARLPNLAELLLDEASYIKPYMRFPIGGFAKLRKMQMTSLDKLTECTVVGEDDGGSHGGTALPLLQHVSVFHCGNLNKFPVKLPKLDLFTIHDSEELKKFMEADDKKHINVVHGRMPNRRRVVAPKER >LPERR08G05020.1 pep chromosome:Lperr_V1.4:8:4102203:4104498:1 gene:LPERR08G05020 transcript:LPERR08G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSKLTALEIEDNRVETQDYRDVETWLLDKTRQTMVIALTSKSGTGKTTLASYLYWKKDIRRHFTCFAWANAYSVSDYQQLDHTIIATNKRRCDDQVMEGEKLIKEKLAHALQGERYLIVLDDVQSLEGWPIKITGEDEEEPKQHGCYYLRTRELKRLNYSTGGCMLKIASSLPLNIVLLAGLLRSKKDNEWEGVIDSLDDALDADETQRQPSAPVQTLPKEQLKKNKWKGVIDSLDDTLSTIETRPQPTLEEQKKKTKQTARTSRFCLSRFWHIPTLRHVLARRIAVRSAPDKHDVLTDLQTLHGVPWNGRWARSGAAIGKMTNLRSLMAWNVVDVPGNKLLSALASLECLRLLDLETADENASFPLKGVLTMLGLRQLWKGGMEVGDSADSTIARPLPPPLLAPKPC >LPERR08G05030.1 pep chromosome:Lperr_V1.4:8:4105960:4109292:1 gene:LPERR08G05030 transcript:LPERR08G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKDHLSNRYGLKNEISSPISVLERHSGELHKAQVHLASDTSLENATKWEPENIKGIESDDAYVIANQLNLIDEQQGENYVSTLQVELQQTRDRVGKLEAERLSAKKQLDHLFKKLTEEKAAWRKREHKKVQAIFEDLKADLEHEKKNRRQLEKINLKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVREIDEQKAEIEGLKQDSLKLRSEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQQDVEAIIASFNDAKGDDTIVQTAKNIIESIESTREQEIKFTYEPPPASDDILSIIEELHPSEELETRETEHCRHSSPVHESENREDCPIDDVVLENPTKVYSNRSPYNDSEMGDSSSWETMSNEEMQGSSFSRNGSEPSVNKISDKISWTSGEDSEAGHDVNLSGELYLTDRKQLKKKESAIAKLWKSSPLKSCEIFNKDIVEMMNGRISNGRLSNGMHSSTEGTNQDAALSSPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMENQKIQLRHVLNQKT >LPERR08G05040.1 pep chromosome:Lperr_V1.4:8:4109754:4111474:-1 gene:LPERR08G05040 transcript:LPERR08G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTQESGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >LPERR08G05050.1 pep chromosome:Lperr_V1.4:8:4116610:4117965:1 gene:LPERR08G05050 transcript:LPERR08G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSADPQMTVHRDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTTDHPFGPGCHRTGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNFSRPLLHEAEWPGMADKETEHSKMPFIARVFMSFSSSSQNTFPEIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPMFKEFVFGADAPLAFFTDSLEILAAAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVMLADRLHLLVEDDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASAILFWQHICAVLSLSIYLVVYFRLLTI >LPERR08G05060.1 pep chromosome:Lperr_V1.4:8:4120723:4127375:-1 gene:LPERR08G05060 transcript:LPERR08G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAASSARLSSLLFRSISSSAPSSASSCSSRLVSVARPRAAFSRGGCCGALRAGGWSSSSGRVTSPVGGVRAQIGAVAPAVERFQRRMASSAAAAEHPFKTILTTLPKPGGGEYGKFYSLPSLNDPRIDKLPYSIRILLESAIRNCDNFQVTQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSTAIAENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSSEEIAQVVQSSVLPDMFKSTYESITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPDNVSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGLQN >LPERR08G05070.1 pep chromosome:Lperr_V1.4:8:4132460:4136264:-1 gene:LPERR08G05070 transcript:LPERR08G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDGKLETFQAKIFVTNELLKHVGDRWGLGGGGALGATPGEEHGTGEEGVPSEGKPRTEAEAEGAGVRCAEHGHPIRRAQAPRRRTAPSEARAHAKEAYPWFRCATSSVY >LPERR08G05080.1 pep chromosome:Lperr_V1.4:8:4136326:4136619:-1 gene:LPERR08G05080 transcript:LPERR08G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLPSSPVPIPVPETAAAAPERDWSMFPIDELSVIFGFISSIDILTGAGLVCRSWRRVAMLPHLWRRIDMMMIPSKKKRVLISWSIGGGRPRDGG >LPERR08G05090.1 pep chromosome:Lperr_V1.4:8:4140062:4141522:1 gene:LPERR08G05090 transcript:LPERR08G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAPPPPPETETTRYWSSLPADALAVVLSKVCCAWEILTGPDKVCHSWQDAAKQEPHLYRRVQIAWLIPCTTDDCWTQSDRLQSTRHRQVLHGLVRKLEFHNGCMRFMAKDAVDRSDGRIQVFAASHFVNDKLLMKIGDRSHYLTYEPYCRFMRARFIKFITNCPLLETLVLRGCVNVGGNACEVTSKTCQRMRRLELRRRGGCGGSQHCRLLGIAAMHQLRHLSLDECADLGDDELTAIVHACPCLEFLDLYVHDALRAKCARIKTVELPYYYDDEDDDDEDEDEEDNVE >LPERR08G05100.1 pep chromosome:Lperr_V1.4:8:4147149:4157275:1 gene:LPERR08G05100 transcript:LPERR08G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPQRRPTLIIRPVSSASGRGLTQHPRDRPNRKSKRSISLHTEVTSPSGYAPRITAELSIQEREHINSDEETFDTYNRLLQTEATQWKELDTTETDLSGYVSSSSMIKVDAAGESKLDTLEDDLPTNLLNNATIGKVDVVGEAGAKEYEFEVDLSTLRNVAIRKMDAVEETETGNDLFEVDLSLLHSTAVGKVDVVDGTKAKEDLLEMDSLALHYVAMGKLDAVDATGAEGDIFEVDLSAVASNNSLEETVNVMDKAKAIEDTLQVDFLGNATSSSTYGEVAAIDDVWRNEVKLEVDTLGNTSSTAKYGSVDGVYESWFDEVTFKVDLSENVSNNTTYGRTDVMDESSADDDTFEVDFLRNASSSSEYGKVDVVDEAHTDSFTSEVVLPEDDSNNTMHGKIHVVSEAWDDEAIFEADLFGNVYNEIYGKVNVFNEAQADDATSEVDLLGNAQTSEATFEVDLFGKALSSAINKEVVVMSEPQDSEVNIGLSRNASRTEIEKEANVFDEARVEDETFDMHLLGKVITTDYADEDVVEEGTKHHKYPILSSKSIESKTTDETPISLKPKLVSVVKVQEQDKPILSVYQQEGSVFNLHAKNQPMVDFHEHEQMVTTFDEHKESVAKLSKEGQRIASLPQPSLSIDDFQRKNQPTTEFPFQLQSIVSSPAKDQSIVGFYGQNQSIISSHKQEKSIMGVPKKIQSIVGSTKHDHSIVSFHEQERSIVSVPEKKQAIVGFRKQDLSIVAVSEQNLSIVSISRENQSKQVHIVQRHGPLHLKEVEPKAEEDNLPHMLFKEELLQVEDESRVIAYENQHKADVISLSPDIQESPQDNIDPEELRRMIQELADQNCSMGNKLFIFPESVKANSTIDLYLNRSLSALANEPDVHIKGGFNSWRWKPFTERLHKSELGGDWWSCKLQIPKEAYRLDFVFFNGRLVYDNNDNNDFVLQVESKMDEDSFEKFLIEEKKRELERLASEEAERKRLAEEQQRMGEEMVAEQAARAQAKKEVELKKNKLQNMLSSARTYVDNLWHIEPSTYGQGDTVRLYYNRSSRPLMHSGEIWMHGGCNSWTDGLSIVERLVECDDEDGDWWYANVNIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNVMTTEEFWVEEEQCIYRRLLQEWRERVEAVKRKAEKRAKLKSEMKEKSMRMFLLSQKHIVYTEPLELRAGTTVDVLYNPSKTVLNGKPEVWFRWSFNRWMHGVSPPKKMVKAEDGCHLKATVSVPLDAYMMDFVFSELEEGGIYDNRNGTDYHIPVYGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHNVEVILPKYNFMNQSNVKNLHVRQSFSWGGTEIKVWHGLVEDLSVYFLEPQNGMFGVGSVYGGNDAGRFGFFCRSALEFLLQSGSSPYIIHCHDWSSAPVAWLYKEHYANSRLASARIVFTIHNLEFGAHHIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPVHYTSENVVEGKSAAKRALQQRLGLQQTDVPIVGIITRLTAQKGIHLIKHAIHRTLERNGQVVLLGSAPDPRIQGDFCRLADSLHGENHGRVKLCLTYDEPLSHMIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVQGLEPNGFSFDGADSNEQSLLGLKPVIGSTPSAKG >LPERR08G05110.1 pep chromosome:Lperr_V1.4:8:4159470:4162044:1 gene:LPERR08G05110 transcript:LPERR08G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFKMEHPIERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSTIYEENKDEDGFLYMTYSGENTFGLFF >LPERR08G05120.1 pep chromosome:Lperr_V1.4:8:4167653:4173502:-1 gene:LPERR08G05120 transcript:LPERR08G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEERRGMGVKRKAIERHLWLALSRSTSFGPFHLLPPHRDADPPLHSPLNTNSSSSSSPSAATPPPLEGMASGGEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKVKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLERSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYDDEDKTTVIELTYNYGVTEYSKGNAYAQVAIGTEDVYKSAEAVELVSKELGGKILRQPGPLPGLNTKIASFLDPDGWKVVLVDNSDFLKELQVFSGTDK >LPERR08G05130.1 pep chromosome:Lperr_V1.4:8:4177522:4183234:1 gene:LPERR08G05130 transcript:LPERR08G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAASIGGARSPLSFSSSLCNAKVSCGLAVHNVKIKSSRRLEVVCRGMLTTRKFMQKKKKKEEVFKDAADEAEQKNWRMMMREIEESGSAVNILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRSKQYRKTEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFALMSEREIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRQSYNILIDAFAISGLVEEAHTVFKTMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTTEEQEEANELTGNCAVQLEAKPNGTTHNLEINDIGNKHGQTDGGLHTLLNGACTTSQINGKVEAEKGHFEEVDDDNDDDDFDEEDDDELNFVSFKDKRELNFAT >LPERR08G05130.2 pep chromosome:Lperr_V1.4:8:4177522:4183234:1 gene:LPERR08G05130 transcript:LPERR08G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAASIGGARSPLSFSSSLCNAKVSCGLAVHNVKIKSSRRLEVVCRGMLTTRKFMQKKKKKEEVFKDAADEAEQKNWRMMMREIEESGSAVNILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRSKQYRKTEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFALMSEREIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRQSYNILIDAFAISGLVEEAHTVFKTMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTTEEQEEANELTGNCAVQLEAKPNGTTHNLEINDIGNKHGQTDGGLHTLLNGACTTSQINGKVEAEKGHFEEVDDDNDDDDFDEEDDDELNFVSFKDKRELNFAT >LPERR08G05140.1 pep chromosome:Lperr_V1.4:8:4185966:4186193:1 gene:LPERR08G05140 transcript:LPERR08G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPSKLSSIIRRTRLPRRLDTIMEDDKEAMESPRAPSHSTSYAKKTGDASMHCTKKGVFLASMMKAGCLKIKA >LPERR08G05150.1 pep chromosome:Lperr_V1.4:8:4202850:4205021:1 gene:LPERR08G05150 transcript:LPERR08G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHHHHWADARVGVGPLIRKPTNPNPKRHHRPIPNGIPPPPADPSPSPRRVTFRPSEMSRREAHALRARLTGELSRVRALLSHIDGWEMDRRPAAAQRGHVSPPPALQAAMRKRCAQILTRLRKQKTSVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEPFAADVRLTFSNALRYNPPDHHVHRYAGNLLATFEGLYKEAVAWFEEECQRLEPPMPPPPLLQPPAVPMPVQAPPRIGNSGGRRPKPKARDPNKREMSDDEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEEVELDFDEMDVETLWELDRFVVNWKKALNKSQRTVAMNGDAADSTAIVPIEDDVVQVNVHQAAVVDIGYLENDMLEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQAVSSSSGSESGSSASSDSDSRSSGDSDSDGDDAQSPD >LPERR08G05160.1 pep chromosome:Lperr_V1.4:8:4209633:4213937:-1 gene:LPERR08G05160 transcript:LPERR08G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTKKSVAVAPAAAAAVLAKGKGGKKREAEDEIEKAVSAKKQKAAPPAKVVPPSKEDAKKAKKQPPPKKVESSSSSEEEESEEESSESEEEVKVQPKKAAKPVKQESSDESSDEESSDEDAKPAAPVANNGSKKGKPESSSSESESDDESDEDEKPAAPLKKPSVTPAQKQKDDSDSSESGSDESDSDEDVPAKSKMPAVAAKKEDSTDGSESESDSEDEEETKKPAQPAKKTAPASAAKRKEESSDSSESDESDEEPPQKKQKDAVPSTAAKAAKGVSKKESSSDDEDDSGSEESSEEESDEEDEKLAKTPKKEGPASTKSQNEPKTPASNQSQGTESATLFVGNLPFNIDSDQVKEFFQEVAEVADVRLATHEDGTPRGFGHVEFASPEDAKKALEMNGKDLMGRQVRLDLARERGAYTPQSRNGAGSFQKQNRGGQSIFIKGFDSSLEESKIKESLEGHFAECGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPREDNRSGGGGRSFGGRSGDRFGRRGGRDGGRFGGRDGGRRGGGRSGRDGGRRGGGRGFQSRQSAGTASAGKRTTFGED >LPERR08G05170.1 pep chromosome:Lperr_V1.4:8:4215585:4217036:-1 gene:LPERR08G05170 transcript:LPERR08G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLTRKLNQFTCGLSPPSPSDDDDNLHAAGAMLAAPFLRRRQTPNTSTTTHHPYRQLLSIDNNDGDDDEVEKAKKAETETTADEETRLPCLAFPSLDGYKIFSLSEKRVFDGGDVRIPMACRRRYVASPYGGKVFVTDLNWRYSSRLVDPFSGEVTSLPDLPLPFSETEPTPCADDEPRRAVATRVTDDAFAWDFSPRGAILIARGDTAFSFDLSGAGGVTSWRPVHRSRSESAMTVNYRDGFFFVLERHSLRTTVIDAGTMTPAASIAPPPCRHDIDDAYLVASTDDVLLLVRRRRRDDVTTTTNREVFTHVYRARHNKCRKLSSSSSAPEWEAVTDIGDRAAFITRSHGFTIGSNHGGDELKMIQRNCVYVVTIGMERDDKRRLVVRHRIGVVRLMNTKLLPVPGLEGDVSSCLHERMLGMPHWIFRNSNDVPPPPSPSPEKDDDVPPVLYDDGGLPPVYDDDASSPSTEEPECLCYFC >LPERR08G05180.1 pep chromosome:Lperr_V1.4:8:4220614:4223919:-1 gene:LPERR08G05180 transcript:LPERR08G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRYHADPPTALIYVRRFTVDPLLPPRSTAAEVSTSPISVCRSNTAVAFSSPIRCRVDLPTPQATDRGWDVPTSTSPPCCTRLGQRTTLSNWHAYCVTAFNEQIWLVVGY >LPERR08G05190.1 pep chromosome:Lperr_V1.4:8:4223557:4224048:1 gene:LPERR08G05190 transcript:LPERR08G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGEVGVPNLLVEGSNAVGVPVAQCRPLCDFMKSTSKTRAAWRAGGRRDIPSPISGLWRRQIDSAADWRGKGHGGVGSADRDRRGGDLGCGRSWRQQRIDGESADVDQCCRRIGVIADRHDGESARRMPRLRQIVEEEAADRWQIGTRKEQGRGRKERRLRE >LPERR08G05200.1 pep chromosome:Lperr_V1.4:8:4225402:4267674:-1 gene:LPERR08G05200 transcript:LPERR08G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVACDEPCFGATSTYLSMNSPSRLPVWLRYRRNAFDDLAREAVRRSAGRCEAFWSKKGGDDDVLFLLAEKAPYLRSIRLIESSYVSEEGVAAIIQSCPLLETLDVPGCHEILRENNGILRGTKCSMRDTDLYNGEESDSDYGDDDFTGPPSPWSSMCFDALYYDRMNDFIDMDYFFEPYRYYLYGIHVTEFDDEQDYRMLDKGNRRTFHLALPSPNPNPTQPPIMPSSRRRRGRGAHRRRRKAAMVAAAEERDWAGLPVDAILAVFHRLDHLGILMAADQVCAAVRRSAGLCEAFCGEFAGDDSFLMYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCDNVTHEAYVAIGAACPQFKRFRLSKESFHDSPCTRWKNDQDALAIADMHGLRSLQLFANNITNEGLSAILDNCPQLESLDIRHCFNVDMGEAMDDSLRAKCARIKMLRTPTDSTDDYDFPVYPPTMIPLSPRSSIEWYTDLESMYSEESEYDDDDDYNPSYEDDLDKYVLLLRKMEVESNPLPMLPARDWSELPVDALAAIFKKLGTVEILMGAGLVCHSWLAAARLPELYRCVDMTRHNVIVTKDAGAICKMAKLAIDRSDGQMAPSLKSIRLIACEYWDSSLIILAAKCPLLEEIEYSYQDRPLPASFFRLIGRVCPQLKRLRVQMKWYHTDVVWKIKMQDLLRLDWNVDPIEPPHAREARHNEQAFAIAETLHELQLLQIAGNSLTNKGVYAILEGCPNLECLDLSDCFNADVDEEFRARCAKVKRVWLPPLRWPYAHRRDLHFIGEDDGFVLHDLGEAVSLALRAKSAKEDALYGNNYWEDYSSPSSPDEPSSPDLRNITCGRRRSGRGHGSGPRESRDWRELPPEAISAVLRGLGDHVNILTGAGQVCRSWRRAARDDPGLWRRIDMRGHAALKVDLHGMARAAVRRSRGQCEAFWGEGAGDDRFLLFLGDAAPALKSLRLICCYGVSSEGFGEAIKKFPLLEELELSLTVNVFGKNVFEKVGKSCPHLKRFRLSEHGFHYFEDNMKDDEALGISTMTRLRSLQIFGNNITNKGLLAILDNCLHLESLDIRHCFNVFMDDTLRAKCARIKALRLPDDSTDDYEFHIFSPVLMDSGNSSDDLDDDYMVPGLEFVVYTEENECLDDDINEDELDDEARMMLNGLRALLIQLRASKIPESQFTALSNGLKYVLAPILLHEFWIRGHPKLLLKSNLELLFRHDFYLHHVKQNLVLSNCGFGSGNVYELIAKLCPQLKRLEVRRYSDNCPSFVVDEPLGIAMMVQLRQLTLMGMYIGNNELSAILDGCPHLELLDVSECYWLDIDEAVRNKCARINTLKLPFSCDGEGHYDDYERYDQTYDYLHEEYGDYFMPAAAAPPNPSSGRRRRGRRGLGRGRKEARDWGSGLPLEAILAVFHKLDHIEILMGAGQVCRSWRRAARDVPRLWRRIDMRGHADLSFELNLFGMAQEAVRRSAGLCEAFWGEYAADEDLLHFLGERAPFLRSLRLISCFDILDEGFSVAVTKFPLLEELELSLCDNIGENNVFKAVGKACPQLKRFRLSKRCFYSFEHSEYNKDEEALGIATMHELRSLQLFANNLSNEGLTAILDNCPHLESLDIRHCFNVSVDDTLRAKCARIKTLRLPHDSTDDYDFQVDRPIWSHVDDYSDSDEYDCDGTLQRPNPTYLARGSFPEPPTAAAAVSGEGLVCRSWLAAAKLPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGQMESFLAQKFVTSELLDYIACRGSSLKRIRLIDCRIFWEESLAKLATQCPLLEEIECSENKVSSDSLEYIGRVRPQLKCLRILMQWFDDDAMEHEMGQEMMHDDSDEEDDEDNDEDDEEDKDEDEDKDEKGEGEGEEDEDEDDNMEEEEDGNKMKQDAKKDEDEDMDDEEEEDENMGQDEDEDDEESDDEWEAWKNKDAFAIAETMHELRILQISELCFIDEDEGKDYGITMEYLWENEVRSLESDVIHAETDNGSYGDYYEDYSSPESCADSKNIYYDDLNPLVCMMEVDPIPIPDTDRDWSELPVDALSAIFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTHILCAMAKVAIDRSNGQMVSFWAQKFVTCELLDYIVRRTISTLRSIRLIACISVWGESLATLAANCPLLEEIECSFHKMSAAFFEYVGSVRPQLKCLRIHMPYFDYDEMEHEMKEHYNEDEDEDDEEHEEPFEQWEARQNEEAFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCTKIKHVRLPGQWPCVHCPDLHVIGEGLTMHDLVGM >LPERR08G05200.2 pep chromosome:Lperr_V1.4:8:4225402:4267674:-1 gene:LPERR08G05200 transcript:LPERR08G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVACDEPCFGATSTYLSMNSPSRLPVWLRYRRNAFDDLAREAVRRSAGRCEAFWSKKGGDDDVLFLLAEKAPYLRSIRLIESSYVSEEGVAAIIQSCPLLETLDVPGCHEILRENNGILRGTKCSMRDTDLYNGEESDSDYGDDDFTGPPSPWSSMCFDALYYDRMNDFIDMDYFFEPYRYYLYGIHVTEFDDEQDYRMLDKGNRRTFHLALPSPNPNPTQPPIMPSSRRRRGRGAHRRRRKAAMVAAAEERDWAGLPVDAILAVFHRLDHLGILMAADQVCAAVRRSAGLCEAFCGEFAGDDSFLMYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCDNVTHEAYVAIGAACPQFKRFRLSKESFHDSPCTRWKNDQDALAIADMHGLRSLQLFANNITNEGLSAILDNCPQLESLDIRHCFNVDMGEAMDDSLRAKCARIKMLRTPTDSTDDYDFPVYPPTMIPLSPRSSIEWYTDLESMYSEESEYDDDDDYNPSYEDDLDKYVLLLRKMEVESNPLPMLPARDWSELPVDALAAIFKKLGTVEILMGAGLVCHSWLAAARLPELYRCVDMTRHNVIVTKDAGAICKMAKLAIDRSDGQMAPSLKSIRLIACEYWDSSLIILAAKCPLLEEIEYSYQDRPLPASFFRLIGRVCPQLKRLRVQMKWYHTDVVWKIKMQDLLRLDWNVDPIEPPHAREARHNEQAFAIAETLHELQLLQIAGNSLTNKGVYAILEGCPNLECLDLSDCFNADVDEEFRARCAKVKRVWLPPLRWPYAHRRDLHFIGEDDGFVLHDLGEAVSLALRAKSAKEDALYGNNYWEDYSSPSSPDEPSSPDLRNITCGRRRSGRGHGSGPRESRDWRELPPEAISAVLRGLGDHVNILTGAGQVCRSWRRAARDDPGLWRRIDMRGHAALKVDLHGMARAAVRRSRGQCEAFWGEGAGDDRFLLFLGDAAPALKSLRLICCYGVSSEGFGEAIKKFPLLEELELSLTVNVFGKNVFEKVGKSCPHLKRFRLSEHGFHYFEDNMKDDEALGISTMTRLRSLQIFGNNITNKGLLAILDNCLHLESLDIRHCFNVFMDDTLRAKCARIKALRLPDDSTDDYEFHIFSPVLMDSGNSSDDLDDDYMVPGLEFVVYTEENECLDDDINEDELDDEARMMLNGLRALLIQLRASKIPESQFTALSNGLKYVLAPILLHEFWIRGHPKLLLKSNLELLFRHDFYLHHVKQNLVLSNCGFGSGNVYELIAKLCPQLKRLEVRRYSDNCPSFVVDEPLGIAMMVQLRQLTLMGMYIGNNELSAILDGCPHLELLDVSECYWLDIDEAVRNKCARINTLKLPFSCDGEGHYDDYERYDQTYDYLHEEYGDYFMPAAAAPPNPSSGRRRRGRRGLGRGRKEARDWGSGLPLEAILAVFHKLDHIEILMGAGQVCRSWRRAARDVPRLWRRIDMRGHADLSFELNLFGMAQEAVRRSAGLCEAFWGEYAADEDLLHFLGERAPFLRSLRLISCFDILDEGFSVAVTKFPLLEELELSLCDNIGENNVFKAVGKACPQLKRFRLSKRCFYSFEHSEYNKDEEALGIATMHELRSLQLFANNLSNEGLTAILDNCPHLESLDIRHCFNVSVDDTLRAKCARIKTLRLPHDSTDDYDFQVDRPIWSHVDDYSDSDEYDCVPVDIMELEPCTLPMPPARDWSELSTDALSSIFMKLGNIEVLMGAGLVCRSWLAAAKLPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGQMESFLAQKFVTSELLDYIACRGSSLKRIRLIDCRIFWEESLAKLATQCPLLEEIECSENKVSSDSLEYIGRVRPQLKCLRILMQWFDDDAMEHEMGQEMMHDDSDEEDDEDNDEDDEEDKDEDEDKDEKGEGEGEEDEDEDDNMEEEEDGNKMKQDAKKDEDEDMDDEEEEDENMGQDEDEDDEESDDEWEAWKNKDAFAIAETMHELRILQISELCFIDEDEGKDYGITMEYLWENEVRSLESDVIHAETDNGSYGDYYEDYSSPESCADSKNIYYDDLNPLVCMMEVDPIPIPDTDRDWSELPVDALSAIFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTHILCAMAKVAIDRSNGQMVSFWAQKFVTCELLDYIVRRTISTLRSIRLIACISVWGESLATLAANCPLLEEIECSFHKMSAAFFEYVGSVRPQLKCLRIHMPYFDYDEMEHEMKEHYNEDEDEDDEEHEEPFEQWEARQNEEAFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCTKIKHVRLPGQWPCVHCPDLHVIGEGLTMHDLVGM >LPERR08G05200.3 pep chromosome:Lperr_V1.4:8:4225402:4267674:-1 gene:LPERR08G05200 transcript:LPERR08G05200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVACDEPCFGATSTYLSMNSPSRLPVWLRYRRNAFDDLAREAVRRSAGRCEAFWSKKGGDDDVLFLLAEKAPYLRSIRLIESSYVSEEGVAAIIQSCPLLETLDVPGCHEILRENNGILRGTKCSMRDTDLYNGEESDSDYGDDDFTGPPSPWSSMCFDALYYDRMNDFIDMDYFFEPYRYYLYGIHVTEFDDEQDYRMLDKGNRRTFHLALPSPNPNPTQPPIMPSSRRRRGRGAHRRRRKAAMVAAAEERDWAGLPVDAILAVFHRLDHLGILMAADQVCAAVRRSAGLCEAFCGEFAGDDSFLMYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCDNVTHEAYVAIGAACPQFKRFRLSKESFHDSPCTRWKNDQDALAIADMHGLRSLQLFANNITNEGLSAILDNCPQLESLDIRHCFNVDMGEAMDDSLRAKCARIKMLRTPTDSTDDYDFPVYPPTMIPLSPRSSIEWYTDLESMYSEESEYDDDDDYNPSYEDDLDKYVLLLRKMEVESNPLPMLPARDWSELPVDALAAIFKKLGTVEILMGAGLVCHSWLAAARLPELYRCVDMTRHNVIVTKDAGAICKMAKLAIDRSDGQMAPSLKSIRLIACEYWDSSLIILAAKCPLLEEIEYSYQDRPLPASFFRLIGRVCPQLKRLRVQMKWYHTDVVWKIKMQDLLRLDWNVDPIEPPHAREARHNEQAFAIAETLHELQLLQIAGNSLTNKGVYAILEGCPNLECLDLSDCFNADVDEEFRARCAKVKRVWLPPLRWPYAHRRDLHFIGEDDGFVLHDLGEAVSLALRAKSAKEDALYGNNYWEDYSSPSSPDEPSSPDLRNITCGRRRSGRGHGSGPRESRDWRELPPEAISAVLRGLGDHVNILTGAGQVCRSWRRAARDDPGLWRRIDMRGHAALKVDLHGMARAAVRRSRGQCEAFWGEGAGDDRFLLFLGDAAPALKSLRLICCYGVSSEGFGEAIKKFPLLEELELSLTVNVFGKNVFEKVGKSCPHLKRFRLSEHGFHYFEDNMKDDEALGISTMTRLRSLQIFGNNITNKGLLAILDNCLHLESLDIRHCFNVFMDDTLRAKCARIKALRLPDDSTDDYEFHIFSPVLMDSGNSSDDLDDDYMVPGLEFVVYTEENECLDDDINEDELDDEARMMLNGLRALLIQLRASKIPESQFTALSNGLKGHPKLLLKSNLELLFRHDFYLHHVKQNLVLSNCGFGSGNVYELIAKLCPQLKRLEVRRYSDNCPSFVVDEPLGIAMMVQLRQLTLMGMYIGNNELSAILDGCPHLELLDVSECYWLDIDEAVRNKCARINTLKLPFSCDGEGHYDDYERYDQTYDYLHEEYGDYFMPAAAAPPNPSSGRRRRGRRGLGRGRKEARDWGSGLPLEAILAVFHKLDHIEILMGAGQVCRSWRRAARDVPRLWRRIDMRGHADLSFELNLFGMAQEAVRRSAGLCEAFWGEYAADEDLLHFLGERAPFLRSLRLISCFDILDEGFSVAVTKFPLLEELELSLCDNIGENNVFKAVGKACPQLKRFRLSKRCFYSFEHSEYNKDEEALGIATMHELRSLQLFANNLSNEGLTAILDNCPHLESLDIRHCFNVSVDDTLRAKCARIKTLRLPHDSTDDYDFQVDRPIWSHVDDYSDSDEYDCVPVDIMELEPCTLPMPPARDWSELSTDALSSIFMKLGNIEVLMGAGLVCRSWLAAAKLPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGQMESFLAQKFVTSELLDYIACRGSSLKRIRLIDCRIFWEESLAKLATQCPLLEEIECSENKVSSDSLEYIGRVRPQLKCLRILMQWFDDDAMEHEMGQEMMHDDSDEEDDEDNDEDDEEDKDEDEDKDEKGEGEGEEDEDEDDNMEEEEDGNKMKQDAKKDEDEDMDDEEEEDENMGQDEDEDDEESDDEWEAWKNKDAFAIAETMHELRILQISELCFIDEDEGKDYGITMEYLWENEVRSLESDVIHAETDNGSYGDYYEDYSSPESCADSKNIYYDDLNPLVCMMEVDPIPIPDTDRDWSELPVDALSAIFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTHILCAMAKVAIDRSNGQMVSFWAQKFVTCELLDYIVRRTISTLRSIRLIACISVWGESLATLAANCPLLEEIECSFHKMSAAFFEYVGSVRPQLKCLRIHMPYFDYDEMEHEMKEHYNEDEDEDDEEHEEPFEQWEARQNEEAFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCTKIKHVRLPGQWPCVHCPDLHVIGEGLTMHDLVGM >LPERR08G05200.4 pep chromosome:Lperr_V1.4:8:4225402:4267674:-1 gene:LPERR08G05200 transcript:LPERR08G05200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVACDEPCFGATSTYLSMNSPSRLPVWLRYRRNAFDDLAREAVRRSAGRCEAFWSKKGGDDDVLFLLAEKAPYLRSIRLIESSYVSEEGVAAIIQSCPLLETLDVPGCHEILRENNGILRGTKCSMRDTDLYNGEESDSDYGDDDFTGPPSPWSSMCFDALYYDRMNDFIDMDYFFEPYRYYLYGIHVTEFDDEQDYRMLDKGNRRTFHLALPSPNPNPTQPPIMPSSRRRRGRGAHRRRRKAAMVAAAEERDWAGLPVDAILAVFHRLDHLGILMAADQVCAAVRRSAGLCEAFCGEFAGDDSFLMYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCDNVTHEAYVAIGAACPQFKRFRLSKESFHDSPCTRWKNDQDALAIADMHGLRSLQLFANNITNEGLSAILDNCPQLESLDIRHCFNVDMGEAMDDSLRAKCARIKMLRTPTDSTDDYDFPVYPPTMIPLSPRSSIEWYTDLESMYSEESEYDDDDDYNPSYEDDLDKYVLLLRKMEVESNPLPMLPARDWSELPVDALAAIFKKLGTVEILMGAGLVCHSWLAAARLPELYRCVDMTRHNVIVTKDAGAICKMAKLAIDRSDGQMAPSLKSIRLIACEYWDSSLIILAAKCPLLEEIEYSYQDRPLPASFFRLIGRVCPQLKRLRVQMKWYHTDVVWKIKMQDLLRLDWNVDPIEPPHAREARHNEQAFAIAETLHELQLLQIAGNSLTNKGVYAILEGCPNLECLDLSDCFNADVDEEFRARCAKVKRVWLPPLRWPYAHRRDLHFIGEDDGFVLHDLGEAVSLALRAKSAKEDALYGNNYWEDYSSPSSPDEPSSPDLRNITCGRRRSGRGHGSGPRESRDWRELPPEAISAVLRGLGDHVNILTGAGQVCRSWRRAARDDPGLWRRIDMRGHAALKVDLHGMARAAVRRSRGQCEAFWGEGAGDDRFLLFLGDAAPALKSLRLICCYGVSSEGFGEAIKKFPLLEELELSLTVNVFGKNVFEKVGKSCPHLKRFRLSEHGFHYFEDNMKDDEALGISTMTRLRSLQIFGNNITNKGLLAILDNCLHLESLDIRHCFNVFMDDTLRAKCARIKALRLPDDSTDDYEFHIFSPVLMDSGNSSDDLDDDYMVPGLEFVVYTEENECLDDDINEDELDDEARMMLNGLRALLIQLRASKIPESQFTALSNGLNNCGFGSGNVYELIAKLCPQLKRLEVRRYSDNCPSFVVDEPLGIAMMVQLRQLTLMGMYIGNNELSAILDGCPHLELLDVSECYWLDIDEAVRNKCARINTLKLPFSCDGEGHYDDYERYDQTYDYLHEEYGDYFMPAAAAPPNPSSGRRRRGRRGLGRGRKEARDWGSGLPLEAILAVFHKLDHIEILMGAGQVCRSWRRAARDVPRLWRRIDMRGHADLSFELNLFGMAQEAVRRSAGLCEAFWGEYAADEDLLHFLGERAPFLRSLRLISCFDILDEGFSVAVTKFPLLEELELSLCDNIGENNVFKAVGKACPQLKRFRLSKRCFYSFEHSEYNKDEEALGIATMHELRSLQLFANNLSNEGLTAILDNCPHLESLDIRHCFNVSVDDTLRAKCARIKTLRLPHDSTDDYDFQVDRPIWSHVDDYSDSDEYDCVPVDIMELEPCTLPMPPARDWSELSTDALSSIFMKLGNIEVLMGAGLVCRSWLAAAKLPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGQMESFLAQKFVTSELLDYIACRGSSLKRIRLIDCRIFWEESLAKLATQCPLLEEIECSENKVSSDSLEYIGRVRPQLKCLRILMQWFDDDAMEHEMGQEMMHDDSDEEDDEDNDEDDEEDKDEDEDKDEKGEGEGEEDEDEDDNMEEEEDGNKMKQDAKKDEDEDMDDEEEEDENMGQDEDEDDEESDDEWEAWKNKDAFAIAETMHELRILQISELCFIDEDEGKDYGITMEYLWENEVRSLESDVIHAETDNGSYGDYYEDYSSPESCADSKNIYYDDLNPLVCMMEVDPIPIPDTDRDWSELPVDALSAIFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTHILCAMAKVAIDRSNGQMVSFWAQKFVTCELLDYIVRRTISTLRSIRLIACISVWGESLATLAANCPLLEEIECSFHKMSAAFFEYVGSVRPQLKCLRIHMPYFDYDEMEHEMKEHYNEDEDEDDEEHEEPFEQWEARQNEEAFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCTKIKHVRLPGQWPCVHCPDLHVIGEGLTMHDLVGM >LPERR08G05210.1 pep chromosome:Lperr_V1.4:8:4267699:4286517:-1 gene:LPERR08G05210 transcript:LPERR08G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGMDRYHLTTSISRRLRNGAAVTFHDHVTVFNSIQWPPERDWSDLPTDLLCSVFRRLGHFELLTGGAAATCRSWRRAARGEPELWRRVDEREFGKYYWRVRRMAAKRVIVGDLHTLTRAAVKFSGGQCEAYRGEFVDDDLLPFLSEKEVLEVIASSCPQLKHFGHTEVRLRWYTYDNPEILDDRAAMAISRMTELRSLQLSCHNLTNEGLAMIIDNCPHLENLDIRLSSIIDIDATMRAKYYHMIKTKKLKMYMVNSEYDLELMPDKPVSMCSTCLRMPYDIDDEGFSDYNCDIVSDDDDDDDDDASYYLSQSDETNFEECDGRLNKSIHRAHRQKRKENKIKEREEENRRACLNLSPRRVQRHGLPAGEGLVGGSELPMDAILQILHKLDHVNILMGAGLACRSWRAAARDEPSLWRRINMRGFANLPWRLRRDSGVIGEFLRSAPCLRSLRLISCFWVTEQGVAAIIKKCPLLETLDTPGCERIDRHADTLRAKELISIRAVHGWRWICREDDKDFTGPPCRGTCCYWLCGGCCCSDSNDDDNGQLDEYDDLLSLLGYGRYFYGIHETEFDDDQDYRMIDKGDRRDWADGLLMDVITHILGKLDTVELLLGGTAAACRSWRRAARDEPSLWHRCYPFSAPSLKSLRLTLCHDISSEAFAAAISKFHLLEELEVSSCQRIEHNGLPELVAKSCPKIKHFRYTRARQSYSGYNISNPVNDRGALAIASMRELRSLHLFRDNLTNQGLMAILDNCPYLESLDLRICGNLTMDATLEARCSMIKTKSIHQCNPDDEDEDFQQGSPVNFCSPDMDSDSDLGDILGIEEYDDQYDCFYTVPLAVFHDMYFPEEPVPDAILQILHKLDHVDILMGAGLACRSWRAAARDEPSLWRRIDMRGFARLPWEMRRGRGAVGEMAREAVRRSAGQCEAFWSKKCGDDDVLTFLAEHQDEYGDLLDLLEYGDYFYGIHESEFDDDQDYRMIDKGDRSPTRGVPQHGFSAAAGNRLAGLPMRGHDHPSVPQAGPH >LPERR08G05220.1 pep chromosome:Lperr_V1.4:8:4286533:4288368:-1 gene:LPERR08G05220 transcript:LPERR08G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYRLGSRVENLGGAAGVWAKELPRDAHVRSVLRGLGQAEALGGAGQVCRSWRRAVAYEAELWRRLGEAGPAEAEARRPPAGLAVARVAASLGAGRRETFVADRVGCEGFLFYLGKRLPYIRSLCLISCYSISNEGFVEAIKGFPNLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLCNDRFYCFGDKYINDQDTLAISTMHELRSLQLFGNNITNKGLSAILDNCPDLESLDIRHCFNVKMEISLQAKCAKIKTLRLPDDLIDDYEFQVKSPIRFKSTFQSYDCTGDEYSGRDYMYEDDTEFTVKDDPFMHTDEDEEMHGNDHAIMMDYYKMLLHDIDWDEGNINEDDGLI >LPERR08G05230.1 pep chromosome:Lperr_V1.4:8:4290105:4312199:-1 gene:LPERR08G05230 transcript:LPERR08G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSSRKPPSPSPEWRDWSSPPDDFLLAIFRRTPQSDILRAAGQVCASWRRLASYRGRADEEFLIYLAGKSPSLKSLHVTSWIYISSSKKFVAGVVDYLPLLERLVLSRGYFDAAAPVIRALLDRCPGLELLDAGGCATGALMSTNLKASGGTGPPRPSASSLPSSPLPLNPTSSEPQARSACPGAVRVALSEPSLWRRIDLSAATDADVHPPPEWSAMARAAVDRASAGGCESYRGRADEEFLIYLTGKSPSLRRSTEEFIAGVIRKLLVLEPLVLSPVVGGSFYDASPVMRALLIHCPRLQVLDAGDCFTKKWVGGRMRRRCAERITELRLPEYDPECDCRNKDETLTDRTMRSPPPPPPPPPSPERRNWSSPPDDLLLPIFRRIPQSDILRSAGQVCPSWRRLAVSDPSLWRRIDLPFPAAAAANKDPPPEWRAMAHAAVDRASAGGGGCESYRGRADVEFLIYLAEKSPSLKSLHVTSRLFVKDNKKFVAGVIAKLPLLERLILSGGYFRAAAPVMRALLDCCPRLELLDAGGCTTGALMSTMLKKRCEETIRDLQLPTSDGCCGGCLARAQRYVDKHGDQESDDDGKNKDDDIYNSDDNGDDEMGKRKRTNDETLTDPATMALPPPSPPSPERTDWSSLSTDILLEIFTRIPQPDILLGAGQVCTSWRRVAVTEPSLWRHIDMFTVGEGMFDVPLMTPQAATASRRWRGMARAAVDRSGAGGCESYRGRADGEFLDYLAGKSPSLRSLDVAFTFYVTDGFFDRVKDKLPLLDRPWYTCTVPASFPGRTRTSLKRKRPSPPPPPPPPPPPSSPSPEWKDDWSSPPIDILLAIFIRTPQSNILRVVGHGHVCASWRRLAVSESSLWRRIDLSAATDTDVLSPPRRRRPRRRRRMRVLPRPRADNEFLIYLARKSPSLRSLHVTSWIYISEEEFIAGVIQKLPLLVRLVFSPGGGSFYDAATVMRALLIHCPRLQVLDAGDCFTKKWVSGRMRRRCAERIPELRLTDYDHDSRPQIKCHDKNSSNPLRPPPGTATMAASSSSSCHRRRRGGGGRWRRGRREARDWAWLPPAALLVVLGKLDHIEILMGAGRLVARRGEGPPPPSPPLWRRVDMLNHAELFFEVDLHAMAVPAARRSDGLCEAFWGEYAGDDRFIRFLAGRAPLLKSLRFISCYDVCQEAFMEAIRSFPLLEELELSLSPNVYGEAYNVVGESCPNLKRFRLSKMGFISIEGGGFDKDEEAMGIAKMPELRSLQLFNCELTNAGLVTILDSCIHLESLDMLQC >LPERR08G05240.1 pep chromosome:Lperr_V1.4:8:4315636:4324751:1 gene:LPERR08G05240 transcript:LPERR08G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLDKQIIIPPGLINTSFVFQAQVAYPYIGTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAMVEPIYVNARQYHGILRRRQSRAKAESENKAVKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQYSNSVDKKDGAVSSEENRDKEINICTKSENVSPTNQPGADVPNIV >LPERR08G05250.1 pep chromosome:Lperr_V1.4:8:4326424:4329225:1 gene:LPERR08G05250 transcript:LPERR08G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEDVDWRMRLGRDIRDRLFSLEMKLQAVTSTTLIDLQKLAARSEERIHTIAFDYGDYLRRISLMKGDLKDSYNVLLNNFLRIRRQASLHSAILLRQKNKKGQIIQADGIFFFEYA >LPERR08G05260.1 pep chromosome:Lperr_V1.4:8:4329427:4343929:1 gene:LPERR08G05260 transcript:LPERR08G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFASHRLKNIRTLSNQVSLNGYKEPSQSHPCEKDRISELPDDLIHHILTFLSTREAARTSAQSHWSVNKWTFLECIKLDIDWFHLDREKFCNFVDKLLLSRDNVNSPIDTFQLSSHAIDRASTWLDLAIKLNVKVLKFSEDRRWEPFYLDPNLVVFSSQYLKTLDLSNAVLDAIIFDQLNNACPALENLMLSDCLMEVQQISSSSMKNLNLIGCSFIKDLSICSPNLVSLRIKDQRMGNSSSKNSYLVFGTITLIDASNVEIMELSAIDSMFTFVEQDGSSPMFRNLRSFRLGPWCIDDNFLPLRRFLQHSPMLEMLFLKLSVTTSEPPSTDGETRQERSSTAIGWLRSEASRPLITLWPRGNLFDEMQQRGGGGADRLSALPDAVLLRIMSHLRAWQAVRTSVLSRRWRDVWASATRLDIRHPCACDERADEERFHKFVKTLLLKRRPLVPIKALRLRWSHDKVDVNSWIIHAVRRGVEQIELSTARRHKRYRAPEYASFRLSIINCLVMVTSAGFLVDAPNLISLRCIRPFHIVPTFMHMGSLVEATVVLDDSCLRAELAGFEQPKPVLLRKKLLENCPIFNNLNTLSLGEWCVVPDFNALSTIIGNSPNVERLYLQLDMNIKGIGGGIDPRAGIGSFACKNLKKVKITCCKDDVMVHMLAQFLQGNGISLEKIFVRRISSAHIGEEGRGRDSIAKRKAHDEVLLVKRAGEAGPSAAAAGGGGGGADRISALPDAVLLRIMSHLKAWQAVRTSVLSTRWRDVWASATRLDIRHPCACDERADEESFHTFVKTLLLKRRPLVPIKALRLRWSHDEVDVNSWIIHAVRRGAEEIDLSARRHDGYHVPDYASFISLNIKILKLTHLGNGTDALEMLCSRCTRLEELKLEDIRSLSGGIQSDSLKRLYIINCRVVDGLLVDAPNLISLRCIRPLRIVPAFMHMGSLVEATVLLDDSHLRAGLAGIQRPKPVLEDDDNGSDYDDAFFALPGAEVSDDKGDGESGNNSEAPSDDDDDDDDDQTVAYGEIAHEASSYDSPSDEYGANHRSVPDDYTIRGGDYMLHNLSHVRTLGLLGHQGEVVLRKQLLESCPIFNNLNTLSLGGWCMVPDFNALSTILRNSPNVERLYLHLDMKIKGIGGRINPRERIGSFACKNLKKIQITRCKDDAMVHKLAVFLEANGVQRERIFICRTPSTRTGKKGRDSREKRKAQDDVLRQAAKQRRVRSSRSPE >LPERR08G05260.2 pep chromosome:Lperr_V1.4:8:4335885:4343929:1 gene:LPERR08G05260 transcript:LPERR08G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARDFVGNCCWRQGSQLVPMSISVRRMPHHRNLFDEMQQRGGGGADRLSALPDAVLLRIMSHLRAWQAVRTSVLSRRWRDVWASATRLDIRHPCACDERADEERFHKFVKTLLLKRRPLVPIKALRLRWSHDKVDVNSWIIHAVRRGVEQIELSTARRHKRYRAPEYASFRLSIINCLVMVTSAGFLVDAPNLISLRCIRPFHIVPTFMHMGSLVEATVVLDDSCLRAELAGFEQPKPVLLRKKLLENCPIFNNLNTLSLGEWCVVPDFNALSTIIGNSPNVERLYLQLDMNIKGIGGGIDPRAGIGSFACKNLKKVKITCCKDDVMVHMLAQFLQGNGISLEKIFVRRISSAHIGEEGRGRDSIAKRKAHDEVLLVKRAGEAGPSAAAAGGGGGGADRISALPDAVLLRIMSHLKAWQAVRTSVLSTRWRDVWASATRLDIRHPCACDERADEESFHTFVKTLLLKRRPLVPIKALRLRWSHDEVDVNSWIIHAVRRGAEEIDLSARRHDGYHVPDYASFISLNIKILKLTHLGNGTDALEMLCSRCTRLEELKLEDIRSLSGGIQSDSLKRLYIINCRVVDGLLVDAPNLISLRCIRPLRIVPAFMHMGSLVEATVLLDDSHLRAGLAGIQRPKPVLEDDDNGSDYDDAFFALPGAEVSDDKGDGESGNNSEAPSDDDDDDDDDQTVAYGEIAHEASSYDSPSDEYGANHRSVPDDYTIRGGDYMLHNLSHVRTLGLLGHQGEVVLRKQLLESCPIFNNLNTLSLGGWCMVPDFNALSTILRNSPNVERLYLHLDMKIKGIGGRINPRERIGSFACKNLKKIQITRCKDDAMVHKLAVFLEANGVQRERIFICRTPSTRTGKKGRDSREKRKAQDDVLRQAAKQRRVRSSRSPE >LPERR08G05260.3 pep chromosome:Lperr_V1.4:8:4329427:4335416:1 gene:LPERR08G05260 transcript:LPERR08G05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFASHRLKNIRTLSNQVSLNGYKEPSQSHPCEKDRISELPDDLIHHILTFLSTREAARTSAQSHWSVNKWTFLECIKLDIDWFHLDREKFCNFVDKLLLSRDNVNSPIDTFQLSSHAIDRASTWLDLAIKLNVKVLKFSEDRRWEPFYLDPNLVVFSSQYLKTLDLSNAVLDAIIFDQLNNACPALENLMLSDCLMEVQQISSSSMKNLNLIGCSFIKDLSICSPNLVSLRIKDQRMGNSSSKNSYLVFGTITLIDASNVEIMELSAIDSMFTFVEQDGSSPMFRNLRSFRLGPWCIDDNFLPLRRFLQHSPMLEMLFLKLSVTTSEPPSTDGETRQERSSTAIGWLRSEASRPLITLWPREKPLPPPPSEATIAAATA >LPERR08G05260.4 pep chromosome:Lperr_V1.4:8:4335885:4343929:1 gene:LPERR08G05260 transcript:LPERR08G05260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARDFVGNCCWRQGSQLVPMSISVRRMPHHRNLFDEMQQRGGGGADRLSALPDAVLLRIMSHLRAWQAVRTSVLSRRWRDVWASATRLDIRHPCACDERADEERFHKFVKTLLLKRRPLVPIKALRLRWSHDKVDVNSWIIHAVRRGVEQIELSTARRHKRYRAPEYASFRLSIINCLVMVTSAGFLVDAPNLISLRCIRPFHIVPTFMHMGSLVEATVVLDDSCLRAELAGFEQPKPVVLRKQLLESCPIFNNLNTLSLGGWCMVPDFNALSTILRNSPNVERLYLHLDMKIKGIGGRINPRERIGSFACKNLKKIQITRCKDDAMVHKLAVFLEANGVQRERIFICRTPSTRTGKKGRDSREKRKAQDDVLRQAAKQRRVRSSRSPE >LPERR08G05280.1 pep chromosome:Lperr_V1.4:8:4350575:4360865:1 gene:LPERR08G05280 transcript:LPERR08G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIIDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGLLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSCGLQAIAIEAQSESKWKQLGELAMSSGKLDLAEECLLQAIDLSGLLLLYSSLGDAEGITKLACMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKINPKAAESLADPDEYPNLFEDWQIALDVEANVAPKRGIYPPAEEYIVHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEVVEDDGVEESQEDAVEVEADGSTDGAVLVNGHDTEEQWGTNNEESSA >LPERR08G05280.2 pep chromosome:Lperr_V1.4:8:4350575:4360865:1 gene:LPERR08G05280 transcript:LPERR08G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIIDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPAFSAERIFGGLLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSCGLQAIAIEAQSESKWKQLGELAMSSGKLDLAEECLLQAIDLSGLLLLYSSLGDAEGITKLACMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKINPKAAESLADPDEYPNLFEDWQIALDVEANVAPKRGIYPPAEEYIVHAERPNETLVEAFKNMQIHQEEELPVENGDDAHEVVEDDGVEESQEDAVEVEADGSTDGAVLVNGHDTEEQWGTNNEESSA >LPERR08G05290.1 pep chromosome:Lperr_V1.4:8:4371699:4407072:1 gene:LPERR08G05290 transcript:LPERR08G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKETDATAEEEDSGAAEDAVAPAEDYLSKLPDAILHHVMSFLKAWEAVRTCVLSRRWRHLWASAPCVDIRWTLGRKLLADMRRFVHRLLLARDDNAPVITLRLRTGYEDGYKDYYDNGDVKKWIREAIERKARVIQFEDHIKRFVVLDLDHQTFASNHLKILKLSKTQLDDNVFRGFSSQCPSLEELELKDCLVRAHEISSVTLKSLIMVKCNFTLNLSVDTPNLVFLKCITPVKWVPVLKNSGSLITGSIMLDDSLLSADPKSYDRVDGCFSDDYHSGYSDYYFEDCSSDISDDYDYGSDIDSDADTYEYSEIANGYEFEQFTDHDDGGDSSMGGKYPVCSSNYGFNDHRKLGGQNVLQSLSTARSLELLGHSGEVVLSRESRSCPTFSNLKTLSLGEWCISMVADFDILILFLQNSPNLEKLFLQLEMELERGIKPKGGSFACKCLNMVKIKCPKDDLRVHMLAQLFNSNGLPLEKIYVRRTGRFRLRNSKLNRAIARGGCRYWMANGIPFEKIYVHRSGSSHLRNEKVMRDLAKQELDFWGSDELWGPDSAYCGELIFSATAVAFSCSGDRRGENSPAIGITSRRRSARHLFDGMPPKKPTAADDLLSALPDALLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRVWRGGGHLPPPEKLARFTNHLLFEREVSAPVDTIRMLSSPDGEGEDYTTSDVQMWISAAINRRARVIDLTDHPMEEPFFNLDFVPIISSHLKHLKLTGSLLGERVLRQISCQCPSLEVLELKKCFLDGHEISSTSLRRLNIVECSIGSDLTIAAPNLVHLRCIVPYYRAPLFKDIGSLTQAMATLVLDDSFLYDGYRHRCDDIDDDEEIYGSGDDDGEVYANDSDYDSDDVSNASTCEYSEIANDFDVEIQHEEHGEGHNHIKHANRHFHGHTKNIGVYWTYCPQQGYKNKSNDFWPGQNKSNDRKVLGGHNVLHSLSNARSLKLLADAGEVILNRELKTCPTFSNLKILSLGEWCMGADFDPLVSFLQHSPNLEKLFLVLKLEYCNRQATKEGNKSNQGSSFACSHLKMVKIKCSIADARIHLLAQLFRANGLPIGSIYREVAAPVDTTLRVLSSPVCDTKVENYYTRDVDAWIRAAIKRRAQVIQVSEHPMDEAFSNFDNVPIISCHLKHLKLSGYLFRQRTLIQLSSQCSSLEVLELKGCYLEGYQISSASLKSLIMVECRIMEGFSIDAPNLVSFRCVTPYHRVPLFQNVGSLTLDAATIVLDDSFLYAGYEYQFKDINRDAIEGSGSTNGEGSLNDSDNDSDAVSDASTCEYSEIADDYDDEKQLVEHGKGRNQSKHGNYQGYGRKNKFNGGKVLGGHNVLHRLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGPEFDPLVSFLQHAPNLERLYIELKLGYGNKQATKDSIKPEGSSFSCAHLKMVKIRCCMDDARAFIPNPGNVKGSNYDHVGSNLLDEMSRRNTTARWVPRDRPETSARPGRGAPGRGGAHVFGRMPPRTTTVRTRWVPRHGQEGMKGHGVVAPADRLSDLPDALLHHVMSFLKAWEVVRTCVLSRRWRHLWASAPCVDLRVRHSGPDSEPPEELRDFVNRLFRRREASAPVDTLRLQLNDEDELFDNNDANAWIRTAMKRDARFIHLIGHRSEIGVLKHKALVSNHLKILKLSYVLLNDKILKQLSSGCKSLEELDLKDCVITGHEISSASLKTLKMDKCKFNVEVSVTAPNLKLGSLVTCSVTLDDVYLGDDYQRISDEDDIDDTTDDDDFGYQRNDKAGYRFDFTRFGLGGKKGDYGYGSDIESDDNTYEYSEIANECGDHQFGHNGVGNSSKDDYNGYGNAETFGGQNVLHCLSNVRSLELLAGAGEVVLSSELKSCPMFSNLKTLSLGEWCIGAEFDALIFLLQHSPNLEKLFIEPQLNFNTRKALESGIKPTTRSFTCKDLQMVKIRCSKDDVRVHTLAHFFRANGIPFEKIYVHRSGSSQLRSEKAMRDLAKQELDFWGQMSYGVQIPRGGARGAGQLFDEMPPKKTKLSSQRDGGRGGDAAVAGAEDRLSALPDAPLHHIMSFLRAWEVARTCVLSRHWCHLWASAPCVDLRVWRGGGHLPHPKNLAKFAYRFLFEREVSAAVDTLRVMSCPGSDYESVAVDYSISDVQTWIRAAIKRRARVIHLTGHPNDEDFTDLDHISVISCHLKHLKLSGCSLGDRILMQLSFQFPSLEILELKKCVLDGREISSASLKSLTMVECKIIADLTIAAPNLVCLRCIKPYNRAPSFENLGSLTLATGTIVLDDSLLCVDHDYEYEYNDEDAINGSNSDDDEGCTNDSNNDSDAVSDTSTCEYSEIANDYDDQKQHEEHGEGHDEVIGGHNVLHILSNAKNLELLGDGEEVILNRELKTCPTFSILKILSLGEWCMGGDFDPLVSFLQNSPNLEGLFLELKLAMRGVTKPVGRSFTCKHLKMVKIKCSMDDSRVHLLAQLFMANGLPIENIYVHRTHSGCEYHFSWKLSSAFPSCPNHGKRVLAKRTRRPWVLKSIHGFSSALIMMAVHHMMLLLITLELCIDGYLNEY >LPERR08G05310.1 pep chromosome:Lperr_V1.4:8:4398388:4399335:-1 gene:LPERR08G05310 transcript:LPERR08G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNDQSYCKDCHLAVTVVVDHATGDTICTDCGLVLEERYVDETSEWRTFSDSTGGEDRDPNRVGGCSDPFLTHAELGTVVTSATKRQSSATSLPRVHLDMGRESWSHENSLVVAFRAISDMAEQLHLVATIRDHAKEIFKRLEEAKLCPRGRNRDATYAACLHTACRKEGKPRTYAELATVVRDARADATKKKKEIGRVAKIISEQLEEKAGHVMGIGVVRAADYMGRFGSLLGMGKAEVLTAQRAALRLDEQLDVRRNPESIAAAIIYMMARCSGAKTSARDVSAVTNVAEVTIKEACKELTKHAELLFSQE >LPERR08G05330.1 pep chromosome:Lperr_V1.4:8:4408351:4411060:1 gene:LPERR08G05330 transcript:LPERR08G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTTARWVPRDRPETSARPGRGALGRGGGQVFGRMPLRTTPVRMRWVPRHGQEGMKGHGVVAPDDRLSDLPDALLHHVMSFLKAWEVVRTCVLSRRWRHLWASAPCVDIRVRSSGRDSDPPEDFGKFVYRLLLKREVLAPVDTLRLRSSDGEEYADTYDNDDVNMWICSAIKRNARVIHLNGHRKDDLVLEHTAFVSQHLKILKLSHVELDGRILKHLSSRCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITEDLSVYAPNLDSLCCITPYYHVPMFQNLGSLDAATIMIDDSFLRNDEFLHVDEEFEEISDDEDDGEARSDHYACKRDTDSDTCDNDDGDLLCDEYLYSRHGNLVDDYNYGSDIDSDDNVYQYSQIENECRAGKYGYCHDIKQCGSYQETCKQADTFSGQNLLRGLSNARSLELLAHSGEVVMIRELRMCSTFGNLKTLSLGEWCMAADLDALIFLLQKSPNLERLFLKLELNYSDKETVNIGFEPKKRSFACKNLAVVNIRCSKDDGRVHMLAELFGANGLPLERVYVRRTGSIRE >LPERR08G05340.1 pep chromosome:Lperr_V1.4:8:4441343:4446112:1 gene:LPERR08G05340 transcript:LPERR08G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIEPNAARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEITHIDDTVDPVRDLETIGEELRLKDIEFVNNKIDDLEKSMKRSNEKHLIIEHELCQKVKAHLEEGKDVRLGDWKSAEIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHACVIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >LPERR08G05350.1 pep chromosome:Lperr_V1.4:8:4446308:4457983:-1 gene:LPERR08G05350 transcript:LPERR08G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTYTSAISFGFSNGNFHFRPPPTPTIRFCRRISAAAMTAVGGGVDAEEAEELRRCLSPARLEVLDQMEPWVESHVLPLLKSPEDAWQPSDMVPDPAALGAEGFHAACVELRERAAAVPDEHLVCLVGNMVTEEALPTYQSVVNRFESARDVTGSDGTAWARWVRGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLIRSGMAMHAPVSPYHGFIYVAFQERATSIAHGNTARHVGVDPALARICGAIAADEKRHEVAYTRVVERLLQADPDATVRALAYMMRRRIIMPASLMDDGCDVDLFTHYAAVAQQAGVYTASDYRGILEHLIQRWRVAELDYGLSGEGRGARDYVCALPQKIRRMEEKAHDRAAQMRKKPTPVPSHTPDSYMELAAKMEGNATAGVQIVSRRMIRPDFTGLPQPPERETTIHLTPWDLPLLTVEYIQKGVLLPKPPTGEGDEHFVEHLASSFARALGRFYPFAGRLAMDEHGAYISVSLRCSNAGAEFVHATAPDVAAASPHVIPRVVWSLFQLNGLVNADAAFSSLPILAAQVTELADGVFVGVSLNHVAGDGTNFWEFMNTWSAFNRNSPSPSPPPLIINRFFPNTCPVPIPLPFSNLEQAIHQQREISNTSPPTLLQECFFHFSAASVRNLKSKANAEMHRDVATKNSPMISSLQAVLAHAWRAVSRARGLAARHDETSYTMAIGCRGRVLRGVDYYLGNAVVPGVARANAGEIEARGIGWTAWRLNRLVASFDDGDGMIEAAAAWARRPEFFSLARRMRGGTAVNTGSSPRFDVFGNDFGWGSPVAVRSGGANKFDGKVTVYEGNDGAGAGSMSLEVCLVPATMEKLLADDEMAAAATMPMPLANRRFACKPITTSSPSTTRTTLFGKQVTTSSIRWSCSTSAIRSSTAMGADVIKKQQQEEEKDELHGYLSPAKLDILKQMEPWVESHILPLLKPVESAWQPSDLIPDPSTLCPDAFHEACLDIRARAAGVPDPLLACLVANMVTEEALPTYQSSLNRVKAVGDTTGDDGSAWARWVRGWSAEENRHGDVLNRYMYLSGRFNMREVERTVHRMIRSGFAVHPCNSPYHAFVYTAFQERATAVSHGNTARLVGARGRGDATLARICGTVAADEKRHEAAYTRIVSRLFDADPDAAVRAAAHMLRRGIAMPTSPISDGRRVPGDMYACVVSLAEQAGTYTASDYCDVVEHLVREWRVAELEHGISGEGRRARDYVCELPQKIRRLKEKAHDRAAQMRKKPISIPINWIFDRHDINIMSKSATPY >LPERR08G05360.1 pep chromosome:Lperr_V1.4:8:4473870:4476942:1 gene:LPERR08G05360 transcript:LPERR08G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGMMEARMPPGFRFHPRDDELVLDYLLHKLAAGGRGGGGGGVYGGGVAIVDVDLNKCEPWDLPEWYFFSLRDRKYATGQRTNRATRSGYWKATGKDRTITRRGAGAGAVVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEVGSLSNELDLSAAPSLPPLIDAYITFDSTPTTTSTIGSYEQVSCFSGLPALPMKGSISFGDLLALDTSEKKAIRTLHNSNTAKLEFSSDWGQESGLSQMWNPL >LPERR08G05370.1 pep chromosome:Lperr_V1.4:8:4479606:4482670:-1 gene:LPERR08G05370 transcript:LPERR08G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPNMINIVRMLTGEKDVSTERITKPSVVGDLGELKTNNQQRPADAPSLPMKSFATTEPSTSSDTTTRSSL >LPERR08G05370.2 pep chromosome:Lperr_V1.4:8:4479606:4482670:-1 gene:LPERR08G05370 transcript:LPERR08G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHENLITLIGCCAEGSHRILVYNYLENNSLQHTLLGSGRSNIQFNWRARFKIAVGVARGLAFLHEEAHPHIIHRDIKASNILLDKDLNPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKADIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEDIIDVDLENDVNIEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVSTERITKPSVVGDLGELKTNNQQRPADAPSLPMKSFATTEPSTSSDTTTRSSL >LPERR08G05380.1 pep chromosome:Lperr_V1.4:8:4487704:4494385:1 gene:LPERR08G05380 transcript:LPERR08G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGFSLLLLLLEPTPIPRLAAGEASRRSAFSRAPIPPPPSRRRFLANEMRHTGKRPQQHRDHDREERRDQKRRPVARPQENSSNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTNAKVKVVDPYPGSDKRVILVYCYVKHRNLDVDEDDDREPVCTAQDALLKVHDAIVDALSITSDSGDEEANILVPASQSASVIGKSGTVIKRLRSTSRAFIKVSPKDPNEVTHSCAMSFDNFVLINGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDFPPSIIIPSELPVYPSSNFYSPSDAAIASGHPSLSILGSTPHVPELTVSADAHSRLPIYQSMLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIINITSTEATDDAKSAAVEAVLLLQSKINDDSEGKMNLRLLIPGKVFGEVDNLRDALVQIVLRLRDDVLRDSVDKQNSEKDGRPNVATTDPLYASSFSLPTLLPYRQQITSLGYEQRGEVERGLEVYPRSSSYGYSSLQVVDDDYSRVSSYTSKGYGGRPAHMEMTIPATGLSKVMGKHGTNLDNIRKISGARIEIIESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >LPERR08G05380.2 pep chromosome:Lperr_V1.4:8:4488542:4494385:1 gene:LPERR08G05380 transcript:LPERR08G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVTKMPFPSPKANEMRHTGKRPQQHRDHDREERRDQKRRPVARPQENSSNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTNAKVKVVDPYPGSDKRVILVYCYVKHRNLDVDEDDDREPVCTAQDALLKVHDAIVDALSITSDSGDEEANILVPASQSASVIGKSGTVIKRLRSTSRAFIKVSPKDPNEVTHSCAMSFDNFVLINGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDFPPSIIIPSELPVYPSSNFYSPSDAAIASGHPSLSILGSTPHVPELTVSADAHSRLPIYQSMLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIINITSTEATDDAKSAAVEAVLLLQSKINDDSEGKMNLRLLIPGKVIGCLIGKGGSIVNDMRSRTKAAIYISKGEKPRKASSSDELVEVFGEVDNLRDALVQIVLRLRDDVLRDSVDKQNSEKDGRPNVATTDPLYASSFSLPTLLPYRQQITSLGYEQRGEVERGLEVYPRSSSYGYSSLQVVDDDYSRVSSYTSKGYGGRPAHMEMTIPATGLSKVMGKHGTNLDNIRKISGARIEIIESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >LPERR08G05390.1 pep chromosome:Lperr_V1.4:8:4495039:4497836:1 gene:LPERR08G05390 transcript:LPERR08G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >LPERR08G05400.1 pep chromosome:Lperr_V1.4:8:4515120:4516473:1 gene:LPERR08G05400 transcript:LPERR08G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTRTLASKFGYPNGKNRHSNAPPPTLRFRVQRRRNAAAAITVTATAAAEEEAAEIRRCLSPARLEVLDEMEPWVESHVLPLLKQPEDAWQPSDMVPDPAALGAEGFHVACVELRERAAAVPDAQLVCLVGNMVTEEALPTYQSMANRFESARDVTGSDGTAWARWIRGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLIRSGMAMHAPASPYHGFIYVAFQERATSVAHGNTARHVRECGDVALARICGAIAADATVRALAYMMRRRITMPAALMDDGRDGDLFAHYAAAAQQAGVYTASDYRGILEHLIQRWRVAELEYGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKKPTPVPFSWIFDRPVDVMLP >LPERR08G05410.1 pep chromosome:Lperr_V1.4:8:4521119:4524077:1 gene:LPERR08G05410 transcript:LPERR08G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPISPLIAYNPHLPRRLREIKLLQAEVSEPENKMIAAPDAALSIFSSSSPSKAPSLLLSLFERLDQAGTDDGGVTCYGGDVPKLTVGCKGGVSVCNF >LPERR08G05410.2 pep chromosome:Lperr_V1.4:8:4521255:4523702:1 gene:LPERR08G05410 transcript:LPERR08G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPISPLIAYNPHLPRHCRPGRCTLHLLQLISFEGSLTVCVIPFHFPLLIRQAPMMVG >LPERR08G05420.1 pep chromosome:Lperr_V1.4:8:4563216:4564874:-1 gene:LPERR08G05420 transcript:LPERR08G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGSGSRRSPPLPMDGAPSATTLRRPPLSIRRRRQLHPLAPSVSSPRLDTEISPISTSLVEASHGGHIKEVIAEGPSKRKLAAFDPPTSKRRRSPVVLPIVRSPGVRQMISNVILERSQVAFQERTSNAESQRDRSLSPMAGPLSSPYVVPTQSDAETETRAETQDKESIFSPSLSWSRF >LPERR08G05430.1 pep chromosome:Lperr_V1.4:8:4566373:4578844:1 gene:LPERR08G05430 transcript:LPERR08G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVLLQMLLLLSLVAGTQQQQHVQQAAIDPTEEPSLAAADAAATALDAIFRRFGLPVSYWNARWEPCSGDAINNTIDLEGNPRMSPGIKCDCSYNNNTVCHITKLGFYKLNIVGQIPAELLNLTYLTYLDLDQNYLSGPIPSFIGQLSALTELHVGFNPLSGPLPKELGNLKNLKLLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLINLEDLAFQGNSFEGPIPETTLCSVVVITGVPKTNEQADWISGDLGAVDFSELEKLSFLDFSYNQLTGSFPSWATQNNLQLNLVANNFVISKTNNRTRLPPARHDMFPWFSRMYVSLFLNHYSFAVDCGSNRSMRGSDNTLYELDSTNLGDSSYYVTSQTRWGVTNVGKLFQAPNDTRIVYSNEKIHNAVDSELLQTAMMSPSTLRYYGLGLENGNYTILLQFAELGYPDSPTWQSLGRRVFDIYIQGDLKERDFNIRKTAGGKSFTAVYKSYMAMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFIFTKKRKRVARQHEDLHDLLQRPYVFSYTELKLATDHFSSHNILGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCCADSNTPLLVYEYLEKGSLDGALFGVRSLSLDWPTRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDGNKTHVSTTKIAGTL >LPERR08G05430.2 pep chromosome:Lperr_V1.4:8:4566373:4578844:1 gene:LPERR08G05430 transcript:LPERR08G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVLLQMLLLLSLVAGTQQQQHVQQAAIDPTEEPSLAAADAAATALDAIFRRFGLPVSYWNARWEPCSGDAINNTIDLEGNPRMSPGIKCDCSYNNNTVCHITKLGFYKLNIVGQIPAELLNLTYLTYLDLDQNYLSGPIPSFIGQLSALTELHVGFNPLSGPLPKELGNLKNLKLLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLINLEDLVLRNCRISGDLGAVDFSELEKLSFLDFSYNQLTGSFPSWATQNNLQLNLVANNFVISKTNNRTRLPPARHDMFPWFSRMYVSLFLNHYSFAVDCGSNRSMRGSDNTLYELDSTNLGDSSYYVTSQTRWGVTNVGKLFQAPNDTRIVYSNEKIHNAVDSELLQTAMMSPSTLRYYGLGLENGNYTILLQFAELGYPDSPTWQSLGRRVFDIYIQGDLKERDFNIRKTAGGKSFTAVYKSYMAMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFIFTKKRKRVARQHEDLHDLLQRPYVFSYTELKLATDHFSSHNILGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCCADSNTPLLVYEYLEKGSLDGALFGVRSLSLDWPTRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDGNKTHVSTTKIAGTL >LPERR08G05440.1 pep chromosome:Lperr_V1.4:8:4582503:4583273:1 gene:LPERR08G05440 transcript:LPERR08G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKLGYGGRPKYTGEYKEGAKARPLWSVKLMVMAEAAHRLTLEVTIGGDTFESACPSAARDALEILSNHYSTQLAKTEYRFHPRASGKRYADFRNPSQEDDPTLVHRADYAKMMEGGVTHLQHQEAQLRKKVFDLKRDVCFFKGLYQELVDKKTYDQEMRSRRYGPARYSTAVRSSHPLVRDCRGWVRMVRPRLVEDLSFNALPTQEPSRGPSEEPSVEQEEDGDNSSSASEVGPEAVEAEPSV >LPERR08G05450.1 pep chromosome:Lperr_V1.4:8:4596525:4597932:-1 gene:LPERR08G05450 transcript:LPERR08G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTLTTHPQDPAMDVEPAATPAATSSDITSPVDIIPSAATATPAAPAQATPSPGPVLTTTVEVPGADKGKQAPGSHVAIEPSAGSDSEKTVSDEIVGWRYGPNSDQVSILDRLEEQKSMTRLIQLMAESSDLVLKVIKNSSAKDSLLERIAPLAEKAEQAQEELTTLRNEVAGYRNIRSEFKEKLRDFLGHDPAMLEAKKQAEEQVLKLQAELTLSQAKNEELIKEKDSAEARLAHAVVLNVKSHEQANYYKDKLETLLKKHEELKRKSAKELSAMKVKHNEEFLKMKTELEEARKLNAEFCQAAEPILDNLHAASAGTNT >LPERR08G05460.1 pep chromosome:Lperr_V1.4:8:4613316:4613708:1 gene:LPERR08G05460 transcript:LPERR08G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHLTAKADVFAFGVVTLETVAGRLNTDNSLEESKIYLLEWVWSLYEKKQVLGIVDPRLKAFNPKEAMRVIHVALLCTQGSPHQ >LPERR08G05480.1 pep chromosome:Lperr_V1.4:8:4622722:4640895:1 gene:LPERR08G05480 transcript:LPERR08G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCSSSGGGGHGSQLLWVVIAWSWIAADRAQAQQAPRTDPVEVAALNAILGRWGTKPPTAWNTTGDPCSGTAVDDTDIDNDPVINPGIKCDCSYSNRTVCHITRLRVYALNVVGPIPGELENLKNLQQNYLTGPVPSFIGKLTSMQYLSLAINALSGPIPREIGKLTDLRSLALSMNKFVGPLPEEIQTLTKLEQLWASDNEFTGLIPDYFGIFSDLEILKLQGNNFDGPIPASLSNLSKISSLRIGDLTSGTSSMDFVSNMTSLNVLILRNCRIYGNLTAMNFSQLLTLTYLDLSFNSITGEIPPALLNLSLLDSLFLGSNNLSGNLPDNIGPSLSVMNLVWNNFVVDSSNGRASTRGSDKNSYEPDDANLLGASYYVTSSTRWGVSNTGRFMESPNATNLIYTPFQFNNTLDTKLFQTARMSPSSLRYYGIGLKNGFYDVKLQFAEIFFPDNQTWKSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQRQYNVEVTKNFMEIHLFWAGKGTCCIPFLQGHYGPSISALSVSSYGEEDPGQKKNNTGGGNASNRKISLIVGIVVGVVVLVSLALTGAFVWRQKGKRSELGMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLFDGSMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIENNTPLLVYEYLENGSLDQAIFGKTNLNLDWSTRFDICVGIARGLAYLHEESSTRIVHRDIKASNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAYGIVAMEIIAGRPNFDESLEDDKKYLLGSVWRLHESMETLKILDPNLSEFNEEEVVRVINIILVCTMGQPQQRPSMSKVVSMLTEDIEMTEVDTNMRPSYVPHLQLKSGSDSFFASYSSGSSTQQTSGSQVSSGNNTKSQRETFPLVLSTHSSSEFDEGRR >LPERR08G05480.2 pep chromosome:Lperr_V1.4:8:4622722:4640895:1 gene:LPERR08G05480 transcript:LPERR08G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCSSSGGGGHGSQLLWVVIAWSWIAADRAQAQQAPRTDPVEVAALNAILGRWGTKPPTAWNTTGDPCSGTAVDDTDIDNDPVINPGIKCDCSYSNRTVCHITRLNLQQNYLTGPVPSFIGKLTSMQYLSLAINALSGPIPREIGKLTDLRSLALSMNKFVGPLPEEIQTLTKLEQLWASDNEFTGLIPDYFGIFSDLEILKLQGNNFDGPIPASLSNLSKISSLRIGDLTSGTSSMDFVSNMTSLNVLILRNCRIYGNLTAMNFSQLLTLTYLDLSFNSITGEIPPALLNLSLLDSLFLGSNNLSGNLPDNIGPSLSVMNLVWNNFVVDSSNGRASTRGSDKNSYEPDDANLLGASYYVTSSTRWGVSNTGRFMESPNATNLIYTPFQFNNTLDTKLFQTARMSPSSLRYYGIGLKNGFYDVKLQFAEIFFPDNQTWKSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQRQYNVEVTKNFMEIHLFWAGKGTCCIPFLQGHYGPSISALSVSSYGEEDPGQKKNNTGGGNASNRKISLIVGIVVGVVVLVSLALTGAFVWRQKGKRSELGMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLFDGSMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIENNTPLLVYEYLENGSLDQAIFGKTNLNLDWSTRFDICVGIARGLAYLHEESSTRIVHRDIKASNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAYGIVAMEIIAGRPNFDESLEDDKKYLLGSVWRLHESMETLKILDPNLSEFNEEEVVRVINIILVCTMGQPQQRPSMSKVVSMLTEDIEMTEVDTNMRPSYVPHLQLKSGSDSFFASYSSGSSTQQTSGSQVSSGNNTKSQRETFPLVLSTHSSSEFDEGRR >LPERR08G05490.1 pep chromosome:Lperr_V1.4:8:4667923:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCSSGSGGHGWQQLLLVVVACSWVAGARAQARQAPRTDPVEDFTPTVRNGVPKKKGKAGVIAGIVIGALVLGSAALVGIFMLINKRRKAAQQQEELYNLAGRPNVFSNAELKLATENFMAALNAILGRWGKKAPSAWNISGEPCSGAAVDQTDIDNSQTINPGIKCDCSSNNSTVCHVTKLRVYSLNVVGPIPAELENLTHLANLNLQQNYLTGPVPSFIGKLAKMQYLGIGSNNFTGELPEELSNLTKLEQLYIDSSGFSGPLPLTLSKLKNLEKLWASDNDFTGKIPDYIGTLTKLEELRFQGNSFQGPIPASLSNLTNLTSLRIGDIVNGSSSLAFVRNLTSLNILILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNILTGSLPDLKSSSLNNLYARFFIQPAHRKITIMGYPEQFAIYSTFRVKLPTARHSLFPRFSRIGSNRSMKGSDNTIYEVDPTNLGAASYYVTGQTRWGVSNVGMFNEAPSRNYVINSPQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSETWESTGRRIFDIYVQADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLDLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGPLPKELGNLKNLKLLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLIQAVDFLWHRDLSFNNISGHVPQSILNLQMLQILFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGVSHEGRTTSWFMGIFATRRNEQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05490.2 pep chromosome:Lperr_V1.4:8:4667923:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCSSGSGGHGWQQLLLVVVACSWVAGARAQARQAPRTDPVEDFTPTVRNGVPKKKGKAGVIAGIVIGALVLGSAALVGIFMLINKRRKAAQQQEELYNLAGRPNVFSNAELKLATENFMAALNAILGRWGKKAPSAWNISGEPCSGAAVDQTDIDNSQTINPGIKCDCSSNNSTVCHVTKLRVYSLNVVGPIPAELENLTHLANLNLQQNYLTGPVPSFIGKLAKMQYLGIGSNNFTGELPEELSNLTKLEQLYIDSSGFSGPLPLTLSKLKNLEKLWASDNDFTGKIPDYIGTLTKLEELRIGDIVNGSSSLAFVRNLTSLNILILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNILTGSLPDLKSSSLNNLYARFFIQPAHRKITIMGYPEQFAIYSTFRVKLPTARHSLFPRFSRIGSNRSMKGSDNTIYEVDPTNLGAASYYVTGQTRWGVSNVGMFNEAPSRNYVINSPQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSETWESTGRRIFDIYVQADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLDLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGPLPKELGNLKNLKLLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLIQAVDFLWHRDLSFNNISGHVPQSILNLQMLQILFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGVSHEGRTTSWFMGIFATRRNEQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLFLGNNSLSGSLPYEKSPSLNNLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05490.3 pep chromosome:Lperr_V1.4:8:4646167:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCGGGHGWQQLLLVVIACSWVAGARAQARQAPRTDPVEVAALNAILGRWGKKASSAWNISGEPCSGFAVDETTVDSNPNINPAIKCDCSSNSSTVCHITKLRVYALNVVGPIPAELENLKHLTNLNLQQNYLTGPVPSFIGKLTSMQHLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPLTLSKLKNLKKLWATDNDFTGKIPGYIGTLTKLEELRIGDIVNGSSSLAFVSNLTSLNILTLRSCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNSLTGSLPDVKTHRKLSIMGYPERFAIYSTFRAKLPTTRHSLFPRFSGIGQTRWGVSNVGIFNEAISRNYVITSSQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLEFAEFAYPDSETWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKVYSTTVSKNFLEIHLFWAGKGTCCIPSQGYYGPMLSALSVTPNFTPTVRNGVPKKKSRAGLIAGIVIGALLLGSAALVGTFMLINKRRKVAQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGTKSLNLDWPTRFEIILGVARGLVYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05490.4 pep chromosome:Lperr_V1.4:8:4646167:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCGGGHGWQQLLLVVIACSWVAGARAQARQAPRTDPVEVAALNAILGRWGKKASSAWNISGEPCSGFAVDETTVDSNPNINPAIKCDCSSNSSTVCHITKLRVYALNVVGPIPAELENLKHLTNLNLQQNYLTGPVPSFIGKLTSMQHLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPLTLSKLKNLKKLWATDNDFTGKIPGYIGTLTKLEELRIGDIVNGSSSLAFVSNLTSLNILTLRSCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNSLTGSLPDVKTHRKLSIMGYPERFAIYSTFRAKLPTTRHSLFPRFSGIGQTRWGVSNVGIFNEAISRNYVITSSQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLEFAEFAYPDSETWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKVYSTTVSKNFLEIHLFWAGKGTCCIPSQGYYGPMLSALSVTPNFTPTVRNGVPKKKSRAGLIAGIVIGALLLGSAALVGTFMLINKRRKVAQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGTKSLNLDWPTRFEIILGVARGLVYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGHLTEKADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05490.5 pep chromosome:Lperr_V1.4:8:4667923:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCSSGSGGHGWQQLLLVVVACSWVAGARAQARQAPRTDPVEDFTPTVRNGVPKKKGKAGVIAGIVIGALVLGSAALVGIFMLINKRRKAAQQQEELYNLAGRPNVFSNAELKLATENFMAALNAILGRWGKKAPSAWNISGEPCSGAAVDQTDIDNSQTINPGIKCDCSSNNSTVCHVTKLRVYSLNVVGPIPAELENLTHLANLNLQQNYLTGPVPSFIGKLAKMQYLGIGSNNFTGELPEELSNLTKLEQLYIDSSGFSGPLPLTLSKLKNLEKLWASDNDFTGKIPDYIGTLTKLEELRIGDIVNGSSSLAFVRNLTSLNILILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNILTGSLPDLKSSSLNNLYARFFIQPAHRKITIMGYPEQFAIYSTFRVKLPTARHSLFPRFSRIGSNRSMKGSDNTIYEVDPTNLGAASYYVTGQTRWGVSNVGMFNEAPSRNYVINSPQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSETWESTGRRIFDIYVQADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLDLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGPLPKELGNLKNLKLLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLIQAVDFLWHRDLSFNNISGHVPQSILNLQMLQILFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGVSHEGRTTSWFMGIFATRRNEQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05490.6 pep chromosome:Lperr_V1.4:8:4667923:4706962:1 gene:LPERR08G05490 transcript:LPERR08G05490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCSSGSGGHGWQQLLLVVVACSWVAGARAQARQAPRTDPVEDFTPTVRNGVPKKKGKAGVIAGIVIGALVLGSAALVGIFMLINKRRKAAQQQEELYNLAGRPNVFSNAELKLATENFMAALNAILGRWGKKAPSAWNISGEPCSGAAVDQTDIDNSQTINPGIKCDCSSNNSTVCHVTKLRVYSLNVVGPIPAELENLTHLANLNLQQNYLTGPVPSFIGKLAKMQYLGIGSNNFTGELPEELSNLTKLEQLYIDSSGFSGPLPLTLSKLKNLEKLWASDNDFTGKIPDYIGTLTKLEELRIGDIVNGSSSLAFVRNLTSLNILILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGQVPQSILNLDKLVYLILGNNILTGSLPDLKSSSLNNLYARFFIQPAHRKITIMGYPEQFAIYSTFRVKLPTARHSLFPRFSRIGSNRSMKGSDNTIYEVDPTNLGAASYYVTGQTRWGVSNVGMFNEAPSRNYVINSPQKFQNTVDSTLFETARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSETWESTGRRIFDIYVQADVFAFGVVALETVAGRPNTNNSLEEDKIYLFEWAWGLYERDQAFGIVDPKLKEFNRKEVLRVICAALLCTQGSPHQRPPMSRVVAILTGDIEVTEVVTKPSYITEWQLRGGDSSYATSSYFSESTTGEFRKQREIAPLNSYPEMTGRIDEGSGGHQLVIVLLLLFACCCSWLIPAVHAQQLPATDPIEVAALKAILERWNKTTSPLWSMSDEPCRGVAVDGSTGLEGNPNNNPGIKCDCSSTVCHVTQLRVYALNVAGQIPAELQNLTYLTNLDLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGPLPKELGNLKNLKLLGISLTNLTGELPEELGNLTKLERLYTDSAGLNGPFPSTFSKLKNLKLLRASDNNFTGKIPDFIGSLTNLEDLVLRNCRISGDLGAVDFSKLAKLSFLDLSFNNISGHVPQSILNLQMLQILFLGNNSLTGELPDGISSSLTNLDFSYNQLTGSFPSWATRKNLQLNLVANNFVLSTTNNSILPPGLNCLQQDTPCFHDYSFAVDCGGNRSIRGSDNTMYELDSANLGDSSYYVTSQTRWGVSNVGKLFQAPNDTRIVYSNEKINNTVDSELLQTARMSPSSLRYYGIGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRKTAGGKSFTAVYKSYTTMVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISAISVTPNFTPTVRNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFMVTKKRKRLAQQHEELYNLVGRPDVFSNAELKLATDNFSPQYILGEGGYGPVYKGKLPDGRVIAVKQLSPSSHQGKSQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYECLENGSLDQALFGENGLKLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDADLAPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNNSLEESKIYLLEWAWDLYEKEQALRIVDPRLESFNKDEVLRVIHVALLCTQGSPNQRPPMSKVMSVLTGDAEIVETVTKPTYITEWQYRDGNSSYATSSYCESSTSEFNKQKEIDPLTMSPTITGVSHEGRTTSWFMGIFATRRNEQQQQQDIVQFAINASSSKQVACFDNVSNMVFVAALNTILGRWGLRASPAWNISGEPCSGVAVDETDVDNNPNINPAIKCDCSFNARTVCHITKLKVYSLNVVGQIPEELQSLTYLNNLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLMNLRILWASDNDFTGKIPDYFGSLPNLQELRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNSITGHVPQSILNLSKLGFLDFSYNNLSGSFPPWTIGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGRFNEAPNGSYVIYSSQQFLSAHNSELFQTARMSPSSLRYYGIGLENGNYTVELHFAEFAYPNSLTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTTVNKRYTAIVSKNFLEIHLFWSGKGTCCIPTQGYYGPMISALSVTPNFIPTVRNGVPKRKSKAGTIAGVVIGATFFALAALVGIFMLLKKRRRMAQQKEELYNLVGRPNVFSIAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKGQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAVFGNSRFNLGWSIRFEIILGIARGLSYLHEEATVRIVHRDIKASNILLDPDLTAKISDFGLAKLYDEKKSHVSTQVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLMEDKTYLLEWAWGLYEREEALGIVDPRLEEIDEEEVLRVIRLSLLCTQGSPHQRPQMSKVVAMLTGDITVADVVTKPKYITEWQLRGRNNSHITTSYSGSTADELSAQRETVPLTPSLEITSEIDDGR >LPERR08G05510.1 pep chromosome:Lperr_V1.4:8:4720882:4728326:1 gene:LPERR08G05510 transcript:LPERR08G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSASAAAAVPAIPEDVIIEILARVRDPTTLFRCATACKRWRRLIADRAFIRRHWPTGTRPSLLGFFAQRHQMQANSRPKLTKLFPSRAPPLVARDGVRGYAILTAADHRISQIPSDGYNTFFQVMVIGNHRDDNQRFFSATTNTNLPPSWITPTNCSERTLRRVRSPYGHRIAAVSNGIAYWLFHGEQESLCTLDVSIDTDKIGATRLPLDILPLAIRMDGSTAWLYVSVDARLSLALIHNNYLNVCTRKETQGAPACWVVWKGSLSGVCIGEESGTMLTLYHSDPDRAYLLDLPSGVTTWMKDWSRWFNYLTAVPFEINWPAFFLSRLRSSDPQWVRDGYLV >LPERR08G05520.1 pep chromosome:Lperr_V1.4:8:4733264:4735857:1 gene:LPERR08G05520 transcript:LPERR08G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTDEQNGEPPPPAVDMSSHASADFRKLMKFHSKCPLPTPHLSLMAETNNHATVQPQLALTEFTQPDAGSKENESGNVANDIVEAQLNNISNIGGGVHMPPGIVTNNNAGAPFPNIPEAGATGDVIIDIPDDGHNTLFDNNVGLRSRIEFCRRVWNTERIEKRLWRIGAGIVAYAVASIVRHSPEGLFSHQIKAYYITDFIIFIIGMVLMIFAKWIARSRFGDAIVWPAQALMDFMSV >LPERR08G05520.2 pep chromosome:Lperr_V1.4:8:4733354:4735857:1 gene:LPERR08G05520 transcript:LPERR08G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTDEQNGEPPPPAPTPHLSLMAETNNHATVQPQLALTEFTQPDAGSKENESGNVANDIVEAQLNNISNIGGGVHMPPGIVTNNNAGAPFPNIPEAGATGDVIIDIPDDGHNTLFDNNVGLRSRIEFCRRVWNTERIEKRLWRIGAGIVAYAVASIVRHSPEGLFSHQIKAYYITDFIIFIIGMVLMIFAKWIARSRFGDAIVWPAQALMDFMSV >LPERR08G05530.1 pep chromosome:Lperr_V1.4:8:4736658:4739048:-1 gene:LPERR08G05530 transcript:LPERR08G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAQKPGKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVEDTNPESDGLDAINQRRLSWPSSIKRDQ >LPERR08G05540.1 pep chromosome:Lperr_V1.4:8:4741204:4743946:1 gene:LPERR08G05540 transcript:LPERR08G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVSASMGVMNPLLAKLTTLMGDEYKKLKAVRKQVSFLKDELSTMSAFLEKLAIMDDDDEDLDPLVKDWRNNVREMTYDIEDCIDDFMHRLGDANASTFIRRLKTLRVRHQIAKQIDELKARVVEANERRKRYELIDSCSKSSASVSVAVDPRITALYQNADNLVGLDGPTEKLVQMLTDAELQLMVVSIVGFGGLGKTTLAKLVYDKIGEQFHCKAFVSVSQRPDIARLLSTIESKLNIHDSSQACEVQDIIDRLRDYLKHKRYLIVVDDLWKQEAWNIISCAFPENGNGSRVIVTTRVKDVACWACSNHRYIYKMEPLNNEDSKRLFFKRVFGSEDGCSSRYEKVSSEILKKCGGLPLAIITIASLLACQPTRIMEKWENIRNSLGTSFGANPSLEGMRQILNLSYKNLPLYLRTCLLYLAKYPEDDYINMDDVVRQWIAEGFVRSSHGQDLEDVGKSYFNELINRGLIQPIQNNYEEVMGCRVHDMMLDLILRRCNEDNFIVDEDWLGSLSACPHIAEVFIQGNFWFTRVPRWINSLSNLTRLYLSVSQIFTDEVGILGELPSLTILQLRMDRQIKGTIMFGASGGSFPALEFLFLIYEGDVSVQLVFQEGVLPKLQKLSLLSNTCLLAPIGMVHLLSLQLIHVDISHYVPEDSETCSCDAAEHALRNAAQSHPNRPSLKLYRAL >LPERR08G05550.1 pep chromosome:Lperr_V1.4:8:4751086:4754053:1 gene:LPERR08G05550 transcript:LPERR08G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAAGSRLPHACRQCCHPVVLPRHRRAAASSSSAGLGHLYDCMSQSRTSRLHSRVGISERSRMDARCFKASLI >LPERR08G05560.1 pep chromosome:Lperr_V1.4:8:4755105:4757423:1 gene:LPERR08G05560 transcript:LPERR08G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEQESSTQTMLQGHIELHNHLYGYLKSMALRCAVDLGIPTAIQRRGGAATISDLIADTMIHPAKLPHLRRLMRLLSVSGIFSAHEPSLANEADDSAGDIVYKLTPVSRLLVVDRDPCNMTSLVHLVVQPAMLTTFFSLDAYFRDENTSSTGLFEMAHGVTPWEMTKTDSTCNKALNDACVADSIFLMEIALKEGGDIFRGLSSLVDVGGGHGGAAMAIAKAFPHIKCTVLDLPHVISQAPTDGTVCFVAGDMFENIPPADAVLLKHVLHCWGVDDCIKILRQCKKAIPARGDGGKVILINPVVGYGVPQDNDVIKETQVLADMHIMAIGGSEREEHEWKYIFLEAGFSGYQIMPILGLMSIIEVYP >LPERR08G05570.1 pep chromosome:Lperr_V1.4:8:4761158:4762774:1 gene:LPERR08G05570 transcript:LPERR08G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEWENIGNSLGTPSGANPRLEGMRKILNLSYKNLPFHLRTCLMYLAKYPEDYFIQRDDVIKQWIAEGFVRSSPGQDLEDTGNSYFNELINRGLIKPEQKNYFPRVTGCRVHDMMLDLILSKCQEDNFITVDYNCEDYISMATQHGYNCNKVRRLSLQFKAAKSACRMLTEGREIPDHLAQVRSVSLFGKHASGLPLLLQFKYLRVLHIMWNDGSDRADLTSLSQLLHLRYLMFVGRSCKVELPSRICGLVNLETLEIECSSIVSVPLDIVSLPSLYHLMLQPKWPLNRLPIIKSLRTLDISPSMGMDIKALGELTNLRELKLYFAKKMMLTVGSLGTLWSSIGKLQNLRYLRIIGISGIADEDLLDSLWSFPRSLEIVILRDCGFPRVPRWINSLSNLTLLYMFVSETCTDEVGVLGELPSLISLKLYVYGQIKGTIMFGASGGSFPALEFLFLSCDGDASAQLGFKEGVLPKLERLQLMLYNCLLPPIGMEHLLSLQLIELEGKSKTYPWDAAECALRNAAQAHPNRPALKFHRV >LPERR08G05580.1 pep chromosome:Lperr_V1.4:8:4763703:4765698:-1 gene:LPERR08G05580 transcript:LPERR08G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDYTPATDAEADLLLPPPASSLDDEPSSRPPPADRLGIAYIIFFTLGAGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLACFLPLVLIVLCFPKSSAPARINTGLSLFTVALLVVPVMDAAYVKGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAVSGVLVSALRVITKGVYPQDAHGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVVYYKNIKKRAQKAEEDGGMLGSAWRSTLWSIVGRVKWHGIGIALIYLITLSIFPGYITEDVHSETLKDWYPIMLITAYNVFDLVGKSLPAIYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVAILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVIVLFLVTGLVIGSFVAWFWVI >LPERR08G05590.1 pep chromosome:Lperr_V1.4:8:4768156:4771200:1 gene:LPERR08G05590 transcript:LPERR08G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMLPPGMLPAGFSPDLTAALLARDRTNSFSIMEPYRAEVPQELRKARAYAVYPRASLLNHDCLPNACHFDYADRPGLGNTDIVVRALHDITEGREVCISYFAANWQYKDRQRRLLEDYGFRCECERCKVESKWKLDDDDGGDNEGGDGDGDDAMEEEGEGGGDGGDDGMEQEGEEDGGSDSEDDFPHAYFFVRYLCNNGECYGMLAPLPPLPNGEPSHVFECNVCGNLRNEDEIDGPDGGPCITLGGGS >LPERR08G05600.1 pep chromosome:Lperr_V1.4:8:4772232:4775031:1 gene:LPERR08G05600 transcript:LPERR08G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLRVGMSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWEAEPATNEPAAASS >LPERR08G05610.1 pep chromosome:Lperr_V1.4:8:4775261:4775843:-1 gene:LPERR08G05610 transcript:LPERR08G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEARCSKPSISKLGSEDFCPAMKINAGVLRTEVHPTAGRVTVVGDMDAGRLIKKLAKVGKIAEVIQPPPEAEKTRHGGKPAKHGAAADNEDKRCGGRNGDGVKAAVGFHRAEAPAAMAVPVLQLPCYASSCTYDAGAADDDDDGGRDVRVWWLLLRDSSPIAGVGVSQ >LPERR08G05620.1 pep chromosome:Lperr_V1.4:8:4778193:4781384:1 gene:LPERR08G05620 transcript:LPERR08G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPSTGYPAQQAPQQQQVYVAPPPPAGYPTNDVPQYGAGAGAGETQSRGHGHHHHHHHGGGFWRGCCAALCCCCLLDMCF >LPERR08G05630.1 pep chromosome:Lperr_V1.4:8:4779486:4786224:-1 gene:LPERR08G05630 transcript:LPERR08G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGRSLLLRSNAASKATSLAKYVATTGSLPDSSDAPARYFSSEPSLQDVSTEENGFKGHGMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQISWIYINISQSVRFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLEDLIIKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIHAVLKKYDILLIADEVITAFGRLGTMFGCDLYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKEKNITEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPEEVEEIISKYGDALKATEERIAELKSKRVVDDVDDKLTLVVLNGY >LPERR08G05630.2 pep chromosome:Lperr_V1.4:8:4779472:4786224:-1 gene:LPERR08G05630 transcript:LPERR08G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGRSLLLRSNAASKATSLAKYVATTGSLPDSSDAPARYFSSEPSLQDVSTEENGFKGHGMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRLNKKKFIARSKSLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLEDLIIKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIHAVLKKYDILLIADEVITAFGRLGTMFGCDLYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKEKNITEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPEEVEEIISKYGDALKATEERIAELKSKRGILNPPN >LPERR08G05630.3 pep chromosome:Lperr_V1.4:8:4779564:4786224:-1 gene:LPERR08G05630 transcript:LPERR08G05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGRSLLLRSNAASKATSLAKYVATTGSLPDSSDAPARYFSSEPSLQDVSTEENGFKGHGMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQISWIYINISQSVRFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLEDLIIKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIHAVLKKYDILLIADEVITAFGRLGTMFGCDLYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKEKNITEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPEEVEEIISKYGDALKATEERIAELKSKRGLQLIMPLA >LPERR08G05630.4 pep chromosome:Lperr_V1.4:8:4779472:4786224:-1 gene:LPERR08G05630 transcript:LPERR08G05630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGRSLLLRSNAASKATSLAKYVATTGSLPDSSDAPARYFSSEPSLQDVSTEENGFKGHGMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQISWIYINISQSVRFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLEDLIIKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIHAVLKKYDILLIADEVITAFGRLGTMFGCDLYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKEKNITEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPEEVEEIISKYGDALKATEERIAELKSKRGILNPPN >LPERR08G05640.1 pep chromosome:Lperr_V1.4:8:4802311:4807899:1 gene:LPERR08G05640 transcript:LPERR08G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDNDEDVIGALSLIIYTITLIPLMKYVFVVLRANDNGQAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVVILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGAFNIHKYNSSVLKAYNPVYIYRYFRRRKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVAIAFFIIMYVWHFCTVKQYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFIMKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSTKALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPLRGNSMTRYSNQTFGDELEFLNRCKDAGVVHILGNTIVHARPDSGIIKKVAVNYVFAFLRKICREHSVIFNVPHESLLNVGQIYYI >LPERR08G05650.1 pep chromosome:Lperr_V1.4:8:4808284:4811017:-1 gene:LPERR08G05650 transcript:LPERR08G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLNALHKTRVPSAGSGLLPFSAVSSPSLSLGLPILARCCPSRPRRRPPARRAPPSSDRVIHPSLHTVVHLLEMDPPSHKANEGLIGENPDAYAVTTYQPVLAVPGQPSTIAGFSQAPHGAPLYPVNPPPLSEQQQHSIQQVQQLQQQHQQQLQAFWANQMTEVEQMTDFRQPNLPLARIKKIMKADEDVKMIAGEAPAVFAKACEMFILDLTTRAWQHTEENKRRTVQRNDVTAAVMKTDIFDFLVDVMPDDHKEGGMGSQDAASMHTMVAPYAPGLAFPFEVYPNQHGLSYMWPPQEQQEQQWLPQEKQEQQQDDSDGGQDE >LPERR08G05660.1 pep chromosome:Lperr_V1.4:8:4814644:4819630:1 gene:LPERR08G05660 transcript:LPERR08G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIGSSPAAAAAAKVCAGVRASPASRLLLRALDHSSRRVVGLSSSSSAGRAAGPAMAAAEAGASVAAEVKSSAGVKQALISLSDKTDLAYLGNGLQGLGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSIHGGILARRDQENHLKALNEHGIGTFDVVVVNLYPFYNKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDNQQFRKMLAWKAFQHSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERVPQDNELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACEKGIGVIAEPSGSIRDSDAVDCCNKYGVSLLFTGVRHFRH >LPERR08G05670.1 pep chromosome:Lperr_V1.4:8:4820849:4828678:1 gene:LPERR08G05670 transcript:LPERR08G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSPGSRTRSGLVRLNNTMEYNEAVISKTRSGLVRIKDTVKSEEVLLSKTRSGLTRANINVSSNEGSCSTIQNGLVRGKDIVDSNVGNLTSKTRGGLVRRNAIADSTDGSCSKTRSGLVRGNATLDSNEGSYAKTRSGLVRGGDFVGLNQDSSSKTRSGLVRGGGIVNFSEGSSSKIRSELFRENTTVTASNNSYSATRSGLVRGNITVDSKEESRLRTPSGLGGESIQMDCNESSCSRTRSGLVRRKPSMVQVKDEPMMHGLSDGCLKEDTPGKIEPNHKSNLVESKDKPVMKGPDGWWKEGRLMKHGSKKRSDLFEIRDELSIKGLPDGCSKEDLEIKDGSNHKNGVLQRKDGRLPDGWWKEDRPRKNGSNQKTDPYYIDPVSGYEFRSLKDVHRFLKTGDIYKCSMRPKKRTIQDPHTIENQSHTSPVSQHTRPGTADKAIQCELLTSEGVLLPWEEQLSPYRESNTKNKTVELEGMIAPQRYANKVDALLTASTGGAPREKKSSTRKRKEPKSEVKPKKHKIIHTKMAAMPLRASPRLASLNITHDLNNKPEDEPISVNLVNEVQTADKSRLNQAGIDIQMQTDQETAANQLRLSQADTANQSQLIQADTGNQIFTDQEIQSSHMDSFDQLQTIEECITNHSESQLSQAATVNEIQTKQGNMAGQLQSSQTESLDQIWKEQESSVSQLQSSKADSYTEIQTIQEYITDQSQLQLSHTNEIQTDLGNTVYQLQSSQADSIFQMEATQEYAANQSQSRQADIANHIQVNQDNTANQFQLGQLRQADTVNNMRTIQERTTDQPQLIQALTVNKKQANEVNTANHLQSWQADHVNHIQVNQDNTANQFQLRQADTVNRIRTMQESATDQPQLIEALTVNQIEANEENTANYLQPNYAENNILQASFSLTPEPEEAPVASFWKNAENQELPISMQTGGKPIVSSASNVECRNRNVAAAAPAQPTRASLPITSFWKNVENQELPVPMQTDGNRIVSSGLNVDCQYVPASQPTRGPLPVTSFWKNVENQGLPVFLQTDRKPAVSSAINVEYQNVPATAAIAQQTRAPQPGAASDQSRLDVPSQFGNSWSDPCIEFAFKTLTGDLNVLDDTSAVEEYFPQHDLNQPPSPDYSASPSFAPSFDNTRNFTQVDHAGLPAPNPSDKVYNGSWFPPK >LPERR08G05680.1 pep chromosome:Lperr_V1.4:8:4831116:4837467:1 gene:LPERR08G05680 transcript:LPERR08G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVAERAPAPAGRWGAAPPQELLERLKDYGQEGAFALWDELAPEERDFLVRDIESIDLARIDRIVRCSLRSQGVPVPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQALAFLLGSHFSNFKLNEFCAYRSWLLSPLMVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQRTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >LPERR08G05680.2 pep chromosome:Lperr_V1.4:8:4831003:4837467:1 gene:LPERR08G05680 transcript:LPERR08G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVAERAPAPAGRWGAAPPQELLERLKDYGQEGAFALWDELAPEERDFLVRDIESIDLARIDRIVRCSLRSQGVPVPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQALAFLLGSHFSNFKLNEFCAYRSWLLSPLMVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQRTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >LPERR08G05690.1 pep chromosome:Lperr_V1.4:8:4835211:4836777:-1 gene:LPERR08G05690 transcript:LPERR08G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIIIALSCCTAALLLLSSHLNSPATSFLGILLDLATPSFPFYLLKSGTRNSTENHGTRNFIENHRYRDTHNPLCDDFPPEFPPPDTAAVSIFCVDPDGCCNFTTVQAAVNAVPSFSKKRNVVWINKGIYYEKVTVPVNKPNITFQGQGFDLTAITWNDTANSSHGTFYSSSVSVFAAGFVAKNISFINVAPIPRPGDVGAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYEDCRVVSIADPVPAGVRTITGSVTAHARESEEDNTGYSFVNCSIGGNGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRHQTVFYGEYRCTGDGANLSDRVPYARKLSDVQVLPYLNTSYIDGDRWLKPYCDSLVSA >LPERR08G05700.1 pep chromosome:Lperr_V1.4:8:4837366:4839732:-1 gene:LPERR08G05700 transcript:LPERR08G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKSSKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGGKKAFIAA >LPERR08G05710.1 pep chromosome:Lperr_V1.4:8:4850945:4854259:1 gene:LPERR08G05710 transcript:LPERR08G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSPPRRLHSTVSLLTLTMLMIILMLPTGTAASAATTCDCGNAAAPAEAADEKGAMRLKVVAIASILAAGAAGVVVPLLGRSLAAFRPDGEVFFAVKAFAAGVILATGMVHILPAAFDALSSSSSPPHAHARNFPFAGLVSMAAAMATMMIDSLAAGYYRRSHFKKARPVDDPAADEEHAGHVHVHTHATHGHAHGHAGAAAAASPEDASSAAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQASFKAKATVIMATFFSLTAPVGIALGIAITSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLATDFNNPKLQTNTKLQLATYLALFLGAALMSLLAIWA >LPERR08G05720.1 pep chromosome:Lperr_V1.4:8:4855749:4860592:1 gene:LPERR08G05720 transcript:LPERR08G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGVLRPLRRLTLTTVPTPLRALSSTASAASSDSESAAAAPAADFDSSEFAIPHPPPPKNPVSALRKLRFDPSLRARADEALFGKDERGGVVVVEEEERSREVAMALLEAALEPPDEDDDEVGPGEVREEEQMSLSVGIVGAPNAGKSSLTNSMVGSKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVMFDVNRHLQTPDSRVIKLIKRLGSEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMVSGLKGKGVKDIVQFLTEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGTEANEELRSIFKRVVHLILQVRVAKKRNA >LPERR08G05740.1 pep chromosome:Lperr_V1.4:8:4891790:4894265:1 gene:LPERR08G05740 transcript:LPERR08G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKAVVVELLPLILWLLVVAAAATSTTTSTHGELPVWVRARERRLLAQSVAGMEVDAVVAMDGSGQYMSIKEALEAAPADDSKRYVVYVKKGKYVGKVEIRRKKVMLVGDGIGETILSGRLSNRTKGTPCTATLSVHGEGFIGRDFTVENTAGPEEKQAVALLANASQSVFLQCEMTGYQDTLLAEKYTQFYKDCVISGSVDFIWGDAAAVFQGCLLLARRPLKGGHNTITAQGRNDPRGTSGFVFQKCVVTSKENLAGVDTFLGRPWGQYARVLFMQCDLDAAVVHPRGWTRW >LPERR08G05750.1 pep chromosome:Lperr_V1.4:8:4895134:4897927:-1 gene:LPERR08G05750 transcript:LPERR08G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPGPPHDRSKPTHHPVQPWWVGPEDSWCVAGPTGQEHGVRALTDSTYLTHTSRRIGFLDRPRDLACARIEGSRSTAPTAEEKQKQVGARKAVGLAARDASGHLSPLTISCRSTGDDDVAIKILYCGICHSDLHSIKNEWKNTIYPIVPGHEIAGVVTEVGKKVAKFKSGDRVGVGCMVNSCQSCESCGSGFENHCNGVIFTYNSLDKDGTVTHGGYSTTAVVHERFVVRFPDPMPLDVGAPLLCAGIMVYSPMKHHGLNAPGKHVGVVGLGGLGHIAVKFAKAFGMKVTVVSSSPGKRREAMERLGADAFVVSGDGGEIGAAMGTMDGIINTVSANIPIAPRMGLLKPNGKMILNKTLAGSCIGGMADTQEMMDLAAKHGVAADIEVIGADYVNDAMERLAKTDVRYRFVIDIGNTLKQDAATGVNSGTRYRDTPGLQ >LPERR08G05760.1 pep chromosome:Lperr_V1.4:8:4902089:4906697:1 gene:LPERR08G05760 transcript:LPERR08G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGKRNGMSLKDRRELVYEVSQWPEGASEILQCWTRKDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRRNGQLKDDNANASVLGQNNEDDSQKKENGEQSHHFNRSTNSDSSICREGQAGSMVVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDRPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKLDGSFYCVWCGKMNWLMRSLRKQLAIAREARRVDVLCERMSLSHKMVKGSEHYRELANIINSAVKILEKEVGGALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDLETNNNLKAPGPQPQVCFEEITPFSVLVVLKYQDNIAKAEIDGCKVWHRSVNMANYPAEPTCHVLRPNTRTLVSGLIPSTEYFFKVLPFGCSLGYGEWEGKCSTRSLDHGSSQCSTQNSESVCIKEDLTQQQKSEFNLKNKQRRTIQYDSPSTNSSENNVSPDLYPKRAKFTRLDGASDNDESQLLPTSEVLPFVSSNSSLLEVPSKPDWLTSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAKERRIVNAFIDALISDPASLVAQLIHSFMEVVCSKEKPALPNGGCCNLCH >LPERR08G05760.2 pep chromosome:Lperr_V1.4:8:4902484:4906697:1 gene:LPERR08G05760 transcript:LPERR08G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGKRNGMSLKDRRELVYEVSQWPEGASEILQCWTRKDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRRNGQLKDDNANASVLGQNNEDDSQKKENGEQSHHFNRSTNSDSSICREGQAGSMVVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDRPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKLDGSFYCVWCGKMNWLMRSLRKQLAIAREARRVDVLCERMSLSHKMVKGSEHYRELANIINSAVKILEKEVGGALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDLETNNNLKAPGPQPQVCFEEITPFSVLVVLKYQDNIAKAEIDGCKVWHRSVNMANYPAEPTCHVLRPNTRTLVSGLIPSTEYFFKVLPFGCSLGYGEWEGKCSTRSLDHGSSQCSTQNSESVCIKEDLTQQQKSEFNLKNKQRRTIQYDSPSTNSSENNVSPDLYPKRAKFTRLDGASDNDESQLLPTSEVLPFVSSNSSLLEVPSKPDWLTSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAKERRIVNAFIDALISDPASLVAQLIHSFMEVVCSKEKPALPNGGCCNLCH >LPERR08G05760.3 pep chromosome:Lperr_V1.4:8:4903844:4906697:1 gene:LPERR08G05760 transcript:LPERR08G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGKRNGMSLKDRRELVYEVSQWPEGASEILQCWTRKDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRRNGQLKDDNANASVLGQNNEDDSQKKENGEQSHHFNRSTNSDSSICREGQAGSMVVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDRPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKLDGSFYCVWCGKMNWLMRSLRKQLAIAREARRVDVLCERMSLSHKMVKGSEHYRELANIINSAVKILEKEVGGALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDLETNNNLKAPGPQPQVCFEEITPFSVLVVLKYQDNIAKAEIDGCKVWHRSVNMANYPAEPTCHVLRPNTRTLVSGLIPSTEYFFKVLPFGCSLGYGEWEGKCSTRSLDHGSSQCSTQNSESVCIKEDLTQQQKSEFNLKNKQRRTIQYDSPSTNSSENNVSPDLYPKRAKFTRLDGASDNDESQLLPTSEVLPFVSSNSSLLEVPSKPDWLTSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAKERRIVNAFIDALISDPASLVAQLIHSFMEVVCSKEKPALPNGGCCNLCH >LPERR08G05770.1 pep chromosome:Lperr_V1.4:8:4913983:4915975:1 gene:LPERR08G05770 transcript:LPERR08G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLIRSLRKQLAIAREARRVDVLCEHLSLSHKMVKGSERYRELANIINSAVKILEEEVGGALDQVSEITGRGIVNRPQPQVCSEEITPFSVLVVLKYQDNIAKAEIDGCKVWHRSANMAYYPAEPTCHGLRPNTRTLVSGLITSTKYFFKILPFGCSQGYGEWEGKCSTRSLDHGSSQCSTQNSESVCIKEDLTQQQKSGLNLKNKQRRAIQYDSPSTNSSENNRSKFTRLDGASDNDGSQLQLTSEVLPFVSSNSSLLEVPSKPDWLTSTPDFASKNHVERQYEYSVKVIIWLEHEGHMDKDFHVKFLTLFSLKASAKERRIVNAFIDALISDPASLVAQLIHSFMEVVCSKERPALPNGGCCYLCH >LPERR08G05780.1 pep chromosome:Lperr_V1.4:8:4920024:4922978:1 gene:LPERR08G05780 transcript:LPERR08G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFSRNDFDTCVVLLSQLKVLLTKFPSLPPSFRQTPNAVEELKLARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPASALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSISEAKQVLMFSADQELQQYITEEHPEWEIKGGCVYFQKAKETQPCKEIPSLQVINQTLSYARELERIV >LPERR08G05790.1 pep chromosome:Lperr_V1.4:8:4925396:4928000:-1 gene:LPERR08G05790 transcript:LPERR08G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSCSCRLGLTSADPCRRRRREGGGCGPAPPSAGSPSPASVGPSSSDDEMEEAAAETLLRGGDEEGSMRARFEREIRRVQAEVCAALEAVEEVAGAGAGGRFREDSWTRPGGGGGGISRVMQGGAVFEKAAVSVSVVYGVMPPDAYRAARPDAAAAAAGGGGGGGVGEKAGPVPFFAAGVSSVIHPRNPFAPTLHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDINHFHSVQKQACDKFDPTFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLNDYDQETLLNFATDSVIPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHVIFEMP >LPERR08G05790.2 pep chromosome:Lperr_V1.4:8:4925396:4927916:-1 gene:LPERR08G05790 transcript:LPERR08G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGTAKRRLTVPRLSGPLLLRRRDAPGAPRQWWFGGGTDLTPSYIIEEDINHFHSVQKQACDKFDPTFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLNDYDQETLLNFATDSVIPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHVIFEMP >LPERR08G05800.1 pep chromosome:Lperr_V1.4:8:4927744:4932618:1 gene:LPERR08G05800 transcript:LPERR08G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVVQPPPFHALRRRSWIIRCSESEEEQLPRRRRRRGPLRRGTVSRRLAVPDHIRLPPYAAAGTDRLPDVSPSRQLHDGESIAHEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTKQLVKVTEECMLKGISACKHGASFSSIGQRISEYVDEYGYSIDPFVGHGVGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSLGGTQCTLWDDGWTAVTVDGSLNAQFEHTIFVTYDGAEILTMCPSVR >LPERR08G05810.1 pep chromosome:Lperr_V1.4:8:4933152:4933832:-1 gene:LPERR08G05810 transcript:LPERR08G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSKNLVLLMVVFLIIAANLSPSFAAKAPEEEKKKPAYELPPGEAGGKPSSRGRADFVRACCATEKDPAASSYCYEQLLPYGDSYNSNQAKVAEVATILLANKLQAIHDEMKLQTKPPLKGSGDSNLLSCIQLFANATAKEITEPAMDSLGRLADAAAGDGEGKKSKEEDLEKVQKWIKNAESQYTGNNDACVLGMLYEYSLPALDDLDNAMKTATSLVNAIKI >LPERR08G05820.1 pep chromosome:Lperr_V1.4:8:4938413:4940336:-1 gene:LPERR08G05820 transcript:LPERR08G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSYVTEQQFMMDATTGESSSQPDGFSSQPTGFPTHPAFSFPAFCSQPPPAPLAPATPAGAHSAGSISARRRRVAADPEGEGGGRLYYSNKEDLRLLNNSTDPIEGNGRKGDTY >LPERR08G05830.1 pep chromosome:Lperr_V1.4:8:4940927:4941697:-1 gene:LPERR08G05830 transcript:LPERR08G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPILLARTTAARLTGMHRPLLLARTAEARSSGIRRRPVAPLLPTARTMAARSNGIGRQLGDCGGAAPLPPARPTTARSIRMRQQLSNGGSAGGGSAPPGVDGGCEFKRDAAGGSAPPVMPLATMTHQRRRQHRDSGNGRGRVCPLLRLPRTGVRSASPPTRCSCRTASARRSITSSTTSPHSSPPWLSGSSPRGGSRCSAWPSSRVPAIAFAGGLYAYTLTGLTSRVF >LPERR08G05830.2 pep chromosome:Lperr_V1.4:8:4940911:4941697:-1 gene:LPERR08G05830 transcript:LPERR08G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPILLARTTAARLTGMHRPLLLARTAEARSSGIRRRPVAPLLPTARTMAARSNGIGRQLGDCGGAAPLPPARPTTARSIRMRQQLSNGGSAGGGSAPPGVDGGCEFKRDAAGGSAPPVMPLATMTHQRRRQHRDSGNGRGRGRSRGVSMPSTSSTSDWCSIGISTDTLLVQDGIGEKVNNFIHYITTFLAALVVGFFAAWRLALLSVAVIPGPGHRLRRRSVCLHTHRPHIQSLLSTCH >LPERR08G05840.1 pep chromosome:Lperr_V1.4:8:4942120:4963338:1 gene:LPERR08G05840 transcript:LPERR08G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSVPAPLPPPPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLASAGAVPGFVPLPPPPPPPPQMPPVPLPHMPFLPMMPHVPAPMPPPVELPEEINEVAVDVEREEDEGGTAGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLAIMDELDETKVLSCLQIETIVYACQRHLYHLPAGARAGFFIGDGAGVGKGRTIAGLIWENWKKGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLTYSKIDSKAIGITDGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGGGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFAAVEAPLEERMMNMYRKAAEFWAELRVELLTAIEYYAEDKGNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAVSKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQTGEEKVAEVQRKRHYGPDVSFKGRARKLAKMEDISDDGSDEYSLQSDHESTESEEEFNMCQICNTEEKTMLLHCTGCAAHVHPGCLIPPWTGMITDDWSCYSCKEKVESYFKERDAYITELSKRYNTAVERKSDILQIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNKKEVALDMINIHEKQQFMDGEKFVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQNSAPEYRLLFTNLGGEKRFASIVARRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALTMMYRGILEQDGLPVLPLGCSEDQASLQGFITKAKAALVSVGIIRDAIMIFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDCGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSVDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETDDNQRIVGLMIPNAAVESVLEGLQWIQDIDD >LPERR08G05840.2 pep chromosome:Lperr_V1.4:8:4942120:4963338:1 gene:LPERR08G05840 transcript:LPERR08G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSVPAPLPPPPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLASAGAVPGFVPLPPPPPPPPQMPPVPLPHMPFLPMMPHVPAPMPPPVELPEEINEVAVDVEREEDEGGTAGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLAIMDELDETKVLSCLQIETIVAGFFIGDGAGVGKGRTIAGLIWENWKKGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLTYSKIDSKAIGITDGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGGGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFAAVEAPLEERMMNMYRKAAEFWAELRVELLTAIEYYAEDKGNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAVSKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQTGEEKVAEVQRKRHYGPDVSFKGRARKLAKMEDISDDGSDEYSLQSDHESTESEEEFNMCQICNTEEKTMLLHCTGCAAHVHPGCLIPPWTGMITDDWSCYSCKEKVESYFKERDAYITELSKRYNTAVERKSDILQIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNKKEVALDMINIHEKQQFMDGEKFVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQNSAPEYRLLFTNLGGEKRFASIVARRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALTMMYRGILEQDGLPVLPLGCSEDQASLQGFITKAKAALVSVGIIRDAIMIFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDCGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSVDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETDDNQRIVGLMIPNAAVESVLEGLQWIQDIDD >LPERR08G05840.3 pep chromosome:Lperr_V1.4:8:4942120:4963338:1 gene:LPERR08G05840 transcript:LPERR08G05840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSVPAPLPPPPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLASAGAVPGFVPLPPPPPPPPQMPPVPLPHMPFLPMMPHVPAPMPPPVELPEEINEVAVDVEREEDEGGTAGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLAIMDELDETKVLSCLQIETIVYACQRHLYHLPAGARAGFFIGDGAGVGKGRTIAGLIWENWKKGRHKALWISIGSDLKYDARRDLDDVGAKYVEASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGGGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFAAVEAPLEERMMNMYRKAAEFWAELRVELLTAIEYYAEDKGNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAVSKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQTGEEKVAEVQRKRHYGPDVSFKGRARKLAKMEDISDDGSDEYSLQSDHESTESEEEFNMCQICNTEEKTMLLHCTGCAAHVHPGCLIPPWTGMITDDWSCYSCKEKVESYFKERDAYITELSKRYNTAVERKSDILQIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNKKEVALDMINIHEKQQFMDGEKFVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQNSAPEYRLLFTNLGGEKRFASIVARRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALTMMYRGILEQDGLPVLPLGCSEDQASLQGFITKAKAALVSVGIIRDAIMIFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDCGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSVDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETDDNQRIVGLMIPNAAVESVLEGLQWIQDIDD >LPERR08G05850.1 pep chromosome:Lperr_V1.4:8:4964782:4968902:1 gene:LPERR08G05850 transcript:LPERR08G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGQPETPPASASCSSPSSSSSSASSPSASSGRRGRRRPDILGMLRSAVCLDSSSSDTGKGRSKLSTNKVTHGFHLVEGRSGHDMEDYHVAEYKYENNHELGLFAIYDGHLGDSVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVDGRDMWIANIGDSRAVVCESGTANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHVAISSSVEFVILASDGLWKVIKNQEAVDLVKSIKDPQAAAKRLTSEALAKMSKDDISCIVIRFQC >LPERR08G05860.1 pep chromosome:Lperr_V1.4:8:4975904:4989441:1 gene:LPERR08G05860 transcript:LPERR08G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDQNFGTSEERKTVEAARAEFQKNRFKTRHTSDILARMQLAKANNFSIDPQKETDGNPINIDTATVSDILKKALSYLSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLSTTLSSEHHKEICRYLYNRQVLGVFEWSGVNPIPPELFLLPSVVPIQPGRLWSHFRMAFIPMSYLYGKRFVGPITKLVTSLREELHIHPYKKIDWKEARKLCAKEDAYNPHTWLQECLSDCLYSFGEPFLTRWPISYMRKRALQQIADFLKYEDENSQYICIGAAQKKALKDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCSSGGADYQMETCCYFDAVNVLLSLQNPNGGYGTWEIARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIMNATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEALSAVGRCYDDSACIRKSCKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVQRDPTPLHKAAGLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQNYQTL >LPERR08G05860.2 pep chromosome:Lperr_V1.4:8:4975904:4989310:1 gene:LPERR08G05860 transcript:LPERR08G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDQNFGTSEERKTVEAARAEFQKNRFKTRHTSDILARMQLAKANNFSIDPQKETDGNPINIDTATVSDILKKALSYLSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLSTTLSSEHHKEICRYLYNRQVLGVFEWSGVNPIPPELFLLPSVVPIQPGRLWSHFRMAFIPMSYLYGKRFVGPITKLVTSLREELHIHPYKKIDWKEARKLCAKEDAYNPHTWLQECLSDCLYSFGEPFLTRWPISYMRKRALQQIADFLKYEDENSQYICIGAAQKKALKDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCSSGGADYQMETCCYFDAVNVLLSLQNPNGGYGTWEIARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIMNATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEALSAVGRCYDDSACIRKSCKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVQRDPTPLHKAAGLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQNYQTL >LPERR08G05860.3 pep chromosome:Lperr_V1.4:8:4975904:4988957:1 gene:LPERR08G05860 transcript:LPERR08G05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDQNFGTSEERKTVEAARAEFQKNRFKTRHTSDILARMQLAKANNFSIDPQKETDGNPINIDTATVSDILKKALSYLSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLSTTLSSEHHKEICRYLYNRQVLGVFEWSGVNPIPPELFLLPSVVPIQPGRLWSHFRMAFIPMSYLYGKRFVGPITKLVTSLREELHIHPYKKIDWKEARKLCAKEDAYNPHTWLQECLSDCLYSFGEPFLTRWPISYMRKRALQQIADFLKYEDENSQYICIGAAQKKALKDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCSSGGADYQMETCCYFDAVNVLLSLQNPNGGYGTWEIARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIMNATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEALSAVGRCYDDSACIRKSCKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVQRDPTPLHKAAGLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQNYQTL >LPERR08G05870.1 pep chromosome:Lperr_V1.4:8:4977566:4988956:-1 gene:LPERR08G05870 transcript:LPERR08G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHLSNFAISVLGKAAFWAASEIKSVWNFKKELGKLERSLKSICGVLKDAEHKQSTSCALQVWLEDLKDVVYDIDDVLDDVATKDLEQKVHNGFFAELDEIAVYRREFALTEETIDTQFFSSNDRETNSFINELDIVGRDQVKNKIVEIILGAADAHAFSVLPIVGLGGIGKTALVKLVYNDTRIKQKFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLFGVLQGKKYLLVLDEYGVIIGGRGSVVVVTTRNLNVASLVKTLEPYCVAELSFDECMQDALLLEIGKCIVEKCHGVPLAAKTLGSVLFGTRDVKEWLCIRDADLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYMILRELLIMFWMALGLLHKTSTGDELETIGGQYFNELDRRSLFQDHYVIYDGTIQSCKMHDLIHNLATFVCHKEHAVIDCESIDLSDKARHLVWDCKNFSTDIKFPKHLRKANKARTFASIDNNGTMTKAFLENFLSTFTLLRVLIFSEVDFDELPSSIGNLRHLRYLDLQWNVKIKLLPNSLCKLVNLQTLQLSRCDQLEKMPRDVHRLVSLRFLGLTLKSKYLSEHDSFCGLTSLTFLFLNSCAELSSLTNGFGNLTALRELHIFNCPKLAGLPSTMNRLSTLRTLSINNCIELNLLEPSEAMGGLVSLTVLQLVGLPKLVCFPESFISAATSLQYFGIGNCDGLKKLPDFFQSFTSLKKIVINGCPEISRRCAVKSGEDFLLISHVPNIRIDMTNYRKMTPSHPECSSD >LPERR08G05880.1 pep chromosome:Lperr_V1.4:8:4991565:5005483:1 gene:LPERR08G05880 transcript:LPERR08G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYYQKLGEGSNMSIDSLNSMQTSMHGGSVASSVGSNDSRTGMLKHSSLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKNYEEWTIDLGKLNIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSLRNFLSRRQNRSVPLKLAVKQALDVARGMSYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPYDCLPALAEIMTRCWDANPDSRPPFTEVVSMLEQVEMEVLYTVRKARFRMAEGAKFHGIVGAAGAHDVGGNFCDMGYYYQKLGEGSNMSIDSLNSMQTSMHGGSVASSVGSNDSRTGMLKHSSLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKNYEEWTIDLGKLNIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSLRNFLSRRQNRSVPLKLAVKQALDVARGMSYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPYDCLPALAEIMTRCWDANPDSRPPFTEVVSMLEQVEMEVLYTVRKARFRCCVSEPMTLD >LPERR08G05890.1 pep chromosome:Lperr_V1.4:8:4996685:4999208:-1 gene:LPERR08G05890 transcript:LPERR08G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAARGASSLKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYMNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGTYSPGVGDGQAYLHLPFSSPYYQYQPPASPSMGYSNSGTGMSQGDPMLQQEYFLPDGILYSPTPGYHQPFGSFDRAPTQPSNAPGLFGQGNTPLASGMHHGSIYAPGSYKPRQQGGKFGGTTPSWSSGHRFGTFDLSVNQQKGNMPFGIQNGNLEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVSLIDSELYNRPDFVTEYKDANFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRMAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPGSTEPQKPAENKALGELMAQISDTFAQTVQLKETENTGSKPGIEGVASADDASTATTKAEDAMANENASPVE >LPERR08G05900.1 pep chromosome:Lperr_V1.4:8:5006323:5012575:-1 gene:LPERR08G05900 transcript:LPERR08G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAIPKQGVSRKHTRPLKWNLYAERAGRSPASVYTRSYKRLKLMNNSSNSAAKEQIISSTNEKMTTSAARGASSLKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYMNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGTYSPGVGDGQAYLHLPFSSPYYQYQPPASPSMGYSNSGTGMSQGDPMLQQEYFLPDGILYSPTPGYHQPFGSFDRAPTQPSNAPGLFGQGNTPLASGMHHGSIYAPGSYKPRQQGGKFGGTTPSWSSGHRFGTFDLSVNQQKGNMPFGIQNGNLEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVSLIDSELYNRPDFVTEYKDANFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRMAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPGSTEPQKPAENKALGELMAQISDTFAQTVQLKETENTGSKPGIEGVASADDASTATTKAEDAMANENASPVE >LPERR08G05910.1 pep chromosome:Lperr_V1.4:8:5014606:5019816:1 gene:LPERR08G05910 transcript:LPERR08G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPVTTTMVVVVVVATVALLMARRSEGIGVNWGTQLSHPLPASTVVQLLRDNGFDKVKLFDAEDAILTALRGSGLQVMVGIPNDMLAGLAAGEKAAEDWVAKNVSKHVNNGVDIRYVAVGNEPFLQTFNGTYLNTTFPAMQSVQAALVKAGLAGQVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYKDPNFPLDYAFFQGTTSAPVVDGGVTYTNTFDANHDTLVAALRRNGYPNVSITIGEVGWPTDGDANANPQYAHQFNQGFITHIASGQGTPLRPGAPVDAYLFSLIDEDQKSIEPGNFERHWGVFFYDGKPKYPLSLNNSSQQLTPAKGVTYLEKRWCVLKPSVSLGDQKVGDAVSYACGLADCTSLGYKTSCGGLDPKGNVSYALNSYYQVNDQDDRACDFRGIATTTTVDPSAGSCRFIIEIAPTSGSEVAMVTRRRVAVGVVLAVFVYLVESML >LPERR08G05920.1 pep chromosome:Lperr_V1.4:8:5030159:5031715:-1 gene:LPERR08G05920 transcript:LPERR08G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEENCGGGWSSASSSSSPAATSSSCNSASSSSWGWGGDYYSNIGRWSGVHELHAIAHRIVRDGYMQGLIRAFDGAAADEPLLEMWFSQLDVEWVLLIHDDDNPGQDWFPLEDLMDRWILALLTMVQVLHNTQLELHSKRPTTVVGVRSAILLFMSRLLSTTTNSPNYVQEVVQFARFAEASILRMLAFVHVVALTALKDNQNHRAPEILLPGMLRMYACISEALPTVLTLFKQVSDLLAFGSGHESQLFDAMHHILLHKRKKLSNAIWGMMDKVKSSLLMDNCWQVSHEAAAASGVHETTKLMMNYVTLLWRNDDVLKFILQDYHFGMFVSDNEGFNSVVNLITDIISSLGHKLEEASLSIMDPGLRCIFLLNNWHLVLQRVESLDLPSWALIETCMAQRYIDIYLDVSWSPMLCHLFIENSSNSPQKNKLFGLWRYKSLERFELEFQRIYTEQKFWKIPDPMLRDRLRKAIIQKVVTHYSIYLEERPAKGMHNPPPTNTPEQLKELLEELFEGY >LPERR08G05930.1 pep chromosome:Lperr_V1.4:8:5037421:5039818:-1 gene:LPERR08G05930 transcript:LPERR08G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAALLGEGWPDRTAAAPGRLAPCNGNGGLRHRTPPSMLSVAGCHAGFLRPRRNPRNPLLSFARSYVSCALHVVYYAVWSD >LPERR08G05940.1 pep chromosome:Lperr_V1.4:8:5042725:5044380:-1 gene:LPERR08G05940 transcript:LPERR08G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXNEYTVHKYVCNSAQLDAALAPDATFICGGGSGADLGGRRGKGSEEAGGCWHVRRWRCRRCWRVQLRREGNEQLRWSRRPPLHEAGRVGDGLAVAAVHPSHGLVRRTPLSFWWPCEDVAFRIVNREWEYSRKAGFKCTFDRGVLHLNFQFKRFFYKR >LPERR08G05950.1 pep chromosome:Lperr_V1.4:8:5044620:5049996:1 gene:LPERR08G05950 transcript:LPERR08G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVMVSSASGLLAMLQEPAAELKLHALAKLNSLVHLFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDLSDDSDYAHTLSAKALDEYASIRSKSGEEMMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLKEAISQCDNLNGALSYCINLSHQYVSHREYRCEILQCLVKIYQTLPNPDYLSICQCLMFLGEPQTVGNILSKLLSGSKDDALLALQIAFDLVENENQAFLLNVINHLETLSLQTSTQTLPSDQTAATEPSEDAQMTDNVITPNGNAHTVDPKEVEHADRLRKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAILATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGAAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYDPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >LPERR08G05950.2 pep chromosome:Lperr_V1.4:8:5044520:5049996:1 gene:LPERR08G05950 transcript:LPERR08G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVMVSSASGLLAMLQEPAAELKLHALAKLNSLVHLFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDLSDDSDYAHTLSAKALDEYASIRSKSGEEMMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLKEAISQCDNLNGALSYCINLSHQYVSHREYRCEILQCLVKIYQTLPNPDYLSICQCLMFLGEPQTVGNILSKLLSGSKDDALLALQIAFDLVENENQAFLLNVINHLETLSLQTSTQTLPSDQTAATEPSEDAQMTDNVITPNGNAHTVDPKEVEHADRLRKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAILATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGAAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYDPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >LPERR08G05960.1 pep chromosome:Lperr_V1.4:8:5053225:5058089:1 gene:LPERR08G05960 transcript:LPERR08G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFETNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAANENSGVDACDQVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRCARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNMSLVVHGTVTEGSSVGDIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >LPERR08G05960.2 pep chromosome:Lperr_V1.4:8:5054777:5058089:1 gene:LPERR08G05960 transcript:LPERR08G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFETNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAANENSGVDACDQVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRCARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNMSLVVHGTVTEGSSVGDIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >LPERR08G05970.1 pep chromosome:Lperr_V1.4:8:5056656:5062539:-1 gene:LPERR08G05970 transcript:LPERR08G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAVAPARSALPFPGRSGSRRASLLFAPPALAAAPARRLVARRAAADGKAVEAAAEALPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLKMASLMAMNMKRKEHAEVDSHGFSIFLDPKRLKLQDCELPDIMEEEKLSVGAPIDANMPTMALSCLLPIQGQEVVHQTLNTEYGMASSEPQQFSTDQVTTTAPMDVEVQLRQPQPQAPPCQQAAFWSVPDCLVIMDTNKKEVTKLPSTSEDLH >LPERR08G05970.2 pep chromosome:Lperr_V1.4:8:5058727:5062539:-1 gene:LPERR08G05970 transcript:LPERR08G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAVAPARSALPFPGRSGSRRASLLFAPPALAAAPARRLVARRAAADGKAVEAAAEALPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLKMASLMAMNMKRKEHAEVDSHGFSIFLDPKRLKLQNRSNSAQTKSPRQHRWTSRFSSGSHSLRRRRVSKLLSGQVSEQQMMLTRLCWCCFYL >LPERR08G05980.1 pep chromosome:Lperr_V1.4:8:5063318:5066257:-1 gene:LPERR08G05980 transcript:LPERR08G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGEAAAEGRRGEGCCGGGGGGARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPIGRDSLGGSQDTA >LPERR08G05990.1 pep chromosome:Lperr_V1.4:8:5069819:5070121:-1 gene:LPERR08G05990 transcript:LPERR08G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNYVHKREKSKPASKMKGKKGKGNKPIEEPEIPPPPLCDSMEWIGKEMSEFHTSMIVQWRKWDE >LPERR08G06000.1 pep chromosome:Lperr_V1.4:8:5076285:5083364:1 gene:LPERR08G06000 transcript:LPERR08G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPLPRARAAPRRLIWIPAVLILVAVTVLWVYLYPPRDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSSVFVDRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQQFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFHDPGPHGVFRYSKHMLPEVREAEFRKGSQWFSIKRQHAMVVVADSLYYTKFRRFCKPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTKELLQRPCLWNGLKRPCYLFARKFYPETLNNLMNLFSNYTIF >LPERR08G06010.1 pep chromosome:Lperr_V1.4:8:5090694:5091294:1 gene:LPERR08G06010 transcript:LPERR08G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHPLKQPKESIAFVLELKTMNATVQASYKVKYRVPGRLWRLWHPMNNIAGVLFNDDKRFETIILQDFEKWAATVGHRRASWQAARNKDGADVNFSNGGVIFAAHKIVLAMRSPVFQALLCLCGKMMEARMPCVTIDDVQPDVFRALLHFVYIDSLPDMGDLQGDVQ >LPERR08G06010.2 pep chromosome:Lperr_V1.4:8:5091299:5095124:1 gene:LPERR08G06010 transcript:LPERR08G06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLVAADKYAMDRLKLLYQNILGKNLDVENVATTLALADQHDCSKLKQTTSRCKTETEEGTHIFEIVGYSLKKAGIGAGKFIRSATFAVGGYDWAICFYPNGASQNSRPHSSIYLTLMTKNATAKASYELRLLNNSSSSGLMPLSFSEGPRVFDHVDATRFGVVVIPEKIDLAMYIKDDSITIECAVKVIKDQSSSETVAMMNSEIEVPPSDIVQHLGTLLETKQGADVTFCVGGEDIVAHKIVLAVRPPFFQAQLCGEMKEAMMSRVTIEDVQPNVFRALLHFVYTDSLPDMGNLYDDDKIEMMRHLLVAADKYAMDRLKLMCQNILAKNLDVENVATTLALADQYNCIKLKQVCVEFIASLDAMDAVMGTRGYADLKRTCPYALIDVLEKRNKARKTFFFWKNTIIMGLYTR >LPERR08G06020.1 pep chromosome:Lperr_V1.4:8:5097367:5101782:-1 gene:LPERR08G06020 transcript:LPERR08G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGHGGYAATSLATMLYYYCWFLLLLSVIFVIAAAQRPQVSSTFKTLTGNAPLVIARGGFSDGAGICLRELLMDNCTIISRVYPDGKQTYRINGVQKTGWFPLDYNMSSLSEALWTRTNKFDFCNLRIFSVTGFMSVVKPSSLWLNVEHSIFYTEHGLNMTSYILSVQKLDFVKYISSPELGFLRSLSGGINRKVKLVFRFLDKALSDPSTNKTYNFMLSNLTFIKTIASGIMVPKTYIWPVTTDNYVQFPTQIVKDAHSAGLEIYASDFSNDGNFPYNYSYDPLGEYLPFLSDGDFTVDGVLTDHPLTASEAIGSFTTLNTSRKTDHADPLIISHNGASGDYPDCTDLAYEKAVADGADVIDCSIQITKDGIPICMSSIDLFDTTDIQNSKFSSLASVIPEIQTKAGIFTFNLTWDDINTLRPKISHPYYDFVRDPRYANRGKLFSLFDFMTYALDKDLAGVIIIIKNAAFMAKSLGFDVADLLTTDFSHAGYKNLTMKNKEVMIQSKDSAVLVKLKQLKTHFKLVYTLPSTVGDATASSIEDIKKFADAAIVDRQSVFVESNGFILRETNLVKDLQSAGLAVYAKVFRNEFISPPQDFLADETVEINTYVQLVHVDGFITDFPKTLRRYKMKTCRSQGDGLPICMKPAQIGFLAELLEADCAGGMPPALAPMPVLNSMDVVEPPLPAAKPKIGTSSAGSTPHSAGHVTAAPASAAGAGILLGMVWASLLT >LPERR08G06020.2 pep chromosome:Lperr_V1.4:8:5097367:5101782:-1 gene:LPERR08G06020 transcript:LPERR08G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGHGGYAATSLATMLYYYCWFLLLLSVIFVIAAAQRPQVSSTFKTLTGNAPLVIARGGFSGLFPDSSQFAFSSALEATSYDTSLWCDVQLTKDGAGICLRELLMDNCTIISRVYPDGKQTYRINGVQKTGWFPLDYNMSSLSEALWTRTNKFDFCNLRIFSVTGFMSVVKPSSLWLNVEHSIFYTEHGLNMTSYILSVQKLDFVKYISSPELGFLRSLSGGINRKVKLVFRFLDKALSDPSTNKTYNFMLSNLTFIKTIASGIMVPKTYIWPVTTDNYVQFPTQIVKDAHSAGLEIYASDFSNDGNFPYNYSYDPLGEYLPFLSDGDFTVDGVLTDHPLTASEAIGSFTTLNTSRKTDHADPLIISHNGASGDYPDCTDLAYEKAVADGADVIDCSIQITKDGIPICMSSIDLFDTTDIQNSKFSSLASVIPEIQTKAGIFTFNLTWDDINTLRPKISHPYYDFVRDPRYANRGKLFSLFDFMTYALDKDLAGVIIIIKNAAFMAKSLGFDVADLLTTDFSHAGYKNLTMKNKEVMIQSKDSAVLVKLKQLKTHFKLVYTLPSTVGDATASSIEDIKKFADAAIVDRQSVFVESNGFILRETNLVKDLQSAGLAVYAKVFRNEFISPPQDFLADETVEINTYVQLVHVDGFITDFPKTLRRYKMKTCRSQGDGLPICMKPAQIGFLAELLEADCAGGMPPALAPMPVLNSMDVVEPPLPAAKPKIGTSSAGSTPHSAGHVTAAPASAAGAGILLGMVWASLLT >LPERR08G06020.3 pep chromosome:Lperr_V1.4:8:5097367:5101782:-1 gene:LPERR08G06020 transcript:LPERR08G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGHGGYAATSLATMLYYYCWFLLLLSVIFVIAAAQRPQVSSTFKTLTGSSKAFVSFAGNAPLVIARGGFSGLFPDSSQFAFSSALEATSYDTSLWCDVQLTKDGAGICLRELLMDNCTIISRVYPDGKQTYRINGVQKTGWFPLDYNMSSLSEALWTRTNKFDFCNLRIFSVTGFMSVVKPSSLWLNVEHSIFYTEHGLNMTSYILSVQKLDFVKYISSPELGFLRSLSGGINRKVKLVFRFLDKALSDPSTNKTYNFMLSNLTFIKTIASGIMVPKTYIWPVTTDNYVQFPTQIVKDAHSAGLEIYASDFSNDGNFPYNYSYDPLGEYLPFLSDGDFTVDGVLTDHPLTASEAIGSFTTLNTSRKTDHADPLIISHNGASGDYPDCTDLAYEKAVADGADVIDCSIQITKDGIPICMSSIDLFDTTDIQNSKFSSLASVIPEIQTKAGIFTFNLTWDDINTLRPKISHPYYDFVRDPRYANRGKLFSLFDFMTYALDKDLAGVIIIIKNAAFMAKSLGFDVADLLTTDFSHAGYKNLTMKNKEVMIQSKDSAVLVKLKQLKTHFKLVYTLPSTVGDATASSIEDIKKFADAAIVDRQSVFVESNGFILRETNLVKDLQSAGLAVYAKVFRNEFISPPQDFLADETVEINTYVQLVHVDGFITDFPKTLRRYKMKTCRSQGDGLPICMKPAQIGFLAELLEADCAGGMPPALAPMPVLNSMDVVEPPLPAAKPKIGTSSAGSTPHSAGHVTAAPASAAGAGILLGMVWASLLT >LPERR08G06030.1 pep chromosome:Lperr_V1.4:8:5116900:5117622:1 gene:LPERR08G06030 transcript:LPERR08G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKRVSRYAKKPKSDKATLSFEITNYSTVKNMAVGKFVRSPTFAVGGYDWAIRFYPNGAKKQQITGSSNTVYLEFLTKNRKVRATYDIRLVKQATGSRQGVDLTFIVGGEKIAAHKFVLVARSSVFETELFGEMMEKEAQSLTIEDMQLA >LPERR08G06040.1 pep chromosome:Lperr_V1.4:8:5117672:5124168:1 gene:LPERR08G06040 transcript:LPERR08G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDDNDYIEMIRLLLVAADRYAMDRLKLLCESILDDLLDVDTVATTLALADQHNCNNLKDVCIEFIATSDEMDAVMATEDYADLKRNFPYVLLDAFEKASKRHRKVSRYGKKPNPEKATLSFEVTDYSTVKDMAVSKFVRSPTLAVGGHNWAIRFYPNGAKKQSPSIMGNRITVYLEFLGKNCKVRAVYDIRLVNQDTGLRESICSSSVPRMFSNNRRFGPQKRVFMCRRTLEWEQSGYIRDDHFTIECVLTVVNEPKVSNATGRSGIEVPPSDISNHLGKLLQDEERFDVNFIVGGEKIAAHKLVVAARSSVFKAELYGEMKEKGAQCLIVEDMQPDVFRALLHFIYNDSLPDMDDVDDNEYIEMIRLLLEAADRYAMDRMKLMCESILDDHLDVDTVATTLALADQHNCNSLMDVCIEFMATSNEMDAVMATEGYADLRRNCPFVLIDAFEKASKLRVESKHR >LPERR08G06050.1 pep chromosome:Lperr_V1.4:8:5135861:5136940:1 gene:LPERR08G06050 transcript:LPERR08G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKTASRYTVELEQGTHSFEIVGYSLKKGIGSCQFIRSGTFTVGGYEWCIRFYPDGNGQKSTDFISVYLEFLSKNCEVKAAYDLRLVNLATGMLKSVYSETNLRVFKYGEISSFGPRYASFMRRTLLEEEASGYIKNDCLTIECALTVIVNESKVSNPTVVSEIKVPPSELSEHFGKLLVEEEGADVTFIVGKEKIAAHKIILATRSSVFKAELYGQMKEKRARSITVEDMQPDVFRDLLHFIYTDSLPDMEDLGDEDYYEIISLLLVAADRYAMDRMKLLCESILCKNLDVKTVATTLALADQHNCNSLKDVCVEFITRSDKMDAVVETEGYADLKRICPSVLVDVFEKASKLRRI >LPERR08G06060.1 pep chromosome:Lperr_V1.4:8:5143721:5144854:-1 gene:LPERR08G06060 transcript:LPERR08G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFTPGWKTVSTCTTAVVAEGVHVFEIHGYSDHKGMGTDEPIRSGAFAIGDFHWFICLYLDGYGDDAFDYVSAYLRLIGDGEVAIDGEPFWVSCKVKLVDQHTGVASNPQPYLRNTLKFSEKSKVLPCMMIPRAQLEVEPFLVDDRLTLEFHVTIKKDPWVSKTRRFPRILVPPPNIKRQLSELFETKEGADVTFDVAGVAVPAHKLVLAMRSPVFKAELCGLLRELGTDPITIVDMEPAVFKALLEFIYTDQFPVTHGSERKDNCEMIRQLLVASDRYAVDRLKLLCQSVLCKNLNIQNVATTLALADQHQCDKLKDACLEFMSCSKKMKGVVASKGYADLQRTAPSVLADVMAQMSKFNKMSSSAPQDESKSR >LPERR08G06070.1 pep chromosome:Lperr_V1.4:8:5147178:5152520:-1 gene:LPERR08G06070 transcript:LPERR08G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACSKTISTSTPATARGSHVFTITGYSKHRSGIFSVGGHNWSIRFYPDGESDDIHDYISVFLELMTKNAVAHLSCDIRLVDQITGVPSPSVNRTAPRLFKQNHGSSLVPRNGCFMRRSYFEASDYLCGDQLSIESIVTVIKGSDVSRTRSCDNNYKICVPRRSDDVAASFGRLLEPKETCDVRFSVGGETFDAHRAILAIRSPVFMAELFGPMRKKGTKSIVVKDMQPDVFRAMLRFIYTDSLDTVDVLQGDDYSAMIRHLLVAADRYDMERLKLECQSILCEHLVVHNVATTLGLAEQHNCSILMDACIRFMGSSAMDDIVATKGFVDLKDNCPTVLVDALVEVVKVTPVAATTADSIPPPSVHPSSGAMKRHQIDTAPRTFSTCIPNKAEGTHIFDIVGYSQIKGRGHKEHVTSGTFDVGGFHWAIVLYPDPHLVLINDDDDNVKAFLELKSQGGGKVRAACDVRLVDQSTGLSSSAQPNAKEFKVFDSDDSESKRGSCLSIKREKFETAPYLVDDRITMECVVTVKKEPRVSRARPVPRIKVPPSNIMTQLGDLLESKEGADVVFDVAGVPFPAHKLMLAMRSPVFKAELCGPMREEGTEPITIVDMQPDVFRALLQFIYTDWLPSIREFEGDDNGEMIRHLLVAADRYAVDRLKLLCQSILCKNLSVQNVATTLALADQHHCGMLKDACIEFMSCPNMVNDVVASQGFVDLEKTAPSLVAEAKEKMGRFKKMSRMMESDAPQDECN >LPERR08G06080.1 pep chromosome:Lperr_V1.4:8:5183220:5189287:1 gene:LPERR08G06080 transcript:LPERR08G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQGPPLWVRATMEDLEEEGAEQAGAPAERQQIATSAGINMTITRQKRKKTIPSTSSSAANASTPTYVEPPSSSGPIEPQTQETQCATLPVIEESTSPPKVRAMRNKKTSSVPVSPDSPSMSTRSKKRVTSTSPSMSTRSKKKLID >LPERR08G06080.2 pep chromosome:Lperr_V1.4:8:5182483:5183510:1 gene:LPERR08G06080 transcript:LPERR08G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAPTIASLPSDGAGLRPSAVANDDTQQNGLSYEGNDRQRKGKDCWQEAIHGGGSGGGDETAMVECLELIEQPVPSRTMMCRAFDSQISNRGTDSVMDTYLDNPFQHYEHVGVNEEDRYSIGSDISDSDSDETNADHEYVPGEEEDEEDDNDGDDSTDSDDEEWATKDAECDDQTPVLAYPLFFTHKAAILGGHVIV >LPERR08G06090.1 pep chromosome:Lperr_V1.4:8:5205971:5214320:1 gene:LPERR08G06090 transcript:LPERR08G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRARDDIQRMEAEAEAAAREEERRVEEAKACAVREEARAACLLDTEGRYDEALARADELASRHPGSAVVAHLAAVLHHHAAKRAIDAKDKPLIDKHRDAARRHYIRARDLAPNCVEIAHRLASVRLLCDNDGEAQPDILRALAIASPTDPADNNVQYDIVPTVAETTANDRIENALKLAHKRCAHIVSYLRDRWIPQNVRSLLAFSDKEGTAKAIKPARELAERYYYSARAQLTHAHINLEFAFNLDPEVDNRPFLNRVLRELNSVAGRFHDSLLLAMVRVKILFVLGDYTAMSAEANRAIGMVDPADPGNEDVAPGSVPGENSQDRISYVRAEIGRLLKKLVSVAGDYWRCYLTREERDGFLCVGINSLHRHYVNVYQEDHEAANVISDALSFVKKSRSWRFWICPYCVGKKIPDIDSLLQHIRNKHPEGSVWPKLLSVLDLKLISDTFGGDDFSGNVTIFPDSEEQYVFHFKSITVSDITEPRLFSELRENKRTEGIEILEKIKLKLKNFPTDKSTEFNEACAEIRDLWHYFLEISVLDFRALILPRAVAFIWNQLLQCMSKDEKAANRSIDAAVIDSVFPYFDEVPDIDEIFSNVDGAPDSNAAEPAICPNVSDVPGNNASNTDEHTLNISGAPNSNAFDIDETITITPDDPDRSSAIESGSSVSHASCSDDTLTAGEDKERNATEPAISPNVGDVLDTNAANTDGLSLDVAEVSKSNASVTNETFPIIADDTDSNAAEPAICPNVGDVLDNNAAKTDALSLNVDDVPNSNSSDTDEAIPNIADDPDNNAAEPAISPNVGHVLDSNAANTDALSLNVVGVPDGNASDIDGTIPNIADSPNRNSAKCGSNLSDVNKSKYFKILASCSDDTLTDGKDKESEVHVKDENYGATVNEKESNSPIEMVEYGSELDATPENTASHLLGKFDKSTEEIASISCFRKNIDVLENNNADEDMYFLNLITQLLWNLRHFRNEFLQRRPTFDISHADPCIAEKLYEIFSAWEKNEHSRMVLLLTDVKTTLCEIVNESNMFQKLQVGRNFASEIMVIILEGLHKFDTTVYFGAERVVLNTPCKYRILTLGIFGVELKQLMSCGCGEWFGEEEYRFFHKLDASSLDTTKINSFGELSILMDSQSDCEMRCNQCSGSVEQIGCFLSKGPHYFTIVLKDWLGSDESQAILSEALFGIASPLDITLLYKGVTLPQKGGHSATKYRLVSVICYIEHGYVCFARDQDKWLKYDNMTVKTVDCLGELLELYWEINLQPEVLIYEVIK >LPERR08G06090.2 pep chromosome:Lperr_V1.4:8:5205971:5214368:1 gene:LPERR08G06090 transcript:LPERR08G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRARDDIQRMEAEAEAAAREEERRVEEAKACAVREEARAACLLDTEGRYDEALARADELASRHPGSAVVAHLAAVLHHHAAKRAIDAKDKPLIDKHRDAARRHYIRARDLAPNCVEIAHRLASVRLLCDNDGEAQPDILRALAIASPTDPADNNVQYDIVPTVAETTANDRIENALKLAHKRCAHIVSYLRDRWIPQNVRSLLAFSDKEGTAKAIKPARELAERYYYSARAQLTHAHINLEFAFNLDPEVDNRPFLNRVLRELNSVAGRFHDSLLLAMVRVKILFVLGDYTAMSAEANRAIGMVDPADPGNEDVAPGSVPGENSQDRISYVRAEIGRLLKKLVSVAGDYWRCYLTREERDGFLCVGINSLHRHYVNVYQEDHEAANVISDALSFVKKSRSWRFWICPYCVGKKIPDIDSLLQHIRNKHPEGSVWPKLLSVLDLKLISDTFGGDDFSGNVTIFPDSEEQYVFHFKSITVSDITEPRLFSELRENKRTEGIEILEKIKLKLKNFPTDKSTEFNEACAEIRDLWHYFLEISVLDFRALILPRAVAFIWNQLLQCMSKDEKAANRSIDAAVIDSVFPYFDEVPDIDEIFSNVDGAPDSNAAEPAICPNVSDVPGNNASNTDEHTLNISGAPNSNAFDIDETITITPDDPDRSSAIESGSSVSHASCSDDTLTAGEDKERNATEPAISPNVGDVLDTNAANTDGLSLDVAEVSKSNASVTNETFPIIADDTDSNAAEPAICPNVGDVLDNNAAKTDALSLNVDDVPNSNSSDTDEAIPNIADDPDNNAAEPAISPNVGHVLDSNAANTDALSLNVVGVPDGNASDIDGTIPNIADSPNRNSAKCGSNLSDVNKSKYFKILASCSDDTLTDGKDKESEVHVKDENYGATVNEKESNSPIEMVEYGSELDATPENTASHLLGKFDKSTEEIASISCFRKNIDVLENNNADEDMYFLNLITQLLWNLRHFRNEFLQRRPTFDISHADPCIAEKLYEIFSAWEKNEHSRMVLLLTDVKTTLCEIVNESNMFQKLQVGRNFASEIMVIILEGLHKFDTTVYFGAERVVLNTPCKYRILTLGIFGVELKQLMSCGCGEWFGEEEYRFFHKLDASSLDTTKINSFGELSILMDSQSDCEMRCNQCSGSVEQIGCFLSKGPHYFTIVLKDWLGSDESQAILSEALFGIASPLDITLLYKGVTLPQKGGHSATKYRLVSVICYIEHGYVCFARDQDKWLKYDNMTVKTVDCLGELLELYWEINLQPEVLIYEVIK >LPERR08G06100.1 pep chromosome:Lperr_V1.4:8:5216179:5221125:-1 gene:LPERR08G06100 transcript:LPERR08G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADTASTCTPATMRGSHVFTVTGYSQHRGMGVGKFVRSGTFFAWGHEWAIRFYPDGFDKTSSDFVSVYVELLSKDPNVHASCDIRLIDQITGLSSSLNFTPPRVLNHNDLTRFAAQTGKFVKRNEFEASAYLANDQFIIKCVLTVIKEPLVSHTISSPKFGVPMSDIAAHLGKLLEEKEGTDVTLCVGENMFPAHKIILAMRSPVFKAQLFGPMRETGRELISIEDMQPDVFKALLHFIYTDSLDIIDDLERDDCGEMVRHLLVATDRYGMERLKIICESILCKNIGLQTVATMLALADQHHCGMLKDACIEFICSSSIDDIVATQGFVDLKTTCSAVLVDTLPDPLERGPRSVKRGPVSSVAVVFSVAAVFSGHLLKMSPKKTASTCTTETAEGCHVFSISGYSKTRGMGAGKFVRSATFSVGGHDWVIRFYADGLLGFKDYIFIYLHLLGRGVTVHASSDIRLVDQTTGLSTSVKRTATTLSSCYDSGEFTAMDHWYKNRIELEQSDYLRDDRLDIECVVTVMKEPRVSLTKSSPKVVVPPSDITVHLGKLLESKEAADVTFYVGEDTFVAHKIVLAMRSTVFKVELFGPMKEAGAQVIPIKDMQPDVFKALLHFIYTDSLPSIDDLVGDDRGEMIRHLLVAADRYAMERLKLICESDLCENLDVQSVAATLALADQHHCASLKDACIEFMSFFPRWMI >LPERR08G06110.1 pep chromosome:Lperr_V1.4:8:5256570:5264360:-1 gene:LPERR08G06110 transcript:LPERR08G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGVGRATGGRLFSGKEAYISDIFHMETAPNLVSTCTAPVFRGQHSFVVSDYSLNMGLGPGEFIRSKMFNIGGFDWSIRYYPDGVNHRCRRYIAVFLELMTQGAKEASTSQIYGWEKFIERTTLDASPALLLLDRITIVCDIIVLKDGPLVSGVVLPPPTKVPPSALSSDFEKLLESKEGADITFVVKGESFPVHKVVLIARSPILKALLCGSMTESGASHITVEEMEPVVFKALLHFIYTDSLMPFDNCDEDKLVPHLLRAADRYGMERLKAICEVKLCMNIDVERVITIFVLADQHQCNMLKRACLNFLATPNTLEKVIKTPEYDELKNLDPRNFPQTEDGRFFVAMRMLWWEILFRLTFPPLHFPHIAGMPRLGLPA >LPERR08G06110.2 pep chromosome:Lperr_V1.4:8:5256570:5264360:-1 gene:LPERR08G06110 transcript:LPERR08G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGVGRATGGRLFSGKEAYISDIFHMETAPNLVSTCTAPVFRGQHSFVVSDYSLNMGLGPGEFIRSKMFNIGGFDWSIRYYPDGVNHRCRRYIAVFLELMTQGAKVRAKYSFGILLGQSQTPSWKTEPTIPISQEASTSQIYGWEKFIERTTLDASPALLLLDRITIVCDIIVLKDGPLVSGVVLPPPTKVPPSALSSDFEKLLESKEGADITFVVKGESFPVHKVVLIARSPILKALLCGSMTESGASHITVEEMEPVVFKALLHFIYTDSLMPFDNCDEDKLVPHLLRAADRYGMERLKAICEVKLCMNIDVERVITIFVLADQHQCNMLKRACLNFLATPNTLEKVIKTPEYDELKNLDPRNFPQTEDGRFFVAMRMLWWEILFRLTFPPLHFPHIAGMPRLGLPA >LPERR08G06110.3 pep chromosome:Lperr_V1.4:8:5258125:5264360:-1 gene:LPERR08G06110 transcript:LPERR08G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGVGRATGGRLFSGKEAYISDIFHMETAPNLVSTCTAPVFRGQHSFVVSDYSLNMGLGPGEFIRSKMFNIGGFDWSIRYYPDGVNHRCRRYIAVFLELMTQGAKEASTSQIYGWEKFIERTTLDASPALLLLDRITIVCDIIVLKDGPLVSGVVLPPPTKVPPSALSSDFEKLLESKEGADITFVVKGESFPVHKVVLIARSPILKALLCGSMTESGASHITVEEMEPVVFKALLHFIYTDSLMPFDNCDEDKLVPHLLRAADRYGMERLKAICEVKLCMNIDVERVITIFVLADQHQCNMLKRACLNFLATPNTLEKVIKTPEYDELKNLDPSMLLEFLETACVVPKV >LPERR08G06110.4 pep chromosome:Lperr_V1.4:8:5258125:5264360:-1 gene:LPERR08G06110 transcript:LPERR08G06110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGVGRATGGRLFSGKEAYISDIFHMETAPNLVSTCTAPVFRGQHSFVVSDYSLNMGLGPGEFIRSKMFNIGGFDWSIRYYPDGVNHRCRRYIAVFLELMTQGAKVRAKYSFGILLGQSQTPSWKTEPTIPISQEASTSQIYGWEKFIERTTLDASPALLLLDRITIVCDIIVLKDGPLVSGVVLPPPTKVPPSALSSDFEKLLESKEGADITFVVKGESFPVHKVVLIARSPILKALLCGSMTESGASHITVEEMEPVVFKALLHFIYTDSLMPFDNCDEDKLVPHLLRAADRYGMERLKAICEVKLCMNIDVERVITIFVLADQHQCNMLKRACLNFLATPNTLEKVIKTPEYDELKNLDPSMLLEFLETACVVPKV >LPERR08G06120.1 pep chromosome:Lperr_V1.4:8:5280429:5282988:-1 gene:LPERR08G06120 transcript:LPERR08G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAAAAKTASRCTPETARGTHEFEIVGYSLHRGLGRGKFIRSAAFAVGGLDWCIRYYPDGDFRDECRDYVSAFVELLTAQDNVRALYSLGLVDHATGLPSPASMAMPAKPMLFDGPKFARGEEKFIRRSELEAASPYLRHDRLVIHCDVTVIKDPLVVSTAAGVEIEVPPSDILANLGGLFEDKKEADVRFIVEGEIFRAHKIVLAMRSPVFKAELYGPMRNRRIIRGHSSIVVEDMRPAVFRGLLHFIYTDSLPLDGSEDNDEMVKELLVAADRYGMERMKLMCESIICKSLDVESVANTLALADQHHCIKLKDACIQFISSLIKTDDLIATQGYQDLKRECPFVFVDIWEEAIKSRKI >LPERR08G06130.1 pep chromosome:Lperr_V1.4:8:5286409:5286600:-1 gene:LPERR08G06130 transcript:LPERR08G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVFKAELYGPIGDKMRQSVIVKDMQPVVFKMLLHFIYTDSLPSMDDLDESKTLFGSKKSM >LPERR08G06140.1 pep chromosome:Lperr_V1.4:8:5287958:5289022:1 gene:LPERR08G06140 transcript:LPERR08G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVITKTSSTSILEKGTHTFKISGYSSKHRGLGINKYIRSAAFAVGGYEWCIRCYLDGISTHNDHVSVYLHLLTMGAAEVRAVYTTRLVVPSTADVLTLHSTAAPVVFAGEKLVWGSPNWKKRSELESSPFLRGDCLVIECDVTVLREPRLEEVAAGLGFDLPPSNLSDNLRRLLETGEDLADVTFDVKGEIFRAHKIVLAMRSPVFKAELYGTIGDNGQDSIIVNDMEPADFKEMLHYIYSDSLPSIDDLNEVEGYNMAMRLLVAANKYAMERMKLMCESILCKRIDAKRVLFILTSAYRCRCGKLKDSCFEFINSLDRLDELLASQQYQILQRAHPAFIVDIWEKVAMSRKK >LPERR08G06150.1 pep chromosome:Lperr_V1.4:8:5297765:5298145:-1 gene:LPERR08G06150 transcript:LPERR08G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTVFDALLRYIYTNALLPAAAGDGDEAMAWDLLVAADRYGVERLKMICESTLCKRLNAGNVADMLALSDRQNCETLKDACIEFMATSGRMKEVEASPGYLQLRATCPLLLVEVFEKSSKFHDND >LPERR08G06160.1 pep chromosome:Lperr_V1.4:8:5303405:5308832:1 gene:LPERR08G06160 transcript:LPERR08G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPTPPLLPLRGLATKVEVIEIDLTEDAVDDASPSSSAPGSPPPPSVEVVGVRRLEEAIHGVMVRRAAPEWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAASAGDSDAAVEAARGFAFEAEVYAPMTEEEALSFSTARGWPSASYFVDEPPIGGDPRVGHCKDHPYSSDKIYQNQGH >LPERR08G06160.2 pep chromosome:Lperr_V1.4:8:5303405:5307906:1 gene:LPERR08G06160 transcript:LPERR08G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPTPPLLPLRGLATKVEVIEIDLTEDAVDDASPSSSAPGSPPPPSVEVVGVRRLEEAIHGVMVRRAAPEWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAASAGDSDAAVEAARGFAFEAEVYAPMTEEEALSFSTARGWPSASYFVDGSYKKRLLRKL >LPERR08G06180.1 pep chromosome:Lperr_V1.4:8:5320389:5320646:-1 gene:LPERR08G06180 transcript:LPERR08G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVATTYSGSILSSVSGAGEKNIILDNEEKKSGGVTPMVCPTVNILALYLLFFLRMD >LPERR08G06190.1 pep chromosome:Lperr_V1.4:8:5327778:5333154:1 gene:LPERR08G06190 transcript:LPERR08G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSSAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNVCWGTRGVTGFVNRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >LPERR08G06200.1 pep chromosome:Lperr_V1.4:8:5335725:5336949:-1 gene:LPERR08G06200 transcript:LPERR08G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHLDDTWVAYVGNGVGNRSSNVFSWQQLDSGIPSGRFGHSCSLVGDVLVLFGGINDQGQRLNDTWIGQIICEESRGVRMRIAWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGLSGSRLGDTWLLDLSNDLRSGSWHQIGDSEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDIWLLDISQRYPNWKELKYDLSSVLGEMPFPRVGHSATLVFGGKILVYGGEDSHRRRKDDFWILDLPALLQFESGSKKMVKRMWRRLRIDGQCPNYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEALGLRFDGQLYQVELVLHL >LPERR08G06210.1 pep chromosome:Lperr_V1.4:8:5353224:5360721:1 gene:LPERR08G06210 transcript:LPERR08G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGVCITLVNGTTRHLPIGSMFRPTDGELVFHYLYQRAIQMPLPLNFIPNIDILCHNPWDIVPAQEQKNGKHFFTHKENKNPGGQRRNRATDNGFWRSAGSEVPVFYKPNGGAEDILVGMKRTLVFYRGKSSSTERTEWVMQEFRLAGWCLFRCPMMGQVTSGGSSNTEVTIAMTNDGGLSAAPTNVLPDSSWLICRIYAKRQRAPQVIIPPAFGNAQEVMIPLAGGNAGLAPRAGPSSPTCSIALSYEGSDECTDEGDAKDSYHANRDMSTTTGDGSEDVQVAMNRTL >LPERR08G06220.1 pep chromosome:Lperr_V1.4:8:5360815:5364665:1 gene:LPERR08G06220 transcript:LPERR08G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHATGGGSNLPFGSAKTTVANLVCHYLYRHAINLPLPFITDINIMHHKPWDIVQLYFFMRKEIKFLVATIATVSPVTGFGDRYAKRSPVYYNPRDGRYNMLVGMKHTLTFYYGKDSLQSALMGHEIILPRKC >LPERR08G06230.1 pep chromosome:Lperr_V1.4:8:5379305:5381202:1 gene:LPERR08G06230 transcript:LPERR08G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGVCITLVDGTTRHLPIGSMFRPTDGELVFHYLYQHAIQMPLPLNFIPSIDILRHNPWDIVPAQEKKNGKHFFTRKENKNPGDQRRNRATGNGFWKSTGSEVTVYYKPNGSADDILVGMKRTLVFYRGKSSSAERTEWVMQEFRLAGWCLSHCPVMGQVTNGGSSNAEVTIAMTNDGGLSAAPTQVLPDSSWLICRIYAKRQRAPQVIIPPAFGNAQEVMIPPAGGNAGEGRQVRFIDFVGQAPRAGPSSPTCSIELSYEGGDECTDEGDAKDSDNHGKGI >LPERR08G06240.1 pep chromosome:Lperr_V1.4:8:5395657:5398253:-1 gene:LPERR08G06240 transcript:LPERR08G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTRGDQGREASASSDGTASSNPLPEKLSLHSRFVLVQTRLGAFMKEETNEDGTITGP >LPERR08G06250.1 pep chromosome:Lperr_V1.4:8:5417369:5418440:-1 gene:LPERR08G06250 transcript:LPERR08G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLGQVTRDKLQHYDGSFDPTIYVFKSLTMFLTFPFYFH >LPERR08G06260.1 pep chromosome:Lperr_V1.4:8:5421906:5422130:1 gene:LPERR08G06260 transcript:LPERR08G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARAAMAWCGVEEEKEIGSRKAPGACPRCGGAVVATDVESARRILCLPLCVRNKKKFSCTRCRRSLVAIYSN >LPERR08G06270.1 pep chromosome:Lperr_V1.4:8:5424818:5427214:1 gene:LPERR08G06270 transcript:LPERR08G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYIIPLALILFIIQANPSMGAQINETTIPQGSRIDAAGTQSWVSPSGRFAFGFYPAGEGFSIGMWLVTGATRTVVWSAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKVISAAPSSATSAAILDTGNFMLYDAKKQVLWSTFGSPTDTLLPGQNLPPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPGSAYWASETFGQGYLLTLSLDPNGTLWLFDRNSSYTKVLFLTNQSSVSPDSESYYRLTLDYDGLLRLYSHVFFKKGTGALSKIEWFEPSSNDRCSVKGVCGPNSFCQITAGGETSCSCLPGFEFSSANQTTQGCWRVRTGGCTGNSSNGDIRPIATMVMVKNTIWSDLSYNIPPQTTTIEDCKAICLSDCACEIAMFDTYCSKQMLPMRYGKTVPNSNATLFVKVYTYEPKGPLRRTRSATSAAMLISGSALAILSLLVLSMSVLLCKRHRFLRYTRAPQHQDTEFDEESVGIRPYSFHDLELSTDGFAEELGRGAYGTVFRGVLTKSGNKVIAVKRLERMAEDGEREFQREVRAIARTHHRNLVRLLGCCNEGAHRLLVYEYMPNGSLSNLLFKPNAPLPSWSKRVAIALDVAKGLQYLHEDIEVPIIHCDIKPENILIDGSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGDECNISEWAYEYVVSGGLKEVAAGEDVDEVELERTVKIAIWCTQNEPVTRPAMKNVVLMMEGSVQVPRPPPPASISQSLLRTGSS >LPERR08G06280.1 pep chromosome:Lperr_V1.4:8:5430527:5432972:-1 gene:LPERR08G06280 transcript:LPERR08G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMTMEDKLDPVERDSTAMASSSSSSAAPSSPSGLSRSGSWFLDIAKNSSASSSSTWSSPERREQTSSHVSGTSASAVLDLPPDLPQHNVPKPEECEIADQQEG >LPERR08G06280.2 pep chromosome:Lperr_V1.4:8:5430193:5430527:-1 gene:LPERR08G06280 transcript:LPERR08G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSKGFLSRTKKSLWRAWGSTASSVLRIMTVGPHFKVANNFLWPFTVASTRRGTHNVEGGNAGKREDYINELIRITN >LPERR08G06290.1 pep chromosome:Lperr_V1.4:8:5436509:5438515:-1 gene:LPERR08G06290 transcript:LPERR08G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAKVAVVPESVLKKRKREELWAADKKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >LPERR08G06300.1 pep chromosome:Lperr_V1.4:8:5444419:5446992:1 gene:LPERR08G06300 transcript:LPERR08G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSPKYQMARVWAEQVKDLAYDMEDCLEEQITALTTQSSSWSHCITNYKSRRHFAAKLSDLRSRIVEVSERNRRYHLVTADQPVYNHINLMVVLDNVWSRPLEEEEDAPETDSHDETYTIYGSTVETRNPKVDAIVGMCGSGKTDRARNIYENKKTTGNYECCVWITVSQSVNIAKVFVDMIAQLSGASSDQAKHIREEDELAHHIQGKLEGKQFLLVFVDLWTRSTWHSIKKALPQVSKSGSLIIVTTEIFHVAEYCTGSANRVRCQRLLPDQKSFDLLKISILQAENSKMSREDKKDFEDLDPDSLKEPEPPFNTIAQLLRKCSGLKLAIETVAKLLAYNSPHKWGELCDDLPSLLYNDPSLNKIRKVMVRSYRNLPPYLRPCFLYLSIFPEYSDINVETVVDSWLAEGLVRDKTGMSPRAVAQRYMSELFDRSVILPSKLRKNTSCKTCRIHPMMRDILVMISEEEKVSITVGPRKSSNLIVKRFRHLTLDGPSDRKLARCVELSGIRSLTVFSEPSESIAALICSSQLRALRVLDLSSATFQITRRDIRHVGELCHLRYLNLYKSNICELPSSIGMLPFLQLLNVRKTWITKLPSEVTQLGKLQSLRASRKTEDCCCRNRSCCDSGVTTPIGIENLQDIELLDIMDIKDSCCSKIEALGKLARLKHLGLTGITIKNSKTVSKTLKKISHSLLYLYLGACRNDGTLACLHLSEKKEKGLLAFPRLQSIKLDGHIGKMPYWIPNSLTLKLIKFFRTNLQEIHIRSLERLPCLVTLALLDNSYISDTLVFHAEAFIALKTLEIYRLPKLKKVIFTEKAVSNLRRLNIRCCTLRLKGKNNLKLQNADLDKGVEEV >LPERR08G06310.1 pep chromosome:Lperr_V1.4:8:5466971:5467840:-1 gene:LPERR08G06310 transcript:LPERR08G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGFSPRGACPPPLPSSSSDDDAREQLAFQEAAAAGLRSLELLVSSLSGSAADLHRLPSPPLGEITDQAVFRFRKVISILDRTGHARFRRAPTAASSPPSPSSPPPPPPPPATPHPKTLNLDFTKAAAATASASLISSVTAGGGGGGEGSVSKGKSLISTGKPPLAGGAKRKPPPPTTACEGHVAGHGGCHCAAGKKRKQHHHRGARRTVRVAAASERVADIPADEYSWRKYGQKPIKGSPYPRGYYRCSSVKGCPARKHVERAADDPAVLLVTYDGDHRHSPPA >LPERR08G06320.1 pep chromosome:Lperr_V1.4:8:5484849:5485147:-1 gene:LPERR08G06320 transcript:LPERR08G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPIYRQLWPRVDDATYVQRAGVMSTIGDTFRYMSPEYVYNKSTNEKVDVYNFDVMLLELRFPSISRRPRR >LPERR08G06330.1 pep chromosome:Lperr_V1.4:8:5485343:5486096:-1 gene:LPERR08G06330 transcript:LPERR08G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNRLASEFPVDMSKLKNLHSLNLSGDSISGVIPLKIFGTFSALTILNLFSHDQLTSVVLLNMSSNQLTSVVLLLLQSMTFDRSFLGNRLYARLGSGTKQLACTSGRQELSKGLVILFAMLVLVALSSSRGCSFATGRTTDTSVTLPTERLHISPLLTSLSPTCSSTSKKTMNGSSRLDKVSSIHLASYGTVAATNKIVVMKKICNMTRVDTKLDKQFEVEVMVLGNMWHNNIVKILDCISCQEA >LPERR08G06340.1 pep chromosome:Lperr_V1.4:8:5486777:5487525:-1 gene:LPERR08G06340 transcript:LPERR08G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWQQVWKVGYVYPTLPSTTSGLQDPELRKHSPLGNIEVCNNLSGARPFVLHLYSSPARKSLAPTRCQKNNVEHLYHAPYSPFFSLLAVCDLVNLIWLNVFRNKL >LPERR08G06350.1 pep chromosome:Lperr_V1.4:8:5496222:5496443:-1 gene:LPERR08G06350 transcript:LPERR08G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITGEIPEALGSLMELMLLIMSLNKLNDDILMWACYLKKLVLLHLFDNKLTYELPHNVTVVNLVKIDLTSNN >LPERR08G06360.1 pep chromosome:Lperr_V1.4:8:5510675:5512928:1 gene:LPERR08G06360 transcript:LPERR08G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPRHLVSLAAAVAVAALMAQSAAAAGFYERFDVVGARDHVKVISDDGKSQQVALTLDRSSGSGFNSKDTYLFGEFSVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGDPYVMNTNVWASGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLPYPENKPMRLHATLWDGSYWATRHGDVKIDWSGAPFTVSYKGYSANACVNNNPSGGWSSSKCPEGTSGWIHTQLDAAGLGTVAWAEKNYMSYNYCADGWRFPQGFPAECYRK >LPERR08G06370.1 pep chromosome:Lperr_V1.4:8:5516070:5517538:-1 gene:LPERR08G06370 transcript:LPERR08G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSRWFTVVAFLFASSSAAASMYDEVEMVWGNDHSFFYIDGEGGADEILALCLDETHGSGFHSKDAYLYARFDIDMMLVANNSAGTVTTLYLMPEDVPWEIHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTTDFHTYSIVWNPKHIIILVDGVPIRDYRNNNNATTQPAFPTWQKMKVHGSLWNADDWATQGGRVKTDWSAAPFYAYYRRLRVTPCAPSPGVSWCGDEPPESPLFDQEVDGAALRKAREEHLLYDYCKDTNRFKDKGGIPVQCSFDE >LPERR08G06380.1 pep chromosome:Lperr_V1.4:8:5519740:5526600:1 gene:LPERR08G06380 transcript:LPERR08G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALGHLLFSTTQSRSLAHTWTASVMHKRLVCACFRSLSAAAIQVPVASYGTASAARSRSSNQHGSNMNGALKVLDLVPRKADYDKVESPCHHRLIHDCMHDILGVQSNHAIHKGNGVSFNSCSNPAQAKLDTFVSKNGSACPSRIRPLKEDMFMLIVELHSKGETSVDQSILAAAISSCADRQNFTQGTQLHALLVKAGCDSTVFIGSSLITLYSRCGQLESSYLAFQGMPTKNTVSWTAMISGFVLHNRVGPSLHLFSSMRVSKCKPNDITFATLLSVCTNHALLALGKSVHALLMRMGFHSYVHVSNALLSMYAKCGCIDEAHSIFGCIDCKDLVSWNAMIFGCSQYGLAKHCLDLLKEMDREHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEARDLIQTMSIPPNAVIWGSLLASCRVHGNISIGIQAAEHRLKLEPGCAATHIQLAHLYATIGRWSDVARVRMAMKKRGLKTNIGCSWIEVGDKVYSFTAENRSKTNQVNNVLAILDCLQAHMECKYDKLIESLE >LPERR08G06390.1 pep chromosome:Lperr_V1.4:8:5532324:5546429:-1 gene:LPERR08G06390 transcript:LPERR08G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLYPTLLFLTVLAVAGSAAASTSPPHSQCLHNPPDLTVAEDGVAGIVVHDLAGYDAYVTGAVNSARAVVLASDAFGFEAPLLRKIADKIGEAGYYVVVPDFFHGQPAYLGVNITEWLKSHSPVKEAENAKPIFAALRNKGKSVVGVGGYCWGGKFAVEVAKTNEVEAIVISHPYAVTTDDMKGVKCPIEILGGQNDTVTPPKLVYQYVHALRQRTEIPYFAKIFPEVSHGFACRYNTSNPFAVLLFYSSLFCLAVLSSAVPQCLDNPPDLTAGGGEEAGVVVHDLAGFEAYVTGAVNSTRAILLASDVFGFEAPLLRKIADKAGQGGYYVVVPDFFHGHPYTLDLNLTEWVSMHSPVKAAEDAKPIFTALRKEGRSVIGVGGYCWGGKFAVEIAKTNEAAAIVISHPGLVTVDDIKEVKCPIEILGAQNDTLTPPKLVYQFVQVLRQRTDQVDYFAKVFQGVNHGFACRYNTSNPFEVKRAEEALALMLGWFHKHLEEGRRSKKNFFESSKKIKNRINSTQWRSQVVVFFLVSNGDTLCLMHSSPVQFALAGRMPEFCTVEYVAIRRTIDGTPAYLALAYQATCDVAEYLALASAHERPRHRAMNTVVPPAFVFAPSSPPAIIRASTPAALPFSPEPRHAGELSPSGGGGRTHPRRLTTARGCTAWWLWWIGDGGRAFDGNDDIGGPLGDDRLDGCGFLVGGLLVSLNAGAGGGGSWGGEVRVSLTVPLTPGALREQAGWAGPLAGAAIAPLAHGRWASPSRWQAAIRPRVHRCCLLDLSRWWALQF >LPERR08G06390.2 pep chromosome:Lperr_V1.4:8:5532324:5546429:-1 gene:LPERR08G06390 transcript:LPERR08G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLYPTLLFLTVLAVAGSAAASTSPPHSQCLHNPPDLTVAEDGVAGIVVHDLAGYDAYVTGAVNSARAVVLASDAFGHVCDGLINGDNHRKIADKIGEAGYYVVVPDFFHGQPAYLGVNITEWLKSHSPVKEAENAKPIFAALRNKGKSVVGVGGYCWGGKFAVEVAKTNEVEAIVISHPYAVTTDDMKGVKCPIEILGGQNDTVTPPKLVYQYVHALRQRTEIPYFAKIFPEVSHGFACRYNTSNPFAVLLFYSSLFCLAVLSSAVPQCLDNPPDLTAGGGEEAGVVVHDLAGFEAYVTGAVNSTRAILLASDVFGFEAPLLRKIADKAGQGGYYVVVPDFFHGHPYTLDLNLTEWVSMHSPVKAAEDAKPIFTALRKEGRSVIGVGGYCWGGKFAVEIAKTNEAAAIVISHPGLVTVDDIKEVKCPIEILGAQNDTLTPPKLVYQFVQVLRQRTDQVDYFAKVFQGVNHGFACRYNTSNPFEVKRAEEALALMLGWFHKHLEEGRRSKKNFFESSKKIKNRINSTQWRSQVVVFFLVSNGDTLCLMHSSPVQFALAGRMPEFCTVEYVAIRRTIDGTPAYLALAYQATCDVAEYLALASAHERPRHRAMNTVVPPAFVFAPSSPPAIIRASTPAALPFSPEPRHAGELSPSGGGGRTHPRRLTTARGCTAWWLWWIGDGGRAFDGNDDIGGPLGDDRLDGCGFLVGGLLVSLNAGAGGGGSWGGEVRVSLTVPLTPGALREQAGWAGPLAGAAIAPLAHGRWASPSRWQAAIRPRVHRCCLLDLSRWWALQF >LPERR08G06390.3 pep chromosome:Lperr_V1.4:8:5534486:5546429:-1 gene:LPERR08G06390 transcript:LPERR08G06390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLYPTLLFLTVLAVAGSAAASTSPPHSQCLHNPPDLTVAEDGVAGIVVHDLAGYDAYVTGAVNSARAVVLASDAFGFEAPLLRKIADKIGEAGYYVVVPDFFHGQPAYLGVNITEWLKSHSPVKEAENAKPIFAALRNKGKSVVGVGGYCWGGKFAVEVAKTNEVEAIVISHPYAVTTDDMKGVKCPIEILGGQNDTVTPPKLVYQYVHALRQRTEIPYFAKIFPEVSHGFACRYNTSNPFAVLLFYSSLFCLAVLSSAVPQCLDNPPDLTAGGGEEAGVVVHDLAGFEAYVTGAVNSTRAILLASDVFGFEAPLLRKIADKAGQGGYYVVVPDFFHGHPYTLDLNLTEWVSMHSPVKAAEDAKPIFTALRKEGRSVIGVGGYCWGGKFAVEIAKTNEAAAIVISHPGLVTVDDIKEVKCPIEILGAQNDTLTPPKLVYQFVQVLRQRTDQVDYFAKVFQGVNHGFACRYNTSNPFEVKRAEEALALMLGWFHKHLE >LPERR08G06390.4 pep chromosome:Lperr_V1.4:8:5534486:5555084:-1 gene:LPERR08G06390 transcript:LPERR08G06390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLLLICLTVAVLAAADGVVFPLHSKCLDNPPELTAGDGGVAGIDSKRHYLADKVGEAGYYVVVPDFFHGQPADSSGNITEWLKSHSPVKEAENAKPIFAALRNKGKSVVGVGGYCWGGKFAVEVAKTNEVEAIVISHPYAVTTDDMKGVKCPIEILGGQNDTVTPPKLVYQYVHALRQRTEIPYFAKIFPEVSHGFACRYNTSNPFAVLLFYSSLFCLAVLSSAVPQCLDNPPDLTAGGGEEAGVVVHDLAGFEAYVTGAVNSTRAILLASDVFGFEAPLLRKIADKAGQGGYYVVVPDFFHGHPYTLDLNLTEWVSMHSPVKAAEDAKPIFTALRKEGRSVIGVGGYCWGGKFAVEIAKTNEAAAIVISHPGLVTVDDIKEVKCPIEILGAQNDTLTPPKLVYQFVQVLRQRTDQVDYFAKVFQGVNHGFACRYNTSNPFEVKRAEEALALMLGWFHKHLE >LPERR08G06390.5 pep chromosome:Lperr_V1.4:8:5546482:5555084:-1 gene:LPERR08G06390 transcript:LPERR08G06390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLLLICLTVAVLAAADGVVFPLHSKCLDNPPELTAGDGGVAGIDSKRHYLADKVGEAGYYVVVPDFFHGQPADSSGNITEWLKSHSPVKAAEDAKPIFTALRKEGKSVIGVGGYCWGGKFAVEIAKTNEVEAIVILHPGFVTVDDMKEVKCPIEILGAQNDTLTPPKLVYQYVQTLRERTDQVDYFAKVFQGVNHGFACRYNASNPFEVEIAEQALGLMLHWFQKHLKAKQRLLCFVFATPPTGSIAV >LPERR08G06400.1 pep chromosome:Lperr_V1.4:8:5561868:5566042:1 gene:LPERR08G06400 transcript:LPERR08G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWKTMAPRATLLYRSLFCLAMLAMAGAADDPPHSQCLDNPPDLTAGGGEAGMVIGDLAGFQAYITGAVHSRRTVLLATDIFGFEAPLLRTIADKFGQAGYYVVVPDFFHGQPYTLDLNRTEWLAAHSPVKAAEDAKSIFAALSNEGRSVVGVGGYCWGGKLAVEVAKTNEVEAIVVSHPAAVTVDDMKEVKWPIEIIGAQNDTVTPPKLVDQFVHALQQRTDHIDYFAKVFQGVNHGFACRYNASNPFEVKRAEQALALMLDWFHKHLK >LPERR08G06400.2 pep chromosome:Lperr_V1.4:8:5561868:5564797:1 gene:LPERR08G06400 transcript:LPERR08G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWKTMAPRATLLYRSLFCLAMLAMAGAADDPPHSQCLDNPPDLTAGGGEAGMVIGDLAGFQAYITGAVHSRRTVLLATDIFGFEAPLLRTIADKFGQAGYYVVVPDFFHGQPYTLDLNRTEWLAAHSPVKAAEDAKSIFAALSNEGRSVVGVGGYCWGGKLAVEVAKTNEVEAIVVSHPAAVTVDDMKEVKWPIEIIGAQNDTVTPPKLVDQFVHALQQRTDHVSFCKFTFTVDPKMHKHNSISLLTVSLN >LPERR08G06410.1 pep chromosome:Lperr_V1.4:8:5572177:5575300:1 gene:LPERR08G06410 transcript:LPERR08G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEGDHLAARDGEGPVAFKDAVDVEATPARPPTAHTAAAVSAARVTLQGQQLVRVNGYWVFDSWAQGVIAMRRGGLVPRATVGDVLLASLPKSGTTWLKALAFATMARRAWPPASPDHPLRRLNPHDCVPLVDSLFAVGRDKLLDELPSPRLMSTHMPLSLLPATVADGSSNTKIIYICRDQKDRLVSVWHFRKRNDLPDLSLQEVYESVCNGTCFAGPVWDHMLEYWRASNADPGRVLFLKYEEVLQHPGNTVRKLAHFVGQPFSNTEEEADVVAEIVKLCSLENLRSQKVNKEGIRDVIIKFSHDSYFRKGMAGDWRNHMTPELGEHLDSILCDKLDGSGLTI >LPERR08G06420.1 pep chromosome:Lperr_V1.4:8:5579138:5581329:1 gene:LPERR08G06420 transcript:LPERR08G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTRAGVQPHVLVVPFPAQGHMLALLDLAALLATRGDLTVTVAVTAGNASLLEPLLATCPTIGVATLPFPRPSPLLPPGCETEHTKDLSWHDFWMFVPTLAALRGPLLGWCEAQAQQSTRVTAVVSDLFTGWTRLVADEIGATHVTFSPCSALFLAIAPMTWPTEEEEEGHVSKEVEEAEQLFPVVAGDPVPGDEAYDEICQIILWSLENDVIVVNSFAAFEGEGAYLELKGSGSTSRRVFAVGPLSEAWPTRRDRGGKSVVSPAEVAAWLDGFSDGEVVYVSFGTQHALSTEQTARVADALTWSAAAFVWVIAAEATVPEGFEAATAGRGLVIHGWAPQVDILHHRAVGWFLMHCGMNAVLEAVSAGVAMLTWPMGADHYVNRRLLQEAGVAVHLAEGKDAVPDAGEMAKAIAVAVGNEGKPTRDRAARLGCRAAAAVAPGGNTGSTSTP >LPERR08G06430.1 pep chromosome:Lperr_V1.4:8:5592865:5599415:1 gene:LPERR08G06430 transcript:LPERR08G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAHLLASLWAFYLTLAISMVAGDLTNDLDIMWGNSKVIYDSSGKQTIALTLDRWTTSAFRSKSTYLFGRIDMDIKLVAGNSAGTVTTLYMITEGLWQFHDEIDLEFLGNTTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQNNILILVDDKLIRQVKNNLMYSVPYPNYQPMRVYGSIWNADDWATQGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSSLCGPSSGNWFNQELDQTRKQQLQEVDNNYKIYDYCTDTKRFKDGLPKESNDVPVHTPSKNLSISTQHTQFAHSLRHLALAKAMGPRAQVQLLAPMGALYLILAISPVISDMTNSLDMLWGNTQVAYDDTGHQIVSLSLDRWTTSAFRSKTKYLFGRIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRKDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYRANACVPVKTSWICSQESNDSSWFTQDLDEEGSQKLKDVDEKYKIYDYCTDSRRYPNGYPPECGSQ >LPERR08G06440.1 pep chromosome:Lperr_V1.4:8:5603207:5605067:-1 gene:LPERR08G06440 transcript:LPERR08G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTEFKKMLEKFKNDVEKQQVDQMTDWQTKLVMMDSKERQYVLQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >LPERR08G06450.1 pep chromosome:Lperr_V1.4:8:5609405:5618539:1 gene:LPERR08G06450 transcript:LPERR08G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCKGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPAEDNEKYEETAFKLLCFNQGKLEPISYESLERKSASEAAEKMLSDDNRTKAHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKVLLSSSEQSKFYSGDCYIFQYLYPGDDKEECLIGTWFGKKSIEEDKVTATSFASKMVESAKFQAVHTRLYEGKEPIQFFVIFQNFQVFKGGLSSGYKKFISENGIDDDTYLKDGLALFRIQGSGPENMQAIQVDAVASSLNSSYSYILHDGDTVFTWTGNLTTSLDQELVERQLDIIKPNLQSRSQKEGSETDQFWSLLGGKSEYSSQKLGRENESDPHLFSCILSKVRIAVQIIKELYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFLKLDFLMENLSNETPIFIIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSTALDKPKRRTPAYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSVTPDSSNAPSKSPATAAITGSFDRPKSVKDGSELEKPKQEEDAKEGVNTMTSRVDSLTINEDVKENEPEDDEGLPVYPYDRLRTTSTDPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKVALQLF >LPERR08G06450.2 pep chromosome:Lperr_V1.4:8:5609405:5618539:1 gene:LPERR08G06450 transcript:LPERR08G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCKGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPAEDNEKYEETAFKLLCFNQGKLEPISYESLERKSASEAAEKMLSDDNRTKAHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKVLLSSSEQSKFYSGDCYIFQYLYPGDDKEECLIGTWFGKKSIEEDKVTATSFASKMVESAKFQAVHTRLYEGKEPIQFFVIFQNFQVFKGGLSSGYKKFISENGIDDDTYLKDGLALFRIQGSGPENMQAIQVDAVASSLNSSYSYILHDGDTVFTWTGNLTTSLDQELVERQLDIIKIKELYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFLKLDFLMENLSNETPIFIIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSTALDKPKRRTPAYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSVTPDSSNAPSKSPATAAITGSFDRPKSVKDGSELEKPKQEEDAKEGVNTMTSRVDSLTINEDVKENEPEDDEGLPVYPYDRLRTTSTDPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKVALQLF >LPERR08G06460.1 pep chromosome:Lperr_V1.4:8:5633808:5638584:1 gene:LPERR08G06460 transcript:LPERR08G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVALRARRAWNSLVSGRLRSPKQLGSGGLLKLHEDVQTCDYKDVQVMFEMLTSELEASQLKQQQLMPPSPRKPPATAWLCASPSAIPADE >LPERR08G06470.1 pep chromosome:Lperr_V1.4:8:5649996:5655137:1 gene:LPERR08G06470 transcript:LPERR08G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPMGRRTVGGLLLTKGGSILVYREESPRHKATACCTRLGCSSKLFRDKEKKMRRPTKEAVNPQRSQLISGSNRLSPQGRMACGSITKRNAESTCSETGNRPTSETAGRDLLTRLKERVNASRKRSLSGGSSPSSLTTSSAGSSISGRTISRPLHRPIPRTRKDGGTTRMRSDSNGNSGGNVQRRADFQGPTGRFVSRSLFRHRSRHQGEPVSYSENNLNDSSEYWRFGLDESDEDASSDRHRGMRMDIDNMSYEELLALGETIGTVSTGLSDDELSKCLKRILYVPSASTSHEDGDIKCIVCQEEYLSDEEVAEMACKHYYHIACIQQWLRQKNWCPICKSVGSATKPYCT >LPERR08G06480.1 pep chromosome:Lperr_V1.4:8:5657192:5660871:-1 gene:LPERR08G06480 transcript:LPERR08G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPSSPSPPPPASQQQQQPPFYELVKGSSGLEKVQLRGARGCFAEIYLYGGQVTSWKNDHGEELLFISSKAIFKPPKAIRGGIPICFPQFGAHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPTGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAIEKSITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >LPERR08G06490.1 pep chromosome:Lperr_V1.4:8:5672165:5677049:-1 gene:LPERR08G06490 transcript:LPERR08G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATATTTAVADWLEQIKNEEVDLENISVGEVFQHLRCTREGLTTEESQKRIDVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGQPPDWQDFVGIILLLILNSTISYWEETNAGSAAEALMQRLAPQAKVLRDGKWGEIDAAVLVPGDVISIKLGDIVPADARLLDEGGDPIKIDQSALTGESLPVTKNPGDSVYSGSTCKQGEIEAIVVATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCISAIAIGMVIEVIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSRRLAEQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDKELVEVFAGGVDKDQVIKLAAMASRIENQDAIDAAMVGMLKEAKDAREGIKEVHFLPFNPVDKRTALTYIDLADGWWHRVSKGAPEQILALCNCREDVRRKALAVIDKYADHGLRSLAVARQEVPEKTKESAGGPWELVGLLPLLDPPRHDSAETIRKALHLGVNVKMITGDQLMIAKETGRRLGMGTNMYPSSALLGQSKDESIASIPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDKVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTRTFHVRQLTEKDEMMSALYLQVSIISQALIFVTRTRSWFFSERPGVWLLVAFVVAQIIATCFTVYLHAPFTHMAGIGWGWAGVIWIYSIVTFIPLDFFKFAVRYALSGRAWDTVIDQKIAFTGKKDYGRGEREAQWAMAQRTLHGLHTPDVGDMLNERSSYRELSEIAEQAKRRAEVARLRELSTLKGQIDSTVRLKGLDVDNVQHHYTV >LPERR08G06500.1 pep chromosome:Lperr_V1.4:8:5683825:5686315:-1 gene:LPERR08G06500 transcript:LPERR08G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPAKEETARIRRLLERFGPVRDANVFYWFQNRRSRSRRRARQLQQASSAATAAGAGGGGGGGGGGYYHSTSTFLVHGGGVPSTAVSPPASAAASAAQFLVDDVIDAGGDEDLFAISRQMGLMARGGGDYYGSYGDNESTGQLSYHQPTGTATTIQVFINGVAYDVPSGGALDMAGTFGCDAMLVHSSGEVLPVDQHGVLINSLQMGGCYYLDKKTALHTKRTIHSISSWKTPKSD >LPERR08G06510.1 pep chromosome:Lperr_V1.4:8:5701294:5704442:-1 gene:LPERR08G06510 transcript:LPERR08G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVALRPCSGVSPAASGTTYRRPAAAFVGWQALAPARLRLSSPAVRVPRAASSAAVEDGSSSTTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKIADPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTEQVDVDIATHIDIYDDGPDRSLLVVEAADRPGLLVDLVKIIDDINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEEASF >LPERR08G06520.1 pep chromosome:Lperr_V1.4:8:5710998:5715268:1 gene:LPERR08G06520 transcript:LPERR08G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRSLLSSPLFTSSSPAFRSASAAAAAAIASSPSSSRATVIPMLAHDNAGGGRGASTACHYSPSLVAEEQIHGRSKDTGGSLMGEKALLELLLDMALEQHAQGKKLPVPGEESEDSELDVYLRDDKSHVLYHPELSEGDTFTSVSSSPLSGKSGESSQFGTASATLTKEVAVLAEESGISAIKLKTPQLYSVDSSKSNEKLQSKGQVFIRSTRLLERRSKRRYAPQASIADVSCSTDDSKNKEKSKKYGRVLDPDEPFKLFLRDRETTEFLTAKEEKHLFKEAHQKLEVQCGRQPTLAEWAEAVGMSTKELQSSIRIGRRCREKMARSNFRLVIHVAKKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYARLRKVGKARMECIMEGEKPTNANVARRAGLTIEKLAKLRAKTRKPRSMQDRVWSDEGVTFQEITEDPNVEPPDLSVDRMMMKQQVRDFLGILSPREKEIIEHRFGMHDGQPKTLHVIGDMFGLSKERIRQLQNRALEKLKTNASTQGFDVYFDLLT >LPERR08G06520.2 pep chromosome:Lperr_V1.4:8:5710998:5715268:1 gene:LPERR08G06520 transcript:LPERR08G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRSLLSSPLFTSSSPAFRSASAAAAAAIASSPSSSRATVIPMLAHDNAGGGRGASTACHYSPSLVAEEQIHGRSKDTGGSLMGEKALLELLLDMALEQHAQGKKLPVPGEESEDSELDVYLRDDKSHVLYHPELSVDSSKSNEKLQSKGQVFIRSTRLLERRSKRRYAPQASIADVSCSTDDSKNKEKSKKYGRVLDPDEPFKLFLRDRETTEFLTAKEEKHLFKEAHQKLEVQCGRQPTLAEWAEAVGMSTKELQSSIRIGRRCREKMARSNFRLVIHVAKKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYARLRKVGKARMECIMEGEKPTNANVARRAGLTIEKLAKLRAKTRKPRSMQDRVWSDEGVTFQEITEDPNVEPPDLSVDRMMMKQQVRDFLGILSPREKEIIEHRFGMHDGQPKTLHVIGDMFGLSKERIRQLQNRALEKLKTNASTQGFDVYFDLLT >LPERR08G06530.1 pep chromosome:Lperr_V1.4:8:5714577:5718920:-1 gene:LPERR08G06530 transcript:LPERR08G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSHPHQHAGAGGGGMSDGAAVFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAQNGASQPAVAGNGRQEAGGSPQSPLGGKTARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVHSASAEV >LPERR08G06540.1 pep chromosome:Lperr_V1.4:8:5728585:5733376:-1 gene:LPERR08G06540 transcript:LPERR08G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRVSHPCCQKLIVMLYLILIILALCHRLDDSSLAAAASSPSSCSSTVSCGGRINITYPFHLSTATSGGFCGYPGLGLGCVDTNTTVLSLASNNNAVLAINYATRTMFAIDSDIHRAASLRFTAPDAGLTFLLGCGGEELIPSRCRDGSTPVVGCGTGGSYVFRGPVTGTAVSEDCAGLCRSGVTMPVYGALFDVPSLSNLTTSYGVGAQEWLSWDTAGEADSRCALCERSGGWCSYNRTTTASAAADDFSCVCPDGRTRPVDCNVAATVSSIGVLLLLFLAYLAHCKRTYGTLIFWRKVSYISPRVQAFLQRYGSMHPKIYSYLEVKRMTKSFAHQLGEGGCGVVYKGNLPNNDGGRSIAVKILKGSKGDDEQFMNEVKVQVFIVRNNRSPDEANPLSWNKLLEIAIGIAQGLEYLHKGCNTRIVHFDIKPHNILLDENFCPKISDFDWPSYARKRRSRFQLVLGTIGYIALELFSKQFGVVTSKSDVYSYGMMILEMVSSTLNMSDNRSSGISWGSGTDEFYFPRWIYENLDRYCLDASEMIVGAEELLRKMIIVGLWCIQVMPIDCPSISRVIEMLESDTKDLRLPSKLMTSFLENREM >LPERR08G06550.1 pep chromosome:Lperr_V1.4:8:5739305:5746063:1 gene:LPERR08G06550 transcript:LPERR08G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHPPPRTPGVAGARLFASLPPPPPSPLQSRREVHVWYVCPDELNDRSQLDMYMDLLSPSERNNALSMNGPRLQKDAMLSRALLRTTLSRYSKIDPRSFDFKKNKFGKPEILWRSDDSNMEWPLHFNISHTSSLIACGIAMDVPIGIDVEEKKRKTTKSILSLARRYFTPSEVEFLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLETNSRIQISKAPKACNDSDSGDYLSENWRFALAELNSSYYMAVCIEDNSRGFENGPVPLGLKVWKTIPFIEDTLVSGTDAVKLIT >LPERR08G06560.1 pep chromosome:Lperr_V1.4:8:5760382:5766314:1 gene:LPERR08G06560 transcript:LPERR08G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGPMELVAALLRGLTPRAEQLLQSQSGEGGQAGQVEATAMVATVAAALFGCAFLVMWRRVAAGRKRKRDEEAAKSAGVAAAAGIKGKKGGKDEEGADGRKKVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAVFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKESLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGHAVHDAQHPCRANVAVRRELHTPASDRSCTHLELDIAGTGLMYETGDHVGVYAENCLEVVEEAERLLGYSPETFFTIHANKEDGTPLGGGSLAPPFPSPITVRTALARYADLLNSPKKSALVALATYASDSTEADRLKFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPSMAPTRIHVTCALVHETTPAGRVHKGICSTWIKNAIPSEETENCSWAPIFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKRSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVVAFSREGPTKEYVQHKMSQKASEIWDMISQGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKNLQTEGRYLRDVW >LPERR08G06570.1 pep chromosome:Lperr_V1.4:8:5767165:5769361:-1 gene:LPERR08G06570 transcript:LPERR08G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADEAAAAAPKATISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKAIESARIFVDECGLDGLLTPEQFLEERESMLQALFPSCCVLPGVLRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTRMHHVVMGDDPDVKAGKPSPDIFLAAMRRFEGDVEPSNCLVFEDAPSGVAAAKNAGMYAVMVPDPRLDVSYHKGADQVLSSLLDFKPGEWGLPPFKD >LPERR08G06580.1 pep chromosome:Lperr_V1.4:8:5772485:5775379:-1 gene:LPERR08G06580 transcript:LPERR08G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPGAINSLLGRLTTILLEEAQLLGRVRVDVEFIKDEMESMNSLLLQLTEAQHRNHSVRTWMKHIVGLTRDCEGNVELYVHYIGGRPGTDGGGPIGYLWRAVRFVRTVRVRHRIATRIRQLKDRARDVGERRQRYGVTVPASDLNDAPAEPEDEVWRRSALMDGDEPLDYDGDILKNGIDTLFRWLSEEPSPATAAANDGEPQVRVFSIVGSRLPYLVARNAYDDPSVANLFGCKAIVNAYDPAVGGYDPRGIPILESILEEMAGVGPAHEDELRSDNTETSSVKDGDEINGQFVSEHTAQLVSEPEGQLVSELQGHLKGKRFLIVIYHLMGPRQWKCTLDALLHAADGCLPGSTILMTTQYDYVAQMASSCKIIDVRNVIEFYTSKAEMLHGRYSISRYPNNDLDMFRDICHPNAFAMKMFLHLLYVNPKRTEEELDMYGNAISECKRLNKSVSQKMLMLCYNELPSKYRSCLLYLTIFPKDHVIRATSLVRRWIAEGLIATTTTRSDEIEETSATDEAKRYLDVLFTRGFVSPVEISAAGNIKSCTLHHEVREFIARVARDVNFVDEKLPPDLAHHLSIHNRIVLNGSQPDSDSKGGIVALLPTLSASSQWQLLKVLDLEGCKGLKKNHLKSICKILLLKYLSLRSTDVTELPRHIKDLQCLETLDIRQTEVRAFAKKAIVLPMLKHFLAGNKVTADKSEESFCTVPTPLGIERMKDMEILSHVLVSNSDGELAGIAQLLKLRKLGVVLHGENAKLADLLNQIKKLCSCLRSLSIRIDQQLAPVVDGENHDQGVVDAALTPVPDFIKSLNISGLTSGLPQLIEQLNQLTKLTLTKTYLRKEDLHILGKLGCLRSLMLQQKSYTESDLAFEKEEFGTLSFLLVGSSNVTTISFADGAAPKLQRVVWSFPSIVPCLSGVGYLHELKEFELNGDCSPDQVRDALEGHINLARFRHNPQIHRQEG >LPERR08G06590.1 pep chromosome:Lperr_V1.4:8:5795371:5795850:1 gene:LPERR08G06590 transcript:LPERR08G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPSYVAGGEHRHSCSAANHDHVVVDDDVFEFTPLLPHRRRRSSSSSAPPRIAAAVLTPPPPVSQRAPRRRHPSPAATAARRVRWHEMAFGSVRVPAAMDMGEIRRRLQARRRRRGRRRGGGGLGAVEANTVAELQGRRGRRRRRGGGAGEARVVN >LPERR08G06600.1 pep chromosome:Lperr_V1.4:8:5798489:5799933:-1 gene:LPERR08G06600 transcript:LPERR08G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIQPIDKRMVSQVEISGRSITEVSEPVKDALLNDDDLTRKGKEIFVINGDTSTEDRQLATDFFNGYADAKVLSGTSRIVILDDHLNQSIMHQAIGSVFRPGQKKKVFVYSLVAADSPEEKAHENAFRKSCQSYGSSGDGAAPLKITN >LPERR08G06610.1 pep chromosome:Lperr_V1.4:8:5803920:5805824:-1 gene:LPERR08G06610 transcript:LPERR08G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSSFRLARLHLARGKQSSSSASSAASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSTDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADPWPAVEHLAHDRHAGRDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEAPPEEAAPVEKDPFAASELVNKPEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQAPPGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNATFIIKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELQVIGMVKFAYQGPFTLSGIKLCPATDGTAQFNEVGHTFSSGSYQCI >LPERR08G06620.1 pep chromosome:Lperr_V1.4:8:5809894:5812752:-1 gene:LPERR08G06620 transcript:LPERR08G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMHERNSGASSEEVNDLQRELKTALGTAKWQLEQFERAVNLSSDKYSLEEGTVARRRQFVVAMEDQISQVEKAINDYSIDNDRKGLNWVKLDDEERDDLVAFLSAPAQLSQETKRRENSHHSPSKQKSILIGVNDPRDMAAISKDRHRTEVSSREISNGQSEACLAEQLNGYSTNLSSGDDHWKIDIADGKDDDRKLSPNKVEASSQTTAFSGIMKTTESFTRIRWLRNSLWKAKSDEHLPLRYDMPSHLDWRVITLLSQKFNGLTERSRSCFSGWKENSRVSGRMGGLHIQSQQHSTQFGRSIRITLLLCHFSCTLLDNAHVSGCW >LPERR08G06630.1 pep chromosome:Lperr_V1.4:8:5817402:5821313:-1 gene:LPERR08G06630 transcript:LPERR08G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGEMVVVRLPPLSEDDPLFQDKKRILDNRKLSCLFQVPTSCSAADTFKILDEMIQATRVAHMDELELYFSGDDNFGPFSARNELESLNLLLKFLNALLLTANDGAKGVLKVLRDEILLKLRSLELKDNGQMVVQTQNQDMEDSLLKWGEQHGVKTKLQVAFFEGAGRGMIASENMDVGDIALEIPESAIISEELLCQSDMFLALKDLDGITTETMLLLWSIRERYGPSSNFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELMQAKQVSPHILNYGRVDKATKSLKFPLSRSCEAGEQCFLSYGKHPGSHLITFYGFLPRGDNPYDVIPLDLDTSTDEEDSSSPSATTSQTSHMVRGTWLSRFGGPPTYGLPQCLVSHLRAVLNCNQNESTPEADIKENDRMVLETLLSIFTPLLEGLGEPDDFDRENACWDVKLAMDYKDLQRRIVLSIVTSCTSGLAMLDS >LPERR08G06630.2 pep chromosome:Lperr_V1.4:8:5817402:5821313:-1 gene:LPERR08G06630 transcript:LPERR08G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGEMVVVRLPPLSEDDPLFQDKKRILDNRKLSCLFQVPTSCSAADTFKILDEMIQATRVAHMDELELYFSGDDNFGPFSARNELESLNLLLKFLNALLLTANDGAKGVLKVLRDEILLKLRSLELKDNGQMVVQTQNQDMEDSLLKWGEQHGVKTKLQVAFFEGAGRGMIASENMDVGDIALEIPESAIISEELLCQSDMFLALKDLDGITTETMLLLWSIRERYGPSSNFKIYFEALPANFNTEGTLLFDELMQAKQVSPHILNYGRVDKATKSLKFPLSRSCEAGEQCFLSYGKHPGSHLITFYGFLPRGDNPYDVIPLDLDTSTDEEDSSSPSATTSQTSHMVRGTWLSRFGGPPTYGLPQCLVSHLRAVLNCNQNESTPEADIKENDRMVLETLLSIFTPLLEGLGEPDDFDRENACWDVKLAMDYKDLQRRIVLSIVTSCTSGLAMLDS >LPERR08G06640.1 pep chromosome:Lperr_V1.4:8:5830304:5831391:1 gene:LPERR08G06640 transcript:LPERR08G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRLGIRDSRDDAAAAAAVAGVGEEGEDDAAVLMRATALAARAALCSTRRRSVPCSAASICICAFT >LPERR08G06650.1 pep chromosome:Lperr_V1.4:8:5841609:5847626:1 gene:LPERR08G06650 transcript:LPERR08G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHQPESPAPAAETSPEIIFRSKLPDISITNNLPLHRYCFERLPEFAGRSCLIDGATGEVLTYADVDLLSRRLAAAFRRPNPTNPLLRRGGVVMNLLRNSPEFVVSFFAASRVGAAVTTANPMSTPHEIEKQLLAAGATVVITESMAADKLPSPNPNLTIILTDDRRDGFLHFHDDLISTVSETDIPDDPVGENENPFDPNEVVALPYSSGTTGFPKGVMLTHRSLSTSVAQQVDGENPNIGFSSDDVILCTLPMFHIYSLNTIMMCGLRVGAAIVVMKKFDLGEMMDLVERYRITIAPLVPPIVVAVAKSEVAGRRDLSSVRMVLSGAAPMGKDIEEAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNTIDADGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIVDAAVVGKQIEPEIGEIPVAFVAKTEGSKLTEDDVKQFVAKEVIYYKKIREVFFVNKVPKAPSGKILRKELRKQLQHLQQQA >LPERR08G06670.1 pep chromosome:Lperr_V1.4:8:5844984:5845229:-1 gene:LPERR08G06670 transcript:LPERR08G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGAAAALHHPAPAAAAPAQHQRNPCDAASTPKPTLLLVAPRGSPLLGNATAAAAQIYAEELASGRRSRRGKGSSGTGHD >LPERR08G06680.1 pep chromosome:Lperr_V1.4:8:5848411:5856199:-1 gene:LPERR08G06680 transcript:LPERR08G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRRLLLRPSRRRRHHSTTTTTATTTASPQSLSSPTFAVFGANTGVGKTLVSAGLVASLLSSPSPSTVSYLKPLQTGFPSDSDSRFVFRRAPSLLPAAGGAAARLVASNHTLFPSPAVDPLPEREDTVVSYGGEGVGVEKALVCRTMYAWREAVSPHLAAEREGMMVEDEEVRWLVERWLAEDGDGDGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLYSYLRKSLLKDSLQSFHSRRIQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVIDSRCGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPSLRCAELLLSGVGKDWASRVYFSDNGSTAIEIALKMAFRKYASDHGILVDGEKNITRESTQFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIGNKTTHLSIPPSIWQDQLSSCDSLIQGAGGMHMIDPLFQRLLIEECKKRKIPVIFDEVFTGFWRLGVESASELLGCLPDISCYAKLMTGGIVPLAATLATEAVFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNFDLDHIKLKELWDSALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLHASSLVQQLRQEDNIYARPLGNVIYLMCGPCTTRDSCTRQLTKVQRRLENLN >LPERR08G06680.2 pep chromosome:Lperr_V1.4:8:5848411:5856199:-1 gene:LPERR08G06680 transcript:LPERR08G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRRLLLRPSRRRRHHSTTTTTATTTASPQSLSSPTFAVFGANTGVGKTLVSAGLVASLLSSPSPSTVSYLKPLQTGFPSDSDSRFVFRRAPSLLPAAGGAAARLVASNHTLFPSPAVDPLPEREDTVVSYGGEGVGVEKALVCRTMYAWREAVSPHLAAEREGMMVEDEEVRWLVERWLAEDGDGDGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLYSYLRKSLLKDSLQSFHSRRIQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPSLRCAELLLSGVGKDWASRVYFSDNGSTAIEIALKMAFRKYASDHGILVDGEKNITRESTQFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIGNKTTHLSIPPSIWQDQLSSCDSLIQGAGGMHMIDPLFQRLLIEECKKRKIPVIFDEVFTGFWRLGVESASELLGCLPDISCYAKLMTGGIVPLAATLATEAVFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNFDLDHIKLKELWDSALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLHASSLVQQLRQEDNIYARPLGNVIYLMCGPCTTRDSCTRQLTKVQRRLENLN >LPERR08G06690.1 pep chromosome:Lperr_V1.4:8:5864636:5866860:1 gene:LPERR08G06690 transcript:LPERR08G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLMHVAIHIAARKSTPSIGGVKKPRRYRPGTVALRKIRKYQKSTDLLIRKLPFQRLVREIAQLFKVDMRFQSHAVLALQEAAEAYLVGLFEDTNLCALHFKHVTIMSKDIQLARRIRGDRT >LPERR08G06700.1 pep chromosome:Lperr_V1.4:8:5881892:5886957:1 gene:LPERR08G06700 transcript:LPERR08G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERTWPLWLLSLLTHTLLLLTATSQSQSINGDDLSALLSFKFLIRNDPKNILSSWDAVSNATNKSAPVFCQWKAVSCNDHRHPGRVTTLNLSDAGLVGTISPQLGNLTLLRVLDLSANSLDGDIPTSLGGCSKLHAMNLSNNHLSGNIPADLGQLSKLEVFDVGHNNLTGDIPRSLSNLTTLVRFIIERNFIHGQDLSWMGNLTSLTHFVLEGNSFTGNIPESFGKMANLIYFSVQNNQLEGHVPLPIFNLSSIRFLDLGFNRLSGSLPRDVGIKLPRINRFNTLNNHFEGIIPPTFSNASALEVLLLRANKYHGLIPREIGIHGNLKVLMIGDNVLQASQSSDWEFLTSLTNCSSLITLDVGQNSLAGAMPINIANLSKELSWIDLSGNQIIGTIPADLWKLKLTSLNLSYNLFTGTLPSDIGRLAILKSVYVNHNRIDGKIPQSLGNITQLIYLSLSNNFLDGSIPISLGNFTKLEVMDLSCNTLIGQIPQEILTIPSLTRRLNLSNNALIGSIPTQIEHLNNLAKMDFSMNKLSGGIPQAIGSCVQLSSLNLRGNLFLGQIPESLNNLRSLEILDLSNNNLEGHIPEFLANFTLLTNLNLSFNKLSGPVPNTGIFRNATVVSLSGNKMLCGGPTYLQFPSCSSGDSVQRLHVLIFCILGALIFSVCCITAYCFIKTRMKTNAIDNENLFLNDMNERISYAELQAATESFSPANLVGSGSFGNVYTGNLIIDQNLVPVAIKVLNLEQRGASRSFLTECDALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDEWLHANTTTISTSSRRLNLMKRFSIALDVAEALEYLHHHIVPSIVHCDIKPSNILLDDDLVAHVTDFGLAKIMNAEPCTKSSSFVIKGTIGYVAPEYGSGSPVSMEGDIYSYGVLLLEMFTGRRPTDNFTDGTTCLVDYVKSAYPTNLLEILDVSATYNGNTEDIIEIVICPIFRLGLACCKESPRERMKMDDVVKELNAIKKGFSANAYA >LPERR08G06700.2 pep chromosome:Lperr_V1.4:8:5881892:5885484:1 gene:LPERR08G06700 transcript:LPERR08G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERTWPLWLLSLLTHTLLLLTATSQSQSINGDDLSALLSFKFLIRNDPKNILSSWDAVSNATNKSAPVFCQWKAVSCNDHRHPGRVTTLNLSDAGLVGTISPQLGNLTLLRVLDLSANSLDGDIPTSLGGCSKLHAMNLSNNHLSGNIPADLGQLSKLEVFDVGHNNLTGDIPRSLSNLTTLVRFIIERNFIHGQDLSWMGNLTSLTHFVLEGNSFTGNIPESFGKMANLIYFSVQNNQLEGHVPLPIFNLSSIRFLDLGFNRLSGSLPRDVGIKLPRINRFNTLNNHFEGIIPPTFSNASALEVLLLRANKYHGLIPREIGIHGNLKVLMIGDNVLQASQSSDWEFLTSLTNCSSLITLDVGQNSLAGAMPINIANLSKELSWIDLSGNQIIGTIPADLWKLKLTSLNLSYNLFTGTLPSDIGRLAILKSVYVNHNRIDGKIPQSLGNITQLIYLSLSNNFLDGSIPISLGNFTKLEVMDLSCNTLIGQIPQEILTIPSLTRRLNLSNNALIGSIPTQIEHLNNLAKMDFSMNKLSGGIPQAIGSCVQLSSLNLRGNLFLGQIPESLNNLRSLEILDLSNNNLEGHIPEFLANFTLLTNLNLSFNKLSGPVPNTGIFRNATVVSLSGNKMLCGGPTYLQFPSCSSGDSVQRLHVLIFCILGALIFSVCCITAYCFIKTRMKTNAIDNENLFLNDMNERISYAELQAATESFSPANLVGSGSFGNVYTGNLIIDQNLVPVAIKVLNLEQRGASRSFLTECDALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDEWLHANTTTISTSSRRLNLMKRFSIALDVAEALEYLHHHIVPSIVHCDIKPSNILLDDDLVAHVTDFGLAKIMNAEPCTKSSSFVIKGTIGYVAPEYGSGSPVSMEGDIYSYGVLLLEMFTGRRPTDNFTDGTTCLVDYVKSAYPTNLLEILDVSATYNGNTEDIIEIVICPIFRLGLACCKESPRERMKMDDVVKELNAIKKGFSANAYA >LPERR08G06720.1 pep chromosome:Lperr_V1.4:8:5902111:5905984:-1 gene:LPERR08G06720 transcript:LPERR08G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATLPLPRLPVHPSPRSHLKPFPSLSARRSSAAAGVAASLRLGDVIEAQQFDRGALTEIFEVAREMDALERGTTCRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKRIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >LPERR08G06720.2 pep chromosome:Lperr_V1.4:8:5902111:5905984:-1 gene:LPERR08G06720 transcript:LPERR08G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATLPLPRLPVHPSPRSHLKPFPSLSARRSSAAAGVAASLRLGDVIEAQQFDRGALTEIFEVAREMDALERGTTCRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQRIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >LPERR08G06730.1 pep chromosome:Lperr_V1.4:8:5909894:5914756:1 gene:LPERR08G06730 transcript:LPERR08G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKS >LPERR08G06740.1 pep chromosome:Lperr_V1.4:8:5923121:5923792:1 gene:LPERR08G06740 transcript:LPERR08G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVYDHLFAASDDDAAASPWAATQASPNNNDSSSSFTTSDGAVAAGERSLFDLLSDVDIMSCGGGVAGVFDGASTHTISPPMWLHPGHLAAALTAWSPVDSAAAVVVPTNNASASAMAREERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRPAAAGAAASDDDSSATAAGVAPEEAKFWLSFSGDDARADGGVGFYMDNAAAAYGVVPTF >LPERR08G06750.1 pep chromosome:Lperr_V1.4:8:5933626:5937720:-1 gene:LPERR08G06750 transcript:LPERR08G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECSVDDGRQLLLGSRILVGVPNNSRGCSELLSWAIRVIARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVVCSSSIWRALTQEATFTDANFLIVGRSENAYRRNHFEVANYCYMHAPRNCTVIAVGRDGLPQSSARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSIGESAEDKSSPRAVLDGPEGGEHSVTEECYSTSSNEVSRRGHNGIWRRLSDMKLWLPFLRSIDDESTKGSDNCSTFTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSSRILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGNNYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDDCLKEPEKWNIPEDEVDDMDDCTMFSESLSP >LPERR08G06760.1 pep chromosome:Lperr_V1.4:8:5944284:5944742:-1 gene:LPERR08G06760 transcript:LPERR08G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTGEHSSADDGGGDGDGGGSKSPATASSSSPVVLRDLVAVVMAGERLPTFLATPMIVRWQPSAAADAEVGSPENQCDAAEGDEVSRRPPQSMAATPARQAVHGVQLVQL >LPERR08G06770.1 pep chromosome:Lperr_V1.4:8:5950883:5955445:1 gene:LPERR08G06770 transcript:LPERR08G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALFSSAHHAIAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPAYHPPPPAVSMWGTPGYIAAPPPYNHYAAYPVPPVAMTSPSPVTGPTAYAPVQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVTAASAVHHNLQGAVIPSSGRESN >LPERR08G06780.1 pep chromosome:Lperr_V1.4:8:5956638:5959316:1 gene:LPERR08G06780 transcript:LPERR08G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGHHGLQRMRRFSSRTTSLHPDHPRTRRPHRFPSLDDRITPPCRWTTRRPREVQAPLTGFTSPPAEPIRWPPLPPRHGSDPLAIVYSSASTPLCLPRSIPHGSAIVATEVGLAGFGCPSHPTATNREATTHRGEQGGGGIWMTFNHQYNSGGVAPQETWDVLSYARSNAGIN >LPERR08G06780.2 pep chromosome:Lperr_V1.4:8:5957160:5959316:1 gene:LPERR08G06780 transcript:LPERR08G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRGLPGDHPCNATCKKAQSVLHLLDPLAIVYSSASTPLCLPRSIPHGSAIVATEVGLAGFGCPSHPTATNREATTHRGEQGGGGIWMTFNHQYNSGGVAPQETWDVLSYARSNAGIN >LPERR08G06790.1 pep chromosome:Lperr_V1.4:8:5959909:5967969:-1 gene:LPERR08G06790 transcript:LPERR08G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVAGDRGDAAAASGDSAPSPRSPAPPPLPPPLVLGALIESLSFRSCGFGRAAASAFEKEDLRSRAALPQRIRAAVHAALRARDPSAGAFAYVAAGYASAAAASDVPRNPWFELAHDDAPESPLVAFVNPRSGGRLGPVLKSRLQELIGEDQAGDGREALALFARMQAEGVRPDDTVLVGVLAACAQLGLGLEVFKGMKEKNVLAWTTMIKGLAMHGRGSEALMLFSQMENLGVRPDDIAFIGALCACTHTGLVDKGRELFNSMVTKYGIKPKIEHYGCMVDLLARNGLLHEAKEMIEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVFDLTVVKPSDFVEYVLACLEQLADAGDRSAKSIRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFVGTLLFLCQDEGMKKKRKNWIFLTPLGISESDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLSIKKMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGEWRDAYMQMDGEPWKQPLNPEFSTFVDIKKVPYPSLIINGGDR >LPERR08G06790.2 pep chromosome:Lperr_V1.4:8:5959909:5967969:-1 gene:LPERR08G06790 transcript:LPERR08G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVAGDRGDAAAASGDSAPSPRSPAPPPLPPPLVLGALIESLSFRSCGFGRAAASAFEKEDLRSRAALPQRIRAAVHAALRARDPSAGAFAYVAAGYASAAAASDVPRNPWFELAHDDAPESPLVAFVNPRSGGRLGPVLKSRLQELIGEDQAGDGREALALFARMQAEGVRPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANSIRITVFLGTALVDMYAKCGEVQLGLEVFKGMKEKNVLAWTTMIKGLAMHGRGSEALMLFSQMENLGVRPDDIAFIGALCACTHTGLVDKGRELFNSMVTKYGIKPKIEHYGCMVDLLARNGLLHEAKEMIEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVFDLTVVKPSDFVEYVLACLEQLADAGDRSAKSIRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFVGTLLFLCQDEGMKKKRKNWIFLTPLGISESDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKGWFFTQCISDPELRGLRNIIRLSIKKMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGEWRDAYMQMDGEPWKQPLNPEFSTFVDIKKVPYPSLIINGGDR >LPERR08G06790.3 pep chromosome:Lperr_V1.4:8:5959909:5967969:-1 gene:LPERR08G06790 transcript:LPERR08G06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVAGDRGDAAAASGDSAPSPRSPAPPPLPPPLVLGALIESLSFRSCGFGRAAASAFEKEDLRSRAALPQRIRAAVHAALRARDPSAGAFAYVAAGYASAAAASDVPRNPWFELAHDDAPESPLVAFVNPRSGGRLGPVLKSRLQELIGEDQAGDGREALALFARMQAEGVRPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANSIRITVFLGTALVDMYAKCGEVQLGLEVFKGMKEKNVLAWTTMIKGLAMHGRGSEALMLFSQMENLGVRPDDIAFIGALCACTHTGLVDKGRELFNSMVTKYGIKPKIEHYGCMVDLLARNGLLHEAKEMIEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVFDLTVVKPSDFVEYVLACLEQLADAGDRSAKSIRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFVGTLLFLCQDEGMKKKRKNWIFLTPLGISESDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLSIKKMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGEWRDAYMQMDGEPWKQPLNPEFSTFVDIKKVPYPSLIINGGDR >LPERR08G06790.4 pep chromosome:Lperr_V1.4:8:5959909:5967969:-1 gene:LPERR08G06790 transcript:LPERR08G06790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVAGDRGDAAAASGDSAPSPRSPAPPPLPPPLVLGALIESLSFRSCGFGRAAASAFEKEDLRSRAALPQRIRAAVHAALRARDPSAGAFAYVAAGYASAAAASDVPRNPWFELAHDDAPESPLVAFVNPRSGGRLGPVLKSRLQELIGEDQVFDLTVVKPSDFVEYVLACLEQLADAGDRSAKSIRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFVGTLLFLCQDEGMKKKRKNWIFLTPLGISESDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLSIKKMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGEWRDAYMQMDGEPWKQPLNPEFSTFVDIKKVPYPSLIINGGDR >LPERR08G06790.5 pep chromosome:Lperr_V1.4:8:5959909:5967969:-1 gene:LPERR08G06790 transcript:LPERR08G06790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVAGDRGDAAAASGDSAPSPRSPAPPPLPPPLVLGALIESLSFRSCGFGRAAASAFEKEDLRSRAALPQRIRAAVHAALRARDPSAGAFAYVAAGYASAAAASDVPRNPWFELAHDDAPESPLVAFVNPRSGGRLGPVLKSRLQELIGEDQAGDGREALALFARMQAEGVRPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANSIRITVFLGTALVDMYAKCGEVQLGLEVFKGMKEKNVLAWTTMIKGLAMHGRGSEALMLFSQMENLGVRPDDIAFIGALCACTHTGLVDKGRELFNSMVTKYGIKPKIEHYGCMVDLLARNGLLHEAKEMIEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVFDLTVVKPSDFVEYVLACLEQLADAGDRSAKSIRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHVVVSMPGRGDEEEEEELDLPHSLRNLGECMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLSIKKMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGEWRDAYMQMDGEPWKQPLNPEFSTFVDIKKVPYPSLIINGGDR >LPERR08G06800.1 pep chromosome:Lperr_V1.4:8:5975481:5976170:1 gene:LPERR08G06800 transcript:LPERR08G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLEILDIILVRVRFKPLAQICCLSGAWHHRWESVPFLDIKFSTGAGGSSGSCVLWLCASPIRAFRADGVGKCDIYCAARWLRSLSGRSNLNLTLSLLGLTLFSWSALIRLHLECCHMLHTTHCFSGFFPTLLSLVLDHVALPFMGGRAQLECLIATTPRLAVLNLSFVYTLSEGDNIDTCAIWPPNRRKLSFAMMGAMDNGLPIFGVVPTARDA >LPERR08G06810.1 pep chromosome:Lperr_V1.4:8:5977038:5977223:1 gene:LPERR08G06810 transcript:LPERR08G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLKRWCMEEAVVEEDRLMSLPPEILDIILVRVPFKPLARTCCLSGTWRHRWESVRFLDI >LPERR08G06820.1 pep chromosome:Lperr_V1.4:8:5977230:5979185:1 gene:LPERR08G06820 transcript:LPERR08G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSSGSHICASPIHAFRTDGVGKCEIYCAARWLCSLSRRSILNLTLSLPGPTLLSWNALIRLHLERCHMTRAPHGFSGFFPSLLSPVLDHVALPFMAGGAQLECLIAATPRLAVLNLSFILDIILVRIPIKPLARTCSLSGAWRHRRRVLWLCISPIRDDGVGKCGIYCAARWLYSLSRRSILNLTLSLPGPTLLSWNALIHLHLERCHMTHAPHIFSGFFPSLLSLVLDHVALPFLAGGAQLE >LPERR08G06830.1 pep chromosome:Lperr_V1.4:8:5979331:5980834:1 gene:LPERR08G06830 transcript:LPERR08G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCRFSLLFPLLEMSNISIDILFLHGRRWILRQPHPLAVRSPIRAFRVDGGGKCDTYYATCWLRSLSRTSIMNLTLRPRSLLGPTLFSWSALIRLHLERCHMPLAAHGFSSFFPSLLSLLFNHVALPFMGGGVQLECLIAATPRLAVLNLSFVYTLSDGDSIDMCAIRPPNLRKLLFAIMGAMDNDC >LPERR08G06840.1 pep chromosome:Lperr_V1.4:8:5990951:5991972:1 gene:LPERR08G06840 transcript:LPERR08G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVAGSRKRGLANVVDSVFLDDQFSVPSHLAKRSRCSSSDTSVADLEKGKDIFPPNGSSINIPSNRSQWAELVVKEMSSALDLVDAKNRAFNILDLFQKATSEQCTSQDVLQKMREEHQEQKLTLGGLLEQNGFMKRAFLKQHNLLHDCKKTSQERLQIIDQYKKENEALKQRNMFLEFQLAQLNQHSHNTGQCNPNVF >LPERR08G06850.1 pep chromosome:Lperr_V1.4:8:5992992:5994134:1 gene:LPERR08G06850 transcript:LPERR08G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRVLSGRCEMHGKDREYDEDMICAYLYFAYNINEILCVESSLPPGFCPVGAPHHGAFHRSARVPGGHQTQFVFPD >LPERR08G06860.1 pep chromosome:Lperr_V1.4:8:6007232:6007546:-1 gene:LPERR08G06860 transcript:LPERR08G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATMGGNPHPCKDDVRAILGSVGADVDEAKLDFLFEQIAGKDVTELLAAGAAACQGAAAAAEAKAEEKAEEEEEDDDAMFSLFD >LPERR08G06870.1 pep chromosome:Lperr_V1.4:8:6017791:6018854:1 gene:LPERR08G06870 transcript:LPERR08G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGFELSHGQEILTAIWVDNSLGDIDFEENAIWVGWQISRDLYGDSHTHFFTYWTRDAGQTTGCFNMKCPGFILTDGSIIAPWGIINPVSDVDGARHKITIKVFEIHYGFNDAPKAVGYYPANIFNKVSKGATRMSFGGNSGAINLAPPPMGSGLLPSIISDKSASNEEISLVNEDGKLGPFNVDTVKEETTSSCYAMTPIFGERGARCLYGGPGGCVTSTKLMSPPY >LPERR08G06880.1 pep chromosome:Lperr_V1.4:8:6019959:6021210:-1 gene:LPERR08G06880 transcript:LPERR08G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALKGTTEKKKVARVMGRERVDSFHDLSTELDYEDILEIDGNDSNKKFHSGRSKKTKSSKRKFISFGDSDIPANKIPKLNSSCSGGPPCLSSKYFSNMLADLSVHQRNVVENFGFGCLLKSGTCKVPTELVKCIVRHVDVRTSQIITKGKLIPFNKNSVHLVFGLLVGGVEILANSDSGRSFIMSKFGLSSLPHISFFWEYA >LPERR08G06890.1 pep chromosome:Lperr_V1.4:8:6044816:6046226:1 gene:LPERR08G06890 transcript:LPERR08G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKCFIFHLQTQFVQALQPKEGFPIRSYFSVHETHFGSYFGLVATMEVYEFELSHGQVTATMIWVRNSLGDGDLEENAIWVGWQVSCDLYGDSHTHFFTYWTRDAHQTTGCFNMNCTGFILTDGSIIAPGGIINPVSNINGARHKITIKVFRDKSTGDWWIHYGFNCAPKAVGYFPANLFTKLSKEATHIKFGGISGEILSTPPPMGSGLLPSIISDKSASIEEISFIDGDGKIKPFNVGTIKEETVSSCYSMTPIFGERGARCLYGGPGGCVD >LPERR08G06900.1 pep chromosome:Lperr_V1.4:8:6052135:6052633:1 gene:LPERR08G06900 transcript:LPERR08G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRDYTPPKRKAPTTSPEGIEVPMCFCGDLCQVVKSDEYSDTYGRKVFLCDNYDYEPPRIFVGTPIRVKRRRRRGAKVINKNRVSDRSNLNTRKLRLVKQT >LPERR08G06910.1 pep chromosome:Lperr_V1.4:8:6054714:6069456:1 gene:LPERR08G06910 transcript:LPERR08G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESIIGQILEKQVLSAAKAVEDKLDEEIAALDRLDPDDIEALRERRILQMRRAAERRAKWRSMGHGEYADLPEKEFFAAAKASERLVCHFYRDNWPCKVIDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPANASKNATKRSVRQSDTGHSSDSE >LPERR08G06920.1 pep chromosome:Lperr_V1.4:8:6080186:6083719:-1 gene:LPERR08G06920 transcript:LPERR08G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALSRAMDEAGRRRHGQTTPLHVAAALLAAPAGLLRQACARAAATTAAGNASGGGAAAAVAAGAHVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMRVGVGAGAANAYLNPRLAAAAAVAGGGDDARKVIDVMLKPTRRNPVLVGDAGPDAVLREAIRRIPTAGFPALAGAKVLPLEAELAKLAGDKAAMVARIGDLGAVVERLLGEHGGVVLDLGDLKWLVDGPAAAASEGGKAAVAEMGRLLRRFARAGVWAVCTAACTTYLRCKVYHPGMEAEWDLHAVPIARGGGAPTAAGAALRPGGSGILNNSLGMLSPALRPMLVTQAALRWPPGSDQSPLAKPAMCLLCKGSYERELAKLEAEQTDKPAPRPEAAKPGLPHWLQLSNDQNKAKEQELKLKRSKDELEKKWRETCARIHSTRPMAPALSVPLAPFTPRPPVEPKLAVARGAAVPTLKMNTSWEKPLVAPTLELRKSPPASPVKTDLVLGHLDPVVNPAVENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTEKVAWQSDAASAIAAVVIQCRSGSGKRRNFGTRGDMWLLFVGPDQAGKRKMVNALSDLMANTRPLVVNFGSDSRLGRVGNDGPNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDVVVRGKIKRAMETGRLPDSRGREVSLGNAIFVLTTNWVPEELKGSNVETLLRGEERMLESTSSSWQLELSIGDKQVKHRADWLCDDVRPAKLAKELSSSHSLSLDLNLAVGALDDTEGSRNSSDVSVEQEQEKGQLAVKRSTPAPGSDLLELVDDAIVFRPVDFAPFRKTVTDCISAKFESVMGSNSSFRVDEDAIDRMVGSVWLTDEKIEDWAEKVLKPSIERLLHNVKHDSGRSIIRLSAVADKAMPRWGGGREGLPVAVTIAIDGM >LPERR08G06930.1 pep chromosome:Lperr_V1.4:8:6083334:6085678:1 gene:LPERR08G06930 transcript:LPERR08G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTEGSSRMESTSCSSSTFTASNGCCAASGHPLLCCACALFNAATCAPAATAAAAPPPEAFPAAVVAAALAQACRSKPAGAARRAAATWSGVVWPWRRLPASSMALDNAAAASGVSVCCIVLRSALILISPKSSIDQTPPPKISPPPTPINQSINRTRREARRLERRGEEREAEATRRRRLLLVHHFTTRGGERRGGGRRREEKRGGGEGEMRIESSSPLTLRYIAACPMGKISTAC >LPERR08G06940.1 pep chromosome:Lperr_V1.4:8:6093804:6094483:-1 gene:LPERR08G06940 transcript:LPERR08G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQEDDKAALPPPLIAPAATVNQRRAGGWTTTSRLCTGRLTTASNSHPHWPEQSSTKQPIPSDEEEQEIEQEIEKKKNRK >LPERR08G06950.1 pep chromosome:Lperr_V1.4:8:6142470:6146538:1 gene:LPERR08G06950 transcript:LPERR08G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSTYSDSWWGGGKEERGTPVVVKMDSPYSLVEIDGPGMSAPSSEKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWALLGAVNRRVRRSRDADAEASGRGRAMLRFLRAFLLLSLAMLAFETVAHFKGWHFPKSAADLHLLPEHLQHLPENIRQHLPERQEIEGWLHRAYVAWLDFRIDYIAWAIHKLSGFCIVLFMFQSVDRIVLCLGCFWIKLRGIKPRADITVDGDHHDVEGGYFPMVLIQMPMCNEKEVYETSISHVCQIDWPREKMLVQVLDDSDDVACQMLIKAEVTKWSQRGINIIYRHRLSRTGYKAGNLKSAMSCDYVQDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNRDENLLTRLQNINLCFHFEVEQQVNGIYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISMWKKANLVMLFFLLRKMILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVILSILNVLPSPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDIFALAAAEETDDVAGRQFSTPKLNRGVSEGGLEQWAKLHQEKENAAAAVAAMEAAAPVTPVKKSKAAKAPNRIFKKELALAFLLLTAAARSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >LPERR08G06960.1 pep chromosome:Lperr_V1.4:8:6153296:6156861:1 gene:LPERR08G06960 transcript:LPERR08G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDVGGGVRTKAGTRPPWVGLAAAVWVLMSAGNAYTFPLYSPAIKSALGYTQRQLAMLGVAKDVGENFGVVAGVLCNSFPPWFVLLVGAAFCFVGYGALWLAVSGTVVAMPYWLLWIVIAIGTNSNAWFLTAVLVTNMRNFPLRRGVVAGILKGYTGISAALFTQLFSGAVLRRSPTSLLLLLAVGLPGICLAMMYFVRPCTPAIDADEEEDAMRDGHFAFTQIVSVVLAVYLVTTTILGNAIKLSDATSYMLFGFTVLLLLAPLAIPVKMTLFRRKRQQRTEATEQEEALLIPSSHVVDDEDSDKVDLLLAEGKGAVRRKRRPRRGEDFEFMEALVKADFWLLFVAYFIGVGTGVTVLNNLAQIGVAAGIGDTTVLLSLFALGNFLGRLGGGAMSEKFVRSTLLVPRPIWMALTQTTLAIAYLSLAYALNPAVAYACTATVGLCYGVQFSVMIPTTSELFGLRNFGLFYNLMSMANPIGAALFSSELAGQIYDEETARQRHSGGGTACLGPGCFRVAFVVLAGACSVGTVVSLVLAARIRPVYWALYSGGSFRLPNGSQQH >LPERR08G06970.1 pep chromosome:Lperr_V1.4:8:6158566:6160032:-1 gene:LPERR08G06970 transcript:LPERR08G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSTNPKQPHPHFVVIPWPATSHMIPILDVACLLAAHGARVTVINSPANAPLIQSRLAAADHEITLTTIPFPAAAESGIPDGCERVDHLPSPSMLPAFFSAAMKFGDAVSRHFRHRRSPRPDCIVAGISHTWAQSMAREMGVPCFIFQGFCAFSLLCCELLHAHKPHESAAISPDEPFVVPALPPPFDACCRLTARQVPLQFLPDCPVPDRMREYREFELAVDGIVVNSFEEMEHDAVSRLAAVTGKKVFAVGPVSLSRRAPSLATADTTARRCAAWLDAKKEKSVIYVSFGSAGRMPPAQLTQLGKALVSCPWPVLWVIKGAATGEDIANNTDEEGDVASQCLVVRGWAPQVAILSHGAVGGFVTHCGWGSTLESVAAGVPMVTWPFTAEQFVNERLVVDVLRIGASVGATRPTGGMMMAGGEEEAEVGTEQVRRALESLMNGGVEGEERVKRVKELKAMAHAALEKGGSSYMNLDKLIRSVV >LPERR08G06980.1 pep chromosome:Lperr_V1.4:8:6160867:6171222:1 gene:LPERR08G06980 transcript:LPERR08G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEMREKIELATGCCGNENLGDITWASSNLGADTDEDKASPKSLLLTRKKSSSKIQARKRKMPYAVADRVGKDDADLRLAPHGCTLETAGAGFDPLGLYNDGPSRPATRSPLSDFFGILSPVFGSSGGARKEKSSYGRGAAAAIEDSSIDIGDFFNGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAIALNPGGAFYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQVLLLCRVLSRISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >LPERR08G06980.2 pep chromosome:Lperr_V1.4:8:6160867:6171154:1 gene:LPERR08G06980 transcript:LPERR08G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEMREKIELATGCCGNENLGDITWASSNLGADTDEDKASPKSLLLTRKKSSSKIQARKRKMPYAVADRVGKDDADLRLAPHGCTLETAGAGFDPLGLYNDGPSRPATRSPLSDFFGILSPVFGSSGGARKEKSSYGRGAAAAIEDSSIDIGDFFNGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAIALNPGGAFYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQVLLLCRVLSRISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >LPERR08G06990.1 pep chromosome:Lperr_V1.4:8:6168207:6172063:-1 gene:LPERR08G06990 transcript:LPERR08G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLNHMENEARDKQHNLAKNSVKTMRKKIMLKLKDCARICISREKRILDPRGNVLLIWNRVFLVSCVVSQFIDPLFFFLPIVEGTDHQLCMTMDHHLTIILTCLRSFLDMFFIAHIVVSFSTAYVDPSSKVLGKGELVTDHKMIANRYIRRNFFIDLAAALPIPQVLVWAIMPSMSFKHINGPFFLIILAQSSIRLYIIILLSINIMEMVGFITKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMANQEQCDLKFLDCKYATSSDSQSWANSTNVYKDCDANSNSAGINYGIFIQALQNGMTTTSFSEKYFYSLWWGLQQLTFVSRYLLNAFSIFQAPKMALHQKTVNGVLVFATTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQIHMRSLSKNTEDWRMWQTEMEDWMADHHIPDELRYRVSQFFKYKWIATQGVEEDSILRQLPADLTRDIKRYLCLDLVERVPFFSVMDQQLLDAICERMTYYLRTEDTYIIREGDPVKVMLFIIRGKLESSTTDGGRTDFFNSIILKPGDFCGEELLTWALLPSSQDSYPSSTRTVKTIAELEAFSLKADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQTAWRRHQNRHKRAEVGLSNRWKSFFSLVNDFNETRCEDANGSSSTVSHRATVTVSKIASIFKKAQERPEEPDFSADHHPE >LPERR08G07000.1 pep chromosome:Lperr_V1.4:8:6177683:6181098:1 gene:LPERR08G07000 transcript:LPERR08G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATDVKMIWVDRLGFDLHVHSGEGTFAARIPFAREVSDEKGVKSSFNMMSHHAWEVEKSYAEPEFEKVPILNKVR >LPERR08G07010.1 pep chromosome:Lperr_V1.4:8:6215321:6220215:-1 gene:LPERR08G07010 transcript:LPERR08G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAWVLSVRVSHANSTAGFSRNLTKPTFIFTIAWEKATKRNACANFARRSNDCRTIYQ >LPERR08G07010.2 pep chromosome:Lperr_V1.4:8:6214990:6215515:-1 gene:LPERR08G07010 transcript:LPERR08G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAWVLSVRVSHANSTAGFSRNLTKPTFIFTIAWEKATKRNACANFARSTDIFDRLLDTTR >LPERR08G07020.1 pep chromosome:Lperr_V1.4:8:6256360:6257346:-1 gene:LPERR08G07020 transcript:LPERR08G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPGFFSFLKHGVLVPARGRGVFLPLLALTAALAGSLLLANSLAVQPRAVAALLDADALTRADPASAAYPGLVRRFRRDLRDLLIDAAACILAAVVAGSAIKIATVFAAVAAFTPPADGDGERRATVSGFLAVARGNVWGPVVTVAFGYVLEAVCFAAIVAMAMLAVPLLEYSLLLLFLDAMAVLLASLFLVYLTVVVAVSVVVSAAENARRGAGAVSRAWRLMSGKNAQAVVYVAATFALAAAVSPVYTLALRWWPRSAAAGVAAGVAYVLLLGAVEVFSVAAVTAYYFECREMKQAEEDMAAGHHHHYSKLPNGDEANI >LPERR08G07030.1 pep chromosome:Lperr_V1.4:8:6263752:6264291:-1 gene:LPERR08G07030 transcript:LPERR08G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRSEGSLSSSREKAESVKEIGEFRAKAEEQSKEVDSVRRELASVRILACEMDRKIFILNCRKYRLEKE >LPERR08G07040.1 pep chromosome:Lperr_V1.4:8:6278339:6281466:-1 gene:LPERR08G07040 transcript:LPERR08G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTETRPAYHARASASRGVGDRINHELRVLSPTSYSDYTIPEQIMHPTRLGCMLKKVDHHISGTTFSFRCDDCRMPGEGTRYILVKNNQPSDSVPAFHTHCALATPTLQHPLVKGTMKLHHEAPAGGIVCSAFYETVQGFHHYSSKKTNKGEYPKLHPCCAKLPMSITLQGEEGFTLELRAEVDHNCTCCQEIDWEIMVFPRGSDEAAKIVSGCATVTSRGDEDKKVMFRLLERADESNKLEKRIYKILIILVRAVIKMIIGDLTGALIEGLIAIVSLW >LPERR08G07050.1 pep chromosome:Lperr_V1.4:8:6287821:6292013:1 gene:LPERR08G07050 transcript:LPERR08G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.2 pep chromosome:Lperr_V1.4:8:6287821:6291957:1 gene:LPERR08G07050 transcript:LPERR08G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.3 pep chromosome:Lperr_V1.4:8:6287821:6291957:1 gene:LPERR08G07050 transcript:LPERR08G07050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.4 pep chromosome:Lperr_V1.4:8:6287821:6291423:1 gene:LPERR08G07050 transcript:LPERR08G07050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.5 pep chromosome:Lperr_V1.4:8:6287821:6291514:1 gene:LPERR08G07050 transcript:LPERR08G07050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.6 pep chromosome:Lperr_V1.4:8:6287821:6292013:1 gene:LPERR08G07050 transcript:LPERR08G07050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASVRDSNVQLRENFHQRECIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07050.7 pep chromosome:Lperr_V1.4:8:6287821:6291423:1 gene:LPERR08G07050 transcript:LPERR08G07050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARMYHNCFGRSFVMDNNVGSSTQHRQEEELIIYLGGVGTQFLVMADFAMDGPYPLSSSHLVY >LPERR08G07050.8 pep chromosome:Lperr_V1.4:8:6287821:6292013:1 gene:LPERR08G07050 transcript:LPERR08G07050.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGEDVNGCGDDDLKLDSPNLVLIRRAALPLLEPRRLENGDCWPPSVPVGARRSRKLDLPSPGPSESPLPLPADELPGLSPIFSGANQATARSIASCIITALAGVLLWITTLAARPSIVRKKSS >LPERR08G07060.1 pep chromosome:Lperr_V1.4:8:6322530:6323929:1 gene:LPERR08G07060 transcript:LPERR08G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFQKWYSRASTEFDISIFTVRVPGEIFCSSESLVTVYFEDLHALYHRKRLDLKIVNTHVGFLHPERITEPSHTFSLDEKNLPEHVKMMTPDERRASVDMKTHEKMLDVAAYIATTLVAVSENDIIYAPYGFNSFKRFFQQLGKQPERKEILCHKQTVGTVHCGYYVCEFLRVNGKYCANYDLVTCKSDRQLDDTSIQNIQRDKCSFIHRECAHKRGRFFDTTGILSLPEYDTLSNWPKPTP >LPERR08G07070.1 pep chromosome:Lperr_V1.4:8:6347116:6347883:1 gene:LPERR08G07070 transcript:LPERR08G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPRETYHPARGQGCRLKLVEHREGHGPIEFHCDGCKTNGKGTRYVSRDQQQQLALHTDCALAPPTLRHYLVEGEMVLRLQAPPARSNDAIRCNACDRMVEGFHYHGSELTEKGLDMDLHPCCAKLRREIRLGTGGRTITFQLRRESKNKCTFCKKTDVRYYRPWFYQSTNTDMPTVYLHVICIMEINESLDGAGNDEEVLSRLLERAQNITRRNEVVCRILVGLLRLVIKTLMGDPTALVMEGVQILHMLMP >LPERR08G07080.1 pep chromosome:Lperr_V1.4:8:6352710:6355052:-1 gene:LPERR08G07080 transcript:LPERR08G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMKQQLGNTTSTTFLHQPRYLWKSPRGTVLRIEALALVAIFITFFLAVLGSCRRWSNHWIVQKGFLVANVLSLSLGTYSIGIMQSSSVKSEMYPLWTVSLFTLMGCTDSVTSYNGLDYKSPLLKMLFQLSLYCGYVLLMSISTISTDIGNIAIGLLSIITFIKGFHRSLALVLQSRMRDMVAKTVDLQEPRFLSRGRDYGEERENMIVDFPPDLENLVYGSERPALSNTVHMADIDLVCQEKDKLRLCSDVCVAFSLSHQLQRHILGLSEHVDNKVDLCEDIIDYKWAFKVIGVELAFLYEVFFTGNAFLHFYEAKAASILALASFIGICFVGVAVAIPGTMTSRTKSLGSGTTVVDTTPADLSITLFILVSLALLQLMQLIWCWTSNWARLAFVCECARNQKKGIGIKWSWWMKLKWFAISRTNWFDKYLWQDKLGQCSLAGKGGSWKFLSSSITSMGGPRMHGLQYIGHALWDLLGSDINKGAAFRLDDDVKTSITDFLCQVRSDMIDGHWLSELHENGVDIDELPYMIVQDKNSFVNVMFQNSASFGFIYAHSVMVWHVATCYCELAEQEKQDALLNQNKEDSIAAIAGCFRKAVAAAGGGAGGGERERNRHVSNALSKYCTYLVVSAPELLPGPSAHAKRAYDVFAEEAKMAPREAMTGNYSFLIGMDLGMQLLGERPPRDGVSLCSDPWKALALVWIQMLVYAAPYGNVDAHMRHLAQGGEFITHLWALLYHLGIRKWQPPKVEKDTPAKSLEEEEEGSEVEPRIEEENSSQP >LPERR08G07090.1 pep chromosome:Lperr_V1.4:8:6372182:6377465:-1 gene:LPERR08G07090 transcript:LPERR08G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLLVLSPPTPPSLVLPAANLATRQRPAPARLGGGGAEEERLRRRPPEKILFLEEGSRRGRRTMGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLSEFWSVMHAKSADETNKEAFDAVGLRRLINGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKNSVEGILTKRPEVPVRILPSSYLSLPMMSIFKIARECGWRKTSVSSTCHDQCAICLEMECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLASTTPIKELPWTNKSLALCAAGASTGSKHADPAAITSSKYTGSLHRRSELRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >LPERR08G07090.2 pep chromosome:Lperr_V1.4:8:6372182:6377465:-1 gene:LPERR08G07090 transcript:LPERR08G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLLVLSPPTPPSLVLPAANLATRQRPAPARLGGGGAEEERLRRRPPEKILFLEEGSRRGRRTMGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLSEFWSVMHAKSADETNKEAFDAVGLRRLINGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVQEAHLSTMRLVVEVLYAVKYASIFPFMRFQTIGSFHLLIAVKQLLVAAGANMRAQNTNGLTPLMVARSWHKNSVEGILTKRPEVPVRILPSSYLSLPMMSIFKIARECGWRKTSVSSTCHDQCAICLEMECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLASTTPIKELPWTNKSLALCAAGASTGSKHADPAAITSSKYTGSLHRRSELRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >LPERR08G07100.1 pep chromosome:Lperr_V1.4:8:6392946:6396227:1 gene:LPERR08G07100 transcript:LPERR08G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRLLPPAAVSPSRHRNASILPAAAARSNRLPINPPRLRCRAAAAAAATTSGRGGGAVVLEGGQSGGGAAVAVREFVTLDELRAAVRLRIRTFYEYAVDSVGAEDLRKSLADREYEALQDRISGKMINFQRVSCINGTVSLLPSLASAEELCSACKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGHELHWNILWRVGENMTQKYWFVNESSHARAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDSL >LPERR08G07110.1 pep chromosome:Lperr_V1.4:8:6407982:6408569:1 gene:LPERR08G07110 transcript:LPERR08G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHDPRRFKKAFMERLLLSLQVAAGGESSSSNSKPMGLRERRDAVRLSSDVAMASARGPRAAPWARALVAHHAADPRNAPLLRRIIGAAAYHRAAAAGERSRRIVRRSQQSSRRKRIRSLAMSAASNVNGGASAAARRTVKGRMRVLRRLVPGGEALRGLSLLSETLDYVVCLKAQVELMQTLCKGSQQQLQLG >LPERR08G07120.1 pep chromosome:Lperr_V1.4:8:6411093:6413538:-1 gene:LPERR08G07120 transcript:LPERR08G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRCSNAVFAAFNIVTLLLGAAVLAAGIYYGSHGECERFLRAPALAGACCRGATPLLWLYLALTGLLIAAAVCLGVFALVVTNAGAGRAVSGRGFKEYRLGDYSTWLRRRVEDGGHWARIRSCLVDAGFCGRLGKNRTVDEFVNSNLSPVQSGCCKPPTECNFTYQNETYWIKPPGSRNYTDPDCNSWSNEQSELCYDCQSCKAGVLGNLRSSWKKIAFVNAAFVALLVIVYSLGCCALRNNRRHKYSLVGK >LPERR08G07130.1 pep chromosome:Lperr_V1.4:8:6418512:6419522:1 gene:LPERR08G07130 transcript:LPERR08G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDILSSYIDDLDYTGLMHSLLIAYMVTGRDTVGTTLPWFFYNLAMNPHVMSGIRDELAPIAASRKDDTTTMMTFSPEDTKHLVYLQAALLETLRLYPPRSIERKTVVADDVMSSGHEVWARDTVLLSAYSMGRMESLWGKDCYEYRSERWLYDDVDGGGGGGGARKKRLRYVALHTFLAFNSGRRMCPGKHIAIMQMKTAAAAVVWNFDVEVVEGGHKVEPKLSCLLQMKNGVMLKVKKRAI >LPERR08G07140.1 pep chromosome:Lperr_V1.4:8:6419857:6425130:1 gene:LPERR08G07140 transcript:LPERR08G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQVFRFQRSHGQETRIGCMTGKGNHISATLKPSQPILVSGHQLVTMALAPFLPTELVVSSLIIIVLVFPYIA >LPERR08G07150.1 pep chromosome:Lperr_V1.4:8:6425510:6428086:1 gene:LPERR08G07150 transcript:LPERR08G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLDRIVMPRQGEGRSPPIPGQETGRRDAGSRHKVHVRRDGHGVALYDSKHNARYALVS >LPERR08G07160.1 pep chromosome:Lperr_V1.4:8:6430574:6435676:1 gene:LPERR08G07160 transcript:LPERR08G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPFLPTELVVSSLTMIVLVCLYKAYWRPKYRYLYPVDWPTIGLLPSLVGNRNRLHDHVTDVLAGAGQSYTAHGLGTAGMRFFITCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGIFFTMDGEECSRQRAKAQSILSDPRLVASIASRCHDKVKDGLLPFLAKKPVVEMQDLSTRFMFDVTAMAVFGVDPGCLSPDMPSMHVSVAMDTIMEVGLFRHTVPAPLWKAMRWFKIGPEGKLDMAHTGLHGFITQMMEQKAMTRKATPTPSMDILSSYIDDPDYTGLMHSLLITYMVAGRDTIGTTLPWFFYNLAMKPHVVSAIRDELAPIAAARKDDDMTMMTFSPEDTKPLVYLQAALLETLRLYPPGWIERKTVVANDVMPSGHEVRAGDTVLISAYSMGRMESLWGKDCYEYRPERWLYDDDDDIGGGARKKKRLRYVASHKFLAFNSGPRMCLGKHIAIMQMKTVAAAVVWNFDVEVVEGHTVEPKLSCLLQMKNGVMLKVNKRALIGHQLVTMALAPFLPTELVVSSLIIIVLVFQYIAYWRSKYKYLYPVEWPMLGLLIPFLVANRNRLHDYVTDAGQSITAHGLATNGMRKPVVVMQDLAMRFMFDVMAMAVKDGLLPFLAKKPVVEMQDLATRFMFDVTAMVVFGVVPGCLSLDMPLMHVLIAMDTIMEVGLFRHTVPAPLWKVMRRFKIGPEGKLDMAHTGLRGFITQMMEQKAMTRKATPTLSMHIFSS >LPERR08G07160.2 pep chromosome:Lperr_V1.4:8:6430574:6435676:1 gene:LPERR08G07160 transcript:LPERR08G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPFLPTELVVSSLTMIVLVCLYKAYWRPKYRYLYPVDWPTIGLLPSLVGNRNRLHDHVTDVLAGAGQSYTAHGLGTAGMRFFITCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGIFFTMDGEECSRQRAKAQSILSDPRLVASIASRCHDKVKDGLLPFLAKKPVVEMQDLSTRFMFDVTAMAVFGVDPGCLSPDMPSMHVSVAMDTIMEVGLFRHTVPAPLWKAMRWFKIGPEGKLDMAHTGLHGFITQMMEQKAMTRKATPTPSMDILSSYIDDPDYTGLMHSLLITYMVAGRDTIGTTLPWFFYNLAMKPHVVSAIRDELAPIAAARKDDDMTMMTFSPEDTKPLVYLQAALLETLRLYPPGWIERKTVVANDVMPSGHEVRAGDTVLISAYSMGRMESLWGKDCYEYRPERWLYDDDDDIGGGARKKKRLRYVASHKFLAFNSGPRMCLGKHIAIMQMKTVAAAVVWNFDVEVVEGHTVEPKLSCLLQMKNGVMLKVNKRALIGHQLVTMALAPFLPTELVVSSLIIIVLVFQYIAYWRSKYKYLYPVEWPMLGLLIPFLVANRNRLHDYVTDAGQSITAHGLATNGMRFFITSCQDAEHPQRPMSGRLDRIVMPRQGEGRSPPIPGQETGRCDAGSRHEVHVRRDGHGGVKDGLLPFLAKKPVVEMQDLATRFMFDVTAMVVFGVVPGCLSLDMPLMHVLIAMDTIMEVGLFRHTVPAPLWKVMRRFKIGPEGKLDMAHTGLRGFITQMMEQKAMTRKATPTLSMHIFSS >LPERR08G07170.1 pep chromosome:Lperr_V1.4:8:6435728:6436356:1 gene:LPERR08G07170 transcript:LPERR08G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRDTVSTTLPWFFYNHAMKLHVVSAIRDELAPIAAARKDDDTMMMTFSSEDTKPLVYLQATLLEMLRLYPPGCFERKTVVTDDVMPRGHEVRAGDTVLISAYSMGRMESPWGKDCYEYRPERWLYDDDDVGGGARKKKRLRYVASHMFLAFNSGPRMCLRKHIAIMQMKTVAAAVVWNFDVEVVEGHTVEPKLSYEEWGHAQ >LPERR08G07180.1 pep chromosome:Lperr_V1.4:8:6436372:6436810:1 gene:LPERR08G07180 transcript:LPERR08G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGGRSWPPSKLVIEIVTALELPQLRGGEEPGMGRIGELGVAVPVAEKRERAEEDRGGRGQGEGRAARARGREGGDG >LPERR08G07190.1 pep chromosome:Lperr_V1.4:8:6440209:6442854:1 gene:LPERR08G07190 transcript:LPERR08G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAILLAIKKISIVVAGEILSLSKPMFSNKKSELAVELPTNMELVKDELEIINAFLKKVNTQGCSDKVLETWMVQVRRLAYDIEDIVDQFIYVVGEQQSSGFWSNLKKAVKKPQSLTSLDRIATEVDKVKLKLKELSSRRDRWIQSTVCGLNAEIPNYDDKQGACQLGHNQADYDDDELVGVDEYREILTKSLYSEHCSLRIIAICGMGGLGKSCLVYNVFKRVQSHCDCSLWISVSQSYKMDDILRNMFNQLRGNGSRGNFDISRMRIEVLKEELKMFLEDKRYIIALDDVWRAAVLLEIRDILLNTGKGSRLIITTRIDEVAAIAEDDCKIKLEPLSQHDAWTLFCKKVFWKTENHDCPPELQKWGLPIETISNSIGELFNLKYLCLNDTNLKSLPKTITKLRNLETLSLERTQANKKFVSRLGNLAQLRSLYISDVKSNYCPELCSSLAKMQHLLRLHVKASNQDEVLRMESLKLPPELQTLQLTGKLAGGVLKSPSLFSANGNSLVRLSLCWSDLTESPIPYLSKLSNLTSLHLQRTYNGHQLRFHAGLFPKLKGMTLKDMVEVRQIYMEEGTMISLEYLKLDGLKHLANVPDGI >LPERR08G07200.1 pep chromosome:Lperr_V1.4:8:6444890:6447581:-1 gene:LPERR08G07200 transcript:LPERR08G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASLALTALLAFLSIFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQLLVTAFTRCLCLGPSLSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLVASLVYYWSYSKAATGGFMKHQNEVGMGMTDYGLDKGGSGP >LPERR08G07210.1 pep chromosome:Lperr_V1.4:8:6465554:6467107:-1 gene:LPERR08G07210 transcript:LPERR08G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSMQEQLLYTKPLPIVLVLLAIPLYLIIQHKKNKNPYIPTEWPLVGMLPGMIANRHHFHDFAAAMLVASGHNVEVRGPPASSMRFFTTSDPENVRHIFTTNFDNYPKGEDFNELFSALAGTIIAVDSDEWRRQRTRIHYVLTRPEMVSSMEQSCRDKVADCLVPMLERMVNKGTPFDMDDLLGRIVMDLTVMNVFGMDPCLLSPDMPPMRLTGALDTLMQVALFRQCMPAFCWKLMKRLNVGHERRYADAESLLRAFTEERIARRIAGDDEPTGVDMLSYYIDDPEYRDDVTGEPTDFFTRTFVNFMVALRDPMSSALPWLVYNLAMHPRAVSALREELVPVAARKSSEPIVFEPQDTKHLVYQRAALFESLRLYPMSPIERKQVVADDVLPSGHALRAGETVLVSVYGMGRLEDVWGKDYREYRPERWITDNGDGSCKLRHVPSYKFMPFNTGPRSCLGKKVAVATITPVVATLFWNFDVEVMDSRAVEPKLSIIMQMKNGFMVKLKKRVHQI >LPERR08G07230.1 pep chromosome:Lperr_V1.4:8:6497005:6498642:1 gene:LPERR08G07230 transcript:LPERR08G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWRREGTAATGPRHRQRRKQVGAAISVSRCHSRSTGPSPTAPSSPTAPRPPPDLQPAAAAPNDTPASSCQL >LPERR08G07240.1 pep chromosome:Lperr_V1.4:8:6497635:6498587:-1 gene:LPERR08G07240 transcript:LPERR08G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKKKSKHRQPSHAVIAIRHDGASLHGNVGLRAPRRNALTSIMPREVTLSLGESTRFDLKSSKMENPPGCNWASPLHADAYY >LPERR08G07250.1 pep chromosome:Lperr_V1.4:8:6518551:6520126:1 gene:LPERR08G07250 transcript:LPERR08G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSMQEQLFYSILLPIVLVLLAVPLHFIVKNNKNKNPYIPTEWPLVGMLPGMIANRHNFHDFAAAMLVAAGHNFEVRGPPASSMRFFTTSDPENVRHIFTTNFDNYPKGEDFADVFGVLAGTIFAADAEAWRRQRARIHHVLTRPELVSSMERSCHDKVATRLGAVACTRGEHRHGVRHGGLVGCLLSPDMLPMLLAGALDTLMEVALYRHSMPAFCWKLMKRLNVGGERKYAEAEALLRMFVGERIAGRIAGDDSLVTTVDILSYYIDDPEFRDDAGEPTDFFIRTIVNFMVALRDPMSSALPWLVYNLATHRHAMSAIRDELAPIAARKSAGAGRDMIIFEPEDTKHLAYHKAALFESLRLYPIGPIERKEAAADDVLPSGHTVRAGDTMLVSVYGMGRLVDVWGDDCRDYRPERWLTFKNGDGNYKVRHVPSYKFMSFNTGPRSCLGKKVAVATITPVVATLLWNFDVEVMAGHVVEPKLSVVMQMKNGFLVKVKKRHEIPTI >LPERR08G07260.1 pep chromosome:Lperr_V1.4:8:6531441:6533132:-1 gene:LPERR08G07260 transcript:LPERR08G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGALDTIMEVAFYRHMMPAFSWKLMKRLNIGHERKYDEAEPFLRSFVRGRITRRIASDDDDDNKAATVDMLSYYIDDPEFRDDAGDLTDLFIRTFVNFMVPLPPPSKPPKTGQLCLLDDGGDRMRPAAANGSTPTLHFHRDIEYSLGVATWVVYNLATHPHAMSALREELAPIAQGKSSTGGSDDAIIFEPKDTKRLVYLQAVLLESLRLYRMGPIERKSVVADDVLPSGHTVRAGDTVLISLYAMGRLEEVWGKDCREYRPERWLITDDGDGEDNCKLRHVPSYKFMPFKTGPRSCLPKIAVAMITPVVATLFWNFDVEVVHSHVVELSVVLQMKNGFMVKLKKSVHKM >LPERR08G07270.1 pep chromosome:Lperr_V1.4:8:6545132:6546688:-1 gene:LPERR08G07270 transcript:LPERR08G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSMGELFCTSLLLAVLLYFIIEYKKSKNQHIPTKWPIVGMLPALMSNLHNIHDFLAAVLAAAGRNFELRGPPGSTVRFFTTSDPENVRHIFTTNFANYPKGENFAEVFGALAGTIFTVDGEAWRRQRTSAHRVLTRLELLSFMERSCHDKVADYLVPLLERMASNGTPFDMEDLLGRLVMDLTIKIVFGMDRCIDMPPTMQIAGALDTIMEVAFYRHMMPAFSWKLMKKLNIGHEKKYDEAEAFLRSFVRGRITRRIAGEDDDNKAAIVDILSHYIDDPIFRDDAGEPTDLFIRSFINFLVALRDPISSALPWLVYNLATHPQAVSALRDELAPIAEGKSSTDGSDATIIFDPQDTRHLVYQKAALFESLRLYPVGPIERKSVVADDVLPSGHTVRAGDTVLVSVYGMGRLEDVWGTDCREYRPERWLTDDGDGGGNCKLRHVPSYKFMPFNTGPRSCLGKRIAVATITPVVATLFWNFDVEVMDSHAVESKLSIVMQMKNGFMVKLKERVRNVS >LPERR08G07280.1 pep chromosome:Lperr_V1.4:8:6558567:6560524:-1 gene:LPERR08G07280 transcript:LPERR08G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGPAANYFNDDEEEETTNISAVNTDFLADSSHGRKRKALIASDSDDEVANQSAPVPRLSSPPPPKVLKPSPFSPRLAKHGHLKVSSIKPNTSFTVVETPAVIPTGLQSKSAEAVVLETTISPRPQAAAIDICPTAAQVATSSDIIPTATPSPVPTFTTVVDAPSADKGKQKQGSPVAAGPTAGSNTERTVSEEIIGWRYGPAPDQVAILDRVEDQKNMTRLIQLVSESSDLVLKVVKNSNAKDTLLERIAPLAEKAKQAQEELAILRNEVAGYRDIRSNFKDKLRAFLGHDPAIFEAKKQAEEQLQKLQAELTLLQSKNQELAKEKDLAEKKLAHDVALSVKSDEKAKKYKDKLKTLMDKHEELRTSNAKEISSMKMKLNNDLYKMKAELDEARRTSAELCEDAEPILDTLYTATAGSNASSF >LPERR08G07280.2 pep chromosome:Lperr_V1.4:8:6558567:6560524:-1 gene:LPERR08G07280 transcript:LPERR08G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGPAANYFNDDEEEETTNISAVNTDFLADSSHGRKRKALIASDSDDEVANQSAPVPRLSSPPPPKVLKPSPFSPRLAKHGHLKVSSIKPNTSFTVVETPAVIPTGLQSKSAEAVVLETTISPRPQAAAIDICPTAAQVATSSDIIPTATPSPVPTFTTVVDAPSADKGKQKQGSPVAAGPTAGSNTERTVSEEVAILDRVEDQKNMTRLIQLVSESSDLVLKVVKNSNAKDTLLERIAPLAEKAKQAQEELAILRNEVAGYRDIRSNFKDKLRAFLGHDPAIFEAKKQAEEQLQKLQAELTLLQSKNQELAKEKDLAEKKLAHDVALSVKSDEKAKKYKDKLKTLMDKHEELRTSNAKEISSMKMKLNNDLYKMKAELDEARRTSAELCEDAEPILDTLYTATAGSNASSF >LPERR08G07290.1 pep chromosome:Lperr_V1.4:8:6564172:6567801:-1 gene:LPERR08G07290 transcript:LPERR08G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGVDQEKSDDLFKAMHAAADLAGVAKSIAAKRTRRDLIATRWGAMPRSAALASRPSIERKEAVDNDVLPSGHTVRAGDSVLVSVYSMGRLVDVWGEDCREYRPERWLIDENGDGNYKVCHVPSYKFMSFNTGPRSCLGKKVAVATITPVVATLLWNFDVEVMAGHVVEPKLSVVMQMKNGFLVKV >LPERR08G07300.1 pep chromosome:Lperr_V1.4:8:6586468:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07300.2 pep chromosome:Lperr_V1.4:8:6586333:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFAQLHAERHSSMNRLIRQQNALLLCSSASRSMSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07300.3 pep chromosome:Lperr_V1.4:8:6586333:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFAQLHAERHSSMNRLIRQQNALLLCSSASRSMSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07300.4 pep chromosome:Lperr_V1.4:8:6586468:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFAQLHAERHSSMNRLIRQQNALLLCSSASRSMSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07300.5 pep chromosome:Lperr_V1.4:8:6586468:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFAQLHAERHSSMNRLIRQQNALLLCSSASRSMSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07300.6 pep chromosome:Lperr_V1.4:8:6586824:6593549:1 gene:LPERR08G07300 transcript:LPERR08G07300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFAQLHAERHSSMNRLIRQQNALLLCSSASRSMSTLCRNGEIGRYMSPSVELLRSRFSTVAADSIKDIARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSVVDYRQLGSAEQGFYFVGKQYSTLLQQKFQTLIGDEEPRPQTVEVVMGRQLQVPLGANGCAYFPFDDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTAEAAPIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRPPLQGVDDGIDVVLA >LPERR08G07310.1 pep chromosome:Lperr_V1.4:8:6597743:6601142:1 gene:LPERR08G07310 transcript:LPERR08G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTCKPISNMVLDSLSSPHRRSQNTFFLSSPKKLQSSKDDVGSWSALVERHRFLLTTLVVLVFLCTIYLYFAVTLGAPDACSGLAGTEKAVCRAKSALRHGKLKFL >LPERR08G07310.2 pep chromosome:Lperr_V1.4:8:6597743:6601142:1 gene:LPERR08G07310 transcript:LPERR08G07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLSSPHRRSQNTFFLSSPKKLQSSKDDVGSWSALVERHRFLLTTLVVLVFLCTIYLYFAVTLGAPDACSGLAGTEKAVCRAKSALRHGKLKFL >LPERR08G07320.1 pep chromosome:Lperr_V1.4:8:6602486:6610154:1 gene:LPERR08G07320 transcript:LPERR08G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVTPLPPPTLLARRRRGLSLSSTTKAAATRVFRVSAAAMATAASAKTAVQPAALLAMGPPGAADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLENTPKSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGMVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAQGKPDPCPLHTAWLKEIKRRWVGQATATMAHVNDGSRIFILGTP >LPERR08G07320.2 pep chromosome:Lperr_V1.4:8:6602486:6610151:1 gene:LPERR08G07320 transcript:LPERR08G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVTPLPPPTLLARRRRGLSLSSTTKAAATRVFRVSAAAMATAASAKTAVQPAALLAMGPPGAADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLENTPKSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGMVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAQGKPDPCPLHTAWLKEIKN >LPERR08G07330.1 pep chromosome:Lperr_V1.4:8:6606153:6608447:-1 gene:LPERR08G07330 transcript:LPERR08G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSQKHASKSIILHCIPFLLLLLSAPPLPISSTATIIQRGEAESLLRWKSTLSTSAGGGASPLTTWSPASSSSACSSWRGVTCDAAGHVTELSLPGTGLHGTLAALDLAIAFPALAKLNLRHNSLTTGDATAGVSTTGSSNLTYLDLSDNAFPGHILDALLPLSPATLRQLSYLNFSSNGLYGPIPMSLSAMEKMRVFDVSRNSLNGDIPPELFNNWAELTQFRVQNNSITGRIPPAIGNATKLQHLRLAKNKLTGEIPVEIGRLGSLQALELDDNFLTGMIPESVGNLTELVMMVLFSNSFTGVIPPEIFNLTALRSIDVSMNQLHGEVPAAISSLRNLRGLDLSSNKFTGTIPSDLGSRQFQTIVLANNSFLGEFPLTFCQQNFLEILDLSNNQLHGGIPSCLWHLQNLVFMDLSHNTFSGDVLMSTYPNSSLISVHLANNHFTGEYPMVLKGCKLLTILDLGSNHFSGTIPSWIGTSNPLLRFLILRSNVFQGSIPRELSQLSHLQLLDLAMNNLVGLIPRSFGNFTSMIQPKTELNLPMTTRHGILGGYVDYVYINRIGINWKRQNHAFEGIIALMAGIDLSSNYLSNEIPPELCNLEGMRFLNLSRNRLSGVIPKEIGNLKIVESLDFSWNELFGSIPSNISELMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYGNNFGLCGFPLNISCSNISNSTPALIGGSKDNNEPEILSWFYSVFAGLVFGFWLWFGVLLLFKPWRFAFFHQVDHIQKKIM >LPERR08G07340.1 pep chromosome:Lperr_V1.4:8:6610209:6614721:1 gene:LPERR08G07340 transcript:LPERR08G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSFRRHPFPSSIDLVRWLPSSSDRLLVAAVHDPAAPSSSSHLHLLALPDPSSPLASLPLPSRATALRCSPSVLAASTSSGSLHLLPSSFDDESAVCIEGGAGFHVGPVRGLDYGGGGGGAGGGEEWVTAGEDGRVHVVTAGGGDGRLVARRVWDGKGMVGYEAARWASMAEFATGGAGCGVQWWDRRMGFAVAAQCKGIWGRGIVTGMVHSIDIHPSRKHICMVGGSSGTIFAWDLRWPQQPIPLSGLGLGGTAQPVCESEVWEVLFDNYTQSSDIISSASTRILPVMMYERPVELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGNNNAKISIFLEGFYFKSATEADGLALEEIGL >LPERR08G07340.2 pep chromosome:Lperr_V1.4:8:6610209:6614721:1 gene:LPERR08G07340 transcript:LPERR08G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSFRRHPFPSSIDLVRWLPSSSDRLLVAAVHDPAAPSSSSHLHLLALPDPSSPLASLPLPSRATALRCSPSVLAASTSSGSLHLLPSSFDDESAVCIEGGAGFHVGPVRGLDYGGGGGGAGGGEEWVTAGEDGRVHVVTAGGGDGRLVARRVWDGKGMVGYEAARWASMAEFATGGAGCGVQWWDRRMGFAVAAQCKGIWGRGIVTGMVHSIDIHPSRKHICMVGGSSGTIFAWDLRWPQQPIPLSGLGLGGTAQPVCESEVWEVLFDNYTQSSDIISSASTRILPVMMYERPVELLAEPCAINSFDIDPQNPSDVVCALEWESIGNNNAKISIFLEGFYFKSATEADGLALEEIGL >LPERR08G07350.1 pep chromosome:Lperr_V1.4:8:6617981:6622866:1 gene:LPERR08G07350 transcript:LPERR08G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAECIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPVGADRAPFDSITLPNTFCLDDVNLDDAIRLIDTPDNHRKSLDQITLAGDYVTIDLDEDAGVELSTPGQSSQMGPEPNEEERLPPFHIDFGPSDNMDVEISVDPAQGNSEAANPSNINQQADNAPDLPEIMREAPFEGPELNLPDIDELNNDPMDTTVELSPFVSKNITPAAMEQTISPVQGLSGTSIPNVQGTTSSTYDDIEDVIPMDTGMPDLRIAPSPPQVHHNNEDAQPNVQDNDGDAEPNVQDNNGDAQPNVQKNGIKLKYDKEIVFSNAYMKRQIDGSELYHLASKRRKLPHTTVDVWKFSRIRQKDGFLHEPLVHGMCTNLRQTYERTFPHVSGEHTPGVENASIQDAPDHQPDEHLFNQQAPRNSDGQPEPEINPTSPVNTDAQLEPQLNSQSPRNADGQPEPEHNPKSPADAGTSHFEDTPEMPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSGFGGTAATGASADMSYMSPGQDSYPQLSPFPFNDELDGDFPEIPSLISTPAVISTAGTAATGLGSMSDRTRRVAQYFKDHMSSATSDDQPGKFSLNRILEGRSRKLAARMFFETLVLKSYDYIDVEQEEAYGDIAISVKPSLSGAKP >LPERR08G07350.2 pep chromosome:Lperr_V1.4:8:6617981:6622866:1 gene:LPERR08G07350 transcript:LPERR08G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAECIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPVGADRAPFDSITLPNTFCLDDVNLDDAIRLIDTPDNHRKSLDQITLAGDYVTIDLDEDAGVELSTPGQSSQMGPEPNEEERLPPFHIDFGPSDNMDVEISVDPAQGNSEAANPSNINQQADNAPDLPEIMREAPFEGPELNLPDIDELNNDPMDTTVELSPFVSKNITPAAMEQTISPVQGLSGTSIPNVQGTTSSTYDDIEDVIPMDTGMPDLRIAPSPPQVHHNNEDAQPNVQDNDGDAEPNVQDNNGDAQPNVQKNGIKLKYDKEIVFSNAKRRKLPHTTVDVWKFSRIRQKDGFLHEPLVHGMCTNLRQTYERTFPHVSGEHTPGVENASIQDAPDHQPDEHLFNQQAPRNSDGQPEPEINPTSPVNTDAQLEPQLNSQSPRNADGQPEPEHNPKSPADAGTSHFEDTPEMPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSGFGGTAATGASADMSYMSPGQDSYPQLSPFPFNDELDGDFPEIPSLISTPAVISTAGTAATGLGSMSDRTRRVAQYFKDHMSSATSDDQPGKFSLNRILEGRSRKLAARMFFETLVLKSYDYIDVEQEEAYGDIAISVKPSLSGAKP >LPERR08G07360.1 pep chromosome:Lperr_V1.4:8:6627607:6627967:1 gene:LPERR08G07360 transcript:LPERR08G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPTNAAAEADMLPSLPPEILNNILAWLPFKEVGRTCCLSHANYSAAAVSGMLARCAVHVEGFDVFVRRLFQRRALCWLRVLADKRVRAQPQLRIHP >LPERR08G07370.1 pep chromosome:Lperr_V1.4:8:6639974:6642590:1 gene:LPERR08G07370 transcript:LPERR08G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPVNATDMLLSLPPEILDKILARLPFKEVGWTCCLSHAWERRWESVPGLAICFRRNYNATDISHVLTRCAAHVEGFDVFVCRLFRRRALCWLRVLADKRVRSLNLNFESTPDDVHRGVGLMFPTIASAIYDCGDLCDLRLSYCQLPPPALSFAGLVLSTVSLPFAGAGAMLERVIAGAPDLDDLVLRKVSTGVASSEVEAWAIRAPKLRMLTLWMVIDNGGRIAERLPLLKTANIVVDCLLGSEDLLDTLWKVASVKVLNFVVYKREPCSGQ >LPERR08G07380.1 pep chromosome:Lperr_V1.4:8:6651169:6654638:1 gene:LPERR08G07380 transcript:LPERR08G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPLEILDNILVRLPFKERRWESVRGLAIRFRRNYSAAAVSHMLARCAAHVEGFDVFVCRLFRRHTLCWVRVLADKRVRSLNLDFEISPDDVHRVVGLVFPTIASAIDDCGDLSDLRLSYCQLRPPPALPFAGFSGLTRLVLSTVSFPFASAGALLGRVTAGAPDLDDLVLREVSTGVAGGEVEAWAIWAPKLRMLTLWMAIGNGGRIAERLPLLETADIIVDCLLGTEDILNTLWKVAAVKVLNFFVYDKEGLINHVSFLGIGCATRCI >LPERR08G07390.1 pep chromosome:Lperr_V1.4:8:6656100:6658382:1 gene:LPERR08G07390 transcript:LPERR08G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEKGTVRTLWYLNENPLEGITWKFHNLRISALTVNFGKMPSIMSIFSLLRCAPQIEILHIEVDQRETQNNGIVKEIIDVEMSDGLVKTLKCVIMSLVTCLPSEMSFIELLLSKATSLESLKVMYSWKSLMPLKEACTSFPTFKKASPHGSCEHNLLIWEFIYIIRNKWSYTFSEPANHVDSRWM >LPERR08G07400.1 pep chromosome:Lperr_V1.4:8:6664450:6667773:1 gene:LPERR08G07400 transcript:LPERR08G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYLLNENPLKGITWKFQKLRISAFTVNFGKMPSIRSIFSLLRCAPQIEILHIPGSCLEVAFEIKEVDRREILNNDIAKETIDAEMSARLVKTLKRVIVTLAKCLPSEMCFIEHLLSKATSLESLKVILSWENLMMPFEEACTSFVTYYKVSPQLKFVVISGMEAIDVVAQTAAILIIMAKHTCHPSFLTMNSIDRHVCTALEI >LPERR08G07410.1 pep chromosome:Lperr_V1.4:8:6684699:6692488:1 gene:LPERR08G07410 transcript:LPERR08G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGRSIDIIIEAGPNLCRRYLIRRDLRRALHTTSSSSLATQHYTSALLLANSNRKPKAQRPPNEAAMSSSSSPVLEADILLSLTPEILDDILAWLPFKEVFHTCCVSHESVPGLAVRFCEKYSPGVVTTVLTYCAAPQARFNVMRIRSLNLEFESSCSDVHRGIGLVFPAAESAIYDYGDLSDLRLRNCKLPPPPPPPALPFAGFPRLTKLDLCSVALDAGARDCRRAGSRRPAFG >LPERR08G07410.2 pep chromosome:Lperr_V1.4:8:6675789:6692488:1 gene:LPERR08G07410 transcript:LPERR08G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRGRVSGSVGRLLPQPVAFLSLSALFPAHQSNAATAAIKKNTTTSSPPNSSAHLLSIFPLHPPSLSFPSFSYHLVRSGHPQPREIRSTQNITWRDQERWGDGFMVLHVAISFVTMSLVINGEAVEKVKTAAMSSSSSPVLEADILLSLTPEILDDILAWLPFKEVFHTCCVSHESVPGLAVRFCEKYSPGVVTTVLTYCAAPQARFNVMRIRSLNLEFESSCSDVHRGIGLVFPAAESAIYDYGDLSDLRLRNCKLPPPPPPPALPFAGFPRLTKLDLCSVALDAGARDCRRAGSRRPAFG >LPERR08G07410.3 pep chromosome:Lperr_V1.4:8:6676140:6692488:1 gene:LPERR08G07410 transcript:LPERR08G07410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVINGEAVEKRIRSLNLEFESSCSDVHRGIGLVFPAAESAIYDYGDLSDLRLRNCKLPPPPPPPALPFAGFPRLTKLDLCSVALDAGARDCRRAGSRRPAFG >LPERR08G07420.1 pep chromosome:Lperr_V1.4:8:6692490:6695266:1 gene:LPERR08G07420 transcript:LPERR08G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVLGSGGRQAQAEVLAGVGDPSAEDALVDAVDVRRQWLPHHEEPATAGDVRRTSRECASAKTLNFSATDKLLTI >LPERR08G07430.1 pep chromosome:Lperr_V1.4:8:6696806:6699547:-1 gene:LPERR08G07430 transcript:LPERR08G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLHLLHVLAILLVIVAVPAAGVVVGRESAVLRLRELQWDSSSAGKIVDRRGQYAEADHAEKTKGEPKKEAAARTTNTVVLELKHHLLTAIPSHPTAQERYLRRLLAADEARANSFQLRNRHNIPASSTQSSAKPSPASSSATATASVPLTSGIRFQTLNYVTTISLGGGGGNLTVIVDTGSDLTWVQCKPCSVCYAQHDPLFDPSSSASFAAVPCNASSCSSSLKAATGLPGSCSSSAGERCYYSLSYGDGSFSRGVLATDTVSLGGAGAASVAVDGFVFGCGMSNRGLFGGTAGLMGLGRTELSLVSQTASRFGGVFSYCLPATTSAAGSLSLGSGGGYATPVSYTRMIADPAQPPFYFVNVTGASVAGADVAASGAVLGSANVLVDSGTVITRLAPSVYRAVRAEFARQFAAASYPPAPPFSLLDTCYNLTGHDEVKVPLLTLRLEGGADLTVDAAGMLFMVRKDGSQVCLAMASLSFEDQTPIIGNYQQKNKRVVYDTVGSRIGFADEDCSYA >LPERR08G07440.1 pep chromosome:Lperr_V1.4:8:6700274:6710913:-1 gene:LPERR08G07440 transcript:LPERR08G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSPTPKRRRVADPPPPPPATSREEDTLMSLPTEVLNIILARIPFNLLVRTSVLSRAWRRRWESVPFLDIRFDWSSPAVPTADAMWRCAAPVAVFHACALGEPKFHSHRTDSWIRAMASKGIRDLDLNFNRRTYFLDPALFSCAALVRLHLSCCEMPPAPPGFRGFPNLVSLTLLRVALPFEIDGKLLERLIEASPLLAELELEDLEGEEDYMPPNYKVNEWAVRAPRLRVLKITAPWDVGCRIPDELRLLEEAYIEISSCFPSFLDTFRQIISVKKLWFDAVERLQFDIMSTHLYRTATTQYMQIICSKPVQSLKIRLDQITMDQSLSCVCAPYIEDLSIEAEEFSWSSGDYPYEIDQDFLNSEINGNLFSGVKYVSLNGIEKSFNQMRFMKVLRALARRRSPQARLDIKLGKKPIPGVQDPRLLPEPEAAAAATREDMLMTLPPEILDNILKRVPLGELVRLSCLSRAWRRRWESVPNLRIRFDWSPPTMPPPPRALWRCAAPVASFRACVPARWFRALARRGDPRIPRHYCLLGPALFSCAATLVGLHLQNCQMPPAPQGFEGFPSLLSLTLCHVRLSRSTTAPRLAELKLHDVEAEVPESVDGWAIWAPNLRVLKMVITEIDSGCWIPEDLLMLEEAYIIIDSLLPWTEEFLRRITTVRKLWFDTRKFYENPFEGISWKFQNLREVHLTTCFGKLPSVMSILSLLGCSPCIENLTIEDPSCSLIHEPYEIDEDFLNSEISDNLFSSLKHVSLSGINYFTSEMRFVKFLLSRIESLQTFVVAFINDESNEWYVNVRTELDAFGRASRQARLEVKHRDEPTQGVDDSSCLPPEIT >LPERR08G07440.2 pep chromosome:Lperr_V1.4:8:6700274:6710913:-1 gene:LPERR08G07440 transcript:LPERR08G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSPTPKRRRVADPPPPPPATSREEDTLMSLPTEVLNIILARIPFNLLVRTSVLSRAWRRRWESVPFLDIRFDWSSPAVPTADAMWRCAAPVAVFHACALGEPKFHSHRTDSWIRAMASKGIRDLDLNFNRRTYFLDPALFSCAALVRLHLSCCEMPPAPPGFRGFPNLVSLTLLRVALPFEIDGKLLERLIEASPLLAELELEDLEGEEDYMPPNYKVNEWAVRAPRLRVLKITAPWDVGCRIPDELRLLEEAYIEISSCFPSFLDTFRQIISVKKLWFDAVEAEEFSWSSGDYPYEIDQDFLNSEINGNLFSGVKYVSLNGIEKSFNQMRFMKVLRALARRRSPQARLDIKLGKKPIPGVQDPRLLPEPEAAAAATREDMLMTLPPEILDNILKRVPLGELVRLSCLSRAWRRRWESVPNLRIRFDWSPPTMPPPPRALWRCAAPVASFRACVPARWFRALARRGDPRIPRHYCLLGPALFSCAATLVGLHLQNCQMPPAPQGFEGFPSLLSLTLCHVRLSRSTTAPRLAELKLHDVEAEVPESVDGWAIWAPNLRVLKMVITEIDSGCWIPEDLLMLEEAYIIIDSLLPWTEEFLRRITTVRKLWFDTRKFYENPFEGISWKFQNLREVHLTTCFGKLPSVMSILSLLGCSPCIENLTIEDPSCSLIHEPYEIDEDFLNSEISDNLFSSLKHVSLSGINYFTSEMRFVKFLLSRIESLQTFVVAFINDESNEWYVNVRTELDAFGRASRQARLEVKHRDEPTQGVDDSSCLPPEIT >LPERR08G07440.3 pep chromosome:Lperr_V1.4:8:6703075:6710913:-1 gene:LPERR08G07440 transcript:LPERR08G07440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSPTPKRRRVADPPPPPPATSREEDTLMSLPTEVLNIILARIPFNLLVRTSVLSRAWRRRWESVPFLDIRFDWSSPAVPTADAMWRCAAPVAVFHACALGEPKFHSHRTDSWIRAMASKGIRDLDLNFNRRTYFLDPALFSCAALVRLHLSCCEMPPAPPGFRGFPNLVSLTLLRVALPFEIDGKLLERLIEASPLLAELELEDLEGEEDYMPPNYKVNEWAVRAPRLRVLKITAPWDVGCRIPDELRLLEEAYIEISSCFPSFLDTFRQIISVKKLWFDAVERLQFDIMSTHLYRTATTQYMQIICSKPVQSLKIRLDQITMDQSLSCVCAPYIEDLSIEAEEFSWSSGDYPYEIDQDFLNSEINGNLFSGVKYVSLNGIEKSFNQMRFMKFVLSKTATLQSFAVTFHYRESYKRYAKARRVLRALARRRSPQARLDIKLGKKPIPGVQDPRLLPEPEAAAAATREDMLMTLPPEILDNILKRVPLGELVRLSCLSRAWRRRWESVPNLRIRFDWSPPTMPPPPRALWRCAAPVASFRACVPARWFRALARRGDPRIPRHYCLLGPALFSCAATLVGLHLQNCQMPPAPQGFEGFPSLLSLTLCHVRLSRSTTAPRLAELKLHDVEAEVPESVDGWAIWAPNLRVLKMVITEIDSGCWIPEDLLMLEEAYIIIDSLLPWTEEFLRRITTVRKLWFDTRKFYENPFEGISWKFQNLREVHLTTCFGKLPSVMSILSLLGCSPCIENLTIEDPSCSLIHEPYEIDEDFLNSEISDNLFSSLKHVSLSGINYFTSEMRFVKFLLSRIESLQTFVVAFINDESNEWYVNVRTELDAFGRASRQARLEVKHRDEPTQGVDDSSCLPP >LPERR08G07450.1 pep chromosome:Lperr_V1.4:8:6725733:6727610:-1 gene:LPERR08G07450 transcript:LPERR08G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGYVLRTASGSLATPEREVADEEIEEIDTGEYNPNFQHCHRGRVVVPPPPPSPAVAATEDGGRGRGGNERTAMRPAQQQSLGHARAGVGEPRQGGVHRRLEFGTPEGALQAAETLLRHPPVTLGKGSITKRWLEDVARLDALALVETLGAVVKLAKDLPSGGADPLLCDSGRDAAEVDGVPLHDGVFKNLIELLPPALQVLQLLLSDEALDPGCGRQLRAPLGNLDLATRHHDLPSRQGLDLRLEPL >LPERR08G07460.1 pep chromosome:Lperr_V1.4:8:6733072:6735812:1 gene:LPERR08G07460 transcript:LPERR08G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGSSSSSSTPKRQRVAETPPPPLPSPPAVETDMLMSLPPEILDTILDRVPFRKLLTTTCLSQAWRYRWESIPNLDIDLPQEVSARVLWQCAASAPDNAVGIRSFHARVSGNTHFLRVASWLRALSRKGVQALILDFDNCVLDPKPPILLGSALFSCAALVRLALNDCRMPPAPAGFPGFPKLASLYLSNVALMFKGGGAQVEHLIGAAPDLNFLSLSYVVTKPLGDEGEAAKWFIRAPKLRTLVIMIQFEDNGCRIGEEEFPLLEDASISIDCLIGTQDGLHFFRRVAGVKKLWFDIESNMFIVNPLEEITWKFDNLRKAHLCATFGQLPSFMSIVSLLRCAPHIEHLSIEAEDDIESTDQFEIYEVLNLETSDDLFASLKFVSLSGIKHYSNQMSFMKFILFKAVSLQAFVVTFSYHKTNVWYEQACRELEEWRSMASPQVSFIPMLTDESNSIIDDGETIEDSDDGSE >LPERR08G07470.1 pep chromosome:Lperr_V1.4:8:6743797:6754711:-1 gene:LPERR08G07470 transcript:LPERR08G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGPRSPSSPSPPSPKRQRVAEVAAPAPPAETDKLLSLPTKILDRILKRIPFATLIRTSLLSHAWRHRWESVADLDIDLPPSASARALWRCAAPVHGFRARVAARGNRCRRFYRVARWLRALARKLVEELTLEFDGFLGKPPVLLGPALFSCAALVRLELCHCRVPPAPPGFPGFPDLISLVLVHVALLFEGRGGGAQAQLEHLIAAEAPERGVLGFTKPLGGDADAVEKWFIRAPNLRNLVIITNYADDGCWIAEEELPQLEKASIHIDCLIRTPDFIDILRWIASVDILWLHTNSNLCTVNPLKGITWKFKNLRKAELNITFGRFPSFMSIVSLLRCAPHIEHLDIRAEDTERLAGEFQIDEEFLNSETSDGLFSTLKSVSLSGIKNCSNQMCFMKFVLSKAKSLRHFFVSFDPGESNESYNQACRKLGKCKIASSEEEEEKEASPTPAAAAAATTTDKLLSLPAKLLDRVFMRVPFRKLVTTSCLSASWRRRWESVTGLAVDLPPSASARAIWRCAPPVHNFHANVHNHNRRRNFHRVARWLRALACKRVEQITLEFAGHEMSSAVFLGPGLFSCSALVRLYLSNCRMPPAPPGFPGFPKLVTLILDHVLLPFAGGGKQFEHLIEAAPDLGYLSLTDVGTKPLDDQGAAEIWVIRAPKLEELLIITNHEDNGCRIAELPLVEKASIKIDCLIGTQDFVDILNRISAVQELWVWTDLDPCTVNPLEGITRKFDNLTRAELNINFGVRPSFMSIVSLLRCSPNIEDIIIQAEDIEPLAGEFEITEVFLGSEISDDLFASLESVSLGRINNYSNQMCFMKFVLSKAKYLRHFHVSFDSGESEESYEQARAELKEYQKASPDTDMLMSLPPEILDIILVLVRTCCLSRAWRRRWESVPNLRIELPPRGGGSTGRALWLCAALIDAFIARVVDGTPGGVYLAARWLRAVARRRVRDLTLQFEPPSWLSSSAPRGRRRLLGPALFSCGAALVRLHLGDCHMPPAPRGFFSASGAAPFFPNLVSLTLDHVDLPFRGGGAQLELLIDAATRLAVLRLSFVYTAAAAADDDDHDDVEAWVIRAPICAEASVAGGGSNLLEGITWKFNNLREAQLCTRFGYHSSILSVVSLLKFAPHIESLHIMADETEWPEEDEIDEDSLNTQISNDLFAGLKVVLLTDVKISTNKVTFVYGDERNEWYVRACRELRECQKASPQAVFRPKFRDVAVVQTAVVFPSYGKMHYSVSLYYTRIDNGYQIGAALPKLEEAYISIDYLLRIHDFLHTQTSM >LPERR08G07490.1 pep chromosome:Lperr_V1.4:8:6766200:6779430:1 gene:LPERR08G07490 transcript:LPERR08G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGEPPSLDADIDMDDLATLDAPASSDAGGGGGGAPSTRFRPKAKGKPKPKPNPKPETKAEEPESKPMAEEPDSKPKAEDPKPEAAAPTEDSMEVDEVGTSARASASASAEGEGDDEVEDEDDFVVREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEIRVKPLSSKVEVDLHINTECENYDPEVSSGLTEQTLSSSKADDVADYAVGVLQGNLVHLNHIDAVMQLRPSMLHINSGRSNARLAVQHGANSDASGSTMPSVKRNGHSEDSKDHTEESEPWISLTYEPARSNIARKYHAEMISNEGGPIDFTMSTSEYVTSLCPGGSTGSRNVNRSHAIREMLKLPLEERLKKWFTEVSQVTKFDALMHLAPTYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGVACKRDRILLEFTKKDSIPLKDIDRLLRVDDLRLKGRILVPLCKKRLKLGDCKFISPVDSSFIKRYPHVVKEQDHAWSVRETTMHDSQETSSNTEARKTKNSTKSNIPSKGPGPNTTKARDGPVEGIEGLVHSVLDTIFTANKVRSIQAVRSDLRQLAARYASDRKGGQKLQALSKAATTCVHFTLAQLQKSLEPVAVLVHGVYVAKPTDKKSPRHLLIELFRDKDPNAKLTKQEILDGALNILKRQITEKEYHQHVTEICILTEDGHLVLKNGPKY >LPERR08G07500.1 pep chromosome:Lperr_V1.4:8:6779564:6780757:-1 gene:LPERR08G07500 transcript:LPERR08G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEEENGEVAAAALREALRRQEAAVEELRAELEVERQAAASGADEALTMIVRLQAEKAAERMEAEQFRRVAEERISHDEDTLAFLKAVVFHQEMEISSLNRRLLAAAAVEVDAIDIPWLRKLAKNGVLSTRNASLPAARLEELCSEIDAAGDNNGGGRRAAPARTVSDIGEVIGREKDWLTSNANASHRQPPPPASTKTRLLHRSASHRLRRAPSYSESFAAMRSTRRASTEIISEEEENLISQRKECNCNEHTTIAELGADIGQIKLNVQNLQTELITMKEEIMIKGDSQARLLAEICAKLDAIQMHQGVQVENKRSSAPPVQVRVSREEGSSSKGGNYTQSELLMNHFIEVSAVIFSSLLVRPLLKVAASMSLFRCFLILVLAVVFRKVLMN >LPERR08G07510.1 pep chromosome:Lperr_V1.4:8:6788588:6791390:-1 gene:LPERR08G07510 transcript:LPERR08G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFIALFLLLTLAYQGTWCGAERSHMIDGAHPRPHLQVGELHVTEGKKVQEVQVSRKLDQEGDHNEVKVLMRMAIAHKGGGTGGVGGGVGGGPGGGSSGGRTNINGGATDTRPHTGRSNAAAMAAPATTSLLALAFITFHLKMMKSFLALILLLTLASQGTWCASADDHERSHRIYGAHRRPHLQVKDLHVTESKKLLKIQVPRKLGQADEHTHHDQVKVPMRMAIGAHKGGSTAAATGGGGVAETRPHNGKNGAAALPTPAKTFILALASSCAIFLSAFSF >LPERR08G07520.1 pep chromosome:Lperr_V1.4:8:6792629:6793196:-1 gene:LPERR08G07520 transcript:LPERR08G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSFLALFLLLTLASQGIWCVAERSHRINGAHLRPHLQVEQLHVTEGKKLLKIHVPRKLGQEQEDDHTHHDQVKVHMRMAIGAHKGGSTGGATGGASNVNGGPADTHPHTGKKNAASLPTPATTSILALAFACAIVLSAFSF >LPERR08G07530.1 pep chromosome:Lperr_V1.4:8:6795023:6799768:-1 gene:LPERR08G07530 transcript:LPERR08G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVPPALVEAEERDWSEMTPACLSEAFSRLGLEDLWRGAMACCRAWRDAAVSHPELFAALDLEPAFATVGADAAHWWTPAFQRRVDAMLRSASSLAAGELREVRVRHCSDDSLAFAAERSPKINILSIRSSPSVSDRSMLIVASCCHFLTELDISYCHEVSYKSLEIIGQNCPNLVVLKRNIFNWLDSSEHIGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLKAISEGCKELEVLDLYGCANLTLRGIQQATSSMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNWHDRTMG >LPERR08G07530.2 pep chromosome:Lperr_V1.4:8:6796002:6799768:-1 gene:LPERR08G07530 transcript:LPERR08G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVPPALVEAEERDWSEMTPACLSEAFSRLGLEDLWRGAMACCRAWRDAAVSHPELFAALDLEPAFATVGADAAHWWTPAFQRRVDAMLRSASSLAAGELREVRVRHCSDDSLAFAAERSPKINILSIRSSPSVSDRSMLIVASCCHFLTELDISYCHEVSYKSLEIIGQNCPNLVVLKRNIFNWLDSSEHIGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLKAISEGCKELEVLDLYGCANLTLRGIQQATSSMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >LPERR08G07540.1 pep chromosome:Lperr_V1.4:8:6799877:6803051:-1 gene:LPERR08G07540 transcript:LPERR08G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFGGSNSKQSRHAQSLEADFGHSLDHGNATKQQNQGHGQTNQVVTPIPDEGSGAGILLFHGLIVIVRMARMKTMCMLEDTNSLDMP >LPERR08G07540.2 pep chromosome:Lperr_V1.4:8:6799877:6803268:-1 gene:LPERR08G07540 transcript:LPERR08G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASASPPPPPFSPPPAVDPVVSSPSLDHGNATKQQNQGHGQTNQVVTPIPDEGSGAGILLFHGLIVIVRMARMKTMCMLEDTNSLDMP >LPERR08G07550.1 pep chromosome:Lperr_V1.4:8:6814869:6823472:1 gene:LPERR08G07550 transcript:LPERR08G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSNNTAGQTLNWRTQISQEARRKIVGMMMGKHLEAFPIHFPGLMNMIQDNAVSDEDKTYMIATSKDQLVEGQMFEQGSSINIRRGSIDRISNLPVDLLYIIMSFLPALDLVLTGILSTRWRRLWTSSKCLNIDVNQFGRHRGQEFRNFINCMLHQRGSSSLDVLRLHSADIRDAGFWITYAIRNNSKVVEFSEDIECEPFKLDHGAVSFTSIFLKHLVLTNVCLDSDVFSYINNACPALENLELRDCSLEVPKISSGSLLHLDIDNCCLFEDLIISSPSLLTLCIKNPQHRAPMTNTLPCLEVGTIILNDFFHSNDDLVDMDEEEEQGGEKINHSTVGGLTKARSIELIAPLREDKFEMELWTCPIFNNLTSLILGEWCMSNEFTPLLYFLWYSPLLEDLTLKLNMEVCQYCLQEPPTAPSPIKAFTADCLKKITIYFLSGDGRVSKLLALLAPICKSLEDIKLIPSTPPGIRELVSRVQRITE >LPERR08G07560.1 pep chromosome:Lperr_V1.4:8:6832429:6841891:1 gene:LPERR08G07560 transcript:LPERR08G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAAAGGAPPAVPSAVESAAEDTLAATESVGDHLAELIAAAAEDPDAIAALPPLRRARAFLAMAQAAASLFAGSSRFDSLPLWLLRLRCSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLSHLTADQKRSMQAISRGEGGSYSGNKRKPHQPLPKKKSVRAATEEFLAKATLELSGHNNSKVKGPIRLISDEDED >LPERR08G07570.1 pep chromosome:Lperr_V1.4:8:6842581:6846552:1 gene:LPERR08G07570 transcript:LPERR08G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAIVSPIAIGVYAGIAGSVADSGMTMATVLIAMLQLIAGGLITCLMLSKYGETNKVVNLLGSWKELCNYPGQYWPKIQRTRVSAEQPVSGGLEGSGPAIMLAVIVIHSLVEDRNSDQVAAFGL >LPERR08G07580.1 pep chromosome:Lperr_V1.4:8:6849126:6850959:1 gene:LPERR08G07580 transcript:LPERR08G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENRDAPQEGGVKQEDALVLQERAAQRMGSAAAATADDDYYDYGSMDFFPPGDDDECRFLCLDLETSLAVAGLAGVAVCIYGAVRFLARNLPHLLLLLYRPSPPGRLASPSPPPLPTSATDHPHGPHLIPAIQKKPPCSPLGGNYGDIPAYTCSIDRGRACICCVIS >LPERR08G07590.1 pep chromosome:Lperr_V1.4:8:6852587:6855931:-1 gene:LPERR08G07590 transcript:LPERR08G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGSSRRGNASFDHVRKAAAIEGFLVRAGFPRFPAATPLLLLHSPAAYVATSSSFSMVTTPPPGIEFLISNCGSGDLAFLLSQKVGMDGQEQRWKSCYKNIKWIEGDALDLSFINCYFDAVTVGYGLRNVVDKPKELREIFRDLEVGLVL >LPERR08G07590.2 pep chromosome:Lperr_V1.4:8:6854313:6855931:-1 gene:LPERR08G07590 transcript:LPERR08G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGSSRRGNASFDHVRKAAAIEGFLVRAGFPRFPAATPLLLLHSPAAYVATSSSFSMVTTPPPGIEFLISNCGSGDLAFLLSQKVGMDGQVMAVDFSSQQLQTAASHSLSVASPRDGLLPFSQAEPTTAGALYGASTPDDEESDPACALSSPAHALCSPARPRPDAAAARRCCWKSSSLLLLLLPFLAAPNHSPPKPRGGGAVVPDRSGTEPTAATAVVGC >LPERR08G07590.3 pep chromosome:Lperr_V1.4:8:6852587:6854190:-1 gene:LPERR08G07590 transcript:LPERR08G07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVRILDRQISQFVAMDGLIWADADVFLEVVDDLISTVQQLEATETNCVLFDYAWIEGDALDLSFINCYFDAVTVGYGLRNVVDKPKELREIFRDLEVGLVL >LPERR08G07590.4 pep chromosome:Lperr_V1.4:8:6852587:6854190:-1 gene:LPERR08G07590 transcript:LPERR08G07590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVRILDRQISQFVAMDGLIWADADVFLEVVDDLISTVQQLEATETNCVLFDYAWIEGDALDLSFINCYFDAVTVGYGLRNVVDKPKELREIFRVLKQGLFLDLEVGLVL >LPERR08G07600.1 pep chromosome:Lperr_V1.4:8:6859205:6861999:1 gene:LPERR08G07600 transcript:LPERR08G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFFSPPAGFQECPNLYLPLSLETRRHETEEKEKHGDNSSSSKSEIAPHATPLPPPPTLLTLPAATITTTTTSLERETREREEGTGCCWLVFAFLGVLRPSIILRCCCCYRVESTITMHGPFGFFGITSHPSVPHGSPLLSPSHGAAEHHHPQQERERRARKEAAAARNFPHHLHLPPTLFLYCLLLFFHLPCSPLPLPLSLSLLLLWLSLPPPFSLRPFLGFLGG >LPERR08G07610.1 pep chromosome:Lperr_V1.4:8:6862802:6868944:-1 gene:LPERR08G07610 transcript:LPERR08G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYRAGDDRSRSPPSPATASSGSADGFHAHDCGTGDYGGDSGVAPCSQPPASLTVTADAVRDELRQAKKEKIRERILREEAEDWELEAEVRRELMEQIFPLLRRSGNNNAPPAGATSAVQVVSKANASASAAILPTKRKNPAVATASASAAVSAETSSKRPKADLTCAVCGITSTGEKALQDHLNGKSHKKKAAAAALAMPAEPEKDDDQMEVEEEEADDDAAAMMMMMMMPPPASDDGFVPTKLTMRSHAGEMYNVMQMDGYLLCEACNVRAADRVTMMCHLNGSKHVSKSKATKLNQQSGKPAPATLEEGGQPGTVVVEAGGEKHVVRRLDVDGFLLCEACNVKAPSLCVMQSHLVGKKHKSSMSAAAVAKGKAETATAANAGGSIEAAQVTGSAMEVVKDVVVADDAPGEEAKNIVVAAAAPVVPGPATASLESFDMIVDGERHAVTRIGDFLGCTPCNVKATSESDMRLHLRGKKHKTRSASPFASFSVKPPSPPRDDVVTARADADGSAAPPKIADSEAPPGAATGQEMRIQVGGRLFVVLRQANGALLCEPCGVRCDGKTDMVFHLYTQDHLARCGVAAHQPEVVEEKKPATVATPPASDDGGEQ >LPERR08G07620.1 pep chromosome:Lperr_V1.4:8:6869209:6870857:1 gene:LPERR08G07620 transcript:LPERR08G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRDDGHIIRIAAGREASYRLAASPPSSREKPSCGAGAVARGLEPRADWATAGDKVRAPRVHVLLFYYLQLLKLLNPLWQGDKAALQLKTLKVFGS >LPERR08G07620.2 pep chromosome:Lperr_V1.4:8:6869209:6870338:1 gene:LPERR08G07620 transcript:LPERR08G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRDDGHIIRIAAGREASYRLAASPPSSREKPSCGAGAVARGLEPRADWATAGDKVRAPRVHVLLFYYLQKEKNKK >LPERR08G07620.3 pep chromosome:Lperr_V1.4:8:6869209:6878535:1 gene:LPERR08G07620 transcript:LPERR08G07620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRDDGHIIRIAAGREASYRLAASPPSSREKPSCGAGAVARGLEPRADWATAGDKVRAPRVHVLLFYYLQVNSRDGEMTLIKASEEKRREGITTGTTFIFTFEYRNVIL >LPERR08G07630.1 pep chromosome:Lperr_V1.4:8:6870243:6873142:-1 gene:LPERR08G07630 transcript:LPERR08G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALESQDYEVQEVSIGNNYNLTPPREDKPALETCADEIDEDQIQEASSKLNYSSVQPKEDQDAKLVQGESSTIQGETNVTIQHDDDELIGRQEEKAHLIQLICKGGEKRVISMWGSGGIGKTALAGSIFTSDEVCSHFQISVWVSVSNDFDHIYFFKTLAAELNSESPQVQEKASQLKSSLADMGTEELIEESTSLLRGMRYLIVLDGLLTLEKTHMELILSKLPDDKNGSCVIITTRLVTAAELYASDKENIYELGPLNDHEAHDLLISKVFGNVQIVDVAPELTEETKVIAKKCQGVPLAICVISSVLSTTPRIAEEWRKATDELMSVINEPNPDGMLKILKNSYDQLPYYLKPCFLYLAIFPEDYNIRRGRLVRRWTAEEYAMDYEQYSAEQMSERYFDELISRSMIQPSDGGCRVHDLMLDLAVSLSTKENLMLKLEDTTSGGSSAQRTVQHLVISSGWKRSKPEFDRTVELTRLHSLTVFGEWSPFFISVSTKQLVVLDLEDTSGLTDEHLLHIVGRLRRLRYLSLRGCKGIRQLPNTIGNLNDLQTLDVRGTGVVRMPRATVRLLKLQHLLTSGFDSDKVTPQSKRRRPVARKRNMMSCFPSPAAPASSTDGVRMPEGTGMLHELLTLGVIDATASGAVLKELKELDRLRKLAVAGISAENGKDLADAINDHRRLKSLLVQSMDHDGLQAFVDSMLDSGCSAPKNLQSLKLQGRLVSLPQWIKQLEKLHKLTLTGTRLLQMQESLSFISNLPKLAILHLKEESLMEYRHEGMEIE >LPERR08G07630.2 pep chromosome:Lperr_V1.4:8:6870375:6873142:-1 gene:LPERR08G07630 transcript:LPERR08G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALESQDYEVQEVSIGNNYNLTPPREDKPALETCADEIDEDQIQEASSKLNYSSVQPKEDQDAKLVQGESSTIQGETNVTIQHDDDELIGRQEEKAHLIQLICKGGEKRVISMWGSGGIGKTALAGSIFTSDEVCSHFQISVWVSVSNDFDHIYFFKTLAAELNSESPQVQEKASQLKSSLADMGTEELIEESTSLLRGMRYLIVLDGLLTLEKTHMELILSKLPDDKNGSCVIITTRLVTAAELYASDKENIYELGPLNDHEAHDLLISKVFGNVQIVDVAPELTEETKVIAKKCQGVPLAICVISSVLSTTPRIAEEWRKATDELMSVINEPNPDGMLKILKNSYDQLPYYLKPCFLYLAIFPEDYNIRRGRLVRRWTAEEYAMDYEQYSAEQMSERYFDELISRSMIQPSDGGCRVHDLMLDLAVSLSTKENLMLKLEDTTSGGSSAQRTVQHLVISSGWKRSKPEFDRTVELTRLHSLTVFGEWSPFFISVSTKQLVVLDLEDTSGLTDEHLLHIVGRLRRLRYLSLRGCKGIRQLPNTIGNLNDLQTLDVRGTGVVRMPRATVRLLKLQHLLTSGFDSDKVTPQSKRRRPVARKRNMMSCFPSPAAPASSTDGVRMPEGTGMLHELLTLGVIDATASGAVLKELKELDRLRKLAVAGISAENGKDLADAINDHRRLKSLLVQSMDHDGLQAFVDSMLDSGCSAPKNLQSLKLQGRLVSLPQWIKQLEKLHKLTLTGTRLLQMQESLSFISNLPKLAILHLKEESLMECKSHEHLDLKSDSFPNLVELEIFELPGLSTLQFNKQAAPRLMFLRIGNMKGTSIRGLQFLPCLQEVSLTGLDDAEINEVKRQLSENMKKPILKL >LPERR08G07640.1 pep chromosome:Lperr_V1.4:8:6880185:6881225:-1 gene:LPERR08G07640 transcript:LPERR08G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIPSLDKAISVIQAAIKDEIALQLGLKGDLSFIRDELDMMKAFLMVAEEEREHNEVVKVWTDQINHLALDVEHCLNDNRDRVEKYPRWLFLLTIPARHEIAYTLKELKARVEDVSKRNLRYRLVKDSDSAATLGIKKLLQASSSTTKGSVELVDLCEVIVNEDEELKVMSVWGRDGSDPGKTSVVREAYNSREVRDKFPCRAWVRLAHPFNPNDFLHSLVRQFYGNSLSLEARATVGVGVMRKMEEVIAQGRLAEEFDAHVNDKKYLLVIDDLTTMVEWDWILTYLPDRKKGSKIIVSTQQVEIANLCAAQPCRVAEIKQRLSHRSVYLFFNEVIIILTTTTS >LPERR08G07650.1 pep chromosome:Lperr_V1.4:8:6896758:6897228:1 gene:LPERR08G07650 transcript:LPERR08G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAFRRHLLHLHLPHSRHLSLLAAHSSSPSPPPSDESDYEPDHPLPPPPASDGELAAFLRRLSADLLVRALWELRRDPDAAVLALRWGEEEVERVVRPTPPPPPPPPAEAWHLAVWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIMMER >LPERR08G07660.1 pep chromosome:Lperr_V1.4:8:6898232:6904734:1 gene:LPERR08G07660 transcript:LPERR08G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWCPGITVYNSLIYVLTKENCMKDAQNIFSKIIDEGLQPNNETYNNMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLSQESIDETLKLLRKMKEDDCGPKSETFLMLIVRFFQLNEPGNALKLWNEMKRYGVSPSYSHYMSVIQGLIKQGCMERALEYYDEMKETGFASDPKLEREFKTFLLANRDHWRGAGKYNIIPQRSKHFARRTSLTKFRCEAQEISQLEAESALGAETTRASSASAWRGADSTTARGTASSDGARHVGGGGDVVRAAEAGLHS >LPERR08G07660.2 pep chromosome:Lperr_V1.4:8:6898232:6904734:1 gene:LPERR08G07660 transcript:LPERR08G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWCPGITVYNSLIYVLTKENCMKDAQNIFSKIIDEGLQPNNETYNNMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLSQESIDETLKLLRKMKEDDCGPKSETFLMLIVRFFQLNEPGNALKLWNEMKRYGVSPSYSHYMSVIQGLIKQGCMERALEYYDEMKETGFASDPKLEREFKTFLLANRDHWRGAGKYNIIPQRSKHFARRTSLTKFRCEAQEISQLEAESALGGLHS >LPERR08G07670.1 pep chromosome:Lperr_V1.4:8:6904874:6907725:-1 gene:LPERR08G07670 transcript:LPERR08G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGVESFDLKLHQQDLSHVYWFTDSDDDDSMRTVIALHELPGSAKLETMDLSLSKLYLRLPSGANAQLPAFDSLVDLSLQCIRLEGGSRHRLGQLLSSSCCPKLQKLRLVRVTGMTELHLYSPTLRVLDMDCYNITLDVLTVIAPRLEEFICNVNWQYIGKEGQLRIGDMPCVWNLGELRLSSHGYLVEDDGFNNGPIQLLQCCSAVERLGVCLVSSFEEFYAGEEEEDEEEELVDNLMKDIPQLSHVRSLTVRANPMSEDALMTGLSCLLTRCTSTRYLHLNMDNDVLVKGTASNDQTNYLSISLPHLREIEISGLQGLKCETSLMEWLHASAPTLSKVKLTFCRTLAQRKHRKEHRNLLPRNPFAEVGRWAAASNRRNCGTFVWTPMSEER >LPERR08G07680.1 pep chromosome:Lperr_V1.4:8:6907738:6914630:-1 gene:LPERR08G07680 transcript:LPERR08G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRKRIRRHPANDRHRGGDDLISQLDDDVLMHILGFLPTATDALRACAVSRRWRHLWKRAPSLRFSLSSDEHDVKPPEQVDRFVTFVNHVLAARDAGVGVEQLAISIELYTGCSAGHPAVQARTRRRGSGLALDELPTSSTRLETMSLSLTYLTLPLPAAVAFDSLVELSLTEIRINKGDSQLLSRLVSSACCPRLQKLRLRCGAQHSKGPRLRVLDMVWSAIHTVTVLAPRLEELKSSIDWHYVGKLDVGDMPYVRSLGDLSLSSYVCNDVAIRLLQRCPAVQCLGVYLCSTTHKEQKQKEVVDDMMEVVPRLPLVTSLKVTASLQNDQALMIGMSCLLTRYTSLRFLELDMTCVLTMKETTITAGKHLNIALPYLTEFSILKQKKEYRDLLPQIPFGEVGRLHGHTYVRGGRSARMANQKRRHRSSPDRGDGADDMISDLTDDLLVHVLGFLPTTADAIRACAVSRRWRHLWTRVPSLRFSLVSDEHDLKPQDEVDRFVAVVNHHVLAGAARAAGVEQLAISIQMHETRPAGVPAVDAAQIDA >LPERR08G07690.1 pep chromosome:Lperr_V1.4:8:6918944:6920068:1 gene:LPERR08G07690 transcript:LPERR08G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATVLCAAALLFAAVADARDHVVGGNNGGGWKVPAQPDAFNKWAQITRFHVGDNLVFKFDGAADAVLEVTREDYDRCNTASPVGTYKPSGGGAATVPLTTSGLRYFVGAAAGSCDKGERVIVLVMSEKHSRRAGHDHTAAAPAPAESPLPAGIFQAPAPAPVTGLAGRTAASGGAVLLAAGILAVVGF >LPERR08G07700.1 pep chromosome:Lperr_V1.4:8:6942999:6944851:1 gene:LPERR08G07700 transcript:LPERR08G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHGTGLVVRAGSPLEGPVCAVLFGQGVPPAWRARLGHTTHARD >LPERR08G07700.2 pep chromosome:Lperr_V1.4:8:6942670:6944851:1 gene:LPERR08G07700 transcript:LPERR08G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQKLLTEPKHQEIDTSKQELAMRRSSPLQYLRLQVSRKTLLPFSITPARRNPRRRILARRSRRFQVAGRQEEEIIAGDRFVLTSYSFQFFGDDGTLTFPDALAPSGPLRTEHFDLYASTLRTYHMPYHGTGLVVRAGSPLEGPVCAVLFGQGVPPAWRARLGHTTHARD >LPERR08G07710.1 pep chromosome:Lperr_V1.4:8:6942956:6943171:-1 gene:LPERR08G07710 transcript:LPERR08G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGISGGGSGTGGGEVSWLETVYSVRDIEPIWWRRTGDGVPARSGELGFTMRSPTFRYMKRRQILCEAIA >LPERR08G07720.1 pep chromosome:Lperr_V1.4:8:6948827:6953652:1 gene:LPERR08G07720 transcript:LPERR08G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRIRLGRDIRDSLTSDILFSLEMKLQAVTSTTLIDLQKVAARIEERIHTIASDYGDYLRRISLMKGDLKDSYYVLLNNFLRIRRQASLGSPILLHQKNKKGQIIRTDGNFQGTSSSSTLSNQMSLNRYKEPSQSHPCEKDRINELPDDLIHHILTFLSTREAARTSVLSHWWVNKWTFLKCIKLDIDWFHLDREKFCNIVDKLLLSRDSVDSPMDIFQLSSYAIDRASTWLDLAIKLNVKVLKFSEDRRWEPFYLDPNLVVFSSQYMKTLELSNAVLDAIIFDQLNNACPALENLMLSDCLMEVQLISSSSMKNLNLIGCSFIKDLSICSPNLVSLRIKDQRMGNSSSKNSYLVFGTITLIDASNVESMELSAIDSMFTFVEQDGSSPMFRNLRSFRLGPWCIDDNFSPLRRFLQHSPMLEMLFLKLSVVDFTVDHDRELSRICDQARPVRFTVEWYQV >LPERR08G07730.1 pep chromosome:Lperr_V1.4:8:6958449:6963928:1 gene:LPERR08G07730 transcript:LPERR08G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIGDILMEEEPISVVFQVSANGAGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >LPERR08G07740.1 pep chromosome:Lperr_V1.4:8:6973872:6975671:1 gene:LPERR08G07740 transcript:LPERR08G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLQQLPPPPPPLLSNTHRHHLLLAAAAGAAAATAFLVLLLLLLAALHLRSRRRRATLPFSPLPARPLRRYSRRALRRATGGFHASRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPADSPRLVSLLGYSSSDGGVHLVFEYLPNGSLQSALFGDGRILLDWPRRLAVIRDVATALAFLHGECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAGVDDFMSQELGEAGELFAATASAGGGKAADESGSGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNNDNHKNSASGTDEHATVVAASPEDKNDGNADKKPDATKMREWWKEEFFEEMSKKGANSFDKRHAGGKPWLRSTSMNNGNNGDVEASGVDTTSSFRRNRKRSRRRGRSAGSGGGGGGDMLSRDLSTTTSMRGTVCYVAPECGVGCGGGDELLEKSDIYSFGVLVLVIISGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELVDERLAGDGGAGGGYDKEQATLCVNLALLCLQRQPELRPDSADIVKILAGEMDLPPLPVEYSPSPRVRPFPRSSRRKQPPDGTE >LPERR08G07750.1 pep chromosome:Lperr_V1.4:8:6991996:6995264:-1 gene:LPERR08G07750 transcript:LPERR08G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAAATALAVALAALCLAAPAAGFYLPGVAPNDFEKKDPLQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKVLKEKIEDEYRVNMILDNLPLVVPIQRMDQEGAYFYQHGFHVGAKGQYAGSKDEKSFIHNHLSFTVKYHRDAQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAKRIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSAIYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >LPERR08G07760.1 pep chromosome:Lperr_V1.4:8:6998847:7003015:1 gene:LPERR08G07760 transcript:LPERR08G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTMSTSMAPTPPAKLPAKQCCFCGTTTTTNNHLNRRGSLAIAASSAKKKNPWLDPFDDGPDDEFDYRGVFSGGKQEEDPRPPEDPSNPYGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLRDRYPGVLVDVVASARGKQVYEMCKNVRYADVYDPDDEWPEPAEYTHQLGVFKNRYYDLIVSTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPQHPIPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSVANMKSKGDDDCLLPLEHWAEIAKEIRFHFTSLLVLLLLFVTLGIMTRNTEEIYRDVKKLMVCLGSSEGSGLKPLFVIPHQKHREEVEEIVGKETNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKKKAKLFLPYVEEKKSCTVIASETGKLIDIDIEAVKKAVKEFEAAPGFALAQT >LPERR08G07770.1 pep chromosome:Lperr_V1.4:8:7002536:7006403:-1 gene:LPERR08G07770 transcript:LPERR08G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDPEAPPEVERPAPETEAAAVAEVKELPPSESSKAKADEGEGKGKDEVEVERVKGKEGAKEVEVKGMGKEKEKKKEKGKEKEKGKGKEKVEVVEKETEGKEVAANAKEVESKVKDVEGKESMEVEDGKEKEEEETKAMDVDEGKESMEVDEGKTKKEKEKAKVKVEAEAKRRPAGVGAETPILAVPMVAVPCFLTSPAFAGHFSMSHQAALASVTAQAQIQLQSPTSSSYSEGLQSPFSNPITPKAVMPLQQAPSGTEGSVYRPVLEKSVSFQSRSHHHVSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTSSNCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFVKERVAHITGSSGDDETLRLVSTEIMESRSPGCKLEPGAASEASEQQLFCSSDCEGDAGNKSEDDHPSTEPQPKRRIIETSSPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDNNNMVVTYEGKHNHDQPFRSSSELRDGPVPMIPAETTSEQPSTMTSTDDQKQPISKLKDGGNESTKDKTSEVSGEKVVESAPTLLSVKTNSDDMKNTLLKDTSAVVPVQNN >LPERR08G07780.1 pep chromosome:Lperr_V1.4:8:7022278:7024791:-1 gene:LPERR08G07780 transcript:LPERR08G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVVGALFLALAVAAAVAAAQEPNTDAYFVSKFFSKMGRPSPSPASGGAAGVCGWPGVGCDGDGRVVSFSAAGMGLAGAIPDDTVGKLSRLRSLDLSGNRLASLPSDLWEIGASLLELNLSRNAIAGELPNNVANFAGLQVLDVSHNGFSGELPPALGSIAGLRVLDAGHNRLNGGFPVAALAVSGWPNLTALDLSGNALAGELPDLSPLRSLENLNLSGNRLRGSIAGAFHEQMRVVDLSNNSFSGMNFSTGYAGSSLAYLDLSGNEFVGEFSVGNRFRNLKHLNLAFNRLSMENLLVPIGAMSGLEYVNLSSTRLHEQIPRELSSKLAGLKVLDLSRNNVSGVVPDLSALRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIKRSGGKRKGMKLALAIVLSLFFSVLGLLCVALACRRQRKRSDAFPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHSNLVPLSGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATEKITPEGIATWMFRHKIALAAARALAFLHHGCIPQIVHRDVKASSIYFDCAMEPRLSDFGLSMIAGTSTDDNLLHNSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPGQKEASLVNWARAMVKANLGSSIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVEEQD >LPERR08G07790.1 pep chromosome:Lperr_V1.4:8:7034132:7036187:-1 gene:LPERR08G07790 transcript:LPERR08G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKVTANGNGAATDSGKGRTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDYAKNAHLRALPGAAERLTLVRAELLDKDSLAAAFAGCEGVFHTASPITDDPAQMVEPAVSGARNVINAAADAGSVRRVVMTSSIGAVYMDPHRSDADADEEEEVDETSWSDVDHCRDTGNWYCYAKTVAEQAAWELAKERRIDLVVVNPSLVLGPLLQPSVNASTWHVLKYLDGSATARGGTYADAAQSYAHVRDVADAHARAFECPAARGRYLCAGATLHRGEVCRILAGLFPGYPVPTRCKGGAGETAQGCRFSTRKLVEELGVDVTPASQFLYDTD >LPERR08G07800.1 pep chromosome:Lperr_V1.4:8:7049951:7051155:1 gene:LPERR08G07800 transcript:LPERR08G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKVTANGNGAAAGTGKGRNVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDDAKNAHLRALPGAAEQLTLVRAELLDKESLAAAFAGCEGVFHTASPITDDPAQMVEPAVSGARNVINAAADAGSIRRVVMTSSFGAVYMDPRRRDADEEEEVDETSWSDVDHCRETGNWYCYAKTVAGLFPGYPVPTRCKGGAGETAQGCRFSTRKLVEELGVDVTPASQCLYDTVLSLQDKGFLPFVPAAGMP >LPERR08G07810.1 pep chromosome:Lperr_V1.4:8:7052844:7054250:1 gene:LPERR08G07810 transcript:LPERR08G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGTGAAPSSDSDLISSLGICSVLRHSNVSWVDFVSSVSKCSKICHTRIADVIISVLSLLIISAF >LPERR08G07820.1 pep chromosome:Lperr_V1.4:8:7058532:7063160:1 gene:LPERR08G07820 transcript:LPERR08G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKECNEASRLADDINSMIADRGSLPQSGPEIMRHISAIRRKITILGTRLDSLEALLSKIPPKSLTDKEIHKRQDTLNNLKSKAKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIVSAHMRADDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYL >LPERR08G07820.2 pep chromosome:Lperr_V1.4:8:7058914:7063160:1 gene:LPERR08G07820 transcript:LPERR08G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKECNEASRLADDINSMIADRGSLPQSGPEIMRHISAIRRKITILGTRLDSLEALLSKIPPKSLTDKEIHKRQDTLNNLKSKAKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIVSAHMRADDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYL >LPERR08G07830.1 pep chromosome:Lperr_V1.4:8:7063693:7067289:1 gene:LPERR08G07830 transcript:LPERR08G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALRPLRRLPLPSLRRLLSSSSASGEGGGGHPSAEAAVRAAKLRQEAYKQVASLDWSSNADWRKALDILNNVPPKRKEFGLDFHLVQLFFVCLPSLAVYLVAQYARREIKRMEAEAEEKKKKDEELEKQKQLEVDSAKDDSDSKLSAVLVRLDTLEGVVNEIVDDKKKGSAAPGFLDKEETIKKGRTSPDSVSDLGNNASDGQVKPAKSKDINGVTNANPTRDGDKASTGPKG >LPERR08G07840.1 pep chromosome:Lperr_V1.4:8:7070710:7072451:-1 gene:LPERR08G07840 transcript:LPERR08G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTVSMEAAPPPVKVDHGEEAEVEAPAPAASAAMELDLLGALRAEAREEEKGKAAAVSFGEAAAAEEKAPPPNSVAAVAAAGGAGEVRRRMFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAEYSFIHAWKRASGLSGLHPDLFTMPLG >LPERR08G07850.1 pep chromosome:Lperr_V1.4:8:7089683:7090168:-1 gene:LPERR08G07850 transcript:LPERR08G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGIQKQVLSLYRGFLRTARLKSPEERHRIESVVSAEFRENARNVDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKCPAGPTVEKSPIAN >LPERR08G07860.1 pep chromosome:Lperr_V1.4:8:7098120:7100948:-1 gene:LPERR08G07860 transcript:LPERR08G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKAFTPLGRTLAVAFVSLNSNPRRRRRSTRARDEAVVVPGGRTALHETAAVAPPATQTTQGLSRRSRAAGPGEREQQRSEAESAASLAAALARERIRRSSGEAEGEEEEGPVPFWQRTWFLALLLAMAAASFAIALLLYLGLDLPEASPAQSYAADPDKVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDTSAKQGDTITHGTIVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGDNESDTGDYWRLEIEGSGKSWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVSQGK >LPERR08G07870.1 pep chromosome:Lperr_V1.4:8:7108882:7110474:-1 gene:LPERR08G07870 transcript:LPERR08G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPLIPRYHVACLILLVLQRPQPRAQQDEVPVPSTVHERLCHSHATAHLPKMQSGYNILQAIVITFRPKDQSRVEEQLSKKLRETVIKRNANFNEFGNLKVVKDGFTIIQAVEVADPMKSADALIPLALSD >LPERR08G07880.1 pep chromosome:Lperr_V1.4:8:7116251:7118627:1 gene:LPERR08G07880 transcript:LPERR08G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSNILLNFDNDAAMINLPFVKASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSLAENESIGRERYNFMQKMLLPCGLPPAERDDD >LPERR08G07890.1 pep chromosome:Lperr_V1.4:8:7136014:7139732:1 gene:LPERR08G07890 transcript:LPERR08G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTATADVICCTHNGALVVSFIHHHFHNPFFACKRHFHPSLASCNPPRTTRAIPRNIHQNILPKSFPYSTPYLQFNSYVNYAHLSFLRGHAPRVRIADAGTRALAVIASLPPMAAPTATGDLRFLVVVVVAVGSHLQIAAAAAGHIPTTLDGPFRPTTRAFDRALRQGSDDVPLTDPRLAPRPGRHPASPEQIALAASSDPSSIWVSWVTGSPQVGSHLTPLNPTTTRSEVWYGEKPSTAADFTVDFSGGGGGYTHVARGKAEVYSQLYPYAGLLNYTSGVIHHVRIRGLRPSTRYYYRCGDSSVAGGEGLSEEHSFVTLPSSSYPRRVAVVGDLGLTGNSTSTVEHLARNDPSMVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGHGGEVTFASYLARFAVPSEESGSNTNFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLRKDLRKVDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQSMEELLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTFGPAKGKFCWETQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSEDTW >LPERR08G07900.1 pep chromosome:Lperr_V1.4:8:7145586:7158031:1 gene:LPERR08G07900 transcript:LPERR08G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVFVFDSCFTTDVFDDDKYQHYIGDIVSQLRSHFADASFMVFNFQEGESQSLLSNILSSYDMIVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQSTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAEWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDTDQEREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLLSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTGDAAAQLFQQLTSSENIQLRKGLLSPNKRDLSIMQEAGQLNLSSISPTKKQSDNVEDKSSNAKCSTIYVNKQENNDMQGLTPQEPTTISDEKTGSSVIHEKLVSLAHEEITNVVDINTDCSSSLEKKGHSTMNSSRAVLKDQNAKLDDQFGSVQHSSPTTIMSHRFPVSRSSSVLCGNSSPRSLSACPRFHSAPSALGITALLEDHAAFGDTENSVKVPSAMVEIPSKQSSRQHPIAVAPVVTKCTPSPLPPPPVPVVPVSSGIIMMSQAKDLSSLPSLYPTPQKQSTSQLRRTILPANHQLFSSNIAKEPPQISHAPPPPPLPTPSPTYSSTTDRHCLPSDSIVSTTTTSFRPPSPPPPPPPPPPQSPSTPRHSPIRTLAPPPPPPPAPTSSPVRMSGPPAPPPPPASNSSPSRPAPPPPPLPPLASTSSPVRPAAPSPCRPHVSTSSPALPVGPPPPPPPTLSTIKSLAPVPPPLPGVTCTPSPPPPPPPCHSSEQSSSSVKNSSAPPPPPPSSFPRDAKDHGVAPPPAPPGGNAKLLGMRGSGPAPPSGPMSRSLQSGLAVSRRSNLKPLHWVKVTRAMQGSLWEEAQKTDEASKAPVFDMSELENLFSAVLPSSDGKRSDKPGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGVKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFRSQVFDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLTSLELAAKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSDIFRKTLKDFLSGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFGRSHEENSKQLDLEKKKAQKEAEAEKTKKEPEKEQKEAEAKKPKKPENEKAKHNNSIKELDISLQSPPQTASAK >LPERR08G07900.2 pep chromosome:Lperr_V1.4:8:7145586:7157850:1 gene:LPERR08G07900 transcript:LPERR08G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVFVFDSCFTTDVFDDDKYQHYIGDIVSQLRSHFADASFMVFNFQEGESQSLLSNILSSYDMIVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQSTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAEWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDTDQEREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLLSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTGDAAAQLFQQLTSSENIQLRKGLLSPNKRDLSIMQEAGQLNLSSISPTKKQSDNVEDKSSNAKCSTIYVNKQENNDMQGLTPQEPTTISDEKTGSSVIHEKLVSLAHEEITNVVDINTDCSSSLEKKGHSTMNSSRAVLKDQNAKLDDQFGSVQHSSPTTIMSHRFPVSRSSSVLCGNSSPRSLSACPRFHSAPSALGITALLEDHAAFGDTENSVKVPSAMVEIPSKQSSRQHPIAVAPVVTKCTPSPLPPPPVPVVPVSSGIIMMSQAKDLSSLPSLYPTPQKQSTSQLRRTILPANHQLFSSNIAKEPPQISHAPPPPPLPTPSPTYSSTTDRHCLPSDSIVSTTTTSFRPPSPPPPPPPPPPQSPSTPRHSPIRTLAPPPPPPPAPTSSPVRMSGPPAPPPPPASNSSPSRPAPPPPPLPPLASTSSPVRPAAPSPCRPHVSTSSPALPVGPPPPPPPTLSTIKSLAPVPPPLPGVTCTPSPPPPPPPCHSSEQSSSSVKNSSAPPPPPPSSFPRDAKDHGVAPPPAPPGGNAKLLGMRGSGPAPPSGPMSRSLQSGLAVSRRSNLKPLHWVKVTRAMQGSLWEEAQKTDEASKAPVFDMSELENLFSAVLPSSDGKRSDKPGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGVKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFRSQVFDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLTSLELAAKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSDIFRKTLKDFLSGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFGRSHEENSKQLDLEKKKAQKEAEAEKTKKEPEKEQKEAEAKKPKKPENEKAKHNNSIKELDISLQSPPQTASAK >LPERR08G07900.3 pep chromosome:Lperr_V1.4:8:7145586:7157850:1 gene:LPERR08G07900 transcript:LPERR08G07900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTGDAAAQLFQQLTSSENIQLRKGLLSPNKRDLSIMQEAGQLNLSSISPTKKQSDNVEDKSSNAKCSTIYVNKQENNDMQGLTPQEPTTISDEKTGSSVIHEKLVSLAHEEITNVVDINTDCSSSLEKKGHSTMNSSRAVLKDQNAKLDDQFGSVQHSSPTTIMSHRFPVSRSSSVLCGNSSPRSLSACPRFHSAPSALGITALLEDHAAFGDTENSVKVPSAMVEIPSKQSSRQHPIAVAPVVTKCTPSPLPPPPVPVVPVSSGIIMMSQAKDLSSLPSLYPTPQKQSTSQLRRTILPANHQLFSSNIAKEPPQISHAPPPPPLPTPSPTYSSTTDRHCLPSDSIVSTTTTSFRPPSPPPPPPPPPPQSPSTPRHSPIRTLAPPPPPPPAPTSSPVRMSGPPAPPPPPASNSSPSRPAPPPPPLPPLASTSSPVRPAAPSPCRPHVSTSSPALPVGPPPPPPPTLSTIKSLAPVPPPLPGVTCTPSPPPPPPPCHSSEQSSSSVKNSSAPPPPPPSSFPRDAKDHGVAPPPAPPGGNAKLLGMRGSGPAPPSGPMSRSLQSGLAVSRRSNLKPLHWVKVTRAMQGSLWEEAQKTDEASKAPVFDMSELENLFSAVLPSSDGKRSDKPGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGVKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFRSQVFDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLTSLELAAKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSDIFRKTLKDFLSGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFGRSHEENSKQLDLEKKKAQKEAEAEKTKKEPEKEQKEAEAKKPKKPENEKAKHNNSIKELDISLQSPPQTASAK >LPERR08G07900.4 pep chromosome:Lperr_V1.4:8:7145586:7151820:1 gene:LPERR08G07900 transcript:LPERR08G07900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVFVFDSCFTTDVFDDDKYQHYIGDIVSQLRSHFADASFMVFNFQEGESQSLLSNILSSYDMIVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQSTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAEWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKTSIAAATTTTTTTSISIHS >LPERR08G07910.1 pep chromosome:Lperr_V1.4:8:7162132:7165820:-1 gene:LPERR08G07910 transcript:LPERR08G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVALATSISCDPTRKVGGVTLGDEFLMVHVDIALAKSEDLMRPYKGYHIVGHAIGLDIAWAAIYVCCYF >LPERR08G07920.1 pep chromosome:Lperr_V1.4:8:7170746:7178502:1 gene:LPERR08G07920 transcript:LPERR08G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRKRRRRRWSTKIIWPLMTRKLQDQHLCIKWMMTKILSHRQKEELRLYRLPWRMRERQIRSQSRLLQQFCGDPEKKLAATIDLRSMNPEPMTIPPVEKKPLPVVIHRMTNAEPTKPSPEKKASPFISRRIPKQDPVSSPPVEEEYEEIQGRPSAPSRSNGRMQNIGQGENVECDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGRFWLERFRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVDDSQEATTAGDDGPPQEETPARSSPAKAQEQQAQGFGAAAPRQRRTPVSGEFARERLRVRGGIQPRADRTLGLPKDFAGSTAGINDGRKQFYSDDVALAR >LPERR08G07930.1 pep chromosome:Lperr_V1.4:8:7179803:7184742:-1 gene:LPERR08G07930 transcript:LPERR08G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDTSGIIGLALECGRWSESVELELEVLDVDLDPFVINRVLRGLSDSEMAVRFYWWAESRPGFDHNHFAIAYIISLLFVDDNFALLSEFLERVRSQGVAFHRSLYRVLLSGYVRAGKFDSFIEIFDEMVSSGCREFGVDYNRFIGVMIKNCCFDLVEKYYSMALEKGFCLTSFTYSRWISALCQSNRIELVQELLADMDKFGCFPDIWACNIYIHSLCYHNRLYDALQMNDNVDEAFELASRMLSLDIKLNVSIYNALISGFWRAGSIDKAYKIVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRRMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCKAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFSIGYSNLAEELFDQMLNTNNVPTVNVYNIMLHNLCKVGHFKHAQKLFWQMTQKEVPPDTVTFNTLIYWLGKNSRAIEALDLFKEMRTRGVEPDNLTFKYMISGLLDEGKVTLAYEVWEYMIENGLKVRAFSDGSNVLNWCIAEHRFATHLSLVHAPVAPSEPNHSRHTKVAREKKCVTRIASHLHCGVAAINEFSPSYVCQCQRRWIKNICWFGRCSKAVVEDKWWPYVETTARKPIQLKQESRKKGEYIWAKTNFQKIAIYPIRYTGFDMADILSDFPCKQKPLPCKYPPRHLHPGRLIESKARGAGRRHQKAQMDCSNLIRKPT >LPERR08G07940.1 pep chromosome:Lperr_V1.4:8:7187343:7194847:1 gene:LPERR08G07940 transcript:LPERR08G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFLEDNWKIARWVALGSVIFEVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >LPERR08G07940.2 pep chromosome:Lperr_V1.4:8:7191367:7194847:1 gene:LPERR08G07940 transcript:LPERR08G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCLPSCLCACVMLYLPYVVKGQVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >LPERR08G07950.1 pep chromosome:Lperr_V1.4:8:7197875:7199893:1 gene:LPERR08G07950 transcript:LPERR08G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGGKFVVHFKAVEARFLRASFSAFVDVMDIDRPRRFSLGVFGSWDREGWDTMDLFSRVLGFEIGGLDWFRKGNVPLRFVTRSSELMVSARTHARSPECRRRRFSARHPWSSSSFSTRQHRRNPLPTTIAIVTELFHPIPAA >LPERR08G07960.1 pep chromosome:Lperr_V1.4:8:7208530:7209908:-1 gene:LPERR08G07960 transcript:LPERR08G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGEGSVVTGGSRGGSCEGYMAWRRLAGRRRPGVARFGGDGPATPRSGDPDVADDGGWQGEARDGRDDDDMATTTAMTTTRGGGRVSPSRSRSWRRRRPAAAGRCGGFDDGATTTGQRGNNSTGATASRIWPRRRRDLQWPATVIPSPDCFLPLISPPRCQTEHRRDRLLLFLLRRRRLLLLFFLFLLLLRRRRRLLLFLPLARRPLPPPQIHATASSTVLSSSDTTTQATRRRGAAVLEGCQGGGGAVSVREFVTLGELRAAVRLRIRTFY >LPERR08G07970.1 pep chromosome:Lperr_V1.4:8:7214656:7219571:1 gene:LPERR08G07970 transcript:LPERR08G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKAANSFKLAKNWSRAAQVYIKIANCHMKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAYNLFLEIGRLNMAARYSKDIGEIYQQEQDLERASDYLERAADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKATEIFEAIARQSINNNLLKYSVRGILLNAGICQLCRGDAVAITNSIERYQDIDPTFSGTREYKLLADLAAAMDEGDVDAFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >LPERR08G07980.1 pep chromosome:Lperr_V1.4:8:7219341:7222887:-1 gene:LPERR08G07980 transcript:LPERR08G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPAEAALTDYERRREENIRRNDAILASLRRKAAELSAAIKSSSSSPKRPKKQQQPPRAAPGTTVVLRRSLRTRGLPPSSSSAGAYPPPPASLPPPRRDTRISASLAAAIRAGGAASAAGSPRAPVRDDDGDFDAATELVLRPTHVRRVVPDRIMSVRILPLVDRTVVAAGNKLGNVGFWDVDGFVVDGDEDGDGADGVFEYLPHRGPVGGIVAHPATPQKIYSCSYEGEICLMDLEKENFNMIQLSDYPIFTLCQAPNNPSCLYFGEGNDLKLFDERMGKVSTTWNSHDNRINSIDFHPGNTYMLATSSTDGTACMWDLRTMKESLKVLEHGRSVQSAYFSPSGHMVATTSLDDTVRIFSVDNYGISDMVKHNNKTGRWLSTFKAIWGWNDTDLFIGNMGRAIDVISVDLNDSNLSATNKACLESEYMTAIPCRFSAHPYKVGHLACTSSGGKVFVWTRA >LPERR08G07990.1 pep chromosome:Lperr_V1.4:8:7236140:7236469:-1 gene:LPERR08G07990 transcript:LPERR08G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLASAIAKVDDEPSADAEPRARVASPPRTNRTPEHGTMTKTLTRSWSRVVLDELNREPELLSPMDEPNLGPACFTGRYDDRRVHRRQAGVPRPGCRSGELPLSFPW >LPERR08G08000.1 pep chromosome:Lperr_V1.4:8:7237524:7252878:-1 gene:LPERR08G08000 transcript:LPERR08G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGHGEGKWLHTTSNFHGRQVWEFDPDAGTPEERAKVERLRDEFTRNRFRQREPQDELIRMQFEKQSKKNNLSSEKPAVKLNDEDEVTVDIVMASVRRALDRMAELQAEDALSAEHQREICRHIYNHQNEDGGWGFQIMGPSTMFGSCLNYTTLRLLGETKKNNDDPLARARAWILSNGSATAAPQWTKILLSVIGVYDWSGNNPMIPELWLIPSFLPIHPGRFWNFTRTVYTSISYLYAKKFVARITPTILSLRDELYSVPYTEVDWNKARGNCAKADIRYPPSLMNRVISTCLNNFVEPIYDGTQNWEIGLIVQSICSTGLIDEYGPTIQRAHSYIKKAQVPRNHPGDQSRWYRHISKGSWALSTVDNGWGSSDTTAEVLRALLLLSKFSPNLVGKPMEEERLRDTVDFLLSLKNKDGSISTFEQQRSYLWIEVYIEGSCTHAVNTAWAMLALIAAGQMERDQTPLHRAAKVLINVQLETGDFPQQMHVGCFNSSVYFNYPNYRNLYPMWALAEYGRRLGNS >LPERR08G08000.2 pep chromosome:Lperr_V1.4:8:7237524:7252878:-1 gene:LPERR08G08000 transcript:LPERR08G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGHGEGKWLHTTSNFHGRQVWEFDPDAGTPEERAKVERLRDEFTRNRFRQREPQDELIRMQFEKQSKKNNLSSEKPAVKLNDEDEVTVDIVMASVRRALDRMAELQAEDALSAEHQREICRHIYNHQNEDGGWGFQIMGPSTMFGSCLNYTTLRLLGETKKNNDDPLARARAWILSNGSATAAPQWTKILLSVIGVYDWSGNNPMIPELWLIPSFLPIHPGRFWNFTRTVYTSISYLYAKKFVARITPTILSLRDELYSVPYTEVDWNKARGNCAKIYDGTQNWEIGLIVQSICSTGLIDEYGPTIQRAHSYIKKAQVPRNHPGDQSRWYRHISKGSWALSTVDNGWGSSDTTAEVLRALLLLSKFSPNLVGKPMEEERLRDTVDFLLSLKNKDGSISTFEQQRSYLWIEVYIEGSCTHAVNTAWAMLALIAAGQMERDQTPLHRAAKVLINVQLETGDFPQQMHVGCFNSSVYFNYPNYRNLYPMWALAEYGRRLGNS >LPERR08G08010.1 pep chromosome:Lperr_V1.4:8:7257369:7261151:1 gene:LPERR08G08010 transcript:LPERR08G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTSGGSAAVMWLAAAAALLLTIAVLKALRKRDDTSNKHAQGKPAGMAPAPPVLHRVALARFALAVARNGPLEAFRKQQAKLGSVFTARLLGGLFEVTFLVGPEVSHHFYMGPESDINPGNIYDFTVPMLGPEVGYAVDLATRGDQKRFYWDVLKTSSIKASMPAMIEEVEAYFLRWGEEGTVDFKHEMEELLILIVSRCLLGREVRERMLGEVRTLFRHLEDGLGLISILFPNLPTAAHRRRDSAHMRLREIFTEVIKSRRNSGRVGSDETDDVLQRLINSKHRDGRSVSDVEVVGLLLSLVFAGKHTSSSTTIWTGVHLLSNPNYLTACVNEQDLLLPTHNGANHHQIDYDVVQKMSTLHCCVKEALRLHPPVPSLVRQAHKPFTVQTKEGIEYTVPKGHTVMSTILMNHHLPHVYKDPYIYDPLRFMAGREEDKVAGPLSFLSFSAGRHSCMGEAFAYTQIKVIWSYLLRNFDLKMESPVPGINWSTVVPMPKGKVMVSYRKRQLID >LPERR08G08020.1 pep chromosome:Lperr_V1.4:8:7280679:7284202:-1 gene:LPERR08G08020 transcript:LPERR08G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGKGGFLAVAVVLMGRGVVLMADAARVVDFGEVVSSPPAPSPVADAPFLPDVASPPTVRTEGGNHYQKQILVAVILALAAVIVTVVSAIYAWNFWRKARQALDCKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLESATGKFSEKHILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELDFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGSSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSNFNAKISDFGLAIYGGNHNKDDIKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGESHCQSIVSWAIPQISDRTKLPTIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQAG >LPERR08G08020.2 pep chromosome:Lperr_V1.4:8:7280681:7284202:-1 gene:LPERR08G08020 transcript:LPERR08G08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGKGGFLAVAVVLMGRGVVLMADAARVVDFGEVVSSPPAPSPVADAPFLPDVASPPTVRTEGGNHYQKQILVAVILALAAVIVTVVSAIYAWNFWRKARQALDCKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLESATGKFSEKHILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELDFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGSSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSNFNAKISDFGLAIYGGNHNKDDIKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGESHCQSIVSWAIPQISDRTKLPTIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQAG >LPERR08G08030.1 pep chromosome:Lperr_V1.4:8:7313239:7314729:1 gene:LPERR08G08030 transcript:LPERR08G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCNVLILLPLAVLHLLLLLGASAANLNGGNVIDRCWRGQRNWAADRQRLAVCSVGFAGKMRQNRGPGVVSYTVTDPSDDPVRPRPGTLRYGATLLPSASNRSSTWITFARDMHIRLAQPLYVRSFTAIDGRGADVHVAGGGAGIVLYHVRGVIVHGLHVHDVRAQPPGPVVVPGGDVVRPTGAGDGDAIRLVASAKVWIDHNTLSRCEDGLVDVTGGSTDVTVSNNWIRHGYAHVVNNLYEGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARVGAAGKAAGNWHSVGDAFENGAFFKQVGNRVRPNYNRHQAFPAASSGEVRSLTSGVGALRCLVTAAC >LPERR08G08040.1 pep chromosome:Lperr_V1.4:8:7340693:7349704:-1 gene:LPERR08G08040 transcript:LPERR08G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASLAAPAVSHRRHPRFPGLAPLRPSPTPSPSSLACCRGALASSPFAAAAVSATAAPNRMPRGMCLRCRASEESAAAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVKERGWLLDGYPRSYAQAQSLESMKVRPDIFIVLEVPDDILIDRCVGRRLDPVTGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVLPTYSDLLNQIDGNRQVEVVFNEIDPLLKKICENASANKLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYDDVLKATRHAIADKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGDYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPASSGVMQTMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKHEIYRRVDESNKQEKYVLIAEFVEKPTPDEITNAFRPRKSEKEKSASGFWGFLSGIL >LPERR08G08050.1 pep chromosome:Lperr_V1.4:8:7359524:7361578:1 gene:LPERR08G08050 transcript:LPERR08G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMASSHSTSAILLLLLCSIHSPSTAFYLPGSYPHRYHPGEPLSPKVNSLTSPSSKLPFPYYSLPFCSPPDGVVNRAAESLGELLLGDRIETSPYRFSMLHNSSASGGAAVFLCRTDPLPPATADLVMSRIDDAYHVNLLLDTLPVVRYVRNLAAPGEVFVRSTGFPVGVRADDGEYYVYNHLKFTVLVNKPRNGTTRAEALMATADAVELISFAAGGGNNKDGGGYTVVGFEVVPCSVEHEAEAVKGKRIYDEMVKSAAVARCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLDMGGIGGAGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYEELGSDLETDEHLAGWKLVSGDVFREPKHPLLLSVMVGDGARILAMAVATIVFAALGFMSPASRGALVTGMLLIYLLLGFSAGYVSVRLWKTLRHGDATGWKRVATHASISFPSIAFTIFTSLNCVLWYNGSTGAVPFLLFAVILLLWLLVSVPLTLAGGLVASRVRHIEYPVKTNKIARHVPEAKMCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVMALLVAVSGEVSAVVTYMGLCVEDWRWWWRAFFASGSVAAYMLGYAVYYLVFDLHSLSGPVSATLYVGYSLLMALAVMLATGAIGLAASFAFVYYLFSAVKLD >LPERR08G08060.1 pep chromosome:Lperr_V1.4:8:7362630:7367144:-1 gene:LPERR08G08060 transcript:LPERR08G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNLAPPPPRGSEWFSCCMSPSTPPPAPIRPRPPFRCTPGGIGLGFHGEEDAEEEEEAGMHLGLGFQDRGNGGMDVEVEELEETAFVTPRKPKGKPKGKRNEGFLSIGGVRIYTEDISSPESEGLGGSDLESESDYEEGDGNDDGDSDEEGSDVNEGGSESDEESSGSDSEQDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLADSDDDDEMDTDDEDEDGFLKKGKGKAKGHLEGYALMMASEQYGMKRASSADRLKGKGVAVRACDRDLASMRVMGLDDVMMVKDVRMASRSRKGAKAASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINTKLRKMVVNQVDMMSFQPMHSRDCSQVQRLASIYHLKSGCQGSGKKRFVTVALTAQSSLPSAEGQIRLEKLVGTEPEDFSVNWENSKRPANVKGLSAPGKLARNQTSSGKKKQVSFADRPVSFVSSGTMAESVTETIAVASTSGEASCEKIVDSNSAKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIHRPKSLGLGVEFDSEAEAIKARSEPTPKARSEPRRNSRKVEINGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAVRRPKSRGLGAKDKY >LPERR08G08070.1 pep chromosome:Lperr_V1.4:8:7375203:7379519:1 gene:LPERR08G08070 transcript:LPERR08G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSPLPFLLKSAQERNHTSLFLFIYRSLSVETAAAEEGRKSTSPNLRRRRFRSPMEVEQDVRTKIAAEILVSLMHRRMRRPPEWRKRRDPVDGLPDGEEEEEEEDDEVVEDVVVDLFSLLPETWLNRGLRSPRMPARPSDLAARLRIGVKKVHGVAPAAAAETVMPPPPPVATRKVVVKVRPARRPLPEYGAGAGSGVVKPTVKAEPAAASSYYAVEEAGSGGGDRLCSRSRSHRSEKEHAKASSPETPLDYAPVAAGSGSSSSGDDGTQPSRKRKAAAGDEGCTSPEKKPHIDAGVHIAAAETERAKFSDTKNGNTKDVLMFDLNEFPEDWED >LPERR08G08080.1 pep chromosome:Lperr_V1.4:8:7380692:7384174:-1 gene:LPERR08G08080 transcript:LPERR08G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRIPLLAALLRSASASASSLLPNPKNVPYREGFLWSSCYFHGTGHHTSREGVPIDKELLDPLALIKDEVSEISNRMRSMVIAEIPELTLAAGYFFRAGVEGKRTCPTVLLLMASAISMDIANPSFGSQNELRERYMRVAEITELIHVSSLIHDDVLDDAKTRRGMDSLNCVMGKKLAVLAGDFLLFKAFSTAAVSLDNTEVVSLLAKAFSNLVTGEFMQMTITPAQRCSMDYYLQKTYYKTAALISNSCKAVAGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLHEIVEQGFDDPSNVDAALEYLARSKGIERTRLLAAEHAKLAADAIDGLPESNDEYVLISRQALKDLTQKLIKRTK >LPERR08G08080.2 pep chromosome:Lperr_V1.4:8:7380692:7384174:-1 gene:LPERR08G08080 transcript:LPERR08G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRIPLLAALLRSASASASSLLPNPKNVPYREGFLWSSCYFHGTGHHTSREGVPIDKELLDPLALIKDEVSEISNRMRSMVIAEIPELTLAAGYFFRAGVEGKRTCPTVLLLMASAISMDIANPSFGSQNELRERYMRVAEITELIHVSSLIHDDVLDDAKTRRGMDSLNCVMGKKLAVLAGDFLLFKAFSTAAVSLDNTEVVSLLAKAFSNLVTGEFMQMTITPAQRCSMDYYLQKTYYKTAALISNSCKAVAVLAGQTVEVATLAYQYGRHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLHEIVEQGFDDPSNVDAALEYLARSKGIERTRLLAAEHAKLAADAIDGLPESNDEYVLISRQALKDLTQKLIKRTK >LPERR08G08080.3 pep chromosome:Lperr_V1.4:8:7380692:7384174:-1 gene:LPERR08G08080 transcript:LPERR08G08080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRIPLLAALLRSASASASSLLPNPKNVPYREGFLWSSCYFHGTGHHTSREGVPIDKELLDPLALIKDEVSEISNRMRSMVIAEIPELTLAAGYFFRAGVEGKRTCPTVLLLMASAISMDIANPSFGSQNELRERYMRVAEITELIHVSSLIHDDVLDDAKTRRGMDSLNCVMGKKLAVLAGDFLLFKAFSTAAVSLDNTEKTYYKTAALISNSCKAVAVLAGQTVEVATLAYQYGRHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLHEIVEQGFDDPSNVDAALEYLARSKGIERTRLLAAEHAKLAADAIDGLPESNDEYVLISRQALKDLTQKLIKRTK >LPERR08G08080.4 pep chromosome:Lperr_V1.4:8:7380692:7384174:-1 gene:LPERR08G08080 transcript:LPERR08G08080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRIPLLAALLRSASASASSLLPNPKNVPYREGFLWSSCYFHGTGHHTSREGVPIDKELLDPLALIKDEVSEISNRMRSMVIAEVLLLMASAISMDIANPSFGSQNELRERYMRVAEITELIHVSSLIHDDVLDDAKTRRGMDSLNCVMGKKLAVLAGDFLLFKAFSTAAVSLDNTEVVSLLAKAFSNLVTGEFMQMTITPAQRCSMDYYLQKTYYKTAALISNSCKAVAVLAGQTVEVATLAYQYGRHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLHEIVEQGFDDPSNVDAALEYLARSKGIERTRLLAAEHAKLAADAIDGLPESNDEYVLISRQALKDLTQKLIKRTK >LPERR08G08090.1 pep chromosome:Lperr_V1.4:8:7387006:7393131:-1 gene:LPERR08G08090 transcript:LPERR08G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEDPPATPTSEMYYRRQTKASSEANGIVFMPGVPTCLNGISIPASNRVHDWGYGSVRKEQESPCAPNVQLINIFPSMDQRTVNSLRSTRMAANMDTPLEQAKQKESSAIIILDSDDEDEDTKGCQQLKSIDNKQLATSGSTSPYTTWIVSRAKDQVNGTVHVDGDQSTQIVPYDQNAPLINQFPLLTSWRPSVQFEKVILQKRPEEQRIQDLAAANHAEKIAETQVFSTLPALPNERKRRKSEPGTLQNQAADDFTLDVQQNGVPSQSYRTMIEEEKPVEESDGLEDLWKDFSLATECTKLDTHEDMSSEKQVDDEKDMDDDCIHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTSYYESRSKDADEIDTGAVKFSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKAEKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGGGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGVRSLKGDAFTESVEDTLLNDDNFTRKAHVIRSLRDLTKDVLHYYKGDILDELPGLVDFSVFLRLTPKQKDIVHKIESYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESINIRDGVKARFFYNILSLANSAGEKLLAFSQYILPMKFLERLLVKMLGWHVGKEIFMISGDTSAEDRELAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAAGSPEEKFHEVAFKKEVIPKLWFEWSEMCTTEDFKLNPVDIDDSEDELLEANAIRQDIKALYRRVLQWPLLVSMPELPTS >LPERR08G08090.2 pep chromosome:Lperr_V1.4:8:7387006:7393131:-1 gene:LPERR08G08090 transcript:LPERR08G08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEDPPATPTSEMYYRRQTKASSEANGIVFMPGVPTCLNGISIPASNRVHDWGYGSVRKEQESPCAPNVQLINIFPSMDQRTVNSLRSTRMAANMDTPLEQAKQKESSAIIILDSDDEDEDTKGCQQLKSIDNKQLATSGSTSPYTTWIVSRAKDQVNGTVHVDGDQSTQIVPYDQNAPLINQFPLLTSWRPSVQFEKVILQKRPEEQRIQDLAAANHAEKIAETQVFSTLPALPNERKRRKSEPGTLVERKSEPTTLIDGDAGTTLGKRKRKRQQNQAADDFTLDVQQNGVPSQSYRTMIEEEKPVEESDGLEDLWKDFSLATECTKLDTHEDMSSEKQVDDEKDMDDDCIHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTSYYESRSKDADEIDTGAVKFSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKAEKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGGGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGVRSLKGDAFTESVEDTLLNDDNFTRKAHVIRSLRDLTKDVLHYYKGDILDELPGLVDFSVFLRLTPKQKDIVHKIESYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESINIRDGVKARFFYNILSLANSAGEKLLAFSQYILPMKFLERLLVKMLGWHVGKEIFMISGDTSAEDRELAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAAGSPEEKFHEVAFKKEVIPKLWFEWSEMCTTEDFKLNPVDIDDSEDELLEANAIRQDIKALYRRVLQWPLLVSMPELPTS >LPERR08G08100.1 pep chromosome:Lperr_V1.4:8:7400799:7402589:-1 gene:LPERR08G08100 transcript:LPERR08G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVPYNVLMDALCKSGRVEEAFRLKGWMEERGMTPSVVTFGILINGLARGERFGEVSMVLREMERLGIPPNEVIYNELIGWHCRKGHCSEALRLFDEMVSKETKPTAVTYNLIAKALCEEGEMERAERILEDMLSTGMTVHCGLFNTVVAWLLRRTGRLESVVRITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAIGIWLKILTKGLGVNVATSNALIHGLCEGKYMKEATKVLCTMLNKGIELDSVTYNIMIQGCCKDSKMEEAIKLRDDMTRRGFKPDLFTFNTLLRGYFNLGKMGEAFHLLDQMKTEGLEPDIVTYGTIIDGYCKAKDIHKAKEYLTELMNRGLKPNAIIYNALIGGYGRNGNISGAINTLETMKSSGIQPTKVTYCNLMHWMCHAGLVEEAKTIFAQARENNVDLGVIGYTITIQGYCKLGNMGEAVTYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVSSGVIPDNVTYGTLIARCSEVNLLDKDTELPSELPSGVLTKDDCMYDILVNGINAPWCQKEAASSAE >LPERR08G08110.1 pep chromosome:Lperr_V1.4:8:7406599:7412501:-1 gene:LPERR08G08110 transcript:LPERR08G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDAAGAGGDSPAAVAPTAARLREALAALSQAFESGDCSDGSAAAAVSDILNAAADDADDAGVDATHDEAAAAAARVAEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMGNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPVASNGSMYFVLLFDKLARVLVLIQRRHTEQVKVALPAVVRVMNAAVPECYEEDGKSVADMFNAALRIGNAIQEMCEKMVNQKKEELCSVLSLYSLQNIALVSRCKQQHILSTCGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKLSKDEDADLLDCFSFAMEGASLAVIWTYMDDEISKYAEVKLESALKDVKGNHLRMWQAINILRYVLSSTHYPWIIKSHSLDLLLTIANESHVEEINDHADFPSSGPQIFATLKAIESVMISAPDALMRKKAFAALKQVISMVPSSQRFDILHALIKNSIFPSLTAILLDLVKDEVSKESRRADQDLTESDQLQDGGQWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGKETMRKVCSEWLIPLRPIVAGIQSESEEDGGDVAHHIMCSLNPVQLVLHRCIELAEDKMKGKQPKTEASWRALLQAWLQDNPIKHAVG >LPERR08G08110.2 pep chromosome:Lperr_V1.4:8:7406599:7412501:-1 gene:LPERR08G08110 transcript:LPERR08G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDAAGAGGDSPAAVAPTAARLREALAALSQAFESGDCSDGSAAAAVSDILNAAADDADDAGVDATHDEAAAAAARVAEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMGNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPVASNGSMYFVLLFDKLARVLVLIQRRHTEQVKVALPAVVRVMNAAVPECYEEDGKSVADMFNAALRIGNAIQEMCEKMVNQKKEELCSVLSLYSLQNIALVSRCKQQHILSTCGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKLSKDEDADLLDCFSFAMEGASLAVIWTYMDDEISKYAEVKLESALKDVKGNHLRMWQAINILRYVLSSTHYPWIIKSHSLDLLLTIANESHVEEINDHADFPSSGPQIFATLKAIESVMISAPDALMRKKAFAALKQVISMVPSSQRFDILHALIKNSIFPSLTAILLDLVKDEVSKESRRADQDLTESDQLQDGGQWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGPGINVNRQFDMVFLFSSGSRSRKMFGKETMRKVCSEWLIPLRPIVAGIQSESEEDGGDVAHHIMCSLNPVQLVLHRCIELAEDKMKGKQPKTEASWRALLQAWLQDNPIKHAVG >LPERR08G08120.1 pep chromosome:Lperr_V1.4:8:7412903:7413763:1 gene:LPERR08G08120 transcript:LPERR08G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITGNQQHTMTPPTPTPAIRKRPVDAGGEIVVRKKKRPAHKAARGPVIDAVPLAMALPAAVRAAKGDQMTVPEEPPWIRKILFYRLGLRYDLPVVFVETKTVTRTDLDPHQNRFRLAALGVQLRFRPFLSYDEAVASSFIQPPPPPEGEQQLSPPPPPPPPAQAEEENGEEGKGKKKRGRMHGGMPVTVVHLQGGAKELLLTRWDSSGAAVVKGEGYMNFIVGKCGLREKDVVTVWAFKERGFGLFGATIPESPFYIVIAGGSGNCALAPPPPAPVAQDPAALIA >LPERR08G08130.1 pep chromosome:Lperr_V1.4:8:7416853:7417688:-1 gene:LPERR08G08130 transcript:LPERR08G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHIELELKLNDWGNQIFGEHCDKNFRASIASLPNAWGDLPEDQLAGVDVLQTPGVAMSTQLSFGMTPKTLRLPKKGEMLLSVCGSPLGVYQEENLAAVHDTYILVCLLFTSILAMALSTVEPPSPPKKTAESTNGSEDAAS >LPERR08G08140.1 pep chromosome:Lperr_V1.4:8:7424666:7427786:-1 gene:LPERR08G08140 transcript:LPERR08G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQSSTPMAVSSFDPEFLQAHAELWNLTFSYLKAMALECAIKLGIPNIIHCCGGGASLSELVNSSPVPEIRKPHLPRLMRFLVAVGIFSLDIPTIEVETTEKAVGIYRLTPLSRLLVDDAISGVDGHGSLSPFVLSQTTKYHVSAAMHLSDWFMSEDKEVAIEMPFKAAHGTDLWGVMGRDANMNKVFNAGMGSDSRLAIDFVISKCGEVFDGISSLVDVGGGTGTTARAIAKAFPHIKCSVLDLPNVINTITADGIVDIFYMIGTMMIVLTQCKNAIHSTKAGGKVIIIDIVVGSPSNDMFEAQVLFDLLMMVITSGKERDQKEWHKLFMETGFSHYKTKSVLGFLSITELLGCTQTHLTQEN >LPERR08G08150.1 pep chromosome:Lperr_V1.4:8:7434812:7436488:1 gene:LPERR08G08150 transcript:LPERR08G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASAAILATVSSSIAYAPPAITNTGGANLPRLTDAAGGHTKARSDASAGGGSGQLWSGDTFFPPARWRWWTLIRAQPRVRGCSNGVDGCPGHRAPRAVAGAGKDDHAQVRALAAREVAVAWREIEVAEEGAELAKIARVEGLIRKREVADIERQQGELVKAFEDTMIEWHTIDLRILTAMAAEEGVRTTTGAFIRELDERAQELDSRAQELDRCDRVLRDAEAAAANSEVELQVREDALAERERALEVARQVVEDREAAVIMAEKDSAVRERNTAVRERAIAEREAAVEGCEAMADLERARRRIADLEYTLDLGTSIMAASVARLHEAAREVGVTAVVVS >LPERR08G08160.1 pep chromosome:Lperr_V1.4:8:7454517:7460283:1 gene:LPERR08G08160 transcript:LPERR08G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKELKVMASYVSKLKFLLQKDQQQEPHTANLLGSHGSSNQDEKNDLPQGENTVESCTRKKPKYQTPTTEQKERLNCAFRSCPYPDKIALEELAAALNMSSTQIKYWFQNNKTRIKKLKMNEEHEQLQKENENLKEENTELRNRMKNSTCRRCDLPLFQIDCDHLEDSVPMGQNKCKHGVTSNLIPQAASSLLPSSSGPVVPSSNLGSNAAFMAETVMPPSVLQPAPVIPDANLSIWHNLSVNGNDDYAERIILLDLAKRAMEEFTSLMEDKENEVKILWLPHMDILGVETLNYQEYLARSMATGQKPVDLKVNATRDTAIVNGSCVDLVQGLLDANRWRELFPGIVASANTTKIISTGPYNSYDKLLQLMRAELQVMLPKVPVFDVTFLRQSVHIKRGLWYVVDVSIDSVLPSGQTSRTAAARRMEVRLLPSGCIIQEMDNGYSKVTWMMHAAYDERVMPDVYHSLFRSGIAFGACRWVASLQRHSQFFSSLRNYIPCPGSTVTDLLRRRKILQLVKQMTSSFTAQCATMSKAMLRDDDFTYFENRIIGCAPGEPAGLVLSATTPVWFPEVNPRHLFEYLRSEQKPGQWRCLFGEQLHQSSVLPYGVPLNGSAYRMVDGLHEGHAISLISPRKMGDNISSTLLLQEARVDLSGSLIVYAGTDVNTIDSVMNGSLDPATVFLLPSGCAILPDCNDSFPLLPAASTAGQARRSKPGGSFVTVTYQMFVSSNGTAVSVRASLDKGRDALKKATNIFMDTVRLMADIAGDISM >LPERR08G08170.1 pep chromosome:Lperr_V1.4:8:7482969:7490734:-1 gene:LPERR08G08170 transcript:LPERR08G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPDAELCFGGSSSFSYTGLLMQCCPNIYARRAVRGQLDADPALALMSTMCIWATTGTLHRLLHRRDAVASSSSHAVPPTLPPPVAGTPTPPPLLRRHRLCCRDAAAHLFSVAPGLRRLQLFSALRAGTSLPPRHPLRRAAYSAAATGRVTASSSSPLGHLLRRRSLPLSVLQLDDDT >LPERR08G08180.1 pep chromosome:Lperr_V1.4:8:7490924:7494491:-1 gene:LPERR08G08180 transcript:LPERR08G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWVSLAKGCKFWHHIGGYRTFSTLQAEVVTVGIDFGCKSSRIAVIESLVPKVISSEIGNLTPSYVTMIQPNSAVPCGWGLQHLDSVGKRIIVGEMAKRQILRHPSDVIFNIKKLIGKQFDDYDIEQMRKKVPFSIVEGPSGEAWVEIHGRKFSPVEMTQAIFAKLKDIIQMNQFCHVLKVVISVPTFFSGKQRQDIISAGNRAGFEILQLIDEPIAAALASTTVKEGLIVVFGMGSGSHSISVLHVSGTEFEIKAQFDDPSVGGDQFDDLLLEYVVREITRIHLVDIRGDKLVMMRLAEEVEKTKVRLSTQPTVEVSIPLLTGSAQGPIDLNITVSRKKFEDLIGHLVEQIQVNCQKMLKEAKLIDEDICEIIIMGGMARMPKIQRIVSEIFGKRLSTRVNPEEAIVIGSSLQAALIVEGHREMEKDFMPLSIGVKSAKGIFMRIIPRHTTLPTKRTLKGDRHVKTAVKKFPIREVALKLDSSNAVKTALLDWPMHTMVIRARLRNLAKLMMNTLVDVLSVRKHELPKNLCEDAVEAYDDLRMALDLDVDDYVLGCRMRAAQSVESKMLRWRLPSVSL >LPERR08G08190.1 pep chromosome:Lperr_V1.4:8:7519565:7522238:1 gene:LPERR08G08190 transcript:LPERR08G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVGTSHSDMGQAHDRNHDSRSSHNSYLQAISKITASNLSIVSVIVEGKSATGDLSKLKPTEDHHTHWV >LPERR08G08200.1 pep chromosome:Lperr_V1.4:8:7525364:7530361:1 gene:LPERR08G08200 transcript:LPERR08G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNLRATSSMAYPVSLSSLHHHPNNHHACFPPSKANHDNTHKPMESPKISRRSLIFLPVPSLLYTSSSFALDDANIPSTSTIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKTACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPMGLVRNAESIDPKAFQLRHARPGTLSLCLGQNDDDDDVKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKN >LPERR08G08200.2 pep chromosome:Lperr_V1.4:8:7525478:7530359:1 gene:LPERR08G08200 transcript:LPERR08G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNLRATSSMAYPVSLSSLHHHPNNHHACFPPSKANHDNTHKPMESPKISRRSLIFLPVPSLLYTSSSFALDDANIPSTSTIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKTACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPMGLVRNAESIDPKAFQLRHARPGTLSLCLGQNDDDDDVKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKN >LPERR08G08200.3 pep chromosome:Lperr_V1.4:8:7525436:7530526:1 gene:LPERR08G08200 transcript:LPERR08G08200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNLRATSSMAYPVSLSSLHHHPNNHHACFPPSKANHDNTHKPMESPKISRRSLIFLPVPSLLYTSSSFALDDANIPSTSTIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKTACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPMGLVRNAESIDPKAFQLRHARPGTLSLCLGQNDDDDDVKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >LPERR08G08200.4 pep chromosome:Lperr_V1.4:8:7525436:7529612:1 gene:LPERR08G08200 transcript:LPERR08G08200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNLRATSSMAYPVSLSSLHHHPNNHHACFPPSKANHDNTHKPMESPKISRRSLIFLPVPSLLYTSSSFALDDANIPSTSTIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKTACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPMGLVRNAESIDPKAFQLRHARPGTLSLCLGQNDDDDDVKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGSVAILHWYVVENGDVDAHLRPIA >LPERR08G08210.1 pep chromosome:Lperr_V1.4:8:7546040:7553899:1 gene:LPERR08G08210 transcript:LPERR08G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMSMQALAADDAAAAAATDEDHEPIPDGWWFDDAGIXGGGEAEMGGGGEAEAARCKGEILGHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSPSVVSKYSALAAAGDDARELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQTDSEANMYDPSMDGGDNMGFGLPTESERSLMERVRQELKHELKQGYKEKLVDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSNVKTKRKRFVLSQTHLSIQFNNM >LPERR08G08220.1 pep chromosome:Lperr_V1.4:8:7568518:7568934:-1 gene:LPERR08G08220 transcript:LPERR08G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERRSGGVGVGGGCFSCCFGGGEGGDGEEEEEEEKLGQRAARALRTSSRWVRDRAVELPEMVARAGRRRRKTPPQQQVAGEFRYDPVSYALNFEEDGDSDGEVDPFKYVAFSARLPASPPPPPVVTATVMDVDRGS >LPERR08G08240.1 pep chromosome:Lperr_V1.4:8:7584744:7587625:1 gene:LPERR08G08240 transcript:LPERR08G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLTRDEAIYLLLAEFKLLEAHRRFDEKLDRLLEIFGAKEAKSEAYENKEVEPNPSIRMTTTDFKSASSSPPPTSPTRMLTNCSMVCPNDNITSATMRSSHINQGSDPMTTMELVYSKEKAHTPHVDTTDLSMVMLAKCSTLELNVKWGAIPDHDGERWVVNINIEAVSAGSGDPLATKRSKSPWRAPR >LPERR08G08250.1 pep chromosome:Lperr_V1.4:8:7600191:7603752:1 gene:LPERR08G08250 transcript:LPERR08G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHDGLAGEAREALYLLLWWSFPTALAPAQAEDLSRREKATKQPSITAVSGALAAVEDTIIMGFQPSQDPNANSARTPGQLAAGILIDLSSSSSSVYPSRRPLQSPLLRAAAGSSGLPSPPLRAAPVVYIRAAAAIIDLHRHGAADLRLRVVAISRFPSAPRPSAVLRLMPPRMRHPHPRSVAFLKRPPHSATFWLIMN >LPERR08G08260.1 pep chromosome:Lperr_V1.4:8:7636718:7651983:1 gene:LPERR08G08260 transcript:LPERR08G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRVMLWYLPAALIGALSGLMAVETAAANTSRPVNCDRKCGDVSIPYPFGISHDCAWPSPGFNISCNHSFSPPKPYFGNIEIKDITLEAGEARIYTAVLLDCFTSYNTTEHDDDNQTDIISSWQFYLHDSPFLFAPSKNEFTGIGCGTAAYLGGRDDGSYYTGCVTTCASLDDAAGDGEPCTGLGCCHVPSIPRNLTTLDIWSFGIGNPEWNRSAPCSSAFVAEKGWYNFSRQDFGRNGSKSFTMSDGLRSVPTVLDWAIRSNGSCSPVPPGAPACVSAHSYCVNATNGEGYLCNCSSGYAGNPYVVGGCINIDECNPSIYKERYPCQYGTCHDLEAIILAVAALSVLSVFLYMKHKERKLQDSFKRNGGHILERMGIKIFTKKDLKRITKDYSTIIGEGNFGKVYKGTTDDDQEVAVKCSIKVDEANRIDFTNEVDIQSRISHKNVVRLVGCCLQTDDPMLVFEYIPKGSLHDVLHGNGINCNDVRKQSISLQVRLDIAIESTEALAYLHTSANQKVLHGDIKSSNILIDNEFNPKVADFGISRLLPKTKHHTSLVVGDRSYMDPIYFRTGLLTEKSDVYSFGIVLLELITRKKAKYGENKSLQIDFVTSYKTDSRAREMFDNEIASPEVIGCLDMISRIAFQCLKDDVDERPTMEQVLEQLHLVRKELMDAKTRALELTNQIDGRNIHDINEFIVTTKNEILYPCYSGSKCEDTDIPVAAVHSQGTQEAAEQKLHKITTNYNDKLGDGAFGEVYKGITEEKHEVAFIPNGNLHDALHGVVHIHIPLLVRMDIAIGSAEALAYMHSNIDHNSIVHEDIKSSNILLDSNMKPKVSDFGSYKLMSVAIYDKWSVFGDLNYIDPVYFRMGDFMDKSDVYGFSVVLLELITRKNVKYDGTKLHVQFDKHHKDDDSRRSMYDQDMLCTEAMQNHCIECLDIMTAIAAQCVTENVDERPTMVHGRSVGGS >LPERR08G08270.1 pep chromosome:Lperr_V1.4:8:7664738:7665080:1 gene:LPERR08G08270 transcript:LPERR08G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTDVDNNNIMIPDFGELPEADRQEFESHVEDLSRKMLLCYRKTRQGVTRQEEFTLPVNDKSKKIG >LPERR08G08280.1 pep chromosome:Lperr_V1.4:8:7672603:7673029:1 gene:LPERR08G08280 transcript:LPERR08G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARLREAAREVGVECPIRSCGCSTLGGIGDPGGRVGEGDCGGEVDKVSKDSSYDLARQVASLILAGYQARDLGFNPYVSTDNFPEGTEEDARHRVADVVEAIMVGFDGTPPAFSLADHDDDVDDAKVSSDDPPAT >LPERR08G08290.1 pep chromosome:Lperr_V1.4:8:7689726:7690403:-1 gene:LPERR08G08290 transcript:LPERR08G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEEIREREASNLRGTIVVLCSFSSSSASKRWVRKCSTTLACCLQQNNSLSSYQSASTNVVKGRDIGTISRYPAGWR >LPERR08G08300.1 pep chromosome:Lperr_V1.4:8:7691082:7692491:-1 gene:LPERR08G08300 transcript:LPERR08G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNEQYDADGDVFRYLLDPTTDIAMFSKPVAIAVSVTVESNPTPAASIKEQPTQVHISHIQHHAHTQPALAAVMCGQPPVHQSPDLQVVSENYLPAPRNHHLFFFFLDNRSITDPALRKPTHYTVFTSPSLLHPVDGFHRQATAGGGAATSSTPDCLLAGSRFQYDDIDEYDDEATTQGHGDSSGGGGSGAVVEEDANLEPFLPLLPGQLDCSRCQLVRHVMHAKDFISCNVHSGFSNFIEAVHSNIVNDPHRALEVNMLLTIISTPSAERHNGIES >LPERR08G08310.1 pep chromosome:Lperr_V1.4:8:7698465:7700848:-1 gene:LPERR08G08310 transcript:LPERR08G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEQYDADGDVLRYLLDPTTDIAMFSEPVAVAVETHTTPPTVTHAEQQQPPPAAVIRGQTPVHPSPDLDLLHREVHIVRENPTPVIHADGFRRRQTTAAVLHGSMATSSTPDCLLAGSRFQYQADDADTHGHGDSSGGGCGGGAVVEKHTNLEPFLPLLPGQLDCSRCHLVRHVMHATAVSRRILKNDTREWAGDFIACNVEIMRNNTSGQLLDSGFSNFVEAVHNNIVDGPHRALEVNMLQTIISTPSADHQNAAVEATLAEQSSFSAALPAAAPQMAGPEAHHYAGMLLAVEQFYTIATSGPALMSTEVEILESSHVTQQEGDRAITYPSLQDQKGKGKMQAVQGVTTIDVLEYLRLTREETEKEIKTLSSFDGIHPNDGALSYLVQEVSELKKKICSLQRNALHITVLPSNLLGSMKMIGDIKIEKARAYARFIGGVKDAMRKGIGASYHCRMNSGTQDDPILIL >LPERR08G08320.1 pep chromosome:Lperr_V1.4:8:7722380:7724537:-1 gene:LPERR08G08320 transcript:LPERR08G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQYDADGDVFRFLLDPTTDIAMFSEPVAVAIESNPTQIQHHPNTQAAATAVIIRGQPPVHESPDLDLLHREIHVVRENRTPVIRADGFHRQTTAAVLHEAATSSTPDCLLAGSRFQYRADDAHGHSDSSGAVVEKDANLEAFLPLLPGQLDCSRCHLVRHVMHATDITMEEQLYFDLKNDTREWATEFIACNVEIMRNNSSGQLLDSGFSNFVEAVHNNIMDDDPHRALEVNMLQTVISSPSADHQKVEATPSEESSFSAALAAAAPPLAGPEAHHYDSMLLAVEQFYIIAISRPALMSTELDILESSNVTQQDGDIVYPSLQDRKGKGKMQAVQEVRTIDVLEYLRLTREETEKEIKTLSSVDGIHLNNGALSYLVQEVSELKKKICSLQRNALQITVLPSNLSGSMKQIDDIKVEKAGTYAQFIGGVKDAMRKGIVASSHSRMNTGTQDDPILIL >LPERR08G08330.1 pep chromosome:Lperr_V1.4:8:7732393:7741070:-1 gene:LPERR08G08330 transcript:LPERR08G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSDVQMSHCAQDSENSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYRTTSNTARSRRGPTMTRSIVLEAINVGQGSELSIAQLLQSLLRAPSSTQVSSGPAPSSDARPSEGAQSSTQNSVRAALDQMQIPPLFQSETAVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNTDHRTAGSVHGGGTQNQESQPASSAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDITNVTDATARRNLQNSVVRYGAIIQYIGSLLLELGRTTMMLRINSATSEAVVNSGPALFISPSWPNPLMVQPAPFVPGTGSVQMGPIFSSLTSHRSVLHPRDIDIHVRTSNIGSPVDINGGNSTQTSPGEQNGQGTFSQLMDSFPWIGSLFSAENSRASGTSQHAPMASAEQVDGSNHGAPEVSGASDEGLRFASLVRQIMPFISQVESHNQSAPASTPSQAHENMNSARAGPSDSRSSHQHNRDPVDGPNSKRQRTSE >LPERR08G08340.1 pep chromosome:Lperr_V1.4:8:7749830:7750186:-1 gene:LPERR08G08340 transcript:LPERR08G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGATTTVEFATGDLGIVGSAARLGAAGSGGYARSMTTDGNGGSWCGGADPAAAAPSCLDPATVAARIDVGVSPLSPLPYWRAWPRLLSPSSSCAGPHAQGKLAYAESPIRTHR >LPERR08G08350.1 pep chromosome:Lperr_V1.4:8:7750471:7757778:-1 gene:LPERR08G08350 transcript:LPERR08G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAGGRAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGLGSVRAVGLSQAPQMQSL >LPERR08G08360.1 pep chromosome:Lperr_V1.4:8:7785152:7787883:-1 gene:LPERR08G08360 transcript:LPERR08G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSAAAAAADGDEEVFMELSRELKEEATRLFNRRDYEGAAFKYDKAVQLLPAGGGRAAAVEEASLRTCVARCYMRMSPAGEYHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWDDVRAVLAAEPANRAALEISEKVRAALEEKGVVVLEKEEAVTPPAPEKEEKERKKKAVGVKVKGKMKKSRQQCDAAIEEVQESIRVDDCEEGVNREVKINGQANGENHAEKMQLDSKHVVLDGKGGIQNDTKEANGVGKHQNHMEDKETNGLEKEDRNHKQGKHSAGKKIRRADAKKQKHSSMEPVHRADENRHEHCVKTSVRVEESVKDLKLVFGEDIRCAQMPANCDLSQLRSIVQNKFPSLKALLIKYKDKEGDLVTVTSSDELRWADSLADPEGPIRLYIVEVDPAQELGVDLVRRRSSFASLEKGYYSMSENGSTRHDDDQNSSVDDWMIQFARLFKNHIGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPFSDDASMECILEQVKVDYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMEMWEGMENTRLRGLSKPNKEKAIFEKIGIDGCMKDMSSDEAFEQACSIRSHVNILWGTILYERSVVEFNLGLPSWEESLTVAMEKFKTGGASPADINVMVKNHCANETTQEGLSFKVEEIVQAWNEMYDAKKWRSGAPSSRLEPIFRRRAPKLHHILEHIHYA >LPERR08G08370.1 pep chromosome:Lperr_V1.4:8:7802723:7804094:-1 gene:LPERR08G08370 transcript:LPERR08G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGLNSNFKFEKRGQICKLKKARLNLQLDSCPYYLCSLQIPLVASSCHLPMQGTASNMSFQANCERYTGDFIYDSQISIFLSWVMDLWMSCPIVQPLNHNKVFVKTMFLPHAMTLFFCNV >LPERR08G08380.1 pep chromosome:Lperr_V1.4:8:7820131:7827009:1 gene:LPERR08G08380 transcript:LPERR08G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQMDSENVVGFFRGRSILITGSTGFLGKVLAEKILRVQPDVKKLYLLVRANDVESATRRVQNEVTGKEIFQILKEKHGQGFESFIGEKVCPLAGDIIYENLGLDYAKLTELSKEIDIIVNGAATTNFYERYDVAFDSNVMGAKNICEFAKRCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGTHLDITSEQNLIKETRREMKTKCCSEKTEKRTMKELGLKRARHFGWPNTYVFTKAMGEMLLGHHRGDLPVVIVRPSIITSLFKEPLPGWMEGTRTIDSVIIGYAKQALSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSEERAQSIYHVTSSLRNPAPYAVLSDAGHRYFFANPPRTGKYGEPARLPRMRFFSTVASFRAHMVVKYKLPLEVSLWMGFVLLRIIFNLRADNSKRPFSMVKVNLSSQIVLTDQYLEILRVVNIALCGMFSRRYDELSRKYRFVMHLVELYAPYTLFKGCFDDINTERLSIAMKQQEGGYYFDFDPKSIDWEEYFYRVHIPGVVKYLCD >LPERR08G08380.2 pep chromosome:Lperr_V1.4:8:7820131:7827009:1 gene:LPERR08G08380 transcript:LPERR08G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQNCPRKLTSSSTAQRLQIFMKAYVAGEQEGLILEKPFLMGQALREGTHLDITSEQNLIKETRREMKTKCCSEKTEKRTMKELGLKRARHFGWPNTYVFTKAMGEMLLGHHRGDLPVVIVRPSIITSLFKEPLPGWMEGTRTIDSVIIGYAKQALSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSEERAQSIYHVTSSLRNPAPYAVLSDAGHRYFFANPPRTGKYGEPARLPRMRFFSTVASFRAHMVVKYKLPLEILRVVNIALCGMFSRRYDELSRKYRFVMHLVELYAPYTLFKGCFDDINTERLSIAMKQQEGGYYFDFDPKSIDWEEYFYRVHIPGVVKYLCD >LPERR08G08390.1 pep chromosome:Lperr_V1.4:8:7829110:7829697:-1 gene:LPERR08G08390 transcript:LPERR08G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKVKIKPILDARARDVCFSKRRQVVMKKANELSILCGVDVAVVVYSPAGKPYSFGSPSSQAITHRLLGMAPSNPTMGDGSNGSGGETNILHELNLKYQQIQQENEVENKKNKTSQQAVNNEHGEHVRLDSDVNVLELHELEAFDSKLNIIDEAVHLYHVVKNGKQALEPQPEINMPTTLQLTFNGQRIAPSP >LPERR08G08400.1 pep chromosome:Lperr_V1.4:8:7833322:7833837:-1 gene:LPERR08G08400 transcript:LPERR08G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARRDDIYYTPYVQPMRGALVGDGIHFILRDDNAIIKYNWGMNCLSKIDPPSLDGFYIALVEMENGSLGFAYIQDSSLYVLSSKVNSDGTAEWVQCWVIQLEKAIPMANCSDEELMVVGFVEGMGVIFVSTGAVLFTFELKSRQMKMVQEPGVYFSVLPYMSYYTPGLY >LPERR08G08410.1 pep chromosome:Lperr_V1.4:8:7846116:7846346:1 gene:LPERR08G08410 transcript:LPERR08G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIDDNLAVAHGADVDEVHGLSIALGAERMATTTIVFLGGEKYDIAFDGGCDGEARFRSMWRQVSVKYAA >LPERR08G08420.1 pep chromosome:Lperr_V1.4:8:7850958:7859290:1 gene:LPERR08G08420 transcript:LPERR08G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLTAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTSISRVFLLGPSHHFYSPKCLLTRATVYSTPIGDLPVDHEVIEELNATEKFGFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALSSQNEAMFGQLLSKYLDDPKNFFSVSSDFCHWGARFSYTYYDKSHGAIHKSIEALDRMGMEIIETGKPDAFKKYLQEYENTICGRHPISVFLHMCQHSSTKIKIGFVRYEQSSQCKCFRDSSVSYASAAAKVDTPVEEEEKD >LPERR08G08430.1 pep chromosome:Lperr_V1.4:8:7860551:7862921:1 gene:LPERR08G08430 transcript:LPERR08G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKHSVLASDSGLVFASFRHPNIELWERKADSDGVTKWVTWITVELVDVLSLRSTMESLDIYKAVLLMDISGYDEESNVIHLWTNFGIFMIQLSEAGPNIINTTAM >LPERR08G08430.2 pep chromosome:Lperr_V1.4:8:7861246:7862921:1 gene:LPERR08G08430 transcript:LPERR08G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIASVGSHRHLIVGFFRHPNIELWERKADSDGVTKWVTWITVELVDVLSLRSTMESLDIYKAVLLMDISGYDEESNVIHLWTNFGIFMIQLSEAGPNIINTTAM >LPERR08G08440.1 pep chromosome:Lperr_V1.4:8:7860605:7861042:-1 gene:LPERR08G08440 transcript:LPERR08G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIDVTYAHQHQLERARFAIAVRVAGGTQHRAEYTPAVVAESCREGDTEPAAGDGITHDGEELLVVDEEGEAVAATEEDPLEGVERHRRRGEALAWDAIRGIQRGIEEDVGKVVGEEAEQWGLAVVGAEAAEELGGRRGGGASA >LPERR08G08450.1 pep chromosome:Lperr_V1.4:8:7868063:7871193:1 gene:LPERR08G08450 transcript:LPERR08G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLSTFLAPRLRRTDAQRNLFFDAAARPLAAATAALRVRLYGPDEPSRAVLALKRRPRIDAGVSRVEEVEEPLDPAIALACLDNPARLGAVDSPIIRLVADEYGVGGDAAPFVCLGGFRNTRAVYQLEEGEETLGLVLELDETRFDFGTNYELECETAEPEQAKQVLERLLTVAGVPYEYSRISPVFMDIHDR >LPERR08G08470.1 pep chromosome:Lperr_V1.4:8:7888947:7897244:1 gene:LPERR08G08470 transcript:LPERR08G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGATGGGGLAAALSDDGIDVAGGVPVPCGSKEAPAAKNVLILMSDTGGGHRASAEALRDAFRLQFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMRYKPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSTEVAKRALLRGLDPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETARALGDELYDRRRRRPVGQIVVICGRNQVLRSTLLSSRWNCPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTDELRRFSLNAVKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSRQVVQGDPEPRLVRTTAVPLSPDPQFCRALDCHHGRVLLHDASDDGWYLIHCLPEPGIPWLIYSAAVLCAVSGCDHLDCHGGPFRVVFVATDEDDHLAKASVYSSETLAWSTSIAFDDGFESWQQRWEAARSRGEHWGTPYVQPRRGALVRDEIYFTLRNGKEIIKYNWGTDCLSTIDPPSSDVQGITLMELENGSLGFACLEGSILYVWSRELKSEGVAEWVQCQAIELEKIIPVANIRDGVLLVGYAEGVGIIFMSTGVCLFTIELKSMQVKKVEVPEARRW >LPERR08G08470.2 pep chromosome:Lperr_V1.4:8:7888947:7897874:1 gene:LPERR08G08470 transcript:LPERR08G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGATGGGGLAAALSDDGIDVAGGVPVPCGSKEAPAAKNVLILMSDTGGGHRASAEALRDAFRLQFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMRYKPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSTEVAKRALLRGLDPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETARALGDELYDRRRRRPVGQIVVICGRNQVLRSTLLSSRWNCPVKIRGFEKQMEKWMGACDCIITKVGLDQTDGRFTLAFLLSMSVCIGAVLLFD >LPERR08G08480.1 pep chromosome:Lperr_V1.4:8:7898497:7901295:-1 gene:LPERR08G08480 transcript:LPERR08G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVILFAVKKISVALGNEALNQATSYFNKFITQLTELQGGMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVLGIEDIVDEYLHLVGRKLDTGWSIYLKKGIKRPSVVVSLSRIATLVKEAEVNLVHLFQAKDRWVLLPSGGGSTCDNSSYIVERSQHLAATSRSISDEDLVGVDSDRGVLTQWLEDIAPERLVIALHGMGGLGKTALAANVYRREKEKFECRAWVSISQTYSRQAALRSLIEELYKDKEDAPTDIATMDVACLEAKLKRFLEEKKYLVVLDDVWTPEAFVDLSNAIVRNDTGSRLVITTRESDVARLASQGHILTLKTLPAEKAIELFCKKAFPSDTHQKCLKQLETLSKEIVGKCKGLPLAIVSVGSLLFVREKTAEEWRRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKKLVRLWVAEGFIVEKGESTLEEVAEGYLKELVHRNMLQLVQRNSFGRIKTFRMHDIVRDLAVDLCRRECFGIAYENGKHGRSLEENDERRLVIHKFHEVVGQSVLGMYRLRSIIALDKSTPSSTILPSVLDNSRYMSVLELSGVPIETVPNAVGNLFNLRHLGLRGSKVKLLPESIEKLSNLLTLDLSGSEIRRLPRGIVKIKKLRHLFAEKLIDPTWRDFRCCTGVRIHKGIKNLTSLQTLQGLQAQEESINHLGELRQLRSVRVWNVREAYCGRLCASLIKLQFLSNLYIVASNENEALQLKEMNPPPPKLQRLFLRGRLAEGTLQEESPLFHHAARQNLYELRLYWSQLEQDPLPTLSLLSNLTDLRLTKTYIGKRLLFLPEWFPNLRILLLRDMPNLKWLELQQGTMKNLQRLTLVNLNNLVEVPSGIGFLIPLQYLGFMEITKEFLKLLRSCSTIKNSRWQYSLRDTEANVDQ >LPERR08G08500.1 pep chromosome:Lperr_V1.4:8:7947818:7951228:1 gene:LPERR08G08500 transcript:LPERR08G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPPPAAVSGDRRRRPDHLRPPSLHQQDRLDILHLFSVGLDCSRAADRRRRRRLRHCPLPSRSCLREREGKQKKKKQRRSI >LPERR08G08510.1 pep chromosome:Lperr_V1.4:8:7961129:7964742:1 gene:LPERR08G08510 transcript:LPERR08G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAKHPGVKSFRSSPLVCVDLHYAVFRGRQVIGNHSAIAGADVEDGENDEGAGADAENGAAGGEGAEDGQDLGKADGAVGQLGGGVGGYGDASGFGGGAGFVGGFGGVGGCYMGDGFGGGAGYGGGAGYGVGEGFGGGASGMGGAMYYGGAGFGGGGGFGGGDGFGGGAGNGGEGGDDGYGGGNGGAVRREVVGSNAGDVRACHYD >LPERR08G08520.1 pep chromosome:Lperr_V1.4:8:7970424:7972578:1 gene:LPERR08G08520 transcript:LPERR08G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPELMDRVAASLPVDLVSEILLRLPTDEPEHLFRAALVCKAWLRLTCDPAFLRRYRAFHGSPPLLGFLQRRQVLQGDPAPRIVGTTAVPLFPDPQFRRALDCRHGRVLLHASDDGWHLIVWDPVTGEQHRVPEPDIPWLIYSAAVFCAVSGCDHLDCHGGPFRVVFMATDEDDDVVKASVYSSETGSWTIPVTLDDGFQSREQRMEAALEVMRRGQFYGVPYVQPRRGAVIGDEIYFTIRNDNAIVKYNWGTNCLSKIDPPSSDVYDIALMGMENGSLGFVYIRNCSLYVWSMKVNSQGAAEWVQCWAVELEKMIPVANLKDEAFVVGSAEGVGVIFVSTGVGLFSIELKSRRVKKVNDLEVYFSILPYMSFYTPDRGTLLSLARTL >LPERR08G08530.1 pep chromosome:Lperr_V1.4:8:7987568:7992982:-1 gene:LPERR08G08530 transcript:LPERR08G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYMSTGDDDVAIKILFCGICHSDLHSIKNEWKHSMYPLIPGHEIAGVVMEVGKKVNRFKSGDRVGVGCLVNSCQSCESCNNGFENHCNGGIFTYNSIDKDGTVTYGGYTTSVVVHERFVVRFPDSMPLDSSAPLLCAGITVYSPMKYHGLNAPGKHVGVLGLGGLGHVAVKFAKAFGMKVTVISSSPGKKREAMERLDADAFVVSGNGEEMEAARATMDGIINTVSANTPMAPYLALLKPTGKMILVGLPENPLEIPPFSLVVGNRTLAGSNIGGMADTQEMIDLAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLQIAAAQ >LPERR08G08530.2 pep chromosome:Lperr_V1.4:8:7987568:7989400:-1 gene:LPERR08G08530 transcript:LPERR08G08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLIPGHEIAGVVMEVGKKVNRFKSGDRVGVGCLVNSCQSCESCNNGFENHCNGGIFTYNSIDKDGTVTYGGYTTSVVVHERFVVRFPDSMPLDSSAPLLCAGITVYSPMKYHGLNAPGKHVGVLGLGGLGHVAVKFAKAFGMKVTVISSSPGKKREAMERLDADAFVVSGNGEEMEAARATMDGIINTVSANTPMAPYLALLKPTGKMILVGLPENPLEIPPFSLVVGNRTLAGSNIGGMADTQEMIDLAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLQIAAAQ >LPERR08G08540.1 pep chromosome:Lperr_V1.4:8:7993112:7993818:-1 gene:LPERR08G08540 transcript:LPERR08G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMDGIINTVSANIAIAPLMGLLKPNGKIILTLAGSCIGGMADTQEMMDLAAKHGVTADIEVIGADYVNDGDAMERLAKADVRYRFVIDIGNTLKQEAATD >LPERR08G08550.1 pep chromosome:Lperr_V1.4:8:7999914:8001174:-1 gene:LPERR08G08550 transcript:LPERR08G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTVVPYVYLCYCRRAVTPRHEIAGVVTKVGKKVTKFKAGDRVGIGCMDGTVTHGGYSTTPVVHERFVVRFLDSMPLDVGAPLLCAGIMPTRLRLVPLHRCTWRPSAARCRVRTSPGTSLLANPVPARRRLASLRPTRRRLVDSSWHAPHRARPVRPSPARRC >LPERR08G08560.1 pep chromosome:Lperr_V1.4:8:8012651:8021459:-1 gene:LPERR08G08560 transcript:LPERR08G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELLKWLNQELAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTGVSILDSDDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQEYFGWFLSLLLKNCLALGLFASKVDFTLKVIGGEMTAIPGISDAIEGTLRDTIEDQLTWPNRIIVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKTKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKHEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >LPERR08G08570.1 pep chromosome:Lperr_V1.4:8:8056439:8063504:1 gene:LPERR08G08570 transcript:LPERR08G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSALLRRLPPSSAATAVQAIHPPMASTAPREDDLLMEEEEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIVGFVINLAVENVAGLKHTAVSALMDSSSYWAAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPSIFSLRTLAVKIIGNIAAVSSSLHIGKAGPMVHTGACIAAIFGQGGSRKYGLTWRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCASGKCGLFGNGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINERGNAHKLLLAAAVSILTSCCVFGLPWLAPCRPCPTAGPPSSSPNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPISMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGKTSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLVSKTVADSFNSSVYDLILGLKGLPHLDGHAEPYMRQLTVGDVVGGPLRWFNGVEKVGNVVHVLRTTGHHAFPVIDEPPLSQAPVLYGLVLRAHLLVLLKKREFLPSPERCPKDYMAGRFEAQDFDKRGSGKQDAIDDVEVSPEEMEMFVDLHPFTNASPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLQGSRWKRLRWQKGALAKQFRSLLDWVASSG >LPERR08G08580.1 pep chromosome:Lperr_V1.4:8:8075179:8084718:1 gene:LPERR08G08580 transcript:LPERR08G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGEAINEEEVNDHPIEEVRNTVPVWDDPREPCLTFRTWVLGLSSCILLAFVNEFFMYRTTQLSIGTVVVQIATLPIGRLMASTLPTRCLRVPLLGWSFSLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRAKGQLTRLQFFIIVMTCSFAYYIVPSYLFPAISTVSVLCWLYKDSVTAQQIGSGTSGLGIGSFGLDWNTVAGFLGNPLASPAFAIFNVMAGFALNTYVAVPLLYWTNTYNAKRFPLVSSHVFDAAGARYDTARILDPSTFTLNLNEYNSYGRINLSVLFALNYGIGFAALMSTLSHVSLYHGKDIWGLWRKAAEGGGGGGKEQDVHTRIMKRNYKAVPQWWFHLMLVIVMALSLYTCEGFGRQLQLPYWGLLLACGIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFLAQLAGTVVASTVHFSTAWWLLTTVKNICDVDSLPPGSPWTCPGDNVFYNASIIWGVVGPLRMFGRLGNYWQMNYLFLVGLLAPIPFWILSRRYPRNAVLRNVNLPLIFAGASGLLPARSVNFIMWGLVGFVFNHIVYRRCKAWWMRHNYVLAAGLDAGVAFMGVLTFLSLGYFDIYGVQWWGGTADDHCPLASCPTQPGVIANGCPTVVP >LPERR08G08590.1 pep chromosome:Lperr_V1.4:8:8087631:8089727:1 gene:LPERR08G08590 transcript:LPERR08G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAQPAVGSAECEEVLECLASLVTQKVRADTGSRGNQWELMANRPSTTSAGGYRSWRLKVVPVQGRKGRFIGHSYESNQQRTEAPVRWIFDVVSSVQS >LPERR08G08590.2 pep chromosome:Lperr_V1.4:8:8087631:8090670:1 gene:LPERR08G08590 transcript:LPERR08G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVVQILCARIIAANSAWKEELYLVEFALNSIAAWEQLSWMKISKPEMFSFQEASTKHLVRAGKEEAEIAAGNEVLDWLTSCRSSVSSCKRWLYVLDIESATAHT >LPERR08G08600.1 pep chromosome:Lperr_V1.4:8:8122161:8123636:1 gene:LPERR08G08600 transcript:LPERR08G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGINMYSVTTGFGDIYLNVGVFGAIRNDGYTLPTEVTRATMLVRINTLTHSYSSIRFKILEAIAKLLNTNVTPCLPLRRVRRPGPAVLNTTSGAGLPLARWSMSTVASSPGGGLVALAENPQTPGGSASGLTIAANLLVVVADVVRASATRPTCSLSCPTSPAARTLRRWTPPIEAFRIAGIEHGFFALQPKEGLAIVNGMAVGSGLAAIVLFEANVLAEVLSAVYYDHLIHALKHHPGQIEAAAIMEHILEGSSYMKLAKEQSKLDEAKTGQICHPHCRMAGARLSTR >LPERR08G08610.1 pep chromosome:Lperr_V1.4:8:8123899:8124554:1 gene:LPERR08G08610 transcript:LPERR08G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARSFRMSGKLDLHGSSAYVNTATRSTAPSRRLSSSMARDFNGAEIAMASYCSELQFLANPVTNHTNVAKKYLAMDDDNLLLDAIDRVAVFTYTEDPCRSSLPLMHKLRAVLMEHTLANGGSLAKVADSARAAVESGMAPNRITECRSYPLYRFVRKELGAEYLTGEKMWLPEEEVDKVVITMNQHKHIHPLLECLSEWKLERCAPAT >LPERR08G08620.1 pep chromosome:Lperr_V1.4:8:8141083:8144853:1 gene:LPERR08G08620 transcript:LPERR08G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVASSLLRLVADSFRVSSSLGQLKGELTSEGFMMPAPTWPPRGLLVSMMRSHNIKDPRQRAWEYAQEAMMHGIGGRQHGRRRGPTMTRTRMHMRIDRQCVVCQRAQRLNSGGLHNMNPVVAAARAAVFGLWRRLNPFRPAASAATQRHSWHAAVASMARIRLGRLLA >LPERR08G08630.1 pep chromosome:Lperr_V1.4:8:8150004:8151182:-1 gene:LPERR08G08630 transcript:LPERR08G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPELIDGDVAASLPDELVAEILIRLPADEPEHLFRAALVCKAWLRLICDPAFLRRYRAFHGSPPLLGLLHRLKVIQGDPPPRLTRTTAVPLSPDPHFRRALDCRHGRVLLHASHGDWHLTVWDPITGEQHRIPEPGIPWMIYSAAVFCPVSGCDHLDCHGGPFRVVFVATDDDDEFVKASIYSSETGSWTIPVILDDGFGTPERRYQHVLELARRGECYRMPYVQPRRGALVGDEIYFTIRNGNAIIKYNWGMDCLSKIDPPSPDVYYITLMEMENDSLGFAYIQDLSLYVWSRKANSEGAAEWVQFRVIELEKLIPVTDDHGDAPFVVGSAEGVGVIFVSSGVGLFTIELKSRRVKKVEEPGAYNSVLPYMNFYTPGIVLNLAYLLS >LPERR08G08640.1 pep chromosome:Lperr_V1.4:8:8157748:8159949:1 gene:LPERR08G08640 transcript:LPERR08G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARLLAAISAAGSSPAELRRLSHLVLSSPLLPPIRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDVPAAKRHLASLLAAGLAPDTYAYTSFVLGYCRAGLLAHACRVFVLMPLRGCARTAFTYTALLHGLFVSGMVREAKAVFVGMRADGCAPDTHVYATMVHGLCEAGRTGEAEVLLAEAMGDGFKPNIVVYNALIDGYCNAGDVEHALKVFERMDGNGCSPNVRTYTELIHGFCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETSGLVPNDWTCSVLIDALCKHHKVEEAQLFLGSLIKKGVKVNEVVYTSLIDGLCKAGKTDAADGLMQKMISEGFVPDAHTYSSLIDGFCRQKKLSQATLMLEDMMEKGVQASAVTYTIIIDELVKEVGSEGSKKIFDKMIEAGVNPDVVTYTVIVRSYCEEGRMEDAESMTVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSSFEQMVGKGCKPNEDSYTILLRLVVKKNSSISENSVDVWKIADMKDLQGLLEEITECQLPLAVDTYGCFIRCLCRVDRLEEAKYLFVGMQSANLTPSEDVYTSIIECSCRLKMLTEALTLLDSMKKSGYLPHLESYRVIISSLCEGGNFDSAKEIFGDLLLKEYNYNEIVWKILIDGLLQKGSAAECSSLLSIMKEHGYQPSDTINAMITGEITVTNEVQEIAR >LPERR08G08650.1 pep chromosome:Lperr_V1.4:8:8167794:8177306:-1 gene:LPERR08G08650 transcript:LPERR08G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRWQGIKPSMWWAWWHDVVRELTCDVAASGRSPDFGAAWGPTTERGIVERASACEANNVVCVSLTTRGMGRRGREGQDREADWVGLHLRGKMEGGAGHVGGKIFKIVRHTSVALRVPKVIASEVGNLMPSYVTVIQPNSYVSYVWSLQHLDSVGKHVIVGEIAKRKMMRHPTDVIFNTKKLIGKRSDDYQILEMRKNVPFSIVEGPGGEAWVEIHGIKFSPVEISHLCKTTNFVWKTKAGHNFCTKQSIAGFEILQLIDEPIAAALASTTVKQGLVVVFGMGAGSYSISVLHVSGTDFEIKAHFDDPSVVGGDQFDDLLLDYFVKEITRIYSVDIRGDKHVMMKLVEEVEKTKLRVSIPFLTGSAQGPVDLDITVCRNEFEDLVDHLVEQIQVNCQNMLKQAKLTDDDIGELIIMGGMTRVPKIQRIVTEVFGRNLSTVNTEEAIVIGSSLQSALIVEDHQEMNKDFLLLSIGVEYAKRIFMRVIPRHTALPTKTAVEIPAWCRECLSVRFFLGEHAMIGHNKFLGEIELCINNQSSCHGPATFELTVEIEKDYVVEGVFAKSTDDQLEGASHVKTAVKTFPIGEVVLKHDVKNALLDWPMHNMAIRA >LPERR08G08660.1 pep chromosome:Lperr_V1.4:8:8187517:8201143:1 gene:LPERR08G08660 transcript:LPERR08G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGDGGAAAGAGEKRDKASLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRSARQRLEREKARRYAAADMSEDLSEGEKGENINESSSVHDESTRGRMPRISSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAIPPGMEFSHIVPHDVDLDGEEANEDGSGSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPEIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQMWAQCRQNGLKNIHQFSWPEHCKNYLSRVGALKPRHPRWQKSDDATEISEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSGSRKTESVESIEATTGNKWPSLRRRKHIVVIAVDSVQDSDLVEIIKNVFVASGNERLSGAIGFVLSTSRAISEIHSLLTSGGIEATDFDAFICNSGSDLCYPSSSSEDMLSPAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNGSGQVVLVEDEECSSTYCVSFKVKNTEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSNMTVVVGENGDSDYEGLLGGVHKTIILKGSFNAVPNQVHAARSFSLQDVVSFDKPGITSVEGYDPDNLKSALQQFGILKDNV >LPERR08G08660.2 pep chromosome:Lperr_V1.4:8:8187517:8197844:1 gene:LPERR08G08660 transcript:LPERR08G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGDGGAAAGAGEKRDKASLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRSARQRLEREKARRYAAADMSEDLSEGEKGENINESSSVHDESTRGRMPRISSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAIPPGMEFSHIVPHDVDLDGEEANEDGSGSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPEIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQMWAQCRQNGLKNIHQFSWPEHCKNYLSRVGALKPRHPRWQKSDDATEISEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSGSRKTESVESIEATTGNKWPSLRRRKHIVVIAVDSVQDSDLVEIIKNVFVASGNERLSGAIGFVLSTSRAISEIHSLLTSGGIEATDFDAFICNSGSDLCYPSSSSEDMLSPAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNGSGQVVLVEDEECSSTYCVSFKVKNTEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSNMTVVVGENGDSDYEGLLGGVHKTIILKGSFNAVPNQVHAARSFSLQDVVSFDKPGITSVEGYDPDNLKSALQQFGILKDNV >LPERR08G08670.1 pep chromosome:Lperr_V1.4:8:8214961:8216280:-1 gene:LPERR08G08670 transcript:LPERR08G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSARAAATISSTASAPLSSTAAAATAGTSPAFAFDSCHPWDHVFVDVCLRHVIRHASRDELHLDLRFALRRDDFCQKQDGGCRKKRNYSESDDEDEFSGPRRGYRLPRRIFDSCVALRTLCVSYCYLNVPESESIHLPHVETMRLTGWSDTGRAIQRLISACPRLADLTLEDSRKIRNLSVLDKRPRRFALRCCPNVKSIAIDATELTTLAYSGAVLAESVISLRGGAPILSSCSVDICSPILSAEEIANLGRFLAMFTGTKHLHIKSARMGSSMESKHFAADTLLPAFTSLTSLQLTGRLPKIGVANAVRRILEQTPNLERLTLLLMPAVKVVNYGYSRYPREVDEDEKRQEQDEDESRFSAIGCLRHRVTTINLVQYNGEEEVQRMLVWLLLTNAHVLERLCVEMPVNIEGQLLAKHKNDIEGWMVRKLAQVTFT >LPERR08G08690.1 pep chromosome:Lperr_V1.4:8:8223521:8247148:1 gene:LPERR08G08690 transcript:LPERR08G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELEEHFVGKRIVRCSAANDTKVIDGIAPSRLEAALVGRTISGARRKGKNLWLELDSPPFPSFQFGPSAVSPTQEWPLKYSKLLVEPEAVPPISELGPDALFEPLQFDDFVQSLSRKNTPIKALLLDQKIDFITNGGRITQAKRRGEMPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGIAPPRLEAALVGRTIAAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKFSKLLVELDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLQFDDFVQSLSRKNTPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASKISKDKSKALHQCIKEVIETSLEVGADSSQYPEKWIFHSREKKPGKAFVDGKKIDFVTVCGRTSAYVPELQKLDGIDATASRAKISKEKGKSNKVAREVDNDEEAKPAKRGRKQSVKETDEENVADVKVPKRGRKQPAKTSKGSSKKAHHSSEDSSGDDSDEETDDKRDSTQSAKELKSSSNTGGSVVPAKRPERKKRQL >LPERR08G08690.2 pep chromosome:Lperr_V1.4:8:8223521:8247148:1 gene:LPERR08G08690 transcript:LPERR08G08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELEEHFVGKRIVRCSAANDTKVIDGIAPSRLEAALVGRTISGARRKGKNLWLELDSPPFPSFQFGPSAVSPTQEWPLKYSKLLVEPEAVPPISELGPDALFEPLQFDDFVQSLSRKNTPIKALLLDQKIDFITNGGRITQAKRRGEMPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGIAPPRLEAALVGRTIAAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKFSKLLVELDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLQFDDFVQSLSRKNTPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASKISKDKSKALHQCIKEVIETSLEVGADSRKKIDFVTVCGRTSAYVPELQKLDGIDATASRAKISKEKGKSNKVAREVDNDEEAKPAKRGRKQSVKETDEENVADVKVPKRGRKQPAKTSKGSSKKAHHSSEDSSGDDSDEETDDKRDSTQSAKELKSSSNTGGSVVPAKRPERKKRQL >LPERR08G08690.3 pep chromosome:Lperr_V1.4:8:8223521:8247148:1 gene:LPERR08G08690 transcript:LPERR08G08690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELEEHFVGKRIVRCSAANDTKVIDGIAPSRLEAALVGRTISGARRKGKNLWLELDSPPFPSFQFGPSAVSPTQEWPLKYSKLLVEPEAVPPISELGPDALFEPLQFDDFVQSLSRKNTPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASKISKDKSKALHQCIKEVIETSLEVGADSRKKIDFVTVCGRTSAYVPELQKLDGIDATASRAKISKEKGKSNKVAREVDNDEEAKPAKRGRKQSVKETDEENVADVKVPKRGRKQPAKTSKGSSKKAHHSSEDSSGDDSDEETDDKRDSTQSAKELKSSSNTGGSVVPAKRPERKKRQL >LPERR08G08700.1 pep chromosome:Lperr_V1.4:8:8253467:8259597:-1 gene:LPERR08G08700 transcript:LPERR08G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWLQMRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRVKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLSYFSEGPDSSAAPDKDEKKMVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYAIREYSSASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHEIYAKLSGNSKAPTAKSLPSRSVGRARGRGERRFQPKGSNTEEISKEREYNIQEILKAFYIRFVRLNGILFTRTSLETFGELSGTVISDLQILLASGPYEELNFGVEAAENALSVVKLVAILIFTVHNANKDADNQSYAEIVQRRVVLQNAFATAFEFTGYLLKRCVELQDVASSVYLPAILVFIEWLACHPDLVACSEMDEKQADARSFFWNQCVSFMNKLILTGLARVDGDDDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQVILDFSNRHVFGSDGSIKEKKARVERILTAGKALLNFVQIDQLRIYFDASTKKFLLASEPPCSESHTTNHIEQDPEVSSKTGTVAENLGMLEPKAQQIYPDADDDEEIVFKPPISEKLPKLAAEQTSNEFLQQVVMSDFNWSNNAAPSSMTFQSNGSVPTPNVYVQSLPISSIGWAANAGQQVIPGIGPRSTPDFFDPLKASDHAWVSTGAPLVGTLDTVPMPSFSNIISDQRTPASSLGCFSNSDNAPILPGQDPFLLSALKNVNIGANGFLDPRVNGGLSGLQSLGSVPQVSAAATLTSTNPIIGQYKSTEATIPSAFHSVLPPVISSDGTSGKFMETQTGVPKKNPVSRPGRHVGPPPGFNNAPSKRQDDSIVVGNGQHVQANDGIWLDGYRPSPDHVNNQRFAHSNVTTASSAFTTPFPFPGKQAFSMHPRGSDDKQWQDFHLFGPTKQLPEVNFQQGNQQNGPLAEPLPAQSMWSGNYLDLLRICWEVWQVFQAVKGTLDDPFYYK >LPERR08G08700.2 pep chromosome:Lperr_V1.4:8:8253878:8259597:-1 gene:LPERR08G08700 transcript:LPERR08G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWLQMRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRVKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLSYFSEGPDSSAAPDKDEKKMVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYAIREYSSASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHEIYAKLSGNSKAPTAKSLPSRSVGRARGRGERRFQPKGSNTEEISKEREYNIQEILKAFYIRFVRLNGILFTRTSLETFGELSGTVISDLQILLASGPYEELNFGVEAAENALSVVKLVAILIFTVHNANKDADNQSYAEIVQRRVVLQNAFATAFEFTGYLLKRCVELQDVASSVYLPAILVFIEWLACHPDLVACSEMDEKQADARSFFWNQCVSFMNKLILTGLARVDGDDDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQVILDFSNRHVFGSDGSIKEKKARVERILTAGKALLNFVQIDQLRIYFDASTKKFLLASEPPCSESHTTNHIEQDPEVSSKTGTVAENLGMLEPKAQQIYPDADDDEEIVFKPPISEKLPKLAAEQTSNEFLQQVVMSDFNWSNNAAPSSMTFQSNGSVPTPNVYVQSLPISSIGWAANAGQQVIPGIGPRSTPDFFDPLKASDHAWVSTGAPLVGTLDTVPMPSFSNIISDQRTPASSLGCFSNSDNAPILPGQDPFLLSALKNVNIGANGFLDPRVNGGLSGLQSLGSVPQVSAAATLTSTNPIIGQYKSTEATIPSAFHSVLPPVISSDGTSGKFMETQTGVPKKNPVSRPGRHVGPPPGFNNAPSKRQDDSIVVGNGQHVQANDGIWLDGYRPSPDHVNNQRFAHSNVTTASSAFTTPFPFPGKQAFSMHPRGSDDKQWQDFHLFGPTKQLPEVNFQQGNQQNGPLAEPLPAQSMWSGNYLDLLRICWEVWQVFQAVKGTLDDPFYCLMRICTESLTAQTQGLT >LPERR08G08710.1 pep chromosome:Lperr_V1.4:8:8259618:8260518:-1 gene:LPERR08G08710 transcript:LPERR08G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKVVPAGSLPHRLLSLAPLPLGLTFDVPKPNSNTATTRRTPINDSASSSPLSLSPFSASGFPIPHPFCRTSEV >LPERR08G08720.1 pep chromosome:Lperr_V1.4:8:8266896:8271891:1 gene:LPERR08G08720 transcript:LPERR08G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQRCRFSVRWLRRGVSQAREMTLHGLLPTAHTCTGPITALCDMGCYEDAWRFFVDVKNKGCKPNVYTYTALISGLCVSGIFKVAIGLFHRMARDGVLPNTVTYNALINILVENRRIEYASVVFNLMDRNACSPNTVTFNEMIKGYCITGDVEKAMLMLNNMLQAGHSANHVTYNTIIKGYCDSANTASALRILEHMRSNGCEPDEWSYTELICGFCNISKMELAFGLFNEMVHRGLCPNEVTYTALIDGYCKDEKLDAATAMLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEEILPNVVTYTAMIDGLCKNGSTSLALEMFNKMIDQGCLPNLLTYSSLIHALGQEGKVEEAENLFAKLERQGLVPDEIAYNKMIEVYIMSGKVEHAFDFLGKMIKAGCQPTMWTYGVLIKGLKNEYLLADQKLAALPDVVPNCSFDYQTADQDAVSVLSAKLAELDPGLSVQLHNALATSLSTSGRWFEANDLLGSMISQGLCPDQEAYNSVLCSLLRARNLDLAMGVFEHMSAQGCEVHLVGYKELICALCQLHRRKEAHITFENMLRRTWNPDDVLQTVLIDGLLRAGHKDLCMEFLHIMETSNCVPSFHMYTILAREASKNR >LPERR08G08720.2 pep chromosome:Lperr_V1.4:8:8268111:8274531:1 gene:LPERR08G08720 transcript:LPERR08G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYEDAWRFFVDVKNKGCKPNVYTYTALISGLCVSGIFKVAIGLFHRMARDGVLPNTVTYNALINILVENRRIEYASVVFNLMDRNACSPNTVTFNEMIKGYCITGDVEKAMLMLNNMLQAGHSANHVTYNTIIKGYCDSANTASALRILEHMRSNGCEPDEWSYTELICGFCNISKMELAFGLFNEMVHRGLCPNEVTYTALIDGYCKDEKLDAATAMLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEEILPNVVTYTAMIDGLCKNGSTSLALEMFNKMIDQGCLPNLLTYSSLIHALGQEGKVEEAENLFAKLERQGLVPDEIAYNKMIEVYIMSGKVEHAFDFLGKMIKAGCQPTMWTYGVLIKGLKNEYLLADQKLAALPDVVPNCSFDYQTADQDAVSVLSAKLAELDPGLSVQLHNALATSLSTSGRWFEANDLLGSMISQGLCPDQEAYNSVLCSLLRARNLDLAMGVFEHMSAQGCEVHLVGYKELICALCQLHRRKEAHITFENMLRRTWNPDDVLQTVLIDGLLRAGHKDLCMEFLHIMETNNNNQQKGRNNPWMLSYTCANDCPQSMISFKPITMRRASKSWVQASSGIMPADANTQTGALHGVGANHLL >LPERR08G08720.3 pep chromosome:Lperr_V1.4:8:8270149:8274531:1 gene:LPERR08G08720 transcript:LPERR08G08720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQDAEQGDDYMLYICLQEMLLFKSFLVTWRWSHVGIVAHNNNQQKGRNNPWMLSYTCANDCPQSMISFKPITMRRASKSWVQASSGIMPADANTQTGALHGVGANHLL >LPERR08G08720.4 pep chromosome:Lperr_V1.4:8:8266896:8271891:1 gene:LPERR08G08720 transcript:LPERR08G08720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQRCRFSVRWLRRGVSQAREMTLHGLLPTAHTCTGPITALCDMGCYEDAWRFFVDVKNKGCKPNVYTYTALISGLCVSGIFKVAIGLFHRMARDGVLPNTVTYNALINILVENRRIEYASVVFNLMDRNACSPNTVTFNEMIKGYCITGDVEKAMLMLNNMLQAGHSANHVTYNTIIKGYCDSANTASALRILEHMRSNGCEPDEWSYTELICGFCNISKMELAFGLFNEMVHRGLCPNEVTYTALIDGYCKDEKLDAATAMLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEEILPNVVTYTAMIDGLCKNGSTSLALEMFNKMIDQGCLPNLLTYSSLIHALGQEGKVEEAENLFAKLERQGLVPDEIAYNKMIEVYIMSGKVEHAFDFLGKMIKAGCQPTMWTYGVLIKGLKNEYLLADQKLAALPDVVPNCSFDYQTADQDAVSVLSAKLAELDPGLSVQLHNALATSLSTSGRWFEANDLLGSMISQGLCPDQEAYNSVLCSLLRARNLDLAMGVFEHMSAQGCEVHLVGYKELICALCQLHRRKEAHITFENMLRRTWNPDDVLQTVLIDGLLRAGHKDLCMEFLHIMETSNCVPSFHMYTILAREASKNR >LPERR08G08720.5 pep chromosome:Lperr_V1.4:8:8268111:8274531:1 gene:LPERR08G08720 transcript:LPERR08G08720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYEDAWRFFVDVKNKGCKPNVYTYTALISGLCVSGIFKVAIGLFHRMARDGVLPNTVTYNALINILVENRRIEYASVVFNLMDRNACSPNTVTFNEMIKGYCITGDVEKAMLMLNNMLQAGHSANHVTYNTIIKGYCDSANTASALRILEHMRSNGCEPDEWSYTELICGFCNISKMELAFGLFNEMVHRGLCPNEVTYTALIDGYCKDEKLDAATAMLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEEILPNVVTYTAMIDGLCKNGSTSLALEMFNKMIDQGCLPNLLTYSSLIHALGQEGKVEEAENLFAKLERQGLVPDEIAYNKMIEVYIMSGKVEHAFDFLGKMIKAGCQPTMWTYGVLIKGLKNEYLLADQKLAALPDVVPNCSFDYQTADQDAVSVLSAKLAELDPGLSVQLHNALATSLSTSGRWFEANDLLGSMISQGLCPDQEAYNSVLCSLLRARNLDLAMGVFEHMSAQGCEVHLVGYKELICALCQLHRRKEAHITFENMLRRTWNPDDVLQTVLIDGLLRAGHKDLCMEFLHIMETNNNNQQKGRLSYTCANDCPQSMISFKPITMRRASKSWVQASSGIMPADANTQTGALHGVGANHLL >LPERR08G08720.6 pep chromosome:Lperr_V1.4:8:8266896:8271891:1 gene:LPERR08G08720 transcript:LPERR08G08720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQRCRFSVRWLRRGVSQAREMTLHGLLPTAHTCTGPITALCDMGCYEDAWRFFVDVKNKGCKPNVYTYTALISGLCVSGIFKVAIGLFHRMARDGVLPNTVTYNALINILVENRRIEYASVVFNLMDRNACSPNTVTFNEMIKGYCITGDVEKAMLMLNNMLQAGHSANHVTYNTIIKGYCDSANTASALRILEHMRSNGCEPDEWSYTELICGFCNISKMELAFGLFNEMVHRGLCPNEVTYTALIDGYCKDEKLDAATAMLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEEILPNVVTYTAMIDGLCKNGSTSLALEMFNKMIDQGCLPNLLTYSSLIHALGQEGKVEEAENLFAKLERQGLVPDEIAYNKMIEVYIMSGKVEHAFDFLGKMIKAGCQPTMWTYGVLIKGLKNEYLLADQKLAALPDVVPNCSFDYQTADQDAVSVLSAKLAELDPGLSVQLHNALATSLSTSGRWFEANDLLGSMISQGLCPDQEAYNSVLCSLLRARNLDLAMGVFEHMSAQGCEVHLVGYKELICALCQLHRRKEAHITFENMLRRTWNPDDVLQTVLIDGLLRAGHKDLCMEFLHIMETSNCVPSFHMYTILAREASKNR >LPERR08G08730.1 pep chromosome:Lperr_V1.4:8:8276877:8280100:-1 gene:LPERR08G08730 transcript:LPERR08G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREVCCAYFDPEYDKLDEKIYGTRVHVDNESCNECTVVKVNSRNKQDLLLEVLEVLIDLELSITKCYVSSDAGWFMDVFHVKDQEGRKVYNTKAICYIEQAICSRESQRVTMTRSNELASRPDIAAHYTAIEMIGHNRAGIFSEISAVLAEQGCNIVEAHAWSHKDSLACVAFVSDESTAAPIDDPTRLAAIKNHLGTVLQPSNSADKYQGSARANLLGVDGLTRNLERRLHQLMFASRDFDGQSGQAATPVLNLDSCRKGRNTMVSVDRCMEKGYSVINVECVDRPKLMFDTVCTLTDMQFNVFHASVSSRGPFARQEYYIRHKDGRILDTADEKCLVVKGLMAAVERRTCEGVKLELCTENNNVGLLSYITRVLRENGLTVIQADIVMDGEMTKSIFYLQDISGNKINMDIVKSVRKELEPLSVQVKDEPQTPRQQESEPEPVAARDGSYILGLLKSKIERLSHSFIPN >LPERR08G08740.1 pep chromosome:Lperr_V1.4:8:8293679:8301653:-1 gene:LPERR08G08740 transcript:LPERR08G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPPFSCSMPAQAVVQPSSSHHDATHMLRILPDAGGTILAKKAFMAYVSEGLGSLQDWDQVMSYQMKNGSLFNSPSTTAAAAIHIYNDRALDYLGSLATSFDGPVPAMYPQNLYTQLCMVDTLENTGISMNFACEISDILDTAYRCWMQNEEELMLDMSTCAKAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKTLLELYKASQICFSEDDLILENIGSWSAKLLKHQLSSKKLSRSVVPEVEYNLKFPLYATLERLEHKRNIEQFKTEGFHLLKSGYSVSHATEEILALAVEEFRSTQCLYQEELQYLKRWVAEVRLDKLKFARVMPLHTLFSAAATMFPAELSEARIAWSQNSLLTTVADDLLDSGGSREEMENFIALIDKWDKHSEIAFCSENVEIVFNAIYNTNKQIAAKAEQVQNRSIMDHITELWQSGMRCMMTEAKWATNKYVPATMEEYMLTAEDSFVLGPIVCPVAYLVGPKLSEEVVRSEEYMQMQKNMSIVGRLLNDVMTYEKEIKTGKVNSVILRALHHSGDGSFHEEAIEVAKEEVRKAIQASRRELQRLVVRDDGVVPRPCREVFWNMSKVVSIFYLEEDAYCTPKEMMSKANAVIFDPLRVMAPLPFSS >LPERR08G08750.1 pep chromosome:Lperr_V1.4:8:8301868:8307014:-1 gene:LPERR08G08750 transcript:LPERR08G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQRGSPQSPCFPQCVEWILQNQQDDGSWGIFPSGSPISKDILLSTMACVLALKRWNTGHDQISKGLNFIGRNFYVAMDEKAASPLGFNITFSGMLSLATGMGLELLIMQTDIDRIFHLRKIELESVVAIHQRATPTLLSKNTKKKIVYHKKEAVPRKLRPRRKSRHKGFRTKIDHHSTTSFPRSDGPKRDTTRSATTARMKVRTRFSPEDCVDGKEHLDNASKRGNSAHGRHSHQTGQKHDKAFTSAFTPPTKASSHRQP >LPERR08G08760.1 pep chromosome:Lperr_V1.4:8:8348361:8353836:1 gene:LPERR08G08760 transcript:LPERR08G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVCGKRASSSSPFFEAATAAGSPPAAKRARCGGSPSPSWSRLVSRADLFANLSAQFPDMSLELIEKALDESGNDLESAIKSLLNLHLESVQNNCAPACEPIQVTTEVPVSAQDGGRVPSDNIPCSENLPSNGSEWVELLVNEMASASNIDDAKSRASRVLEVFEKAAVSNVNAQGLHDIQKENAVLKGQMESLAKENIILRRAFAVQRERQKDYDVKTQELQLERQNVAQFKEQVRNLELENYRLSVLLRQAQQGCSIQGRFNPDVF >LPERR08G08770.1 pep chromosome:Lperr_V1.4:8:8357890:8360074:-1 gene:LPERR08G08770 transcript:LPERR08G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPAASPPRPPAVHLRANPTLPSDSASPLPELTSALSSGPSTTSPSIFPRALRAAADLRLPGLGLQLHALLAKTGLLLYHPFSASALLHLYATLAPLPRARQLFDRIPKSASPVPWNSMILRYTQDGFLDEAFELMATMAECGVPVGASTWNAVIAGCVRAEEGALAIGLLGEMVSAGGVMPNVATFNTLLHVIAALQGANVLRELHGFVLRNTEIVGFGPVDMDRLHESLAAGYMRSYCVEYADRVFQTVRVSTCHLAILMISGFLNSGQRKEAFDVFREMAFGCGHESQHLPSVSLTMVLPEVDLATKRGLEIQAYAYRHGLECDTSVCNALMAMYAKGGNIYLADTIFQGLDDKDTVSWNTMISSYAAINDYDLSFNLFREMQLNDVRPDEYTFTMVLNACSFACYLKQTMALHGQMIKMGLCDSYIDDMNSLMDTYGKCGSIGDAQKVFDETDRKDVISWNVIISCYCYSASPQQAILLFHQMQDQGCKPTRVTFIAVLAACSHAGLLDEALYYFEEMDRTYNITPDETHYACIVDCLGRAGQLEKAYYLINRMQVVPTACVWGSLLSNCRIHGNIALAEICAKNLIELDPQHSGYWILLKNIYSKATRWNDAAKLQATMKDKGIKKCPGYSWIEVGDSELHSFLTGDQLHKQCDHIYELLGGLTEQMMDEGYEPRLDISSYADS >LPERR08G08780.1 pep chromosome:Lperr_V1.4:8:8363029:8369024:-1 gene:LPERR08G08780 transcript:LPERR08G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLLLCAADCSALAAGRWRSRARPADWPRLAAAGTRAPPLSRTASVRASAAAAPPAARGLPHHASVTGQNSGIYPVGDFMTKREELHVVKPTTTVDEALEMLVEYRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEADIFPEVDSTWKTFCEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVNSSGKLVGIITRGNVVRAALEIKKKLEGNL >LPERR08G08790.1 pep chromosome:Lperr_V1.4:8:8390401:8396652:1 gene:LPERR08G08790 transcript:LPERR08G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALHDTFSVFGNILSCKVATEMSGESKGYGFVQFEQEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPRFNNVYVKNLSESTTEDNLKEIFGEFGPITSVVVMREGDGKSKCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNIKEAADKSQGTNLYLKNLDDSIDDDDKLRELFAEFGIITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMVGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGFGFQQHLIPGMRPGVGPIPNFVMPMVQQGQQPQRPAGRRAGAGGIQQPMPMGHQQMLPRGGRGGYRYASGRGMPDNSFRGVGGLVPSPYEMGRMPLNDAGAAAPQPVPIGALATALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQAAPTDQLAALTVSDGVVS >LPERR08G08800.1 pep chromosome:Lperr_V1.4:8:8398563:8405472:1 gene:LPERR08G08800 transcript:LPERR08G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDISHYYREDMPSWESEGGVGQFLWLPHSMRNGSLANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLHVLNGPALLVDVPRHDNITAKMMESLNIPKGVQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIIPGIYSLHCLPLRLRGAEGSPIRCILIK >LPERR08G08800.2 pep chromosome:Lperr_V1.4:8:8398563:8404942:1 gene:LPERR08G08800 transcript:LPERR08G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDISHYYREDMPSWESEGGVGQFLWLPHSMRNGSLANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLHVLNGPALLVDVPRHDNITAKMMESLNIPKGVQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIIPGIYSLHCLPLRLRGAEGSPIRCILIK >LPERR08G08800.3 pep chromosome:Lperr_V1.4:8:8398442:8399541:1 gene:LPERR08G08800 transcript:LPERR08G08800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLPPRVSPPLLILLTLVLRGAAATPSAHPAYPDDGPASCAAAAEPERREVHGGGMILDISHYYREDMPSWESEGGVGQFLWLPHSMRNGSLANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLHVLNGRYYVNCRWSGYRELMEFLKDFKNAWNYKLLEYNIQLSHFSPAILEKLIADSHQISPIKIGDSNQATTI >LPERR08G08810.1 pep chromosome:Lperr_V1.4:8:8414878:8420003:-1 gene:LPERR08G08810 transcript:LPERR08G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALGRAAAGPPLFLRAARLAARCAAAAPRNKSLLSGPHAAADEPPPPAQPPASKLQPDDPPLPWKAAEAEIVGDVDPVVQLIKDILHSDRYGDGECLSPRDENIIVEKLLAYHPRVDDKIGCGLDAIMVDRHPQFRKSRCLFVVRTDGVWIDFSYQKELLNAMFKRAIRFFSGVMSGMIRLVLLAYQDCSLLFNIRNIQFQYFSANR >LPERR08G08810.2 pep chromosome:Lperr_V1.4:8:8414828:8420003:-1 gene:LPERR08G08810 transcript:LPERR08G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALGRAAAGPPLFLRAARLAARCAAAAPRNKSLLSGPHAAADEPPPPAQPPASKLQPDDPPLPWKAAEAEIVGDVDPVVQLIKDILHSDRYGDGECLSPRDENIIVEKLLAYHPRVDDKIGCGLDAIMGIAKCNVQKGNSILFWGDVWNDKTRAVGLPRLFSFVQHKEHSVSIFLGQSMINLGCLSRRKLVRNIN >LPERR08G08820.1 pep chromosome:Lperr_V1.4:8:8425419:8430608:-1 gene:LPERR08G08820 transcript:LPERR08G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSSSAPAAVPAAVGGGDGGGGGPAAAVSSAVAAASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVWVAGGYYIVTYALGIYILNLLIAFLSPQVDPEVLAEGPALLPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYDGKRVASADDLTLPKD >LPERR08G08840.1 pep chromosome:Lperr_V1.4:8:8442023:8445360:-1 gene:LPERR08G08840 transcript:LPERR08G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASQLIRATAATALSRRGVRPALPIPAVLPAAPKLASSLYYATQAAAAAAAATSARAPRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRQAARVIA >LPERR08G08850.1 pep chromosome:Lperr_V1.4:8:8480296:8486265:1 gene:LPERR08G08850 transcript:LPERR08G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.2 pep chromosome:Lperr_V1.4:8:8480296:8486215:1 gene:LPERR08G08850 transcript:LPERR08G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.3 pep chromosome:Lperr_V1.4:8:8480296:8486265:1 gene:LPERR08G08850 transcript:LPERR08G08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.4 pep chromosome:Lperr_V1.4:8:8480296:8486265:1 gene:LPERR08G08850 transcript:LPERR08G08850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.5 pep chromosome:Lperr_V1.4:8:8480296:8484629:1 gene:LPERR08G08850 transcript:LPERR08G08850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.6 pep chromosome:Lperr_V1.4:8:8480296:8484283:1 gene:LPERR08G08850 transcript:LPERR08G08850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.7 pep chromosome:Lperr_V1.4:8:8480296:8486265:1 gene:LPERR08G08850 transcript:LPERR08G08850.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.8 pep chromosome:Lperr_V1.4:8:8480296:8484629:1 gene:LPERR08G08850 transcript:LPERR08G08850.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08850.9 pep chromosome:Lperr_V1.4:8:8480296:8484283:1 gene:LPERR08G08850 transcript:LPERR08G08850.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGRAGECRRRLGDSGMRSAAPLLSARGRRRRGRAGCAGSSATAGVRLAGGFRRLGDGEVRPAAPLLPARATTSKQRGMSQRPGDGGGVASAQLLPARMAAARSSGMRPAARQPATPLLPAWTAAARSTVMWLVAAATVHQRRRQRPRPR >LPERR08G08860.1 pep chromosome:Lperr_V1.4:8:8480945:8481187:-1 gene:LPERR08G08860 transcript:LPERR08G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVVDLRLTVAGANIHGSVEGADEHLAPTERLSNSLLRISSITSLIRASRRGCSDEVLYSPQSSSPRPPPPLLVNNSL >LPERR08G08870.1 pep chromosome:Lperr_V1.4:8:8506466:8513479:1 gene:LPERR08G08870 transcript:LPERR08G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAWLSLPSRHPTFLPRPRLLRPPCAVASTSTSSRCRTGCQGWRRHRIWAAQAADQDQQGGGVQQQQQQRGDDAVVDTNVLPYCSIDRKDKKTIGEMEQEFLQALQAFYYDKKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRREVKSYKKVQGAVCGVERFFFLDDLTGFEITYLLELWEFVGIRFCIPVDYTPRNS >LPERR08G08880.1 pep chromosome:Lperr_V1.4:8:8542005:8546127:-1 gene:LPERR08G08880 transcript:LPERR08G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPAKIFIGGLSKDTTMGAFKEHFGKYGEIIDAVIMKDRFTQKPRGFGFITFADPAVVDGVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFEEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMVDLNGSQVEIKKAEPKKPSNPPPRSFDSEPRSRPHADGYDGFGSSSNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSSYGAYGGALGGYRGESFLYSSRLSSTYGGSFGGGYGAGSYAGGLGGAYGRDAGGYGGSSYGPSYDSSGAGAGAGFGTGGLYGARGGYASSGGGATGRYHPYARMDVVAYGVQVWTFLLLASRGGRYSGQSPDWCTA >LPERR08G08890.1 pep chromosome:Lperr_V1.4:8:8548833:8549943:-1 gene:LPERR08G08890 transcript:LPERR08G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRNGRRPISLSMPKSLFSIGEETDGNDDHDDRRRRTLGERRRRSSCVSRQAMARGAATTAGSAAATLGAAGSDVSALTTTMTGERQ >LPERR08G08900.1 pep chromosome:Lperr_V1.4:8:8577846:8587034:1 gene:LPERR08G08900 transcript:LPERR08G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQGPLGRLSLSAVRAPFVPDFALFSPTKQASEEAEQLLKTRAQVRVEMSEKQCRIAALEIECTTLKQTLELLHQEIATASSKLNEKRLFYTKTIESLTVKLQEQQDWLGAFKLKVEASQSKQNLLKGQSHGIVNSCESLDKGNVIGSKQGNLRIQLESTKLKIEEMKAKQSALLLEINKSKQTIEQEKNTSYGFPAPLQQMDMKSLEEEHKALQADKAGEVEYFQSLVVSINEMKGASGGVKCRCGLEYKVELGDEAIDLS >LPERR08G08900.2 pep chromosome:Lperr_V1.4:8:8577846:8587034:1 gene:LPERR08G08900 transcript:LPERR08G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEEAEQLLKTRAQVRVEMSEKQCRIAALEIECTTLKQTLELLHQEIATASSKLNEKRLFYTKTIESLTVKLQEQQDWLGAFKLKVEASQSKQNLLKGQSHGIVNSCESLDKGNVIGSKQGNLRIQLESTKLKIEEMKAKQSALLLEINKSKQTIEQEKNTSYGFPAPLQQMDMKSLEEEHKALQADKAGEVEYFQSLVVSINEMKGASGGVKCRCGLEYKVELGDEAIDLS >LPERR08G08900.3 pep chromosome:Lperr_V1.4:8:8577846:8583344:1 gene:LPERR08G08900 transcript:LPERR08G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQGPLGRLSLSAVRAPFVPDFALFSPTKQASEEAEQLLKTRAQVRVEMSEKQCRIAALEIECTTLKQTLELLHQEIATASSKLNEKRLFYTKTIESLTVKLQEQQDWLGAFKLKF >LPERR08G08900.4 pep chromosome:Lperr_V1.4:8:8577846:8583344:1 gene:LPERR08G08900 transcript:LPERR08G08900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEEAEQLLKTRAQVRVEMSEKQCRIAALEIECTTLKQTLELLHQEIATASSKLNEKRLFYTKTIESLTVKLQEQQDWLGAFKLKF >LPERR08G08900.5 pep chromosome:Lperr_V1.4:8:8583257:8587034:1 gene:LPERR08G08900 transcript:LPERR08G08900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAVEASQSKQNLLKGQSHGIVNSCESLDKGNVIGSKQGNLRIQLESTKLKIEEMKAKQSALLLEINKSKQTIEQEKNTSYGFPAPLQQMDMKSLEEEHKALQADKAGEVEYFQSLVVSINEMKGASGGVKCRCGLEYKVELGDEAIDLS >LPERR08G08910.1 pep chromosome:Lperr_V1.4:8:8592027:8596809:1 gene:LPERR08G08910 transcript:LPERR08G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASPSAYRGVSFPSASAARCPSRARFVVTLAGAAPRARPLRCSAKDSIMEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPSVPMKEIEEELGAPRNILEKIIWDKEFEVAEGHARRPLRELIKAAEQAPPTRDFFGALASAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRNSGVKCPLLCKEFVIDKWQIYHARVKGADAILLIAAVLPDLDMKYFLRICKELGMTALIEVHDEREMERVLKISGVQLIGINNRSLETFVVDTSNTKMLLEKHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >LPERR08G08910.2 pep chromosome:Lperr_V1.4:8:8592550:8596809:1 gene:LPERR08G08910 transcript:LPERR08G08910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPSVPMKEIEEELGAPRNILEKIIWDKEFEVAEGHARRPLRELIKAAEQAPPTRDFFGALASAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRNSGVKCPLLCKEFVIDKWQIYHARVKGADAILLIAAVLPDLDMKYFLRICKELGMTALIEVHDEREMERVLKISGVQLIGINNRSLETFVVDTSNTKMLLEKHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >LPERR08G08920.1 pep chromosome:Lperr_V1.4:8:8597295:8599832:1 gene:LPERR08G08920 transcript:LPERR08G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVESKLVAPIKYRRVEKDLDKKVAEALKERAKSKKKTFRSVNSITMGLPRFKDGLRNIRDVFNQYDEDSNGTIDNEELRKCLNKLEVQLLEEEIDNIHRYCDIDNRKGIQFQEFVVFLCLMYLLFGSDVTCRVSEFESARLNYVFDELIDAYLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFRTLPGSPVTPLTWCPCHQEMDLNRNGHVNLKEFLFSIIRWAGLETDDDEAKNETSP >LPERR08G08930.1 pep chromosome:Lperr_V1.4:8:8606051:8614011:1 gene:LPERR08G08930 transcript:LPERR08G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGILLSILRAPPAHTAAASTSTSYFTPLATLSPCRGSSRGRPFLIRLVGAPPPRCVASPDIANVEDSTEGEVALGYTMSQICDKFIEFFMYNKPQTKDWRKVLVFREEWERYRPFFYKHCQGRIDMENDFSMKKKLVVLARKIKKIDDEIEKHMELFTQLRDNPTDINAIVARKRKDFTGEFFQHLNFLVNTYNGLDERDAIARLSSKCLSAIHAYDCTLEQLDIDSAQSKFDDILNSSSLDDACEKIKSLAKTKELDSSLILLINRAWAAAKDSTTLKIEVKDVMYHIYATTKESLKSISPPEMKLLKYLLNIEDPEERFGALAAAFSPGDDHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIQEEYMKKYIHPEEQESEADEDSEE >LPERR08G08940.1 pep chromosome:Lperr_V1.4:8:8614705:8615106:1 gene:LPERR08G08940 transcript:LPERR08G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDLLLRALLLAGAAATAVAAADNATVASGVGATGNNNNPTICSGAGCQPLPIYGYPPPPSSSSQTPPCPPVPVVCCGGGAASGAGQYTPPVGYVPYYNNSASRNHALLAHVAVSYYCYLAAAHLLLLLAV >LPERR08G08950.1 pep chromosome:Lperr_V1.4:8:8619152:8621672:-1 gene:LPERR08G08950 transcript:LPERR08G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVRRVAVVAVVLAAAMAAAEGYNITKILGDHPEYSQFNKLLTETRLAGDINRRRTITVLVVANGDMGALSSGHYTLPTLRHILEMHILVDYYGAKKLHQLARADTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFASLLASNADVYSNINATKDNGLTLFCPVDAAVDAFMPKYKNLTAKGKAAILLYHAVPDYFSLQLLKSNSGKVSTLATASVAKKDYSYDVGNDRDNVLIDTKVNTASVTATVKDADPLAVYAITKFLQPKELYKPKEDLAPAPAPEGPKKKTKKKKPSSATAASPSDDDSSADSPDASPADDVADKAAAAPSVLARWVAAAATAAAALALAA >LPERR08G08960.1 pep chromosome:Lperr_V1.4:8:8654968:8657487:1 gene:LPERR08G08960 transcript:LPERR08G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQMLNAILQVLLIAQMIHGQSDKEVLLELKNFLQAQNPINRGAYISWSESEASPCHWKGVGCDDAGHVIFLDLSNSNIAGPLFSSFSRLTRLTHLNLSSNSITGELQDDIKHCQSLQHLNISNNLIGGFLDLSRLTKLHTLDVSQNRFQGSIDENFPEICSNLTFLSVSSNSFTGRIDKLFDNCPKLKHVDVSWNSFTGMVWPGIERLREFKANNNNLTGRISPGMFTTGCKLHSLNIAINHLYGTFPSSIGNCSNMKFLSLWENSFHGSIPPGIGSIVGLEELVLASNSFNANIPMELTNCTNLKYLDISDNNFGGTVRDVFGKLTGMRSLLLQENNYTGGITSSGILQLPNLIVLDLCYNQLSGDLPSEISSMKNIKVLMLAENDFTGTIPPSYGQLLRLQVLDLSFNSLSGDIPPDIGNLSSLLLLILVGNQLSGEIPREIGNCTSLLWLNLAGNQLEGQIPPEIANIGSNPSPTFMENRKNPELLESITSKCVAVEWLPSSYPEFNFVQSLMMSQKNCQTIWNRLAMGYDVLPISSPLRTALGYVQLSRNLLSGEIPSAIGTMKNFSLLLLDGNRLSGRLPAEIGRLRLVSLNISSNFISGEIPSEIGYMDSLESLDLSSNNFSGALPASLNQLTKLSKFNVSYNSLLSGNVPSTGQLSTFDEQSFLGDPLLSLHVTTGSSSGSSPRELSSSDIEKHPTKEEIMVTTIAFLAFFIVTLLTREFHGFMYLYFIVSRKVVNCMILHNRNAR >LPERR08G08970.1 pep chromosome:Lperr_V1.4:8:8659783:8662611:-1 gene:LPERR08G08970 transcript:LPERR08G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAAAADILRRQRRAPPIPLFLPVLSPPPQPLTPDLFPDGPRPVAPYLAPPLCRRRWPRPPPVSSSSSYVCGGRGILLPSTFSIYSPLSTSASPDGDSSPPPPSSWVDRWVPQAARPYAMLARLDKPIGTWLLAWPCFWSIAMASTPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVRILGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAMKENLDPAIILPLYTAGICWTLDKEDDLKVGVKSTALRFGDSTKHWISGFGAACIGSLALSGYSADLAWPYYPFLAAASVQLAWQISTVHLSDRLDCNRKFESNKWFGALISFY >LPERR08G08970.2 pep chromosome:Lperr_V1.4:8:8659783:8662088:-1 gene:LPERR08G08970 transcript:LPERR08G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVRILGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAMKENLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKHWISGFGAACIGSLALSGYSADLAWPYYPFLAAASVQLAWQISTVHLSDRLDCNRKFESNKWFGALISFY >LPERR08G08980.1 pep chromosome:Lperr_V1.4:8:8669421:8670014:-1 gene:LPERR08G08980 transcript:LPERR08G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAMGRLVVHHPCCMLSKNARTPPPTPPMHHHQGAHYKPLALTTTTAFLLRSVDVSKDDKPTVEEEETTEAAAAAADVSQAAVDPRREEEKFAVLNTGVYECRSCGYRYDQAVGDPAYPVPAGLPFEQLPDDWRCPTCGAAQSFFDSKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLFLFFLFFLSGYFLQ >LPERR08G08990.1 pep chromosome:Lperr_V1.4:8:8677954:8689670:-1 gene:LPERR08G08990 transcript:LPERR08G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALGPPPPPLGSMRAPRCPTAAAAADVSAPPHGYRRGAALSGHRGRHTLCSVQLMDALRGGNLQVEPNTLHSPKPLMSTRRDDSAITCKGFCTISWNLKADVLDGYIIFVTGDPVTLGCWESDMAVQLAPSVESSNLWTAEIKVPYGVHFKYNYFVREDNDSSSDIIWRPGPEYSLSIPSVGRKKHVIVVKDLWMKTSAAGIPSPSWGSWLVEASFLEDQFTESGEHQSIVNAHSAIDMVDQASSLGEHVILKHGNGTPLHVKNISENPSASVHDDFFVSDKANSVKPGISQHERNQLVEEPWILGSVVSAKKSAAAVKHEKNRWKFVNKKHDLSEVSENIPEQDQPVEEPWLFQSKVVAKKSVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKSTSGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLDPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQVVKDAKRDSGIFSDYSDDTLPTYEEDDMTDGELADEENDDDSSVFPAEVVSENEEGMVFLPNSKINMIHSAEFESVSSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRAKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKRLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISESCPCCNGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWSRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDEQKEETTESPPKESGSPKLSVFPIKKWMSRAKRTK >LPERR08G08990.2 pep chromosome:Lperr_V1.4:8:8677954:8689670:-1 gene:LPERR08G08990 transcript:LPERR08G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALGPPPPPLGSMRAPRCPTAAAAADVSAPPHGYRRGAALSGHRGRHTLCSVQLMDALRGGNLQVEPNTLHSPKPLMSTRRDDSAITCKGFCTISWNLKADVLDGYIIFVTGDPVTLGCWESDMAVQLAPSVESSNLWTAEIKVPYGVHFKYNYFVREDNDSSSDIIWRPGPEYSLSIPSVGRKKHVIVVKDLWMKTSAAGIPSPSWGSWLVEASFLEDQFTESGEHQSIVNAHSAIDMVDQASSLGEHVILKHGNGTPLHVKNISENPSASVHDDFFVSDKANSVKPGISQHERNQLVEEPWILGSVVSAKKSAAAVKHEKNRWKFVNKKHDLSEVSENIPEQDQPVEEPWLFQSKVVAKKSVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKSTSGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLDPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQVVKDAKRDSGIFSDYSDDTLPTYEEDDMTDGELADEENDDDSSVFPAEVVSENEEGMVFLPNSKINMIHSAEFESVSSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRAKGQALSVVQDDFNEKVILFDIAESIAYFYLLDLFSSALLSLDLLPFFPQVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKRLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISESCPCCNGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWSRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDEQKEETTESPPKESGSPKLSVFPIKKWMSRAKRTK >LPERR08G08990.3 pep chromosome:Lperr_V1.4:8:8677954:8689670:-1 gene:LPERR08G08990 transcript:LPERR08G08990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALGPPPPPLGSMRAPRCPTAAAAADVSAPPHGYRRGAALSGHRGRHTLCSVQLMDALRGGNLQVEPNTLHSPKPLMSTRRDDSAITCKGFCTISWNLKADVLDGYIIFVTGDPVTLGCWESDMAVQLAPSVESSNLWTAEIKVPYGVHFKYNYFVREDNDSSSDIIWRPGPEYSLSIPSVGRKKHVIVVKDLWMKTSAAGIPSPSWGSWLVEASFLEDQFTESGEHQSIVNAHSAIDMVDQASSLGEHVILKHGNGTPLHVKNISENPSASVHDDFFVSDKANSVKPGISQHERNQLVEEPWILGSVVSAKKSAAAVKHEKNRWKFVNKKHDLSEVSENIPEQDQPVEEPWLFQSKVVAKKSVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKSTSGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLDPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQVVKDAKRDSGIFSDYSDDTLPTYEEDDMTDGELADEENDDDSSVFPAEVVSENEEGMVFLPNSKINMIHSAEFESVSSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRAKGQALSVVQDDFNEKVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKRLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISESCPCCNGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWSRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDEQKEETTESPPKESGSPKLSVFPIKKWMSRAKRTK >LPERR08G09000.1 pep chromosome:Lperr_V1.4:8:8698455:8708576:-1 gene:LPERR08G09000 transcript:LPERR08G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVSRLSPGAAGAAHQMLLETAYNDNLRGFKNLANMLDTERGCLRETVGEARHSGVSGMEGAGVLHLAAINGSMNVCSYLVETVQFDVNDVDMEGRTPLVSAIHSGRIGTVKYLLDHGADKDKASQGGLTPLHSAAGLGHCKMLKLLLAKGACVDPLPDCGTPLQDGTMKILLDHNADYNKMVFGMTPLFVAINHASEKCAKLLVKAGADVNEDYVLTALTDTSSRETQCLHCLLEGFSSSHHVADKGAPVSRSIAELKSLGGMAFQSNNYLQAAGFYSKAMDLNPDDATLQGLLDAHECRKKQPDWPKACYRLGTSLLSLKDYGSACDALLDGLKLDPVDAQIENALRVPIDSKALFDFVNVDMMAICPPWHIAARRHGGRMRPPWLSLYQQIKKTSDETFILTEDDDEKDIGGEEGFAAEDGAEGGRQPETKVGGGGGGRLMGLS >LPERR08G09000.2 pep chromosome:Lperr_V1.4:8:8702120:8708576:-1 gene:LPERR08G09000 transcript:LPERR08G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVSRLSPGAAGAAHQMLLETAYNDNLRGFKNLANMLDTERGCLRETVGEARHSGVSGMEGAGVLHLAAINGSMNVCSYLVETVQFDVNDVDMEGRTPLVSAIHSGRIGTVKYLLDHGADKDKASQGGLTPLHSAAGLGHCKMLKLLLAKGACVDPLPDCGTPLQDGTMKILLDHNADYNKMVFGMTPLFVAINHASEKCAKLLVKAGADVNEDYVLTALTDTSSRETQCLHCLLEGFSSSHHVADKGAPVSRSIAELKSLGGMAFQSNNYLQAAGFYSKAMDLNPDDATLQGLLDAHECRKKQPDWPKACYRLGTSLLSLKDYGSACDALLDGLKLDPVDAQIENALRYPIFSFLYITNAVMLTMCLFIRHMGVF >LPERR08G09010.1 pep chromosome:Lperr_V1.4:8:8715289:8721409:-1 gene:LPERR08G09010 transcript:LPERR08G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQNARRESLRSLPPEKIRSAARCPYPSRSPSPRLLPHSLPSVLSSPQLCSVRRKRAAAASRGWVRKSGVRGGDPAPLPPLLPTSFRASERSSRRGQANPNSPSAILSPPQPSPQQPKRPGGLKSPRNLLIEWRTHQLLDLLWKGAQLLVRAVRPGDMYRGGLDRFKKAQALEPFSVQSGSSAKNAPVAARTAKGPPAPLTLPQNSYARTSQSHPSPQGTSSRVAGQETGVPGHAVGTQVGGGQSVWQPPDWAIEPRPGVYYLEVLKDREVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNAAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSNLSSRSKDSSGDACGDDKQPAGRPLKRSKKQRVSFRDQIGGELIQVVGISDGADVETEPGPVGVKEGNLVGKYESLVTVVPKGKEQPSPKESASPSGVTDKLKQAENVKAADEKRSSGRMDTNSADDNDDLFGIKHQMVLFIRRTAALFGNN >LPERR08G09010.2 pep chromosome:Lperr_V1.4:8:8715289:8721409:-1 gene:LPERR08G09010 transcript:LPERR08G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQNARRESLRSLPPEKIRSAARCPYPSRSPSPRLLPHSLPSVLSSPQLCSVRRKRAAAASRGWVRKSGVRGGDPAPLPPLLPTSFRASERSSRRGQANPNSPSAILSPPQPSPQQPKRPGGLKSPRNLLIEWRTHQLLDLLWKGAQLLVRAVRPGDMYRGGLDRFKKAQALEPFSVQSGSSAKNAPVAARTAKGPPAPLTLPQNSYARTSQSHPSPQGTSSRVAGQETGVPGHAVGTQVGGGQSVWQPPDWAIEPRPGVYYLEVLKDREVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNAAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSNLSSRSKDSSGDACGDDKQPAGRPLKRSKKQRVSFRDQIGGELIQVVGISDGADVETEPGPVGVKEGNLVGKYESLVTVVPKGKEQPSPKESASPSGVTDKLKQVLNKVKSTAKGGIYDDLYGDSVPAQLGSSWAYRSDDQAENVKAADEKRSSGRMDTNSADDNDDLFGIKHQMVLFIRRTAALFGNN >LPERR08G09010.3 pep chromosome:Lperr_V1.4:8:8717227:8720539:-1 gene:LPERR08G09010 transcript:LPERR08G09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQALEPFSVQSGSSAKNAPVAARTAKGPPAPLTLPQNSYARTSQSHPSPQGTSSRVAGQETGVPGHAVGTQVGGGQSVWQPPDWAIEPRPGVYYLEVLKDREVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNAAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSNLSSRSKDSSGDACGDDKQPAGRPLKRSKKQRVSFRDQIGGELIQVVGISDGADVETEPGPVGVKEGNLVGKYESLVTVVPKGKEQPSPKESASPSGVTDKLKQVLNKVKSTAKGGIYDDLYGDSVPAQLGSSWAYRSDDQAENVKAADEKRSSGRMDTNSADDNDDLFGDFFLSPLSPSFLSSSLSAVRLEEPQETYPTALTPERLKHWRCRSTHEMWSMGQPIKLKLKPGAKATLDVKHGTD >LPERR08G09010.4 pep chromosome:Lperr_V1.4:8:8715289:8720539:-1 gene:LPERR08G09010 transcript:LPERR08G09010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQALEPFSVQSGSSAKNAPVAARTAKGPPAPLTLPQNSYARTSQSHPSPQGTSSRVAGQETGVPGHAVGTQVGGGQSVWQPPDWAIEPRPGVYYLEVLKDREVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNAAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSNLSSRSKDSSGDACGDDKQPAGRPLKRSKKQRVSFRDQIGGELIQVVGISDGADVETEPGPVGVKEGNLVGKYESLVTVVPKGKEQPSPKESASPSGVTDKLKQVLNKVKSTAKGGIYDDLYGDSVPAQLGSSWAYRSDDQAENVKAADEKRSSGRMDTNSADDNDDLFGIKHQMVLFIRRTAALFGNN >LPERR08G09010.5 pep chromosome:Lperr_V1.4:8:8715289:8717221:-1 gene:LPERR08G09010 transcript:LPERR08G09010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLAVVEAERGNGGSSPMMLYDKEKRDGREKGDNSLSARGIKHQMVLFIRRTAALFGNN >LPERR08G09020.1 pep chromosome:Lperr_V1.4:8:8730553:8732772:-1 gene:LPERR08G09020 transcript:LPERR08G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSVYFLLKCLYLLKIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >LPERR08G09030.1 pep chromosome:Lperr_V1.4:8:8737721:8742015:1 gene:LPERR08G09030 transcript:LPERR08G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVVAFLAAAVVLSAPRGAEAAVGVNWGTVSAHRMPAPVVVDLLRANRIGRVKLFDADPATLSALAGSGVQVMVGITNEMLAAIAASPPAADAWVAHNVSRYVVGRGGGADIRYIAVGNEPFLTSYQGQFQSYVLPATTNIQQSLLILQGIKLVVPCNADAYQSASVPSQGMFRPDLIQIVTQLTAFLSSTGAPFMVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGLNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFTQGLISHVSSNKGTPLRPGVPPIDVYLFSLLDEGQKSILPGNFERHWGLFSFDGQAKYPLNLGLGNPILKNAKDVPYLPPRWCVANPGQNLENVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLQKQDAKSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSAIYSSSDCSGMRFRLWILAFLVIGCIHIGVYL >LPERR08G09040.1 pep chromosome:Lperr_V1.4:8:8749300:8752609:1 gene:LPERR08G09040 transcript:LPERR08G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASALPASAGAGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLAGLRPRAVVLSGGPHSVHASGAPTFPEGFLQFAVDAGAHVLGVCYGMQLLVQSLGGAVEAGEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFNVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMSTFESDLHLPVTCIDASEQFLSKLKGIKDPEMKRKIIGREFIAVFDDFGHNLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTAGNALEVLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >LPERR08G09050.1 pep chromosome:Lperr_V1.4:8:8753966:8757737:1 gene:LPERR08G09050 transcript:LPERR08G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCWAQTYIVYLGSHAYGPDASTEEHARATESHHELLGSVLGSKQIAKDAMLYSYTKSINGFAAYLEEEVATQIAKHPDVVTVMESKMMKLHTTRSWDFMDMERDGQILPDSIWKHAKFGEDVIIASLDTGVWPESNSFTDDDIVSDVPKRWRGSCPDTAKYGVPCNKKLIGAKYFNKDVLAHDPSAVTGNWTRDTEGHGTHTLSTAGGRFVPSANLFGYANGTAKGGAPRARLATYKVCWSGVCAGADILAGFDSAIHDGADVISVSFGPDQPFNDMDQFLQEASALGSLHAAIRGIAVVCSGGNAGPLEDTVVNSAPWVTTVAASTVDRDFPNVLTLGNSAHMKLVAAFAIKFDDMDHQSQGVSLETTTLHASKLYPLIKASDAGLPGTNPIVASTCPLDTLDPAKVKGKIVVCIRGGDIDRLAKGKAVLNAGGAAMIHANAEMDGDELEADVHVLPATMITYKESLSLFEYMSSTTKPVANISPSKTEMGVKNSPSVATFSSRGPSSTLPYVLKPDIAAPGVDILAAFTEYISPSEIDGDDRRSEYAIMSGTSMAAPHVAGVMALVRGARPDWSPAAMRSAIMTTARTKDNMGQPMRDQHGGGEANAFAIGAGNIHPNRAIDPGLVYDLAPEDYLAFLCALGYSTRDVERMSASKFSCPAKSPPMEDLNYPSIVVPSLRHSATVTRRLKNVGPPGTYRATWRAPFGIEMAVVPATLEFKTAGEVKEFKVTFKSDKDKLGRGYVFGRLIWSDGTHHVRSPVVVNALD >LPERR08G09060.1 pep chromosome:Lperr_V1.4:8:8759902:8760603:1 gene:LPERR08G09060 transcript:LPERR08G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSHEIHGLGPSMGGGGWGLRRRRHDHRRPAGALATCSHPLHEICGLGPSIWGGGWGLLRGVTTAAGQEYRRCAPTRCRIDFDTTMSGEHLPRHQNNKSKISALLI >LPERR08G09070.1 pep chromosome:Lperr_V1.4:8:8776902:8782366:1 gene:LPERR08G09070 transcript:LPERR08G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRSVLLLLLALTVLSPLVLYTHRLSAALNPIRRSDLPGEIANQGRGVKSSKLNALPLESVSFMKEPVGVVFSEESRESASKLTEPDSQEFPSRKAGEHKNRVLSEATAADGVRSKDEGLIDQVTIREGHDDGSMRGSFDQQETTMASQQKSTSEVNSLETVAEQTSVNVLAVNSLEGNKDSQSQTAALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKQMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDRDHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATVEVQNIEEFTWLNSSYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPKLHKIVFLDDDVVVKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNKDRLLWKLGTLPPGLITFWNKTFPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSTYLDYDQPFLRECNINP >LPERR08G09080.1 pep chromosome:Lperr_V1.4:8:8783684:8785236:-1 gene:LPERR08G09080 transcript:LPERR08G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPRLLVVVVAALCAAVASAGNATVGYHGNPTFNVKNYGAKGNGASDDTKALMSAWKAACAAAGAVTLGSLKAATDLKRFGNDWIEFGWVNQLIVSGQNGASIDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNNNLVVQNVMSVNSKFFHFALLQNNNVRMTGVKISAPANSPNTDGIHIERSKGVSITDTTIGTGDDCISIGQGNDNIEVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSLAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTPVAVLLRCGVPCQGVVLQDVDLRYKGSGAASSKCENVKAKYAGFQNPKPCK >LPERR08G09090.1 pep chromosome:Lperr_V1.4:8:8788232:8792832:1 gene:LPERR08G09090 transcript:LPERR08G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFATAGMQMVAARPCMSASQGMLTSRPAVSRIGRALSTSTGFASYPRICYSSPLGSSKHTCVSIRAMSSEGVPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGATISLTYIASERAIPGYGGGMSSAKSALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >LPERR08G09090.2 pep chromosome:Lperr_V1.4:8:8788232:8792871:1 gene:LPERR08G09090 transcript:LPERR08G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFATAGMQMVAARPCMSASQGMLTSRPAVSRIGRALSTSTGFASYPRICYSSPLGSSKHTCVSIRAMSSEGVPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGATISLTYIASERAIPGYGGGMSSAKSALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >LPERR08G09100.1 pep chromosome:Lperr_V1.4:8:8794222:8794653:1 gene:LPERR08G09100 transcript:LPERR08G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKEAAANVGASARAGMDKTRAAVQGQVEKATAHNAADKDAAEVRRQERVQAAEDEKRHAMGANAAAKERATGGPGAYHPSQGAPGVDKAQAQPTGGHVQDGVAESRPVGTVTGTARPSAAHNPRVGSDFQQARGTSGQYQ >LPERR08G09110.1 pep chromosome:Lperr_V1.4:8:8799286:8800104:-1 gene:LPERR08G09110 transcript:LPERR08G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIDFGTYPIVTSSSPSAGGICTGLGIAPRSISDLIGVVGKRLHNQGRLWSIPTELLDKTSDLLRASGMEFGTTTGRPRHCGWLDIVALKYCCQINDFSSLNLTKLDVLTGLKEIKLGISYCTEYDKEIESFPLNLDLLEKIKVTYESTMSNMRFYQDERMISLLDLPDTARMHVERIEELIGIPVHYIGVGPGRDALRYK >LPERR08G09120.1 pep chromosome:Lperr_V1.4:8:8800876:8804002:-1 gene:LPERR08G09120 transcript:LPERR08G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLGLGPRTISESNYEPAASLSVLPAAAGARPPAPCAVRVVAASVATAAAEESASAQGRLESLSQVAGVLGTQWGDEGKGKLVFVGTEGVRLIDSSTVGNKLRNHLSLAIHCLWKDFSENS >LPERR08G09120.2 pep chromosome:Lperr_V1.4:8:8800876:8804002:-1 gene:LPERR08G09120 transcript:LPERR08G09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding LRLGLGPRTISESNYEPAASLSVLPAAAGARPPAPCAVRVVAASVATAAAEESASAQGRLESLSQVAGVLGTQWGDEGKGKLVFVGTEGVRLIDSSTVDFSENS >LPERR08G09130.1 pep chromosome:Lperr_V1.4:8:8804179:8805243:1 gene:LPERR08G09130 transcript:LPERR08G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGLTPGYKFQPSDEQLVQHFLLPYLREQPVPLLGAAVVRDDPRSAPPWELFARNGRGDEDDAYFLAPADGGGRQSRALAGGRGKWITQRLERTAELVLAGSGSVVFEKHRLNFHAGEGRCGSTGWVMHEFAVVKPAMLGARHRACHIAFTGHGQKRQRVPNSVGGGEASTAASPPSSTCTAITQHVPVNDQQISTQDYASQYKEERYNEPEPKRMKLERDCCKQQHYLPPPAPVQINQDQQCHDCDQQGCFLPEQGNQEQKYCYNEGHFLPQLIDQEQANYDAQHHLALAAGALPQHGEHMTTSQLRNDHGDGTAAVPQSGGDEDMALELTLTQEDWVSLFGEDFFPVR >LPERR08G09140.1 pep chromosome:Lperr_V1.4:8:8814188:8821446:1 gene:LPERR08G09140 transcript:LPERR08G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYNNQVDSSGTDDDLPPPYQNRGGRGSGRVSGNGRDIVSAAPYNRTQPQTDMETQIHQLEQDAYCHVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNKVNGDDIIQRIREWRESKGGLQADMVNNAQRSHDRMPSPTTSARKRQKTSQSIPSASVPAQSPAVHSQTLTAPMQPLSSAAKKVAPPGTKGKKTKPGQKIPGGSAVKTMSSAGPSGRGPIMNKNPSGGLPAEPISVNPLIGRKVMTRWPDDNSFYEATITDYDPKLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGAALHGGKKSSTRNGPMSGAGRGSRVPLKNTSRKDFPPSQNGVGKKSSECIEILHTETLIKEVERVFSASNPDPLEMEKAKKVLKISSQEHEQSLIDAIARLAEASDGESDERAQPLQHNRGWRNHHGGNYANDMTIDGHMVGDTDAL >LPERR08G09150.1 pep chromosome:Lperr_V1.4:8:8824184:8825124:-1 gene:LPERR08G09150 transcript:LPERR08G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSNSGPLADPTAAARGGPSTLRIQRRRTRDGWLAGARRRLPDPRLSITLGSLCYSRHCTPPEGVDPFDRTEERSCRGARVRYRRQRVRRLVARQAPPLPRLHRSRHQRVPEAAGERSINSAAVQADMLDYGTLTSAFAGCEGVFHSATPVPEHKTKEMLAPAVKGTRNVLEALLGSESEQQVQVQDDWSAY >LPERR08G09160.1 pep chromosome:Lperr_V1.4:8:8827958:8828260:1 gene:LPERR08G09160 transcript:LPERR08G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIVVPATFPNLEYLEFEAHYKDDHGKAAELTVARFLQWCPAIRELRLKLWVTDEEGRVHPWIRRERHIIHETRSTMNSFAQDVLVNIDVDLTDMTKS >LPERR08G09180.1 pep chromosome:Lperr_V1.4:8:8873220:8876475:1 gene:LPERR08G09180 transcript:LPERR08G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILWCLSSKCLEKLVQLLQEEVVMTLSVSREIRKVRESLKYFDSVREDADARAIEHRATGIWWGDVKDVMYDIDDILDLLRANWQKQRCCDFCMFSRLAQLPFDHMIAKRIKDVNERLVQIKRNRDMFDSPEMNRLTLQLNGVSKSRCVAASIEELDIVGREIKEATENMVQMIVGHGHHRNISVYGILGMEGIGKTTLAQKIYNDRRIRERFHQVLIWLSVSQSISEIDLLKEAIEKAGGQSNHSKSKDQLLRALLDSVSGKSVFLVLDNMMTSDIWIDLLRSPMERTVNAYMSLLPQEVVTSYRKEDEINVFSDIGLQIVRKCDGLPLAIKALAGVLSSKTTKEEWVSILESNWRLEGLPNEIQGSLYLSYNDLSPQLKSCFVWCALLPQNFNIYRDVTYWWIAEGFVKKEGNRPIQDVAEDYYLELIRRNLLQARPEYIDKGISTMHDLLRLLGQYLTRDEALFMNKENDERPTNHRGLAIGNAVEEIPGLEKQKRLRPLVLVGAGLQIVPESVGYLVLLRLLDVSYNEIKKLPESIGNLPSLECLSVFGCTKLVSLPASFMKLTKISFLQIGDTGLAQIPKGIGNFQQMDNLRSVFQNGTTGFEMDELGTLSKIRRLRVIKLEQATPPAAPVLCNKNHLKELGLCCTMEPVRRTQYQDSEMKKIEMIYSILHPPPSLIYIFLDGFPCGTFPEWLSSEPQDTLPNLAHMHFNHCISCPKLPPAGQLPVLQVLHVKGADAVVSIDNDLLGKGIVFPTHITVFPKLELLEIFDMYNWQTWSLGTESLSEGITATTSRPVYLMPCLKRLLLINCPKLRNLPEDLHRIVNLRRVHIEGAHSLQEIIHHPGVEWLKVKNNRNLRRISNLCKLHLLLAQDCPQLQQAEDLSSLKILYMVDCPMEKTFWMCFSKEQQRVLTHVITTGAYGQDIYPLESAFS >LPERR08G09190.1 pep chromosome:Lperr_V1.4:8:8878442:8883434:-1 gene:LPERR08G09190 transcript:LPERR08G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSHRLPRPNITCPPLGRATSTSATSIGLSFFPICSPPSSGSCSLQRASSAAGGADGGGAGEGEPVTSGATSLDADLLRRVSGAADAGEALDIVDELAGCTGGLDASDCNAIVVAALDRGNVQLALSVFEAMRSGFARAGTWRWARPDLQTYALLVQRLAAALRVSDALRIIDYVSRAGVSSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIVSCSKCRYQYELFSGDITSIESEEVSMDISALDKALRFINVVKDGLPAAVHSIVIRTPSGTARTHKFATHTVELPAQEGERVTISLAAPSNVYRQMGPLKISARSQGFRSGEPMSLTNHINKQVSRLLRAPSKNEGPFVFNPYLLFGALALLASGDATSAFIDPSLPRLITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIALRQQLLSQYDMLQIRLKDLKQLAEKEVWMLARMSQLESKILAVGEPSYRARRGRVKRVRESLESTLLAKIELMEGYAKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLSSDSSETFSTEHV >LPERR08G09190.2 pep chromosome:Lperr_V1.4:8:8878316:8883434:-1 gene:LPERR08G09190 transcript:LPERR08G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSHRLPRPNITCPPLGRATSTSATSIGLSFFPICSPPSSGSCSLQRASSAAGGADGGGAGEGEPVTSGATSLDADLLRRVSGAADAGEALDIVDELAGCTGGLDASDCNAIVVAALDRGNVQLALSVFEAMRSGFARAGTWRWARPDLQTYALLVQRLAAALRVSDALRIIDYVSRAGVSSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIVSCSKCRYQYELFSGDITSIESEEVSMDISALDKALRFINVVKDGLPAAVHSIVIRTPSGTARTHKFATHTVELPAQEGERVTISLAAPSNVYRQMGPLKISARSQGFRSGEPMSLTNHINKQVSRLLRAPSKNEGPFVFNPYLLFGALALLASGDATSAFIDPSLPRLITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIALRQQLLSQYDMLQIRLKDLKQLAEKELCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLSSDSSETFSTEHNRTVINCEMR >LPERR08G09190.3 pep chromosome:Lperr_V1.4:8:8878442:8883434:-1 gene:LPERR08G09190 transcript:LPERR08G09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSHRLPRPNITCPPLGRATSTSATSIGLSFFPICSPPSSGSCSLQRASSAAGGADGGGAGEGEPVTSGATSLDADLLRRVSGAADAGEALDIVDELAGCTGGLDASDCNAIVVAALDRGNVQLALSVFEAMRSGFARAGTWRWARPDLQTYALLVQRLAAALRVSDALRIIDYVSRAGVSSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIVSCSKCRYQYELFSGDITSIESEEVSMDISALDKALRFINVVKDGLPAAVHSIVIRTPSGTARTHKFATHTVELPAQEGERVTISLAAPSNVYRQMGPLKISARSQGFRSGEPMSLTNHINKQVSRLLRAPSKNEGPFVFNPYLLFGALALLASGDATSAFIDPSLPRLITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIALRQQLLSQYDMLQIRLKDLKQLAEKELIGVMLEMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLSSDSSETFSTEHV >LPERR08G09190.4 pep chromosome:Lperr_V1.4:8:8878442:8883434:-1 gene:LPERR08G09190 transcript:LPERR08G09190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSHRLPRPNITCPPLGRATSTSATSIGLSFFPICSPPSSGSCSLQRASSAAGGADGGGAGEGEPVTSGATSLDADLLRRVSGAADAGEALDIVDELAGCTGGLDASDCNAIVVAALDRGNVQLALSVFEAMRSGFARAGTWRWARPDLQTYALLVQRLAAALRVSDALRIIDYVSRAGVSSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIVSCSKCRYQYELFSGDITSIESEEVSMDISALDKALRFINVVKDGLPAAVHSIVIRTPSGTARTHKFATHTVELPAQEGERVTISLAAPSNVYRQMGPLKISARSQGFRSGEPMSLTNHINKQVSRLLRAPSKNEGPFVFNPYLLFGALALLASGDATSAFIDPSLPRLITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIALRQQLLSQYDMLQIRLKDLKQLAEKELCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLSSDSSETFSTEHV >LPERR08G09190.5 pep chromosome:Lperr_V1.4:8:8878442:8883434:-1 gene:LPERR08G09190 transcript:LPERR08G09190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSHRLPRPNITCPPLGRATSTSATSIGLSFFPICSPPSSGSCSLQRASSAAGGADGGGAGEGEPVTSGATSLDADLLRRVSGAADAGEALDIVDELAGCTGGLDASDCNAIVVAALDRGNVQLALSVFEAMRSGFARAGTWRWARPDLQTYALLVQRLAAALRVSDALRIIDYVSRAGVSSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIVSCSKCRYQYELFSGDITSIESEEVSMDISALDKALRFINVVKDGLPAAVHSIVIRTPSGTARTHKFATHTVELPAQEGERVTISLAAPSNVYRQMGPLKISARSQGFRSGEPMSLTNHINKQVSRLLRAPSKNEGPFVFNPYLLFGALALLASGDATSAFIDPSLPRLITATAFASAAVGTTLNQVILPEIRKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLSSDSSETFSTEHV >LPERR08G09200.1 pep chromosome:Lperr_V1.4:8:8887867:8900190:1 gene:LPERR08G09200 transcript:LPERR08G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEILHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLETSLPKEISEVSVTDGIANVKVDGEFKVLITLGYRGHFSLWRILHMELLVGEKTGPIKFEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNALRQGRWKDAIKSELISDIHSGAGQGGNNALMQLGQDGELDSSGSRIPGLKISYWLEEKSALAESDSSPFIKIEAGQDMKIKCQHSSFVLDPFTDKEAGLLIDPSRIDVEALILKAIACNRHTRLLEIQRELIKNVQMSQSPTEVILKREVHGEGFQKKVRIGGSDDCCTNEMLQVRAYGQSYIQLGINIRNGRFLLQSPGNILPPSAVSDSEEALNKGSATATDVFVSLRTRSILHLFAATASSLGLKVYSQSQVTLKIPKSILYGSDFMVMGFPQCANAYYLLMQLDNSFKPAFCLLEIQSNEGDKNNADATTDAKDTIRFIRIDINKLKIDEDVQIANFFDKDKLLALQNAEDRPPRKSGTDEPLPSRPSFSSVVDEVFVCDRGSPTTESQGFPFSSHSSYQVGLHGVRGGAGSPDQDYGSLQSNINSANGTSGVSMNNYLQSNSKHVQSTIAFSGSVPAGSGNISSSHSDGPSRKRSLSEFLPNIPSLKQYKIGDGPSKRRKVSEFMLDGLPLRASPNMQPGKILTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNISYIEEVGVQTASSNLWLRLPFAHDASWKHICLRLGKAGSMSWDVRINDPHYGALWKLYGGSTTTGWGSGVRVANTSEVDSHISFDGDGILLTYDTVEADSIQRLVLDLQRLSNARAFSCGMRRLIGVKLDDKIVKTLTAAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEVASFLDCIRLTAGPLLALCGAIRPAKMPVTVPSSYTALPKQNNILTTVGPANNSSSSTVPNMSVPQGAAVAHSNSHLQTSSMLSAAGRTTPGPPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDLRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNAFEPNLLNARHPGPQLNASFNTASGSQQSAPTTPNRFGGAPGVARPTSSVGNQVATSTSRAGNAMMASGFASGIPGAPAHLSPGNLGLGDDGSYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRIQLLLQVLNVKRFHHQQQQQQQTPQSNGQEELTPSDISEICDYFSRRVASEPYDASRVASFITLLTLPTSVLREFIKLIAWKKSFTQAHGDNGTTQRARIELCLEKHPRLVSDDYTASSSSSKSNIHHDRANSSVEFALTFVLDQGLVPHMSISGGAAWLPYCVSVRLRYTFGDNSHIVFLAMDGSHSGRACWLQNEDWERCKQKVARAVETMNGSAAIGDMSQGRLRMVAEMVQKQLQLSLLQLRDGPLSNGSAAS >LPERR08G09210.1 pep chromosome:Lperr_V1.4:8:8901026:8905603:-1 gene:LPERR08G09210 transcript:LPERR08G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTPAKAKASPVRVRPQLPASAATAAAAEGTQLQLQQLHTTPPPPPPPPAASACEGGDGFSKSAAKKRGMQKLLKSAFKRGDNHARASGGGSVSGHGHAADEDAAAAAQDLSRSSSSSTGGSSGRKGRKVGGDSSVDGDHSSRDSLELQESKNVKGAAAALRNAKLSHSYEAFPWERKMRDLLPVASASGFLSLLLLPRATDESQTKFHSLEDTLARAEAWIMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMSSLGDLANMATVSLYGFEDYHGVDIGVVRAIRLWYAPFAGEMAMEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASKLLVVSRVGGEKVLPWATAASGDVRCFDTVSLSQRLSLHRHALRPVTLHFLMWDRLPLAAVLNNNPRPTTVQMVIVQGDGGGERAAAAVGVGGEDDDADEVAFDGDGPEIVLSKDDSDDRSFRFQNIGLPDSWL >LPERR08G09220.1 pep chromosome:Lperr_V1.4:8:8921078:8921908:-1 gene:LPERR08G09220 transcript:LPERR08G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDSKNEGEDFVNEVVSIGRTSHINVVTLLGFCLHGSKRALIYDYMPNGSLDKYIIGGSDTMQGEKSLSWEKLYDILVGIAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGVARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILQMVGARENINANTESGSNYFPEWLYDNLNQFCGVANEGFISSNTSTSEIAHKLVTIGFWCIQSAPVDRPSMSEVIDMFDKSMSELQLPPRTSCCGNDNPNIVESLQ >LPERR08G09230.1 pep chromosome:Lperr_V1.4:8:8921960:8925021:-1 gene:LPERR08G09230 transcript:LPERR08G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNISIQHSAVSSKLRAKQSAMGRHELLNLFLCCATIHTTTAAALSFDYDFSTADATNLVFMGDSSYARGDRISLTKLGNWSTGRVAHRQLVRLWDGPFTTSFTTAFSFAIGRNSTDQADGMAFYVGPPTDTLPADTTGGFLGLIPNATAAASPRTVGVEFDTCRNAWDPQGNVIDHVGVDVNSIASRNVRHRVAGAEPRRRHAYDAGSRMMAVSLAVNGTEYSVEAEVDLRAAGLPQDAAVGFSAATGNLVESHQLLSWSFNSTADGSSSSAGRPPVVSESKMKRTKTYIIASTSSILGISLLAFAVFLAWKKQKWFLQQRWRSTNAPRIASLLRSQIKSYTYSEVRKMTKSFAYTLGKGG >LPERR08G09240.1 pep chromosome:Lperr_V1.4:8:8930732:8931128:-1 gene:LPERR08G09240 transcript:LPERR08G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEQILIRIPPDDPASLIRAAYVCKGWHRLTTGAGFRRRLREFHKTPPMLGFLCNFRLIDGNFMPGTVDDTFTTRFFPTSSYFPIPPPRDGRFVPSYSIQQRSAVAGGRSMPTMDASSSTA >LPERR08G09250.1 pep chromosome:Lperr_V1.4:8:8937125:8939046:1 gene:LPERR08G09250 transcript:LPERR08G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMTGGGGSVPKAKLDDDQEAAEREPWLLAATASGGHAPERETKGKEQWRRVLFIGCLVTLPLIAFFILGRESASAVFQIASAKLTSFNGASGLTTNASQCHHDGVSKKKVVDELLGGLLPPNMDRRTCRSRYESSQYYKHFPYAASPYLLSKLRAYEARHRRCAPGTPLYAKAIDQLRSNPNRSVESTECRYIVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPQDSSDLFCEPFPGATWLLPPEFHGVVGLDLFRLGPSPDISYTSLVASNRIKNATVATPAYVYLSLGYQMTDGLFFCGDDQRELAKVNWLLLYSDLYFVPSMYAVAEFNDEFRRMFPGEFKESACHLLARYLLHPTNAVWGMVTRYYDTYLAGSDRRIGVQIRMFGFGSIPVNDMYNQILACSRQEHVFPETTDDDDDQDVATTPNSNNNNSTAVAILIASLYPDYYERIRATYYEHAAKGGVRVGVFQPTHEERQATQMLFHNQKALAEIYLLGFCDELVTSGMSTFGYVGSSLAGVRPAILLPAHGHKVPATPCRRAVSMEPCNLTPPRVEMECRGKAVDKEDLARHVKVCEDYDKGVKFFD >LPERR08G09260.1 pep chromosome:Lperr_V1.4:8:8939885:8949989:-1 gene:LPERR08G09260 transcript:LPERR08G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFASSAETRSFATDIYNKVPRKASGISDYQKQEREAAKLVKKQNTYKLLADEEDNDAETLTSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETTKQDFGRNVKRRTEDMDDEDGGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEIIRRSQAVDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGESVNQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKNRKRSSDDYQYVFEDGIDFVKSSVIEGTQDEDSDDEGADEKDMLKRELQDERKTLPIYKFRDELLKAVEEFQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWRETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVSTNASDLDAIKKAITSGFFHHSARLQKNGSYRTVKNPQTVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQLKDVDDSGTKKLPKGQGRAAL >LPERR08G09270.1 pep chromosome:Lperr_V1.4:8:8972452:8976485:1 gene:LPERR08G09270 transcript:LPERR08G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHHNRRSQLHHHLLLSLLYCATLRAAAALSFDYDFSQPGDATNLKFMGDSYDAGDRINLTTLHGKWSTGSSSVSGTGRFTTAFSFAIGRNSTDQADGMAFYVGMPRDTLPPDSPGAFFGLFPNSFYGYGSPRTVGVEFDTFINAMWDPVGKGSTDHIGIDVNNITSRNYTMLPTLSLSGVMRAVVRYDAASTTMAVTLRTLDGANYSVEAVVDLRAAGLPQEAAVGFSAGTGDLVESHQLLSWSFNSTVFLVYKKHKCFFQWKSTTSLKIQSLLRSQLKSYSYSQVRKITNSFAHTLGKGGYGTVYKGSLSDGSPIAVKMLDDSKDDGDDFINEVASIGRTSHINVVTLLGFCLHGSKRALIYEYMPNGSLDKYDVHWSDTMNGDKYLNWEKLYDILVGIAQGLDYLHRWCNHHLVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGCARGTVGYMAPEVFWGHHGGVTTKSDVYSYGMLILQMVGARENINARTESVSNYFPEWLYDNLNQFCGVAREGISESTSASEIARKLVIIGFWCIQSTPTDRPSMCEVIDMFDKSLTELQLPPRISCCGNYNQSIGQSLQL >LPERR08G09280.1 pep chromosome:Lperr_V1.4:8:8978955:8979548:1 gene:LPERR08G09280 transcript:LPERR08G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSLTLVVSLLLVAAMASTAVAQSSSCASYTFSSNQQYGSCAALPRLGATLHYNYTAATNTVAVAFRAPQTGKGWVAWGINPTGSGMVGTQAVVAFHGSKGSLVAYPTVLDSYAPSMATAAAKDLALPITDVSAEENAKAKEVVVYATVALPAGKGSKFNHVWQQGGSVSGDVPAAHPTSGDNVLSVGTIDFSK >LPERR08G09290.1 pep chromosome:Lperr_V1.4:8:8987798:8988049:-1 gene:LPERR08G09290 transcript:LPERR08G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGETVTVGRVANNEFDQADETFAGFCLCLKAMAGAIDKIDEADERLAAFLLYLQAMEGVKDKIDQADERLAVFCLYLQAS >LPERR08G09300.1 pep chromosome:Lperr_V1.4:8:8997900:8998481:1 gene:LPERR08G09300 transcript:LPERR08G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLVVSLLLVAAMATTAKSASSESCASYTFSSNQQYGSCAALPHLGTTLHYNYTAATNTVAVAFRAPQTAKGWVAWGINPTGSGMVGTQAVVAFHGSNGSLIAYPTVLDSYAPSMAPAADAAPITGVSAEENAKAKEVVVYATVALPAGKGSKFNHVWQQGGSVSGDVPAAHPTSGDNVLSVGTIDFSK >LPERR08G09310.1 pep chromosome:Lperr_V1.4:8:9015873:9029886:1 gene:LPERR08G09310 transcript:LPERR08G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGDDDDELPQLKGVNKYYCKDHNDDFVCFSILPFWFDEKHEVPNSELIGAEKIHIYGSDREGQHVCKRVIAWRVELDCKMPKMSVLSSEGNWIRLLEPHIGYAEDFARSVLITIQMIHFVRRHPAEDERTLSNHLCEVFWRFVTKPPEVDDLQKNYPLIIYLLENDPTLMKSKILKRLVEDTWTIIEVNARGLSIPSGKMAENLFVKLFVTLLVKKCLLSFAQTANISNTNVSNVESLVLRMRQILRYFNVVTHLVGTSTIPTVWQDCLNLLMLIKLVNGRNILRLECHLHVQYIGALNVTKWRTGPKGNCGLREISFEKKDVTTRAWEIPKEDPKIIFIYCMDHDINATIKTPHGDHIKFPDPSIEKAKDHARKKIKVTDVRNTDEVSPEPAELSAKSCREEGDQTHEVPIDNSMEHKYLKHECATNNLRMDLQYESPIVGVAAAGIISPKAIKRQEKQLGTSVLMGKTAKSSPWVVNSVTEKRLASIAGKEGYPGACADTVFQSTHHAHFPRHTNAKSLECYQSLNRDEDIPVIGIEMMISPKVGRKKKRNAKLISSVKSIDEVSTMKSLMNEFLDRSYEILSELASQLEERKQERDNLFVTRATSLEMGTTSERTEKLMTLIEEKENKTAVEGDKQINKLVKCESSFELIHRKFHEVKELKVEVIDSMKIKETRSLRWKAIMVIRSALSDMTLVWAKLSQVLVGLGEEAMLDPEAHSLVQKCQFVVDQTGRVLV >LPERR08G09310.2 pep chromosome:Lperr_V1.4:8:9015873:9035236:1 gene:LPERR08G09310 transcript:LPERR08G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGDDDDELPQLKGVNKYYCKDHNDDFVCFSILPFWFDEKHEVPNSELIGAEKIHIYGSDREGQHVCKRVIAWRVELDCKMPKMSVLSSEGNWIRLLEPHIGYAEDFARSVLITIQMIHFVRRHPAEDERTLSNHLCEVFWRFVTKPPEVDDLQKNYPLIIYLLENDPTLMKSKILKRLVEDTWTIIEVNARGLSIPSGKMAENLFVKLFVTLLVKKCLLSFAQTANISNTNVSNVESLVLRMRQILRYFNVVTHLVGTSTIPTVWQDCLNLLMLIKLVNGRNILRLECHLHVQYIGALNVTKWRTGPKGNCGLREISFEKKDVTTRAWEIPKEDPKIIFIYCMDHDINATIKTPHGDHIKFPDPSIEKAKDHARKKIKVTDVRNTDEVSPEPAELSAKSCREEGDQTHEVPIDNSMEHKYLKHECATNNLRMDLQYESPIVGVAAAGIISPKAIKRQEKQLGTSVLMGKTAKSSPWVVNSVTEKRLASIAGKEGYPGACADTVFQPLVVIEFAAQ >LPERR08G09320.1 pep chromosome:Lperr_V1.4:8:9040647:9042644:1 gene:LPERR08G09320 transcript:LPERR08G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAGRTSTADAGRTSATPSSRRTTACTWPLPGGTPLTLGELLKGKAHEGVRVVILLWDRVIWKGRKLIDGVPETLDLLRSKRLVFVTNNSTKSRKQYRKKFETLGLNVNEEEIFASPFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQYLGGPSNGDKKIELKPGFYMEHDKDFNEVEAGQGPSKLI >LPERR08G09330.1 pep chromosome:Lperr_V1.4:8:9052371:9067746:1 gene:LPERR08G09330 transcript:LPERR08G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSIPTLRAPLSANMFDDDDDVEPPVTYVDDYYFEESENEPVCFSVLPIKFDENEGRSDCKEVDLRGHTDNNLRIVFKKVVAWRVELDCHQPKISVLSSVGNWIELLKPRNSYYEKKARSILSTVQMLHFVRKWPRKQKKSLFYHLNEVFCKFGTRPNEDDIRRNHHLIKRFMERDPIVMKSKVVRSFIEDAAMKATESTPRRASTKERFIVSDESLASTDDSDDSDYGSDENSDDNADNNTDEYTDTDGNTIDDGTDIICAICDDGGRLLGCEGRCKRSFHPRKKDGIHPINKDGTHPTKKDGRKYNCKTLCFTSAQLKLKESGTYLCVNCEYNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPKDTDGACKLEERIADGMPFTCPVHWCFKCGKMEDRTQEELQFAVCRRCPRSYHIACLPRHHGLDATIGTPRGEHIKFPSVPKKFCSVPKSKTIKNLAKEDVEVTGKRKKKANQFSTKSTELANVSPRGESKQIQRTARNSSSEDITLKNGCAVKRLKKDLQFELPMAEVAASLRGRKTVEGKEEQPGNLSSLVMGIVSKSASGVVDDETEKRVTSTAEKETTAGTSQDMATKGVLRQPSRIEAEGMFECFVQIADKLHWYVKSGDTIVNLCFNIDNFSQLMKEKLEDVCKRCNFKNCDLFHSKKDLCFEENWLTMQPQDLPHGSNLVMVLDLPLDIQAVSANKLVDKVLTLKPSLIMIVSPTDIERLNCKEEPYHLIWEDNEHIFGKPLCQPSGVDVNGEAKNGWHVIPLSLSLWGCLGNAEENRRIAGKHGHLNVGRKTICANEEHSVMLKNPPVDNGAKWDSGIFTAGKEDSNEREQTSEHYAGKQLRIPNGDSLHANQQQNDLQSFYKEKHANGGGGRNKSRSEKETDTYQGENACGHYSVARQETEISNRQNIKMKDRHDGNTRSGKEKIPRDISNKGTLKPDLADGLPPEKHVEVAFVHKTTTNRADSQHNCIYNVSVDVNGSHASQHEPKSPHCNDNTKGTENTSGDNSRKTREQREVTDAKRLDLDGERKVVHMKIRRDAHHKDDRTAHPQVHSDPQRIDNHISDVLDCSLRSDVNRPLHNDDQRAEEASVCNSRKSKKAKNSSRHQLQVERRKDPDRSNDYSSGWGRMDENYPTANNQRKHEQFHHGDYSNNGKFDRMRRSSHQPALRPANSDVNRSHSPYAHPARHRSPSYPRRPGRSEHAVANYETDCRAVPHRGSSPDTEYDVTGYNTKDDGYATGSGYGYHAMGTLPVDRYHHPEHNYSAYQPQTNFGEEQPAAIYGRHGNDYERRPYYHRRKSFDWEQSSARGTVTDRYAPQLEYTNHQIPGQGGLHDDYFQHSRNRFYTNMHRPYN >LPERR08G09340.1 pep chromosome:Lperr_V1.4:8:9070973:9083423:1 gene:LPERR08G09340 transcript:LPERR08G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDDMEPQLRAVESYYFVDDNDEPVSFAVLPFQFDAAEGVASFKKDVYLRGITDCGLQRVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPIYEQIIRSVLITVEMLHFVRKRPMDSEKNLWDHLCGVFEKCEVRPSLDDFMNHQNLIKLFAQRDSDLANSEVLQLFIKDKIMENTIEVGSNNLDIKQEADTKQEVDTKQEVDIKQEVDTKQEVDIKQEVDIKQEVHTKQEVVAADDEMEEMVEEGIADVANNDDDDDAEEEDVGLFDSVCAICDNGGELLCCEGPCMRSFHAKIKDGEESYCATLGYTKAQVKALQNFVCKNCEHKQHQCFSCRELGPSDGENAKVFLCNNATCGHFYHPKCVARLLHPNSMDEASELEKKIMVGFSFTCPVHWCFCCKGLEDRTQEPLQFAVCRRCPKSYHRKCLPREISFEDIDAEGIITRAWELSKRILIYCLDHEIDLDIGTPSRDHIKFPNVEKSTSSVKKKVKELAEKKRRIFDDSHASEPLQKRAKLNEKFNAKGGRDKKSGVKSEFVEHVLESEKKKAKYLKVATQPEESLLDCAAAVANNINQPVKELEKELGTSAQAMGKIPHSSFPIVDSETEKRVSALVEKEVSSLTLADICRRFAIPSTYVSSGQQIDKIIVRGKLERSIQAVQAALQKLENGGAINDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIVEKLHCYVQPGDMIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKRQPYDLVWEDEQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKAKVLSHTEEEMACCFEDQATQNHDNKQNSVSGKGKEACMDGNKNFAAAKLVQADIFPPEKLVEVAYEETKFASNRSGMHQADQIVHARNAHSDLTISQYNSVKAKEVSNSSRDRLKSDNTGHDVKAEHNSNNHEPSISSRSGYTLERLGYHDNCFDHPVGEYLTSSLQMPTFEEHYFRPVNEYGVASVENNFACSTDNGGAVSRLYGPDLEELTGLYAGDPTGNAYGSVSGGTGRTFYKRRNNEDYTKQGSKSAQMNPVPGREMENSRYDGYNRVEFPQGATNTPSMDIRTQIRMYGGHIRDDHTQTTMNPANDIRSQIRMYGRYNGSDHQHAGRYSSRSPDARFDQPSLTSYGMPSMGSAGRSMIDKYSPSIDETNFRTGQRGPYRADSRSDRHPDNLNFASHKQYPYPHPDSSGGCFD >LPERR08G09350.1 pep chromosome:Lperr_V1.4:8:9094865:9097856:-1 gene:LPERR08G09350 transcript:LPERR08G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQVRDVEDDFNPRRRRTLWNTPAASMPTTTHTIRYSTPRKGHGNAHHLSVKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGGEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHPSLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >LPERR08G09360.1 pep chromosome:Lperr_V1.4:8:9104107:9109684:1 gene:LPERR08G09360 transcript:LPERR08G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINVCQFEHSKVDECSCFFDDGFPDIVFGYGFSNKYSFVFQVKTESYQRQERYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKRKLIQVEGSAGVGDSKIPEESGVMS >LPERR08G09360.2 pep chromosome:Lperr_V1.4:8:9104107:9109684:1 gene:LPERR08G09360 transcript:LPERR08G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINVCQFEHSKVDECSCFFDDGFPDIVFGYGFSNKYSFVFQVKTESYQRQERYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKRKLIQVEGSAGVGDSKIPEESGIEQFSKETEEL >LPERR08G09360.3 pep chromosome:Lperr_V1.4:8:9104107:9109649:1 gene:LPERR08G09360 transcript:LPERR08G09360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINVCQFEHSKVDECSCFFDDGFPDIVFGYGFSNKYSFVFQVKTESYQRQERYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKVEGSAGVGDSKIPEESGVMS >LPERR08G09360.4 pep chromosome:Lperr_V1.4:8:9104107:9109337:1 gene:LPERR08G09360 transcript:LPERR08G09360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINVCQFEHSKVDECSCFFDDGFPDIVFGYGFSNKYSFVFQVKTESYQRQERYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKRKLIQVEGSAGVGDSKIPEESGVMS >LPERR08G09360.5 pep chromosome:Lperr_V1.4:8:9104107:9109684:1 gene:LPERR08G09360 transcript:LPERR08G09360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINLNPFIMYFKFHLFVYDEVKRYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKRKLIQVEGSAGVGDSKIPEESGIEQFSKETEEL >LPERR08G09360.6 pep chromosome:Lperr_V1.4:8:9104107:9109337:1 gene:LPERR08G09360 transcript:LPERR08G09360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIGPLGGPQPISRLEPAPPHSLVSSSSFPPNLAHRHVAARFPTIRRTLRPSSTTASLLALPLALPQQSQPAFLLLVVVVEGLRRMANSEYEYILQKIHTFEKTNDESALRLMNACATSMLEKFPDIVFAYGVSDEYRKLNSLKGEKVKSGKTEKEAQQALKDKNELLSQQFQINYDDEPNMFQKGSYIYYDKDLSFGKITSTFFEKKFDNNHRHPPCNWAVIRINLNPFIMYFKFHLFVYDEVKRYFPQQGLGATTLLRQNFYVSQNKRWFVIICHRDKQNGTLSKDKNELLFQQFGLNYNNEPAMLRKGSCAYRQKRKLIQVEGSAGVGDSKIPEESGVMS >LPERR08G09370.1 pep chromosome:Lperr_V1.4:8:9111948:9114878:-1 gene:LPERR08G09370 transcript:LPERR08G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVRLLKAEMERLLDFLRAVNNSRGSAQVQEFAWIRQIRDATYDASNTIGEAEYIRRRNRLKKGLLGAISRYSQLPSDLVKLHNVYVQCKRVRRRISKIFQSLNSFVNLGIGAIRVGRTEEEFEPDHGPVCQPFEDDIVVGFQIEFNEIVEKLLEKQENNLSVISIVGMGGAGKTTLARKVCSSPIIKEHFGTILWVKGIDLISKIMKQIMEPGNESREINQKEYKMRTKIHDFLLKKRYLVVLDDVWQTNAWDKINDVVKVFPDVNNSSRVLLTTRKEDVANHIQMPTHVYDLQFLDDEKSWELFRNKALPSYKRSMVHDLDVFEDLGRKLAKKCNGLPLALTVLGGYLSKNLSVEAWKDIIEGCALTEEGEMMGKILARSYNDLPNHYLKSCFLYFSAFPEDHMMCVPDLIGLWISEGFIPETRTYSLEETARKYVTELAQRNLVQVVHRSRVHGCIERIQIHDIFLHGWCIKEARDGFLDVINKTAGKAGESSSITLKAYRTSFQNFYDHEILQETPNLQTLLGFSLPSMSLMPDVKFLRVLHIENSSLKDFSRKIAGYIHLRCLRLRRCEHVVLPSSISQLIFLQTVDLRDTQLDLGVPYSMWDIPSLKHVYLESQFSAPWNRRKKGIQTLSLNIPRYSNCFQSDFAKLLRQMAQLTTLHLDMRDIPEDIIKTLSKMPRLVELYLDRFDRIDKLPDSQHFSQNLRDLVLIAAHLRQDPMPVLEKLPNLMVLRIQGYDGGESIYCSTDGFPRLQELELKLFSIIKWRIKVRAMPRLSYLTLRCCQEMPVLPLDFLNLPSLKELKLDGMPQITSDQTTYRELERKGCKVMKCF >LPERR08G09380.1 pep chromosome:Lperr_V1.4:8:9134448:9135002:-1 gene:LPERR08G09380 transcript:LPERR08G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLVWMASLVLICFTSYVFQPFTDTNRRLPPGPRPLPLIGNLLDIAGGELPHRSLTRLARGHGPLMTVRLGTAGVTIVASSPATIREVLRTHNGSLAGRRPPDVWRGAGDDDNSVVVLPQRRKWRRIGAEHLLSPGLLDGGRRLRTLLHDAILRLVGRVSEVAAGAPVEVGRIVFAALADLQ >LPERR08G09390.1 pep chromosome:Lperr_V1.4:8:9147576:9148160:1 gene:LPERR08G09390 transcript:LPERR08G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSDLARATSPSSATVAAVEIDPQMSAHVSARASCLGLAHKLAVTTGDMMAVDFPDFDVCVSSISDGILLPLTAKLLFGDCCSLRPFRSTALVVQWQFALRLIGEPGNGEHSLLAANARLVADVWVVMDVDRTDFVPVPIVDSSLVEIVPRISRPKEFTEGVDVDVDEDIGLFFVVFQKRYLVETQKLSIK >LPERR08G09400.1 pep chromosome:Lperr_V1.4:8:9154844:9155973:1 gene:LPERR08G09400 transcript:LPERR08G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLFGAGTETTSTTTEWAISLLLNHPETLKKAQAEIDASVGNCRLITAHDVPNLPYLNCILKETLRLYPGTPMLLPHESSADCKCKVGGYNVPRGTMLFVNAYAVHRDPVAWGPDAGDFWPERFGDGRCDGLLLMPFGMGRRQCPGETLARRTVGLVLGTLIQCFDWERVGGVEVDMSEGSGISMPKAVPLEAICRPRAAMDERQRMENDVDGGDGEKKSMTSVLLPLQKN >LPERR08G09410.1 pep chromosome:Lperr_V1.4:8:9176222:9178443:1 gene:LPERR08G09410 transcript:LPERR08G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVERSKNQWVSHVEQLLDAAGGGGDTSEEARQWRKQSVYRVPGYIKNGSSYGPQMVSLGPLHHGAAELLPAEAHKERALLHLLRRGGADGRRLRLGALVASMEEVVDELQEAYECLDAAWRRDDRDAFVKMMVLDGCFLLEVMRTAELNGREASDDYAVDDPVFSRHGELYVFPYVRRDMLMIENQLPLLVLQRILAFVHATDSATDDAINNMVLRFVTMIPDPPAMSGGGSLALHPLDVCHRSLLHGRSPPSHAGRREEFVPSATELDQAGVTFRPSRTCSLRDISFHRGELRIPRLPIDDTTEHKLFSLMAFEKLHASAVAGGNEVTAYVFFMDNVIKSADDARLLGGVVSNGLGSDKAVAEMYNRLANEAVLDGRSALHDVHWEVNAYRERRWNQWRASLVKNHAANPWAIISLVVAFVLLVLTVLQTVYTVLPYYQDQAASSSAGIAGQLHPEL >LPERR08G09420.1 pep chromosome:Lperr_V1.4:8:9181131:9182630:-1 gene:LPERR08G09420 transcript:LPERR08G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHRKGKAAAPWVTAVERELKQDGAADPAVEEARWRKHSVYRVPAHIKKAASSSPYEPQLVSLGPFHHGRVDLQPMEEHKRRALLHLLRRTGRRTAGDLAAAVEAVAETLENAYMDLDADTWRGGGGGGRDRFVEVMVTDGCFLLEVMRTAELNGDDYAANDPVFSRHGELYVFPYIRRDMLVMENQVPLLVLQRLVAVIRGPDKASDDAINDMVLRFVSMTPDPPAISGGGLALHPIDVCHRSLLHAASPLIPIIQKGREDDFVPSATELEQAGVRFSRSPTHSLRDISFRRGTLYIPLLAVDDTTEHKLFSLMAYERLHPGAEANEVTAYVFFMDSVIKSADDVRLLSGAGGVISNGLGSDKAVARMFNRLAKNAVLDSRSPLRRVQGQVNDHRENAWNEWRASLIRNHAGNPWAIVSLLAAVFLLFLTVVQTVYTVLPYYQQQSPSPMQTQMGWGNVGLRDEL >LPERR08G09430.1 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKGGGYMFEFDNVTNRDLSRDSVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDSELQKLHRKLVKGNILQEKEFWATRKNILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.2 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKGGGYMFEFDNVTNRDLSRDSVGEEQLSPEEILRRVKLLQEDSELQKLHRKLVKGNILQEKEFWATRKNILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.3 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKGGGYMFEFDNVTNRDLSRDSVGEEQLSPEEILRRVKLLQEDRSSWLENILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAYLDFVPKKHMSEKDFWTKYFRAEYLLRIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.4 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKVDGSKPAPPLLNLSKDSDKGWTILPCTISCFGGGYMFEFDNVTNRDLSRDSVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDSELQKLHRKLVKGNILQEKEFWATRKNILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.5 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKGGGYMFEFDNVTNRDLSRDSVGEEQLSPEEILRRVKLLQEDRSSWLENILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.6 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKVDGSKPAPPLLNLSKDSDKGWTILPCTISCFGGGYMFEFDNVTNRDLSRDSVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDRSSWLENILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.7 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNVDFQSIKGRKFNKVDGSKPAPPLLNLSKDSDKGWTILPCTISCFGGGYMFEFDNVTNRDLSRDSVGEEQLSPEEILRRVKLLQEDRSSWLENILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.8 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNGGGYMFEFDNVTNRDLSRDSVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDRSSWLENILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09430.9 pep chromosome:Lperr_V1.4:8:9187206:9198481:-1 gene:LPERR08G09430 transcript:LPERR08G09430.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTIGAKYKTTLRDPGTPGVHRMNEERFTFTPNDPLSTMKLNGGGYMFEFDNVTNRDLSRDSVARVLGKQQGMVPPKPNVLPENSVTTTGEEQLSPEEILRRVKLLQEDSELQKLHRKLVKGNILQEKEFWATRKNILDDEANKVSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAYLDFVPKKHMSEKDFWTKYFRAEYLLRIKNTVAAAAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKEKIDNDDVELTDTKTMAEALARSKKVKVARMTEIEDLQAPQTLPYAPLCIKVAPMMEGMLVIADFVQMMHLIIYWAISSIKANGLNYPVVQSDVALKVQRLKDAMARIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >LPERR08G09440.1 pep chromosome:Lperr_V1.4:8:9260799:9262975:1 gene:LPERR08G09440 transcript:LPERR08G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQHILDAQDSTIHFDSDEDESMPKSVLSMGKSVRGKRGRPQKSAQESSMSMITPEGNNTASLTHPLPIVDRSGPNHPRDTIEDVLMTFGALKRRIMQLKDVKGASKQHIFKALRFMEKAGYHVNRDKRVGEVPGVEIGDMFYFKMEMLLVGLHSNINGGIESMSFAFAGKEEKIATCIVSSGLYENDDDDPYTLIYNGQGKVHQKLQGESTCVCVVNEIDNEDAPRNFTYSIKLDIGSHLVSVGTMHRCECTSSCPRGEDGCSCSKKNGSYLPYTTTGILVCRMPVIYECSNLCACNTYCPNRVVQRGCHLHFEIFKTTTRGWGLRSWDPIPAGAFICEYAGVLIDRHGLIEEDEYIFEVTGFEHNLKWNYIPELLGEPSLCDMNNTFKKLPMVISAKHKGNNLLTIMVKVMVQGVERQKFVSVSHTAAKVLLDNKQLQKKTAQQTLFPEVYFILVLFMSIRV >LPERR08G09450.1 pep chromosome:Lperr_V1.4:8:9271920:9272462:-1 gene:LPERR08G09450 transcript:LPERR08G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPFVVHCPPRANQLRRRLLVDRDDLPSKPRPVKSLARVNPRLPLRLRPPLVIDRFLCIRTNPSPPVVDPRRRHRLDPVDVIQPQGEHH >LPERR08G09460.1 pep chromosome:Lperr_V1.4:8:9291099:9291686:-1 gene:LPERR08G09460 transcript:LPERR08G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSPSSSSMRSATVSFLLLPLLLLAATAAATPAITTGEGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGADARSYRDLAAVAVGLLSTNATATSSKIDALLRDPATGVATAGRLRSCRALYGGVARRQPGCAAAVRGGRLGEARASLEQSAAAARACEDGFRNAKATSPVAKEDDDAFKLAKLGVALLGFA >LPERR08G09470.1 pep chromosome:Lperr_V1.4:8:9319789:9321135:1 gene:LPERR08G09470 transcript:LPERR08G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSGVRNSVPAQQGTMYYGTSYEANNSQSTASSHYNGSAKSTSTGRMPAHQYSGSKPDQYYYNSISSSQAHKLGGGGAKSSKGMTTKKYPPLKG >LPERR08G09480.1 pep chromosome:Lperr_V1.4:8:9328455:9332682:1 gene:LPERR08G09480 transcript:LPERR08G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAAAAAAAAXXXXXXXXXXXGAGSRGNNLVLFKWTPMNGANGNGGGGDGDQPQAKAAAAAEEEEEKVTKRRRYVPVSVVEEERQESGKSDDENKVDEPSSAETEPSNGKTDINDTPMDESQDEARDSGKNGGGTDLNLNLGLTDGENEVDTGEEQDEENNTHTENRLKRKSVAPDLEMRM >LPERR08G09490.1 pep chromosome:Lperr_V1.4:8:9333319:9337718:-1 gene:LPERR08G09490 transcript:LPERR08G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLARISRQGVASSVAAARRHPDSVAALFGESAGRHLAAPSSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKDANKTAEDGVNKSTQSETDATNETINASGTENASQADTEDLDLSKEDLTKLVLEKEELLKSKDEEIKDMKDRVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNDSGEAVKLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAIVKVGYMLHDRVLRPAEVGVTEGGPVEEEPEEKSSKSD >LPERR08G09490.2 pep chromosome:Lperr_V1.4:8:9333319:9337718:-1 gene:LPERR08G09490 transcript:LPERR08G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLARISRQGVASSVAAARRHPDSVAALFGESAGRHLAAPSSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKDANKTAEDGVNKSTQSETDATNETINASGTENASQAGSDDSMPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEIKDMKDRVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNDSGEAVKLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAIVKVGYMLHDRVLRPAEVGVTEGGPVEEEPEEKSSKSD >LPERR08G09500.1 pep chromosome:Lperr_V1.4:8:9342724:9350955:-1 gene:LPERR08G09500 transcript:LPERR08G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSARELEDDEPTLALRAAAPLLRHSQPEPAPDGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPPSYIRARARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFIGPIPVILQLDSAMEALQYLSVNYAVVQAVYSYWRSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSFQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVQLGRIQMRYKHEAQLIDDGIALSGLQQVGSSEDDYADSDDTASEQPYVRPVVFRPRFPDNKLPAVPPLRLKRERELKRRPHQNGWLFKRVPEVRDPEEPVMLFTRPVDLDKLKMAGIRPPIDPPVDSGTTTPQFRCQGRIGRGGRIIFDRWNPLLQVPIGQEANHRPSLPEG >LPERR08G09500.2 pep chromosome:Lperr_V1.4:8:9342724:9350955:-1 gene:LPERR08G09500 transcript:LPERR08G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSARELEDDEPTLALRAAAPLLRHSQPEPAPDGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPPSYIRARARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFIGPIPVILQLDSAMEALQYLSVNYAVVQAVYSYWRSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSFQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVQLGRIQMRYKQVGSSEDDYADSDDTASEQPYVRPVVFRPRFPDNKLPAVPPLRLKRERELKRRPHQNGWLFKRVPEVRDPEEPVMLFTRPVDLDKLKMAGIRPPIDPPVDSGTTTPQFRCQGRIGRGGRIIFDRWNPLLQVPIGQEANHRPSLPEG >LPERR08G09510.1 pep chromosome:Lperr_V1.4:8:9360469:9363979:1 gene:LPERR08G09510 transcript:LPERR08G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDPASATPAAAFLAMPGWSPGPTIPEGVESFANGEVRLVRHGRGIVEEDDLDLRWEAATGERVDEVVLLSRHTAVSNRPALTVHPIGVPHLREGLDVPPQGGRPGWAALPNPRIGPWLRLLRRIAADRGLVPEFEITLEGTHHGPVTNTPTLNGQKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNGADVGGMWKHSMKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRVGKPNDFL >LPERR08G09520.1 pep chromosome:Lperr_V1.4:8:9365657:9367172:-1 gene:LPERR08G09520 transcript:LPERR08G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLKPSVKPPTPKPKSAGTSKSRLVDAQRYILTIKNKFRNHSPEKYHEFLNIFKDFSMERIGTDTVTARVKILFRGYPDLLDGFNKYMPRSMKIII >LPERR08G09530.1 pep chromosome:Lperr_V1.4:8:9414112:9415379:1 gene:LPERR08G09530 transcript:LPERR08G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITLLPVTAELSLLFLPNNKRSILPNQNQSFVDNYAKNLSSQACSQNGQKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNGADVGGMWKHSMKVSYEATKAAFPGGEIIAHIDQK >LPERR08G09540.1 pep chromosome:Lperr_V1.4:8:9427064:9428618:-1 gene:LPERR08G09540 transcript:LPERR08G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLKPSVKPPTPKPKSAGTSKSRLVDAQRYILTIKNKFRNHCPEKYHEFLNIFKDFSMERIGTDTVIARVKILFRGYPDLLHGFNKYMPRSTKIII >LPERR08G09550.1 pep chromosome:Lperr_V1.4:8:9445640:9451223:1 gene:LPERR08G09550 transcript:LPERR08G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYVKERCGWSMSFLNPLFRASSSSSSDHSHSLARILLSCLTGGDRLRRANPAVHARAVVAGGLDDLFLTNLLLRGYSKLGRLRDARHLFDRMPHRNLVSWGSAISMYAQHGRDDCAVSLFAAFRKGSCEVPNEFLLASVLRGCTQSKAVSFGEQVHGIAVKLNLDANVYVGTTLINLYAKVGRMDDAMLVFHALPAKTPVTWNTLITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRTATETDTSVINVLIDLYCKCSRLSEARRLFDCMEYRNLVSWTTMISGYMQNSFDAESITMFWNMSQAGWQPDGFACTSILNSCGSLAAIWQGKQIHAHVIKTDLEADEYVKNALIDMYAKCEHLTEARAVFDALASDDTISYNAMIEGYAKHGDLAEAMNIFRRMIYCSLKPNLLTFVSLLGASSSQLAIDLSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKAVFNMLHYRDMVIWNSMIFGHAQNEQGEEAVKLFNQLLLSGMAPNEFTFVALVTVASTLTSMFHGLQFHAQIIKAGVNNDSHVSNALIDMYAKCGYIKEGRMLFELTCMKDVICWNSMITTYAQHGHAEEALQVFQLMGEAEVEPNYVTFVGVLSACAHAGLVDEGLYHFNSMKSNYDIEPGIEHYASVVNLFGRAGKLHAAKEFIERMPIKPAAAVWRSLLSSCHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYAEKGLWADVHNLRQQMDSAGTMKETGCSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSMIKSLGYVPDTYDHTWLCEIG >LPERR08G09550.2 pep chromosome:Lperr_V1.4:8:9445640:9449682:1 gene:LPERR08G09550 transcript:LPERR08G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYVKERCGWSMSFLNPLFRASSSSSSDHSHSLARILLSCLTGGDRLRRANPAVHARAVVAGGLDDLFLTNLLLRGYSKLGRLRDARHLFDRMPHRNLVSWGSAISMYAQHGRDDCAVSLFAAFRKGSCEVPNEFLLASVLRGCTQSKAVSFGEQVHGIAVKLNLDANVYVGTTLINLYAKVGRMDDAMLVFHALPAKTPVTWNTLITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRTATETDTSVINVLIDLYCKCSRLSEARRLFDCMEYRNLVSWTTMISGYMQNSFDAESITMFWNMSQAGWQPDGFACTSILNSCGSLAAIWQGKQIHAHVIKTDLEADEYVKNALIDMYAKCEHLTEARAVFDALASDDTISYNAMIEGYAKHGDLAEAMNIFRRMIYCSLKPNLLTFVSLLGASSSQLAIDLSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKAVFNMLHYRDMVIWNSMIFGHAQNEQGEEAVKLFNQLLLSGMAPNEFTFVALVTVASTLTSMFHGLQFHAQIIKAGVNNDSHVSNALIDMYAKCGYIKEGRMLFELTCMKDVICWNSMITTYAQHGHAEEALQVFQLMGEAEVEPNYVTFVGVLSACAHAGLVDEGLYHFNSMKSNYDIEPGIEHYASVVNLFGRAGKLHAAKEFIERMPIKPAAAVWRSLLSSCHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYAEKGLWADVHNLRQQMDSAGTMKETGCSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSMIKSLGYVPDTYDHTWLCEIG >LPERR08G09560.1 pep chromosome:Lperr_V1.4:8:9455068:9457880:-1 gene:LPERR08G09560 transcript:LPERR08G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVRSLYISNVPLSNPPSNGSKYPIGAWPWDMIGNCRDGPYTLAKRRNKLVAIVCDVQVFLMGLDEDILSTCAAFCLPISGNYHLSVSADCSGVGCCQATIPWESPSTCSRFAGSMACGAATKLDAERLGSYATNTVALTALPAVVEWVISNSTCRSNVTSPECHKAHNFCQNSTAFGVGHRCRFKQSSNSQRWLDSLACGTCYSLRNSIKIVLQREIDDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYGFISNGTLYNHLHVEGPISLSWDDRLRIVLEVARALSPQLICQYIIEILNFGASRYILVDQRGITTGIQGTIGYLDPLYYYIGRLTDKSDVFSFGVLVIELLTRNKPFIYRSDDGDGLVSHFSSLFTEGKLVDIVDPQVMEETNVDVQQVAALAVMCTKLEGDDRPTMREVEMTLENLRAMNNGSYPVLRAYSGIKQAIYHGRGNNVICEVSSVTMF >LPERR08G09570.1 pep chromosome:Lperr_V1.4:8:9460611:9467536:1 gene:LPERR08G09570 transcript:LPERR08G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLVSSVTVLRLRAALRRRPKNIVRMAWSQSARKPIIGLLFRAQQHAARGYSYSVFQTHLMSGHVEQNVSFLRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVTCNWDIDTAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDDKRAVVVELNCETDFVARNDVFQYLASSLAKLALSAKDPDALVFPFGPEYLENLSVNLDHPKLSGETTVQSAVTEVAAMVGENVKFRRGFMLSTTAHGVVSSYLHSCPQPGLGRLAGLITLEAEDNNAPLDALKRVGSSIAMHIVAAKPLFVSKELVSAAAVENERDILRTQAESSGKSQMAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTIGNFVRMEVGEGVERPDEALGSEATANAA >LPERR08G09580.1 pep chromosome:Lperr_V1.4:8:9491939:9499879:1 gene:LPERR08G09580 transcript:LPERR08G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRSESMEGVFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFTFQQELFSRNLLHDKHNDYHMLLRFLKARKFDNEKAIQMWAEMLQWRKEFGTDTILEDFNFEELDEMQIRARDSAAYYSCDDHFVVVDKAVDYGRGGAMPEKSKAAEVPQATEAISPQATETVQ >LPERR08G09590.1 pep chromosome:Lperr_V1.4:8:9511443:9515072:-1 gene:LPERR08G09590 transcript:LPERR08G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVAAPASLLVAALLLHLARAIAGAGDEAAALVAFKAASVAADPRGALAGWAKNSTSPCAWAGVTCAGGRVRALDLSGRSLSGRLRLDALLALSSLARLDFHGNAFHGDLSSPPPPPTPPTPCALVDVDISSNTFNGTLPRAFLASCGGLRSLNLSRNSLTGGGFPFPPSLRKLDFSRNQLSDAGLLNYSLTGCHGIQYLNLSANQFTGILPELASCSGVSVLDLSWNLMSGVLPPRFVAMAPPNLTHLSIAGNNFSADISRYEFGGCANLTLLDWSYNRLSSRGLPLSLTNCRRLETLDLSGNKFLSGPIPVFLIELQALRRLSLAGNQFSGEISDKLSLLCRTLVELDMSSNQLIGILPAKFGQCRFLQVLDLGNNQLSGDFVATVITNISSLRVLRLPFNNFTGTNPLPALASSCPLLEVIELGSNEFDGEIMPDLCSSLPSLRKLLLPNNYINGTLPPSLGNCANLESIDLSFNLLVGQIPQEILFLPKLVDLVMWANNFSGEIPNKFCSNSTTLETLVISYNSFTGSIPQSITRCVNLIWVSLAGNNLTGSVPSGFGNLQNLAILELNKNSLSGKVPAELGNCSNLIWLDLNSNDFSGEIPPQLAAQAGLITGATVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLANFPAVHLCSSTRIYTGMTVYTFTENGSMIFLDLSYNNLTGTIPASFGNMTYLEVLNLGHNELTGSIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGIPLNPCVHNASSGGLQQTSYGHRKFARQTVFLAVTLSVLILFSLLIIHYKLWKVHKSKTKEIEAGYTESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFADLHEATNGFSAETLIGSGGFGEVYKAKLKDGNVVAVKKLMHFTGQGDREFTAEMETIGKVKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDFVLHDKAEANVNLNWAARKKIAVGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPIEFGDNNLVGWVKQMVEEDRCSEIYDPTLMATTSGELELYQFLKIACHCLDDQPNRRPTMIQVMTMFKELQIDSGSNFLDDLSLNSTNMEESSEKSV >LPERR08G09600.1 pep chromosome:Lperr_V1.4:8:9521272:9531192:-1 gene:LPERR08G09600 transcript:LPERR08G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASPHPAAAFAFAAGHPLRHRPSAASDRDANSQRWRWERIRACSYGSSLRIRHLPGRLYGDVSKNNLTPVTVEQAEASAQLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGELWSAQMLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYIESEKRLEKWFARRPADTIIATGFIATTPEDIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFDISAPGTMICQQPANESDDLEACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRNMVLSDTGIDLTQWKEQLKTEAEQADLNKFVHHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARELKDAEAAGEVLRYVGVVDVVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >LPERR08G09600.2 pep chromosome:Lperr_V1.4:8:9521272:9531192:-1 gene:LPERR08G09600 transcript:LPERR08G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASPHPAAAFAFAAGHPLRHRPSAASDRDANSQRWRWERIRACSYGSSLRIRHLPGRLYGDVSKNNLTPVTVEQAEASAQLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGELWSAQMLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYIESEKRLEKWFARRPADTIIATGFIATTPEDIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFDISAPGTMICQQPANESDDLEACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQWKEQLKTEAEQADLNKFVHHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARELKDAEAAGEVLRYVGVVDVVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >LPERR08G09610.1 pep chromosome:Lperr_V1.4:8:9537367:9543802:1 gene:LPERR08G09610 transcript:LPERR08G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLDAAGLVSAILRAVRTARRNRRECRLLARRVVMVGDLLQQLLPPDSERRCGGRRALDGLGARRAPAGASQESGAVRGFITAGRQAEQFREVQSEINDYMLLFPVVSHIDLTRRLDLIYGMLLPPPPPPPGSQPLQQEITATEVIPFESNQAITELFEFGQSQVAGMFGRATGQRAEVEMALLVASALFTSVSSQYERELNLLTKLQHMNIIKLLGHCTGESELILLYEYMPNGSLDKFIHGPNREVLFDWSLRFQIIQGIAEGLQYLHTGYIAPEYLRQGILSTKVDVYAYGVILLEIITARRSTELLDAPLRNEDRIVEITRCIQIAWLCVQTDPADRPSMLDVLAMLRGEKNVPAPKKPGDLLPEGETSGEILPESETSGEIAHWFVSSGGTCSSSEFTVPR >LPERR08G09610.2 pep chromosome:Lperr_V1.4:8:9537367:9543802:1 gene:LPERR08G09610 transcript:LPERR08G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLDAAGLVSAILRAVRTARRNRRECRLLARRVVMVGDLLQQLLPPDSERRCGGRRALDGLGARRAPAGASQESGAVRGFITAGRQAEQFREVQSEINDYMLLFPVVSHIDLTRRLDLIYGMLLPPPPPPPGSQPLQQCVTIRHEEITATEVIPFESNQAITELFEFGQSQVAGMFGRATGQRAEVEMALLVASALFTSVSSQYERELNLLTKLQHMNIIKLLGHCTGESELILLYEYMPNGSLDKFIHGPNREVLFDWSLRFQIIQGIAEGLQYLHTGYIAPEYLRQGILSTKVDVYAYGVILLEIITARRSTELLDAPLRNEDRIVEITRCIQIAWLCVQTDPADRPSMLDVLAMLRGEKNVPAPKKPGDLLPEGETSGEILPESETSGEIAHWFVSSGGTCSSSEFTVPR >LPERR08G09620.1 pep chromosome:Lperr_V1.4:8:9546850:9553155:1 gene:LPERR08G09620 transcript:LPERR08G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPIQVIQLTDLKQDNNWLSPTKERRGPPLPYLFPFPLRLRSNRRRRRRAAARAKPPRTPPRSGGGLPAPRADLASAAAMGSIESFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQPVTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADPSRNSQGPYNGTPMPYLGETKVEVALSGNGVKDEGAESGTNGNALKVLPPWMIKQGMTLTKEQRGETSNSSNPDEKSEVKDEKKQDSKEDEKSIHDEYIKAYYEALKKRQEEEEAKRKIQQEGETFASASHSERQVGMKSKRDDDDDGIEWEEEQPAGNTAETYKLADLNVEAQESGDEEDDIDWEEG >LPERR08G09630.1 pep chromosome:Lperr_V1.4:8:9553173:9555018:1 gene:LPERR08G09630 transcript:LPERR08G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGCRAPAVRGGIIAVVVFAAAVMGGASVAAVGQTWQSVPPPPPLDTYEVWMVNRMERDMDFDCDGGRPNLFRLPAHGGDWNISYVTALNRPSFNLFSSSVSCIWSYAGNYMSSVVTWDEKNWPEKKACKTGSGGGCQLLFENKEMVLVATPSGSRRVLGDLPVKECSTHWYGRLLPWGAGCSYPKHDHPYVGTVHSTWSAAAMGEMIGH >LPERR08G09640.1 pep chromosome:Lperr_V1.4:8:9563956:9566987:-1 gene:LPERR08G09640 transcript:LPERR08G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRLLTCDGAMVKVNSKRGKERESSRYPSRIPIPNHPASPPIHRVASPTNKATSAAGDELPFLPRRPTRGQYKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFPDQKDDVASLEG >LPERR08G09650.1 pep chromosome:Lperr_V1.4:8:9576142:9576672:1 gene:LPERR08G09650 transcript:LPERR08G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVALVILAITVVALSSAVHADVPGTCKAAVGIDNRINKDLCVSVLGSYRHSEDEDTWGLAKIAAIFGIRNAKNTIVDIKGLLAKPGTDAKMKAALGQCQELYSSICFSFAKAHTNIEERDYAHGKAMVQEAITLAHQCNNVFVKIAIPPPLVHHSWYLVQMAIICTAITNLIK >LPERR08G09660.1 pep chromosome:Lperr_V1.4:8:9592285:9597006:1 gene:LPERR08G09660 transcript:LPERR08G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLAAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLEVSRPYPASDADIRRFHSDDYVAFLASAAGNPSLLDARAIKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNHGDADIAVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRCIMKKVMEIYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSAKDLENIKIMILEHLSEIEHVPSTQFHDRPSDPEAPEERPPQRSRLWSGGAYESDTEDPDHMKIETNDLSASSVMKVLLLCRHILK >LPERR08G09660.2 pep chromosome:Lperr_V1.4:8:9592285:9597006:1 gene:LPERR08G09660 transcript:LPERR08G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLAAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLEVSRPYPASDADIRRFHSDDYVAFLASAAGNPSLLDARAIKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNHGDADIAVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDLGAGEGKHYALNVPLSDGIDDDTFRDLFQCIMKKVMEIYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSAKDLENIKIMILEHLSEIEHVPSTQFHDRPSDPEAPEEEEEDMDKRPPQRSRLWSGGAYESDTEDPDHMKIETNDLSASSVMKVLLLCRHILK >LPERR08G09670.1 pep chromosome:Lperr_V1.4:8:9621545:9623775:-1 gene:LPERR08G09670 transcript:LPERR08G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVEEERQESGKSDDENKVDGEPSSAETEASNGKTNINDTPMDESQASDDARDSGKNGGGSGTDLNLNLGLTDGNNEVDTGEEQDEENNTHTENRLKRKFVAPDLEMRM >LPERR08G09680.1 pep chromosome:Lperr_V1.4:8:9635209:9640717:1 gene:LPERR08G09680 transcript:LPERR08G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSAAGAGAALLTTSNRAPLLLLPRKPFPTTTARIAVAFDATAIAGVPLLRSAFSSWPGARAPARRRGCALICRDSSLQGPQGADPEAEEEEDKKKAEAVAAAAAARIAAAAAGGGGGGGSLGDWTTSVLLFGIWAGLMYYVFQLAPNQTPYRDTYFLQKLCNLKGDDGFRMNDVLVSLWYIMGLWPLVYSMLLLPTGRSSKGKIPVWPFLILSCFGGVYALIPYFVLWKPPPPPIDEEQIGQWPLKFLESKLTAGVTFSVGLGLIVYAAKAGGEDWQEFIRYFRESKLEKWLLALACGTDPFCGTFVVSFAATITLFSAGSNRTIRR >LPERR08G09690.1 pep chromosome:Lperr_V1.4:8:9639652:9643341:-1 gene:LPERR08G09690 transcript:LPERR08G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTKKAIRNSGGGGGVGGVGGAAGPSAAAGVGARGTAGNSVKFARRTSSGRYVSLSREDIDPDGELAADYTNYTVQIPPTPDNQPMMDGGGADQATVAMKAEEQYVSSSLFTGGFNSVTRAHLMDKVIESEVSHPQMAGAKGSRCAMPACDGKVMRDERGHEVDPCECRFKICRDCYLDAQKDGCLCPGCKEHYKIGEFADDDVTPRDGASKLHLPAPGAGMGGGGGSSGGLNTNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDDDDGDKPGGGGGELLPEQKPFKPLTRKMPMPTSIISPYRIFIVIRMFVLVFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFAIKGDPTKGKRRSDFVKDRRKVKREYDEFKVRINGLPDSIRRRSDAFNAREDMKLLKHLRETGADPSEQPKVMLKPPSPDPLYGMHDEEQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDKSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRFAVYGFEPPRTAEYTGWLFKKKKVTTFTDPESDTQSLKAEDFDAELTSQLVPRRFGNSSPLMASIPVAEFQSRPLADHPAVLHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLLYLLTITITLIALGVLEVKWSGIALEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYIVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITVSLLWVAISPPEANSTGGARGGGFQFP >LPERR08G09700.1 pep chromosome:Lperr_V1.4:8:9646138:9647184:1 gene:LPERR08G09700 transcript:LPERR08G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKLALAVAHVAFPDGELFTFPDLEPHGGADTDGYLAACDDWLLFADHEYDVLHLTSPLTGETVLLPGLIDGVRVSDNPVVIANEPAPSGTAPRRWKHGEDMAVLKLVVCQGGLVAAIVGREHFAKVALCNILEGEFVWSISAGDRWRSYDDMAFHDGRLYALTQDADLLAFDVGYDDDDAGDEEPVVTRVERVVAGPALEDECDDGEDARMHYLVASLGGALLMVRRDMPDARTTDGFVVFEADLAASRWVRVDRLDAGGEALFVGRLCSRAVRTPHDGDHIFFLDDADGLSFRSEDQPRPPYQVAAYDMARRTFSTLMRRKKPWEDGGTPMTWLFPDDGDVVTK >LPERR08G09710.1 pep chromosome:Lperr_V1.4:8:9652236:9652967:1 gene:LPERR08G09710 transcript:LPERR08G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDETNSKRTSEPSVEMDVNGKLPWSEAERRCDIWWHRHRHSLASGRDRHPTIIAPYYVSTKLGSAYGPAWRTANGGRRSRGLRPSSRLRAVAREACRAYQRRGEAGVNSSASLQATAINCVCGWSRRTVESVFRMADRQGKISGLIQHTIDKGENVIRRRRGVVVYKQQWRRMRVPILGFVTIYFMKIAIWRVVNINDCISQIYDNFWVESCITECALLFRMTLSW >LPERR08G09720.1 pep chromosome:Lperr_V1.4:8:9663174:9668530:1 gene:LPERR08G09720 transcript:LPERR08G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQNRRSLYQPALPPCLQGATVKVEYGDATTTIDPTCANVVAEAFPRTYGQPLVSFVAPPPDAVDEDRPPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPQSVLYGFVGGTKGLFVNKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVSDAMTTCNSLNLDGLVIIGGVTSNSDAAQLAETLVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKLILAEEVALSKLTLMEVISKICDGVQARAELGKYHGVLLIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSTPSNFDCDYAYALGRISLHMVAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >LPERR08G09730.1 pep chromosome:Lperr_V1.4:8:9671219:9676657:-1 gene:LPERR08G09730 transcript:LPERR08G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPLASKAFPSPSNAAGDQLQSSKRDKATIDDAMSSSKNKNLNRNVDEPLPSPYHAAAAASTSCDSLRLVLGARARRPRGVASSSSAAAGVGRRRRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDERAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVACTIYDGDRRRAPGQRLYEE >LPERR08G09730.2 pep chromosome:Lperr_V1.4:8:9671219:9675467:-1 gene:LPERR08G09730 transcript:LPERR08G09730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAMGSSMASPSSKPLPSPYHAAAAASTSCDSLRLVLGARARRPRGVASSSSAAAGVGRRRRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDERAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVACTIYDGDRRRAPGQRLYEE >LPERR08G09730.3 pep chromosome:Lperr_V1.4:8:9675568:9676657:-1 gene:LPERR08G09730 transcript:LPERR08G09730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPLASKAFPSPSNAAGDQLQSSKRDKATIDDAMSSSKNKNLNRNVDEPHEAEATPLDYLSTHQWPPSLP >LPERR08G09740.1 pep chromosome:Lperr_V1.4:8:9684583:9690707:1 gene:LPERR08G09740 transcript:LPERR08G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRPDADGGGGGRGSASLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDISYFPCAILSNNTEATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSCKEMTEQSKDASYLLGAQSGTNLSPGVPTPDVKESQEVKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISYHDLPDQLGHTASVMLSSADTLSPSIVHQLSVSSISLHNPVGKSSPFAADADLFFQKAPENRRSC >LPERR08G09750.1 pep chromosome:Lperr_V1.4:8:9699138:9704727:1 gene:LPERR08G09750 transcript:LPERR08G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNPISSSGQTHGNPTTHEQMELGSNGMVPHNGGNNNPNMAARQRLRWTNELHDRFVEAVSQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADVHLVQFPCVDNKAEDKDPDNLLAALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGSSRATSSEQLPDSERSNPPTPVPTSESPVQGALPSKTNVNHVEPTKSPSHDDPLPRGEPLTPDSSCRPGSPIISPKHERAAKRQRGSDTGDDTAFADGQFILPHDIFESSTGSEFQQCSMPYSGH >LPERR08G09750.2 pep chromosome:Lperr_V1.4:8:9699138:9704727:1 gene:LPERR08G09750 transcript:LPERR08G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNPISSSGQTHGNPTTHEQMELGSNGMVPHNGGNNNPNMAARQRLRWTNELHDRFVEAVSQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADVHLVQFPCVDNKAEDKDPDNLLAALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGSSRATSSEQLPDSERSNPPTPVPTSESPVQGALPSKTNVNHVEPTKSPSHDDPLPRGEPLTPDSSCRPGSPIISPKHERAAKRQRGSDTGDDTAFADGQFILPHDIFESSTGSEFQQCSMPYSGH >LPERR08G09760.1 pep chromosome:Lperr_V1.4:8:9705307:9705960:1 gene:LPERR08G09760 transcript:LPERR08G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPDDDGGVDFAVAAVAVLVVSFAVAASCVVVSFDARARTRRLRRVLDLGPSMRGARLLLASSAGLLAAAETLRLPLLRDDACAYPAYAAALVLALAAVYGPLLASACWTVAAVAINRRMRARASALAALVVAPLPVQVVALALASVSGRVSSSSPAVFGLVGFLAVAVAAGAALAILVLMPVYDALVLGDEEEGQLLPVVANGDGEDARELDNV >LPERR08G09770.1 pep chromosome:Lperr_V1.4:8:9711616:9720933:1 gene:LPERR08G09770 transcript:LPERR08G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAVCGGGGESQEPELLVKEVPEASPELKELEKHVREGKGEVQEAELPVDEVPSVSPQLKELEKPVSGGEGEAESPVDEAPSVSPELKELEKAVCGGEGEEVELPVEEAPAASLELKELEECVGETELVVKEAPAALPELNEVEKCIGETELPVEEKLAALPELKEVEKHVGEGEAVSNTVMVADNNSGDLTKEVSDLESKLDPAVLGEDGEVQSNAASGHGHEDGVDGNSEAGALMVNGHLHPEVPDCVEEVNDELAAPEITGLIEQEMEGREPEGMGVMMSDLHDHTDTTISESKVSSEDNSIEHDVTELIMQETIIGEQDGSDVSTANGHAHVGKNDDCDASAKNPDVGDGQSTCEQTAGDSVKLVEQDDLDGYVTNGHEHDDTSVDVDVAAAMLDVHGNKSKGQDTAAFVELETATVEHDQADISLTSGHDQVDRNSDSGEAEAKSEVCDCNGKRRESSTDSTEMFRQEAMTGEQGTGNESVDNGFDHPNANADLAEAPTQVMVCSKDSGMVQSAVEGVESVPHERTLKVADQQTEGDANVDNKEVPREEAKITNWYEHVEESAVLEPQIEDGQHDFALVERLDNRTVEEEEILQDTCTSGVDIAAVEADALYVERNGEAALEGTKTKEKHEKTNNEILQVDDFSSDNVECLVQSDELIKADGDTTFQTAEPVSYSMGETEKEEAGGPVYSKEASLVSVQLQTTASFQETEDELSATPGNHIADNSDIEKIDTELKQEPDMEVFDGAQLSAAPNVVSAIHGKIRSSDLTDSDVAEFERSTPACNSGTPSAALTGVSDSNVNSTASVAQVEDDASDEDIASTTVDVIHDKQNGDGNIHDDNSNITGDHNESQLEITTDNENREDLQVINPNSICLMKVPKFSSEALWADIQDAQSRLDELTQKRDAINILRKKKRDLCDGYQMQLEAAREQERGARAAHGGKRQDLNSLQSMIGRLNKANSIQDIDAMIEMKEKTITHESISLKDEKQLLQDIKELKAQKKQLYSNMGSRTEMDEAFNNKEHIHEKHKILKKDSDVLLTNLKSLEDKTRFIKKALDDERDALRKLNEEHRAANEIRQKAYDEWFELKKEPGRKNKFFFTYRKDYGTAQEYANKRDVMGLALFCNNQVESLMELWNKDDDFRRQYVESNKNSTLRRLGAPDGRRLGPDEVPPVIPRKFSRMQSDTSRLPVSSRHVSTSASEAMPPKPASSVTTVEEESFPVLQGSQNSKPSKPKVPDNSSSNETPRAPVTQKEDVEKIEKEKKQRMEEDLELARQAAELACREEEIRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKAQERAEFRARKEAEEKKAKKDRRKGSTSDSVNDNGEGNAEATVANGADPSSTENSREVDNPQHRAPKKRPSVALKQLNKMEPMPLALRNKGRRKMRQYIIVAVVAAFSVLALVMASKYVPSNFRASSS >LPERR08G09770.2 pep chromosome:Lperr_V1.4:8:9711616:9720933:1 gene:LPERR08G09770 transcript:LPERR08G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAVCGGGGESQEPELLVKEVPEASPELKELEKHVREGKGEVQEAELPVDEVPSVSPQLKELEKPVSGGEGEVLEAESPVDEAPSVSPKLKELEKPVCGGEGELQEAESSVDEAPSVLPELKELEKPVSGREGEVLEAESPVDEAPSVSPELKELEKAVCGGEGESNAASGHGHEDGVDGNSEAGALMVNGHLHPEVPDCVEEVNDELAAPEITGLIEQEMEGREPEGMGVMMSDLHDHTDTTISESKVSSEDNSIEHDVTELIMQETIIGEQDGSDVSTANGHAHVGKNDDCDASAKNPDVGDGQSTCEQTAGDSVKLVEQDDLDGYVTNGHEHDDTSVDVDVAAAMLDVHGNKSKGQDTAAFVELETATVEHDQADISLTSGHDQVDRNSDSGEAEAKSEVCDCNGKRRESSTDSTEMFRQEAMTGEQGTGNESVDNGFDHPNANADLAEAPTQVMVCSKDSGMVQSAVEGVESVPHERTLKVADQQTEGDANVDNKEVPREEAKITNWYEHVEESAVLEPQIEDGQHDFALVERLDNRTVEEEEILQDTCTSGVDIAAVEADALYVERNGEAALEGTKTKEKHEKTNNEILQVDDFSSDNVECLVQSDELIKADGDTTFQTAEPVSYSMGETEKEEAGGPVYSKEASLVSVQLQTTASFQETEDELSATPGNHIADNSDIEKIDTELKQEPDMEVFDGAQLSAAPNVVSAIHGKIRSSDLTDSDVAEFERSTPACNSGTPSAALTGVSDSNVNSTASVAQVEDDASDEGKIINENLTATVTQVEQDGPSIDDDKIPADGTVDDVCSRNAKACITSCEAQTEYLEDIASTTVDVIHDKQNGDGNIHDDNSNITGDHNESQLEITTDNENREDLQVINPNSICLMKVPKFSSEALWADIQDAQSRLDELTQKRDAINILRKKKRDLCDGYQMQLEAAREQERGARAAHGGKRQDLNSLQSMIGRLNKANSIQDIDAMIEMKEKTITHESISLKDEKQLLQDIKELKAQKKQLYSNMGSRTEMDEAFNNKEHIHEKHKILKKDSDVLLTNLKSLEDKTRFIKKALDDERDALRKLNEEHRAANEIRQKAYDEWFELKKEPGRKNKFFFTYRKDYGTAQEYANKRDVMGLALFCNNQVESLMELWNKDDDFRRQYVESNKNSTLRRLGAPDGRRLGPDEVPPVIPRKFSRMQSDTSRLPVSSRHVSTSASEAMPPKPASSVTTVEEESFPVLQGSQNSKPSKPKVPDNSSSNETPRAPVTQKEDVEKIEKEKKQRMEEDLELARQAAELACREEEIRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKAQERAEFRARKEAEEKKAKKDRRKGSTSDSVNDNGEGNAEATVANGADPSSTENSREVDNPQHRAPKKRPSVALKQLNKMEPMPLALRNKGRRKMRQYIIVAVVAAFSVLALVMASKYVPSNFRASSS >LPERR08G09770.3 pep chromosome:Lperr_V1.4:8:9711616:9720933:1 gene:LPERR08G09770 transcript:LPERR08G09770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAVCGGGGESQEPELLVKEVPEASPELKELEKHVREGKGEVQEAELPVDEVPSVSPQLKELEKPVSGGEGEVLEAESPVDEAPSVSPKLKELEKPVCGGEGELQEAESSVDEAPSVLPELKELEKPVSGREGEVLEAESPVDEAPSVSPELKELEKAVCGGEGEVQEAESPLDEALSVSPELNELEKPLCGGEGEVQEVELPVEEAPAASLELKELEECVGETELVVKEAPAALPELNEVEKCIGETELPVEEKLAALPELKEVEKHVGEGEAVSNTVMVADNNSGDLTKEVSDLESKLDPAVLGEDGEVQSNAASGHGHEDGVDGNSEAGALMVNGHLHPEVPDCVEEVNDELAAPEITGLIEQEMEGREPEGMGVMMSDLHDHTDTTISESKVSSEDNSIEHDVTELIMQETIIGEQDGSDVSTANGHAHVGKNDDCDASAKNPDVGDGQSTCEQTAGDSVKLVEQDDLDGYVTNGHEHDDTSVDVDVAAAMLDVHGNKSKGQDTAAFVELETATVEHDQADISLTSGHDQVDRNSDSGEAEAKSEVCDCNGKRRESSTDSTEMFRQEAMTGEQGTGNESVDNGFDHPNANADLAEAPTQVMVCSKDSGMVQSAVEGVESVPHERTLKVADQQTEGDANVDNKEVPREEAKITNWYEHVEESAVLEPQIEDGQHDFALVERLDNRTVEEEEILQDTCTSGVDIAAVEADALYVERNGEAALEGTKTKEKHEKTNNEILQVDDFSSDNVECLVQSDELIKADGDTTFQTAEPVSYSMGETEKEEAGGPVYSKEASLVSVQLQTTASFQETEDELSATPGNHIADNSDIEKIDTELKQEPDMEVFDGAQLSAAPNVVSAIHGKIRSSDLTDSDVAEFERSTPACNSGTPSAALTGVSDSNVNSTASVAQVEDDASDEGKIINENLTATVTQVEQDGPSIDDDKIPADGTVDDVCSRNAKACITSCEAQTEYLEDIASTTVDVIHDKQNGDGNIHDDNSNITGDHNESQLEITTDNENREDLQVINPNSICLMKVPKFSSEALWADIQDAQSRLDELTQKRDAINILRKKKRDLCDGYQMQLEAAREQERGARAAHGGKRQDLNSLQSMIGRLNKANSIQDIDAMIEMKEKTITHESISLKDEKQLLQDIKELKAQKKQLYSNMGSRTEMDEAFNNKEHIHEKHKILKKDSDVLLTNLKSLEDKTRFIKKALDDERDALRKLNEEHRAANEIRQKAYDEWFELKKEPGRKNKFFFTYRKDYGTAQEYANKRDVMGLALFCNNQVESLMELWNKDDDFRRQYVESNKNSTLRRLGAPDGRRLGPDEVPPVIPRKFSRMQSDTSRLPVSSRHVSTSASEAMPPKPASSVTTVEEESFPVLQGSQNSKPSKPKVPDNSSSNETPRAPVTQKEDVEKIEKEKKQRMEEDLELARQAAELACREEEIRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKAQERAEFRARKEAEEKKAKKDRRKGSTSDSVNDNGEGNAEATVANGADPSSTENSREVDNPQHRAPKKRPSVALKQLNKMEPMPLALRNKGRRKMRQYIIVAVVAAFSVLALVMASKYVPSNFRASSS >LPERR08G09780.1 pep chromosome:Lperr_V1.4:8:9727046:9728318:-1 gene:LPERR08G09780 transcript:LPERR08G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKEASGKKELSWPECINSTNPVHECSEYCLRKIVEANMNGRAKQQPPVVVHPGCVNSSSHFHVCSDFCFRRIAGSEAKSAGFKRRAEQEPPSVDDGKSKSDAEGNDDDSVGQQDEKAKSDATLGEASESDDAQADEGVEDNGYTHMTKKQRKKRRLHELEQERKKLYELELQERQKKLSELELRLKKNEARTNRTMYSCVFISIFFGAVNTLLHLALMQD >LPERR08G09790.1 pep chromosome:Lperr_V1.4:8:9736002:9738667:-1 gene:LPERR08G09790 transcript:LPERR08G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDGTPATPISPHVISAAFVQQYYHILHETPNLVYKFYQDASIVGRPNSSGVMEYVSTTADINRIILSMDFKNYLTEIETADAQLSHKDGVLIVVTGSLTSDGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPLAISQVSQENENQNTATLAETDPNPAEDEASDPVAVENNLIEEEVTNSTVDGSSTVENNAAIEPPVQMNKEEPRKNPVAAPPPPAQKDVTKKSYASIVKVMKEVPPTPVIKPKSAPKPVVKTVEVSEKSSAKNSQTVEITPNDNNVAENNTSSDEQGYSVFVKSLPYNVTVQMVEEEFKKFGAIKPSGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVTRGDNSNAGGGNRFQSGRGAYRGDNFRGRGGGYANNGNYRGGDNLNRRNDLRNRNEFSGRGRGPPPGNGYQQNGFHSPRPFQNGNGRLTRVNAPRQTPIAAA >LPERR08G09800.1 pep chromosome:Lperr_V1.4:8:9745304:9748625:1 gene:LPERR08G09800 transcript:LPERR08G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLLPILLIPLADITNLNTAELKKKRARERYALLTVDKKKILFKKTVRTVGGGMMSLHGNTHQNVLIKEQLSYSCCLRCGCSFALLDSANEAMEIMPELHRCLSKPVWTRE >LPERR08G09810.1 pep chromosome:Lperr_V1.4:8:9749124:9757009:1 gene:LPERR08G09810 transcript:LPERR08G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQNSRFESGIPLSFVTSIGRFRTCIDGGPAGRREIDLARASPAQSRAEHLDRFWVRGASGWRGSIEGARRRGRAALVRAWSAARFAGCSLRKLPKGTAISFTVSDYLYVISRGV >LPERR08G09820.1 pep chromosome:Lperr_V1.4:8:9753361:9756088:-1 gene:LPERR08G09820 transcript:LPERR08G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIGQSRPVPGQGVGKPSRRPVYESQESATSEQRNFAVYGSTVRPIPAPSETHKQAGLLLIRVVQAKMERGQRRLLINLLQYLTAITTPLPNPGSQYKRRAGAS >LPERR08G09820.2 pep chromosome:Lperr_V1.4:8:9753359:9756088:-1 gene:LPERR08G09820 transcript:LPERR08G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIGQSRPVPGQGVGKPSRRPVYESQESATSEQRNFAVYGSTVRPIPAPSETHKQAGLLLIRVVQAKMERGQRRLLINLLQYLTAITTPLPNPGSQYKRRAGAS >LPERR08G09830.1 pep chromosome:Lperr_V1.4:8:9770924:9778221:-1 gene:LPERR08G09830 transcript:LPERR08G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSGIRNSVPAQQGTMYYGTSHEANNLQSTASSHYNGSAKSTTTGRMPAQQYSGSKPADQYYCNSISSSQTQKLGGGGAKSRSAKSTTTGRMPAQQYSGSKPADQYYYNSISSSQAQKLGGGRAKSSEQK >LPERR08G09830.2 pep chromosome:Lperr_V1.4:8:9770924:9778221:-1 gene:LPERR08G09830 transcript:LPERR08G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSGIRNSVPAQQGTMYYGTSHEANNLQSTASSHYNGSAKSTTTGRMPAQQYSGSKPADQYYYNSISSSQAQKLGGGRAKSSEQK >LPERR08G09840.1 pep chromosome:Lperr_V1.4:8:9802560:9804088:-1 gene:LPERR08G09840 transcript:LPERR08G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGLSSSVPPQGGSSGTSKRQAHSNEDGTKLHGSKCLATSPPRNNQQPYNGQSAHSLTYRVKTLAEKE >LPERR08G09850.1 pep chromosome:Lperr_V1.4:8:9811720:9814289:1 gene:LPERR08G09850 transcript:LPERR08G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGRFIADFSLGEFDAEKTKNRCSKMPYLEYPLLELAYDNEHREHLIADNHEQWTHDDSRPTVAYIWKQVVDVCGPPARRYKSYINQTSLTASHKLWTKLKPAWTTQPIEDLPSDDDDIVDEYDLMIRYGTQPERAPLQDYMAQQLARLANEAGVAMSHASSSTNNGGALRMFAKGSMELPPYGVEAQLHECTRRGTRSTLGCYCYCFGGEPSHSRPFSVEKDHVPCHLSGCSTCFWLTWQGTCQRM >LPERR08G09860.1 pep chromosome:Lperr_V1.4:8:9820645:9821875:1 gene:LPERR08G09860 transcript:LPERR08G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDHYQIKEQIATQSLHKHIEPAGDEEQRGKYGDGDLSSSKQPQGGEVARGTYKEDPATVAITGRDAPAAATRTPLDLIRRQIHEPPQDP >LPERR08G09870.1 pep chromosome:Lperr_V1.4:8:9853072:9854395:-1 gene:LPERR08G09870 transcript:LPERR08G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGLSSSVPPQGGSSGTSKRQAHTNEEGTKLHGSKCLATCPPWNNQQPYNGQSAHSLTYGVKTLAGKVS >LPERR08G09880.1 pep chromosome:Lperr_V1.4:8:9882349:9883263:-1 gene:LPERR08G09880 transcript:LPERR08G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHRSTPLPLAALPSSSLAARGAAFLRPRRSPRRLPLPSPPPGTRLADPSRLGLGAADLPPVALPGPRCENEKAGFISIVSCYLRG >LPERR08G09890.1 pep chromosome:Lperr_V1.4:8:9890837:9891028:1 gene:LPERR08G09890 transcript:LPERR08G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNNPIAILRQRIDETTTMGNLPVHYQTRPSSVRWRRPETIRVSRDSNSSVTTQRSRKS >LPERR08G09900.1 pep chromosome:Lperr_V1.4:8:9949418:9953213:-1 gene:LPERR08G09900 transcript:LPERR08G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSRSRRLQPQKSRETRGIPSSSSGPAGSSPSRSRSGRTPAVRWISSPELWTAAVGVDPFFRRRPPLATRLLQHHRGRVQIELPPSSRGFLPPPAVDLRRRRRPDFASAQE >LPERR08G09910.1 pep chromosome:Lperr_V1.4:8:9992323:9994890:1 gene:LPERR08G09910 transcript:LPERR08G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCGEAAAATSCGQQGRAGWAATSCGLRGGAAGGGPALCEATRTKPLRPVVGPLLVELPRATGVLTRLQVALQMRERPRREVSESYMAWLRRVVECSPPGGAPSAALPLPPLLPPSSRLGGRGTGARMVVVWWPRAVAGWSGCGSCGFQRPPSISASASSAGCAGCSSPGQSPWRSCPTASAATLPWWWFRSCRCCSSSPLCGIWSALLGACSTLVLVVKICRLDLVGFVGIVEFALGRVAGHPSSLMAVELGRTVVVWFLALAPVMAEFSLALVVGCILVALWLLAQFALMGVVSLIPMPPFCASFSVVVKFSLIFLFITIQLIRRR >LPERR08G09920.1 pep chromosome:Lperr_V1.4:8:9995314:9996543:1 gene:LPERR08G09920 transcript:LPERR08G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSGRSEIGVLAQKGTKYYGTTYEANNSQSTASSHYNGLEKSTTTGRMPARQYSGSKPADQYYYSSISSSQTKKLGGGGANSSEQKLSLNMRNFIVLERQGHDNKEVPAAQGLT >LPERR08G09930.1 pep chromosome:Lperr_V1.4:8:10018847:10021804:1 gene:LPERR08G09930 transcript:LPERR08G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSMAPFPTRIFSSDSSDDVAHCRPLSVASQSGTPLTGLPRRLHHATAAQEVSADQPPSALLHRHQTLRQTVSLDAALPPNPPQPCARSTIPSQVSLLSVPDVLWCTLDAMSLD >LPERR08G09950.1 pep chromosome:Lperr_V1.4:8:10056980:10057348:-1 gene:LPERR08G09950 transcript:LPERR08G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPAVTNNQAMDAFFRGCRDLEFKEDWYKKPPASLEAMLLRADLYAYRHQWSGMRDPSDNDSDSGNSMAYGEGEERQVAFLNESIQFDDHEQSSSGSFSPLHGIFMAEITEVPLIAEQLT >LPERR08G09970.1 pep chromosome:Lperr_V1.4:8:10086103:10095295:1 gene:LPERR08G09970 transcript:LPERR08G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGTSYEANNSQSTASSHYNGLAKSTTTGRMPAQQYSGSKPADQYYYNSISSSQTLKFGGGGENSNVAAASPKMDWAFARNLPVSRFDTTSSTTNMPAHEYTALAPHRQPSAARLQTTVGTTMTTTTARQRDHDCDTTATHPSSRQQPWPPPMPSPPPPHHGQEEGPAATPPRQIWPRGHRSGQAPLAGAVVTTSTTCHGHRRPRPPPHAAVAAVISPAARRPHHARSGLGRRRFGGAASTESPRCPSPLERQGEGRRKPRRRRSAKSTTTGRMPAPQYSGSKPADQYYYNRISSSQAQKLGGGGAKSSKGMTTKKYPPLKG >LPERR08G09980.1 pep chromosome:Lperr_V1.4:8:10191167:10192516:1 gene:LPERR08G09980 transcript:LPERR08G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTGITCFIKIVGDRLRVDAPDQYTPNIVSIGPYHRNPHLGREEKIGILDRIVEEKAVQRSHPIYPSDLKSEWLSRLSNYLEGAMDYYGYDPEIPNSFTMSREDFLNMLLEDGCYILHKFVAARANSQEDQQDLSLVHDVIYLAENQIPFFILKNIYEIIGQTDSLVGFFCSYIKEKVLKPRGYAIGDRCSELPNPSHLLHLLHILLIGDQLATDTRSETVIQVNARDVPNPMAGEKSISHFRRWRRAKQYDAAGVDFTGVDLISIIESSGHGALPERSILDVRPIRRCRIGLEFPSLKADNETFCMLRNLIALEQGNPITLSHHVTAYCMLMSQLACKEEDVELLIQRCAVDHLMANDAKCADSFADLCNGVTFDLDDPSLNYLRDECVQLDRRYRSRPSKWTAWMLREYCRNPCVAVGSVLALIVIAFGLLQAVYTVLKITGKVK >LPERR08G09990.1 pep chromosome:Lperr_V1.4:8:10265772:10270495:-1 gene:LPERR08G09990 transcript:LPERR08G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGCRWIVCWINKCLVLNEVPFHFTNSVHWLGRLISLAELTYGYPTTKYCCPTRSMAPTTLSRKRTSASPSQGLPRFKTFDDLEYDNIYGVWSVVVKVDVKFNADARGETQRFILMDISGSKIEAIAWEPNVQRFDALHSEGQCYTIHRVRFQPNLEEAFHFRSIGHPFECILRKDTTVENFSFPIQFPPFPTHLMPFHDVYRRPNMTFVDIAGVVVHRGELEHIGKVPYREFTLMDTRCNLLVVGVWAAQLNKHALNWSLAYADNAIVLGTMLKNNKIHGNIESSDHTVFNFNPIHPETLALQNLRHRVATGAMDLRFVRRYIENRWAYLATITKESVTNVTSSKPTIT >LPERR08G09990.2 pep chromosome:Lperr_V1.4:8:10265772:10270495:-1 gene:LPERR08G09990 transcript:LPERR08G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGCRCVHWLGRLISLAELTYGYPTTKYCCPTRSMAPTTLSRKRTSASPSQGLPRFKTFDDLEYDNIYGVWSVVVKVDVKFNADARGETQRFILMDISGSKIEAIAWEPNVQRFDALHSEGQCYTIHRVRFQPNLEEAFHFRSIGHPFECILRKDTTVENFSFPIQFPPFPTHLMPFHDVYRRPNMTFVDIAGVVVHRGELEHIGKVPYREFTLMDTRCNLLVVGVWAAQLNKHALNWSLAYADNAIVLGTMLKNNKIHGNIESSDHTVFNFNPIHPETLALQNLRHRVATGAMDLRFVRRYIENRWAYLATITKESVTNVTSSKPTIT >LPERR08G09990.3 pep chromosome:Lperr_V1.4:8:10265772:10270495:-1 gene:LPERR08G09990 transcript:LPERR08G09990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGCRWIVCWINKCLVLNEVPFHFTNSVHWLGRLISLAELTYGYPTTKYCCPTRSMAPTTLSRKRTSASPSQGLPRFKTFDDLEYDNIYGVWSVVVKVDVKFNADARGETQRFILMDISGSKIEAIAWEPNVQRFDALHSEGQCYTIHRVRFQPNLEEAFHFRSIGHPFECILRKDTTVENFSFPIQFPPFPTHLMPFHDVYRRPNMTFVVVGVWAAQLNKHALNWSLAYADNAIVLGTMLKNNKIHGNIESSDHTVFNFNPIHPETLALQNLRHRVATGAMDLRFVRRYIENRWAYLATITKESVTNVTSSKPTIT >LPERR08G10000.1 pep chromosome:Lperr_V1.4:8:10370621:10371061:-1 gene:LPERR08G10000 transcript:LPERR08G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTANIDHGDIFYPGICATTLDNLSEEQRSELLRELEEEKEGMMKLVLARFLKRKSNAIKKKQNLDNIDLSANEEAKAKFDFSRTEGPIVLPYEFCEKEDDEHHDQQPTVGQGSGSGEHEDDGASNHEDKEKVLESHQSTMQDIV >LPERR08G10010.1 pep chromosome:Lperr_V1.4:8:10379533:10380602:1 gene:LPERR08G10010 transcript:LPERR08G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLIQLMAESSDLVLQVIKNSGAKDTLLERIAPLAEKAEQAQGENIRSDFKENLRDFLGHDPAVLEAKKLAEEQVLKLQAEFTLLQGKNEEFIKEKNSAEAKLAHAVVLKVKSHEQANYYKDKLETLLKKHEELKKKSAKELSAMKAKHNEEFLKMKTELEEARKVNAEFCQAAQPILDNLHAVTAGMNTSSFETIIELLQSAPSRLKKIILDSASVAYGQTLAVIPSLISSQSPRDMQKGQILIKL >LPERR08G10020.1 pep chromosome:Lperr_V1.4:8:10400986:10402243:1 gene:LPERR08G10020 transcript:LPERR08G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLIQLMAESSDLVLQVIKNSSAKDMLLECIAPLAEKAKQAHGELAILRNEVAGYRNTRSDFKEKLRDFLGHDPAIFEAKKQAEEQVLKLQAELTQLKDENKELIKAKDSPEKKLTHAIALNVKSHEQANYYKDKLETLSKKHEDLKKKAANELSAMKTKHNKEFMKMKAELEEARRMNAELCQAAEPILDNLHAANAESNTSSLQSVIEHLLLAPARLKKIILESASVACGQTLVVIKLLYPKLDLEPITSGYAEGTTDEKALEFLDQVDGMAQIMAKDALYPEEEDNA >LPERR08G10030.1 pep chromosome:Lperr_V1.4:8:10449560:10451292:-1 gene:LPERR08G10030 transcript:LPERR08G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSQSESVEEEMPSTTLSSSPQATAMDICPAMAQVTTSFDIVPTVETAPSTTATTAPVAAAQATSSPPLVLTTIVDTPSADKEKQAQGSPTAIEPSAGSDSEKTVSEEIIGWRYGPDPEQKVIKNSSAKDTLLERIAPLVEKAEQAQGELSILRNEVAGYRNIRSDLKEKLRELIKEKDSAERKLAHAVVLNVKSHEKAKHYKDKLETFVKKHEELKRSAAKELSAMKAKHNEEFLKMKTELEEARRINAEFCQAAEPILDNLHVATAGTNISSFQTVIELLQSAPSRLMNIILESASVACSQTLAVIKSLYPKVDLTPITSGYADGTTAEKALELLDEVDDMAQVMAKDALYPEEENNDE >LPERR08G10040.1 pep chromosome:Lperr_V1.4:8:10494679:10497960:1 gene:LPERR08G10040 transcript:LPERR08G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYPRAAIAFTSPPEDVTTAGALRDLADVRPKNAATSGRRRVALTTAGRRACTSMTTAPGLLFCLWKSIAREREGNKQKYKQRRYSCRLLIA >LPERR08G10040.2 pep chromosome:Lperr_V1.4:8:10494679:10495081:1 gene:LPERR08G10040 transcript:LPERR08G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYPRAAIAFTSPPEDVTTAGALRDLADVRPKNAATSGRRRVALTTAGRRACTSMTTAPGLLFCLWKSIAREREGNKQKYKQRRYETLGKFYIMHECIF >LPERR08G10060.1 pep chromosome:Lperr_V1.4:8:10537284:10538027:1 gene:LPERR08G10060 transcript:LPERR08G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRPIAYSGGFVPNTLQHALWTMLQCADFYRQPEYYILHATRGTSQSFMMVHLYVRGRDLRERDRMYVGYGWSHRSAMDCAAYVAINSLRHELPVLGRHYSYLPTPSPTMTEVVPPVALRPPPLPHLQLPAHFGALDQLYQITHEELNRARERIVELENHLTPSFHIGHYPPEFMYGEGGMLAPDEYLPPPSGGYVELGDVGPDAVYYSHPRVVASLPPLGARGDCGPAERVRWDGRAYELKMDL >LPERR08G10070.1 pep chromosome:Lperr_V1.4:8:10672011:10674996:1 gene:LPERR08G10070 transcript:LPERR08G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADLQFPSSLAAGKNDDAAAYQPIAGNELIFPDHRRAAASALPLGFPPPTPLWTIPCRGATSTQPSLRRRRPPLPVIAAGALTVSGHPACTSRIVLTFSTFSLLDSTAAAPPIVDAEAIFAAAPAQPALPE >LPERR08G10070.2 pep chromosome:Lperr_V1.4:8:10672011:10674996:1 gene:LPERR08G10070 transcript:LPERR08G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADLQFPSSLAAGKNDDAAAYQSSRERAAARFSSSNAAVDHPLPWCYLNTAVASSGEHLKNNFNRSLVEGEQLTSSSAFCSARYSDAVAAVSFSPVSPRRRDFVYTNLGASSDCPLHFCCTLWCGSNRHHSCASAGTRRRHRSRRSHAGARRWLGKLPGVPYVLDRLKPRLDLTPALLLLVGRRRPPLPVIAAGALTVSGHPACTSRIVLTFSTFSLLDSTAAAPPIVDAEAIFAAAPAQPALPE >LPERR08G10080.1 pep chromosome:Lperr_V1.4:8:10699311:10705771:-1 gene:LPERR08G10080 transcript:LPERR08G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQDVLSAAAAYSTAPLRLEDFITELARMEESVRRCYKHVFDTPSKEFLRWMLLDACYILVKFGDVTSRGQLVEEEEEPVVVEYRAKHLFSLPTKEFLRRLLLDACHIVVRLAGDVVRRRRGRDEPAAASRVVPLQREESSAVDQREAVAVVRDVFYQAENQIPFPVLEKVHQMTFLDGTTPALRGIARYAHKLMRCTYYSVATPTVVEEPERSPEPANLLHLLHMHFTPAELTCRRGGGGRTVGRWRTAMEYYFAGVRFKKRPLSRSKGGALSILDVRVSRGGGTVEVPQLNIDAETWPLLRNLMALEQSNPAATGSHVTAYCVFMSQIASTPIDVEHLSRRGVIVHGLGNHSEVAKHFADLCKGAVFDADDAYQNYLRPVWQTLDRRFRSRPRRWMASLKQKHFANPWLAAGLLAAAVIFVCTVIQANFYALSSIDGVVGTVVKFKRQGSCSASCLSALQKQGGIKEGRNRTFSAAGMGNKEDDHVIDIPSLASELRLELSNLKSSTERRAGGEFAPPWIVVDKVGELTRNVDAQEYVPQYVSIGPYHRADHLLREADKVRYLDDVLAAAAANSTAPMTLEDYLTELARLEQRARRCYAQFVGMSSTEFVRMLLLDACYILVRFGDVAGRRVTPPPPPPMIEPPVAQAGHRVVPDEEEERRAAAAGQQEAVAVVRDVFYLAENQIPFFVVDKVHQMTFLDGETPAVHAIAGYARDLMRCTEYSVATPTVAPPPALLPLPSNLLHLLHMHFTPISNKSIPFGGGRSVGRWRTATDYYFAGVRFKRRPLCGAGARSILDVRVSRLGGTLHVPRLNIDGETWRLLRNLMALEQSNPAAAGSHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNHAEVADLFANLCKGAVFDMDEAGQNYLRPVCQALERRFRSRPRRWMAWLKQKYFVNPWLAAGLVAAAIGLVCTVIQAVYSVLSYTKPGN >LPERR08G10090.1 pep chromosome:Lperr_V1.4:8:10720788:10725004:-1 gene:LPERR08G10090 transcript:LPERR08G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPVMQAKLPAAMISNSLTKSGQLGTAFLGALPKYRNITRFISPIYQPSPKNFAPICGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELYLTKVGNHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRLVEPSRMAVAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAIEERYKDAGIVSSGRKKVNG >LPERR08G10090.2 pep chromosome:Lperr_V1.4:8:10721572:10725004:-1 gene:LPERR08G10090 transcript:LPERR08G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPVMQAKLPAAMISNSLTKSGQLGTAFLGALPKYRNITRFISPIYQPSPKNFAPICGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELYLTKVGNHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRLVEPSRMAVAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAIEERYKDAATWRDKLMQLRSKRKNWA >LPERR08G10090.3 pep chromosome:Lperr_V1.4:8:10720788:10725004:-1 gene:LPERR08G10090 transcript:LPERR08G10090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPVMQAKLPAAMISNSLTKSGQLGTAFLGALPKYRNITRFISPIYQPSPKNFAPICGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELYLTKVGNHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRLVEPSRMAVAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAIEERYKDAGIVSSGRKKVNG >LPERR08G10090.4 pep chromosome:Lperr_V1.4:8:10721572:10725004:-1 gene:LPERR08G10090 transcript:LPERR08G10090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPVMQAKLPAAMISNSLTKSGQLGTAFLGALPKYRNITRFISPIYQPSPKNFAPICGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELYLTKVGNHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRLVEPSRMAVAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAIEERYKDAATWRDKLMQLRSKRKNWA >LPERR08G10100.1 pep chromosome:Lperr_V1.4:8:10749717:10752258:1 gene:LPERR08G10100 transcript:LPERR08G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGTLSSGTSSGSSHGTWTSGSEIDIQLQAQMDLKRKRRKESNRESAKRSRLRKQQHLDDLTSQVNQLKMENQQLSTTLNMVTQSYTAAEAQNSVLRTQKVELDIRLSALRDIIFYMNNANQLPNSTITATTYPSTIMTATTNGHYDYYDVVSANAWSSGMQMMHQPIDQFLYQC >LPERR08G10110.1 pep chromosome:Lperr_V1.4:8:10815784:10816485:1 gene:LPERR08G10110 transcript:LPERR08G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQREVMEIVTYMKKTRCCYVHEVRREFRIYSKAFGMDGIHIWKACQVYFPKHQTAPYLEFSTLSNLHLKPCYRDRLGDAQEVMMTTWRRTSFARFTRTQAFPHKEIEDKKEKAQFGLVSAACAVKSKTGQTLSYGVLFGRLTTHWKAIFMAHVMDRDKDTEYFWLHHKGRNKLTAVQIRNQIVLYLYPSYFVTHVKAI >LPERR08G10120.1 pep chromosome:Lperr_V1.4:8:10821056:10821787:1 gene:LPERR08G10120 transcript:LPERR08G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHVLVVDDTHVDRHVVSMALTRHNVRVTAVESVMQALMFLDSEHDVNMIVSDYCMPEMTGYDLLMEVKNSPKLAHLPVVIVSSDNIPERIKKCLDGGAKDYILKPIKIVDVPRILNYI >LPERR08G10130.1 pep chromosome:Lperr_V1.4:8:10829604:10835687:1 gene:LPERR08G10130 transcript:LPERR08G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRALAALPSSRRRGGVASHVATVPSPLRLPIRRAVAPVRAAESSPSSPPPAAAAAAGKALVPDEEFTLAKVWIRGVFQPSSWFRVVCADANIWYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQNIREEVTDEGKYSLVLVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKSGDDLYEVRLISETT >LPERR08G10140.1 pep chromosome:Lperr_V1.4:8:10836920:10845578:-1 gene:LPERR08G10140 transcript:LPERR08G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNATTDVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLRAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAVWPHAYFQQLADSQSNGNANSYNMGPLDTEANHEGHGALSLRDTSPILDSMESDIGYKQNHAGHRLHWRPSGKPGNASPASGSKILLKTAWVGVDRVLDLFLRFNCNTSRHCELEEEKEPLVSRRRGSQPGIMPDTQLGCHTIGSHGIKVARFHLYDWIILIFLAVLYGLFNIIEPFHRFVGRDMLTDLSYPLKGNTVPFWAVPLIAIVLPWVIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHASAAFSGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNANPHNMGLADASFP >LPERR08G10140.2 pep chromosome:Lperr_V1.4:8:10836920:10845578:-1 gene:LPERR08G10140 transcript:LPERR08G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNATTDVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLRAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDVFAGLTVASFCYLQFFPYPFDADAVWPHAYFQQLADSQSNGNANSYNMGPLDTEANHEGHGALSLRDTSPILDSMESDIGYKQNHAGHRLHWRPSGKPGNASPASGSKILLKTAWVGVDRVLDLFLRFNCNTSRHCELEEEKEPLVSRRRGSQPGIMPDTQLGCHTIGSHGIKVARFHLYDWIILIFLAVLYGLFNIIEPFHRFVGRDMLTDLSYPLKGNTVPFWAVPLIAIVLPWVIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHASAAFSGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNANPHNMGLADASFP >LPERR08G10140.3 pep chromosome:Lperr_V1.4:8:10836920:10845578:-1 gene:LPERR08G10140 transcript:LPERR08G10140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNATTDVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLRAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAVWPHAYFQQLADSQSNGNANSYNMGPLDTEANHEGHGALSLRDTSPILDSMESDIGYKQNHAGHRLHWRPSGKPGNASPASGSKILLKTAWVGVDRVLDLFLRFNCNTSRHCELEEEKEPLVSRRRGSQPGIMPDTQLGCHTIGSHGIKVARFHLYDWIILIFLAVLYGLFNIIEPFHRFVGRDMLTDLSYPLKGNTVPFWAVPLIAIVLPWVIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHASAAFSGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNANPHNMGLADASFP >LPERR08G10140.4 pep chromosome:Lperr_V1.4:8:10836920:10845578:-1 gene:LPERR08G10140 transcript:LPERR08G10140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNATTDVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLRAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAVWPHAYFQQLADSQSNGNANSYNMGPLDTEANHEGHGALSLRDTSPILDSMESDIGYKQNHAGHRLHWRPSGKPGNASPASGSKGIMPDTQLGCHTIGSHGIKVARFHLYDWIILIFLAVLYGLFNIIEPFHRFVGRDMLTDLSYPLKGNTVPFWAVPLIAIVLPWVIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHASAAFSGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNANPHNMGLADASFP >LPERR08G10150.1 pep chromosome:Lperr_V1.4:8:10847575:10852808:-1 gene:LPERR08G10150 transcript:LPERR08G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPAADVAGAGAGAGARRSSGDTLFTLSPTQARLRRRVLNPIRADAPPIHPSAASPSRPAKPPVCTADELHHAPVSAAGWRLALWRYRPPPHAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMCNQGFDTWIVEVRGAGLSLRDCDGSTTSLSGAFDGKSSTLEVASAQSSGRSAIDYEDLGIVALGDPPLLTELASFFDRISKLLEEASLNKNLTDKISVLSEMVESSAIIGPMREESQRLLKNFQEQVDSWERFVATQMNLNYEYNWDFDHYLEEDLPTAVEYIRQHSRAKDGKLLAIGHSMGGILLYAMLSKYGVPSNLAAAVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSCNLQQLFGKGDYAIGLELSHTKIICELTPVLALAGDKDLICPPEAVYETVKLIPQRMVKYGVFGKSEGHYAHYDLVGGRLATSEVYPCIIEFLSHHDREEGDQDHGPPHPGLLDIV >LPERR08G10150.2 pep chromosome:Lperr_V1.4:8:10847695:10852808:-1 gene:LPERR08G10150 transcript:LPERR08G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPAADVAGAGAGAGARRSSGDTLFTLSPTQARLRRRVLNPIRADAPPIHPSAASPSRPAKPPVCTADELHHAPVSAAGWRLALWRYRPPPHAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMCNQGFDTWIVEVRGAGLSLRDCDGSTTSLSGAFDGKSSTLEVASAQSSGRSAIDYEDLGIVALGDPPLLTELASFFDRISKLLEEASLNKNLTDKISVLSEMVESSAIIGPMREESQRLLKNFQEQVDSWERFVATQMNLNYEYNWDFDHYLEEDLPTAVEYIRQHSRAKDGKLLAIGHSMGGILLYAMLSKYGVPSNLAAAVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSCNLQQLFGKGDYAIGLELSHTKIICELTPVLALAGDKDLICPPEAVYETVKLIPQRMVKYGVFGKSEGHYAHYDLVGGRLVSD >LPERR08G10150.3 pep chromosome:Lperr_V1.4:8:10847695:10852808:-1 gene:LPERR08G10150 transcript:LPERR08G10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPAADVAGAGAGAGARRSSGDTLFTLSPTQARLRRRVLNPIRADAPPIHPSAASPSRPAKPPVCTADELHHAPVSAAGWRLALWRLRDCDGSTTSLSGAFDGKSSTLEVASAQSSGRSAIDYEDLGIVALGDPPLLTELASFFDRISKLLEEASLNKNLTDKISVLSEMVESSAIIGPMREESQRLLKNFQEQVDSWERFVATQMNLNYEYNWDFDHYLEEDLPTAVEYIRQHSRAKDGKLLAIGHSMGGILLYAMLSKYGVPSNLAAAVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSCNLQQLFGKGDYAIGLELSHTKIICELTPVLALAGDKDLICPPEAVYETVKLIPQRMVKYGVFGKSEGHYAHYDLVGGRLVSD >LPERR08G10160.1 pep chromosome:Lperr_V1.4:8:10877544:10881805:-1 gene:LPERR08G10160 transcript:LPERR08G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTGGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNTDGMDFSNFGGMGGMGDMMGGMGEEVSKPQDAEKAAEGGKSQEPEAKAETS >LPERR08G10160.2 pep chromosome:Lperr_V1.4:8:10877544:10881805:-1 gene:LPERR08G10160 transcript:LPERR08G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTGGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNTDGMDFSNFGGMGGMGDMMGGMGGMGGMGGMADMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEGGKSQEPEAKAETS >LPERR08G10170.1 pep chromosome:Lperr_V1.4:8:10886368:10886658:1 gene:LPERR08G10170 transcript:LPERR08G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVGRGGRTLRDLFVASPESARRRGGGGEEEEGGIDGIRTGHGGGGGGGGGGGRRFGSGGLRSLLMRRSWRPVLVAIPEGEGGAGNPELAIIEE >LPERR08G10180.1 pep chromosome:Lperr_V1.4:8:10888561:10890206:1 gene:LPERR08G10180 transcript:LPERR08G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIEMPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKGVGLRGLTSKRQMKIMMRWMREKQKIRLICDHDGPHKQFLYTTWFTNPKNAPQRPKREQHQQQQLRGGPPSPRP >LPERR08G10190.1 pep chromosome:Lperr_V1.4:8:10889551:10894091:-1 gene:LPERR08G10190 transcript:LPERR08G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPLFSPSPKPPPPPPWLHGPQAPSTPPDPAEGAPPKHPRVPKPPPPPRNNPGSAKPLTAGVPGGRTRRAVLGIIRKVRSLELSDPRTPTPNRISSSIVPFHLPIAPSPTDREEERGKLNRAVAPAAAVPWAAARDEEMKVVLRREKKVRAPTRAETELDAGELERLRRAARGKERWVRAKKAGITDEVVEEVRGEWARGQELAGVRVVEPLRRCMDRAREILEVKTGGLVVWTRGDMHFVYRGSNYIVNSRRHREFVNCNNEVSPVTSNILTPQGKHGIKALTNGNGEDDDTFHKNGQSIAVLQDEEPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEFVPGFTTPFRQCPPNVRPKLSDDELTYLRKLARPLPTHFALGRNTKLQGLAAAILKLWEKSLIAKIAVKRLTGGTVILRNKDFIIIYRGKDFLPGGVAQSVIERESQVHDQQAKEEEARLKAVDSLQMIAGLSSEKSSVGTFREYQDFHLNHLHGTKEHNIAKIELEAKKHRLEKELKDEEWRLSTLTKKIERSNEVLAKLHSSWSPAEQDGDREHLTEEERRIYRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQKQDRQITYTSMMLEVETGGRLIAVERLTTSHAIILYRGKNYRRPTKSTASNLLTKREALRRSIEVQRRGSLKYFARERKKSIDELKRSLRNVTREIWKINHDTEQSRQHDRWTRRKPIFFKELPGWQMTNLGSWQ >LPERR08G10200.1 pep chromosome:Lperr_V1.4:8:10906480:10911724:1 gene:LPERR08G10200 transcript:LPERR08G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNGSLKLLIDCAEDRPINVGAKATRVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQHGHDLPQSRSIPLQIQEVGVSPPFKLVFTKKLKLPIFTNNKLIDIDKNPIEIQLVDTRTNRMVTPASTQLGSSVVRLEVLVLDGDFQCEDGARWTDDQFNTAIIKAREGRRPLLVGATLNVTMSNHGVAVIDDVSFTDNSSWIRSRRFRIGVRVIMPTDSYGPRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLRLNTMDPNKLKNLVGMSDRQWSATLKQAKSCDMGGKCYIFKSEGCDLTFNPVGEILAARIGNQMCSFLELLPHQMVQVNQLASQAYQQWDQLEEVVANDQMPIVNKSLIPFHEEKPMTSAMPIAGYIDQAEGLMENSGWEPSEMSQESMISSGSQNAMYLDNMGTATTSTAEMVTINSSPLESASAVPGAIYNWTPDMAAGDQFSWQNNTNIGPWDHAD >LPERR08G10210.1 pep chromosome:Lperr_V1.4:8:10914457:10915840:1 gene:LPERR08G10210 transcript:LPERR08G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHEEIEHRKLAAGGRNAVEAGRTAICLVGAARRFELTGPSIVRNVLAQYPQADLFLSPMGIQGLLQYFNLVQGCMDLIKSHESRENFTYDWIVRTRVDGFWAGPLEPSSFIPNTYVIPEGSRHGGLNDSLGIGKRDISAMALSRLSTPDNLVAAGYSGLNSETAFLTQMKLGQVSTQEHSFQFCIMSDRRYSFPPSQYTTPVASLDIHGPLNGAKCRPCRDPYVGQEADEIWAKLDTNRGWVEWRNGALGLCNATSEWEKDWGEVFDVMVGPIAAAERKRVAAMSVEECVSDLGVFMGKTAQWKSPDPVHICQLGLAKRTIVQSISS >LPERR08G10220.1 pep chromosome:Lperr_V1.4:8:10916372:10916941:1 gene:LPERR08G10220 transcript:LPERR08G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASITLAMLLLLAMIMADQLLPPASAQVFCRSQFNLANEACNMRATLPGLRAPLVPRQQHPLKLNETSVNGSHRRHGHKSRNGGGNDDDSDDGDYYYDDGDDDEQGGDEEGRGGERRRHRRHRRNVDVDREDPYDTACCRRLMALDNSCACQAAARLPAFMTAVHHVVRLTPVEGCHVTFECPGSF >LPERR08G10230.1 pep chromosome:Lperr_V1.4:8:10917142:10917777:-1 gene:LPERR08G10230 transcript:LPERR08G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVASASAATVGGKKGSLRGVYQRQPGRWAVDFRDHRLNVRQWLGTFPSQEEALAAHDAFEAQVRASLAAAVPKAEIDAGAGAGGAKIGHQPARRASGRKRQQKTSSTISAGAVKSTRRAPRRRASGGGDKKQQKQSDDPTESSSTSAALALEAAPAKNPFLLEDELAAEKDHRFGFGLADLGHIPLPFLDGKDMDLHLTDTDLSSFFD >LPERR08G10240.1 pep chromosome:Lperr_V1.4:8:10931695:10934070:1 gene:LPERR08G10240 transcript:LPERR08G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKSKLFHEDGDATGAEDRLSALPDAPLHHVMSFLRAWEVARTCMLSCCWRHLCVTRLAWRWTPSASAKTRQVRLPILARVGGGRAGGHSTDDVQPYVDSWIHAAIRRSARVIQVSEHPKDEAFSNFDNVPIISCHLKHLKLSGLLIMVGFTIDAPCLVLLRRVTPYNIVPLFQNVGSLTLDAATIVLDDSYLFCGYEYQFKDIDGDAIEGSGSTDSESSLNDSCYEVTLLAMQALVNTVRLQMSMIMKNSLQSMGHNQSKHGNYHAYDHNNKFNLGKVLGGHNVLHNLSIARSFELLADAGEVILNRELKTCPNFINLKTLSLGEWCMGPEFDPLVSFLQHSPNLERLYLELKFGYGNKQAMKDSIKPDGRSFFCAHLKMVNISLESSVYRSIINIVDLPRCVW >LPERR08G10250.1 pep chromosome:Lperr_V1.4:8:10961733:10963378:1 gene:LPERR08G10250 transcript:LPERR08G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVTPPLLRAPPRACPAAPLDTRRRLRLPVAASAGVGGGGGSRPELRTCKNCKKQYDPAANHPAACRYHTAHFGGETRRKFESVYSGGTLDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >LPERR08G10260.1 pep chromosome:Lperr_V1.4:8:10976192:10979152:1 gene:LPERR08G10260 transcript:LPERR08G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACISSFPPPPPPMAAAVPLPETSINDDVLVADQKTETEISRCEEVVRRPRAVARKLACERRTFGEGFAFWRGIGGPELDCLDPFISLDEFKFSAPAGFHDHPHRGFENVTYMLEGSVRYHDFSGHKGTINTGDVQLLTAGRGVVHAEMPGGNGVNRGINIWINLSSNDKMVEPRYQDMASRDIPSADDGAVSIKVIAGEALGARSPLQTRTPALCLDVAMSRPGARLRLPVPHGWAACAYVIDGDVVFGDGDGVDDADDAVAGAHTCVVFGEDGGDGVAARGVGEAARFVLVAARAHGEKVVKEGPFVMNTREEVEQARDDYRNRRNGFEMAAGWTSDYAAAAAQ >LPERR08G10270.1 pep chromosome:Lperr_V1.4:8:10980708:10981736:-1 gene:LPERR08G10270 transcript:LPERR08G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYTTSSLVLGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSAFSLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIMAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTTKGNSPVQREAAAKQGKVDDDKAEFDEEKQSLVSSPKDSNA >LPERR08G10280.1 pep chromosome:Lperr_V1.4:8:10986602:10998625:-1 gene:LPERR08G10280 transcript:LPERR08G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAPAVEAALPAAAAERYTYSPRLRWQPEVEEYFAAAYGRDRFARISQALAHPSCYSCIRVNTLKSSTAAVMHKLMNLVDQNGLCGGINGLEIGQQNDGEQAHEGSSLVHKCPYSGLDNVLFVQGSGPHALNYNSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAENGGWNVDAHYEERMGLYIGQGITSMSRSGIFRVPHGVAVEMTERVYNLPSFNDVLEGEIFLQNLPSVVTARVLDPQPGERILDMCAAPGGKTTAIAILMRDQGEIIALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVLKTNEAKNIEEAGNCTDAVVTLTEDSEPCHIVVNAEITNASEESSTTSVVQTDNKRPDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHARYQRRMFDQAAKLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLSLGSQHPKVGGPGIVGSCELLNKTYTEEWLTEHESELVQRFDPSSSLDTIGFFIAKFDVGQKED >LPERR08G10290.1 pep chromosome:Lperr_V1.4:8:10999747:11005673:-1 gene:LPERR08G10290 transcript:LPERR08G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPSKLSEDDKLVEYDALLLDRFLDILQDLHGEDLREMVQECYEIAAEYEGKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCSDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLSDVRDKLYNTRERARQLLSSGYSDIPEETTLNNVEQFLEPLELCYRSLCACGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLENAPAAVARLFSIEWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHILEKDIRNLHVLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGENLRANYEETKKLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTDWYKVKPILFVVESPYLKSLFFRELVSNHDYTICNVIN >LPERR08G10300.1 pep chromosome:Lperr_V1.4:8:11018639:11018902:1 gene:LPERR08G10300 transcript:LPERR08G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAANSGRRRRLAATLGIAGEADTSGRWWLMAASIAGAVAIIDVSGSGGQWPQERRPSVSASQCRWALLGAAVVGVGGTHVLRWYR >LPERR08G10310.1 pep chromosome:Lperr_V1.4:8:11025081:11025871:-1 gene:LPERR08G10310 transcript:LPERR08G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKVVLAQPAARAPASPLQPRAAGHAHGGVREEAYRARAKYKNLLQDYQELLKETEAKKKRLHMEKLKKQRLLAEVK >LPERR08G10320.1 pep chromosome:Lperr_V1.4:8:11028541:11029161:1 gene:LPERR08G10320 transcript:LPERR08G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQRTYSALSDLEYRRDRVAEEDKHKAIQETLAGFLNDEVLDPKEEHYYDERLEPASVNYNIDLDDPNFD >LPERR08G10320.2 pep chromosome:Lperr_V1.4:8:11028695:11029161:1 gene:LPERR08G10320 transcript:LPERR08G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQRTYSALSDLEYRRDRVAEEDKHKAIQETLAGFLNDEVLDPKEEHYYDERLEPASVNYNIDLDDPNFD >LPERR08G10330.1 pep chromosome:Lperr_V1.4:8:11051955:11054681:1 gene:LPERR08G10330 transcript:LPERR08G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEDEAPLSAADGDEEILVAMLERKGGPIPFLQAAIDVVRRHSDMFHDPSAVSRVTSLAMAAREKVEAEERRAREAQRKEAEEVEKKAAEERKAKAPAEVKPESSARKDDMEVEKEEGNVRKPNAGNGLDLEKYSWTQQLPEVNIIVPVPQGTKSRFVVCDIKKDHLKVGLKGQPPIIDGELHKPVDTQKVEPETSKLSDLDPETRQTVEKMMYDQRQKQMGLPTSDEMQKQEMLKKFMASHPEMDFSRAKLA >LPERR08G10340.1 pep chromosome:Lperr_V1.4:8:11058178:11062336:1 gene:LPERR08G10340 transcript:LPERR08G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRNKK >LPERR08G10340.2 pep chromosome:Lperr_V1.4:8:11058090:11062336:1 gene:LPERR08G10340 transcript:LPERR08G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRNKK >LPERR08G10350.1 pep chromosome:Lperr_V1.4:8:11114050:11117699:-1 gene:LPERR08G10350 transcript:LPERR08G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFHHILKTFFNALIIAEVVLGQTEREVLLELKNFLQVQNPINHGGYNNWLESKTSPCQWQGVSCDASGRVNFLDLSNSNISGPAFQNFSRLNRLTHLDLSANSIIGELQDDLKNCLHLQYLNLSYKLISGTLDVSSLTNLQTLDLSQNRFQGSIRANFPAICRNLSAINLSNNNLTGSVSGLFNNCLKLQDVDLSWNNFTGKIWNGIARLRQFRAAKNNFTGRISSRIFSVGCKLQSLDLASNQFNGNFPSSIGNCAGLTYLSIWDNDFSGSIPPGIGSIPGLEELVLASNRFNREIPLELVNCTSLKYLDISDNNFGWEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILRLPKLALLDLSFNKFNGKLPLEISSLGSIKALMLAENNFSGTIPPSYGQLLNLQALDLSYNSLSGEIPPSIGNMTSLLLLMLAGNQLSGEIPREIGNCSSLLWLNLVGNRLSGQIPPEMARMGSNPSSTFAKNQKNPSLMKSVTNKCLAVYRWVPSSYPEFDYVQSMMLSQKNCRTIWNRLMMGYDILPASSPLRTALGFVQLSGNLLAGQIPSAIGDMKNISLLLLDGNRLSGHLPSEIGLLQLVALNASNNYISGEIPVEIGNLGSLESLDLSCNNFSGALPSSLEKLSKLSEFNVSYNPLLTGRPRPRNGTQVSGTEDYPTKEDIIPVFELFAICLHSLLSIFSTCSVVFPILRMDHHCREALATREDRGRVDEVTSYYLGRSKIQDVNITNLERKGLIASGFARISLDGEHFIIAGLRLPGSYLMQKILAKYDLELPQLGPPPSFGWWFLSGAVSTTRWSWLPIPPEGHALRTTKAVPKFLQAPKFKLDGTQKMCHNLFRIIATTFSMRDVIEVFLMLGVWPLALNWGSASTKMRNASTAFV >LPERR08G10360.1 pep chromosome:Lperr_V1.4:8:11126243:11127043:-1 gene:LPERR08G10360 transcript:LPERR08G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQQAGYKLQERYRPLVWLVWESMGVYVPLPAGEGKAGPPDVGNWHLVLVAVTRWGGRRHASMEVAQCLVVWLAKAKVMVSQF >LPERR08G10360.2 pep chromosome:Lperr_V1.4:8:11126328:11127043:-1 gene:LPERR08G10360 transcript:LPERR08G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQQAGYKLQERYRPLVWLVWESMGVYVPLPAGEGKAGPPDVGNWHLVLVAVTRWGGRRHASMEVAQCLVVWLVQIVADQTRARELERQLL >LPERR08G10370.1 pep chromosome:Lperr_V1.4:8:11127054:11129909:-1 gene:LPERR08G10370 transcript:LPERR08G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVLRPSGAARRRKSSGRRRSICSSPGTHEVVRNYIAKTCFVRVDVVFCGRQSPGGHNVIWGLHEAAHNPNSKLIDFLGPAIPH >LPERR08G10380.1 pep chromosome:Lperr_V1.4:8:11142069:11147376:1 gene:LPERR08G10380 transcript:LPERR08G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQIADDHTRFMMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFIALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSILTQMNCRTDRANLITNTAWIDVPYPLQWGPPTFSADHAFGMMAAVMVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVDFNPLKPVSHGIFSGAVGLSFMQFTNMNSMRNLFIIGVSLFLGISIPEYFFRYTMSSSHGPAHTRAGWFNDYINTIFSSPPTVGLIIAVVLDNTLEVRNAVRDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >LPERR08G10380.2 pep chromosome:Lperr_V1.4:8:11142169:11147376:1 gene:LPERR08G10380 transcript:LPERR08G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQIADDHTRFMMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFIALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSILTQMNCRTDRANLITNTAWIDVPYPLQWGPPTFSADHAFGMMAAVMVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVDFNPLKPVSHGIFSGAVGLSFMQFTNMNSMRNLFIIGVSLFLGISIPEYFFRYTMSSSHGPAHTRAGWFNDYINTIFSSPPTVGLIIAVVLDNTLEVRNAVRDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >LPERR08G10390.1 pep chromosome:Lperr_V1.4:8:11149481:11153492:1 gene:LPERR08G10390 transcript:LPERR08G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLTTTAAAAGRRLLCTSTTLDPLSSPTRTHLLALPQIEPSPTADELARLLLAHHNPFHPAESPLQLLSAGGVSLSGSNNLLVQLLLRLRGASKLALSLLHAARLHCRPPPSPDAYDAVVDALGRARQFDAAWRLVVEAAADGGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFLKDMIDHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKQMHERGIEPDVTSYSVILHVYSRAHKPELCLCMFHSMKEKGICPTVATYTSVIKCLASCGRLKDAENLLDEMASEGVCPSPTTYNCFFKEYRGRKDVNGALELYKKMKAPGSPTTPDIHTYNILLGMFIKLNRHGTVMEVWNDMCQSTVGPDLDSYTLLVHGLCENKKWKEACQFFMEMIEKGFLPQTVTFETLYRGLIQADMLRTWRRLKKRIDEEAAKFGEEFKPYHIKPYKR >LPERR08G10400.1 pep chromosome:Lperr_V1.4:8:11155330:11156382:1 gene:LPERR08G10400 transcript:LPERR08G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRRAPVGMRRCAARLHGVAVCPAWWGGRANGGARATLMGGTAASGIGKFLFFSYPHTFLPTASAALASATTAPSWSAPPQRQDDEENEIQTDGSDGILDGGGMEFKSLGPIQNLQEPHRDVRGVILARTATRMSD >LPERR08G10410.1 pep chromosome:Lperr_V1.4:8:11156475:11157359:1 gene:LPERR08G10410 transcript:LPERR08G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIDCLLDRLSSRSASRVFFIHCRTALLSLVIGSPTERKSFTSQKLAYERSDRSNVSISVLPPRGRGSGGEAAAARGAGRGARGGGGARAQGRHPRLPPLPAGAGRGSSEGEGGRGGGADAAAAAARRRPRRRVVARVLALHDALHHLAP >LPERR08G10410.2 pep chromosome:Lperr_V1.4:8:11156475:11157017:1 gene:LPERR08G10410 transcript:LPERR08G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding LIDCLLDRLSSRSASRVFFIHCRTALLSLVIGSPTERKSFTSQKLAYERSDRSNVSISVLPPRGRGSGGEAAAARGAGRGARGGGGARAQGRHPRLPPLPAGAGRGSSEGEGGRGGGADAAAAAARRRPRRRVVARVLALHDALHHLAP >LPERR08G10420.1 pep chromosome:Lperr_V1.4:8:11161492:11164253:1 gene:LPERR08G10420 transcript:LPERR08G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEQMTAERRLGYIGARFASPPDAAEELLSLLKEAETWLRRVDQLPTESMRKALHPTMSALAPKELLDHRDPDVRLTVTSCLVEITRITAPDPPYDDDVMQHIFTRVVEAFEKLDDVESPFYATRVMILENVAAVRMCVLMLDVDCDDLIRDMFHHFFRTVSNTHQQNVVTSMEAVMTFVINESDDVQQDMPSYLLQDLTSYLLKNVKMEKKETLPASFRLAEKVIGMCHEKLRPVFISLLRGTPIDEYSKVVTSLFEDAMDVDAPGEDTVSQTTKRMSLLNV >LPERR08G10430.1 pep chromosome:Lperr_V1.4:8:11173206:11185084:-1 gene:LPERR08G10430 transcript:LPERR08G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLQLPSGSVKIDFASALYAGKAEIAATAAALSLALGPPRTPQCYQHSLTKVNTALSLWPPVLCPSEFAKKRPMSSPSTPPAKKLQVQQSLPMLPRAFPNIEVLSIKRPAKLQDKATEGLVGKHTKGCNCKKSECLKKYCECFKFSVFCSKKCKCMGCKNYESNKERKAIQPITQQHTVDMHYVQNPASSGIIEQSACGISSRYCEYESFHVMVCLKVTNISGIKENSKRKRLNRAESCLSSTNHDSEAFQKEPAKQMCSLEKNLNLDLIAEGSMELPRADTYDVQKGNKRPLSPRTQALMCDEQDAVFQESKVSRATPSTMEENLLDIFKEQEKRVLTNFRDYLCELANCGRLQVAVAEDGLKMTEQVYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKCGQA >LPERR08G10440.1 pep chromosome:Lperr_V1.4:8:11191859:11197772:1 gene:LPERR08G10440 transcript:LPERR08G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSASRPLLRRVAALVGGRVRANHRLLSSSSAAAGADRASPSPADPDAVHMTDGCIRRLKELHGKELPGEGKMLRVSVEAGGCSGFQYSFSLDDKKNSDDRVFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVFKYPIDESSYEE >LPERR08G10450.1 pep chromosome:Lperr_V1.4:8:11198152:11222845:-1 gene:LPERR08G10450 transcript:LPERR08G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKQPGYRLPPKHLFGKLIKDFTTTMRFLCKSSFTSGPQTCKEWQPYHPFNHACAALEEGLPGSPGQSLRRLPHRFKELQQVLKTKLRDSMAAVIRNASRILMDAFVDSTFTFSHQSLRPTESNFAPVEEIGERTEVFEIEGAIPEDFPEGVYIRNGSNPFFGDLHMVNSIFGHSEDIWVEGEGMLHAIYFTKSREGNTWSVSYNNRYVQSDTFRMERECQRPRFLPSAKGDNIATMVAGILNKLRFGKGNRNYSNTNVFQHAGRVFSSAENDNPHEIDLENLGTLCSWDVGGDWNMPFTAHPKIAPGSGELVIYGFNFVKPFLTVGVISEDGKKLKHKADLKLDRCAFCHEIGITRMYNIIMDMPLTMDLSRILRGAPLFDYDAESYARLGVMPRYGDADSVIWFDVEPFCTFHLVNCFEEDDEVVVMRGFRIPGSILTGPTLKHTADEEPANQGLNEEYFSRLYEWRLNLKSRAVTEKYLTGTDVALEFPVINDKYVGLPHKYAYAQVVDAEGSMAGGCGIVRPKFGGFAKLHLEDKIKSGQDLIDVEYHSLGRNKFCSGATFVAKFNGANEDDGWIISFAHIIDAQRFENGPREFKRHQMMMFLMRLSNLHTLICYPGYYQVVVRGFHLPNSAIMGSRKKEHVHRFLQATFKNKNEETFSLVRKEVEPKNKDSFRQILNWLRY >LPERR08G10450.2 pep chromosome:Lperr_V1.4:8:11198154:11219014:-1 gene:LPERR08G10450 transcript:LPERR08G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIRNASRILMDAFVDSTFTFSHQSLRPTESNFAPVEEIGERTEVFEIEGAIPEDFPEGVYIRNGSNPFFGDLHMVNSIFGHSEDIWVEGEGMLHAIYFTKSREGNTWSVSYNNRYVQSDTFRMERECQRPRFLPSAKGDNIATMVAGILNKLRFGKGNRNYSNTNVFQHAGRVFSSAENDNPHEIDLENLGTLCSWDVGGDWNMPFTAHPKIAPGSGELVIYGFNFVKPFLTVGVISEDGKKLKHKADLKLDRCAFCHEIGITRMYNIIMDMPLTMDLKSYARLGVMPRYGDADSVIWFDVEPFCTFHLVNCFEEDDEVVVMRGFRIPGSILTGPTLKHTADEEPANQGLNEEYFSRLYEWRLNLKSRAVTEKYLTGTDVALEFPVINDKYVGLPHKYAYAQVVDAEGSMAGGCGIVRPKFGGFAKLHLEDKIKSGQDLIDVEYHSLGRNKFCSGATFVAKFNGANEDDGWIISFAHIIDAQRFENGPREFKRHQMMMFLMRLSNLHTLICYPGYYQVVVRGFHLPNSAIMGSRKKEHVHRFLQATFKNKNEETFSLVRKEVEPKNKDSFRQILNWLRY >LPERR08G10450.3 pep chromosome:Lperr_V1.4:8:11198152:11222845:-1 gene:LPERR08G10450 transcript:LPERR08G10450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKQPGYRLPPKHLFGKLIKDFTTTMRFLCKSSFTSGPQTCKEWQPYHPFNHACAALEEGLPGSPGQSLRRLPHRFKELQQVLKTKLRDSMAAVIRNASRILMDAFVDSTFTFSHQSLRPTESNFAPVEEIGERTEVFEIEGAIPEDFPEGVYIRNGSNPFFGDLHMVNSIFGHSEDIWVEGEGMLHAIYFTKSREGNTWSVSYNNRYVQSDTFRMERECQRPRFLPSAKGDNIATMVAGILNKLRFGKGNRNYSNTNVFQHAGRVFSSAENDNPHEIDLENLGTLCSWDVGGDWNMPFTAHPKVQHYHGYASYNGPKLFDYDAESYARLGVMPRYGDADSVIWFDVEPFCTFHLVNCFEEDDEVVVMRGFRIPGSILTGPTLKHTADEEPANQGLNEEYFSRLYEWRLNLKSRAVTEKYLTGTDVALEFPVINDKYVGLPHKYAYAQVVDAEGSMAGGCGIVRPKFGGFAKLHLEDKIKSGQDLIDVEYHSLGRNKFCSGATFVAKFNGANEDDGWIISFAHIIDAQRFENGPREFKRHQMMMFLMRLSNLHTLICYPGYYQVVVRGFHLPNSAIMGSRKKEHVHRFLQATFKNKNEETFSLVRKEVEPKNKDSFRQILNWLRY >LPERR08G10450.4 pep chromosome:Lperr_V1.4:8:11198154:11216765:-1 gene:LPERR08G10450 transcript:LPERR08G10450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSMPASSVMLNLASATSSAPMPSGSGAGSNPFFGDLHMVNSIFGHSEDIWVEGEGMLHAIYFTKSREGNTWSVSYNNRYVQSDTFRMERECQRPRFLPSAKGDNIATMVAGILNKLRFGKGNRNYSNTNVFQHAGRVFSSAENDNPHEIDLENLGTLCSWDVGGDWNMPFTAHPKIAPGSGELVIYGFNFVKPFLTVGVISEDGKKLKHKADLKLDRCAFCHEIGITRMYNIIMDMPLTMDLSRILRGAPLFDYDAESYARLGVMPRYGDADSVIWFDVEPFCTFHLVNCFEEDDEVVVMRGFRIPGSILTGPTLKHTADEEPANQGLNEEYFSRLYEWRLNLKSRAVTEKYLTGTDVALEFPVINDKYVGLPHKYAYAQVVDAEGSMAGGCGIVRPKFGGFAKLHLEDKIKSGQDLIDVEYHSLGRNKFCSGATFVAKFNGANEDDGWIISFAHIIDAQRFENGPREFKRHQMMMFLMRLSNLHTLICYPGYYQVVVRGFHLPNSAIMGSRKKEHVHRFLQATFKNKNEETFSLVRKEVEPKNKDSFRQILNWLRY >LPERR08G10450.5 pep chromosome:Lperr_V1.4:8:11216821:11222845:-1 gene:LPERR08G10450 transcript:LPERR08G10450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKQPGYRLPPKHLFGKLIKDFTTTMRFLCKSSFTSGPQTCKEWQPYHPFNHACAALEEGLPGSPGQSLRRLPHRFKELQQVLKTKLRDSMAAVIRNASRILMDAFVDSTFTFSHQSLRPTESNFAPVEEIGERTEVFEIEGAIPEDFPEGVYIRNARGEKTNPPSATSSAPMSSRSGANELRRAGSGVGDLRCTDAERI >LPERR08G10460.1 pep chromosome:Lperr_V1.4:8:11243180:11248880:-1 gene:LPERR08G10460 transcript:LPERR08G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVSSSMYGASLQPRGRRRPVGITRPNASDHFTTPITSTVKFSPFMPKSLCYTEITPATKLYMILILIAFFTQPPFKEFQQQLTTKLKEASAAVTIASSQLLDKFVDSTFTFSQQSLRPTEKNGCIASSNNIYGGATHLQSNFAPVDEIGERTEIVSIEGTIPDEFPEGVYIRNGSNPLFGALHTVNSIFGQSEDIWVEGEGMLHATYFTKNIEDNTWSVSYNNRYVQSDTFRMERDRQRPRFLSVTKGNPLAMLAASILNMVRFGKVIRNISNTSVFQHAGRVFSAAENDIPHEIDLENLGTLRSWCIDGEWNMPFTAHPKVAPRSGELVIYGFNFVKPFMTVGLVSEDGKKLKRKVDLKLERCALCHEIGITKILLDYETESYARIGVIPRYGDADSVIWFDVEPFCTLHLVNGFEEDDEADYPIKSSYCILFLVLQCSHVVVMRGFRVPASIIMGPTLEHITDEKPDKLNEEYFSRLYEWRLNLKSRGCTGNYLTGTDVALEFPVINDRYVGLHHNATKFGGFAKLYLEDKNKAHIIDARRFDNGPIAKITLPHRVPYGFHGAFILRNKNT >LPERR08G10470.1 pep chromosome:Lperr_V1.4:8:11262325:11264379:1 gene:LPERR08G10470 transcript:LPERR08G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAIDVGSCIHHLRTCGAVAGQQLHQLLYKSGHVPSSLPPSNSLLLMYTRGRSPLHARRLFDEMPLKNCFSYNSLVAAYLSSGDHREALRVFRSMPERNAFSWNTIITGVASAGGDLDVARSLVVEMPVKDPVACNAVLHRFVRCGRVDEAFALLRTVGQHCSGAEALNDPFVLATVVGACADRMKYGFGRQAHARMVVSKVELDLVLSCALVDMYCKCGDLDSAQSVLNGLTKVDDFSLSALIYGYASCGRFHEAIQLFDRQEKPSIVLWNSIISGCAFACCGDDVVALFVRMMRSDVSPDSSTYASIFNVCGFSGMLNPGQQMHGCALKSGTVNDLIVASALIDFYSKCGLWEDACRAFKELRFHDTIVLNSMITVYSNCGLIDEARRVFEMITSKTVISWNSMVVGLSQNGHATDALELFCKMHKIGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGVQSDHVVSSSLIDLYCKCGILASGRKIFDEIDRPDEVLWNSMLIGYASNGYGHEALGFFELMKTKGIIPSERTFIGVLSACCHSGLVNEGLAWFYRMQEDFSVSPSAEHYACVTDLLVRAGRLEEAVEFIENMPFKPDAVSWTTVIGGCKAQGNEAVMQKVVKKLMEMESSHPSLYVQLSSALAAQGDWVKSAEMRSMMRERRITKNPGYSWIDS >LPERR08G10480.1 pep chromosome:Lperr_V1.4:8:11269963:11270606:1 gene:LPERR08G10480 transcript:LPERR08G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMEALLERVGYHDGETVFGALYDIRRRQDSRAGRSGGSGGGSGRSSSRMNGDRQVVLVSHSKGGYFALKFLNRSPMARRWRHVKHFVMASTGAGGFLLMQTLASGFTPLSSPKVFDRDTPRKNYTVQVHDGSCGLPAFEVTLYETRALPVAMKFGAPVVPTMEKLVYWDDNFSLATDVVHGDGLVNWDLLEIFIFFNPNN >LPERR08G10490.1 pep chromosome:Lperr_V1.4:8:11275791:11277147:-1 gene:LPERR08G10490 transcript:LPERR08G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMGEESGMDYWPVWPVNSGLELDGCRVCASYAAAAPPRRWRHHHLAHIGNLLQLKYLSLKDTSINVLPQQITRLQYLQTLNVDVRGTINLPTHIFRLGRLIHLLVEMRSKLPDEIGNMQALQELKVLNVFVQSLSSLHELAKLTNLRKLSIYMYGDYDNVAERYKDHINETISMICRLVRYNLHCLTIRAAFDSADDFIQEPWCPPPFKCSRTGHQAVSIVKGSKMDWLTDKPPKIRFI >LPERR08G10500.1 pep chromosome:Lperr_V1.4:8:11278872:11279549:-1 gene:LPERR08G10500 transcript:LPERR08G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLVSAATGALKSVLVKLAAMAAGEGQMRSKGLRRQIRHLADELAAMHAFLLKMSDSEEDHDVQDKAWMKEVRELSYDIEDSLDEFMLRIGDESVNPDGLIDKCKNLVTKTKARYRIPRLIEEFKAQIRVVGERNARYRSGESISLRTTNVTVDQRALTIFQDVSSPVGIDEPKKELVDLLMNDYGNMASKQPRVISIVGVRGLGKTTIANQIFEQLKEQFDC >LPERR08G10510.1 pep chromosome:Lperr_V1.4:8:11285119:11289456:-1 gene:LPERR08G10510 transcript:LPERR08G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAMDSLLKKLTELLAEECARLKGVRREIRFLRAELNNMHALLLKCAAMEKPDIQVKAWTKELRELSHDIEDCLDEFLHGVDTNDHHRHGGIKEFFCRCARRLKTLGTRHRIANQIQELKARVVEVKEQRERYKLDDVAGSSNTCSLTVDPRISALFTEEAHLVGIDGPRDDLVSWLVHGEPEQVIRRKVLSIYGFAGLGKTTLANAIRRKIGKQFDCEALVSVSLKPDFKKILWSILSRITKKGDSVTVRDLRETWDESETMIIEKIREILLQKRYFIIIDDIWSSSAWDALKGAFPENNNGSRVITTTRIESIAKACCSLPSDRCYKIEPLSEFHSRMLLFKRVFGRVDGCPVQIIHVSDEILRKCTGLPLAIVSIASLLATRSNTKEQWEKVRASTGSVLQNSDDLEGMKTILSLSYGDLPHYIKPCLLYLSIFPEDYVIERGSLLRRWIAEGFVKEEYGQTVEDVAEGYFNELINRSMIIPMDIEYDGSVRRRTYEIWELEDEDLDLLAELPSLLQFQLWVVSLRKAKIVIKETGFYSLVTFHLWSGLPCLIFQEKSMPKLENLRLMFSACGAESYGSTHSGIEHLRSLKNVHVEIYTRGATPTNIEAAQRIINQEIAKHPNNLKTNITNSSHIYFGEVMNDSNVDEEAIAHFTDNNGDKNLDGEDNQVICALGSESSDGSD >LPERR08G10520.1 pep chromosome:Lperr_V1.4:8:11290213:11292213:-1 gene:LPERR08G10520 transcript:LPERR08G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTGRHLQLIGLRLPFDLLIVRVHLQSARLRLVDWRCFATREQREGTNLCAFYVAESIMSRGQRTYSALSDSIGWIRSLKKINTKQSKKH >LPERR08G10530.1 pep chromosome:Lperr_V1.4:8:11318847:11319104:1 gene:LPERR08G10530 transcript:LPERR08G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDLMEEEQQSTRSLVSEELKLEHTVSTPVTLIKNMDLERGGSVYNVELAQAQEMVPAPNALIYALILLPTGLVCSPAMIAELH >LPERR08G10540.1 pep chromosome:Lperr_V1.4:8:11321618:11322071:1 gene:LPERR08G10540 transcript:LPERR08G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYLGADVRLAWRALAKPVHLELGGTSFPDELCIEAVAAVSSFLRLVVYLGRRALRGDRCLNNQNLHVARCVDLGLLLLSPVLHHRVVLVDNNTRDGGR >LPERR08G10550.1 pep chromosome:Lperr_V1.4:8:11322446:11324071:-1 gene:LPERR08G10550 transcript:LPERR08G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPSSSSCDDGHPSCELTISLSACCTIRRCLLSRSLLRARASLRSTPLPPTGNGFLLDPLPNPLHQERAARPSIGRRRAVRMEKTTKELLHMNPHKNTSAAKRV >LPERR08G10560.1 pep chromosome:Lperr_V1.4:8:11333244:11337283:-1 gene:LPERR08G10560 transcript:LPERR08G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLVTAATGALNSVVEKLTEIAMAGEFERHMRSTSKGVRCQIRYLADELAAMQAFLLKMSDSEEQNADPQDKAWMKEVRELSYDIEDSLDEFMLHVDGGESVNSGGFIDKCKNLVTKTKARYRIPKLIEEFKEQIRVVGERNSRYRNLGGDSINTRKATNLSVDQRALSIFQDVSSLVGIDEPKKELIELLMKDEGHLASEKLRVISIVGTGGLGKTTIANQIFEELKEQFDCSAFVSVSRNPDVSKILRIILSEVSAEPYGNTEAGDVQQLVRKITTFLKKKRYFIVIDDLWNLENWKIIRCAFPKNTSGSRVITTTRINEVAESCCFPHEHRVYKLRPLHFVDSKRLFLERIFCSEECPLNLTKVCYNILEKCDGLPLAIIAISGLLATKEPTEDQSNQVEKSIGYALERNEDVNGMIKILSLSYFDLPQNLRTCLLYLCTFPEDYIINTRRLVRRWIAEGFIREEHGHTRYELGQRCFNELINRSLIQPMYIGKFGEVKSCRVHDIILDFIQSKSIEENFVTLLRVPSATIDLLSKVRRLSLLGNTEEEDRSDEDRSGDIYIMDKREEDASKYVDILRKMKLCNARSLTLFSNSVEIPSLLQFRHLRVLDFEDCEHLQDHHLANIGNLMQLKYLSLKNTIINVLPTQIAGLQYLETLNIDVRGTINIPAHISRLRRLIYLLVETRSKLPDKIGRMQALQELKVINVFVHSVTSLQEIGRLTNLRKLSIYVPGGYVVAERYKDHMKAMISSICKLGRANLQHLTIRAAPDSEDDFFIQESWYPPPLSLRELVINHSPMLRVPKWIGSLVNLQRLILYMKKVSQENIRVLGGLPALLYLILYVDEIFTEMDCRRLRITSNCGFPMLEFMQIGGPTCELGLIFEAGCLPKLQQLYLEYGVEETNPLKAKDVVFGIENLSRLIGIYCCIHYAYGTNLTRVAMQTAMEKAISGHTNKPTFSKDEYSIYK >LPERR08G10570.1 pep chromosome:Lperr_V1.4:8:11341158:11343973:1 gene:LPERR08G10570 transcript:LPERR08G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALKGDELGKTEKDKGNVISDDDGACKTTLEVIDSQVYASSETVDVTGYEQSSEDKESLPAEMPDYPMDNEDDLPPMEILPNSSHRDGAIYRGTDIWKTDYYIADRNETGLEAMMFSDPTDCFIHNGTCISHATCHMLQMLSLKLAKIPAEHHSVELYGYIAARDMLDPLLNYFVNFSRDNPIVVEQGSLIHMAGPKRAIRLIGTILNEYDMKIKTGQHEKEDLQLINGVSIIDDIDTWNCSPFKCRIHGDYGAIDIAATRLNFAVEATIEVVISQVQSSFSMQLGCFTSGLHEEIQLFDGAIGESRGLKRSVVAVVMGDQVDLKFKVAGDSCIRAEHCCSFKANMHGHATQEIKTDFALIRVKVTWSTLD >LPERR08G10580.1 pep chromosome:Lperr_V1.4:8:11355891:11356697:-1 gene:LPERR08G10580 transcript:LPERR08G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVRVEAIQRASSPQYTYGAPATPPMRPIGLDAPTASSAAVTNSTSSSMPHHSSFSRSGTTAAAAVRLVDGRLGVDTASNMGIDNPSVSCRAAVPPQRRHVDIASNMAVHDFPVGRRDDVARRREIDAASIMAVRNFSIGRREELAQRRDIDAASHVAVHNFSMGRHKELPRRRDVDAASHVAVHDFSMGRHRELPHRREIDVASNMAVHDFPMGRRNEFIRRREDDVASNMAVHNFPVGRRRTGLPRRREVDVASNMAVHNYRAG >LPERR08G10590.1 pep chromosome:Lperr_V1.4:8:11368457:11374738:1 gene:LPERR08G10590 transcript:LPERR08G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETMVALLRAAGVRLLSPSPSQEESRNEPPWSKFDGDLPSMLPESSGGHPRNSIDEGQGTTLVVGEISPASSSPVSQPHIGFRSIGLGEMERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10590.2 pep chromosome:Lperr_V1.4:8:11368457:11375303:1 gene:LPERR08G10590 transcript:LPERR08G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETMVALLRAAGVRLLSPSPSQEESRNEPPWSKFDGDLPSMLPESSGGHPRNSIDEGQGTTLVVGEISPASSSPVSQPHIGFRSIGLGEMERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKVRQLSLHSRDQENVLLPKEMDLSRVRSFTAFSYFAELPSLLKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10590.3 pep chromosome:Lperr_V1.4:8:11368457:11375303:1 gene:LPERR08G10590 transcript:LPERR08G10590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETMVALLRAAGVRLLSPSPSQEESRNEPPWSKFDGDLPSMLPESSGGHPRNSIDEGQGTTLVVGEISPASSSPMERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKVRQLSLHSRDQENVLLPKEMDLSRVRSFTAFSYFAELPSLLKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10590.4 pep chromosome:Lperr_V1.4:8:11368457:11370438:1 gene:LPERR08G10590 transcript:LPERR08G10590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETMVALLRAAGVRLLSPSPSQEESRNEPPWSKFDGDLPSMLPESSGGHPRNSIDEGQGTTLVVGEISPASSSPKG >LPERR08G10590.5 pep chromosome:Lperr_V1.4:8:11370082:11375303:1 gene:LPERR08G10590 transcript:LPERR08G10590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKVRQLSLHSRDQENVLLPKEMDLSRVRSFTAFSYFAELPSLLKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10590.6 pep chromosome:Lperr_V1.4:8:11370082:11374738:1 gene:LPERR08G10590 transcript:LPERR08G10590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMAPHFYSTLILAGFRSIGLGEMERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10590.7 pep chromosome:Lperr_V1.4:8:11370082:11375303:1 gene:LPERR08G10590 transcript:LPERR08G10590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMAPHFYSTLILAGFRSIGLGEMERALVSAATGALRPVIKKLAILLEQNLFKGVRAREIKSLCKELEAVDAFILKMSEKEEYHDAQDKAWLKEVRELFYDMEDSLDEFMHRINRYGNPVGFIEKCKHSLTKMKDHPRIAKAIEDVKTQTKEVAERHARYRNKEIISEANSNAMVDRRALAIFEDASKLVGIDVPKCELIEVLRVEDTCVSSQQTKVVSILGSGGMGKTTLANIAYQELKGQFNCWAFVSVSRNPDMIEVLRSILYQVGDESFLGGMDKADIQQLITKANQFLCDKRYLIVIDDIWKEDTWKTINYALPKNSEHSRIITTSRIHDVAKCCCSSNGDLVYKIRPLIPQDSQRLFHKRIFGFEEKCPSNLMIVSNKILEKCAGLPLAIISISSLLVTKPPSEKEWDQVYKSFGHVLGKNSIIEETMMQILSLSYFDLPHQLKSCLLYLSTFPEDFIIQKQRLIWRWVAEGFIQEDQLIYGEGNKLGELGERCFNELINRSLIQPCDINMDGEVMACRVHDTILDFITLKSVEDNFFAVSGSGYQMFNGVKVRQLSLHSRDQENVLLPKEMDLSRVRSFTAFSYFAELPSLLKLSFLRVVDLEGCKQLESHHLANIKTLIMLKYLGLSKTRAGELPEQIGSLKCLQTLNLQETKIKELPSSIIRLGRLVRLAIDNHVKLPDGIGSLTALEELEGVNVFRQSIEFLQELRKLTNLRILSLNVSSSFNMKCTTREHHYREPTTLAQLTSQRILNLFSSHNDYKMCAKPESHHKDYMDIILSSLCKLERLHSLCFHTDLTTLFSPNKLFVELCDYSLPSAFREFSYGDYISRIPNWIHYVVSLQRLSLNIKELKVEDVLSLGCLPVLEYLRLKVRKVFPGIRITISGENGFGFLRTFHFGCAIPVAFEAGAMPKLEKLWLLFDVLKTNQVSGNGDFAFGIQHLSNLQSIYCVFYTNVDDEFEMKKCWLYETVKAQMADNVTESEIYRFIHDELNAPTESAKAAITRELNVLPNSPKFDQLVTGTWLFGNLFRATSRMRKIRNRWIAGVIEEFKTGAA >LPERR08G10600.1 pep chromosome:Lperr_V1.4:8:11375753:11376446:-1 gene:LPERR08G10600 transcript:LPERR08G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVQLNIPATKAWEMFTNNEILGKVNPEMLSGVEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVEAGQCFGYEVIRGELKDVYDPYHVTFSFSPVPGKEGEQCIAGWKAEFEPISPTTPPPEKAKDAALGLLKLFETCEAAN >LPERR08G10610.1 pep chromosome:Lperr_V1.4:8:11378287:11382697:-1 gene:LPERR08G10610 transcript:LPERR08G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLFVSAIGYGLCSSNASTLHSPPSRVRSSPAPFSSVPSRGEFARHLRPSPPSRRELLSRCFRPRRKMLNLIKIKGQRKEDAASANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGRFVFTFQVPPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIQPNDEDPLNHEAAEVLRDNPEKFARNVQKAMAGGYVGETHFPRCM >LPERR08G10620.1 pep chromosome:Lperr_V1.4:8:11386626:11389214:-1 gene:LPERR08G10620 transcript:LPERR08G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLHPFPSLLHPKPHHFPPQFPSKLRHGRLQEPVMASPQAPPLTLPLSLQETRSAAHRHDRSSSIQEAGARPPGPPARVEPRFVAETKLITVHSCAGRLGDARKVFDGMRHRDLLAWSAMIGAYAIRGMYGEVVALAVSMVEEGVLPDRFLITRILQACAYADDLELGRMLHSVAVKRGFMGRVRDVPVGNSVLVMYAKCGDLVCARKVFEKMACRDLGTWNSMIFGYCQSADWEEARRLLDAMRQEGTEPGVVTWNTLISSYARSGDLDVAMELLGQMEESGVAPDVVTWTSLVSGLVHMDRSDEALRCFIRMRLAGVEPNGMSIASAISACACLKLLNQGRELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVSAQRIFSEIPEKDIFSWNSMIAGYAQAGYCGKAYELFCKMENYDVRRNVITWNTMISGYIRNGDDERAFELFQMMESYGVKRDTATWNILIAGSVHNGYYDRAFRIFRQMQSLLMRPDYITILSIIPAFANLIASWKVREIHACVFHHNLELDGKIANALINAYSKSGDLASACAVFDMHPSRNIISWNCIILAHLLHGSPNEALILFSQMKQEGVLPDHTTLVAVIKAYGLMGKISEGSQTFLNMANDYNITPELDHYVAMVDLLGRSGRLKEAYELIDAMPLIPNSTVWEAFLSAAVRHGNVRLAHLAARELSAIDPGDHRVQRLASSLQDLTGKSVDVPKETAPNKGRKSDEVEGCSVEIRNNVYVFSNGDIVGLEDTVAELKSMMIKMGHSMLDIGVGSLDVEEEKEEIVGVHCEKLAIAFGISNSSHFKKIRIIKTARMCNHCHSFAKLVSKKYERHILIKDPKCLHKFEDGKCSCEDYW >LPERR08G10630.1 pep chromosome:Lperr_V1.4:8:11392182:11397581:-1 gene:LPERR08G10630 transcript:LPERR08G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRNRRHVHVHLSHVCPTAAYTLSLHKKIPSSPLRRRRRRRRRLPTRSKPPPPVRGESLRTEPHVRPRDYAESSAPPPPLGGFEMESMPLTTEAIAFTEKKMDMTLDDIIKMSKKKPGGKKPSRQPIKKRPFQNGNTNQGNAKVQQFMESRSSIRQGVLAQRRTNLSGNQFSATKQAAKKAATMPIRSRGVRLNKPSATSNSVQRRPVGEAFQNGKGKEVQNQAPRTMDALFAQMKQQRMRTMPQQQTNTVPGRQFAQQRRNQQQRRGRGRGRNGVGQHTDFAE >LPERR08G10630.2 pep chromosome:Lperr_V1.4:8:11392548:11397581:-1 gene:LPERR08G10630 transcript:LPERR08G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRNRRHVHVHLSHVCPTAAYTLSLHKKIPSSPLRRRRRRRRRLPTRSKPPPPVRGESLRTEPHVRPRDYAESSAPPPPLGGFEMESMPLTTEAIAFTEKKMDMTLDDIIKMSKKKPGGKKPSRQPIKKRPFQNGNTNQGNAKVQQFMESRSSIRQGVLAQRRTNLSGNQFSATKQAAKKAATMPIRSRGVRLNKPSATSNSVQRRPVGEAFQNGKGKEVQNQAPRTMDALFAQMKQQRMRTMPQQQTNTVPGRQFAQQRRNQQQRRGRGRGRNGGNL >LPERR08G10640.1 pep chromosome:Lperr_V1.4:8:11398167:11399620:-1 gene:LPERR08G10640 transcript:LPERR08G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYEILNVDRSATDDDIRRAYRRLAMRWHPDKNHTGKKDAEAKFKDITEAYNVLSDGGKRALYDQYGEEGLKAGGAPPQTGGGGADDIFAEFFGSTPFTYCNTGSGNTARAKQQAAWDAGGGGFSRDQGGGGGGPVPTVPPPVESKLPCTLEELYVGVTKKMKISRNVVDATGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHDMYRRDGNDLVAEARVTLADALAGTVVVLATLDGRELAVNTGLGDGDEDNAPVVCPGYEVVVPMEGMPIAREPGRRGSLRVRFDVAFPERLTRRQRQKIKRILDSTR >LPERR08G10650.1 pep chromosome:Lperr_V1.4:8:11402226:11408243:-1 gene:LPERR08G10650 transcript:LPERR08G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGAGAGGVRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLAFHKVDLRDKVALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAYGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAETLFSSTAKAEKELNWKAKFGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHHSFGTGSPKQNGHYTNGFSESTKHNGHNGYGLVDSTKHNGNGHFH >LPERR08G10660.1 pep chromosome:Lperr_V1.4:8:11422704:11424794:1 gene:LPERR08G10660 transcript:LPERR08G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHHLTVAAARSHASLLKSGVASPTPWNQLLTAYSRSPEGLAAARRVFDDAPLRDAVTWNALLAAQVASGAHPEAWRLLRAMHAQGLAANTFALGSALRSAAAARRPAVGAQLQSLALKSGLSDNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGGMATAVELFLEMERDGLFPDEATFASLLAAVEGPSCSLMHQLHGKTVKYGSALGLTVLNAAITAYSQCGSLEESRRVFDGIGDIRDLISWNAMLGAYTQNGMDDEAMKFFVRMMQESGVRPDMYSFTSIISSCSEHGDDDCRGRVIHGLVTKSGLEGVTHVCNALIAMYARYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSTDALRFFRCMLSENIRTDEYAFSAALRSSSELAVLQLGKQVHSLVIHSGFSANDFVSSSLIFMYSKSGIIGDARKSFEEADKSSSVPWNAMIFGYAQHGQAQNVDILFNEMLQRKVPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACGVDLYGRAGQLDKAKELIDSMPFKPDAMVWMTLLGACRIHGNVELASDVASHLFMAEPRQHSTYVLLSSMYSGLGMWNDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKLHPKMAEIYEILRVLLQVEQMLSYCEDEDIVMITSSGI >LPERR08G10670.1 pep chromosome:Lperr_V1.4:8:11426927:11435140:1 gene:LPERR08G10670 transcript:LPERR08G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTLSGYIGQTELPTAKVLSSMSSDLTLPASMEKDPGRDMEALIQRLRLHQPRPSPYAAGNPSATVAPSPDAAGQLFRPRRAAVLVCLFRGGDGELRVLLTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDSSLVTVVASLEHFLSKHLLVVVPVVGILSDIQSFRPVLNVDEVDTIFDVPLEMFLKDEKRTSDELEWMGQEFTIHHFSYEKGNEKYVIWGLTAGILIHTASVVFQRPPDFPERRVEAAGAGAEDIEALVRRLRLYQAPPSPYGPSSTLPAGSGGGELFRPRRAAVLVCLFRGGGGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVSEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVQFNLPKF >LPERR08G10670.2 pep chromosome:Lperr_V1.4:8:11426927:11435140:1 gene:LPERR08G10670 transcript:LPERR08G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTLSGYIGQTELPTAKVLSSMSSDLTLPASMEKDPGRDMEALIQRLRLHQPRPSPYAAGNPSATVAPSPDAAGQLFRPRRAAVLVCLFRGGDGELRVLLTKRSSSLSTHSGEVALPGGKAEEATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVSEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVQFNLPKF >LPERR08G10670.3 pep chromosome:Lperr_V1.4:8:11427069:11435140:1 gene:LPERR08G10670 transcript:LPERR08G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLTLPASMEKDPGRDMEALIQRLRLHQPRPSPYAAGNPSATVAPSPDAAGQLFRPRRAAVLLRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVSEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVQFNLPKF >LPERR08G10680.1 pep chromosome:Lperr_V1.4:8:11438578:11441472:-1 gene:LPERR08G10680 transcript:LPERR08G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLLLLPLAVTGAAPLAADFAALLAAKAAITDPTSALAAWDPSLSPSLSPCRWPHLLCSDSSSSSVASVLLSNLSISGEFPSPLCSLRSLARLDLSYNELSGRLPECLAGMPSLKHLDLAGNGFSGEVPVSYGAGFGSLLTLSLAGNELSGEFPAFLTNVSSLEELLLAYNPFLPSPLPEKITGVSSLRVIWLAGCNLVGEIPSSIGRLKSIVNLDLSTNNLTGEIPTSIGRMESVVQIELYSNQLAGSLPEGIGALKKLRFFDAAMNRISGEIPADIFRAPRLESLHLYQNELTGRVPATVADAAALNDLRLFSNRLVGDLPPELGKKSPLEFIDLSDNRISGKIPATLCAAGKLEQLLVLNNELVGEIPAELGQCRTLTRVRLPNNRLSGEVPPEIWGFPHLYLLELAGNALSGELAPSIATARNLSQLLISDNRFAGALPPELGSLPNLFELSAANNMFTGQLPASLTVVATLGRLDLRNNSISGELPRGVRRWQKLTQLDLADNRLAGNIPGELGDLPVLNSLDLSNNELTGDVPVQLENLKLSLFNLSNNRLAGELPPLFAGEIYKDSFLGNPGLCAGDSCRNSRRGRAGRRGGLTAAVLAVAAVVVLLIGVAWFVHKWRSQSKWRTDAAAGGGGGEEEKARWVVTSFHKAEFDEGEILGCLHDEDNVVGTGAAGKVYKAVIGNHHVVAVKKLWTTANKSAAAAELKDTFEAEVATLGRIRHKNIVKLWCSLRRGDHRLLVYEYMPTGSLADVLHGNGIVKNVHLLDWPSRHRIMLDAANGLAYLHHDCSPPIIHRDVKSSNVLLDADLRAKLADFGVAKSIGGAGAVSAVAGSCGYIAPEYAYTLRVTEKSDVYSFGVLMLELLTGKMPAAGGNDLVRWVCGGGGVESVLDARLASAAPEEETRRAISVALLCASSLPINRPSMRSVVKLLMEVRPESKEKIAMEEKPLLV >LPERR08G10690.1 pep chromosome:Lperr_V1.4:8:11459190:11462821:1 gene:LPERR08G10690 transcript:LPERR08G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSSSSGGSGWSLTVDPSLGGGQQNHQAPPATPTPRFGSIVTVLSIDGGGIRGIIPGTILAFLEEKLQELDGPEMRLADYFDVVAGTSTGGLVTAMLTAPGGDGRPMYAAKDIVDFYLKHCPKIFPPAKNFPPIIKGPLGLLKGMMGPKYDGRHLRSIVQELLGDKTISQAITNVLIPTFDIKLLQPIIFSRYDAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKSGEPRVFNLIDGGVAANNPTLLAMNHVSKQILLGKQDFFPIKPADYGKFMVLSLGTGSAKLDGRSFTADESSRWGLLGWLRNEGGAAPLIDSFTHSSSDLVDIHASVLFQALRSERHYLRIQDDELVGDAASVDVSTPENLRALVDAGTKLLGRQACKVNLETGKNEADAGRGTNEEELVKFARMLSQERRARLGKQESVTGHV >LPERR08G10700.1 pep chromosome:Lperr_V1.4:8:11500927:11501966:1 gene:LPERR08G10700 transcript:LPERR08G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVEDAVHHALIAVAAAALVALAVALFLLWRRKREATARAAAGGDGEASALPVIPLADVERATDGFHPSRVIGRGRHFTVYAAAPGLAAKRMRPHLAAAGAPRPMSWRERAAVAAGTARGLAHLHAHGVAHGRVSPRNVLVDAAAASHGVLVSDYGLSTFLHDDIGDGAGGAPESDVYMFGAVLLQLLTGRQWDGGRLAQWALPLIRAGATASVLDVERAGEPADKAESRLLARTARVALACVANDGRSRPRMSEVSAILDDVEAAYRRRDGGELEREVDGGEERFSGCLLGPGRSTHRSETILRLPV >LPERR08G10710.1 pep chromosome:Lperr_V1.4:8:11518195:11520254:-1 gene:LPERR08G10710 transcript:LPERR08G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIRDVWHHFDGRRGSDAAAAAGGDSFNEDGGDESGSDKAGRLRRWRRADDSNEAG >LPERR08G10710.2 pep chromosome:Lperr_V1.4:8:11518195:11520254:-1 gene:LPERR08G10710 transcript:LPERR08G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDFALVDEDVWHHFDGRRGSDAAAAAGGDSFNEDGGDESGSDKAGRLRRWRRADDSNEAG >LPERR08G10720.1 pep chromosome:Lperr_V1.4:8:11521269:11526121:1 gene:LPERR08G10720 transcript:LPERR08G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDIDWRSDLGQDIRNMTTNYILRNLQMKLETANSTALIDLQKVAARIESRIHTIAADYGDYLRRINLVKKDMEDSHPILWSTFLYIRQQGKTIQADRNVHGRSFSSMLYSQVLLNERKKPSYPCGEDRISELPHDLIHHIMSLLSIREAVRTSVLSRWWVNKWTGLQNIKLDIHSFRLDREKFRNFVDKLLLHLHKVNSIMHTFQLDSFALDCANCWINHAIEHKAKVLKFAEYEQWEPFYLDPKFVGFSSQFLKTLELTNAALDQTVFDPLNSTCPALENLDQRIRSSWYKNSYRILATVTLIDASNVRCMELSAIDRQFTFVEQNGSGPMFRNLRSLRMGTWCTADLFSPLRRYVQFSPMLESVLLKLSTMDRRYELTSDQLAALVDISHAGAIYIHFE >LPERR08G10730.1 pep chromosome:Lperr_V1.4:8:11539490:11539908:-1 gene:LPERR08G10730 transcript:LPERR08G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGSDGGERGRRSVAVATAAGGDGSRRSGGLPPDLWQQCLATRAGGVTWHRAGVRVATAMTMAPGGGRRRLAAEGAAATASVSAGRLRPAYNNG >LPERR08G10740.1 pep chromosome:Lperr_V1.4:8:11566549:11569366:1 gene:LPERR08G10740 transcript:LPERR08G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMMQRSSLDLVLVPCGLLIMFGYHLILLYRILRHPGSTVIGYENHNKLAWVRRMVQASPDETSLALSVISSNIGASTNLASLCIALSSLIGAWVSSSSKVFMTELVYGDRTQATATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLGSDVPASYIQHAVIRGGNFWSLGLRALYFATMLLMWIFGPIPMFACSVLMVFILHLLDSNTLPLHNHQFTIRKRNDQRALASTLVTRHPSPQNSILSNPVLTPVTFSN >LPERR08G10750.1 pep chromosome:Lperr_V1.4:8:11567890:11571632:-1 gene:LPERR08G10750 transcript:LPERR08G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPPPAASPPKPKRKPLGPSALNPNWAQLQSKLPRPAATLLGKRKHRGTTPSPPPTAPSPPLEAAAAEVEVKLEPTSDDTSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYVRPVEWIVDYRTHISGIRPKHMNKAKDFWVVQKDVADLIKGRILVGHALHHDLKVLLLSHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYSKHKKSWEKSMKEQFRFRKKLKKRGKKKPAEGSGNDPNVPTVKETRKQTRREMYLTVAVAWVRSP >LPERR08G10750.2 pep chromosome:Lperr_V1.4:8:11567890:11571632:-1 gene:LPERR08G10750 transcript:LPERR08G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPPPAASPPKPKRKPLGPSALNPNWAQLQSKLPRPAATLLGKRKHRGTTPSPPPTAPSPPLEAAAAEVEVKLEPTSDDTSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYVRPVEWIVDYRTHISGIRPKHMNKAKDFWVVQKDVADLIKGRILVGHALHHDLKVLLLSHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYSKHKKSWEKSMKEQFRFRKKLKKRGKKKPAEGSGNDPNVPTEANLAEWMKQVKETRKQTRREMYLTVAVAWVRSP >LPERR08G10750.3 pep chromosome:Lperr_V1.4:8:11568938:11571632:-1 gene:LPERR08G10750 transcript:LPERR08G10750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPPPAASPPKPKRKPLGPSALNPNWAQLQSKLPRPAATLLGKRKHRGTTPSPPPTAPSPPLEAAAAEVEVKLEPTSDDTSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYVRPVEWIVDYRTHISGIRPKHMNKAKDFWVVQKDVADLIKGRILVGHALHHDLKVLLLSHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYSKHKKSWEKSMKEQFRFRKKLKKRGKKKPAEGSGNDPNVPTVLL >LPERR08G10750.4 pep chromosome:Lperr_V1.4:8:11568938:11571632:-1 gene:LPERR08G10750 transcript:LPERR08G10750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPPPAASPPKPKRKPLGPSALNPNWAQLQSKLPRPAATLLGKRKHRGTTPSPPPTAPSPPLEAAAAEVEVKLEPTSDDTSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYVRPVEWIVDYRTHISGIRPKHMNKAKDFWVVQKDVADLIKGRILVGHALHHDLKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYSKHKKSWEKSMKEQFRFRKKLKKRGKKKPAEGSGNDPNVPTVLL >LPERR08G10760.1 pep chromosome:Lperr_V1.4:8:11578421:11579773:1 gene:LPERR08G10760 transcript:LPERR08G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLPVASPQPSIPKRKRAKNSLHSKNPRTVQDQIPPQALMYTNQPHGNHLQMLHQTFLVQPKSKEYCSSNVELPSAQVGSQQKGHGMFSDYNLYVKVVAHMIQSHNWIFIWMNQLEWPELSRMACDVLVVHVSSVASESTFSESHRVITFNRSSLKSKTVDALISLHD >LPERR08G10770.1 pep chromosome:Lperr_V1.4:8:11587732:11588323:-1 gene:LPERR08G10770 transcript:LPERR08G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTGEMIIIRRRAQRRGRLAGGRRCSGQGSWCGSRWRVWQRAASEPRLPCGGCGTQWPVAGTAAGGGRGAFGGTRRARQWPVKQPVAGGSLSLCILFNL >LPERR08G10780.1 pep chromosome:Lperr_V1.4:8:11601152:11606419:1 gene:LPERR08G10780 transcript:LPERR08G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPKMERVAIAILISCCTIAVAMLPPPAAVSADSSGCTRRCGNISVPYPFGMEPGCHYPGFNLTCVHDKSSAHRPSMLFLYDGATPSQVLDISIPNATVRISSPRMAFSFSSPTDRELINRTFEVKRPYYLSSSSNMIALVGCDARVDVRGGVNNTLISSCTAVCPSPTASEAYIGAVEGVCSGVGCCQANMVLEYSRYSIQIDNLQEKDVFKPISDYSAYIIDQPFNYTSDMVFQGSYPEALPAMLGWFIISNNSTCRMYTNESALAPDPSAPECRSVHSFCEPYSYSAGDPVGYTCQCSPGYQGNPYVKDGSFGIMIGLIVSGGALLLLLGVVAPFVLISRNTDFAERMIITLEDLGKATNNFDKTREVGDGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYCHLHIDGPISLPWNDRLRITLEVARALSYLHSASSMPIFHRDIKSSNIPLDDNLTAKVSDFGASRYISINQTGITTAVQGTMGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPFGDTFDSGDSLVSHFVLVFSKDNLYDIIDPQVRDEEDGEALEVATLAIACTKFKGEDRPAMREVEMALENIASKKGLFHNRNTITSRRNNEISTRYTSFEGVTKEVTTESTTKEEILLSSRFTP >LPERR08G10800.1 pep chromosome:Lperr_V1.4:8:11640526:11641278:-1 gene:LPERR08G10800 transcript:LPERR08G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIDGNRPPSEVSVDADDDWVIVKKQRITILIPPPSPAAASCQDNTQQKSSGLTCLAKKSRGDCAARKKHPNQMLSKKAQGIKVSANIKEAQESASENKNHKDISAIRGDISSRSPVASVVKADQTVPVDHAAIEGQVDEDIVKTGNSFGNIYKPELPVISSQVTNKIIRARLLDRRVAAFGGLRNWLFTCGLGWFVDILDNEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIESVSQPNQFEIFS >LPERR08G10810.1 pep chromosome:Lperr_V1.4:8:11645507:11647126:-1 gene:LPERR08G10810 transcript:LPERR08G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLLPPACAAAPCLLPGRASFPTSVAASARRRVSLPAASLPRNGGCRGVGAVGLGGIRRAPGVRRNAAPETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIDMIRNIIDNEL >LPERR08G10820.1 pep chromosome:Lperr_V1.4:8:11647234:11660985:-1 gene:LPERR08G10820 transcript:LPERR08G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIKEEPGARAPKPLSSQLDILKNSIQSLRDSNTHDFDAWVSLIKAAEQTSINDIEVINLVYHSFLLEFPLCYGYWIKYAAHKARLCTNGDVVEVYEQAVQAVPHSVDLWVSYCGFAVSAYEEPAHIRRLFQRALSLVGKDYLCYQLWDKYIEFENSQKQLIQLATIYIDTLKFPTKKLHRYYESFKKLATLMEHEATNAKSSSENLRTLEMIKAEDSEVDASINIAALHDENSGHLRADAVKQYLLSGEILYQRSSKIDKEISCFETSIKRPFFHVKPLDDDQLENWHRYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDANGGREIASYALGRASSCFVKGVPTFHMYYAMFKEQIGDAQGARSLFVKGSNNPTSNFYVNINRLANMEKRMGNTKAASEIYETAIQDAMLRNIEILPDLYTNFAQFKYAVNHNISEAKEVFVEGIKQAPSKSLIKGFMQFMSTHGGPTEIPIIDCVISDAVVPGSDISTILSSEDREDISLLFLEFVDLYGDIRDLRKAWARHSKLFPHNTRNMSQRYCNSEDSLQENNKRRRTEVVAQDDSPKDAVPLEQLSKSDTSLLIDKVVELQVDMSAVDSGIGHRDVEEQNKLGNVDVDQEVGDTAQERIDMTYSQHSLDKSGMQNQAKEHATRESSHNLSLCEQNDQTTESRPSICENAPHAESFTCDSPSKSNSGCKINPLDTADTIDVSASVHQVAICPRSDSLSVASLPKEESSPDPVQISPELEEKQHDKIQEKLETKDDTSVSNANIVKSGDSPDASQHAREISALSQEYIQSSQTQQLPFCARPSSSEMATTQATTSSQFSPSTAVTSQALRHQISNSQMYQPDKLPLAEQNMQQQGLAYEIPHNVQTSSQSQAQLSAQPNLGNQQSLQTVQCFAPQMWQYYQQQMYYLQAQLRVGDARNLKTEHGQHSEVSQSDGSKLQSDSFFSPCLKIRANAP >LPERR08G10820.2 pep chromosome:Lperr_V1.4:8:11647234:11660985:-1 gene:LPERR08G10820 transcript:LPERR08G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIKEEPGARAPKPLSSQLDILKNSIQSLRDSNTHDFDAWVSLIKAAEQTSINDIEVINLVYHSFLLEFPLCYGYWIKYAAHKARLCTNGDVVEVYEQAVQAVPHSVDLWVSYCGFAVSAYEEPAHIRRLFQRALSLVGKDYLCYQLWDKYIEFENSQKQLIQLATIYIDTLKFPTKKLHRYYESFKKLATLMEHEATNAKSSSENLRTLEMIKAEDSEVDASINIAALHDENSGHLRADAVKQYLLSGEILYQRSSKIDKEISCFETSIKRPFFHVKPLDDDQLENWHRYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDANGGREIASYALGRASSCFVKGVPTFHMYYAMFKEQIGDAQGARSLFVKGSNNPTSNFYVNINRLANMEKRMGNTKAASEIYETAIQDAMLRNIEILPDLYTNFAQFKYAVNHNISEAKEVFVEGIKQAPSKSLIKGFMQFMSTHGGPTEIPIIDCVISDAVVPGSDISTILSSEDREDISLLFLEFVDLYGDIRDLRKAWARHSKLFPHNTRNMSQRYCNSEDSLQENNKRRRTEVVAQDDSPKDAVPLEQLSKSDTSLLIDKVVELQVDMSAVDSGIGHRDVEEQNKLGNVDVDQEVGDTAQERIDMTYSQHSLDKSGMQNQAKEHATRESSHNLSLCEQNDQTTESRPSICENAPHAESFTCDSPSKSNSGCKINPLDTADTIDVSASVHQVAICPRSDSLSVASLPKEESSPDPVQISPELEEKQHDKIQEKLETKDDTSVSNANIVKSGDSPDASQHAREISALSQEYIQSSQTQQLPFCARPSSSEMATTQATTSSQFSPSTAVTSQALRHQISNSQMYQPDKLPLAEQNMQQQGLAYEIPHNVQTSSQSQAQLSAQPNLGNQQSLQTVQCFAPQMWQYYQQQMYYLQAQNLKTEHGQHSEVSQSDGSKLQSDSFFSPCLKIRANAP >LPERR08G10830.1 pep chromosome:Lperr_V1.4:8:11661374:11663722:-1 gene:LPERR08G10830 transcript:LPERR08G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITALELPRPSAVIPATESATPVSPIDDSVSVHGVNEGLRRGGGEGRELRADNKLVVRALARKAIALLKVTARGANYDPVIRTLQQLLAEHYCKERLAKQREAEEARKGIEKLERLDLEAADHHRDKGARHYHFQFQFSDLVSM >LPERR08G10830.2 pep chromosome:Lperr_V1.4:8:11661281:11663722:-1 gene:LPERR08G10830 transcript:LPERR08G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITALELPRPSAVIPATESATPVSPIDDSVSVHGVNEGLRRGGGEGRELRADNKLVVRALARKAIALLKVTARGANYDPVIRTLQQLLAEHYCKERLAKQREAEEARKGIEKLERLDLEAADHHRDKALGRANS >LPERR08G10840.1 pep chromosome:Lperr_V1.4:8:11668738:11674991:1 gene:LPERR08G10840 transcript:LPERR08G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAADESPLVELAAASAPAQTSASAAGFTRAVRCRGAGCSGDDGEDGGGGGGAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDVEVNNRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAVLNEEQSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTTEIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVSLFAIASFGSIMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRPTLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRLIEVQMPYGGIEEECVDIGNNGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRNSGRISYEAESPDEGALVAAARELGFEFYHRSQTTISVHEYDPVFGRKMDRTYKLLNTLEFSSARKRMSVIVRTEEGQLFLFCKGADSVIFERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDECYCCGGQIAKGECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKGMEEICITLDSPGTNASEEHNGEGSGMAPYEQIGRKLEDARRQIFLKGTSTPFALIIDGNALTHALTSSLRSAFLDLAVDCASVLCCRISPKQKALITRLVKARIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGFNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSSHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWCSILIWYTFLVIYGSFPATISTSAYHVFWEACATSPLYWLSTLLIVVTALIPFFLYKITQSLFYPQHCDQAFQFQVLL >LPERR08G10840.2 pep chromosome:Lperr_V1.4:8:11668738:11674991:1 gene:LPERR08G10840 transcript:LPERR08G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAADESPLVELAAASAPAQTSASAAGFTRAVRCRGAGCSGDDGEDGGGGGGAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDVEVNNRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAVLNEEQSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTTEIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVSLFAIASFGSIMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRPTLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRLIEVQMPYGGIEEECVDIGNNGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRNSGRISYEAESPDEGALVAAARELGFEFYHRSQTTISVHEYDPVFGRKMDRTYKLLNTLEFSSARKRMSVIVRTEEGQLFLFCKGADSVIFERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSIHNDHDVAVEKASENIEKDLILLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKGMEEICITLDSPGTNASEEHNGEGSGMAPYEQIGRKLEDARRQIFLKGTSTPFALIIDGNALTHALTSSLRSAFLDLAVDCASVLCCRISPKQKALITRLVKARIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGFNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSSHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWCSILIWYTFLVIYGSFPATISTSAYHVFWEACATSPLYWLSTLLIVVTALIPFFLYKITQSLFYPQHCDQAFQFQVLL >LPERR08G10860.1 pep chromosome:Lperr_V1.4:8:11678065:11684169:1 gene:LPERR08G10860 transcript:LPERR08G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLATSPTIAPSASAASAVCRPMAAAAVPLSCSRSSRSVGWRRRRLGVAVVAMAADGEREREGSAAAGAGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIKDLGDTEYGTSKVSLAEIVFLWSSSFSAKMALKETSGQCNLVLERPFSPFPIHQLHQNEDYHLLFNKGRVALASWNNALLSSSKLNESSVGNGNPGFAIFSPRLLNSHGWTVLSSEQDGLNQHSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAILNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVTFVWSSGREGEDVELVGDFTSNWKDKVKCIHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVAKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >LPERR08G10860.2 pep chromosome:Lperr_V1.4:8:11678065:11682397:1 gene:LPERR08G10860 transcript:LPERR08G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLATSPTIAPSASAASAVCRPMAAAAVPLSCSRSSRSVGWRRRRLGVAVVAMAADGEREREGSAAAGAGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIKDLGDTEYGTSKVSLAEIVFLWSSSFSAKMALKETSGQCNLVLERPFSPFPIHQLHQNEDYHLLFNKGRVALASWNNALLSSSKLNESSVGNGNPGFAIFSPRLLNSHGWTVLSSEQDGLNQHSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAILNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVTFVWSSGREGEDVELVGDFTSNWKDKVKCIHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVAKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >LPERR08G10860.3 pep chromosome:Lperr_V1.4:8:11678065:11683530:1 gene:LPERR08G10860 transcript:LPERR08G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLATSPTIAPSASAASAVCRPMAAAAVPLSCSRSSRSVGWRRRRLGVAVVAMAADGEREREGSAAAGAGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIKDLGDTEYGTSKVSLAEIVFLWSSSFSAKMALKETSGQCNLVLERPFSPFPIHQLHQNEDYHLLFNKGRVALASWNNALLSSSKLNESSVGNGNPGFAIFSPRLLNSHGWTVLSSEQDGLNQHSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAILNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVTFVWSSGREGEDVELVGDFTSNWKDKVKCIHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVAKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >LPERR08G10870.1 pep chromosome:Lperr_V1.4:8:11682397:11684240:-1 gene:LPERR08G10870 transcript:LPERR08G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLSSSVLASPCSSGKLAAAASVSAAARISGAAAIAVSRRSAIAGGGRCVAVASSSSSSSAVTTAEAGGAVPATMKAWAYDEYGDGTVLKFKDAVPVPDIADDQVLVRVAAAALNPVDSKRRAGKFKATDSPLPTVPGYDAAGVVVKVGSKVKGLKVGDEVYGNINEKALEGPKQSGSLAEYTAVEEKLVAIKPSNLDFAQAAGLPLAVETAHEGLERAGLSAGKSVLVLGGAGGVGSLAIQLAKQVFGAAKVAATASTPKLELLKSLGADLAIDYTKENFDQLPDKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVPPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLDAGRATGKEFVYILLNR >LPERR08G10880.1 pep chromosome:Lperr_V1.4:8:11715253:11717012:1 gene:LPERR08G10880 transcript:LPERR08G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRSIIPLLLLLLLNNAAAVVAGGGGVNPGGVVGGADAFSAYSPDLNVVGDSFRRYGRDSSRRDDSFSSYEAEGNVVTSNFTSYAGGATGGSGSFSSYAADTNVPESTFTNYDAEANGRRREFVSYSQEANHGESGFSGYGKNGNGLRETFTSYGNESNVIASGFANYGESANGATDTFTAYGKEGNVPENTFRSYGTGGNAGVDTFKVYRSESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGSVAFKGYGEGNNPNHHIGFKEYAGSNNSFKGYAKSGVEFKEYHNTSADGAAMTMSLDAMSSSGGHQHLKWSPEPGKFFREVELVTGNRMPMPDIKDKMPPRAFLPRDIANKIPFKPNAVSEVFGVPLDTALGKAVTSTVAECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGEIRLGDVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >LPERR08G10890.1 pep chromosome:Lperr_V1.4:8:11723955:11724257:1 gene:LPERR08G10890 transcript:LPERR08G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRPLNPTPRCRRAPPRPVDAGGLPSRRPRPPSAIAFVDGPDLGARGVGLHRRSPSAATTPTGPPPTGGIEAVASTTTSPRHPRLALLRGPRRRRRRR >LPERR08G10900.1 pep chromosome:Lperr_V1.4:8:11732466:11736520:1 gene:LPERR08G10900 transcript:LPERR08G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTVSAIQRIPISNKGEKCFAYPCPYPHQRLSTNSHSPRLIHLTKKPPSPLPSQCSTSPSPFTPSLLPLSDLLLPSMAAALASPRCCCRPSPRPSASRGRRRPVARCALPSDHDDEKRNSFSWKECAISVALSAGLITGTPTLGWSAHASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILDGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPFEVPEEYSNMPLLKGRATVDMKVKIKDNPNVEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTGKVRTIPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYSVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >LPERR08G10910.1 pep chromosome:Lperr_V1.4:8:11738654:11744014:1 gene:LPERR08G10910 transcript:LPERR08G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQMAYEQHKNDTLDSPKASKFTGIEMTEEQAVAEQQRMFAEARARMNNGSQNMKRSSKHHSRHSLICTLNHSNPCSLNNHSCNRNLSSTCNPNYPRSCSRNLSSIRSHRSRHNLSSISNRSRNHSHRQSCNQWHNHKQNMAWTVATRTLLGGREGRRPWRVARLSRMSTSPFSHLNWMLSSSMISPHRRTNAAGISAPSAAVAELSSFPCIPTIVLNHIRRPRRPGKTRVSFLGPRIVFTPPSGSHSTSIPSALALHTHTPSIS >LPERR08G10910.2 pep chromosome:Lperr_V1.4:8:11738654:11744014:1 gene:LPERR08G10910 transcript:LPERR08G10910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQMAYEQHKNDTLDSPKASKFTGIEMTEEQAVAEQQRMFAEARARMNNGSQNMKRSSKHHSRHSLICTLNHSNPCSLKFSSILNHSSPHKYSSTLNRSKLRSLNLSSTLNRSNHSCNRNLSSTCNPNYPRSCSRNLSSIRSHRSRHNLSSISNRSRNHSHRQSCNQWHNHKQNMAWTVATRTLLGGREGRRPWRVARLSRMSTSPFSHLNWMLSSSMISPHRRTNAAGISAPSAAVAELSSFPCIPTIVLNHIRRPRRPGKTRVSFLGPRIVFTPPSGSHSTSIPSALALHTHTPSIS >LPERR08G10920.1 pep chromosome:Lperr_V1.4:8:11743639:11745515:-1 gene:LPERR08G10920 transcript:LPERR08G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVENDFFRVGECDGQKIIDGEQVPLVLTPAATSAECGGGGGEALAAALRARREWVEEKVVANSGVLLRGFGVLDAADFDAVVDALGWPDIRYVGPAPRTHVHGRVWTANEGPLDEFIYYHHEMVLIKEFPGKVILFCEVPPPEGGETPFVPSFRVTERVLEEFPDMVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATSDKAEAEKRARALGMEVEWLPDGGVKTILGPRKLTRVFPGRRGRRMWFNTMVGMHGKELSSATAADGAEIPAAFVRRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRVLVATCK >LPERR08G10930.1 pep chromosome:Lperr_V1.4:8:11749325:11752545:1 gene:LPERR08G10930 transcript:LPERR08G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVFGLARLLALAAAAEIIFWAVHFRGGMAFSSETDKLLIFNVLFEPRPLTDKEIMKVRHYFCETHPVLMLIGLVVLNGEVYETILSMGVPKERIVLHSCIIANGDNVLMDSMVLHAALLAYKTVPGTKKLKKLVHLALQFLALLLGLIGLWTVWKFHNEREIDHLYTLHSWLGLACIIFFSFQWTTGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEALFMNSLGMLLVLLGALVILAVVSPGVGKIDSYRGSSE >LPERR08G10930.2 pep chromosome:Lperr_V1.4:8:11749165:11752545:1 gene:LPERR08G10930 transcript:LPERR08G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVFGLARLLALAAAAEIIFWAVHFRGGMAFSSETDKLLIFNVLFEPRPLTDKEIMKVRHYFCETHPVLMLIGLVVLNGEVYETILSMGVPKERIVLHSCIIANGDNVLMDSMVLHAALLAYKTVPGTKKLKKLVHLALQFLALLLGLIGLWTVWKFHNEREIDHLYTLHSWLGLACIIFFSFQWTTGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEALFMNSLGMLLVLLGALVILAVVSPGVGKIDSYRGSSE >LPERR08G10940.1 pep chromosome:Lperr_V1.4:8:11751715:11757109:-1 gene:LPERR08G10940 transcript:LPERR08G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFAASVLFAGNTYTGVAARNKKDAEQRAAYAAVKSILATKNTCMAKIIRSKENLITATTSVYNGGTANQEINNNPTNKALTFSPIKFTAPVIYKSYDGPSGMVPVAQPISSCPLAVQEPDIMPAADQASNPSAQAVHVSKKQKRNRTSGPEVKEEKFNIYLKAEEDDASKAQPGVKCI >LPERR08G10950.1 pep chromosome:Lperr_V1.4:8:11771958:11779912:-1 gene:LPERR08G10950 transcript:LPERR08G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGESAFGVASLRLRGSSSSYRDHHHHDVFSLASSSRAEAEDDEESLKWAALEKLPTHARVRKGIVAADDPALSGGEVVDVAALGFQERKHLLERLVRVAEEDHERFLLKLRQRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLESLANFLHVVPNNKRPLNILHDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELSRREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMLVGPARAMFMDEISTGLDSSTTFQIVKSLGQITNILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREYVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTATRYRYIPVQEFARAFQSFHVGQSLSEELSRPYDRSTCHPASLTKSTYGASKLELLRACVAREWLLMKRNMFVYRFRAFQLMVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPAWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRFVQGSSRSLGIEVLKSRGLFTEAKWYWIGVGALFGYVIVFNILFTIALSYLKPSGKAQQILSEEALKEKHANITGEMISESRSSASSGQTTNTRRNAASDAAPGEASENRRGMVLPFAPLSVAFNNIRYSVDMPAEMKAQGVDQDRLLLLKGVSGTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYLKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLSSDVESETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCHLIEYFEGIEGVSKIKPGYNPATWMLEVTTLAQEDILGINFADVYKNSDLYQRNQSLIRSISRPPQGSKDLYFPTQFSQSFFTQCMACLWKQSLSYWRNPPYTVVRFFFSLVVALMFGTIFWRLGSKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSVVYGVIVYAMINFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPAWWRWYSWACPVSWTLYGLVASQFGDLTEPLQDTGVPVDVFLREYFGFKHDFLGVVAVAVAGFAVLFAVSFSLSIKMLNFQRR >LPERR08G10960.1 pep chromosome:Lperr_V1.4:8:11794059:11794460:-1 gene:LPERR08G10960 transcript:LPERR08G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKVLALFAILALSASAATAITTMQYFTPTIAMGTIDPCRQYMTQYMSMGSNPTMFMQLPMASLQQQCCMQLQGMIPQCQCGATYQMMQNMQQVICSGLGQQQLMMNMAMQCPYMCNMAAGNFQPCPYGCC >LPERR08G10970.1 pep chromosome:Lperr_V1.4:8:11797362:11797606:-1 gene:LPERR08G10970 transcript:LPERR08G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVSLQQQCCMQLQGMIPQCQCGATCQMMQNMQYQQLMMNMAMQRPYMCNMAAGNFQPCPYGCC >LPERR08G10980.1 pep chromosome:Lperr_V1.4:8:11799447:11804681:1 gene:LPERR08G10980 transcript:LPERR08G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNEEEMVPTDSDPLLKRENEEAESSLELTPPKPATVSTVEIEDEEIDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVEYVVEDLHGNYTPPKLDTEHEERLKMLKLL >LPERR08G10980.2 pep chromosome:Lperr_V1.4:8:11799447:11804681:1 gene:LPERR08G10980 transcript:LPERR08G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNEEEMVPTDSDPLLKRENEEAESSLELTPPKPATVSTVEIEDEEIDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVEYVVEDLHGNYTPPKLDTEHEERLKMLKLL >LPERR08G10990.1 pep chromosome:Lperr_V1.4:8:11804351:11804581:-1 gene:LPERR08G10990 transcript:LPERR08G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYRSSPAAAARTELRSSEDERGLPVKRLEDTVEDAAGKGGPVFGAGKDDGKPDLGATGTG >LPERR08G11000.1 pep chromosome:Lperr_V1.4:8:11817896:11818276:1 gene:LPERR08G11000 transcript:LPERR08G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPLLALSLLLFAAAVHGCEPNCPSGSQVIPTPSYQGHGSCRINALKLRVCANVLNLVGVKIGAGPDDCCPLLSPLADLDAAVCLCTAVKANVLGINLNVPVDLKLILNKCGKIWPSHFTC >LPERR08G11010.1 pep chromosome:Lperr_V1.4:8:11823601:11825070:1 gene:LPERR08G11010 transcript:LPERR08G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNDNTAVADDNNNDDDQAPATARRRTMIGKKDPDACLDQLIRSLCIPATRMVEAADLPKLNYLRCMIMETLRLYPPMPLLVPHESTTDVDVAGYHIARGTMLLVNTFAIHRDPKVWDDPEAFIPEREDGIPFGMGRRRCPGENMAMQMVGLTLATLIHCFDWERVGEELVDMSECSGQTMPKKLPLEAIQHRASMAHLLSKI >LPERR08G11020.1 pep chromosome:Lperr_V1.4:8:11846030:11853544:1 gene:LPERR08G11020 transcript:LPERR08G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSNEVRYTARSITPPADRNGTVKSKSPTPVRRSTSRSPPPKKGDSRSPPPRRRSTSRSPRPRGRGRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDTVEDARRCIKYLHRTVLEGRLVTVQKSLKNFGEICLGLGIIVRMEKDLFSACSNGVLTPHADFLNFQFIWVNCLNVNLAKRTRERTPTPGKYCGRRGSQRQSRSPSPHRSRRERSRSRDRRKDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRGSSPRDRDSHRRHGDRSKSPATNGNHKKD >LPERR08G11030.1 pep chromosome:Lperr_V1.4:8:11870065:11873116:1 gene:LPERR08G11030 transcript:LPERR08G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVTDGSRAQAQAQQLVVAELGRVKELLRQLELHLHEPDLCRGLAAQIVALTDRSIGIARSSFPSAAAAHFADTPPPALVSGTPSPLSDASVDHHPFTTTPNPNPKKRKATARWRSQVRVSAAAEEDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCAATKQVQRTDHDSSLFDVVYHGHHTCNRPSSSAAIKKPHAAAQSLLQSLAAGLTVDTENATDCAMTQPPVAAVASVSPSLTTSPVASGYGDWWCCDGGELQEVVSALAAVTAVADVPEPAIDADFMNLNYYFEFDPSFGALDSATLFQ >LPERR08G11040.1 pep chromosome:Lperr_V1.4:8:11872427:11873922:-1 gene:LPERR08G11040 transcript:LPERR08G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVIVSVVMLLVLGALFVIPKSRNKGNAKQASSNTSAKTFRSYTKEEISAHNTRTDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGPQHGFRVFEIIDDFCIGQLKDS >LPERR08G11040.2 pep chromosome:Lperr_V1.4:8:11871601:11873922:-1 gene:LPERR08G11040 transcript:LPERR08G11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVIVSVVMLLVLGALFVIPKSRNKGNAKQASSNTSAKTFRSYTKEEISAHNTRTDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGLTLRRARLTI >LPERR08G11040.3 pep chromosome:Lperr_V1.4:8:11873931:11875211:-1 gene:LPERR08G11040 transcript:LPERR08G11040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTQIPAIPSSSFPICICRRQIAAPPPPEISPPAVHPQTDPSVAGCCKSGSKQSRSLAGGDNAEASRRQRQLNSAPAFCEVEVYNLAICTTKFCEC >LPERR08G11040.4 pep chromosome:Lperr_V1.4:8:11873978:11875211:-1 gene:LPERR08G11040 transcript:LPERR08G11040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTQIPAIPSSSFPICICRRQIAAPPPPEISPPAVHPQTDPSVAGCCKSGSKQSRSVRSNQITPLYLSLSLSLSLSAVLLRRAGHLRRTVSHLLAIPPGSSSPAGTTQRPAGGSGNSTQLQPSAK >LPERR08G11050.1 pep chromosome:Lperr_V1.4:8:11879684:11886178:1 gene:LPERR08G11050 transcript:LPERR08G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGTARRGAAAARSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQAKRLSSLEMELASAKHEGFVGKYTYEANGTHSGKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANQGDTLDREIDEENRSTKDFMILDDHIESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSEMLKEHWDKPRVYIGCMKSGEVFSESTHKWYEPDWWKFGDGKTYFRHASGEMFVLSRAVAQFISINKSVLRTYAHDDVSVGSWLIGLAVKHELCVQLCDEQCLPGEEFCENYVRIKIIQNEVYHLRTMRSKYQTGVLKILFSSAIFCGNR >LPERR08G11060.1 pep chromosome:Lperr_V1.4:8:11887532:11892514:1 gene:LPERR08G11060 transcript:LPERR08G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGLPAWMTAAAARVDLSGGGGVMPGGGSSSSSQPGSSPGSHQLAAASAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSHMASLGPEAILSDFRCSPSCTRGVILGSEPVCPPDCFQYKGTLDVFMKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTRSNAPSLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVLSPLASSSQNAQNFRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLAVVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDMQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYVLHQRHIS >LPERR08G11060.2 pep chromosome:Lperr_V1.4:8:11887532:11892659:1 gene:LPERR08G11060 transcript:LPERR08G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGLPAWMTAAAARVDLSGGGGVMPGGGSSSSSQPGSSPGSHQLAAASAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSHMASLGPEAILSDFRCSPSCTRGVILGSEPVCPPDCFQYKGTLDVFMKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTRSNAPSLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVLSPLASSSQNAQNFRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLAVVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDMQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYVLHQRHIS >LPERR08G11070.1 pep chromosome:Lperr_V1.4:8:11902183:11910272:1 gene:LPERR08G11070 transcript:LPERR08G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTKPFRSQLAAAVRSINWSYAIFWSISTSRPGVLTWKDGFYNGEVKTRKLSNSAGLTADEIILQRSEQLRELYSSLLSGECDHRARRPVAALWPEDLGNTEWYYVICMTYVFWPGQGLPGRSFASNGSVWLCNAQSADSKTFARALLAKTIVCVPFMSGVLELGTTDLVMEEPNLVDRITASFGEPQFSACLEVPNSSPSLNETGDADDIVLEDLIDNAIEEEQMIQPGEHELSDAVVVADHQRMSNANDGLDQITMEIDELYSLCEELDLDTTFSLEHDNSYWPMNTGSFQLVRMSSPEAMAATAAEAASDFDGVVSITSCFMTWKRANLDEVTVSHTGIESQKLLRKTLDGGAWRSNNGRGSVAMTQESSIKNHVMSERRRREKLNEMFLILKSLIPSIHKMDKASILAETITYIKELEKRVKELESNSERSHQRSTKLRGNNNINGKEIPNVGDGVGGGDASRNHDWVLSEKVPSNVNVIVIHKCKWKELMITRVFDTIKSLCLDILSVQASTPVGLFRLKIQAKFACSDEVAPERIIEALQNAIGS >LPERR08G11070.2 pep chromosome:Lperr_V1.4:8:11902155:11910272:1 gene:LPERR08G11070 transcript:LPERR08G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTKPFRSQLAAAVRSINWSYAIFWSISTSRPGVLTWKDGFYNGEVKTRKLSNSAGLTADEIILQRSEQLRELYSSLLSGECDHRARRPVAALWPEDLGNTEWYYVICMTYVFWPGQGLPGRSFASNGSVWLCNAQSADSKTFARALLAKTIVCVPFMSGVLELGTTDLVMEEPNLVDRITASFGEPQFSACLEVPNSSPSLNETGDADDIVLEDLIDNAIEEEQMIQPGEHELSDAVVVADHQRMSNANDGLDQITMEIDELYSLCEELDLDTTFSLEHDNSYWPMNTGSFQLVRMSSPEAMAATAAEAASDFDGVVSITSCFMTWKRANLDEVTVSHTGIESQKLLRKTLDGGAWRSNNGRGSVAMTQESSIKNHVMSERRRREKLNEMFLILKSLIPSIHKMDKASILAETITYIKELEKRVKELESNSERSHQRSTKLRGNNNINGKEIPNVGDGVGGGDASRNHDWVLSEKVPSNVNVIVIHKCKWKELMITRVFDTIKSLCLDILSVQASTPVGLFRLKIQAKFACSDEVAPERIIEALQNAIGS >LPERR08G11070.3 pep chromosome:Lperr_V1.4:8:11902507:11910272:1 gene:LPERR08G11070 transcript:LPERR08G11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTKPFRSQLAAAVRSINWSYAIFWSISTSRPGVLTWKDGFYNGEVKTRKLSNSAGLTADEIILQRSEQLRELYSSLLSGECDHRARRPVAALWPEDLGNTEWYYVICMTYVFWPGQGLPGRSFASNGSVWLCNAQSADSKTFARALLAKTIVCVPFMSGVLELGTTDLVMEEPNLVDRITASFGEPQFSACLEVPNSSPSLNETGDADDIVLEDLIDNAIEEEQMIQPGEHELSDAVVVADHQRMSNANDGLDQITMEIDELYSLCEELDLDTTFSLEHDNSYWPMNTGSFQLVRMSSPEAMAATAAEAASDFDGVVSITSCFMTWKRANLDEVTVSHTGIESQKLLRKTLDGGAWRSNNGRGSVAMTQESSIKNHVMSERRRREKLNEMFLILKSLIPSIHKMDKASILAETITYIKELEKRVKELESNSERSHQRSTKLRGNNNINGKEIPNVGDGVGGGDASRNHDWVLSEKVPSNVNVIVIHKCKWKELMITRVFDTIKSLCLDILSVQASTPVGLFRLKIQAKFACSDEVAPERIIEALQNAIGS >LPERR08G11090.1 pep chromosome:Lperr_V1.4:8:11928645:11929799:1 gene:LPERR08G11090 transcript:LPERR08G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSPSAGSGPEPVWPDEGAHSEVGAPGARQEHFGGPPPSAYSGRVGPDTEVEAAAGSGGQSPEFSPKQRSSPPQQPSLPSPHPSPPPHQPSPTLAARARRYSPTPRAAMTERGATPTQEAAAGSSGQGAPSSPRSVQVVDLDSSPSTGSGGARTGFMVALDTEPLVRSQERTRMIMSRALDEAIVAQVRALVAREVTVARREIEVAEGGAELAKIARAEGFIRKREMADIERRRGELVETFEDTMIKRHTINLHILTAMAAEEGVRTTTVAFIRELDDHSQELDGRAQELDRRDRVLRDAEATAANSDVELRVCEDALAERERALEAARQVVGDREAAVIRAEEDSAMRERNAAAQEKAIAEREATVEGHEAVIL >LPERR08G11100.1 pep chromosome:Lperr_V1.4:8:11929833:11930444:1 gene:LPERR08G11100 transcript:LPERR08G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHERQLAAALHCHQEQSERRGRSLPPQPVSHPGEAVADLERARQRIADLEHTLDLGTRIMSVSITLHEMAREVGVVRPHDSLASANLGGLASQVDALAEGIKGVPKEVDEVATVNLASYQARDPNFNPYIPTEDLPAGTEEKARRRVADAVDSIMVGFDGTPAAFQLAYRDDLSDDDDAEDASSDPPAA >LPERR08G11110.1 pep chromosome:Lperr_V1.4:8:11938612:11939268:-1 gene:LPERR08G11110 transcript:LPERR08G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDYVLRTASGSLTSREGDPADNDIEEADTGEYSPNFRHHRQGRRVAPPPPPRSPAAMAAGASTHPPPMSAAGAAGAPPPFSPPAAAAGEGREEPPPREIAGLAVGFELHQDESIGEPRRSGVHRRLEFGTPDGALQAIETLLSHPPVTPGEGSNAKHWFDNMAKLVNTAHRQLAADLASSSHHSRGSHATVSSSQQRRARRAAATARAGEVPTAAA >LPERR08G11120.1 pep chromosome:Lperr_V1.4:8:11956197:11962010:1 gene:LPERR08G11120 transcript:LPERR08G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDEAAADEAAAAAAMPERGGSHAGTPPSSSGRRRRYSSASASRSDHAEPDEISKLKEDIQKLQVSEAEIKALSFNYVTIIKEKEDQLVKLREENGSLKRSLESSKAVSANSNGALESSPRGVQRNTVQDNQLTISKQNGYGGGASQCIQPNGLHSMTGHRKTDVLEGERSQFAAKQASLENEIKQLKKQLTDNSQKENEIRRRLDDEHKRNEFLQQQLNELNINKEMISTSMEELHKELSEKEAKLRRVQEDLNRRDKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQTNGGGTSDVNEISDGEKVNEEMASLKKTLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEDLRAICDQQRAHIVQVERALKFEISKQEESKKIINEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKDRLGGDLAVAREELAKLSESLKVANQAIEISRREKEEVAAKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTKVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNNAGKGVVRGVLGLPGRLVGGIVGGNLAGKSAQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENHTASTSNVSSVQPSGHTTNEAPGPSTNQHIFGRPDTEFATVPLTSSTYTSTQTPFSRPPPR >LPERR08G11130.1 pep chromosome:Lperr_V1.4:8:11969874:11983463:1 gene:LPERR08G11130 transcript:LPERR08G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKWQHAVPALAVACAATAAAVAADRGLSFAGGAAVAGRPEVEVSYLRKVAANFLWQSGESSDHHVWPPMEFGWRIVLGTFVGFIGAAFGSIGGVGGGGFFLPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLFIILCLGTSTKAFLKGIEIWKKETIIKREAAKHSEQTSEEQEYRPLTTGPDTTAGSKTPSDEAVSILQNVYWKEFALLSFVWIAFLVLQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGFGLMQGRRVISSNGNNQTNIKFYQLLMYCFFGIAAGVVAGLLGVGGGSILGPMFLDLGAPPQVASATATFSMMFSSSMSAVEYYFLDRFPVPYALFFTIAAFFSAIVGQRTVTKVINWLGRASIIIFTLSITIFISTIPLGGIGISNWIGKIKRHEYMGFENICKCSNGCIWPMSYRGSRSPAAGQPEELRSYVRKVARLLGQSGENSYHHVWPPMEFGWRIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDPKSSVAISKCMIMGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPGWLVTGIETWKKETIIKRTTAHIGYDGEAESKTHSDEAVSILKNVYWKEFGLLAFVWITFLVLQVTKNYMPTCSTWFWVLNLLQIPVSVGVTMIDAGEEGHIIKRKSENQSKFHQLLMYCLFAIAAGVITGLLGVGGGSVMGPMFLDLGIHPQVASATATFSMMFSSSMSAVEYYFLDRFPVPYAVFFTIVAFISAIVSQLILRKMINWLGRASLLIFTLSIMIFISTILLLLGGIGISNWIGGIKRHEYMGFENICNGHSLVTAVTTN >LPERR08G11140.1 pep chromosome:Lperr_V1.4:8:11989252:11994442:1 gene:LPERR08G11140 transcript:LPERR08G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNMVVKKVMWVAAMALLMCLAAARVVMAGAEEEGGGVGMVGSPDYGDALAKAILFFEGQRSGRLPANQRAKWRGDSALNDGREENVNLTGGYYDAGDNVKFGYPMSFTITLLGWSAVEYGAAAVAAAGQMGSLRAAIRWGAEWLLRAHTSATTLYTQVGDGNADHQCWERPEDMDTPRTLFKVTRDSPGSEAAAEASAALAAAYLALRDDDAGDRAFSTRLLTASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWAAAWLYKATRDANYLDFLTNNQGSSNPVNEFSWDNKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLVLSIYSKVLKSSGSGGVRCSATTFSANQISSFAASQVDYILGKNPMGMSYMVGFSGKYPRRIHHRGASVPSIKVLSRKVTCNEGFSSWFPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPVDNLASMVTAY >LPERR08G11150.1 pep chromosome:Lperr_V1.4:8:11992073:11995163:-1 gene:LPERR08G11150 transcript:LPERR08G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRENLNSRKDPMFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGNEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALIQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGFCLPLDDQGGYPELEALSRLDFGAALKIFLAKQLTSEFTSMTSPIEEKSVIIGERNRVATEKIQGAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIKSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWEILVGTAVNWASLAGSSSFTTCFCPIAAAQAPTKAALIELHQSLRT >LPERR08G11150.2 pep chromosome:Lperr_V1.4:8:11993043:11995163:-1 gene:LPERR08G11150 transcript:LPERR08G11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRENLNSRKDPMFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGNEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALIQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGFCLPLDDQGGYPELEALSRLDFGAALKIFLAKQLTSEFTSMTSPIEEKSVIIGERNRVATEKIQGAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIKSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWEILVGTAVNWASLAGSWIEQFNGPF >LPERR08G11160.1 pep chromosome:Lperr_V1.4:8:12000034:12003465:1 gene:LPERR08G11160 transcript:LPERR08G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGVAIPKLIREVTKPAQMMTLSPLSGRQHDADGQERIETDCNSSSNRLFTVLFLLYLRPVATPLNLPSLSNGETSTT >LPERR08G11170.1 pep chromosome:Lperr_V1.4:8:12015049:12016237:1 gene:LPERR08G11170 transcript:LPERR08G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLGRGGKNYPPNPPQTPGERKIVSETIFIFPTAVSSHRLPPATSRCRRLLRRRALPPSSRPFLLRRKAPSSSATRLCWHRRVHSRREGSSQATGDGAASVLCPANREARPSPSSVRTLTHSGAVFMPL >LPERR08G11180.1 pep chromosome:Lperr_V1.4:8:12063741:12065298:-1 gene:LPERR08G11180 transcript:LPERR08G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRERVTRVVVAAAAAAGAERFAGSSSSITDYLRYWRPGSRGGGGAGSGVCGGELQTAVRYEKRFPWSLLHPFLHHSTRLVTKMRVSSLRPSRNHHKVVRWHFMMNISLS >LPERR08G11190.1 pep chromosome:Lperr_V1.4:8:12078717:12080184:1 gene:LPERR08G11190 transcript:LPERR08G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGELPHMRMIALFACGSLLIRGAGCTINDLFDGDIDKKVERTKSRPLGSGIWCSDPYTRTVFLGVFSLLLVFSYPLIKRFTFWPQAYLGLIFNWGICWTLVYETIYAHQDKEDDLKVGVKSTALRLKSFLTAWPYYPFLAAASAQLAWQISTVDLSDRVDCNKKFVSN >LPERR08G11200.1 pep chromosome:Lperr_V1.4:8:12098609:12104093:1 gene:LPERR08G11200 transcript:LPERR08G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAALGVAFAAAAAAAGADRGFSFAAVEAVAAPAEEVSYLRKVANFLWQSGETSYHHVWPPMEFGWQIILGTIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREEAKRLEQISEEHEYSPLPTGPDARAVSKAPSDEAESIWTNIYWKEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGNEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTIVAFFAAIIGQHIVRKLINWLGRASLIIFILAFMIFVSAISLGGVGIHKMIGQIARHEYMGFDNICNYDV >LPERR08G11210.1 pep chromosome:Lperr_V1.4:8:12109174:12115971:1 gene:LPERR08G11210 transcript:LPERR08G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLPHVSAVDGEDNVFQDKESRATAKERGEAAVFGLESSVTTNGATSAADLAPPKDVVDEWPEPKQTHMFFFVRVRSYEDPNLKAKLDQADKECQKKIQARSHIVEALRTKRNERSNIISELKPLTAENKQYNEVVSGKLKEIEPLQKSLGKFRNENNAMRAQGAGLCSSIEELDQLIKSLSDRISHESISLDEEKKLVKEIKQLNGTRSRVIENAAKRAKMQGTVGERDTIQDQVKQIGVGIDEVKKERQAVRDKIKVLEDQLHAVDDEIAALQDDLTAATARKDKAFDALNEFRKSRDLNNTSFHQYRTISNSVRDLSARGEVQTVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETKVAPPAEQESAPLKKSVKQAKEAPAPPADITPKDEIHVKAPAKTAKVKQSLDIDDIPDVYNDEPPKEKTKPKVVDEAKVKEMKRQEEIEKNKLALERKRKQAEKQAMKAAARAEKEAEKKLKEREKKARKKGAAAGGAESEEATESDTKSDEAVETEVKEEEPAARATVKKEQKTVRHRSTVTKTKAPLPKAVLKRKKSQSYWSWAPAAALAAVIVVMLGVLGYYQFYLPASTSN >LPERR08G11210.2 pep chromosome:Lperr_V1.4:8:12111721:12115971:1 gene:LPERR08G11210 transcript:LPERR08G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLPHVSAVDGEDNVFQDKESRATAKERGEAAVFGLESSVTTNGATSAADLAPPKDVVDEWPEPKQTHMFFFVRVRSYEDPNLKAKLDQADKECQKKIQARSHIVEALRTKRNERSNIISELKPLTAENKQYNEVVSGKLKEIEPLQKSLGKFRNENNAMRAQGAGLCSSIEELDQLIKSLSDRISHESISLDEEKKLVKEIKQLNGTRSRVIENAAKRAKMQGTVGERDTIQDQVKQIGVGIDEVKKERQAVRDKIKVLEDQLHAVDDEIAALQDDLTAATARKDKAFDALNEFRKSRDLNNTSFHQYRTISNSVRDLSARGEVQTVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETKVAPPAEQESAPLKKSVKQAKEAPAPPADITPKDEIHVKAPAKTAKVKQSLDIDDIPDVYNDEPPKEKTKPKVVDEAKVKEMKRQEEIEKNKLALERKRKQAEKQAMKAAARAEKEAEKKLKEREKKARKKGAAAGGAESEEATESDTKSDEAVETEVKEEEPAARATVKKEQKTVRHRSTVTKTKAPLPKAVLKRKKSQSYWSWAPAAALAAVIVVMLGVLGYYQFYLPASTSN >LPERR08G11220.1 pep chromosome:Lperr_V1.4:8:12116664:12121749:-1 gene:LPERR08G11220 transcript:LPERR08G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFRDSSSSRSSDLAGGDSSTTSTSTAAAAAAAYAAAPPLPSPLPDLGVALSADELRATAYEILLAASRATGAKPLTYIPQSASSSSSLSSSIHRSLTSSTAAAGGTVKRALGLRSASSRRGGGGGARRAPATVAELVRVHLGVTEQADSRIRRALLRIAAGQLGRHAESMVLPLEFLQQFKASDFPDLHEYEAWQLRYLKLLESGLLLHPLVPLKKSDISAQRLRQVIQGAYDTPIETEKNCKPMEELCSAAMTLAGRSLGETFDECHWADGFPLNLHIYQTLIEACFDSEDGAVVDEIDEVVELLSKTWVILGINQMFHNLCFAWALFNHFVMSGQVDIELLSGAENQLIEVVKDAKTAKDPDYCDFLSSTVNSIMGWTERRLLAYHETFSASNIDSMHGIVSIGVSTAKILAEDISHEYRRKWKQETEVVVHKRIETYIRSSLRTAFAQKMEEVDSKRSSRDRMPVLSILAKAIGDLATKEKTVYSPVLKKWHPLATNVAVATLHSCYGNEIKQFIAGITDLTPDTAQVLKAADKLEKVLVYIAVEDSVDSDDGGKLFIREMLPYEAEDVMANLVKAWVKERVDKLKGWIDRNLQQETWNPKANGEYLAPSSVKMMHIIDETFEAFFQLPITTHCTLHSDLTTAVDRNLLYYVSTSKTGCGTQSALIPQLPHLTRCDVGSKLFKKKEKSQVLMKRGSQVGSTTNGASDIPELCVRINTLYYVHTELENLKKKIKTYFQDIESIDNSKDELNIHFKLSQAACQEGIRQLCETFAYKVIYNDLSHVLLDSLYSGDTASNRVEPLLRELDHILRMVSGIVLNGMRNRMITALMKCSFDGFLLALLAGGPTRAFTLQDSQMIESDFRALRSLYVANGRGLPEELVDKASSEVKNILPLLRTDTGTLIERFKQAILESCGSSAKTGLPMPPVPAQWSPNNPNTILRVLCYRNDETATKFLKKAYNLPKRL >LPERR08G11230.1 pep chromosome:Lperr_V1.4:8:12124352:12125476:-1 gene:LPERR08G11230 transcript:LPERR08G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVFSGADRLRDLRAFDDTKAGVKGLVDAGITTVPYFFHHPPDPHPIASSSNSTIPIIDLADANTDHIVAQVKSAAETAGFFQVVNHGVPAGLLDATLDAVRRFNEEEDDAKAASYYTRDPAKKVRFNSNFDLFLSPAANWRDTLFMEVFPNPPSPEEIPESCRGIVVPEYAAAVRWLGSRLFGLLSSAMGLPENYLAGVGCLEGMSVAAHYYPDCPEPEKTMGTSKHSDPSFITVLLQDKSIGGLQALIGGRWVEVPPLAGGGDGGGAMVVNIGDLMQLVSNDRFRSVEHRVVASGGGGARVSVACFFRPEYASARVYSPVVVAGGEKAVYRSTRAGEFLRYYNGKGLDGRSTLEHFRLDSSSSGMQRVVT >LPERR08G11240.1 pep chromosome:Lperr_V1.4:8:12129897:12132030:-1 gene:LPERR08G11240 transcript:LPERR08G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTATGAIPVINLANADTDRRDHVIAQVRSIAKLAGFYHVATRASPPVSSTRCSLHAVWQFNEEPIEAKVPYSTRDPPQKVKFNFNFNLFLSSAANWHDTTLGFEPKTFLSPYNNLTTEL >LPERR08G11250.1 pep chromosome:Lperr_V1.4:8:12154211:12159898:-1 gene:LPERR08G11250 transcript:LPERR08G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAWLVALLLLVAIFFAEGEAETVSAAAATLRRRQQVRSLLKRLNKPPLATFQSPDGDIIDCVHISRQPAFDHPLLKDHIIQMRPSIEPNGLYGEAPRPMTQTWNQNGEECPDNSIPIRRTNEEDVLRATSVTTFGKKTYRSPHPDHLGGVTDGHHRAQYGVASATGDANYYGTKVTINVWQPTIVTSGDFSLAQLWITSGSYANNDLNTIEAGWQVYPTIYGDGRTRLFIYWTRDAYNRTGCYNLACSGFVQTNPQFVLGGSISPVSSYGGTQYEYDYLVWKDPASGNWWLQVAGNYVGYWPSSIFTRLQTGVADTVQWGGEVYSPKITQPMGSGHFPAEGFGNAAYMRAIQVVDSSNQLKPPNGVGLIAPLPNCYQVTTSSSTTTSWGTYIYHGGPGCPQNSQIDVM >LPERR08G11270.1 pep chromosome:Lperr_V1.4:8:12173501:12175759:-1 gene:LPERR08G11270 transcript:LPERR08G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPPPVNTPLSARDDTIPDNPDEPRQKRNHHPAPLLAAAASAAAVASPRPAPALSGGSVGRCSSSSSSSSVRFVFFVVLQRQFMASALVQVDRRLYIKQGSSQISIVDEDSEPECVEYKIKETNMFTVDKYQQTTHRPWVVHGLSIGCGRINYWG >LPERR08G11280.1 pep chromosome:Lperr_V1.4:8:12197231:12197944:-1 gene:LPERR08G11280 transcript:LPERR08G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGKVAFLLLLMCAIISPHAVIGFPCTKKQREKILITCKDFIRMIGSSPSLPVKSGPCCEAVRQPSLVEEKCLIEIACVFYEYALIFEISNSLNT >LPERR08G11290.1 pep chromosome:Lperr_V1.4:8:12263392:12263638:-1 gene:LPERR08G11290 transcript:LPERR08G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQQAMMRPNMMGPAGVDGLSAHHHAIDGPPPPHMGNGAVQGISPTAFYQGDGGGAGMPEMVQASAAAGNPMA >LPERR08G11300.1 pep chromosome:Lperr_V1.4:8:12263647:12265345:-1 gene:LPERR08G11300 transcript:LPERR08G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEDLKIQKKVKKILHKIEGVYQTSIDAEQGKKLQQLQQQLQMKGLKLPQFMDDKMPPFAAAAAPTKDPKSVKLNIPEDDFVDNDSEFDDEEDFHDDGLDDDYYDNPKMIKQMAMPPPNTGDGGHKKGGNNGGKMGGENEIPVQIKGNTASGSCSKQNQGGRGGNGKNGGGQQTTAKVEEESLRTAAATTQLRERRAATAAQSLLLVG >LPERR08G11310.1 pep chromosome:Lperr_V1.4:8:12288107:12290273:1 gene:LPERR08G11310 transcript:LPERR08G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >LPERR08G11320.1 pep chromosome:Lperr_V1.4:8:12292500:12296645:1 gene:LPERR08G11320 transcript:LPERR08G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPNSFPRERFSRALLLRFALLARALLFSPQISSPGICSLVLVFSPELVRRDVHARAARRKNESRTLDAVATAPGRTLGVSAGSAAAAASVSFGCDWNPISVSMTYTEGHWMRRRRRVPRWVVVLWVTVSGYHCRLVLADALATTLPAFSIGGDFPSLSASQPWFDAAGGDPSSPGSWRGSIRGLALL >LPERR08G11320.2 pep chromosome:Lperr_V1.4:8:12292633:12296645:1 gene:LPERR08G11320 transcript:LPERR08G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPNSFPRERFSRALLLRFALLARALLFSPQISSPGICSLVLVFSPELVRRDVHARAARRKNESRTLDAVATAPGRTLGVSAGSAAAAASGHWMRRRRRVPRWVVVLWVTVSGYHCRLVLADALATTLPAFSIGGDFPSLSASQPWFDAAGGDPSSPGSWRGSIRGLALL >LPERR08G11330.1 pep chromosome:Lperr_V1.4:8:12308763:12309385:1 gene:LPERR08G11330 transcript:LPERR08G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLSRVSKRMPTSALEGYTTTTTNCGLPDKTGYVDQNTGLSYVPDKGFTDTAENHDIGQEYIRPGLSSRYRNVRSFPKHRRGGARVLHV >LPERR08G11340.1 pep chromosome:Lperr_V1.4:8:12309432:12317631:1 gene:LPERR08G11340 transcript:LPERR08G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNYDGLADRLPVIFDLHVGVNFWSTVNVTDAGFAQIVEGIVFVPDNFVQVCLVNTGSGTPFISGLDLRPFNKELYEQVNATQGLVLYARINFGGPDDSTSVPDEGAYGSSSLKTSTKKDSSIVRYPDDPYDRIWESWFDQPDWVVISTKKSVKNVNNGDPFEAPTVVMQTAITPNTSKNIEISGVMAEPLSTPGYIAILYFSELQVLPSNAVRKFSINLNGRPWYASDYKPEYLQAKAIYSTEPLPLQNPQYNKSISSTADSTLSPIINAFEVYSVISTANVGTDAQDEKMISKAMKPQNESSINDGYGHGSLKLENRRFAYKELEMITNNFERVLGRGGFGYVYDGFLEDGTQVAVKLRSQSSNQGVKEFLAEENT >LPERR08G11350.1 pep chromosome:Lperr_V1.4:8:12325145:12326418:1 gene:LPERR08G11350 transcript:LPERR08G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSSSMNPLLLASACSGSRQALSFLLTRQEDAPHLVVPTQEFLNLLLQGNSSSSSSTLPAAVASLDVEEGGADHTTAPLATPLLDGVTVDGGTALHVVATCGDDDNFLTSADVLATKGNIILVSQDNNGDTPLHCAARAGRHRMVARLIALAAKECCNLGVKEAVLRMENKRRETALHEAVRLGSKRMVELLMAADPELARFPEQGTSPLYLAITLQRAEIAESLERIPCFHY >LPERR08G11360.1 pep chromosome:Lperr_V1.4:8:12326294:12327210:-1 gene:LPERR08G11360 transcript:LPERR08G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLPGLVCHVGNGGWLSSPTPPQWWDEACCIALPRDQTSAVSNTKEEEAGKLRQGALLLRQVAVEVFEAAKGWRSTAGILK >LPERR08G11370.1 pep chromosome:Lperr_V1.4:8:12342018:12343432:1 gene:LPERR08G11370 transcript:LPERR08G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMLLIWNIGLTSQGDENGSTPLHFVTSALRPRGVRLWTHGSWILWFPWNRDVWDSDGDIPFNQVLEANPAPMYQADDKGLFPIHIAAHKGAVKAIISFLEKCSNIACLRDAKGRTFLHVAVEKNRWHVVSHACKTVSLSWILNMRDNNGNTALHLAVKLGFKGIFCLLLENLEMDLNITNNNGETPLDLAESKILDGYFYSWNPRFLINSALKYCHAKHGTHRRLDHFEEQYIKPLNKENEAEKLKAATQTLGLGLVLMVTVAFGASFTLPGGYKDDGTPALSGRYVFDAFIVANSLGFGCAGLATIHLMYAGTDIVDIPLRIWHNNIALFFAHGSATSLATAFVLGMYVTLAPVSYTIAVGICVAASIIALCGFMDLMRGCAVARALYARLGNRAPVIFAHIIILQTVVVFWPLVFSFISAVVSAKHRYK >LPERR08G11380.1 pep chromosome:Lperr_V1.4:8:12343869:12344808:1 gene:LPERR08G11380 transcript:LPERR08G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTFSHALRSASPPQPPPRPAARVDLQSCTSAITRRRPELSDFSPADCLRAPVRRYLPQTASAVPASQAQIWTSRFWWKTATAKEHGGGGEHV >LPERR08G11390.1 pep chromosome:Lperr_V1.4:8:12395856:12396233:-1 gene:LPERR08G11390 transcript:LPERR08G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVHLHHEYSGVLRGHGKWQLPHPVGFGVGINECRIDSMVTRCRTVQLRGISGTLFPSSTGSSSSWRFGGLGRRRGGEDVPLSSQI >LPERR08G11390.2 pep chromosome:Lperr_V1.4:8:12395854:12396233:-1 gene:LPERR08G11390 transcript:LPERR08G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVHLHHEYSGVLRGHGKWQLPHPVGFGVGINECRIDSMVTRCRTVQLRGISGTLFPSSTGSSSSWRFGGLGRRRGGEDVPLSSQI >LPERR08G11400.1 pep chromosome:Lperr_V1.4:8:12405622:12407756:-1 gene:LPERR08G11400 transcript:LPERR08G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPHDHPAVITGHLSHPDHNLRLVTPAEDGHWPPRCGGCKEPRAGRSYYKCKDPACEFTLYMCCATAPASIDHHPLFPGCEFRLLKQPPPPPPWLAGCDACGDLLHGLAYDCSERGLDLHPRCARLPADATAVREVAGSGGYTVQLVRSHGGRRCGICMSSGYCHGFWSCRFSGEGRKVFDLHLSCLKEFASESHQTLSNFYKILMGCSVDQLWMTTNNSVMPAQEDEEEIQFQEGVNNATMVPDTITHPSHPNHKLTMVKCDTPFQCIACKEPGVGPRYHCHACDLNIHKFCAEAPVTLHPSLFEGRTFTLLCEPPHCPPEPPYPVAKQRRCDICGDSVGGLVYHCPGANLDLHPCCASLFLQPVAGPGPVDAGSVLLCLCGPHVGRLFLAKRS >LPERR08G11410.1 pep chromosome:Lperr_V1.4:8:12425921:12426610:-1 gene:LPERR08G11410 transcript:LPERR08G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQRRCRRRAELPAAVVHRSQHQVAITTVSFLDPQPPKISPSGATSKEIAGRLPQLRPSADDADPRSPVSVQRCESVYTILRPSSNHCLLSHCTPMGESGRCCYCTAAGTRHCRRSRRSHAGARRWLGRRRPPLPVIAAGALTVSGCPDCTSSIASTSSTFSLLGSTAVAPPIVDANAIFAAAPAQPVLPAREREGRTENV >LPERR08G11420.1 pep chromosome:Lperr_V1.4:8:12456425:12462441:-1 gene:LPERR08G11420 transcript:LPERR08G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVAAEAGVDLSLHAKPENDKYDADDGVIHAAGNMVRALPTFLFLPVPNPSQVSPSPKSPIGGGVGRVETGEPSPPGAVPPCYSCSPPASTSSRPGQSSSHQAHLASGDGDGGFGGDGNGRRWPRTGGGVHLLCPHRPTTASMTILNKGQLVEDTIIFGFSESSQFYYNPDTYDPNASGSGENKC >LPERR08G11420.2 pep chromosome:Lperr_V1.4:8:12456425:12462441:-1 gene:LPERR08G11420 transcript:LPERR08G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVAAEAGVDLSYKYDADDGVIHAAGNMVRALPTFLFLPVPNPSQVSPSPKSPIGGGVGRVETGEPSPPGAVPPCYSCSPPASTSSRPGQSSSHQAHLASGDGDGGFGGDGNGRRWPRTGGGVHLLCPHRPTTASMTILNKGQLVEDTIIFGFSESSQFYYNPDTYDPNASGSGENKC >LPERR08G11430.1 pep chromosome:Lperr_V1.4:8:12463298:12464391:1 gene:LPERR08G11430 transcript:LPERR08G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRHRRRSSPRRRYPRPPTTSPSVAAAAVAAPPCPRRRRPPRAARTFLTSPTKAKVGNHNQERCPGAVARDRAAATPAAAATTTAVAFSGGEVIVVSVSCSCGAREDCCFDHRH >LPERR08G11440.1 pep chromosome:Lperr_V1.4:8:12466824:12472488:-1 gene:LPERR08G11440 transcript:LPERR08G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRSLLRKNATLTWRHRRSAALQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPEALVAPPILPCEDKYFVKQPCFDFLWSGGGSARVGRIVDGIRRNNPGRPIPPEKVLGFNTPDEVDAWLFQNPMRCPGALHFQIINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLNNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRKQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSLFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRGADDAAPTDEDVLAEENLVKQQAANNEVDPGVAVQIRGLRKTYPGSFSMGCCRCRRTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTIKSVAEQSLVQVKLSQSANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNINGNTEVPVNPNIEAVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTRFFGELQDREQEFGISDIQLGLTTLEEVFLNIAKKAELESSTAEGTLVTLNLSSGSSIQIPKGARFVGIPGTESDEHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVNRRNPVGYIIDPNEVTAAR >LPERR08G11450.1 pep chromosome:Lperr_V1.4:8:12486012:12499888:1 gene:LPERR08G11450 transcript:LPERR08G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFSTQANALLRKNLCFQRRNMKTNACITVFPIFLCVILAVLQGVLNHELNKPKYKCGCACVDAAADGSCRRTECGVQHSTLDQVGSCPIPSPTPWPALVQVPRPESRAVRIASQVFDGLPDPACRDTGSCPASVLVTGKNRSLAQSVSGGLFPAAPSSMNSTDYLDAFSTVVPGSDTWTWTTQYIEPVFTPGNTLYVVQPQCSSSNLSRTIPNKTGPVQLNIDCVQGLSLWRESASQVNDELFKGYRQQGGGGGGGKTNEFIAGYDFLNTNNSGLEVNIWYNSTYNNNTAYVFISLLRVPRLVNTASNAYIKFLRGSGVEMLLEYVKEMPKVGTKPKFDLSSLLGALFFTWIVELLFPVILSYLVYEKQQKLKVMMKMHGLKDEPYWMISYSYFFALSAVYMVVFVAFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGDTMGTNGMEWTNLSDHENGMHTVLIIMVVEWVILLPLAFYLDKISSLGGGFQRTPLFFLKRFKNRALSIRRSFGRQGSKVVVEMDNPDVAQEREVVEQLLLEPNANQAIVCDNLQKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAFVHGMNINTDMDSIYANMGAVDDSLKSVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVEHLSPSANRIYHISGTQKFELPKQEVKISDVFHAVENAKKRFSIHAWGLVDTTLEDVFIKVAKGAQAVSRRNAKANAAIAAFPVVLCVLLVAIQAVIDHELDRPPFRCGCVAGECGVQHSTATQALSCAVPAPPRWPTLVQVPVAPFRAATPAHTAHCGGNASDLCPVSLLFTGQNRRLSEGLARGLFPDVPASNILGVGNSRNSSYLDELSAIVPGTSASPAHVLFIEPGFVPNSTLYVIQRRCIWDSRNVSGDSDSMPIQLDVKCVQGLPLWCKNSAVINRHLFKGYKGGNKRRTSNEYLAGYDFLDTSKRQFHVYVSYNTTFSRDNGHHPMTVLRVARLVNMASSTYLKFLRGPNVEMRLQYLKEMPKAAMKLSLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDEPYWMITYAYFLSLSAAYMMFFVMSGSFIGLDIFRLNSYTIQFVFYFLYVNLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGESLLQLFIEDTTFPRQWLVTMELIPGFSLYRGFYELAEYAFAGKQMGKAGMQWRDLNDPINGMKDVLLLMFIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPSWRPDKVKQRSRRVFADTAKPDIFLERKVVKKLLKEMNMKNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVEPTYGTAYIHGMDLRRDMDEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVDESLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWKVIKRAKKNCTIILTTHSMEEAEMLCDRVGIFVDGNFQCLGTPKELKARYGGVRVLTITTAAGAEQEEAVERAVARRCPGAAKVYGVAGTQRFEVPRRGGLDGVLGAVEAARRAAPVQAWGVADATLEDVFVRVATDARAFHVRRDC >LPERR08G11450.2 pep chromosome:Lperr_V1.4:8:12486012:12499888:1 gene:LPERR08G11450 transcript:LPERR08G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFSTQANALLRKNLCFQRRNMKTNACITVFPIFLCVILAVLQGVLNHELNKPKYKCGCACVDAAADGSCRRTECGVQHSTLDQVGSCPIPSPTPWPALVQVPRPESRAVRIASQVFDGLPDPACRDTGSCPASVLVTGKNRSLAQSMCSFYDTLEGQNYFDFVFDIWVKVFIVGSSSTLFLLAGVSGGLFPAAPSSMNSTDYLDAFSTVVPGSDTWTWTTQYIEPVFTPGNTLYVVQPQCSSSNLSRTIPNKTGPVQLNIDCVQGLSLWRESASQVNDELFKGYRQQGGGGGGGKTNEFIAGYDFLNTNNSGLEVNIWYNSTYNNNTAYVFISLLRVPRLVNTASNAYIKFLRGSGVEMLLEYVKEMPKVGTKPKFDLSSLLGALFFTWIVELLFPVILSYLVYEKQQKLKVMMKMHGLKDEPYWMISYSYFFALSAVYMVVFVAFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGDTMGTNGMEWTNLSDHENGMHTVLIIMVVEWVILLPLAFYLDKISSLGGGFQRTPLFFLKRFKNRALSIRRSFGRQGSKVVVEMDNPDVAQEREVVEQLLLEPNANQAIVCDNLQKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAFVHGMNINTDMDSIYANMGAVDDSLKSVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVEHLSPSANRIYHISGTQKFELPKQEVKISDVFHAVENAKKRFSIHAWGLVDTTLEDVFIKVAKGAQAVSRRNAKANAAIAAFPVVLCVLLVAIQAVIDHELDRPPFRCGCVAGECGVQHSTATQALSCAVPAPPRWPTLVQVPVAPFRAATPAHTAHCGGNASDLCPVSLLFTGQNRRLSEGLARGLFPDVPASNILGVGNSRNSSYLDELSAIVPGTSASPAHVLFIEPGFVPNSTLYVIQRRCIWDSRNVSGDSDSMPIQLDVKCVQGLPLWCKNSAVINRHLFKGYKGGNKRRTSNEYLAGYDFLDTSKRQFHVYVSYNTTFSRDNGHHPMTVLRVARLVNMASSTYLKFLRGPNVEMRLQYLKEMPKAAMKLSLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDEPYWMITYAYFLSLSAAYMMFFVMSGSFIGLDIFRLNSYTIQFVFYFLYVNLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGESLLQLFIEDTTFPRQWLVTMELIPGFSLYRGFYELAEYAFAGKQMGKAGMQWRDLNDPINGMKDVLLLMFIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPSWRPDKVKQRSRRVFADTAKPDIFLERKVVKKLLKEMNMKNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVEPTYGTAYIHGMDLRRDMDEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVDESLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWKVIKRAKKNCTIILTTHSMEEAEMLCDRVGIFVDGNFQCLGTPKELKARYGGVRVLTITTAAGAEQEEAVERAVARRCPGAAKVYGVAGTQRFEVPRRGGLDGVLGAVEAARRAAPVQAWGVADATLEDVFVRVATDARAFHVRRDC >LPERR08G11460.1 pep chromosome:Lperr_V1.4:8:12505401:12510454:1 gene:LPERR08G11460 transcript:LPERR08G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHLLG >LPERR08G11460.2 pep chromosome:Lperr_V1.4:8:12506288:12510454:1 gene:LPERR08G11460 transcript:LPERR08G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTATPMGQALGLVQVDQSTVAIKESFGKFDEILEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHLLG >LPERR08G11460.3 pep chromosome:Lperr_V1.4:8:12505864:12510454:1 gene:LPERR08G11460 transcript:LPERR08G11460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKESFGKFDEILEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHLLG >LPERR08G11470.1 pep chromosome:Lperr_V1.4:8:12509764:12510998:-1 gene:LPERR08G11470 transcript:LPERR08G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEHAEEVKTVKEKEKKEKTKEKKEKVDETTDTTKLRAKLEKIDAKIDDLKAKKQEIVDRLLQLEEATANAAAAPEAEAAPPASG >LPERR08G11480.1 pep chromosome:Lperr_V1.4:8:12515360:12525483:1 gene:LPERR08G11480 transcript:LPERR08G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEQFRGQARLPRCASPLRYDLILRPDLSACAFSGSAAVAVAVSEPTHFLVLNAAELAVDPSSVRFQDLVPSEVVQFEEDEILVVGFDRDLPIGEGVLRMDFTGTLNDQMRGFYRSKYEYKGESRNMATTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLGGTTVRVYTQVGKSNQGKFALDVGVKSLDLFKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALIPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHACEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQTQFLSDGSSGPGLWIVPITSCCGSYDTQKKFLLKGKTDKVHIDCTASQSAGEGKGQNCWIKLNIDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLVRLLNAYCNESDYTVLSHVTSVCLGIDKISVDATPQLCKDIKQLLINLLLSAAKTLGWDPKDGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFLKDRKTNLLPPDTRKASYLAVMRTVSTSSRAGYDALLKIYRESAEAQEKSRILGSLSSCPDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWTWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERIRISARWIESIRSEANLAQTVQELLQHNV >LPERR08G11480.2 pep chromosome:Lperr_V1.4:8:12515360:12525782:1 gene:LPERR08G11480 transcript:LPERR08G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEQFRGQARLPRCASPLRYDLILRPDLSACAFSGSAAVAVAVSEPTHFLVLNAAELAVDPSSVRFQDLVPSEVVQFEEDEILVVGFDRDLPIGEGVLRMDFTGTLNDQMRGFYRSKYEYKGESRNMATTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLGGTTVRVYTQVGKSNQGKFALDVGVKSLDLFKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALIPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHACEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQTQFLSDGSSGPGLWIVPITSCCGSYDTQKKFLLKGKTDKVHIDCTASQSAGEGKGQNCWIKLNIDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLVRLLNAYCNESDYTVLSHVTSVCLGIDKISVDATPQLCKDIKQLLINLLLSAAKTLGWDPKDGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFLKDRKTNLLPPDTRKASYLAVMRTVSTSSRAGYDALLKIYRESAEAQEKSRILGSLSSCPDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWTWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERIRISARWIESIRSEANLAQTVQELLQHNV >LPERR08G11490.1 pep chromosome:Lperr_V1.4:8:12524140:12525609:-1 gene:LPERR08G11490 transcript:LPERR08G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEMTMSTATEESAAAAAVAGERVGVEIYPLSRYYFGVRDVAAGGGSGGGGVRETAADRAIRLKANFAAHGLRTTVHGVLLVELFDHPHLLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSSKLSLVGHQDDEDEDDKWQIGECIGMWWRSEFDAVPFPYILPNTRAAKECIKLFLIKLPVSRQFVVPRNMKLLAVYGPIIAGIPNLLSKFSFNIISD >LPERR08G11490.2 pep chromosome:Lperr_V1.4:8:12524140:12525609:-1 gene:LPERR08G11490 transcript:LPERR08G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEMTMSTATEESAAAAAVAGERVGVEIYPLSRYYFGVRDVAAGGGSGGGGVRETAADRAIRLKANFAAHGLRTTVHGVLLVELFDHPHLLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSSKLSLVGHQDDEDEDDKWQIGECIGMWWRSEFDAVPFPYILPNTRAAKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGPIIAGIPNLLSKFSFNIISD >LPERR08G11500.1 pep chromosome:Lperr_V1.4:8:12529741:12530483:1 gene:LPERR08G11500 transcript:LPERR08G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPELAGGERRLVWGRVQHIKCRGGTCEHRITTQFKGVGEVGVASATMGNSGDTTKPAATRGQLYLAKKAQPQALLQVEEGLEMVQINVSPRNLTGGRGTAATALDQSRRRMWPISFLAAPIEAWFEAFGRGRLGDGGGEVAHPDLIYIGRGIKSIWWRRTGDVATALRELRLNFAFPEIGCTKKGQILAVATAQ >LPERR08G11510.1 pep chromosome:Lperr_V1.4:8:12549195:12555202:1 gene:LPERR08G11510 transcript:LPERR08G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDLREMLRCASQRQPRRGGWTQAQRRDAPVPDAADRRDWARRRHWEEGTATATHGGGGGAEREGLMVAPASTGDAAVAGGSATHGGAERVSAGVGDGGTAASRRWRRSMDIRPNPGLAEMYFLAGQPRGSVWRQRAAAAGGRGIAAVRRRGEDEVHDGGGDGTQCEVAPTRTAESAGRNAASVAGQRVACPVVAPDERGNGGGGGGELGTKRGLEQQLASRSQPPKRRAVSAKRQFPAGCGRDAAIPLGRGHDGGVRAPDEATAAAPLAGSRNGLPLDAPPPDRAVLGNVEIGEKVAIADGGVRPSDETIAAAPFAGSRNGLPLDAPTHRAVLGNVEIGEKVAIVDGGVSMANVQQSHVTADAVLMKSSLVFDENRVDCKVSSLDNGAEGAASGTHGGDLLGRKEMLAKAAHLLPKWSIVPATRRFPPGCGRDVVAPLARGEEGNMGSNSEVMLSGVVRDVSHEVVAMDGGTNSVNQCATNIVGALNVGVSDETVQCEELEEGELIDDAYCEVPESQLVAGCVGTSDEIMQDKSLHEGCTDAALETEDGLLIGGNCETIFLAASAKCSVGGPPNETVQAKRVFGDNGIKGKISSLAIDDHGSNTLRSKAPIKSLVQSPLLTLRKMVANNNGSEVDGCISATGIAQVDREVEEGEGALRAYHAVLESQVATSFVPHESTTGRHEGAVLGKIAPEHSIRHFPKVESCRNTSRHVGTIPKAAAESCVEGPSKQHFKGKRDCKNDMIKKSSMDASTKVFDDGNRRSKIFLTARKSVKPPVIANRKPPLNTLHTPFSKGKEESVAASSAFFGPKKRLKVKGSGQSKHIPVKIVSASASASKDNLMDEKASNLEDDDILKELAVHDGKLELHLNVHRQNGCRNTDDRSKIRMLCRRFQKICRALVQAVEQGSLKVRRVDLEADKIIRKLPGFTKPVPIVGDIPGVEVGDEFLYRVELAIVGLHRPYQGGIDTTDHHGELVAISIVASGGYPDELSSSGELIYTGSGGKLAGKEKDEDQKLERGNLALENCIKTRTPVRVIHGFKSQSREDDSHSRARQISTFTYDGLYLVVGCWREGLPGSRAVD >LPERR08G11520.1 pep chromosome:Lperr_V1.4:8:12555770:12560236:1 gene:LPERR08G11520 transcript:LPERR08G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPSSSEQQHGKNNRNCTNSEDRPVPNFMPLSWNPMNSNLPPDIRWWLQLQPNLAGQKNLAGEHLYFLGREISDKEVKDSAEKALHEEPSFCEMFRTNPEKIEDVFEPSWMVSTAFTKHSSGTGLQDLKNIDDYSQVPLECKENVNDWLFDDNEFLDLKNFGLPPLENPQKADFDMDAPWKAGERSKPWWQITDENELALLVAERAMQHIENCDLPRPTQTVRVQGTESRNHENMGRYSGASCRAGTVSYPDPGQCEHIECSYSTASTDELDFTSNGVWQQQERNVTHSDAQDFSKGVNTEKGSKRTYQNPAERAQLLEALCHSQTRAREAEMAGKKAQTEKDDVIKLLFRQASHLFACKQWLKILQLENICLQLKHKEHQIATMIPDLPWMTLKKRTTPDQERENWKRKKGTRHKNGGGFFDAILFAVGLGLAGAGLLLGWTFGWLLAKL >LPERR08G11530.1 pep chromosome:Lperr_V1.4:8:12560852:12561141:1 gene:LPERR08G11530 transcript:LPERR08G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVERSGLQSLVARAKVNVAPMARRCMSSAHDDAREMAKWEKITYAGVVTLMEAYNLSKGDSP >LPERR08G11540.1 pep chromosome:Lperr_V1.4:8:12562522:12567250:-1 gene:LPERR08G11540 transcript:LPERR08G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRGFFFKILAFLCFIRSSQGRNHFNTLDLPSLMKASSFSTIKHETYDYIIVGGGTAGCPLAATLSQKYRVLLLERGGSPYGNRNISYLENFHICLADDSPNSPSQAFISTDGVINARARVLGGGTSVNAGFYSRADSSFVQDAGWDAELVNQSYPWIEERVVHWPNISPWQAALRDGLLEAGVSPYNGYTYDHLFGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLHASVNRIIFNTEQGKRKPRAIGVEFKDENGGNKHAFLTRNKGSEIIVSAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPLNSIFIPTKNAPKQSLIETVGITEGGVFIEASSGFSQSPDSIHCHHGIMSAEIGQLSTIPPKQRNLASVQNYVHNKYSLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFKHPKDLSRCVYGIKTVDRILKTNHFSNFTLNGGGYPTEILLNMSVTANINLIPKHTNDSTSLEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGSCHGAAADPQGGTIDQCDEMWLGHYHEHLVCAPELRQTDDRRIAPLATLAWIVEAGSDARNHTEGEH >LPERR08G11540.2 pep chromosome:Lperr_V1.4:8:12563420:12567250:-1 gene:LPERR08G11540 transcript:LPERR08G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRGFFFKILAFLCFIRSSQGRNHFNTLDLPSLMKASSFSTIKHETYDYIIVGGGTAGCPLAATLSQKYRVLLLERGGSPYGNRNISYLENFHICLADDSPNSPSQAFISTDGVINARARVLGGGTSVNAGFYSRADSSFVQDAGWDAELVNQSYPWIEERVVHWPNISPWQAALRDGLLEAGVSPYNGYTYDHLFGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLHASVNRIIFNTEQGKRKPRAIGVEFKDENGGNKHAFLTRNKGSEIIVSAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPLNSIFIPTKNAPKQSLIETVGITEGGVFIEASSGFSQSPDSIHCHHGIMSAEIGQLSTIPPKQRNLASVQNYVHNKYSLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFKHPKDLSRCVYGIKTVDRILKTNHFSNFTLNGGGYPTEILLNMSVTANINLIPKHTNDSTSLEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRYMGVRILRGRLGRTAGV >LPERR08G11550.1 pep chromosome:Lperr_V1.4:8:12585705:12587009:-1 gene:LPERR08G11550 transcript:LPERR08G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTINNPITTATPPPHHQRQQQQTHLPAAVAAARPPHSTGSGSSGSHRGGGGGGSGSGSTNQACAACKYQRRKCNPDCRMAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVLGCCRVIVDLERQLEIETAELNAVLHHLALCRQAAAAAGGGGASASVVAAALPPGILDDPCADLDVTSSNQPLLISAEQEVVDALYANQDADAAILQGDNHQNQEQSQHEQHHGQQQLYDYFYYDSTVGDDVSSKPNLDINVDNMQHFDFDTSYDAENKVKLTSDHIPVGVDEHSQIDSKEFETKATPSLVDVFDMRHEQVDVNTDIVEVKEMVDMNADIEIKTMVDMNVNIVDVVKTMVDVNADIDDVKTVVDVNGDIGVKEELPEEENGKAVAGKAPQMADSSHCKLGLGFSSF >LPERR08G11560.1 pep chromosome:Lperr_V1.4:8:12591370:12592149:1 gene:LPERR08G11560 transcript:LPERR08G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEILSWLSPGAASWFVFLNVIVGAIAVMSWLGQSGGHAAVTTPSSARRKLARTASTVVMERLRSVANFPFQYLTADYSVTPLHGDVSGSDYFYYPPQQAEEVVAQTSNAMVLETVPLKPEPPAPAVKEIATATVMAISSSPSPVAVSPAAVTIKKVEEEGAETEEESISLDEAYALAQQAQGRTTRSAADPAPAKAAARMAQRGRAEEVEEVGASKAEVNARAERFIKQFREDLKLQRINSIINYTNALRRRGGGAS >LPERR08G11570.1 pep chromosome:Lperr_V1.4:8:12594914:12601040:1 gene:LPERR08G11570 transcript:LPERR08G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAVEPNHVTYNTMIDGYIKRGDLEAGLRLRDQMVLRHGLKPNVVTYNVLLSGLCRAGRMGEAATLLDEMASRRMVPAGFTYSMLFDGLSRVGDSQALLSLFGKSVENGMKIGDYTCSILLNGLCKDGKVSTAGQVLQTLIDAGLVPTRVIYNTLISGYCQIGDLEGAFSTFQQMKSRHIKPDHITYNALINGLCKAERITKAQDLLVEMEENGVSPSLETFNTLIDAYGRTGQLERCFIVLSEIQEKGLKPNVVSYGSIVNAFCKHGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYIEHGPIEQAFVLAEKMKSSGISPSIVTYNLLIKGLCSQSRISEAEEIINSLSNHRMTPDAVSYNTLISACCYKGNIDKALDLQQGMHKYHIRPTSRTYHLLISALGSAGRVHEIEDLYQQMLQKNVVPSSAIYNIMVEAYSKYGKEIKVEALRKEMSERGIAIDNTCINNCVLNKCIAHPV >LPERR08G11570.2 pep chromosome:Lperr_V1.4:8:12594914:12601040:1 gene:LPERR08G11570 transcript:LPERR08G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAVEPNHVTYNTMIDGYIKRGDLEAGLRLRDQMVLRHGLKPNVVTYNVLLSGLCRAGRMGEAATLLDEMASRRMVPAGFTYSMLFDGLSRVGDSQALLSLFGKSVENGMKIGDYTCSILLNGLCKDGKVSTAGQVLQTLIDAGLVPTRVIYNTLISGYCQIGDLEGAFSTFQQMKSRHIKPDHITYNALINGLCKAERITKAQDLLVEMEENGVSPSLETFNTLIDAYGRTGQLERCFIVLSEIQEKGLKPNVVSYGSIVNAFCKHGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYIEHGPIEQAFVLAEKMKSSGISPSIVTYNLLIKGLCSQSRISEAEEIINSLSNHRMTPDAVSYNTLISACCYKGNIDKALDLQQGMHKYHIRPTSRTYHLLISALGSAGRVHEIEDLYQQMLQKNVVPSSAIYNIMVEAYSKYGKEIKVEALRKEMSERGIAIDNTCINNCVLNKCIAHPV >LPERR08G11570.3 pep chromosome:Lperr_V1.4:8:12594226:12601040:1 gene:LPERR08G11570 transcript:LPERR08G11570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLPRRTRTRTRTLCSFAATQLHDLASLLAAGRFHASVDLAKSLLLTSHSPASSVPDLYHALAATATATASSSASPGDDDPLPSSSFLCDAASALVVASARLRLPDGALRLLTDLAAADARPTRAPLPSLSSCNLLLECLLSLGRHADVRRAFGILLSAADASDGAGARPDTFAWNKAVQACVAAGDLDEAVRMLRRMGREAGAPPPNAFSYNVVVAGMWRAGRGDDAVEVFDEMAVRAVEPNHVTYNTMIDGYIKRGDLEAGLRLRDQMVLRHGLKPNVVTYNVLLSGLCRAGRMGEAATLLDEMASRRMVPAGFTYSMLFDGLSRVGDSQALLSLFGKSVENGMKIGDYTCSILLNGLCKDGKVSTAGQVLQTLIDAGLVPTRVIYNTLISGYCQIGDLEGAFSTFQQMKSRHIKPDHITYNALINGLCKAERITKAQDLLVEMEENGVSPSLETFNTLIDAYGRTGQLERCFIVLSEIQEKGLKPNVVSYGSIVNAFCKHGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYIEHGPIEQAFVLAEKMKSSGISPSIVTYNLLIKGLCSQSRISEAEEIINSLSNHRMTPDAVSYNTLISACCYKGNIDKALDLQQGMHKYHIRPTSRTYHLLISALGSAGRVHEIEDLYQQMLQKNVVPSSAIYNIMVEAYSKYGKEIKVEALRKEMSERGIAIDNTCINNFAVGLSGNTCTSRRSNGLRGGAYRVHLPDQETCGQTPRDGGRSGD >LPERR08G11570.4 pep chromosome:Lperr_V1.4:8:12600191:12601040:1 gene:LPERR08G11570 transcript:LPERR08G11570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILTAHPVLGGALNLIFWAHLSVGPANNHYDNRSLFRIVFILFTCEVANSANSNHGILILLHFCSWCHHLWIT >LPERR08G11580.1 pep chromosome:Lperr_V1.4:8:12601993:12606545:-1 gene:LPERR08G11580 transcript:LPERR08G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGGADKAAAAGGGATAGWKPPASMVLVQLFITGMILLSKVSIGDGIFIFGLLTYCSVIGAAFILPMALISERGKWRLMDWRAFGWIFFNALIGYTVPTLLYYYGLKDTTPSYSVIFLNAGGIQHWKHGWSTKDSGCTGLCRRHHAYHPLQRQVTPSMEFHLATPKRTTYKVYNQSAKGDNFIDREQLHLCLLSKILKVYPYKYWSTMAICLIGGIQSAFVGIILRRDKNAWKLGWNLNLVTIVYTGTVGTAGRYILNSWAIAKRGPIYPPMFNPLSVVFTVVLDTILMGNDVTIGSLIGTAMVIVGLYLFLWAKAREVPRQGLRSTEVVVTSPTNYTISGPSKL >LPERR08G11590.1 pep chromosome:Lperr_V1.4:8:12619291:12620897:1 gene:LPERR08G11590 transcript:LPERR08G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALHYLSDLLLGGSSSNSNNKPSHKKRKEFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEIIRKQGKVTVQGMVDTQRVLRRVQSTGKRAELWPYVPYTNPYVAPPAAYDKRAPPGHIRRVDAVMPVTPGQEERLATLFSDDNTNACAVM >LPERR08G11600.1 pep chromosome:Lperr_V1.4:8:12635605:12636390:1 gene:LPERR08G11600 transcript:LPERR08G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPARLTHSSHPQHELTLSSTAGAPFRCDGCQEPGSDGPRYRCAPCNFDLHTDCALAPPTLHHPLFSRCTFKFHHEPPPHAGGRQCDACGDRVSGFVFHCAERDLDIHPCCASLDDRIVTPDGRAFELINTKEVTSSSSSRRCGSCGDKTRRFWFYRGRFDGEDVYIHVACVKERARREWEASYRWRSGAGQIVLAGAPLMESALTSLSKRTRRGGGFERFRKIVGLVVSAIIAVIFGNPMGLIAAVAGPDGLLRG >LPERR08G11610.1 pep chromosome:Lperr_V1.4:8:12640145:12640899:-1 gene:LPERR08G11610 transcript:LPERR08G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFEDAPEAITSHRHNHTLKLDCEHKEYCNFHLHTCCALATETLEHDLFPGCKFVLLHEPPPPAGGRMCDACGGGVDAHGLVYHSNDGNRIRPPPVLRRIVVDGHAFVLTKEESRRCRKRTLWFYPSSSSYTHGKEVLPARWDKARPISMENSSGQHFMQAAAPILDGVLKDLPRGRGRRATGGDLERFMTKAIRVIISLIVGDPTAMIATVAGVIFS >LPERR08G11620.1 pep chromosome:Lperr_V1.4:8:12646868:12648721:1 gene:LPERR08G11620 transcript:LPERR08G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGGGGAGRAISLVDFAMPMSHCFAMPKLDEFCYAYALLFLLCLRFCYANAQVRWILLYIYISFAMPKLDGWAGQRLQAGQKHRLQAGKAERLILMATQGDASSKRKAKSNDPGWKYGYWPVIGNRNLVECVLCGHRVNVGIKRLKEHIVGGYDDAIKCPKIQMIS >LPERR08G11630.1 pep chromosome:Lperr_V1.4:8:12652684:12660264:-1 gene:LPERR08G11630 transcript:LPERR08G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNVMPATATAIWSHPFHREHDLTLVASAAGGKAEWYRCDGCKERGSTTSERYTCETCDFDLHIACALAQDVMPDHQLFNGRSFHLLHELPPPERGFRRVCDACGDEVRGFVYHCFDGDLDIHPCCAQLPDHVALHGIKFQLCGGDSGNVPSGCAFCTGKEPYSWVRSTAWTYRACYDGEDMFLHVACVKEMAPAQPPATINHPFHEFHPKHDLTLVASAAGGKAEWYRCDGCKERGSTTSERYTCETCDFDLHIACALAQDVMPDHQLFNGRSFHLLHELPPPERGFRRVCDACGDEVRGFVYHCFDGDLDIHPCCAQLPDHVALHGIDFRLCGGDGRNVPRRCAFCTGNEPYSCVRRKVWTYRACYDGEDMFLHVACVKEMVQEILAGHHGGSSSGGGKIVVRESVLMGVMQKRSKSSKAVKCFLKFVLSVVVSVLFGDPTGLAVALVGAVFSNV >LPERR08G11640.1 pep chromosome:Lperr_V1.4:8:12665245:12676588:-1 gene:LPERR08G11640 transcript:LPERR08G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSDYQRIARAVAAVAAGHHLPDDDSIPDISRTLSSRAAHHLATTCPLWRAILSKPEFLSHHLAPRPLAADEFPRAFIVQPRKHGFTHLTLIPIDPAAVDVPVRNKYTRPSPPPSNYLRQHDSKDAEHPPLPEMEPDRFALDDPSAPEGEVVDDHATFFARTVPALDLCIVAAHGRLLLCRGRRCYYVCDPANNRWVELPPEHDVNSGLHYDDAALTVTGRVDFTVVLIGCKHRRVIVETFTSSIGRWETKEQPVHGTRRLTRCVGPASPGIHVGGCFYWLSRQRGRILRYDVAAGGRVSVVREPAEPEGSIGRLGRSLGSTGGRLRMCAYDICDERKRCMLPHGGGEGVHGVWVMSSTTDGSWRRVHEAWVGDIDFWYFHTLFESDTPVDFAGACGDFVVMTDGGLRFWRYDYLESDGVQLSGIDYHDIDVDNPIELYRRNVFSELTMPGYQRIARAVATAAARHLPDDGVISDISRNLTSRAVHRLAAACPRWRAIVSQPPFLRCHLAPRPIAADEFPRALIIQPRKLGFTHLTFIPIDPTAADPLAAVNVPVRNKYTRPPPPPSHYQRQFELERRREDPSASVTEELPPPLPETEPDRFDLDDPSSPEWEVVDDHAAFFARTVPALDLCIVAAHGRLLLCRGRRCYYVCDPANNRWVALPPSTLPPQPDVSSGLHYDVDDAGIHFTVVLIGCRRRRVIVETFTSSTGKWETKVLPNQGTQGLTRCVGPASPGIHAGGYFYWLTHRRGRILRYDVAGDGHVAIVREPAESEGSIGRAGRSLGSTGGQLRMCAYDIRDEQRDCVDPHEGGEGVHGVWVMTPAAAAEADGAWAWRRVHEARVEGVDFYYFHMLFDRETPVDFAGARGDFVVMTDGGRRFWRYDYLESKVKLAHLYDYNDGIGVHNRVELYHRNVFRQLSRRYHVFPFFG >LPERR08G11650.1 pep chromosome:Lperr_V1.4:8:12679433:12680281:-1 gene:LPERR08G11650 transcript:LPERR08G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVEMKDSPAPAEICNHPFHPSHKLKLVTAAADPDAAGKFVCDGCKEKGGVGCPRYTCEDASCDFDLHASCALAPDVLPEHPLFKGSAFVLLHEPPPPTPTDDPGLITVCDACGDEVCGFVYHCFDGDLDIHPCCANLPDRVVALDGVVFELCHGRTAPRRCLLCTGKKSRRRCRRKYWTYSNNDLDDGEAVHLHVACVKKMAYENSSAAGSSSSHWNMQVTRALVQTGALSLKKKGKSRSAFQKFVKIVVFVLRVVVGVLFGDPTALAVAVVGLVFPNG >LPERR08G11660.1 pep chromosome:Lperr_V1.4:8:12697808:12701653:1 gene:LPERR08G11660 transcript:LPERR08G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGMLCRSQAATAVCVPGDARSMVVARRADRTIAEDARLVHDQQKQRRRRGGGVAVTLPMVTKSPKETPAREMAAAAAAAAKRVPLAAAAAAPDEQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLFL >LPERR08G11670.1 pep chromosome:Lperr_V1.4:8:12716465:12722896:1 gene:LPERR08G11670 transcript:LPERR08G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKDIAIGPVAVVSLLIGSLLQNELDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIRSFTKKTDIISVMRSVWSSAHHGWNWQTIVIGITFLAFLLFAKYIGKKNRKFFWVPAIAPIISVILATLFVYVTRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVICGMIGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNIAGSMTSCYVATGSFSRSAVNFMAGCQTPVSNIVMSTVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILFQVTRPRTVLLGNLPGTTIYRNTHQYPEARHVPGLVIVRVDSAIYFSNSNYVRERILRWLMEEEERAKAEGQSKITFLIIEMSPVIDIDTSGIHALEDLYKNLHKRDIQLILANPGSIVIEKLLSSKITEHIGNNNIFLTVSDAVRFCTRKSMQEP >LPERR08G11680.1 pep chromosome:Lperr_V1.4:8:12721857:12723493:-1 gene:LPERR08G11680 transcript:LPERR08G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSMPQSSSTISRPRNSTMSTHATELIKGTHEFTVVGFSLQKRKGSGHFIESGSFNVGGFSWTVRLYPAGDRKEHEGHVSVYLHLQTTDVAKVRAKSTYHINGAGGANAASLPYGGTSTYTPASSTYGYSKLVKIDTLESRWLINDSFTLRCDVEVVKETETGTTTSRFVSVPPSGMFRHLEQLLESKEGSDVTFQVEHSEYDAHKAVLAARSPVFRAQFFGTMASLSAANQQQSGGRSSYVRIHDMRPEAFEAVLHFVYTDTLPPVEDEGFRQSSDGISHLAKLTEAVAGCSKENIGVIVRSMVGEWLAAADRFDLERMRLLCEDALWKTIDVANAEATLQLADLHHCTQLRAFCMEYLTSPRMLMAEVEAEGFKELKAACPSLHAEVMEKLGTATTRKSYII >LPERR08G11700.1 pep chromosome:Lperr_V1.4:8:12736550:12737635:-1 gene:LPERR08G11700 transcript:LPERR08G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHTTEIVKGSHQFTVAGYSLQKTKGSGHCIVSASFEVGGYRWAVEFYPAGNTKEDEGHVSVFLGLQSTVVDKVTAKFIFHFKGATASSSPLEYRSAWKNYTSINKAWGYNKLMKIETLESQYLINDSLTMLCEIEVVKASKTGAARSRFITVPPPAICNHLEHLLESKEGSDVTVQVEQSKYDVHRAVLAARSPVFRAQFFGPMAVANQLVRILDIKPAVFEAVLHFVYTGTLPPVEEEGFVLNESASQRTNLRHAAAGCSKQDLRVMVCEWLKAADRFDLERMRLLCENALCETIDVANVASTLRLADQHNCSQLKSFCMEYIASPGMLAAVMATEGFKELKLACPSLLIEILEKLGS >LPERR08G11710.1 pep chromosome:Lperr_V1.4:8:12742289:12746140:1 gene:LPERR08G11710 transcript:LPERR08G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLPVFLWRPLPPLLLRRRVLNPSPRTLTTRAEPEALASRHSHILPTDERCPSSSTRAAQPPPDYGGGGVSPGTIAAIVTSLGGGPAAVGIVRLSGSDAVAVAGRVFRPARRAAAAETWWPRSHFVEYGVALDGDGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLVQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDELPPLDPTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIRGIPITLLDTAGIRETDDIVEKIGVQRSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLINKKSSAAAVPMVLVINKVDRSPFISGEQFEQFRGVFRKHVQTCAVTGKGIPELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLGSIFSKFCIGK >LPERR08G11720.1 pep chromosome:Lperr_V1.4:8:12761410:12762067:-1 gene:LPERR08G11720 transcript:LPERR08G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNPMRRTTSMMEFAPPVDVAAADVVAEEEADEATELEVMNGTEEEDAAVEEASYGWFGSGAAGAGVRADWLAAYRARAKPALAGLHRNSADFSAVETAAFLRACGLCNRRLGPGRDTFMYKGEAAFCSLECRQQHITHEEWKEKRAVAIAKVVAASQSPSMSEPVAPATAAGGDNSTGGTLAAA >LPERR08G11730.1 pep chromosome:Lperr_V1.4:8:12783061:12784167:1 gene:LPERR08G11730 transcript:LPERR08G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSTELVSGTHQFTVAGYSLQKRKGVGHFIRSNSFKVGGYNWAIRFYPAGVTGGEGYVAMYLELMETTAVAKVTVKSTFTIGSSLPFRGWDDFTSSSKSWGYRKFMKIDEVESRYLINDCVTLYCQVEIVQEKKTGATAGCSITVKQSEYHAHKVVLAARSPVFRAQFFGAMAMASVDGDRHVRIHDMKPAVFEAVLHFVYTDTLPPVGEAGFRLSGRGHLAKLKELVAGGLSMKDRRVMVGEWLAAADRYDLERMRLLCEDMLCKTIDVANAATTLQLADRHHCPQLKASCIKYLIFPGMMAAVVSTEGFREFKAAACSSLLAEVLEKTATDKNKWYYSI >LPERR08G11740.1 pep chromosome:Lperr_V1.4:8:12788099:12794918:1 gene:LPERR08G11740 transcript:LPERR08G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANQDELIASLLHNNQENTLSDVSLQDVMEGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREDALKDCKKVEKDSPYYDFQYNTRCARPSIVHFQLRNLVWATTKHDVYTMHNQSVTHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDNLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPNGSRRLVAANNDCSVRIFDIEYFDLLKHYVFPWSVNAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIGGLSFSPDAEAFYVGIADQTYGGLMEFNRRHHHHYLNCMW >LPERR08G11750.1 pep chromosome:Lperr_V1.4:8:12798473:12799249:1 gene:LPERR08G11750 transcript:LPERR08G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDRSGDLMRAMGDAQFPRPAFHPALPPAPATGGHGFRYMGPAQLSPAQIQYVQAQLHLQRQAHSALGPRAQPMKPAASSPPAAPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALTYDQAAYRLRGDAARLNFPDNAASRGPLDPAVDAKLQAICDTIASSKNNASSSRAARAVPINAPPVSSTTTHSSDDDGSETTSSASPLAEMEQLDFSEVPWDEAEGFALTKYPSYEIDWDSLLNNNN >LPERR08G11760.1 pep chromosome:Lperr_V1.4:8:12822567:12825923:1 gene:LPERR08G11760 transcript:LPERR08G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVVPEVAVPMPPNSAPLLPYPPPRAAPGVAVRKNKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIEKTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKSELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >LPERR08G11770.1 pep chromosome:Lperr_V1.4:8:12837555:12837749:-1 gene:LPERR08G11770 transcript:LPERR08G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKEDSGLAWTRYPFPASPTSSPSPPLSPVPAPTRPSTTTPRPDPSHVRVELNHCEQVSRLH >LPERR08G11780.1 pep chromosome:Lperr_V1.4:8:12861935:12862999:1 gene:LPERR08G11780 transcript:LPERR08G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDEFDSRCGGGGGGGGGANSSPLSALLRQSAGSASSFYGLQDLASPSLSHTAAHQWTPTAAAAHPAGGGAGTSPSPSSPHGSEQLGAPPAMRTTSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAGGAPTRSRSAYLLRPFAQKLHNPSPFHPFPSPSTSSPSPSNIAIAAAVSTAAAAPTTVATAAAPGAGGERYQLSSAPPSSLLGMQDHGGGNYLSFQSHLAGGDSKYAAHPMFDAPGRDRMQDPAGFLGLTHGIMAGAERSRGGDELFGVVGGASMTTGSGAGGCKKATYSSGERNAESTSVTVAATTAATAAMRTQSVDSWICTSE >LPERR08G11790.1 pep chromosome:Lperr_V1.4:8:12874479:12878750:1 gene:LPERR08G11790 transcript:LPERR08G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARRTLVLVNMAAIMERADEALLPAVYREVGEALHASPAGLGALTLCRAAVQAACYPLAAYAAVRYDRARVVALGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNFGSLIGGFFSIILASTRFMGIAGWRIAFHVVAIISVAVGILVHLFAVDPHYMNLGDHKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGILMVTSAVASSLGGLLGGKMGDYLARRYPNFGRIVISQISSASAIPLAALLLLGLPDDPSTGFLHGSVMFVMGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSFESLFASFAPPVVGFLAEHAYGYNPITYGVGISSVERDKKNAAALAKALYTAIAIPMLLCCFIYSLLYQTYPRDRERARMDILITSELQQIEMERSQSTRDYNNREENVSVIDIEYGEEGSDADDDERTLMHNEIKQGAFDK >LPERR08G11800.1 pep chromosome:Lperr_V1.4:8:12877826:12879061:-1 gene:LPERR08G11800 transcript:LPERR08G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATTRAGQKQQPPSWADIPRDLAIHVLRFLPAQVDRACFAAVCPKWRAAARQAVLPAPLPLLALPDGTFYCIPHGKAFRFPLPGCAGYKTAACGRWLVFPRDDGCFLVDPITGSTVALPALSRVRLRPPNAVARYVNVGIEGQNAHAHMFYPHATWMHIRSLHEMMPINKLLMCSPNLVAALIGSTLANAARNSQILVCQPGASSWSVRAYDKCELFEDMAFYRGKLYALNHEENLLIINISQDPRTGDPQISRIGQVIKGDSRYSTMIDTMDKKKLYLVESCGALLMVRRKVCCRMAGDTVVSGQSEFEVFEADFEHSQWVSVTTLGGDQMVFLGRPCSRAVSASQYGMPGDQIFFLDDVLENAKEYDYEDETTSVSVYDMRSAEVSFPLPMAWKHEMIPATWLFPWG >LPERR08G11810.1 pep chromosome:Lperr_V1.4:8:12880204:12883487:1 gene:LPERR08G11810 transcript:LPERR08G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDADADARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGASPTALGSLTLCRAIVQAASYPLAAYASARHDRARVVAVGAFLWAAATLLVALSGSFLQMAISRGLNGVGLALVLPAISSLVADHTDDHTRGAAFGWLAATCNLGSVLGGSFGVLLAPVTFLGVSGWRLAFHLVAAVSFAVGVLTWFLAGDPRPAKSKAAAADDDDEAGSWQLLRDARGVMGVRTFQIIVAQGIAGSIPWSALNFSAMWLELVGFSHWETSVITGLYLFATALGALFGGLIGDPVARRFPNTGRIALAQISSASAIPLGALLLLALPNDPSTGVAHAVVFFVMGFAISWNGAATNNPIFAEIVPEKSRTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMVICCLTYSFLYCTYPRDRERIRRNLLMASDDQQCQESSESHSSEGRTQEDEEFAVGSINQRLLSARE >LPERR08G11820.1 pep chromosome:Lperr_V1.4:8:12899466:12904501:-1 gene:LPERR08G11820 transcript:LPERR08G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSASAAGALCGRGGHRLLSCVAAPARGRAPGAFSSVRRLPRSALRASVTTDITAAPDEAIAEPSVEPAAENKLSKLACPICYYSLVSSSDQSAPPNVASSLECSTCKKSYPNKGDYWDMTVAVGSTEYSESTTVTTEVFRTPLVSFLYERGWRQNFIWSGFPGLEKEFEMAKTYLKPTTGGVIVDASCGSGLFSRSFVKSELYSLVVALDFSENMLKQCNEFVKQENISDETLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADFLPPAVPVLRIGRPYISQFTGSNIFLSEREFGDLCRACGLIDFTFEVTGGGGAAITFRALELTGGGSAAHGRGFASYGQSLAAPNTSGAYLEMHRRRKIPNSVVRTGTELGSSLAGRPPEMKKKLDLHQYDLWDLKQEEARYLWDLKHEEAKTRPV >LPERR08G11830.1 pep chromosome:Lperr_V1.4:8:12906012:12906889:1 gene:LPERR08G11830 transcript:LPERR08G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAEWHWRPRVLRAPSRGTTVSTSARRLYAARKREEGRDAETSKLGPLDQQHSPSGVPSNLHWCTTFARSFCK >LPERR08G11840.1 pep chromosome:Lperr_V1.4:8:12910509:12911939:1 gene:LPERR08G11840 transcript:LPERR08G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLLLLLLVVAVILLSHIALCSSAAAVTGKPTKGKKVAAAGGRKTLLADDEGEETTVVPVKKAKGVAAVGKMKKKVGVDAKNQTAKVVKVKKSDSAGAAVKSTKKSSTTTKSTVDSAAVAKNKTPKVEKAAATAKSKGTDTAKPAKVTKTGSTKAVKPAKPAKSESTAAVGKAKKPSNSTGDAGAKPAKSSKKAAQVTLAKVNATATNEAEVEEEDVVFAEEAEGTDDLISEFKGLPARLQETLMPDLARLSHTSKAYLTAANAGIADGVRPILGGRWAAAAASAASVALLLLPLFMLNALVRRMSTYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLMLQMVDLVAVFSGAASPDEEGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGGGTDGGAAEEWKKS >LPERR08G11850.1 pep chromosome:Lperr_V1.4:8:12917320:12920591:-1 gene:LPERR08G11850 transcript:LPERR08G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTAIALMGVTNSLLDKLSILLGREYSKLKGVQAGITSLRDELISMKAALEDLSQLEDCNSQVKLWMHPLRELSYDIEDCIDIFLYSLDHGNVCDGLMNKIISWLRTLKVYIRAAKQISALKERAAEVNDRRKRLKLDVATLTSKAVATINPRLPALFEEADRLVGINGPRDELVKWLTEGYDFAQHRKVVSIVGFGGLGKTTLACQVYQKIKSQFDCTAFVSVSRNPNINKILRDILLEVLYTSNPMSDYQKNHFWKIKDNLNQQLEDHQLINMIKEYLRTNRYFIIIDDLWSKSAWQVIQCAFPYNNNASRIMTTTRIQDVAQYCCFTYEDYVYDIKPLSSDDSRKLFLKRIFGNEDNHPTELKEISDEILRKCSGLPLAIINIASLLSTKPVTKQEWKKVWNSISSVLKQNKDLETVKRILFLSYYDLPHELKLCLLHLSAFPEDHVIKRERLIWRWIAEGLVTEQQGLNLEEVGDTYFNELVNRNMVQPVDIDYSGRAKACRVHDIMLDLIICLSVEENFVTIIDELYPCLQLTRLGIFPSKQAVKKATYGLDQTDFLSVFGDLKQMPPLFDLQVLRVLDLEDCSSLKDGDIENIASLFQLRYLSLRNSHISRIPEQIGKLQLLHTLDLRGTRIKELPETVTQLRQLVRLLLGRFGVKMPNGISNMKSLEELVVLDGNKNSMDVVVELGKLTNLKVFSIYWRPNGEIDNEGNYTKSIVSSLCKIGEQSLRSLHITHGYSLLLDFLVDSWYPPPCHLEMFRMVSHFYFPRLPNWMSSLSELTWLDINVKQLGGEDMQVLQNMPALLCLKLYLEESPQETLVISRSGFQSLKVFYFYPLNGGLGLMFRKNKKDGFGLMFEEGATAKLQRFEFRYCAHDAISAYGIGFDFGIKQLNSLKHLHVSIHCRGASTWEVEATEAAIRNAAYSLPNHPALEMYRLSEHEMVKDEDEEEWDDDTGYDGYD >LPERR08G11860.1 pep chromosome:Lperr_V1.4:8:12922085:12922929:-1 gene:LPERR08G11860 transcript:LPERR08G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTVLDSEGGRIQHSSADLTAARLVHLVAVVGGEHGLEAGPPVAQSSRNERLDLFVAKKLELQQPWRSLLAVELCPQLRNVATPSMTVHKSGDDQMTCCWS >LPERR08G11870.1 pep chromosome:Lperr_V1.4:8:12923341:12926560:-1 gene:LPERR08G11870 transcript:LPERR08G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASALIGVMNPLLAKLSSLLVRECDKLKGVGREVELLRDELRSIKTALVAMTETEEPSSQVKEWMRQLRELSYDVEDCIDVFMHHLGQPDPDDGLFRRTKRRLKALRGRRCIASQVAELKERAVVVNERRKRYELDAAASSSGAVTIDSRLPALFKEMDRLVGIQGPRDELVKFLTGVDLAPQRRVLSIVGFGGLGKTTLANQVYQHIKSQFDCTAFVSVSRKPNINKILAKILIGILDTRNLSSIHQKRHCDTIEDLKYKTFEDELLISMIRENLQNSRYFIVIDDIWSISAWQGHLRLAFLENNSASRIITTTRNTDIAKACHFSDENYVYFMKPLSSENSKELFFRTIFSSNKKCPPELEGVTNDILKKCGGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMQNQGSHELAVYFPEDYTITREFLILRWIAEGFITEQQGENLEEVGEQYFNELINRNMIHVFEMDIFSREENYRVHDIMLDLIISLAAEENFAIILDDQHCAPSPNKIRRLSLQCKSEEKITWLKTTSFCNARSISVFGDFNKIPPLKDLEVLRVLDLMDCSSLKDDCIENIGSLSQLRYVRLGNVSKIPRQIGKLKLLQTLDLRGTEVKKLPESIVKLLQLVRLFLSCGVELPNGIGNMEALQVLSSFDGTVNSLSIIQELGNLTKLKDLEVFWIYDDKYSSGKMYNNQLVMSLCKLGGFNLRSLIISGVDYPLDFMADSWSPPPFHLQTFQVDMACYFSSLPKWVSPLSKLTWLSIRLTKVGGEDLEVLKCLPALLRLDLYTMGYKNTLKISCGGFSCLEEFSYGPSPFDLGIMAISKNVRNGLGMGLTFEAGAMLKLQQLEFGFNAHNALSAYGARLDFGIQHLTSLRHVRAFIDGRDASDSEMEAAVTAFAISVSFLQSSLVEICRILNKVANDEKK >LPERR08G11880.1 pep chromosome:Lperr_V1.4:8:12938383:12939392:1 gene:LPERR08G11880 transcript:LPERR08G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGAGSMRFLGLLKQPESSSTASFERDERDVVWPTVAEGGDGGNGGGWCGPPFDAAPTTESATHTDRGYGATHAMPQSFGLSALLADGGEREVDGVPVTARTAAAVAAALRQSAPVRVLPPSQPANVRPLLTFPFPSLPHPLPASLVGATQAGSRRESPSAPSQRRRPRRQPLRDRLLQG >LPERR08G11890.1 pep chromosome:Lperr_V1.4:8:12939431:12942432:1 gene:LPERR08G11890 transcript:LPERR08G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATSAAAFLLLPLLAAAGHQGPVCPRPSAADSVLRQGPAASCPAAGSPSPRAHHVGVVEGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSLFPTIAHFSFEESCIKPRMLSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYNDVTGMNPVSLDAISLERMEEVVNIIESDQKTEQEDSLFLFARSPDRLLHQDTCLALASSFVLLRLLCFLLPKLNACVKQAWRMQFHELKRRSAGSKLKSLMGGFSSLSA >LPERR08G11890.2 pep chromosome:Lperr_V1.4:8:12939431:12942439:1 gene:LPERR08G11890 transcript:LPERR08G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATSAAAFLLLPLLAAAGHQGPVCPRPSAADSVLRQGPAASCPAAGSPSPRAHHVGVVEGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSLFPTIAHFSFEESCIKPRMLSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYNDVTGMNPVSLDAISLERMEEVVNIIESDQKTEQEDSLFLFARSPDRLLHQDTCLALASSFVLLRLLCFLLPKLNACVKQAWRMQFHELKRLFPSLS >LPERR08G11900.1 pep chromosome:Lperr_V1.4:8:12943790:12946528:-1 gene:LPERR08G11900 transcript:LPERR08G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKAFWNSPVGPKTTHFWGPVANWGFVLAVRPYMDTPHSLCPYRLIIGAFAIACCGVELWMLSVLPNTDTVSESDSGCLTRENFLDTGVQVTLGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNFLLLACHASNETVQLYQLSRVARAQGYLENKQPEGQQ >LPERR08G11910.1 pep chromosome:Lperr_V1.4:8:12947535:12952128:-1 gene:LPERR08G11910 transcript:LPERR08G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPTPSWPVDRFGFIKPEQGSSPDGISKSTSVHERERERDERRLRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDRLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEHMPKLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTVRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPLAFTFKVSSHLEELEKEYQKRLEGSNASTSTKRSQPLKLKTMSRIASRAFGNSTNNSEK >LPERR08G11920.1 pep chromosome:Lperr_V1.4:8:12953568:12954786:1 gene:LPERR08G11920 transcript:LPERR08G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTKPLSLFKSHSEAASQPPPDGRNSGYLVVKGPDDDGGDDETCCWGTCGGSRVRDLPFPQNRVLTLRYTEQHGESSTTYTDAVVFVPVPDLPLSSNRYYAVVATGKYKGLVRACSREEDMTTLCFCRCVNDVKPRPFDPADVYQQIEIVQRRRGRFTARSVAEDGFPYFLYRKKYWRVYASKPKHFDLAEAGGVNAALRSRQLGGGFPTAQTAVGKWYAPFFHVKESGVSAPEQMDRATFYEVSLEQRWEPVRGDIVGKMDGTRVLIGGSVEGRQEGGGERHGGNGYVWFRAAAAAIGGGERIGVAASLWERMRWEEYRGGWVDEQEEAGRFAAGGSVLVERFVVKRTDGSVAVAVDFVHFNKVREKQA >LPERR08G11930.1 pep chromosome:Lperr_V1.4:8:12955339:12963917:-1 gene:LPERR08G11930 transcript:LPERR08G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRPDEVKVSMTVRWSTAALELTGAVQEDGLQLVDGVMWFRLASSTSPVGEVGLDMMRLEMERGVCVIGNGDVERIERRHEGLGQWHNFGCYLFLEKFVLRRKDTTIALSYGFRHANKIKTKWGKRDYSTAILERKKAPNRLMADDAEGGVAVDNSTVALSEATMMELSLFCGDLVTLRGKRRRETVCYVHTDESCPDGRIRLSRGVRANLRVHLGDLVTVKRCADVKNARKVELRFFDDSVEGISGNLFETYIKPYFKDAIRPVKTGDRFLVRGHMHAVEFKVVDTEPANEPVIVADETIIHCDSGPLLKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLHGPPGTGKTLLARAIAAESGAHFIVVNGPEIMSGMPGQSEANLRMVFATAEAKAPSIVFFDEIDSIAPNREKTHGEVEKRIVSTLLTLMDGIRPRAQVIVIGATNRPNSIDPALRRFGRFDRELDIGVPNDLGRLEILRIHTKNMPLDDDVDLERVAKDSHGMVGADLASLCSESAMQCIREKLDVIDIENDTIDVEILNSMIVTMDHLKFAMEITKPSALRETGIVEVPKVSWEDIGGLDDVKRELQETVQYPVEHPDMFEMFGMSPSRGVLFYGPPGCGKTMMAKAIAKECKANFISIKGPELLTMWFGESESNVRSLFDKARQSAPCILFFDELDSIAVKRGNSVGDAGGTPDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDTASRIEIFKANLRKAPMSRHVDLPAMAASTEGFSGADIQEICQRACKLAVRELVKKSTIVGKALAMKGAELGVEHFASALKHARKSVSDLDVIKYEFFRQRFSGGISEENKEPEPPVGQLKLVAAAPPPATASKQRYTSEEKGKAKTVNLSPRHNTQQPTTQSHIRSNMYTTKPLSAFTSHLEAASRLAAQPPECGSSGYLVVKSDDDDGGTRCWGSCCGETRVRELPFPQNRVITVMDPALGESAGANADAVVFVPVPGTAPSLNRYYAVLAAGKHRGLVRACEREDDAVAARCFCRCVRDARPRPFDTGDVYQQMEIVPNEGGFTARSVAADGIPYFLYRSKQWLAYASSKPKHHFDLGEALGRINNPIRSISPASAAVAVGRWYTPFFFVKEHGVPLKAQIDRDTFYEIVLEQRWEGMAGDEPAARKRVLIGGRGIEGKEEASTAGGDAGYVWFSAAATWPEGQRVGVHASVWEKMVWEEQKSGWVADDEKDGGGVKKRMAGAGDGSGCRSVLVERFSVKRTDGSVVVAFYFFHVNKTN >LPERR08G11940.1 pep chromosome:Lperr_V1.4:8:12963948:12975061:-1 gene:LPERR08G11940 transcript:LPERR08G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLKERGKMYVTRPISRYHDNPATAAELPLDGPGSGILVVEDEAYVERATRWWGLCLDREVYGLPAGCSRWTHMDRDEVVFVPVVGHPLSSGRYYAVRATGRHAGKESACSREEDKMTCCFCSCVKDVPPRPFDIRDVYQHVKVVHLPRQSGFKAVAIASDDTIIVGRWYCPFMFIKEDGGVNQLKDQAKWCMFYEMTLEQNWKEIYSCENIHRGSIISYKPDEVKVSVMVRRSTALLDQPVHTREGGPQVVGGLMWFRPAASSTARGLGLEMVVWEQMKWELEKGGWMITCNGNVEMIERVERPDGVEQWEKFRCYMLVDRFVLKRMNGGVALTYEFKHANKIWTKWALLSSLAAGGIALSYLLGMHGKWFEDHVKLSMFYEMTLEQS >LPERR08G11950.1 pep chromosome:Lperr_V1.4:8:12975149:12976223:-1 gene:LPERR08G11950 transcript:LPERR08G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWEQMRLEMEGRCVIGNGDLERIERVERHEGLGQWHKFGCYLLLEKFVLRRMDTSVALSYGFRHTNKIKTKWVSPAVLIGRQYCPFMFIKDGSENQLKEQAKRYIFYEKTLKQKIYSCNNNNNKNDKVERVVRRSTALLGGMVVVQEGEPHVVGGVIWFRLAVSSTVLGGGLRLEMVVGELEE >LPERR08G11960.1 pep chromosome:Lperr_V1.4:8:12976232:12977128:-1 gene:LPERR08G11960 transcript:LPERR08G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLDNPEPASEQPPEGPGCGFLVVEDKAAVERATVCWGLCYDTQLHILPFPQSHNLEVDEDAVVFVPVVGEPLSTHRYYIVHAAGREAGKVSACSREGDKTTFRFFSFVNDVPPRPFHHSDVYQQVEVVKLPHRGFKAVAVAPDGIPPGFLRMKGWTVSKLTHTKYSLIGDAQGIDWPLRLRMPNLDGIGIGSGGSPAVIVGRWYCPFMFIRDGKRLKDQVKWSMFYKMTLEQSWEEIYNCDNDHVSNRRDEVKVSVTVRRSTALLGRTGTVQEGGPQMVDGVMWFRPAARQWWEK >LPERR08G11970.1 pep chromosome:Lperr_V1.4:8:12987820:12996187:-1 gene:LPERR08G11970 transcript:LPERR08G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLFYNSHASAAPKMYVTRPLSTYLDNPAAVAEQPPDGPGSGFLVVEDEAALERATVCCGLCHGTHVLGLPFPQTRKFELQERDVVVFVPVVGEALSASRYYIILAGTGKHAGKVLTCSREEDKTACFFCCSCANDVVPREFDRDDVYQRVEVAQVSRWRPSFKAVAVAPDGVPPYLLRMKGGSPSPAVVVGRWYCPFMFIKEDGKRLKDQVKRCMFYEMTLEQSWQEIYTCNSNTNVKPDEVEVSVTVRRLTATLGGSSEAVQEEIDGVMWFRSAAPAANSRVDGGGIGLDMVVWERMKWELERGEWVAGDGDKERIERVERHDGGVGLQWNKFGCYLLLECFVLRRMDGSVALTCGFRHTNKIRTKWLLRSGVNEERCLLGRPTVVDARSWTHARCRSRGREEERQPPHHQLLPSGGERSIAHHRQLTCPASAAASSLVQPSSSPSRSPLLAIRNTMYVTRPISRYHDNPAAAEEPPPDGPGSGILVVEDEASVERAKRWWGLWQDREVYGLPFPQSRKLKVEYTTTSSSGSDTSTTHHTSTDRDDVVFVPVVGQPLSSGRYYAVRATGRHAGKVSACSREEDKTLCCFCFITNDVSPRVFDADDVYQQVKVVHLSRRRGFKAVAVTPDGIPPKYLRRKGWKVQTSASTSYGLTDSAHGTDWPLRHQMPNIDAFVIGEGGSPFVVVGKWYCPFMFIKDGEHQQLKDQAKRCMFYEMTLEQSWEEIYSCDNNNTKDEVEMSTTVHRSMAFLGGTVAVEEGGPQVVGGVMWFRPAVAVAVGGLGLEMVVWEQMKWELEEGGWVVSNGDVERIERVERPDEMKQWNKFGCYVLVERFVLRRMDGSVALTYEFRHTNKIRTKWRGEESEDVRDKADLAVPRRSGCAAEPPPDGPGSGILVVEDEAAIERATRWWGLWLDREVYSLPFPQSRMLKVEYTSTTTIGTGTSRRHWTSTDRDEVVFVPIVGAPLSSGRYYAVCATGRHAGKVSACSREEDKMTCCFCSCVNDVPPRPFDMGDVYQQHVEVVHLFARSGFKAVAVAPDGILPEYLRRKGWKVQTSAFTSYDILTDSAHGTDWTLCRRMPDITGFGGSLTPVMVGRWYCPFMFIKDGAYQQLKDQAKWCMFYEMTLEQSWEEIYSCDNNNTKDEVEGDSTPVDDDSLRTTGGRSSDVVSTGGSWRRFGAGDGGVGANEVGPRGGRVVGLADNSDVERIERVERPDKVEQWDKFGCYVLVERFVLRRMDGSVALTYKFRHTNKIRAKWA >LPERR08G11980.1 pep chromosome:Lperr_V1.4:8:12998049:13002379:1 gene:LPERR08G11980 transcript:LPERR08G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKGMGDVVVTVSGYHGDERHRLVKLIAETGASYVGAMSRSITHLVCWRLEGKKFDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYIMESGEEAGPVPELPTFPRAQSKRNASMMDRCLKQLPDDFCNTSYATNVLTVPDSDSDCDYQRWSDSSLLKENFNGGDENSKVGGTHVKGRRKRLKHAQKSTNEDALDPEDNSLMARNGRHESSYTSSRCTSRQMGDLSRFLHNDDARTIGKRNGFVKKKSKTKHADYLIGSCENGSLMDNLDKSEMLDALRTEEQRKTKKTRVPSSFQQSTLDMSMILRKVRIKKILNWVKVQEAFNQAIHSHKKQLSVLRREQIKQALTLQLMIKREMMRNPLWKNPPVIKGKQNFHASFAGQIFLPQGASYHVDIDFVIHAFKDGRIVWGKVSTCPLCKTSFNWISKIDEAGTSDQKIYSQTIPCLASTDTFMFDDRAYVLPESLSGQGACYQCHFREPEELLLSCHVCRSQWVQMLHF >LPERR08G11990.1 pep chromosome:Lperr_V1.4:8:13002922:13007097:1 gene:LPERR08G11990 transcript:LPERR08G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRISHWIWPGSSKTRRIRESPPATIPAMSGGLFPDSPSGFREPETPRAPSSGRRGRDEEAMVDREHDMVIVPADGGGYISDSGSDDSDSDWSIGWLEPQGPELHSDGDSEGSFAVLVPCYRRGRRVEDPRRGRLAADGNVSDGRNSVARWLSSTPN >LPERR08G12000.1 pep chromosome:Lperr_V1.4:8:13009143:13009439:-1 gene:LPERR08G12000 transcript:LPERR08G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSKRSLSAAGAERSGGGRLRTRRSASGVALRRKVRELRRLVPGGEDAPARSLLVRTADYIVRLRARVELLRAISALYDDLPPRHDGAAAADAGV >LPERR08G12010.1 pep chromosome:Lperr_V1.4:8:13022916:13025887:-1 gene:LPERR08G12010 transcript:LPERR08G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLLCVLISTFRVSYGCLDGERIALLDIRASLLGTTTAGKLASWLKSDDCCTWEGVVCDNSTRRITHLYLSSLINGSQAQRPCQMGLNSDVFSAFHELQLLDFSMNYATFQNWDGLVGLTKLRYLKLNNNCLNSSIPASLGKLVYMEVLHLQFTGVGGVLPTSVFESLRNLRELDLSSNQLNGSIPSSLFSLPCLEHLSLSLNLFEGSIPVNSSSNLTSAFKTLNFSMNKLSGEFSFFWLKNLTKLKTIDVSGNANLDVVVNSPLWSPSFQLKELVLSGCDLDRSIITKPQFLITQHQLEVLDLSNNSLSGNMPNWLFTEQATLVYLNLGNNSLTGSLGPIWYPQINLQAISLSMDRISGHIPANISSVFPNMSFLDVSSNAIIGEIPLSLCNISSIQYVDLSKNNLSGELPSCMLTDYPILKTLKVSKNKLGGPIFGGKNHLSIKWELCLDGNEFEGTLPLYLTSHFDANGTLDLHDNKLSGKLDFSQWNLSTLCTLSLAGNRLTGEIHPSICNLTRIMLLELSNNNLSGVVPNCTTALKVDFLSISHNSLSGQIPPFSFFNSSIVMGLDLGHNRFTGNIEWVQYLGKIKFLSLGSNNFEGQIPPSLCQLQSLRILDLSNNNLSGSLPPCIGNLSFEHSPFATSFWSLICEHRFRYPIFNYIGCYGQRGFSFRTKGNIYIYKRNFINLMSGIDLSANMLSGQIPWELGNLENIKALNMSHNFFAGPIPPTFANLSSVESLDLSHNKLSGTILWQLTRLSSLSVFLVMYNNLSGCIPDSVQFGSFDMGSYQGNNLIYPTSEGKECAPRSGPGPSLPDDGDEKASDPILYKVTVASFVVTFWISFAFSLRSLVARQVC >LPERR08G12020.1 pep chromosome:Lperr_V1.4:8:13043852:13046779:1 gene:LPERR08G12020 transcript:LPERR08G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASPPRRLPHALLLIIAAVPILAAASANLILEDGYTVTTFADLNHQNPTSPNSPQPHPYALLPRPRAGDLVLLDSAASALYTLSAAAAAPRSLAGGGRGTGFADGGPTDAAFDRPRSVAVDDADNVYVADRINGAVRKIAPSGFTTTIAGGRSKGPGHKDGPAQNASFSADFELVYVPKMCALLVTDRGNRLIRQINLKREDCAHETQPGLGTTSVSIIVVLCTLLGSVIGFFVRHFYPAHEVSINRFFRRMQIQYKRIQRRAALISFSDIKSVVANSMFHALLLKLVRVSLGYLSVLFPSVRFDRRVPVKPCPSLIDLDYPVITSTGPNIKADASTELVGDFIGFDGDTSSEDKEPADELAALLVLDSPELSSKKAQIDDMFEANLSEFSGQENYHSSAGNVLV >LPERR08G12030.1 pep chromosome:Lperr_V1.4:8:13048281:13051084:-1 gene:LPERR08G12030 transcript:LPERR08G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSVSFVERKIIVANFLPLNCMKDEAGQWSFLRDDDALLMQLKDGFSNETDVIYVGSLKVHVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGHIGLDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEEMYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAIKEAVSVAERINAKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQVNEEIDNARGSDANCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHGATELTESEKRLRHEKHYRYVISHNVGYWAHSFAQDLDRACKDHYSQRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCADPKNDVFIVSGRARNLLDEWFFPCEKLGIAAEHGYFVRWNKAAEWESSYPNQDFEWKHIAEPVMKVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRSVNNGKTPDFVMCVGNDRSDEDMFKSINDMTSISAFPTAPEVFACSVGQKPSKAKYYVDDTGEVIRLLKNVAGISSHREAVSHGRVTFRDVLDYVD >LPERR08G12040.1 pep chromosome:Lperr_V1.4:8:13068692:13070635:1 gene:LPERR08G12040 transcript:LPERR08G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTPPLCRRMLALPAVCPCEDISPDTLLSSLATLAADVAAGCDVAALPALRTAAAAAVRVAGLLRELFEELKSAAAALALTGGAVLGLSELHVALQKMRFLLEDCARKGARMWVLMNAEAVASELRVGLGSVATAMDVLLPAAVFAAASDDARELAALVSRQSWRAAVRLRPDGDDALAAASVRSMLARFSYGVTPDADDARLVLARVGVAGWWECSHEVSFLEAELLDRLDAGGENDNDLVLISGLMSFLLYCRVVLFDRIDDAPVAETAARPASYDVNPEALQCPITLELMTDPVTLSTGQTYDRASIKRWVKSGCRTCPVTGEKLRRADVMPNLAVRAIVEHLLLTNGVSPPHEANSRSSSKHRVAVDKTASPFGAAAAGGARLAAAFVVSRLSGGSTDDVRKATQEARRLSKRNVFHRGCLVDAGAVPWLLHHLASADAAVQDNAVAGLLNLSKHHLGRAALVGAGGLGLIVDAVNVAVRVESRQNAAAIIFYLSSDAACSGEIGRIPEAIPTLVRLIRDGAYRGRKNALTPGLMPSLYALIADGGAQGSKKARWIVNEIHRHYEQRQPPAVAAPPPAGDRVIRV >LPERR08G12050.1 pep chromosome:Lperr_V1.4:8:13077130:13080898:-1 gene:LPERR08G12050 transcript:LPERR08G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRVVFDSGVARRAGGAGGGGGGAQMLLFGGGGSANSGGFFRGVPTAVMGMEAGTKRPFFTTHEEVIVEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDFDRLKAAFDALAADHQALLDDNHRLRAQETSRPSSAIITTAAQEVDQPDEHTEAASDTGCATVDDALAPPLATARQQQQLKDEFLSSGATNNDDRSSGGGAAVVVFDATEGTNDVSCESAYFAAAAEAYERDCAGQYPLSSEEEDTGAVSDEGCSFDLPDDAMFGAAAGIVHHAAAGDEEAQLGSWTAWFWS >LPERR08G12060.1 pep chromosome:Lperr_V1.4:8:13090371:13098173:-1 gene:LPERR08G12060 transcript:LPERR08G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTPSKPSSTGRKPNPSSAMAASSSAEQKPPPRRPKQAKKGAKGKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLLPMIQRLRRHDPGAGVRALILSPTRDLATQTLKFAHQLGKFTDLKTSLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEIEDLNLRTVEYVVFDEADSLFSMGFMQQLHDILRKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLKPAPTEEELLKDMEGMNFKIDQALANGETVYGRFPQTVIDLVSDGIKEVINGCTDLIALEKPCTNAFRLYLKTRPMPSQESIRRLKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARAKNSKGSNQWIDVMKKKREVHEGIINLVHQKNNVDPEPKEEMTENISNWERKEVCGNKRKLQSFRDEDYYISSVPQNQHLEAGLSVRANEGFVDNRLDAAVLDLVNDETSGMQAQKTRYHWKKNKFVKLNTGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRAINTGGRSGGFAEEGASTTGSYQRGNRKHTTAGWGRRYIPNADVPSEIRNPEQMQKSRQQKAMDIARMKNKSSKETKFQKFQKNNRRHDGHSKDGKFQKNRRPDGNGKGRGKGKGNANGFGKGKAKMKGKGTR >LPERR08G12070.1 pep chromosome:Lperr_V1.4:8:13101513:13106968:-1 gene:LPERR08G12070 transcript:LPERR08G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPDGIDPPPVTPPTTAAAAATPPPAVSAANTPPNPNPAAAPVPMMANPNPSPAPPAPQMSAPPAVAPPMPPVPGSFAPSFRPLGAPLPPQYGGVPNPGYPLGQPMPPPGVMPPGAVRPMYAPPPQPGMYLQQPGAALPPGMPRYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIMPGIRGAPLVVPPTARPPTPAVTPPDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKSQEKTKETEDGGGSVSTAVTGNELSKPDSDETETETGNAGDKGNEENPKKFGIVTDEDSEADKDVAEKMSSMIEEWLKTRPPPPPPPVEQPADISSKHKNGESGIDMTKTDPEDKNDIGSDKRAVNETEKVEAGSPDKRKDREHDKEKRDKEKELERYERERERERVRREREKDYKYREVERLYRDRLKEWESRERDKEHQRHHEKEREKDRERERKREIIKQEDESDEEDSRKRRRRSSGTLEERKRRRLREKEEDLADKLKEEEEIAEARKRAVELQQQADEAAAAAAAAAAAEESATVMEVDGDIEKERDGQDKAIVVEVGNNSAFANDVDAGVNNVKDNNGDDKSMIPGEISDTKHNSNAPAKKLGFGLISSGKRTSVASVFAEEDDEDGDDKRARPLVPIDYSTEELQAVHTNSSTGQPNIVAAAEFAKRILVSNSKDDKSDTEKDRGKRSSDRMSQRDRDSDERREKTHDREKDKQDKPKSGSKNILDAKQLIDMIPRTKEELFAYDINWAIYDKHGLHERMRPWIAKKIIEFLGEEESTLVDYIVTCTKEHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >LPERR08G12080.1 pep chromosome:Lperr_V1.4:8:13114239:13115768:1 gene:LPERR08G12080 transcript:LPERR08G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRTSEAGAPKGIHRESGPEYDRLIQVSEMVMMGQLGRFVDGIKSKLRSGGGRKRGSKKEAAMTYDKMDKTDSMRVEIRSRQAQKLIAKNLVAADSIGRRSNKRFFLAF >LPERR08G12090.1 pep chromosome:Lperr_V1.4:8:13124490:13128013:1 gene:LPERR08G12090 transcript:LPERR08G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQAAVAVGQAAFLARPLRRPPRPYSLGWAVEEGGGVAVGRGCGSHTRLRLSRCSASLSVGAGGYGGEHAPLFPGQQTWDPYKILGVDHDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHRKKSKINLKSKIKKQVEESPSWFRALLGFFEVPSAEIISRRLALFAFIAGWSIVTSAETGPTFQLALSLVSCIYFLNEKMKNLSRASTTGLGVFVGGWIVGSLLVPVIPAFAIPPTWSIELLSSLVTYVFLFLGCTFLK >LPERR08G12100.1 pep chromosome:Lperr_V1.4:8:13129315:13130797:-1 gene:LPERR08G12100 transcript:LPERR08G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGVELGTAAWAEAREAVTASMVSHGCVVVAHGAVAPDLRNALFGRAIREVFDLPVEAKQRNVSSVGPYRGYIANIPGTDWESIRVHHAADAARVRDFASLLWPGDGNPQFCETIVAFAGKMEELERTVERMTLEGLGVEDDHIAAHLAAQEYGLRLSHYGPPPDASTAMSLQAHRDDSMTTVIVQHEVEGLEVQLPGDGGWVAVPPEPDTVTLVAGELFTVVTNGRVPACVHRVRTPSGRERYSVLVGGRSKDGAVVAAMDELVDGEHPLAYKPIKGEEYIVFRHSEEGRKCSDPLKAFCGVEVEAAAQ >LPERR08G12110.1 pep chromosome:Lperr_V1.4:8:13135714:13135959:-1 gene:LPERR08G12110 transcript:LPERR08G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASHMWLRRIARHRELRPSTVNLAVDALASASASPPSLGGGRSRLESLCSPLYRLDVEPVHRINSLGKWINVVIDAYK >LPERR08G12120.1 pep chromosome:Lperr_V1.4:8:13136143:13136424:-1 gene:LPERR08G12120 transcript:LPERR08G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVERVVERMTLEGLGVREDHVAAHLDALAGPRRAAVSLRTAATGRGGDRRVHGGTPRLYHDDHHHAARGGRLRDAARRRRGWHRGTPSPTR >LPERR08G12130.1 pep chromosome:Lperr_V1.4:8:13138112:13138558:-1 gene:LPERR08G12130 transcript:LPERR08G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLTYRAPTPTPSPLCLVTARTVAGKLCGCKVGGGGPTSVHACAAEVDPLRPAPGGGSRPDPTGRRGYGYAAVGRWLWLCPEAAGAPWWRWFYCSWDTWWGSSDLGRHGLVCGELRRRRRRLSSPATAPSLGGRLLVLSGVGVVFR >LPERR08G12140.1 pep chromosome:Lperr_V1.4:8:13138967:13140928:-1 gene:LPERR08G12140 transcript:LPERR08G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRFLLLLILVVLLPSMAAAQQQYEANAQLDCYTNNGSSVLGYTCRPSRASSCTAYLTFRSAPPTYASPITVSYLLNATVPTVAAANTIPISSPIPRDGLLLVPVPCGCTTAGYYQHDAGYILQFDDEIYLTVANDTYQGLTTCQALMAQNPAHDSYDLYPGISLSVPLRCACPSPAQAAAGVRYLVTYLLGWDDDSSTVADRFGADYQDVLYANNVTDDTTVYPFTTMLVPLKHRPKADVTLSPAPAPAPSPAILAPPPAALAVPSSESGGGDRWKKSFLGRCIGIGAGVGFAVVASGALLALFLLWRRRPRTEFLDVPLAAQGKEGAKAPSPWMLATTAVDVRDAVGSLTVYEYAELESATAGFAEERRIGNNNSSVYRAVINGGDTVAAVKRVAGDVGAEVRVLGRISHARLVRLLGLCVHRGYTYLVFELAQNGALSDWIHHAATSRGRGGLAWRQRMQVAFDVADGLNYLHNYTVPPYVHKNLKSSNVLLHADFRATVSDFGLARPVSFSGAGAAQMTSHVVGTHGYLAPEYLQHGLIGPQLDVFAFGVVLLEILSGEEAAPADSASLLLWEEAEELAFVDGGGGEDTRDKVTAFMDARLCGDYPLEVAVAMVALALRCVAREPRARPSMAEVFLSLSTLHKSTLD >LPERR08G12150.1 pep chromosome:Lperr_V1.4:8:13149541:13151763:1 gene:LPERR08G12150 transcript:LPERR08G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASPSWFRRILDVIIDSVLTLSLCVMWVTTASTAASIAGRMAGAGQVVAAAEAATDSSTSLFFALLPFFSLMTLVREEILKKEGRWMYRGKCPFNMILKRDLPFLCISIAGAMKQVLATCKTIQEIGSFITDAGILGALIVNCLFGIPWLMRLYRLPVSALYAEGENNFCFNSVAYVRQIDWYEMVSEMAWLVCEKP >LPERR08G12160.1 pep chromosome:Lperr_V1.4:8:13167627:13167818:1 gene:LPERR08G12160 transcript:LPERR08G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVALQELAPVESWQEKIGSILAYIGVFANALTCVVIAPNFVTILRDRGGLAGVPFHKVSLT >LPERR08G12170.1 pep chromosome:Lperr_V1.4:8:13189175:13191512:1 gene:LPERR08G12170 transcript:LPERR08G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEAALLPPAVQPQRVRWGHPVAAVVAGTLRGVAYVVMFSFLAATWVSAAAAALAVASLWVYGEDSPVAAASAEVLTAACVAWGELGVLASPILLCRGLLELSEAREGGAAAGDATKAQAPPSGGRRPWTVLASVHDLLWLGRTRWFAASGAHAGQGLLSRKTRFCDCGYRPLRWLCDGMLRRCSQHADLPGEGYAEVKGRNGQSTDIES >LPERR08G12170.2 pep chromosome:Lperr_V1.4:8:13176529:13189230:1 gene:LPERR08G12170 transcript:LPERR08G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPQFCKSDGGAGGAKAEEPAVQRRHRRTFLQEGGRGLLLVAISFMILSCSCLVVLVGMLLRELAPEKGSHQDKVGSVLNDVGSIGNSAVICFIFGPIWVMKLREA >LPERR08G12170.3 pep chromosome:Lperr_V1.4:8:13176203:13176934:1 gene:LPERR08G12170 transcript:LPERR08G12170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTKAALLRAMRASLPETLRRSLALAADAALYGFVAAMWVNNAANAAAIFSRWACGEGSLVAAAAMKVFNASMLAMGVSIPFAAPHDLGAREVRPITLHQFLAIISPPSHPEIPFFSSISKVWIGGFGGEFFGFSWQSGGGGGVRAEALAVQQQRRRDGHGGGNRPVALLVMYLAGWVLIGGVWLRDFGPEKGSCQYKVGSVLADLGGFVTSVMYCFIFGPNLVSLMMRDSRR >LPERR08G12180.1 pep chromosome:Lperr_V1.4:8:13192713:13196061:1 gene:LPERR08G12180 transcript:LPERR08G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLHTRLADAARATTNAIHASLRRVASEAAAAAALGAVWVVCALYIANAAARCARLAAGAGGSLLQDDRCRVSMEYALFILYASLIGWRILRRLDAREKLDELESRLLMIAVTPLPCSLGLILFGSAIVLAKPPDPAMAEIGSIIASVGWLGISIDLCCLGIPHAMLRLWKPLSVQNGRTVRAVVVSILVQLY >LPERR08G12190.1 pep chromosome:Lperr_V1.4:8:13196809:13197105:1 gene:LPERR08G12190 transcript:LPERR08G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFAVLRRVIDAVTEPILFLTLYAVWAGSAGNVALIVARRAGVADDHLFAAAASGVTSYSGTVFCLLFPAFLPMFMARMEVSPPAPPTSPPFPPL >LPERR08G12200.1 pep chromosome:Lperr_V1.4:8:13217304:13218504:1 gene:LPERR08G12200 transcript:LPERR08G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWSELPPDVLSLVFAELGAVEVLLGAGLVCRSWLHAAKHLPHLWRRVDMARHEVVMGRGFLCAMAKVAVDRSDGQLEVFEGRYFVDDELLDYIGESSMRPTGYGGVGNNGALTTWGLRGSVA >LPERR08G12210.1 pep chromosome:Lperr_V1.4:8:13219373:13222291:1 gene:LPERR08G12210 transcript:LPERR08G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKNKGPKFAAVKKIISKKAIQKYKEDVLNPKKKDSEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHQYSIERLPEATIGGAPRI >LPERR08G12220.1 pep chromosome:Lperr_V1.4:8:13232965:13233315:1 gene:LPERR08G12220 transcript:LPERR08G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDPPATRARQPPPVAAPAPVVTSRWGWGWGRQRCHEVEVEPVSSIFHRRVGTASPMTASAPSPTSSSTVALLRRRRWLRGGVGALDLSTPGPDLTSPAVDLAGAGGRCDTPRRM >LPERR08G12230.1 pep chromosome:Lperr_V1.4:8:13239369:13244293:1 gene:LPERR08G12230 transcript:LPERR08G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLASRMAAAVAIPRGRLASLVVGFAFALGALWVACLSSIISAVDQCSAVEAAGVSVWADDTCSVTIVFAAPLAVVSLMLMAVAARREARAEAEEEDADAQSQIRELMADAEEDAGTQLREVGGDVLLDLELQPPPATVTAGPERLRLRQSDETVLFAITIIYLCAVAFLFVGHLLQAVGDALILVGFPGDDGGIALLMRFGSSLKNAPYVWLAAGHFCLIVPYVALRLRGFVKKQIDRHLLRVGVMHV >LPERR08G12230.2 pep chromosome:Lperr_V1.4:8:13239369:13242772:1 gene:LPERR08G12230 transcript:LPERR08G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLASRMAAAVAIPRGRLASLVVGFAFALGALWVACLSSIISAVDQCSAVEAAGVSVWADDTCSVTIVFAAPLAVVSLMLMAVAARREARAEAEEEDADAQSQIRELMADAEEDAGTQLREVGGDVLLDLELQPPPATVTAGPERLRLRQSDETVLFAITIIYLCAVAFLFVGHLLQAVGDALILVGFPGDDGGIALLMRFGSSLKNAPYVWLAAGHFCLIVPYVALRLRGFVKKQIDRHLLRVGVMHV >LPERR08G12230.3 pep chromosome:Lperr_V1.4:8:13239369:13244293:1 gene:LPERR08G12230 transcript:LPERR08G12230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLASRMAAAVAIPRGRLASLVVGFAFALGALWVACLSSIISAVDQCSAVEAAGVSVWADDTCSVTIVFAAPLAVVSLMLMAVAARREARAEAEEEDADAQSQIRELMADAEEDAGTQLREVGGDVLLDLELQPPPATVTAGPERLRLRQSDETVLFAITIIYLCAVAFLFVGHLLQAVGDALILVGFPGDDGGIALLMRFGSSLKNAPYVWLAAGHFCLIVPYVALRLRGFVKKQIDRHLLRVGVMHV >LPERR08G12230.4 pep chromosome:Lperr_V1.4:8:13239369:13242772:1 gene:LPERR08G12230 transcript:LPERR08G12230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLASRMAAAVAIPRGRLASLVVGFAFALGALWVACLSSIISAVDQCSAVEAAGVSVWADDTCSVTIVFAAPLAVVSLMLMAVAARREARAEAEEEDADAQSQIRELMADAEEDAGTQLREVGGDVLLDLELQPPPATVTAGPERLRLRQSDETVLFAITIIYLCAVAFLFVGHLLQAVGDALILVGFPGDDGGIALLMRFGSSLKNAPYVWLAAGHFCLIVPYVALRLRGFVKKQIDRHLLRVGVMHV >LPERR08G12240.1 pep chromosome:Lperr_V1.4:8:13249350:13251643:1 gene:LPERR08G12240 transcript:LPERR08G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAATATLRRRVALTAADAVIYLWYAILWVSIASVFVVVAARRTWGEGSALLAAARGAAQGAILLALPLTVFALPLYAVRSVCPYNADDTKEKYIKILVPESPRRSTVGVMVSQVLEDKVILGGILCMPFFFFMLVGSLMKGSQSERIGSMLTDAGCLGACLIYCFVISPASILKVRRMR >LPERR08G12250.1 pep chromosome:Lperr_V1.4:8:13280676:13284994:1 gene:LPERR08G12250 transcript:LPERR08G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNDEKARVIQTLLFVAGISTLCQSFFGTRLPAVMGGSYTVVAPTISIILAGRYSNEADPHEKFLRTMRGTQGAFIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGSCGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >LPERR08G12250.2 pep chromosome:Lperr_V1.4:8:13280389:13284994:1 gene:LPERR08G12250 transcript:LPERR08G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKQCRDWVLGWKKMAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNDEKARVIQTLLFVAGISTLCQSFFGTRLPAVMGGSYTVVAPTISIILAGRYSNEADPHEKFLRTMRGTQGAFIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGSCGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >LPERR08G12250.3 pep chromosome:Lperr_V1.4:8:13280064:13284994:1 gene:LPERR08G12250 transcript:LPERR08G12250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNDEKARVIQTLLFVAGISTLCQSFFGTRLPAVMGGSYTVVAPTISIILAGRYSNEADPHEKFLRTMRGTQGAFIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGSCGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >LPERR08G12270.1 pep chromosome:Lperr_V1.4:8:13297107:13298481:1 gene:LPERR08G12270 transcript:LPERR08G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVVIQHPGGKVERLYWPATAADVMRTNPGHYVALVLLSRVSGDGGGGAKADETGGAAAAKITKIKLLKPKETLLLGQVYRLVTSQEVTKALQARRQEKMRRCKDVTDSTAAGEEEQRRPTDHQERKPPEKDRHRNGGSGGRGRNWRPALQSISEAAS >LPERR08G12280.1 pep chromosome:Lperr_V1.4:8:13310000:13313312:-1 gene:LPERR08G12280 transcript:LPERR08G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAGGGGGDDDDVAYLLCTEDASAGCFQLQLQGNVASMSSSSSSTAAPDAGCAGGDDVAGDEDEASVAELIGGEAEHSPRVDYHGRLRQIDLAARAGSVAWILKVRELYGLLPVTTYLAVSYMDRFLSLHRLPDPGNGWAMQLLAVTCLSLAIKMEETMMPSILDLQIEGTRYIFEPRTIFRMELLVLDALDWRLRSITPFTFMYFFAYKVDSTGKHIRGLIDRATQVTLATMHDTEFLDHCPSSIAAAAVLCASSEITQLASIIDDVISWGIIGLDGEAITRCYQLMLQLIGTNIQRESTLLFSIKEEEDVTRDLTEFDAALLSVGIAHYSGYRSRAALLITKAEFCFCDVLVLHFYPQFSA >LPERR08G12290.1 pep chromosome:Lperr_V1.4:8:13317742:13322714:1 gene:LPERR08G12290 transcript:LPERR08G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKICLFILSSSPIRTSTGSRSPKEHHRRSEPQSRSGDLIEKMAMAMGTAVKPLNGAEEYLRWKESMLLRLHTAGVAHVLSDDPPPPAPASGVKEEDDAGEAAAARRKWARDDAVCRGHILAALSDRVFPDYVRHATARAAWDAVGRTYDVDASRVARRMLIDIQFDADGGGVAPAPRLLEGIAHAEALNAATKLPLSDEELAYMLCGRLPDQVATLAITRGETMDDIWHVARILEARRIGREDEELHGKCESCGQPGHQAGNCMAPLLPVAPLDGRDGYLRWKESMLLRLRTLDIAYVLFEDDKPPHQDAVAAKKWARDDELCRGHILATLSDRLLPDYAHHATAAAAWRALAHTYDLDMRYMSHAKLFAYKFVTGAPVLEQLAHVEALGVGAKLGDSLIYGLVTKKLPPALVEAVILASPPYQEPPSMETSGRLLGRKRGPVCLLKFGRRNKIREEVTTQMTMITGARNEREGPVAGRATIAARWGTSPGNAAHVTSNFGCTRQKERLKDGGLNMFSC >LPERR08G12300.1 pep chromosome:Lperr_V1.4:8:13323989:13328761:-1 gene:LPERR08G12300 transcript:LPERR08G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAGGGGGRISTCLRKSRGMGLLGMVVSKSPSPPRRRLLPPPPPPPPAQSLSPQPAAAVPEGGGNGGSDPVGGEEENPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQILHGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKEPELRSTASDLLLHPFVTGGLENLQANHAVHKETSELPVTDMPIGELGLNRSDNLCTLNSYKSSDTRPIWDGHSGDDMCQFADNDVSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVESNMSENDFSFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSEGGSDTCQVNNIISSQLPLRGRSPPIQMEGVAAVEPTCDILKNASPKSCARRVSRSGVENGRILREIASPQLNKFEDKVHDIQDNPSTSFSDRQKRWKEELDQELEIGRVMRLSSFGKAPSPKSRGLTRKRDRHPVY >LPERR08G12300.2 pep chromosome:Lperr_V1.4:8:13323989:13328761:-1 gene:LPERR08G12300 transcript:LPERR08G12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAGGGGGRISTCLRKSRGMGLLGMVVSKSPSPPRRRLLPPPPPPPPAQSLSPQPAAAVPEGGGNGGSDPVGGEEENPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQILHGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKEPELRSTASDLLLEDWKIFRLIMQFTRGLNRSDNLCTLNSYKSSDTRPIWDGHSGDDMCQFADNDVSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVESNMSENDFSFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSEGGSDTCQVNNIISSQLPLRGRSPPIQMEGVAAVEPTCDILKNASPKSCARRVSRSGVENGRILREIASPQLNKFEDKVHDIQDNPSTSFSDRQKRWKEELDQELEIGRVMRLSSFGKAPSPKSRGLTRKRDRHPVY >LPERR08G12310.1 pep chromosome:Lperr_V1.4:8:13332649:13334090:-1 gene:LPERR08G12310 transcript:LPERR08G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRARRWKLLLPPFQSSKRKPPLPPPPPPPVAVAPSPEKKEEEVVPEEFLCPISGSPMADPVILPPGRTFERACVDACAELSLSPPGASPAAAAIPNDALRAAIRTWHARSGRATPSPPSAAAARDAVLRARHTASARANAAAAVANLTLEPENRVPVVRAGAVPALVDVLSSSSAAAASPEACEHAAGALFGLALHEGNRAAIGVLGAVPPLLDLLTKINPDAATPRARRDAGMALYHLSLAAVNQSKLARSPSAAKNLLAIASSSSFTDPPIRRLALMVICNMAASTEGRAALMDAGAVATVTTILSNSNSEVEELCVAAMYGMSRGNPRFRALARAAGADRPLILVAEKANAGAHKEMARKTLRVVLGLGDYNDSGDLPDFMNSEGNVNGGVPVHRRRAASWAAAPPVATPTTPSSHQWRSVCID >LPERR08G12330.1 pep chromosome:Lperr_V1.4:8:13347295:13347873:-1 gene:LPERR08G12330 transcript:LPERR08G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGGWNKGRKEEAQWSEEESFFHDGGGDGDARFLFQPRLGFGQWNRGRFRQPFGYRPMGISGFRARRGGFTDGAERHGGAHLSYGGNQHQLGLGGSKSRTSDVPASGKIGGSSGGVGGGGKGEKKPDKEPGKEFGGSKGSGQFKSGETEALVFSKEKKGNNSVLEFAADDDDLLEMDDELSVFKDKKEGD >LPERR08G12340.1 pep chromosome:Lperr_V1.4:8:13352007:13356115:1 gene:LPERR08G12340 transcript:LPERR08G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVGRVEKMELDGRGSVRCRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYGHHLVFAPSLID >LPERR08G12350.1 pep chromosome:Lperr_V1.4:8:13356934:13366947:1 gene:LPERR08G12350 transcript:LPERR08G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLPLPPPPAAAAPALLRLRPRALLGIQARDDARGRSVVRARAAAGEAAGPAPSRTQIIMDKINSGEEVGGAGGAYSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLIDYDFGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIVERNIIKGREQEWNISRKELMEIVEVGILSEEEMKQIISSDFNPNRCGFESKGEIWVENILNLGISPAKLVDIMKERFVSSGGEIFEGKSLSNISVHDDLAVLSLSDGDSLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGACARGFDRNTTSDIIFSSSSIERAGNSGVQLFWEAFPAGSGPTDRTTYMFTYVDPQFGGPKLEELLETFWNLMPDYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDAHSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGLGVILNWSGHFVMLGYYTFLSSFIDPILRPWVESLSLRNKYQWRRYLEAWKYGAGLDYRQGE >LPERR08G12350.2 pep chromosome:Lperr_V1.4:8:13356934:13366947:1 gene:LPERR08G12350 transcript:LPERR08G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLPLPPPPAAAAPALLRLRPRALLGIQARDDARGRSVVRARAAAGEAAGPAPSRTQIIMDKINSGEEVGGAGGAYSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLIDYDFGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIVERNIIKGREQEWNISRKELMEIVEVGILSEEEMKQIISSDFNPNRCGFESKGEIWVENILNLGISPAKLVDIMKERFVSSGGEIFEGKSLSNISVHDDLAIRSGRKPDGVCLVVGACARGFDRNTTSDIIFSSSSIERAGNSGVQLFWEAFPAGSGPTDRTTYMFTYVDPQFGGPKLEELLETFWNLMPDYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDAHSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGLGVILNWSGHFVMLGYYTFLSSFIDPILRPWVESLSLRNKYQWRRYLEAWKYGAGLDYRQGE >LPERR08G12350.3 pep chromosome:Lperr_V1.4:8:13356934:13364703:1 gene:LPERR08G12350 transcript:LPERR08G12350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLPLPPPPAAAAPALLRLRPRALLGIQARDDARGRSVVRARAAAGEAAGPAPSRTQIIMDKINSGEEVGGAGGAYSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLIDYDFGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIVERNIIKGREQEWNISRKELMEIVEVGILSEEEMKQIISSDFNPNRCGFESKGEIWVENILNLGISPAKLVDIMKERFVSSGGEIFEGKSLSNISVHDDLAVLSLSDGDSLPCRLVIDAMGNFSPIVRQT >LPERR08G12350.4 pep chromosome:Lperr_V1.4:8:13356934:13364703:1 gene:LPERR08G12350 transcript:LPERR08G12350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLPLPPPPAAAAPALLRLRPRALLGIQARDDARGRSVVRARAAAGEAAGPAPSRTQIIMDKINSGEEVGGAGGAYSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLIDYDFGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIVERNIIKGREQEWNISRKELMEIVEVGILSEEEMKQIISSDFNPNRCGFESKGEIWVENILNLGISPAKLVDIMKERFVSSGGEIFEGKSLSNISVHDDLAT >LPERR08G12350.5 pep chromosome:Lperr_V1.4:8:13365246:13366035:1 gene:LPERR08G12350 transcript:LPERR08G12350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVAIMVVIMVMALIKAAIFLDLRSLMICRTGPIIASYTDSDTSALPSSTYPAAATSLLAIHNSNPQIKNPGKDSFG >LPERR08G12360.1 pep chromosome:Lperr_V1.4:8:13363943:13366961:-1 gene:LPERR08G12360 transcript:LPERR08G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHATHLRLAVPPRLSPLPSFHHRYPSTPLPTPSKPRLLPNSSSVSPSAAAVLRLLALQSLFLLAPAARSLPTPLLLSLPSLLSLLSAAISLLPFFPFLAAAGGGAGSKGQHHPFPAVATLLRPAAHLALSLLLRVAALRLVPSPGAVVLADSAGALLARAILRRPSNRRGVLAVAVASIPLFFLLVASPKLLVLPFAAGAVSSAADQTPSVRHATRSRHARAAAFALAAAFLSVPAFAGVVFFDGGGGGDGDGAVPISRLWWLMLNTAVFGMVLGRRPDYDGSGSRPSMDFAMTFVCTLVLELVYYPKLSLPGFLICGLLLWIASREVAAAGYVELGSADVSESVYEAIMGPVRHIMSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLAVSIGGLVVNVIGLVFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHSHSHLHGHADHHNHDHVHQGVNHNGACSEHHGDAHKSHHHDHHHDCNNEERHHNGLTENSCKEKHSHCHGHEHHHHDHSEHHQQSGDHAHQDISNISSDPAIHEIPLKIIHSQCSEAHSCNGGSHSSENHNKARSRHHIDHNMEGIFLHVLADTMGSVGVVLSTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSHEKDIKEALDDVMKIKGVIGVHNFHVWNLTNTDIVGTFHLHIMPEVDESFIRERASHIFHEAGIQDLTIQGSDVFSQSTPGIQ >LPERR08G12370.1 pep chromosome:Lperr_V1.4:8:13369521:13381878:1 gene:LPERR08G12370 transcript:LPERR08G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNDNDAKRSSRYYFRRPFSRPRVSSPTPHPKPKILGFPRRPVARRHGSPSPRLIRRLRPRRRRLDPSRRAPRPSLPFRSETPCSSRKIPASSPCSEGEVLEWVGRLVRCT >LPERR08G12370.2 pep chromosome:Lperr_V1.4:8:13374740:13381878:1 gene:LPERR08G12370 transcript:LPERR08G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGATAKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACTQAQKGKPKNAAKTINYHLQRLGRDKGL >LPERR08G12370.3 pep chromosome:Lperr_V1.4:8:13380760:13381878:1 gene:LPERR08G12370 transcript:LPERR08G12370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGATAKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACTQAQKGKPKNAAKTINYHLQRLGRDKGL >LPERR08G12380.1 pep chromosome:Lperr_V1.4:8:13391154:13393187:1 gene:LPERR08G12380 transcript:LPERR08G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARFTLLLTATATVALLFLAVAKAEEDDYSYIPGTPKGPENWGTLKPSWVTCSNGKAQSPINLGLLDLTLSPGLGKLNYTYRNANASVVNRGHDIMVRFDGDAGGILINGTYYQLRQAHWHTPSEHAIDGRRYDMELHMVHLNAQNQAAVVGILYSASLLQEDEFLHKLELYIIQVANQEGKEKVVNGGVDPNVAKENEIVYYRYMGSFTTPPCTEGVIWTVLRKVHSVSLAQLTLLKAAVVNGNENNARPLQDVNNREIDLFLPLPLINT >LPERR08G12390.1 pep chromosome:Lperr_V1.4:8:13407625:13415523:-1 gene:LPERR08G12390 transcript:LPERR08G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGIQDRSSIFTRRIVASLRIFSPWPDAEESSSRSDLWTRLAPVLLTVEAWILRKAPPLILHLLGEYSGATNGVDILGGFVQPFLAQAFFFIDSI >LPERR08G12390.2 pep chromosome:Lperr_V1.4:8:13407249:13415523:-1 gene:LPERR08G12390 transcript:LPERR08G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGIQDRSSIFTRRIVASLRIFSPWPDAEESSSRSDLWTRLAPVLLTVEAWILRKAPPLIRNSLLCGYFGCTKFC >LPERR08G12410.1 pep chromosome:Lperr_V1.4:8:13453356:13458920:-1 gene:LPERR08G12410 transcript:LPERR08G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFAARRLRPSPAAAAAAGYHSSAAAACASVLPDGLDRASDAYARNAAAVAALLSDLRSRVSQVLAGGGAEAVRRNKARGKLLARERIDRLLDPGASFLELSQLAGSGVYGEPLPSGGIVTGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAHEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESIIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLSMGRNIVKNLHLAAKVPNIQNSACDYQEPLYDVEELRSIAPADMKQSFDIRSVIARIVDGSEFDEFKKMYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIILGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEWTQDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAVKPVPEETKYGVFRM >LPERR08G12410.2 pep chromosome:Lperr_V1.4:8:13453356:13457520:-1 gene:LPERR08G12410 transcript:LPERR08G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVANDPTTKGGTYYPITVKKHLRAHEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESIIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLSMGRNIVKNLHLAAKVPNIQNSACDYQEPLYDVEELRSIAPADMKQSFDIRSVIARIVDGSEFDEFKKMYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIILGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEWTQDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAVKPVPEETKYGVFRM >LPERR08G12410.3 pep chromosome:Lperr_V1.4:8:13457556:13458920:-1 gene:LPERR08G12410 transcript:LPERR08G12410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFAARRLRPSPAAAAAAGYHSSAAAACASVLPDGLDRASDAYARNAAAVAALLSDLRSRVSQVLAGGGAEAVRRNKARGKLLARERIDRLLDPGASFLELSQDLVSMGNHYHQGV >LPERR08G12420.1 pep chromosome:Lperr_V1.4:8:13464906:13465583:-1 gene:LPERR08G12420 transcript:LPERR08G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQVPCAPASTPLRSTPLRPYLRPLCGLYSDVGAAPVLTPVLPFSNAGAALLQPSPTPRCSYPSRRLLAPTPPESDSNLRDKEFERCDANEDYLLLQEDDDTTDDESEDTENDDTMNIIRTRIADALVSARGA >LPERR08G12430.1 pep chromosome:Lperr_V1.4:8:13467554:13472796:1 gene:LPERR08G12430 transcript:LPERR08G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSTSLLSHASRRSTLPPRLPIHRSISVSLSPTQPKLAPTPQSPMAAIPQRQLFIGGEWRAPALGRRLPVVNPATEAPIGEIPAGTAEDVDAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKIKERKSDLSKLESLDCGKPLDESAWDMDDVAGCFEYYADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNVVTGLGPDAGAPLSSHPGVDKVAFTGSYETGKKIMAAAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKNIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVSTAKSEGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEDEAIELANDTQYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWYQSPSKLIQALISQVGVVNMSTQAAGSSVSPPGYIQHQA >LPERR08G12440.1 pep chromosome:Lperr_V1.4:8:13476090:13478813:1 gene:LPERR08G12440 transcript:LPERR08G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPSPQKKLIEVLQMEQDILWRILWENIEKVKELTDSTSATLRGPEIESMSKTSKIWLQQVREINRDIEDILEKSPSKTCNSKGSNILSCIAQPINFVARQRIYKQVQSLSDRIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGFPKAKVTQLLLDDEKQLRVISIIGGAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPISMADLLKSIMVQIFVEKLEEIPSSLDFMDEIQLAEVIGRYLADKTFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEVGKECRLASDIQIFEKKPLNEDDAWLLFCHKAFPGIQARCPTELEETGRRIVRECHGVPLLVVTIGGLMSMKERTVQVWKNVIDNLHKKYIPEFTLPSILWFAYSDLPHHLKCCFLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQGSTLEDTAGRYLTELIDRSMVQVTDFYDYGRVKSCSIHDMLREIIILKSSEDNFGIPVTRGINKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLYYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYISQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPKGIGNLKELQKLTYVEANQGNGSIKELGSLIQLRRLGIVKLREKDSMQFCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNENSLKELQGLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKMSIRSCDRLLTTPRGIEGLENLKELYLFAMPKNFVESLMKGGVNHWRVEHIPVIRHFSEHRDISLTNL >LPERR08G12450.1 pep chromosome:Lperr_V1.4:8:13481179:13484563:1 gene:LPERR08G12450 transcript:LPERR08G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVHGAAATVVKPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSGAIHTLKAARGQAEDLCANIRDVIDDAKRFARYNHQHVPLRCIRKYTHNIVGKPSICETAERIAKLHSQVRRLREILTPFVGQGMVPSTARAGRLQDRHVAHAEGHWEGMEEPKTSLIQYVLSGASNRQVIALVGMPGVGKTSLARYVYEDNKVKGHFSCHAWMTVEESCETKQLLLGIVSRLYEEANVRLPDAINIMDEDELSAMIQRFLKQEERRYIIVFDDISRKGQLKLLLDLAFPDKNYPNYGRIIVTSRNKDVSEACDHTITVTQLTSPDDWKLFCYKAFGSSSFPPGDEICQHREQISKLCAGLPLAIDVLSALLAKKDHSQWSSIISELEIHGDLGAATEILETSINELPNMGHKSCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKCQPRQRQAVAEKYLSDLVDHHVLMVEDSYKYGRPKSYKVHDLMYQVIQKKAENEDFCTSSYSGSQQAPLKVRRMSIQIEDDDFRQNVSLSKLQTLFISNKIPHFPKLLSSTTALKVLSMEGSLIEDFPKEIGNLTHLRYLNLRNTKITDLPMSLGNLTNLETLNLKGTFISELPKTILKMHSLHHLLAYRYDAPKKPERQPEALFGVRVPKGIGQLKELRTFSVVVADKERKIVKELINLKKLRRLGVLNLRREDGSDLCDSIARMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGRLQVVPQWFTSLHCLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDSIECQTGGFRNLKILDLDQLNGLVNVALHGSMINLHKMIIRNCRSLQMVPLGTEQLIQLQELHFFDMPNNFLERLRNGNEDHARVQHIRNILYYSKGFPHRSVEAAMRGVA >LPERR08G12460.1 pep chromosome:Lperr_V1.4:8:13486626:13487277:-1 gene:LPERR08G12460 transcript:LPERR08G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHIVVLIHRPNGQLSFARVGDRSWTRITDVVNLCDRGYRNAVYNKNDGLFYLLYYRGSIHTLDLNGPSPVANEILGGVTGWDDPTKSIVLTPCGDMLQVWRCRDLRFADIPVQFPSEDSDDVYNPCQELDTEEMMLYKVDIDGQKLDRMDSLEEDCCVPGFQF >LPERR08G12470.1 pep chromosome:Lperr_V1.4:8:13489967:13493747:1 gene:LPERR08G12470 transcript:LPERR08G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRLLAAVPFLLLPLLMLLVAGKASAEAAAEGWAPFRARDLLPLLPRRLAWPALGAVHSAVDLLPRFVAHVSPDGSSPVSWRGTCFADNEAVLSLTTPASPAAAAAGRNATSTSALGGAVLRLETASAQSWTCMDLYVFATPYRIGWDYYITAQNHTFEIKAWEEEGEMEYVKQHGIAIFLMPSGMLGTLLSLVDVIPLFSNTVWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAITPWDEWWGMALKDDSNPQVAFLPLHPDMRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIISETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQVTEFTIRDAYMLKIFEDNQTRLPRWCNNEADKLPFCQVLGEYKMALPEYNTIEPYANMNENCPSSPPTYTRPLGC >LPERR08G12480.1 pep chromosome:Lperr_V1.4:8:13498145:13499986:-1 gene:LPERR08G12480 transcript:LPERR08G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDELPSSTRLETMVLSLSNACLRLPVAATFHSLTDLSLMNIRLEKNSSRHLNHLLSSACCPLDEVVDRLHVESNELLELLLCCIGKHTILLELKTPCLRVLDMRYVYAKRLSITAPRLEKFTFYNTTVASRINIEDMPCARDLIIDLRSILRPGYGERLNQASFQGYRERSINTYQNQRDHHIISLEYLQEIKIISYYMRDYEARLIKLLHASAPPLKKMRVAVKPTITMRSQILGMHPKIICEEFLHSIALDKEGKWAFCNHDAQMQDFISFEWTPIEK >LPERR08G12490.1 pep chromosome:Lperr_V1.4:8:13504163:13516716:1 gene:LPERR08G12490 transcript:LPERR08G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASSLLADDDPSEPRAQRRGGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSTKSIMLQVDSKSQQVSASSLRHSLQDRLSKANGSGKGRSDEINVKLSTSTAPALKLFDLPGIDQRSMDESMIGNYAARSDAILLVIIPALQAPDVASSRALRIARELDSEGSRTIGVLSKVDQVAADQKALGAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSETSPDTRQAEVESLISILVGSPQSKLGREALVDSLAKQIRARMKVRLPNLLNGLEGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGAGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIRGLLELAKEPSTLLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIASAALDGFKDEAKKMVTALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKASDGDSSKATSPQAQTKEGSNLQVLGPAGEITAGFLLKKRAKANDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNFEEILDEEDPLRSSKDSKKANVPDFGTPGLSFKITHKIAYKNVLKAHKAIILKAESMADKTEWVNKIKSIVDQKGASAKSSLPMRQSHSDGSLMSNSKKDASLDTILRKPVDPEEDLKWISQEVRGYVEAVLSSLAANIPKLSIRCLVEAFLAVVLCQVEKAKEDMLNQLYTSISMRSIDRIEELIQEDHNVKHRREKFKMQSSLLSKVTRLLRIHDNRSASANWTNDSAGSESSPRSSGHSGDEWKSAFDPSQDVDSSAGTNSGSRRIPSRMPPPPPPKGG >LPERR08G12490.2 pep chromosome:Lperr_V1.4:8:13504163:13516716:1 gene:LPERR08G12490 transcript:LPERR08G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASSLLADDDPSEPRAQRRGGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSTKSIMLQVDSKSQQVSASSLRHSLQDRLSKANGSGKGRSDEINVKLSTSTAPALKLFDLPGIDQRSMDESMIGNYAARSDAILLVIIPALQAPDVASSRALRIARELDSEGSRTIGVLSKVDQVAADQKALGAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSETSPDTRQAEVESLISILVGSPQSKLGREALVDSLAKQIRARMKVRLPNLLNGLEGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGAGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIRGLLELAKEPSTLLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIASAALDGFKDEAKKMVTALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKASDGDSSKATSPQAQTKEGSNLQVLGPAGEITAGFLLKKRAKANDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNFEEILDEEDPLRSSKDSKKANVPDFGTPGLSFKITHKIAYKNVLKAHKAIILKAESMADKTEWVNKIKSIVDQKGASAKSSLPMRQSHSDGSLMSNSKKDASLDTILRKPVDPEEDLKWISQEVRGYVEAAVVLCQVEKAKEDMLNQLYTSISMRSIDRIEELIQEDHNVKHRREKFKMQSSLLSKVTRLLRIHDNRSASANWTNDSAGSESSPRSSGHSGDEWKSAFDPSQDVDSSAGTNSGSRRIPSRMPPPPPPKGG >LPERR08G12500.1 pep chromosome:Lperr_V1.4:8:13518170:13521513:1 gene:LPERR08G12500 transcript:LPERR08G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRCAVLLHPTGAVAGARRRLPLDHEQAFWGMEARRRRRRRLLSLNTPPHCSKMYVPGFGEGSPEKKAAINLQHFFNYIAVRVVLAQLQSYNREAYGELMEFVSRNSLNDADTFCKKLIRESPRHKQLGIDDVRNMVDEANIKLMRDYVLETSHIEDEK >LPERR08G12510.1 pep chromosome:Lperr_V1.4:8:13522974:13524736:-1 gene:LPERR08G12510 transcript:LPERR08G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAAVAGGEGFDYKKALHSGLLYFEAQRSGHLPYNQRVTWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAAEFAGEIAAAGERRHVMEAIKWGTDYLVKAHTGADELWVEVGDGDTDHYCWQRPEDMTTSRMAYKVDRENPGSDVAGETAAALAAASIVFRSSSPHYSHLLLHHAQQLFDFGDRYRGKYDSSIGEVRSYYASVSGYGDEMLWAALWLHRATGQRRYLDYAVAMADEFGGTGWAITEFSWDVKYAGLQILAAKVLMEGNYPEEHRATLEKYKTKAEHYLCACLGKNSGDSAAANVNRTAGGMLFVRQWNNMQYVTNAAFLLTVYSRYLAGGGGNEIHCSSGADQKSTATAGELASLAKSQAEYVLGANPLGISYMVGYGRIFPRRVHHRGASIVSHRSDRRFVGCVQGYDDWFRRGGANPNVVVGAIVGGPDHRDRFRDRRDNYMQTEACTYNTAPMVGVFAHLHAEEMAKKK >LPERR08G12520.1 pep chromosome:Lperr_V1.4:8:13535644:13537197:-1 gene:LPERR08G12520 transcript:LPERR08G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENPSSKLSEILRPGLVAGKLLRRRRLVSGEDACHETCTQSETVKYRVFAGTWNVAGVEPPDDLDLDDWLDTDANSYDIYVLGLQEIVPLNARNVLGPTRSSPATKWNSLIGDALNRSSSSSTAALFSCVASEQMVGIFVTVWARRSLRRQLRHAAACRVGAGVLGRLGNKGGVSVRFMLHGTSFCFVCCHLASGGDAGDRNADAADILSRTTFPCEENDELLLELGKGGSFDGWREGHVTFAPTYKYHLNSDCFYGCEKKEQQRAPAWCDRILWRGKGLRQERYERCGSYRMSDHRPVRAVFHAVCESLPLASN >LPERR08G12530.1 pep chromosome:Lperr_V1.4:8:13540693:13543028:1 gene:LPERR08G12530 transcript:LPERR08G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPAAATDPRRDAMDLHRAFKGFGDVTDLRAATEVICSRTPSQLRVVREAYLAGYAGGVGVAGGGQLERDVAERTSGDHQRLLLAYLRAARYEGPEMVDPCAAARDARKLYRAGERRLGTDERTFIRIFSERSAAHMVAVAAAYQHMYDRSLEEAVKSETSGNFGYGLLTILRCAENPAKYFAKVLHKAMKGLGTNDTTLIRVVTTRTEIDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLIGRDR >LPERR08G12540.1 pep chromosome:Lperr_V1.4:8:13542894:13545922:-1 gene:LPERR08G12540 transcript:LPERR08G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDDKRPDGEASTGGRSVEVSSREEEEDTLPGVLRSFVDGVCCSPGAGGEAQPLLRRIRAASCEAAPRLRDASRNSARDLLEWTRRGSGLRAILVISVGTITLISLTGLLIFMSFLLVATTNAIVVSILMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKQSIGMTNSAIQSYSASRHAKQKPID >LPERR08G12550.1 pep chromosome:Lperr_V1.4:8:13548995:13553339:1 gene:LPERR08G12550 transcript:LPERR08G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYISEKGDKVYTTKVKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >LPERR08G12560.1 pep chromosome:Lperr_V1.4:8:13584245:13590214:1 gene:LPERR08G12560 transcript:LPERR08G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSSAPPPDLSLHISLPSAGGAGAGAGAAVVESSTAAPPAIGRGVKTAVAAVGIDPWRRLDGSTASTELSLSMSTPPPQQQQDVLPWRLRQQPSTTAPTPASVPVTLPTLPMDGTASTRPIRGVPIYGGGGHPFLAGGGGAKAMGLYNNPYHSTAWPSSTSPAPLPPDVVNTTSSASLLSPSAYHRMLSSTGRLHGVLADTLRGYGAGAAAAMGGGYGGVASSGRFMPRLLPASRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGDDELPATGGRQATSSGAEVDRRFSFTEHRSSSEGAVSHAGGGGDGDCSSSAINSDTIRARSNSPRDLWLSSSSVCNMDTQRLVTVEDIEPCRSSSLQVSGHELNPSLEFTLGRPSWHSRDHD >LPERR08G12560.2 pep chromosome:Lperr_V1.4:8:13584245:13590214:1 gene:LPERR08G12560 transcript:LPERR08G12560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSSAPPPDLSLHISLPSAGGAGAGAGAAVVESSTAAPPAIGRGVKTAVAAVGIDPWRRLDGSTASTELSLSMSTPPPQQQQDVLPWRLRQQPSTTAPTPASVPVTLPTLPMDGTASTRPIRGVPIYGGGGHPFLAGGGGAKAMGLYNNPYHSTAWPSSTSPAPLPPDVVNTTSSASLLSPSAYHRMLSSTGRLHGVLADTLRGYGAGAAAAMGGGYGGVASSGRFMPRLLPASRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGDDELPATGGRQATSSGAEVDRRFSFTEHRSSSEGAVSHAGGGGDGDCSSSAINSDTIRARSNSPRDLWLSSSSVCNMDTQRLVTVERV >LPERR08G12570.1 pep chromosome:Lperr_V1.4:8:13603023:13608495:1 gene:LPERR08G12570 transcript:LPERR08G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVTHAPLASRNHQSILPLLTAPSFIHLSLFRLSLLGGAARPPDLSPSPDLSWWGMGLSSAAGAGEGLQVCVFDLRRGQEEGQELDKILFFHPSDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDNESTLRCSALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFVTDYLSDFNSGKKLQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLFTYAVLRLTPRALSSNANSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYRGKDGFVAIGSTTSEVRGAVPWVPILWFQQAEDHMHLCVYQHKNITILLLIPASSLINGDDGIAHVKKHLLENASQNIVTVEQKLSRGWGGENAYHVAGYRYMLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRVKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >LPERR08G12570.2 pep chromosome:Lperr_V1.4:8:13603023:13608495:1 gene:LPERR08G12570 transcript:LPERR08G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVTHAPLASRNHQSILPLLTAPSFIHLSLFRLSLLGGAARPPDLSPSPDLSWWGMGLSSAAGAGEGLQVCVFDLRRGQEEGQELDKILFFHPSDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDNESTLRCSALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFVTDYLSDFNSGKKLQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLFTYAVLRLTPRALSSNANSWSYLRKGTSVHAGPTSSSSNGTASVERYRNQSRDTSPAGQNQMHHYFRPLQREKLYRGKDGFVAIGSTTSEVRGAVPWVPILWFQQAEDHMHLCVYQHKNITILLLIPASSLINGDDGIAHVKKHLLENASQNIVTVEQKLSRGWGGENAYHVAGYRYMLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRVKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >LPERR08G12570.3 pep chromosome:Lperr_V1.4:8:13603023:13608495:1 gene:LPERR08G12570 transcript:LPERR08G12570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVTHAPLASRNHQSILPLLTAPSFIHLSLFRLSLLGGAARPPDLSPSPDLSWWGMGLSSAAGAGEGLQVCVFDLRRGQEEGQELDKILFFHPSDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDNESTLRCSALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFVTDYLSDFNSGKKLQLPTFRDCLKERGTVQMLTISREVALEVQDDTLNLFTYAVLRLTPRALSSNANSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYRGKDGFVAIGSTTSEVRGAVPWVPILWFQQAEDHMHLCVYQHKNITILLLIPASSLINGDDGIAHVKKHLLENASQNIVTVEQKLSRGWGGENAYHVAGYRYMLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRVKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >LPERR08G12570.4 pep chromosome:Lperr_V1.4:8:13603023:13608495:1 gene:LPERR08G12570 transcript:LPERR08G12570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVTHAPLASRNHQSILPLLTAPSFIHLSLFRLSLLGGAARPPDLSPSPDLSWWGMGLSSAAGAGEGLQVCVFDLRRGQEEGQELDKILFFHPSDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDNESTLRCSALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFVTDYLSDFNSGKKLQLPTFRDCLKERGTVQMLTISREVALEVQDDTLNLFTYAVLRLTPRALSSNANSWSYLRKGTSVHAGPTSSSSNGTASVERYRNQSRDTSPAGQNQMHHYFRPLQREKLYRGKDGFVAIGSTTSEVRGAVPWVPILWFQQAEDHMHLCVYQHKNITILLLIPASSLINGDDGIAHVKKHLLENASQNIVTVEQKLSRGWGGENAYHVAGYRYMLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRVKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >LPERR08G12580.1 pep chromosome:Lperr_V1.4:8:13608932:13616259:1 gene:LPERR08G12580 transcript:LPERR08G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSKRGGDGDGAAAAAAKTPRPGLPPAPGNPAFAVGGARDGGGGGGVARRGGGTPRRRASPAAKGKSPAKVEMDSALGDNGKNLKVHTAEGNDDAGMTRCSRGGSEKNSLDEDPKAIGDCDAADMEWEEGHVFASEHDEDYSSELAETVTVEFTDVPSSTEKKTVRRLTAEEKELAELVHRAHLLCLLARGRVIDKSCDDPLIQASILSVLPQHVLWNSVDTPIMKANELRSLVSWFHRTFSVIAQSDDRGSFKSNLAFALQNHVGTAEEVCALSVALFRALNLTVRFVTNLDVAGLKPDTKSVGISYQDSPRLCTKALPSSSFIAGHNEHNNVSPAPSQDNTEDSIKTTPKQGKVQGCRKSLSKKLLKCKANNGNSSASVSKDSPSSSQCPSTSSNAEVPKRRGDMEFELQLEMALLASEAQTQDNKVATQLNQSTDSLLNSTPPLKKLRKSGEGSSNSSAVWSRSGAPLYWAEVFCGGEASSGRWVHVDVLNDIIDGEQKIEAASAVCRKPLRYVVAFAGNGAKDVTRRIVQGRVNPEWWKNVLAPLEQLELAATNDTEEMELQTRALTEPLPTNHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSLQSNSSEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFSPAMVGFDYRSGRCLPVFDGIVVCSEFKHTILEAFAEQEEQRKAEERKQEEAQALIRWYQLLSSVVTRQRLKDSYKAPSSEHGPGGPSKDVSQQKGTPDCRSSETKTPSTRLQADSPFLAHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >LPERR08G12580.2 pep chromosome:Lperr_V1.4:8:13608932:13616259:1 gene:LPERR08G12580 transcript:LPERR08G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSKRGGDGDGAAAAAAKTPRPGLPPAPGNPAFAVGGARDGGGGGGVARRGGGTPRRRASPAAKGKSPAKVEMDSALGDNGKNLKVHTAEGNDDAGMTRCSRGGSEKNSLDEDPKAIGDCDAADMEWEEGHVFASEHDEDYSSELAETVTVEFTDVPSSTEKKTVRRLTAEEKELAELVHRAHLLCLLARGRVIDKSCDDPLIQASILSVLPQHVLWNSVDTPIMKANELRSLVSWFHRTFSVIAQSDDRGSFKSNLAFALQNHALLKRFVTNLDVAGLKPDTKSVGISYQDSPRLCTKALPSSSFIAGHNEHNNVSPAPSQDNTEDSIKTTPKQGKVQGCRKSLSKKLLKCKANNGNSSASVSKDSPSSSQCPSTSSNAEVPKRRGDMEFELQLEMALLASEAQTQDNKVATQLNQSTDSLLNSTPPLKKLRKSGEGSSNSSAVWSRSGAPLYWAEVFCGGEASSGRWVHVDVLNDIIDGEQKIEAASAVCRKPLRYVVAFAGNGAKDVTRRIVQGRVNPEWWKNVLAPLEQLELAATNDTEEMELQTRALTEPLPTNHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSLQSNSSEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFSPAMVGFDYRSGRCLPVFDGIVVCSEFKHTILEAFAEQEEQRKAEERKQEEAQALIRWYQLLSSVVTRQRLKDSYKAPSSEHGPGGPSKDVSQQKGTPDCRSSETKTPSTRLQADSPFLAHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >LPERR08G12590.1 pep chromosome:Lperr_V1.4:8:13616402:13618397:-1 gene:LPERR08G12590 transcript:LPERR08G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRRRRHLLLLLLIAGVATTAAAPDLAGDRAALLALRDAVGRHLPWDPAATTPCGGAWRGVVCSNSGAGDRVTELRLPGKSLAGEIPAGTVGNLTALETLSLRHNEISGVIPPDIGGCVRLRSLNLSGNRLAGELPESLFSMALLEKLDLSGNRLAGGVSPELSRMKNLTTLNLDRNGFDGELSGDLNLPRLRDFNVSFNGELSGEIPKSLAGMPASAFSGTNLCGGPLPPCGANPSPPPPPPPSPEHGKLSRGAIVGIILAAVAVLIVVITVVFLLCFRRRRRSTASAAAAPDAETITVTVARTDTDATAVKNSPLSPAAMAAANGEANKLVFLGEAPERPYELETLLRASAEVIGKGSTGTTYRATLDGGDPVLAVKRLRSSRLGEREFRGRVAAIAAVRHVTLPRLIAYFYSADEKLLVYDFVADGASLSSLLHARMDFTARARVALAVARAVAFIHGGGGGAHGDIKATNVVVTAARDGAYVTDYGLAHLVGDGDTSSTTTRRGVDLARWMRSVVQEEWTAEVFDAAIAGESGVEGEMMRLLQLGMECTEHHPDRRPAMAEVEARIERVVEDACRKAAAADFSSTDGSRSVSA >LPERR08G12600.1 pep chromosome:Lperr_V1.4:8:13624192:13625279:1 gene:LPERR08G12600 transcript:LPERR08G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSAAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIANGGVMPNIHNLLLPKKAGSSAKAAAADDE >LPERR08G12610.1 pep chromosome:Lperr_V1.4:8:13627866:13628108:1 gene:LPERR08G12610 transcript:LPERR08G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMIEQGESKSVVRLKQEVLIHIQVRRIKQEDEKARELLQRLQLLEMRPAAALGFREPARPPSPSPLRRAGQAISVGD >LPERR08G12620.1 pep chromosome:Lperr_V1.4:8:13629561:13633613:-1 gene:LPERR08G12620 transcript:LPERR08G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVGDQGCLHEGLLGLGKGQELCLINYKKEMRMQGDSPKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGKPTRTVVMTPEISQRGGGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRGRVPFQPQFQGRGKGRGQFQSRGRGRRQAGKTADELDKDLETYHAEAMKTD >LPERR08G12620.2 pep chromosome:Lperr_V1.4:8:13629561:13633613:-1 gene:LPERR08G12620 transcript:LPERR08G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVGDQGCLHEGLLGLGRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGKPTRTVVMTPEISQRGGGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRGRVPFQPQFQGRGKGRGQFQSRGRGRRQAGKTADELDKDLETYHAEAMKTD >LPERR08G12630.1 pep chromosome:Lperr_V1.4:8:13634252:13640712:1 gene:LPERR08G12630 transcript:LPERR08G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATASAAPAAAAGEPHAALLLSLAYLPLRELVVGPPLAHRITDDALLELTGRAEGTLRSLRLLGCPRVSDAGLLRVVQSNPAITELYMPSCTGLTADGVVKIVQVLYECKGHLNRIRLHGICRMTQHHLDAINSVMSNGNPQQDEQSLFYSHRVHEVLNTKNERRIDVDVCPMCTDVRLVFDCTRDGCRKNSSRPQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKKHENLKIPLSPSGQFTCHRCIEFCSSRESLEESS >LPERR08G12630.2 pep chromosome:Lperr_V1.4:8:13634252:13638961:1 gene:LPERR08G12630 transcript:LPERR08G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATASAAPAAAAGEPHAALLLSLAYLPLRELVVGPPLAHRITDDALLELTGRAEGTLRSLRLLGCPRVSDAGLLRVVQSNPAITELYMPSCTGLTADGVVKIVQVLYECKGHLNRIRLHGICRMTQHHLDAINSVMSNGNPQQDEQSLFYSHRVHEVLNTKNERRIDVDVCPMCTDVRLVFDCTRDGCRKNSSRPQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKKHENLKIPLSPSGQFTCHRCIEFCSSRESLEESS >LPERR08G12650.1 pep chromosome:Lperr_V1.4:8:13659956:13662936:-1 gene:LPERR08G12650 transcript:LPERR08G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGTIGRKEETGKPDSDYLGRGGAGSATAMQWQIPARVGAAASSAFMSFRSPAPAPAREEDSKEAFGFDGQVGRQHQYGAAGVESYGAAVAHHFPSPASAPAPRHAVQFSQANPMLRVQSLPNVAGGSPYRNQPFAVGNSATGSTVGVYGGPRDLQNLKPAQMTIFYDGLVNVFDNVPVEKAQELMLLASRASTLSPPSAARKSDSPISATGKITVPEVLPTRQIIVQKPEASVPHVSRVSTPIPVVPQAVTLSKSSSSSNNDSAGPRSVGLPLAVTPLNQASPSQPLPLATANASAIMPRAVPQARKASLARFLEKRKERVSSVVPYPSSKSPLESSDTMGSPGTPSKSSCTDITPSTNNCEESMCLGQPRNISFSSQELPSTKLHTYSDLPAV >LPERR08G12650.2 pep chromosome:Lperr_V1.4:8:13659956:13662936:-1 gene:LPERR08G12650 transcript:LPERR08G12650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGTIGRKEETGKPDSDYLGRGGAGSATAMQWQIPARVGAAASSAFMSFRSPAPAPAREEDSKEAVFDRFSLSGFRPPPPTAAGDAFDAAAATMKQPGGVPPLSLHRQFGFDGQVGRQHQYGAAGVESYGAAVAHHFPSPASAPAPRHAVQFSQANPMLRVQSLPNVAGGSPYRNQPFAVGNSATGSTVGVYGGPRDLQNLKPAQMTIFYDGLVNVFDNVPVEKAQELMLLASRASTLSPPSAARKSDSPISATGKITVPEVLPTRQIIVQKPEASVPHVSRVSTPIPVVPQAVTLSKSSSSSNNDSAGPRSVGLPLAVTPLNQASPSQPLPLATANASAIMPRAVPQARKASLARFLEKRKERVSSVVPYPSSKSPLESSDTMGSPGTPSKSSCTDITPSTNNCEESMCLGQPRNISFSSQELPSTKLHTYSDLPAV >LPERR08G12660.1 pep chromosome:Lperr_V1.4:8:13688149:13688696:-1 gene:LPERR08G12660 transcript:LPERR08G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEATKPAPLPPYPEMILAAIEALNDKNGSNKSAISKFIEGKYGDLPPAHASLLTAHLARMKESGELILPRDPNAPPPPPKPSSPRPRGRPPKSSSVSDAPKSRGRPPKKAKTAAAPAPLAAAGDGSAPAKRGRGRPPKVRAAVQPSEAAAA >LPERR08G12670.1 pep chromosome:Lperr_V1.4:8:13698483:13705360:-1 gene:LPERR08G12670 transcript:LPERR08G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTPPLPARPGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDPYLHDFSDTDSLSVNTPNGIARSFTPSPLESPTWMVAQNDGSPTYRSNERFSLDSLGYDTKLNVSIMDRSGVDMARYPVDFDADIWFPPPPEDEGDDAESRLFGFDDEDDEAADSGKLHALDSFSTNKIVDVHTITDIAHKEGLKNAVLGHFRALVAQLLKGECIDLENDNGSKGWLETVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMVSEHANANLLIVGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIVSRRPNVLLVEKSVSSYAQELLPKHISLVLNVKRPLLERISRCSGAQIASSIDNIASARLGQCELFKLQKVPEFSSGKQTTRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVLQLAVFAAYHLSLETSFFADEGATLPKIPSRPMVVVTDIRDQGDPSNYLTESAGVDIPHERKPVQDRHSEGTTVNGMFEENYISPNSLSLNEEGEEESKITVDHMVCHDHDSFHATDSCKGHKIFPCSLDHDSRTSDIVTQYQHLNDLTQLPISNDNHQGVVSGKKYQGVDHYGPKPQDGFLTGDVDGLNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMESLHLEVYDFLHHNEKNVTSKDEPVKTGVQRQIIEMKDLLKMERNGYEILLLPFIRDGNHSVQVSIDILELNRLRRGLLLDAYIWDRRLCYIDSLLKKDGHVSNPDVLLDVRLKEWKADLLVGDTKIGKSTVLSQSSGSPRRSLLSREGCLNDTECRMGEANSQIDLVNHPLDDAEDLDKVFSRFNGEKEQPVATATMGMELVERLPSLASIFSDKIDLAWTGSSDLQYDLPRGFTKIDEHESFNFLDNPGYGNAVTPVRIHSFDSKTVIHQRESNGLAPTSLHLSSFRSAEHFGELTSILKDPMPNIRRACSQRSPGAVEKLNVALTRTLTHISSALPMIDDGARLLLPQIGYENDVVIAVYDDEPTSIVSYAMTSKEYVQQVTRKLTSSLSFLHVPNAVDVSHGLDGTLLSQEDHLDFKGTHFKFSFDDESPLSEDKAKFSVICYFAKHFAALRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPRYFKYLMESLTSGSPTCLAKIVGLYQVNVKGLKGGREVKMDLMVMENLLFERKISRVYDLKGSLRSRYTSNESKVLLDSNLVEALHTKPIYLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGFLGGPKNESPTIISPMQYKKRFRKAMSKYFLTVPNQWSS >LPERR08G12680.1 pep chromosome:Lperr_V1.4:8:13723952:13727271:-1 gene:LPERR08G12680 transcript:LPERR08G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGGRRRTARVMTVDGTTYKYRPPASAAAALRDLGRGPGFHLLESDDVRRLGLRARPLDPDSPLKPGKLYFLVHLPRLAGGGGRVPRRTWSGQLVGGGAGERLESMMLSRRSASDVAAMVNSSPAAKGVEIAGGEGGGVRLRVRLPKAEVARLVKESRDAGEAAEKIMQLCVERDRCLSAPATPVLRPPMQLPPAALVSAEKKKKKGTEKNQDLQPPKLRGASLVLFRILAVEFQGIRMKKARFVTDVPDEIIGF >LPERR08G12690.1 pep chromosome:Lperr_V1.4:8:13751577:13754211:-1 gene:LPERR08G12690 transcript:LPERR08G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLFLAILAAAALLLLLLAKAVWVTLSCYYLTPARIRRALKRQGVDGPPPRLLVGNLRDVSSLVAASTATDMGSLSHDIVPRLLPHYVLWSNAYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHATGKSWMQRQGAKHFIGGGLLMANGAVWSHHRHLVAPAFMADKLKGRVGHMVECARQTVRAMREEVEKEGNEVEIGAHMARLAADVIARTEFDTSYDTGKRIFRLIEELQRLTARSSRYLWVPGSQYFPSKYKREIKRLNSELEQLLKESINRSREIADEGRSPSPCGRGLLGMLLAEMEKQRQQQKGNSGDGGDVVGYDAQTIIDECKTFFFAGHETSALLLTWAIMLLATHPQWQDKARAEVASVCGGSPPTSDHLPKLTVLQMVINETLRLYPPATLLPRMAFEDIELTGGEGETMRLPKGASVWIPVLAIHHDEAAWGRDAHEFRPDRFAAGAARRRGTFLPFAAGPRNCVGQAYAMVEAKVALAMILSSFRFGISDDYRHAPVNVLTLRPRHGVPVRLLPLQQRP >LPERR08G12700.1 pep chromosome:Lperr_V1.4:8:13758329:13768122:-1 gene:LPERR08G12700 transcript:LPERR08G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGAKEDFKGSIGSEGAVDVNHSPVSAVATGRRSGFMIRLCTCTGRSSGLRNLNNSVVSMTCKGCGGELTSDRGGPSCSSKLNTMGLELPRPIDPDVRWKTVNRRQRAARRARTSFSGEDRMKDKIRSFYACGNATNQELLQDEAPVSESEKLGVSILGRRFSDPVENVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGVSSHGKRHKVKAPAFDYMEEKKGPFDADDFSGISILAAAACTSELDDATLHVECSKSVHCIEEKKPDHINGNSELNLLNEIKEDMLNNLDASNCKSDQPLESSESAPDMKPPFTATLNNENLVESADAPKSCSVPYSVFSSATKTDEESSASDTKSSGAAMSINSSNPDKSVGRLEDIAVETKYSNGTRDSRLHWDLNVEMEAWDTSCGGDDDHDIAGPDPVPFATSSCSDAENTTNKLQGCQAPFDSAVAGNTPYLSEDKIPVIDAPIDACREGESDFTGDSSSQPLCSLSPQNVQILESKSLEWNDSSAGAKDLPDENHVSKVESHLGSDTDCSLLAPMTERLALTANEEKLDASQSSPLGSVGPSQMASEDGCDAINSVQMSELGSRMKPLTSRLVSEESTNLAKVTVLNKSFTDLGCSNDKFGQASQQSISEFKNQDLLDVDSETSKIGQSAHDKLEHGTDVLSVSKRAADVDNDINRPDSHMNENPDCGTAHTHEEEVPDTTISHDLTCGNNSTVLTYHITGDAHEAPHYSECTKPSITNMDNIADLQSAEQSYTGKVLSNNFVEHCNETEASNVIKDLTGLGNIGAEEDDSQYEDGELRESGEYWGDDDSFEEVTPINYQALDCKSDTPGISSFPLGSISKNIGDPIDDSNGTHSRKEDGDVSQATMKRSWSTNCIDDGSGMMYAGSAGEKALSVHLRVNGDTRMNEMNPGRGIAGSVAIISHSERGNDGLGDDLSSLRTKTTGWDMLPEDQRHSQHDSRDTVDSSNRCVFSISDTAGGGDSLRHMESSNEDMQPRADRPRSFDRANRNELCRSDDGYGSGSKAERTINVHRSNERGGVSRHIQSSSRVEQWVENSNSSRSARHKSPDYYNYSLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDAANASKMVRRVRNNTLSSSYRPLSGRGSPIERDGGCGVSRTPSHAREASPERRFGASGNRSVRYDPDVDKGHTDVNMSSVRCSLSSRQRRFPPHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREIVANGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVIRYSPSSRDRAYSQHTSTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVNSDGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYTDRYETHSVKPYDRNGTTKQFRNHAGDKLRPHISAHRSPEPQRRGSPRRFNRGFERQLGKTSDKAFPKRIDNAMLKQTKVTIS >LPERR08G12710.1 pep chromosome:Lperr_V1.4:8:13775464:13778688:1 gene:LPERR08G12710 transcript:LPERR08G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIIRQYYDDEPRGYRDGPPPPLARARPISPRRLEEELSRRRGEMRRIRDDNQRLADEIVGLRQAMPRMKEDLHAASQVLPKLRAEKELESRELTQRNLKLEAELRSLEPLKQDALQLRSEAGKLQLIRQEMTANVQGLLKELEHQNSENKKIAAMTDERDGLRQELIRIRGILEYEKNAKPELTAQVRAMEKDLVAMAQESEKLRAEIEKRKAPSFSSHGAYGPPMVTPGMGLQGIYDGGYTSTGSRYGTGGPWAAHDPHGYPHL >LPERR08G12710.2 pep chromosome:Lperr_V1.4:8:13775429:13778688:1 gene:LPERR08G12710 transcript:LPERR08G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIIRQYYDDEPRGYRDGPPPPLARARPISPRRLEEELSRRRGEMRRIRDDNQRLADEIVGLRQAMPRMKEDLHAASQVLPKLRAEKELESRELTQRNLKLEAELRSLEPLKQDALQLRSEAGKLQLIRQEMTANVQGLLKELEHQNSENKKIAAMTDERDGLRQELIRIRGILEYEKNAKPELTAQVRAMEKDLVAMAQESEKLRAEIEKRKAPSFSSHGAYGPPMVTPGMGLQGIYDGGYTSTGSRYGTGGPWAAHDPHGYPHL >LPERR08G12710.3 pep chromosome:Lperr_V1.4:8:13777051:13778688:1 gene:LPERR08G12710 transcript:LPERR08G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIIRQYYDDEPRGYRDGPPPPLARARPISPRRLEEELSRRRGEMRRIRDDNQRLADEIVGLRQAMPRMKEDLHAASQVLPKLRAEKELESRELTQRNLKLEAELRSLEPLKQDALQLRSEAGKLQLIRQEMTANVQGLLKELEHQNSENKKIAAMTDERDGLRQELIRIRGILEYEKNAKPELTAQVRAMEKDLVAMAQESEKLRAEIEKRKAPSFSSHGAYGPPMVTPGMGLQGIYDGGYTSTGSRYGTGGPWAAHDPHGYPHL >LPERR08G12720.1 pep chromosome:Lperr_V1.4:8:13780801:13785654:1 gene:LPERR08G12720 transcript:LPERR08G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIEVEPTSKVADVKKHIETTQGQHVYPADQQMLIHQGNVLKNDTTLEENKVIENNFIVIMLSKKGSGSSSAAASTAKEPTTQPSVDRAIPAAPIPPPAPPAPVSEPVTAPVPTATTAAAPAAAITAASTEAENYGHAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYSGIPEQAEAPAAVQALPTPAVQALPVPASGQPITDAIQAPQSAQLSIPSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNTQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFEDDGPEPPQ >LPERR08G12730.1 pep chromosome:Lperr_V1.4:8:13788405:13795076:-1 gene:LPERR08G12730 transcript:LPERR08G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEARTAPAGGSESPSVGNWAAKSSSSAAGYRAGETTSRRSRPHPPSDAPGFPRIRFMCRTPSRRFQPKKPKIAKKQVEARAPHMTTVASWDMADDVGYDQVNNVFQEVGEIVGIYFSSTRHLAVVDFSTEQAAENAFYHLMGYDLMGRHLNLHGLIPKTTPFADMPYPGEGIPNSVTNTVCVTGFDSSLEIGKMRHLLEGIFANKNMKKLVTPVKSDGTSTGTTTIKRYAVTACLSLKVASCVSQDGLIYLGTRTCNNDDTAVAMANQDTLHTSHSSSPSSTMATADTRTATAGGSESSSVGYWAAESSSSAPAAGYRAGETTSRRPSISRRPHSPRDARGFPLITFMCRTPSRQLQPKKPKKKTS >LPERR08G12740.1 pep chromosome:Lperr_V1.4:8:13796208:13797749:-1 gene:LPERR08G12740 transcript:LPERR08G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEVKEASKGDAGEGQ >LPERR08G12750.1 pep chromosome:Lperr_V1.4:8:13800678:13803302:-1 gene:LPERR08G12750 transcript:LPERR08G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAAAASAAAAPASLVHPVSLPRAPMRFRRGVMAMPSPRREDSLKITQLQVQALRSSEENSSDEDDEILFELREKWDAIENKSSVLLYGGGAIITVWLSLIVVKALDSVPLLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGDEE >LPERR08G12750.2 pep chromosome:Lperr_V1.4:8:13801116:13803302:-1 gene:LPERR08G12750 transcript:LPERR08G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAAAASAAAAPASLVHPVSLPRAPMRFRRGVMAMPSPRREDSLKITQLQVQALRSSEENSSDEDDEILFELREKWDAIENKSSVLLYGGGAIITVWLSLIVVKALDSVPLLPNILELVGLGYSGWFVYRYLLFKVILNHVAGDEAAFELFSTSFLSCCPFNY >LPERR08G12760.1 pep chromosome:Lperr_V1.4:8:13804123:13807763:-1 gene:LPERR08G12760 transcript:LPERR08G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSIPTAVLAWGSGEDGQLGMGGYEEEDWARPVAALHPHAAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPSPVDALAGVNIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLENVKTIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVQLLAGEDIIQVSCGGTHSVALTSDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPPAGSSGGQWQARLVACGGRHTLAIATWTDADE >LPERR08G12770.1 pep chromosome:Lperr_V1.4:8:13811676:13812772:1 gene:LPERR08G12770 transcript:LPERR08G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGLPYVPIRDLATTVIRRHSRFLLCIVPLVKGEEEKQRQQQPGQTATRTFHTSVHTTPYADMQQQQLASSFLGSSRICEIQVNYCLPRAWCNASGVQLILSTFKSEKMMALNMSNIYSLGAHYVKFDEIHKIFSLIFKFECAPFV >LPERR08G12780.1 pep chromosome:Lperr_V1.4:8:13820198:13825149:1 gene:LPERR08G12780 transcript:LPERR08G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAAAAAATKRWVQWEEVVVSNDRGRRLVHYYLRGASTGGGGGGGGEEVRELAVVGRERSPRHMAYVVQGRFLRSLAAAAAAPSPSRSAVDAEGAPRKWRSRREVVDWLSSLVSGGNYGPSSMADRFNENPYDDIDFTDVAATKDVSPTSSVRNSKQFTWLGPAWLCEKQWKHYRSFCRSGITIAVHNFVYILSEEKKRLIAQVEDLYEDSNSTNMVMVRWFDKVDEVGVELPPDVSGREIFFSSGMQDLSVECIDGLAAVLSAQHFEKFHSRPRQTYWQPYICRRQIDDDGIKPFDVTQLQGYWSQEVLRTMFNGASSLKVRFKVTKGAPSSDGAQKRKRDALSETDPQQHLPSAGFGSGSLKNDLEHRTQKELYPGSRAEVLSQDSGIRGCWFRCLVLKRRGDKIKVRYEDLQNADETGNLEEWVLLTRIATPDEMGIRIFGRPMVRPHHVQHSKGPCSFDVGSIVDAWWNSGWWEGIVLQQGNDRHLQVYFPGEKRIADFREDDLRHSLDWAADKWNSLGERKDITHLLPPTSVYEKGGLISKLVSREGTPSPKLGSDKGCDDKSVGTKISHDQKHRKRVLADLTNALKFDNLKWRARKRSRRSGSRKQSDTSSGSSGSQEDMEESSAGGSFAVLNSVPDEEVCKSSGETLFMRVSNMVMSR >LPERR08G12780.2 pep chromosome:Lperr_V1.4:8:13820140:13825149:1 gene:LPERR08G12780 transcript:LPERR08G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAAAAAATKRWVQWEEVVVSNDRGRRLVHYYLRGASTGGGGGGGGEEVRELAVVGRERSPRHMAYVVQGRFLRSLAAAAAAPSPSRSAVDAEGAPRKWRSRREVVDWLSSLVSGGNYGPSSMADRFNENPYDDIDFTDVAATKDVSPTSSVRNSKQFTWLGPAWLCEKQWKHYRSFCRSGITIAVHNFVYILSEEKKRLIAQVEDLYEDSNSTNMVMVRWFDKVDEVGVELPPDVSGREIFFSSGMQDLSVECIDGLAAVLSAQHFEKFHSRPRQTYWQPYICRRQIDDDGIKPFDVTQLQGYWSQEVLRTMFNGASSLKVRFKVTKGAPSSDGAQKRKRDALSETDPQQHLPSAGFGSGSLKNDLEHRTQKELYPGSRAEVLSQDSGIRGCWFRCLVLKRRGDKIKVRYEDLQNADETGNLEEWVLLTRIATPDEMGIRIFGRPMVRPHHVQHSKGPCSFDVGSIVDAWWNSGWWEGIVLQQGNDRHLQVYFPGEKRIADFREDDLRHSLDWAADKWNSLGERKDITHLLPPTSVYEKGGLISKLVSREGTPSPKLGSDKGCDDKSVGTKISHDQKHRKRVLADLTNALKFDNLKWRARKRSRRSGSRKQSDTSSGSSGSQEDMEESSAGGSFAVLNSVPDEEVCKSSGETLFMRVSNMVMSR >LPERR08G12790.1 pep chromosome:Lperr_V1.4:8:13829652:13830419:-1 gene:LPERR08G12790 transcript:LPERR08G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNVGERCCTRQRRASNGGGNNGQASTAPATRKCCPLRRSRKGCMKGKGGPENQRCFFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTSLDAAHAYDSAARALYGDCARLNLDVQPHHHPPPPPVTTAINCKRSWTPCSSISDGANSSDDSTTTTLASPEQPSVMLVDNVFTPVMQPPPPPPPLPPASQQQQQDDLEDFETYVMRLPKAEDFGLEGFQEVALDVLEEADGGGGISLWDLSICPSDIMAAALK >LPERR08G12800.1 pep chromosome:Lperr_V1.4:8:13838605:13840123:1 gene:LPERR08G12800 transcript:LPERR08G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFYCMTVRMNIDCNGCYQRIRRALLQMQEDLESHLIDRKQQRVSVCGAFVPQDVAIKLRKKTNRRVEIMEIKEVDAGDGHRP >LPERR08G12800.2 pep chromosome:Lperr_V1.4:8:13838610:13840123:1 gene:LPERR08G12800 transcript:LPERR08G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRMNIDCNGCYQRIRRALLQMQEDLESHLIDRKQQRVSVCGAFVPQDVAIKLRKKTNRRVEIMEIKEVDAGDGHRP >LPERR08G12810.1 pep chromosome:Lperr_V1.4:8:13840628:13843782:1 gene:LPERR08G12810 transcript:LPERR08G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMRCILALFFLSPVLLLFNLEILEVALHLASRDKELDVAAVTPASSLSFLSRFRVMLGINHHRSRSRRHSSQAPALAPAPTPAPAHQGRSKAPAPRPRVHIPRKGMPSTHRHHIAPARSPVRKTEDGGHTKIRRSTIVALGVVGLCLLVVLVVVIAALLVRRSRKLKKVCTKAFKPFYRGSKDQRSPAAKRKVSSHPSPDPLSLSSIVQYQESHPNLKQSSESKSLSIQSTVTLGTEFIASDHTVKSNNSQSDEAESFHSIASSGFSVVSMTELPLKICDTTTIDPSLSFQQTHDSPSDSSYQSVSPDFMSRLSTKDQTFTAPSQISLCPEKSDGENAEVNCYEGVEINGIPGLTEHHKAPMEEPTKSNFRNPPSQHSFPPSYHTDYSQSKISMPSTMSNSKVQSSSKESSKSSSEGTRIETLTSMGIPKSPPPPPPQKNLPPCLKGPPPPPPLPLQIHVGKDGSPLPKLKPLHWDKVRAAPNRSMVWNDIRSSSFEFEFDEQMIKSLFAYNFQGPAKDEEAMTKNASTTKHVIEHHRLQNTTILLKTLNANSSQVCNSVTQGNGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFSRIEVMLYKENFDDEVAHIKMSFAMIEGACNELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGADGKTTLLHFVVQEMARSKGLKASEKPKESSSCHDTPMEREEHSLLGTEIFSELSNELGNVKKVATIDVDILRNSISNLSCGLAQLRNLVEKDLAIDDQNKNFLQCMISFLNYAENTLQELKVSEAQVLLNVRELTEYYHGEVSKDESNLLQIFVIMKDFLSLLGRVCREMRGSKHNQTLNLVLPLK >LPERR08G12820.1 pep chromosome:Lperr_V1.4:8:13840812:13846722:-1 gene:LPERR08G12820 transcript:LPERR08G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPLSLSATSTLPARLRAGRAAVPLRRRRAVVVRAKIREIFMPALSSTMTEGKIVSWTAAEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESDEDLQAALAKAQELSKGAPPPPPSPAAAPPPPPPPAAAPPAPASPAVAAGAGTKGIATPQAKKLAKQHRVDLAKVAGTGPFGRITPADVEAAAGIQPKPKAAPAPAAAAAVAASAPAIGAVPQAAVLPPVPGATVVPFTSMQAAVSKNMVESLSVPAFRVGYPIVTDKLDELYEKVKSKGVTMTVLLAKAAAMALVQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTLNAMNIVMRLGQCGGDELTAFLSEEYEHEDEGQELLIFLDVLVLVLELELELELVNYAFGSGIDDD >LPERR08G12830.1 pep chromosome:Lperr_V1.4:8:13847381:13848617:1 gene:LPERR08G12830 transcript:LPERR08G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLSSRFQGSRAAAHPHFPTTKSRRLRPPPPSPPRTRDEHRHHRVDEAGVPGGGPEPALHQGRRQLLRPRLPPPLHHLRRLRHRRLPLRDQAGDPRAVDGDGWAHRGHGRVHVRLPELRRPPHGVLPQRCRGRRLQAQAAVRL >LPERR08G12840.1 pep chromosome:Lperr_V1.4:8:13853366:13858521:1 gene:LPERR08G12840 transcript:LPERR08G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKPPSMTFPVGGGGCGGGRWRLGWIMIIPMILPQVMQLLPQAHCLCLLNLETGKNKMRLQSQETFQETFSNHTMRGNSNVIKLSMVYEVMRAS >LPERR08G12860.1 pep chromosome:Lperr_V1.4:8:13873236:13887091:-1 gene:LPERR08G12860 transcript:LPERR08G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKANVDKENIMNPDFVELPEVDRQEFESQVEDLRRKILSCYRKTRQCVTKQEEFTLPINDKSKMGRGKIEIKRIENATSRQVTFSKRRSGLFKKAKELSILCDAEVGLVVFSSTGRLYDFASTSMKSIIERYNETTEDPHHTMNASSEAKEAASLRQQLHNLQEYHRQLLGQQFSGLDVEDLQNLESKLEMSLRNIRLKKDNVMLDQIQELSSKGSLIHQENMELRKKVSLVHEENINLQKKVYGPQGINEHSTGSPTQHSFLTRENEIGPNLELSLPENVEKDGLGIAKAVRRCRCKASTQPCREN >LPERR08G12860.2 pep chromosome:Lperr_V1.4:8:13873236:13884619:-1 gene:LPERR08G12860 transcript:LPERR08G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATSRQVTFSKRRSGLFKKAKELSILCDAEVGLVVFSSTGRLYDFASTSMKSIIERYNETTEDPHHTMNASSEAKEAASLRQQLHNLQEYHRQLLGQQFSGLDVEDLQNLESKLEMSLRNIRLKKDNVMLDQIQELSSKGSLIHQENMELRKKVSLVHEENINLQKKVYGPQGINEHSTGSPTQHSFLTRENEIGPNLELSLPENVEKDGLGIAKAVRRCRCKASTQPCREN >LPERR08G12860.3 pep chromosome:Lperr_V1.4:8:13884821:13887091:-1 gene:LPERR08G12860 transcript:LPERR08G12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKANVDKENIMNPDFVELPEVDRQEFESQVEDLRRKILSCYRKTRQCVTKQEEFTLPINDKSKEEVHQC >LPERR08G12870.1 pep chromosome:Lperr_V1.4:8:13889633:13889812:1 gene:LPERR08G12870 transcript:LPERR08G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRWRARHGVAMTACTHVGVVASRHWRPCHHTSDLAGAAAGAGGAAVASGAPPVVV >LPERR08G12880.1 pep chromosome:Lperr_V1.4:8:13907912:13911926:1 gene:LPERR08G12880 transcript:LPERR08G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSPSLDDYDATRFFFHHHQQHQAETLEAVVNTAAAPAAAAEVGPASASAAGRRRPLRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDKLGFDKASKTVEWLLAQSRKAIDSLSLSDYDHAAVAVASPPEAKEKGEAPASSSSTTNASTKDDDAAARGAEKATSPPAVELDWPLSPAAAEQPVDGWEYYYQYYNHLEEIMSCEPSTTDEFICSEEEWK >LPERR08G12890.1 pep chromosome:Lperr_V1.4:8:13929925:13932635:-1 gene:LPERR08G12890 transcript:LPERR08G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRLALSATRLSNHHAVAGERYSIYRGRCPNPAIPVTLVAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEDHCTSLRGNGLRSSIEPASGGC >LPERR08G12900.1 pep chromosome:Lperr_V1.4:8:13934286:13936982:-1 gene:LPERR08G12900 transcript:LPERR08G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQFGRAKTTRPPPSPPATPQSAVAAALFGPYLHSSSMIYALLQESVPLPLPSPWHGDQAVPQSSPPGVLVLAIAATSVTPQRTLRPVDLYSPPCTASMNLLEHDCSTVFVIDCAKYL >LPERR08G12910.1 pep chromosome:Lperr_V1.4:8:13943663:13946862:-1 gene:LPERR08G12910 transcript:LPERR08G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGVVDHGHESLLLQAAAAAHGAGGDASSLAPWFGPSSAAPPPYMPAPFAAAAELQPAAEEDPFGVVGNHYQQHQYGGGGMLGMEMQMGGGGGLLGRMTAKEIMDAKALAASRSHSEAERRRRQRINAHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSASSSPAQMQLMPTEADELLVEATASAEDDQEEGRPSVVVARASLCCEDRAELIPDMARALAALRLRARRAHFATLGGRLRSVLLIAALDDEDEEDDGAVAASRRRHELVASIHEALRGVMDGKVPAASSDTSSSGGGGSIKRQRMVSAHEQGSF >LPERR08G12920.1 pep chromosome:Lperr_V1.4:8:13959588:13963021:-1 gene:LPERR08G12920 transcript:LPERR08G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALSIMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDANFKSNKADPKSKSKANDDTKKQQRSFILQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGILAQALCTTGAVLGGKSLASQISEKMDQKENYNVSRKSC >LPERR08G12920.2 pep chromosome:Lperr_V1.4:8:13959588:13963021:-1 gene:LPERR08G12920 transcript:LPERR08G12920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALSIMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDANFKSNKADPKSKSKANDDTKKQQRSFILQFFSPIFIKIATIGLAADENPFGVVLGGILAQALCTTGAVLGGKSLASQISEKMDQKENYNVSRKSC >LPERR08G12930.1 pep chromosome:Lperr_V1.4:8:13970960:13971476:1 gene:LPERR08G12930 transcript:LPERR08G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYEDSRPLGGLDGLYGVQFAGRSMYSDDEAVKTSIMDPSARKPQEGVSTSRRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSLPFIVLGLQTPRQEHF >LPERR08G12940.1 pep chromosome:Lperr_V1.4:8:13971534:13972490:1 gene:LPERR08G12940 transcript:LPERR08G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSCRKNLNTALYANSLIGVGIASSLYHTSRGHIRKYMRWADYTMIATTTLCLSRALQNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEASTSKLRRFNSHSRCTHLLPFQSNSQTTVTLCAVPQVSFAKRASTEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >LPERR08G12950.1 pep chromosome:Lperr_V1.4:8:13973818:13982346:1 gene:LPERR08G12950 transcript:LPERR08G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKGVFSDYAINCRRHATQTSAIQPSRPKSSPPNGKSATSAATQTQTHKKNSSQSPTMLAAAPPLLSPPRRLAVAAKPLRCHAPTQNPPASATAAAAAHRGLLLRGAGSPVVKRAAPGGGGGWLLWYNSGARVALSTSPDGIRWGPPVEPDPIAPSSDWWAFDTAAVLPSDALLFSSGVCWLYYSGSTDHRFRESSSTADDVPVLPGLAISQDGRHWARIEGDHHTGALLGVDDDDGEDPRRGGGWETRCVAAPKVVLHAEGDMRMYYHSFDEMSQRHAIGLARSKDGVRWRKSGKVFEGGKAGSFDECGVRQGHVVRDRAAGRYVMVYEGVDADGRVSIGMAVSEDGLKGWRRSSELPVLRPSDEDEEWDGVGVGSPCLVQMDGAYDWRLYYMGVGRDGEAAIGMAYSEGAGLHKFEKCDAVLM >LPERR08G12960.1 pep chromosome:Lperr_V1.4:8:13979434:13980996:-1 gene:LPERR08G12960 transcript:LPERR08G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNSTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHGHSNNPPASTSPCFEVLIAWQSAKIDLESPTSTLTFTGSNASMMPFSMTTGLELSESNSHAWQQRNDELEGEESEWKFISKQQLQGLDSKETEEHFIDCEESWFPGTSNIGPGFTGMLLDGSNVHDTSECWGESNNGQDEQRSQASGDAENKNYWNGIFSMVNSEQPPQPSPLL >LPERR08G12970.1 pep chromosome:Lperr_V1.4:8:13986787:13988615:-1 gene:LPERR08G12970 transcript:LPERR08G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEKQQRLSSLPAGFRFFPTDEELVTYYLARKAMDATFTSAAIRDVDLYSSDPWHLPCDWSAASTGGEDGGGGDECYFFCTRSSKYPSGGRARRSTAGGYWKSTGKDKAVYGGGGVVIGTKKTLVFYGGRAPRGEKTRWSEWVICRVFMKKPPSDNYTMLEMEQEEETTTAPLEHTQNQSHLLPPPPPAKSSSPPWQTSSRSGEEDGDGMARRREESPVVSSRCASPSYWPLNIGSSSTDDLPELTDFGEIYSGMITGGGTNSVCSLFPDEPYCWNF >LPERR08G12980.1 pep chromosome:Lperr_V1.4:8:13991200:13992691:-1 gene:LPERR08G12980 transcript:LPERR08G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAPAAKAKRRRGVLNLEAQFAFFRSQHRHPVNAAAHALLTWPILFTNLLALQFLPSPLDPALALALAYAAAYLAVDRRAGALAGALFLAGWAASRAIAARVGFGVAWRWVVATQKRGPAVSDLPEVFLMEPFLILLQILNKQFGYEPYPGFMKNVDKMEADLREESRGLKQSKVA >LPERR08G12990.1 pep chromosome:Lperr_V1.4:8:13999003:14004449:1 gene:LPERR08G12990 transcript:LPERR08G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDITIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPDHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKVKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSDPSAQVQAAVQAPGVGTPGVAWQNTAPTTSFYANTAAATPVGQVPAWNPNMQAGAFGSASSAYSTQPMMPGSVPHYPGIGSSSSAPPVSFQALQQMPQYGAPPAPRPHAPPAVQPMYFPK >LPERR08G13000.1 pep chromosome:Lperr_V1.4:8:14004797:14006313:1 gene:LPERR08G13000 transcript:LPERR08G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSSASLKSNFLGQSSSRLARASSSTRRDVKVEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGIINAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFENLLQHLSDPWHNTIIQTLSG >LPERR08G13010.1 pep chromosome:Lperr_V1.4:8:14008679:14013554:1 gene:LPERR08G13010 transcript:LPERR08G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVVGTRGAGRPLMRLITMSGAPILQQLHLEERLLRCTGDNWCIINDGTAPATIVMGVSGKVSELVEIQPVLQDKVPVIRRFSGGGTVIVDQGTVFVTFICSKTAVAGLQPFPRDIMSWSGQLYGKVFDSFGEFQLRENDYAFGHRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKNPKRAPDYRQARNHTDFLCRMKEYMPSRSVFTEGLISSLRDQFTVQPTELETVVSDDTGFKPSTKLLSLQDLEDIINTKGSHTVQEVQA >LPERR08G13020.1 pep chromosome:Lperr_V1.4:8:14027342:14029720:-1 gene:LPERR08G13020 transcript:LPERR08G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIDGGCGGAGYNYNNGGNGGEHQQESSSSGQTSPRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSEEEEERLMAAHRYYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDSSSSSTVALAAGDGHLVAGDPYAAAAAAAFSFRHYCGFPFPAIAAGDEAHFGCLFPGPAAAGRGVAGGMTWPDAMAAGGGGGGLIDGGGGRYVVAEPPPFLFPAAAAHGGAAGWLNHGHEMMMVNGGDVIVSGAGVPYVDGIGRDQNGGGGGSHFEAAASPAFIDFLGVGAT >LPERR08G13030.1 pep chromosome:Lperr_V1.4:8:14077463:14081160:1 gene:LPERR08G13030 transcript:LPERR08G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQQQQHAGEARTRLRWTGQLHERFVLAVAELGGADRATPKSVMTAMAVPGLTLYHLKSHLQKYRQAVSRGGGGSNDRSSSSERQPADYNDDGDVTADELHAIAYDDDGGDADSSSRSMVQMQKEVQRKLQEQIEVQKHLQLRMEAQGRYLQSVLRRAQEVLADHSLAASSPESAKAELSDLASAVELECMSSSSPPPPRRHRHSAADSCVTTSSSEAESKVAGAGSKRLYTSDCTVEQPVQGKRAFNFLQSHHKEEDEDEEEEYAGAEDGSSSEIDLNR >LPERR08G13040.1 pep chromosome:Lperr_V1.4:8:14079513:14079892:-1 gene:LPERR08G13040 transcript:LPERR08G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDFLRPAKHRLKLPLHLFLHLHHAPRRRISIATVVVVSNGMQLVGGDIAVIVVVGRLALRRG >LPERR08G13050.1 pep chromosome:Lperr_V1.4:8:14085098:14091333:-1 gene:LPERR08G13050 transcript:LPERR08G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGGVAEEAARMWGDLPVRVDWAAVAAQWEGFVAAARAAVVVPAVRVILAVSLAMTVMILAEKVFVAAVCVAVRAFRLKPDRRYKWRPIGAAADGDDEESAMAAAGVFPMVLVQIPMFNEREVYKLSIGAACSLEWPSDRVVIQVLDDSTDLVVKDMVQKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRAMLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILQNKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFAIPLSVWLPEIEIPLWGVVYIPTLITLLKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGLLEAGRVNEWVVTEKLGDANKTKPVTNGSDTVKVIDVELTTPLVPKLKKRRTRFWDRYHYAEIFVGICIILSGFYDVFYAKKGYYIFLFIQGIAFLIVGFDYVGVCPP >LPERR08G13060.1 pep chromosome:Lperr_V1.4:8:14104481:14114572:-1 gene:LPERR08G13060 transcript:LPERR08G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFFGSTDSAGFRGVYFWPIFPDLFFAAQSSDIRKWFMKAQDKNAAAATGGAAKKPVLSIPEKPAPSLASCDQDCSARRKTSKYFASKAEKDEDASAGKGTGRGLSKRKLQKGSDDLEDDMKPLPATELHKEEEEDGDDDFVAPSKRKTPVKPPPSKKLKSASNAEAHGKTVGLDDDSEDKMDEDAKTPSKAAGRGRGRGRGRGGRGAEAAHRKTIGLDDDGEEGRMDEDVKTPSKAAGRGRGGAAGGRGRGGGGRGFMNFGERKDPPHKGEKEIPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMILKSKPAKATAKHQSNNNSEKQQKSPIKSSPVKVERRDGNQITTGKNISPKSNKESASIDNQKVKIVDRGSLQWTEKYRPKVPNDIVGNQSMVSSAFASSGHVKQLHDWLKSWDDQFLRSGQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVNASDSRGKADSKIEKGVGGSTSNSIKELINNATLNYSDNRSKRPKAVLVMDEVDGMSAGDRGGVADLIASIKISMIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLSSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQGERNFNRFGGWLGKYSTTNKNRRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMAKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLINIPGMKKPLKKRVAAILEPVGEGLPEENGVASAEGDEEDSSDVENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGSNSKKTPASRSKASGSSGKAAGGSGGKRKRVCLLIAADAQREVVQGISGLLLGLDWYFM >LPERR08G13070.1 pep chromosome:Lperr_V1.4:8:14117620:14120705:-1 gene:LPERR08G13070 transcript:LPERR08G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVQRCSNAELVGGGPSRIGVVDKFMGEFQSTQSHLTEPDLGNESEPEFKGMSNGKLMLNSGAGMDPSEGRSEGQILGAAIDESKVPIVEKKKKTKMVRYTQEQISYCIANPKELSDLENYPKLTEGLSKEFLAEMPPELLARMDNNAEERKARWKKLKDDLHHEREVIYGIPDKVEDVLKQYYSKGYAEIH >LPERR08G13080.1 pep chromosome:Lperr_V1.4:8:14122890:14123547:-1 gene:LPERR08G13080 transcript:LPERR08G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDPILDGGKEEGENDGYLPGDGQIDAGLLARLRLWLDEGGQGSGAAAWVGRFLWVVVDGDGGLGNNGFCSALSPPSRACVDPVVG >LPERR08G13090.1 pep chromosome:Lperr_V1.4:8:14123233:14126408:1 gene:LPERR08G13090 transcript:LPERR08G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTISSVGAQAGLISKPRNHGLTSYSGLKATSSISFESGSSFLGRSATLRASVAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTRPSAAFTDEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTYVQSELTELPFFASRVKIGKNGVESIISADLEGLTEYEAKALEALKSELKASIEKGIEFVHKQQAATASV >LPERR08G13100.1 pep chromosome:Lperr_V1.4:8:14127126:14128868:-1 gene:LPERR08G13100 transcript:LPERR08G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARLGLALLVLALGVVGRSSAQDYDFFFLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKVSDLMGSLRSEWPTLACPSNDGTRFWAHEWEKHGTCAVSAVGDEHGYFAAAIRLRSQLPVLAALRDGGVRPDGGYYTLSQIKGAVSRGVGFEPFVECNRDESGNSQLYQLYFCVDAAGEKFVECPVSPGGRPCGNRIEFPAF >LPERR08G13110.1 pep chromosome:Lperr_V1.4:8:14134194:14136005:-1 gene:LPERR08G13110 transcript:LPERR08G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLARAALRPSPASSSSSTSAAAAAAALLSSTSPLPTPRFLQLHAHLLRTGVLPTTTSSASASAFLSLAAASLPSRHALAALLHHLTPETLPTTFRLNAILRSSLRRDERDALRLLRRARALGRRGNAFTLSIVLNHCRAILHTRQLHANVLVEGHSPDALLATSLVRSYEACGDGDAARRVFDEMPVKDSVAWNVLITCYVKNRRTKDALKLFGEMRDGENGVEPDDVTCILLLQACTSLGALDFGEQVWEYAVDHGYGDELKVRNSLITMYTKCGCMEKAYQVFTGTPNKSVVTWSAMISGLAANGFGKDAICAFEEMGRSGIAPDEQTFTGVLSACSHSGLVDEGFKFFDAMRHEYQLMPNVHHYGCMVDLMGRAGLLDRAYELVVKDMRVTPDATIWRTLLGACRIHGHTDLGERVINHLIELKAQQAGDYVLLLNTYAAVEDWEKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADDSHPRQAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYNRLVIVRDRTRFHHFKGFQCSCNDYW >LPERR08G13120.1 pep chromosome:Lperr_V1.4:8:14137402:14141106:1 gene:LPERR08G13120 transcript:LPERR08G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRLLDAAVAEAVLESCRVLLRGSGFQFQDDWATVISGMLHNGRAEEGMYAWIAANYALGTLGDDSKDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDVTYNLYSHSFLHLGQNVAYESLHEMLSSPGLKSMATHLLSQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAARTLLQKGREECIYHECRMGAAFVPDLDGKFLATENFYHTSKFFGLRSKSFLSDLMLAGEKFCHGDWSKIKKEYRSFNDGELLLFCFSSAYILALLHDTLKVPLDDKRIDVVNQIGGMPVDWALGAFVVHKASNLTDMLRPEGVVSPEDDIRHGERPVHHNQGEAMSLARRRWDLVEFLRWGASDRVCKFS >LPERR08G13120.2 pep chromosome:Lperr_V1.4:8:14137402:14141106:1 gene:LPERR08G13120 transcript:LPERR08G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRLLDAAVAEAVLESCRVLLRGSGFQFQDDWATEGMYAWIAANYALGTLGDDSKDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDVTYNLYSHSFLHLGQNVAYESLHEMLSSPGLKSMATHLLSQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAARTLLQKGREECIYHECRMGAAFVPDLDGKFLATENFYHTSKFFGLRSKSFLSDLMLAGEKFCHGDWSKIKKEYRSFNDGELLLFCFSSAYILALLHDTLKVPLDDKRIDVVNQIGGMPVDWALGAFVVHKASNLTDMLRPEGVVSPEDDIRHGERPVHHNQGEAMSLARRRWDLVEFLRWGASDRVCKFS >LPERR08G13120.3 pep chromosome:Lperr_V1.4:8:14137402:14141104:1 gene:LPERR08G13120 transcript:LPERR08G13120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRLLDAAVAEAVLESCRVLLRGSGFQFQDDWATEGMYAWIAANYALGTLGDDSKDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDVTYNLYSHSFLHLGQNVAYESLHEMLSSPGLKSMATHLLSQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAARTLLQKGREECIYHECRMGAAFVPDLDGKFLATENFYHTSKFFGLRSKSFLSDLMLAGEKFCHGDWSKIKKEYRSFNDGELLLFCFSSAYILALLHDTLKVPLDDKRIDVVNQIGGMPVDWALGAFVVHKASNLTEYSDSSVPYINNYDYSGLIPLLFITAVVLFTACSVLKGWSRLKTIYDMEKGRYIITRVRR >LPERR08G13130.1 pep chromosome:Lperr_V1.4:8:14143020:14145293:1 gene:LPERR08G13130 transcript:LPERR08G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMLNVNNLVIPESAEATEVAMNLPSGGVSLPPLSTSQEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRVCVQRWCDEKGDLTCEICHEYVLNSSFFGVYSGGWTITGAAFDLRDPRIIAMAQNHIMEADYDDYSVTNASSAAFCRSAALILFLLRVAGFLLPFYIMAWAINILQGRRRRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHERIP >LPERR08G13140.1 pep chromosome:Lperr_V1.4:8:14146198:14150800:1 gene:LPERR08G13140 transcript:LPERR08G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQTSAAAPLCANRCGFFGSAATKNLCSKCYRDHLKDSSSSSSSASSPATATAAAAPADVTSKIAVKKEEENSKELCANGCGFFGSKETNSLCSNSKCYRDHLKSSSMPLPPAIASAPVDVAVTATSLKGKEEAAAASTQDVAASLSSAAPPPAKPTRCVSCKKKVGLLGFECRCGGMFCSVHRYADKHACTHDFKKTDREKIAKENPLAIDRADDDSGADSAVVISISDQLVAAAANMAEQQHAAAAGGPALCANGCGFFGSAATKNLCSKCYRDHLKAASPSLPAIATVPDVAYEIKSAAAVTVTPSTSLTATTTQDGAAPAPPAKPNRCASCKKNVGLLGFECRCGGMFCSVHRYADKHACTHDFEKADREKIAKENPLVVASKINKF >LPERR08G13150.1 pep chromosome:Lperr_V1.4:8:14160186:14160698:-1 gene:LPERR08G13150 transcript:LPERR08G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPHRSEGVIQKKNHTSHFSLYHKIKSLQEENLVTDFMSAKQTGLAAAAAGGGATPCANSCGLFGTSRTENLCARCYRDHLNAIDAAAESEKARALLASLTGSYLGGGAAAETAAANCRCSSCQRKVGNLGFACRCGGTFCSEHRHGDAHGCGFAVSRARGRSNHANW >LPERR08G13160.1 pep chromosome:Lperr_V1.4:8:14160944:14163984:1 gene:LPERR08G13160 transcript:LPERR08G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPSSLLFRLRPIPCGGGGSGLLRVESKRRLRQFFSPRNGCRAAVVEQLVLRPPANFRRRRGDSGFVCFCSFDDRNEQPELPPPDNTEISDEWPVLRRWDVPWEWPTISLTMVACAISFLLSGMVEQAVLEYIGFQAGEATIDEKAEVLFFGQFSVTAVVLGVIFGITNTYRPFSNDIFRYDLKEPFKLENGWLLWAAIGLFGAIIFIALAGAAMSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASLFAIAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTFLQLQGYNIKELLSAS >LPERR08G13160.2 pep chromosome:Lperr_V1.4:8:14160944:14163984:1 gene:LPERR08G13160 transcript:LPERR08G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPSSLLFRLRPIPCGGGGSGLLRVESKRRLRQFFSPRNGCRAAVVEQLVLRPPANFRRRRGDSGFVCFCSFDDRNEQPELPPPDNTEISDEWPVLRRWDVPWEWPTISLTMVACAISFLLSGMVEQAVLEYIGFQAGEATIDEKAEVLFFGQFSVTAVVLGVIFGITNTYRPFSNDIFRYDLKEPFKLENGWLLWAAIGLFGAIIFIALAGAAMSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASLFAIAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTFLQLQGYNIKELLSAS >LPERR08G13170.1 pep chromosome:Lperr_V1.4:8:14168993:14171003:1 gene:LPERR08G13170 transcript:LPERR08G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDINMDKSDEVLLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKLIEKNIPLNDSWTICRIFKKTSSMAQRALCQAWGPHLPGAIDPDIFSTLQSVQASQLALESSSCSLQAAAPAAQITSKYALQGNQQQQQHKPSNPQDGSSCKVINFNCSQPADVQIGQIILPFETQTSQKTAGAAPLLFDAQFGQPDQIGRFVVDSSVNVNGGSISNKNQDSAARKPGNGFSMNSEWEGVGRINFPFDLGADSLDDWRSNIPWESFLSPTVHAEIPH >LPERR08G13180.1 pep chromosome:Lperr_V1.4:8:14171987:14172391:-1 gene:LPERR08G13180 transcript:LPERR08G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >LPERR08G13190.1 pep chromosome:Lperr_V1.4:8:14174505:14174852:-1 gene:LPERR08G13190 transcript:LPERR08G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPVATVTLQDNPCFLGRGAEDCQEGAENTENQRQDSC >LPERR08G13210.1 pep chromosome:Lperr_V1.4:8:14253736:14254281:-1 gene:LPERR08G13210 transcript:LPERR08G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDRPASPLPAPPPPPPPPSVLLPPGGGGGGAYLPSATHMLLSLNTTAAAAAAAAMPPPPSRKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDECCREIGVGKGVFKVWMHNNKHNFLAGHSARRSSSSSYSAAAGGAASPLAPPPVLTADFSINGAGGDHHGTAASGGCSPQSS >LPERR08G13220.1 pep chromosome:Lperr_V1.4:8:14258836:14263905:1 gene:LPERR08G13220 transcript:LPERR08G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKKKKKNEHTGMYLSRGSRRRCLALTRRLLIDLSSRRRLFILHADAGKSSTKRQLKKKSRFHEALNLAGFLRCSHALVSWMAAERKTQPSPATATAPPPSPAEHRRLLRYVAFVALSLLAFSCWALVSSRIDAAVLAATGAGEDDAIGIRISSSQAAMPASGGGNATVSPSAMMTRQHPSGETAACDAESAQLRVFVYDLPPEFHFGMLGWRGAATWPDVGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTSSAVAGDLRRPCTAVRVTNASRADVFLVPFFASLSYNRQSKPHGGHGELVKYLVRKEEWRRWGGTNHLLVPHHPNSMMDARRRLSAAMFVLSDFGRYTPKVANLRKDVIAPYKHVVPSLGDGDSPRFEQRPVLAYFQGAIHRKDGGRVRQKLYNLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSEFCVFVRASDAVKKGFLLRLLRGITHEEWTVMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHSVKLQLHKRGRYHRTLSES >LPERR08G13230.1 pep chromosome:Lperr_V1.4:8:14263346:14265444:-1 gene:LPERR08G13230 transcript:LPERR08G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIGAGVRERARGRACGARSGPLQRRRMSRSGGRGVGGGGGGREERSWRRALGLLRRRRRRGMKTTTTTSHQQKVYGLKGIRLTLRREGDEVPEKKPRILAESNAANLNNKGYQAIPSSGDPNRMSERRVRRGSDPIHNRC >LPERR08G13240.1 pep chromosome:Lperr_V1.4:8:14267292:14271134:-1 gene:LPERR08G13240 transcript:LPERR08G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKSSGGFCSTQHQWLHSAGDRILSSSSHSNPKGCSCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDVYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNNDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPDEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHDECRKRMLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQDLLQKSGATDASGNVIFSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >LPERR08G13250.1 pep chromosome:Lperr_V1.4:8:14271157:14272576:-1 gene:LPERR08G13250 transcript:LPERR08G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPPASLALPPTGPHSPSSPAFSFLLLSLPPPFSAPLHSFSATARSRVESPSPSPSPSPSPSQAPNRPRRSSVTIGRLPH >LPERR08G13260.1 pep chromosome:Lperr_V1.4:8:14276615:14305584:1 gene:LPERR08G13260 transcript:LPERR08G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKPPPAPATPSPAGEVSEVELSEAGSPDVGSQSSGSGGSGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLTVEELGRQIMNNGEFYYVLRFYNRLDQTKKGEIACATPGEARKWVEAFEQAKQQADYDLMTRGVGWNRSQNENELNLDGHRPRVRRYAHGLGKLVRIGKGPEKLLRQSSDLQSLEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANAKGGKGVLLKSVGVVGANPDTVFEVVLSLDKHKRCEWDMLTADLELVETIDGYYDVVYGTYEPKYLNWWKTKKDFVFSRQWIRGQDGAYTILQTPASHMKKPTRQGYERTKVNSSTWELRRLNPPGSSTPKCLVTHMLEMTPSFWDRWKRRHSANFDRSIAFALLSQVAGLREYFAANPALTSDSPSTVLKPKASDSLIIHSELEDSEPNDEFYDALARGESFEDEDSDDDEEDDVTPKTGKVKFKNVSWAIAGLALKPTKVILDMSQDNSLRRKRSALVEKSELVTNSTPVIIDSNQFHGTLEQAKSEDHSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVRI >LPERR08G13260.2 pep chromosome:Lperr_V1.4:8:14276615:14305584:1 gene:LPERR08G13260 transcript:LPERR08G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKPPPAPATPSPAGEVSEVELSEAGSPDVGSQSSGSGGSGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLTVEELGRQIMNNGEFYYVLRFYNRLDQTKKGEIACATPGEARKWVEAFEQAKQQADYDLMTRGVGWNRSQNENELNLDGHRPRVRRYAHGLGKLVRIGKGPEKLLRQSSDLQSLEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANAKGGKGVLLKSVGVVGANPDTVFEVVLSLDKHKRCEWDMLTADLELVETIDGYYDVVYGTYEPKYLNWWKTKKDFVFSRQWIRGQDGAYTILQTPASHMKKPTRQGYERTKVNSSTWELRRLNPPGSSTPKCLVTHMLEMTPSFWDRWKRRHSANFDRSIAFALLSQVAGLREYFAANPALTSDSPSTVLKPKASDSLIIHSELEDSEPNDEFYDALARGESFEDEDSDDDEEDDVTPKTGKVKFKNVSWAIAGLALKPTKVILDMSQDNSLRRKRSALVEKSELVTNSTPVIIDSNQFHGTLEQAKSEDHSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVRI >LPERR08G13260.3 pep chromosome:Lperr_V1.4:8:14276615:14289513:1 gene:LPERR08G13260 transcript:LPERR08G13260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKPPPAPATPSPAGEVSEVELSEAGSPDVGSQSSGSGGSGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIVGFRSLLPPLLPLAAFRLWIACACVVEFYYVLRFYNRLDQTKKGEKGDNQHLYFQCGLGKLLNLLVLLQLFVSSIFLRTNVLRGVCPEKLLRQSSDLQSLEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANAKGGKGVLLKSVGVVGANPDTVFEVVLSLDKHKRCEWDMLTADLELVETIDGYYDVALKAMQEALSKQDSTIESLHLELDSGPAMMAIERLSVAALKAMQEARLLESLCLELDSGLAMMSASIERLAAVRSVGVLLVRDTKVHSSLAKRLEKVPEAVEEMAKKSSRVLVRRVPGEEAGEGARDGGDGQEVIKGPGAASCEPDTGELPGVCEQALTHFPRPVAPEGRVGVAAGAEVDVATAMQEALSKQDSTIELRRLELDYGLAMMFTSIVRLSAAVRLVEVLLVRDTKVHSWLAKRMEKVPEAVDEMAKKSRDLARKPSKRDSTIESLRLELDSGPAMMSASIERLPVVVRSVRVLQVWDTKVHSSLAWRLEKVPKAVEIAKKWSQDLPRRAANRILALEAMQEALNKRDLTVESLRLELDSGPAMISASIERLSTAVRSVGVLLVWDTNVHSSLARRLEKVPEAVEEMAKKLSRDFVWRVANRILAVEEMAKKSRDLAWHAANRILVSYRACGSRPCPTSPATTCLEDVPRGRVEVAAGAEGDVATAQALEATQEALSKRDSTIESLRLEFDSGPVLMSASIERLSAAVHSSLARRMEKVPESVEMAKKSRVLARRAANQILAIDEMQEAPRLELDSGPTMMLASIVHLSAAVRSVEVILVRDTKVHSRLAKRMEKVPEAVDEMAKKSSRDLARRLARARGSRLPNFPRPDAPGGHVEVAVGAEGDVATAQRLNAMQEALSKQDSTIESLRLELDSGLAMMSTSIERLPVVVRSAGVLLVWDTKVHSSMGRRLEKVPESVEEMAKKARDLSRRAANRILVSYRARGSRPRPTSPARWTRGGRREGRAGRGDGVGSRGDAGSPEQAGFDHRVPTPRARLRPSDDVSLHRALVGGGSPEQAGFDHRVPTLSDSGPAMMSSSIERLSAAVRSVRVLLVQDTKVHSRLAKRLEKVPDAVDEMAKKSSRDLVRRVALEAMREALSKRDSTIESLRLELSSGPAMMSASIERLSAAVRSVGVLLVQDTEIHLSVAKKLEKTLPHFSRPDAPGGRVEVAAGVEGDVATVRALKAMQEALSKRDSTIESLRLELDSGSAMISASIE >LPERR08G13260.4 pep chromosome:Lperr_V1.4:8:14297486:14305584:1 gene:LPERR08G13260 transcript:LPERR08G13260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTRQGYERTKVNSSTWELRRLNPPGSSTPKCLVTHMLEMTPSFWDRWKRRHSANFDRSIAFALLSQVAGLREYFAANPALTSDSPSTVLKPKASDSLIIHSELEDSEPNDEFYDALARGESFEDEDSDDDEEDDVTPKTGKVKFKNVSWAIAGLALKPTKVILDMSQDNSLRRKRSALVEKSELVTNSTPVIIDSNQFHGTLEQAKSEDHSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVRI >LPERR08G13270.1 pep chromosome:Lperr_V1.4:8:14305588:14310643:1 gene:LPERR08G13270 transcript:LPERR08G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGPTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDIRILMLAWKMGCEKQGYFTLDEWRSGLKALRADSISKLKKAFPELVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKIKHVAALMQFMVLDLVPFYLLLYLDRNRKHWGL >LPERR08G13270.2 pep chromosome:Lperr_V1.4:8:14305588:14311392:1 gene:LPERR08G13270 transcript:LPERR08G13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGPTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDIRILMLAWKMGCEKQGYFTLDEWRSGLKALRADSISKLKKAFPELVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKSFQHWGL >LPERR08G13270.3 pep chromosome:Lperr_V1.4:8:14305588:14310643:1 gene:LPERR08G13270 transcript:LPERR08G13270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGPTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDIRILMLAWKMGCEKQGYFTLDEWRSGLKALRADSISKLKKAFPELVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKIKHVAALMQFMVLDLVPFYLLLYLDRNRKHWGL >LPERR08G13270.4 pep chromosome:Lperr_V1.4:8:14305588:14311392:1 gene:LPERR08G13270 transcript:LPERR08G13270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGPTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDIRILMLAWKMGCEKQGYFTLDEWRSGLKALRADSISKLKKAFPELVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKSFQHWGL >LPERR08G13280.1 pep chromosome:Lperr_V1.4:8:14312152:14312622:-1 gene:LPERR08G13280 transcript:LPERR08G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPPPPSTTAPKPSTTTISDLNEDLLLQILLRLPSLTNLVCTAFTCRPWLTAIASSSEFRPRFRALHPEPLLGHFANADTPEPPLPTPTPSSPPFSPQGRWGASPAAANRGRMRFFWACRERRVEKRGKWEGSTWKVEKSPNKLLPRDYTKKSL >LPERR08G13290.1 pep chromosome:Lperr_V1.4:8:14317099:14317914:1 gene:LPERR08G13290 transcript:LPERR08G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAGDLSDAAYRKTHARRPPGSRPVLLSRREHVAMRGDAAGGGGRGHRSWVTVRGKERQISVDLVSRGRGKGRDREKDRADVGLSISIDGERVLHVRRLRWKFRGSERVDLGGGDNVQLSWDLHNWLFPQREAPPPDAAAAASAHAVFVFRFELGGVDDEEREAAAEHAKAALPEKATRRDAAGILRGYFARWGQKDWSETGSNGERRRKKGRGGHRRLAKASSSSSASVASSTASWASGSTVIDWASPEEAEMQRGDGLSLLIYAWKS >LPERR08G13300.1 pep chromosome:Lperr_V1.4:8:14320015:14322628:1 gene:LPERR08G13300 transcript:LPERR08G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLHLRGQVAGLSRHCSGEPARLLPTRPYISDMRRSAFSDRLLRALRSEISCRGDPTPLPPRSTAPFAVDDRPGNRWSRLRPAFPSAEGEEEVRVDATMVDGATFPTRFGAGPDGDGDERPPRMHISVHVEVSRAARPDMALAFECAAWPDEMEVERVYPLRRGMPAPAHRYMGRPFRELDEEMQSAVHDYLEHRGVNDELAAYLHSYMENKEQTELVRWLKNVECIIKK >LPERR08G13310.1 pep chromosome:Lperr_V1.4:8:14322285:14325345:-1 gene:LPERR08G13310 transcript:LPERR08G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVDEGADSSDQALLKEQAAINRSTGQMDNVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >LPERR08G13320.1 pep chromosome:Lperr_V1.4:8:14329029:14330375:1 gene:LPERR08G13320 transcript:LPERR08G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRNLDLERYMGRWYEIACFPSRFQPKDGTNTRATYTLAGGDGTVKVLNETWTDGRRGHIEGTAYRADPSSDEAKLKVKFYVPPFLPIIPVVGDYWVLHIDDDYRYALVGQPSLHYLWILCREPRMDDEVYSQLVERAKEEGYDVSKLKKTAHPDPPPESEQTAGDRGVWWIKSLFGK >LPERR08G13330.1 pep chromosome:Lperr_V1.4:8:14334598:14335742:-1 gene:LPERR08G13330 transcript:LPERR08G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLPRPRRRVASHAAAHLEVCRSDAPVGDGGLQIDSAVRQGCVRSDVAAEGKGFGTHITGSSLRAGGRMRPSGRVRRTWWLLGKELRPVAKGVCFDDLEFVPDSDDEGAGNEFNSQGCDDEFVPETQQDVPIEEIGIGAMLHDWRRVISEYEKPSIDMATIEKAWADQKNVIVDAEMNYEGNPNIKVNTSTEKKACADEGKSISDAETDDEGIGVPEKKACVNKGKSISDAETDDEGVSLPKKKACITERKSISNAETDDEGVGVAGNLQMKDLHDVMFPTYTQVWKRRRI >LPERR08G13340.1 pep chromosome:Lperr_V1.4:8:14338327:14344128:1 gene:LPERR08G13340 transcript:LPERR08G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRAAATAAGARQVTRRNFAEALRDLAAHVEACDYVAIAAQKTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAVCPFRIDDAAPSSSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSSMAHRGFDFNMCIYDGISYLSRVQESLARQNIFIPHIRQLSPSPSTSIADSVFVSRIKSGIDYWQKGLKKTSKKADGSLVSTLRELILGGETYGSRPNIRIDVCSDRQVQLVLEAVNHISDDLVPLVVPDKAGAARSVRVIFTSSMEDKNHLLMDIKRSEDEHNLKFRGFREVIDLLSTSQKPIISYSCLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNIIDISHMWREIGPLRKAKNIQAALSYIQRQYFVPMDVEVPQQDGNNSVTKSGENVLRIMKLFAKLSNLLKISPNGQSHYGEKCHTVEEYSYIFYPDCKAEESEDAKCSNESETTRPVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELKLLDKSCSALIFNSSDTAIELLRAINSQSPSLNNFFSEGLKAAGFDVYRKICRLGLWDSDLAEALECVSSESSTTMLSGNGTDEIYWNTSLMLDLNEYLEC >LPERR08G13350.1 pep chromosome:Lperr_V1.4:8:14345742:14346623:1 gene:LPERR08G13350 transcript:LPERR08G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSPPASVEKSPEDGGVEIREVWAWNLEAEIAAISDEVDRYRFVAMDTEFPGTVCRPLAIFPTSDELNYATLVANVNLLKLIQIGLTLSDERGELPRRGTDGRRCIWQFNFCGFSPRNDPHNSDSIQLLRASGIDFDRFADEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDTMPAFFDLIRIYFPVLYDIKHLMKFCSNLHGGLSKLGELLAVKRVGISHQAGSDSLLTLECYNKMKEAYFKGSTDKHAGVLYGLLLEDGLNRPSSSKPNQ >LPERR08G13360.1 pep chromosome:Lperr_V1.4:8:14352132:14355663:-1 gene:LPERR08G13360 transcript:LPERR08G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLALAVAVLLGASIYGVDRGAEAASAAAVEGLEVTYGSTVKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDANSYWIVRPAPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGSGKLWKRDQKVRLRHIDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPVNESK >LPERR08G13370.1 pep chromosome:Lperr_V1.4:8:14363885:14371389:1 gene:LPERR08G13370 transcript:LPERR08G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGTGVFAEILDGEVYRYYADGEWRASASGKSVAIVNPTTRQTQYRVQACTQEEVNKVMESAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYRFYSERALAYLMINSGQRCTAVKVVLIMESVADTVVEKVKAKLAKLKVGTPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >LPERR08G13370.2 pep chromosome:Lperr_V1.4:8:14363658:14371389:1 gene:LPERR08G13370 transcript:LPERR08G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGTGVFAEILDGEVYRYYADGEWRASASGKSVAIVNPTTRQTQYRVQACTQEEVNKVMESAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYRFYSERALAYLMINSGQRCTAVKVVLIMESVADTVVEKVKAKLAKLKVGTPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >LPERR08G13380.1 pep chromosome:Lperr_V1.4:8:14368785:14372515:-1 gene:LPERR08G13380 transcript:LPERR08G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDYGFRQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKAWTSVLISATSYALGIFLISKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVFQKEIETSSILRKAIVYGYGPIRPWMSIAHWLIWHFDLKKFRPNELSRVKISLASVFAFMAIGWPLIILKSGIAGWFKFWFMPWVMSTFTMVHHTAPHIPFKRSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSLKQNWGKYINEASWNWRLMKTIMTKCHVYDKDRYYAPFDEVVPEDSQPIKFLKKVMPDYA >LPERR08G13390.1 pep chromosome:Lperr_V1.4:8:14380778:14382124:1 gene:LPERR08G13390 transcript:LPERR08G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEAGKKGGGGGVVLQGRYEMGRVLGHGNFGRVHVARDLRTGKSVAVKVLAKEKVVRAGMMEQMKREIAVMKRVTHPNIVELHEVMATRSKIYLALELVRGGELFARIVRCGRVAEDVARRYFAQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAMADIWSCGVILYVLLAGSLPFPDDNIVTMYRKAQRGDYRCPPWMSTDARRLVPRLLDPNPATRITVAQIVDSPWFRKTSISRQPPPPPPTAPAAEKEKDEPETLNAFHLISLSEGFDLSPLFEGDSGKGRREGGMLFATREPASGVVSRLEELAARSGAGRMMRVTKSGARGVRLEGAERGGAKGRLAVAADIFTVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWAAGADPPAPATAVV >LPERR08G13400.1 pep chromosome:Lperr_V1.4:8:14387379:14387600:1 gene:LPERR08G13400 transcript:LPERR08G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIKMIELKSSWPELVGVLATLAATEITKERPDVAVEVLPPGAPLTPDVNEKRVRLFIDNSGIVAKTPVIG >LPERR08G13410.1 pep chromosome:Lperr_V1.4:8:14395543:14398510:1 gene:LPERR08G13410 transcript:LPERR08G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSPLSTSRSGIDNDTAHLLLFPLPQAKSADKSNPPPPLLMSGDSDHHHQIGEFDGGGSSGEGGGAVMADVLSKARESCYKARDAFYTCVEKHADKKPTEIATMGFIYPADCKKSRANYVKSCRPSWVKHFDRQYCAKKRVQRLLDSGEDRQGPISLPQPYTFKQ >LPERR08G13420.1 pep chromosome:Lperr_V1.4:8:14400168:14405580:-1 gene:LPERR08G13420 transcript:LPERR08G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAASGEGVHCQGHHDPKNAHLKSLDGAGERLILCKADLLDYDAICRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIRAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQSAWEAARQRGVDLVVINPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSASGRYLCAECSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLDAEKAEEAATEKEAAAEVQGGIAIRA >LPERR08G13430.1 pep chromosome:Lperr_V1.4:8:14416147:14418123:1 gene:LPERR08G13430 transcript:LPERR08G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDFYRYLTLVLLLHMCSGLSLDTVREFLTREEDTIVFSLIERAKYPLNTPAYDPAAAAAAAGHLHNASFAEMFVRESEAVQAKAGRYQSLQEIPFSPFRVPFTLAPPFNFTRELYPAAAFVNVNDDIWSMYFNQLLPLLAKNGDDGNYAATVSSDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRNKDKDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQANFEADPSLVYKLYDQWVIPLTKQVEVEYLLHRLD >LPERR08G13440.1 pep chromosome:Lperr_V1.4:8:14418611:14420380:-1 gene:LPERR08G13440 transcript:LPERR08G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARRAPAPIDADDGHGLRHPSPRAEMTIDALRRELWKESIRQEVIAAEIAERRGLEVVFSRDLRPVKDRIEEWTADEEDPSFNRARQDKKVSSGMKRKRCAETFQTNNKKICVQRSCVVRQVNSCNEFYFEEHSAGNRHHSNVAALESRKETIGMKKKAEATLLSVTRYYPPTWNCGICQANCSSELDLENHLRGRRHQENLEALKRKGKEMESELYAKEVLQLAEKNQKFVPRWSCSTCKANCTSASDLKNHFRGRRNRQKMVMLHA >LPERR08G13440.2 pep chromosome:Lperr_V1.4:8:14418611:14419949:-1 gene:LPERR08G13440 transcript:LPERR08G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDALRRELWKESIRQEVIAAEIAERRGLEVVFSRDLRPVKDRIEEWTADEEDPSFNRARQDKKVSSGMKRKRCAETFQTNNKKICVQRSCVVRQVNSCNEFYFEEHSAGNRHHSNVAALESRKETIGMKKKAEATLLSVTRYYPPTWNCGICQANCSSELDLENHLRGRRHQENLEALKRKGKEMESELYAKEVLQLAEKNQKFVPRWSCSTCKANCTSASDLKNHFRGRRNRQKMVMLHA >LPERR08G13450.1 pep chromosome:Lperr_V1.4:8:14421471:14425089:-1 gene:LPERR08G13450 transcript:LPERR08G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMKTEGRKASATFTDGALRPPLVPSEKNIAAPAGRRREVASRFKAAVPPMPAAAASPARRCTSPSLSRASAAEGTASTNRAQSAERRRSSTTSSNSTPTGAGAVSRSRTPVRDATATEVHSISRRATSTKAPDGLWAASRSSSSLLEPESVAMATPAKKSHKLTPGSSSDQTKVQARALVENERKRSPLRGRNIGNQCENARPSETPNKRAVEQHRWPAAMMSGRGSSGLTSRSNGLADTPIRSVTPSNLARGHSPRRIYPSEVTATGVNQPLSGLAKRRLAMHESRKEDKTESGSDVSSHTSESSKSATRPSRTLSSPVPILHRSSSSSKVSSASSSTSTAFQSPLRTRPSAPGRSRCCSTGQSGVAQPVFNYIVDVRKGKKSASQIENIHQLRLLHNRYLQWQFVNARSEDTLSFQKSSVESISYSVWKNILKLRDSVTVRRTDVQLLQQELKLYYILKEQIPYLQHWPALEGENGSTLIGAIEALQASTLRLPVTSGAQADVVEVKNSLSSAVDVMQSLNSSILYQLSKVEGRTSLVSELLDMARQEKVALGTGVQPPYASDATEARSFRMTLESRIEETIRAFGTGTVYIWSIQTILKYHPLGLGSGDNAWRDALQAAANISQRIKKLKDTGVLQPKKTFSSGGWWECLFTHTSHQVLGSRPSYCV >LPERR08G13460.1 pep chromosome:Lperr_V1.4:8:14435030:14442715:1 gene:LPERR08G13460 transcript:LPERR08G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIVEEKISHNDKALEILHEVLQQKELENSYLRNQLLVYKHKLLDVGVDDCDIADETITNNIPLFESKAVENLCRNIKRNFSLPTLQLNKLFAEKDADKNVEAVQSAKSRLGGHICNSSEKELKHSSGDANDFNALEVVGTEEHGEEPNLPSSGLSEQSHPLEGSSSSLLFSVSSNHKDICSERVIQVGENAEDTRHGDQPKQSHSYVETEEVAGHPLEESSTGSSFSTPSNQRDTSSERAIQVGENEEDVMHGDQLKESHSYTEMKEVVVHPVSNIVDTPKIEERRQGAEESSCTGNEIITKEPELSPKAILKERRPHALSKLSATRKVGSMNNLYRNVHNLITEKSSTPRAKISTPRAGVERTRSRLKRVQSEKMIELNDHRKSKEQIIMLKEVYEQLDMIESHMRPSTSQETPRNDQYLDSVLEAALSFSI >LPERR08G13460.2 pep chromosome:Lperr_V1.4:8:14435176:14442715:1 gene:LPERR08G13460 transcript:LPERR08G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIVEEKISHNDKALEILHEVLQQKELENSYLRNQLLVYKHKLLDVGVDDCDIADETITNNIPLFESKAVENLCRNIKRNFSLPTLQLNKLFAEKDADKNVEAVQSAKSRLGGHICNSSEKELKHSSGDANDFNALEVVGTEEHGEEPNLPSSGLSEQSHPLEGSSSSLLFSVSSNHKDICSERVIQVGENAEDTRHGDQPKQSHSYVETEEVAGHPLEESSTGSSFSTPSNQRDTSSERAIQVGENEEDVMHGDQLKESHSYTEMKEVVVHPVSNIVDTPKIEERRQGAEESSCTGNEIITKEPELSPKAILKERRPHALSKLSATRKVGSMNNLYRNVHNLITEKSSTPRAKISTPRAGVERTRSRLKRVQSEKMIELNDHRKSKEQIIMLKEVYEQLDMIESHMRPSTSQETPRNDQYLDSVLEAALSFSI >LPERR08G13460.3 pep chromosome:Lperr_V1.4:8:14435003:14437647:1 gene:LPERR08G13460 transcript:LPERR08G13460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMTVPEFAVNPLCQRLLRMLDGLNFKEFVAFLSAFSPRASLQQKIEFIFRVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQKVLIHVLEEAGYTKDSHFTLPDFMKILDNSDMKMEVEVPID >LPERR08G13460.4 pep chromosome:Lperr_V1.4:8:14435176:14437647:1 gene:LPERR08G13460 transcript:LPERR08G13460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMTVPEFAVNPLCQRLLRMLDGLNFKEFVAFLSAFSPRASLQQKIEFIFRVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQKVLIHVLEEAGYTKDSHFTLPDFMKILDNSDMKMEVEVPID >LPERR08G13470.1 pep chromosome:Lperr_V1.4:8:14443525:14446119:-1 gene:LPERR08G13470 transcript:LPERR08G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHLLAAIAPLLPAATTAAIAASSGAARGAAVLAASSSSSASSLASLGSASATMSVYVSDAVLAAIRVSLSAAARRAVLSEAAPSSSPPFPFLVATDPRASAAQFLASAAATGHGEGGRFVPYSPSDTSSASAPPLALLLVATVPRAPAAKLLSSAAATGHGEGGRVVHYSPWDTSSASAGCIFAAHASGWVMDALAPNPAAGLLGCPKPRALETLPSVLVSTAAAQAMMPWLPIPSDAEGVHADHALLIVILPYSSGISATLIIASPCSPSRPASSMISRCFVANKGMVSTRVRSPWLASRIGLCSVSTPNGYCSFSSATNPEITPASAPATAPATSPYILVTAGDLIKHMNQMTFDPEEEMMFKEAFFRRFKTFRYPLEVGDFLRHQNFDHDGWRYILKSIYRKLGPLKDVFDIQIKQDEDCSEKERAYYDENPLISVVDTKLNLMLQRQAVLEESVKQLVKASEKKTSWGSITLFGRTLCYDEGKD >LPERR08G13470.2 pep chromosome:Lperr_V1.4:8:14442933:14446119:-1 gene:LPERR08G13470 transcript:LPERR08G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHLLAAIAPLLPAATTAAIAASSGAARGAAVLAASSSSSASSLASLGSASATMSVYVSDAVLAAIRVSLSAAARRAVLSEAAPSSSPPFPFLVATDPRASAAQFLASAAATGHGEGGRFVPYSPSDTSSASAPPLALLLVATVPRAPAAKLLSSAAATGHGEGGRVVHYSPWDTSSASAGCIFAAHASGWVMDALAPNPAAGLLGCPKPRALETLPSVLVSTAAAQAMMPWLPIPSDAEGVHADHALLIVILPYSSGISATLIIASPCSPSRPASSMISRCFVANKGMVSTRVRSPWLASRIGLCSVSTPNGYCSFSSATNPEITPASAPATAPATSPYILVTAGDLIKHMNQMTFDPEEEMMFKEAFFRRFKTFRYPLEVGDFLRHQNFDHDGWRYILKSIYRKLGPLKDVFDIQIKQDEDCSEKERAYYDENPLISVVDTKLNLMLQRQAVLEESVKQLVKASEKKTSWGSITLFGRTLYLTDRDQIDRGVVAMSGLASSWGYWWVCIKEGQASAATRRKRVGRRESDGDTAIE >LPERR08G13480.1 pep chromosome:Lperr_V1.4:8:14456646:14457547:1 gene:LPERR08G13480 transcript:LPERR08G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAASVVRRPFSSCSTPDWSPMPGGGWRTGRSRTPSAGCWVGALAGPPICRQTMSFGCWEILTMLECVMKLITWRMLL >LPERR08G13490.1 pep chromosome:Lperr_V1.4:8:14463128:14464458:1 gene:LPERR08G13490 transcript:LPERR08G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLRFAGRSLRLPASSSFPRALVQKGQRLSPPVEKLISTRRLYSSDCVAGKTAELINKHTPLDPHTRLLNSGQQQHVMPTKRLRPLDESTLRKLDEINRVYDRMEITLKELELVIKQKNERIYRRRRTLAGGCILAGLGIYLKRRFSGREKDKKE >LPERR08G13490.2 pep chromosome:Lperr_V1.4:8:14463738:14464458:1 gene:LPERR08G13490 transcript:LPERR08G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQHTPLDPHTRLLNSGQQQHVMPTKRLRPLDESTLRKLDEINRVYDRMEITLKELELVIKQKNERIYRRRRTLAGGCILAGLGIYLKRRFSGREKDKKE >LPERR08G13500.1 pep chromosome:Lperr_V1.4:8:14468170:14472240:1 gene:LPERR08G13500 transcript:LPERR08G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRTNESAAASSDDSSSGGGGSGVDQMTRRQKRPRKSSPRDCPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGHETVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIKWHHTCRLSTTADANDSAVLDAADIEDLDQAIAAALNEVDDQPSTPTTHQQTADEDDEFLAQLPPQLRPLMAHAASSSSTSSAIGLLLQSPKFKEIIEQAAASSGISISSSSSSSTDSPSQSPSPPLQQPTALKDDEQYNVDSSLSLPARCSFPDDVQTYFGLDDDCGFGYPEVDTFLFGDLGEYAAPMFQFELDG >LPERR08G13510.1 pep chromosome:Lperr_V1.4:8:14472680:14481121:1 gene:LPERR08G13510 transcript:LPERR08G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPASAPTTSSSSSLSAFGRRRFPHVHRRHRGSGDHHPPHQSEPPAAAASPPRRRRGGGGDHQPPPPHPPAAGAAAESASPRGRRRGGDREPPPPIPPAGEVAAAASVAAGEGDKEAGPRRSFRLRHRVRVVPWVKPPLARKPKGPAKPQLPSIEERVAEWAKGKAGSGATEEECVLPFLQKGAPKKVECLVCSKSISPGDKMRCSVRDCDEKLHKACSEKNDGCCPRHDKMFDSVDLTKKMISWSLWPSTCEDSDPADGIEEAFKRLPLPYTFQEFNIDTIKKEDLDNGTEPPPYTQYCGWGAKALEAIEKDDFVIEFVGEVIDDKTFEERLEDMRRRADKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGRTRLGVFASKAIEVGEPLTYDYRFEQHYGPDIQCFCMAQNCQGKLSVTGKD >LPERR08G13520.1 pep chromosome:Lperr_V1.4:8:14483184:14488218:-1 gene:LPERR08G13520 transcript:LPERR08G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLPLILFFFLLLSSAPFLAFSSEPLNAEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSSRIANLTNLEQVLLQNNNITGWLPPELGSLPRLQTLDLSNNRFSGRVPDTLGHLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPRFPTRTFNIVGNPMICGNSSSSHAGECATVVAPVTVPFPLDSTPSSSNSREAVEGRSKGGGGAAAAAARLPIGVGTSLGASALVLVAVSCFLCRRRRRLTGPSSVLGILEKGRDVEEGGEQAVAMRLGNVRQFGLRELQVATDGFSAKNMIGKGGFGDVYRGKLSDGSVVAVKRLKDPSASGEAQFRTEVEMISLAVHRHLLRLVGFCAAGGERLLVYPFMPNGSVASRLRAKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKMHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPETTVAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >LPERR08G13520.2 pep chromosome:Lperr_V1.4:8:14483184:14488218:-1 gene:LPERR08G13520 transcript:LPERR08G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLPLILFFFLLLSSAPFLAFSSEPLNAEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSSRIANLTNLEQVLLQNNNITGWLPPELGSLPRLQTLDLSNNRFSGRVPDTLGHLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPRFPTRTFKYGLLVHSIVGNPMICGNSSSSHAGECATVVAPVTVPFPLDSTPSSSNSREAVEGRSKGGGGAAAAAARLPIGVGTSLGASALVLVAVSCFLCRRRRRLTGPSSVLGILEKGRDVEEGGEQAVAMRLGNVRQFGLRELQVATDGFSAKNMIGKGGFGDVYRGKLSDGSVVAVKRLKDPSASGEAQFRTEVEMISLAVHRHLLRLVGFCAAGGERLLVYPFMPNGSVASRLRAKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKMHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPETTVAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >LPERR08G13520.3 pep chromosome:Lperr_V1.4:8:14483184:14488218:-1 gene:LPERR08G13520 transcript:LPERR08G13520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLPLILFFFLLLSSAPFLAFSSEPLNAEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSSRIANLTNLEQVLLQNNNITGWLPPELGSLPRLQTLDLSNNRFSGRVPDTLGHLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPRFPTRTFNIVGNPMICGNSSSSHAGECATVVAPVTVPFPLDSTPSSSNSTKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKMHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPETTVAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >LPERR08G13530.1 pep chromosome:Lperr_V1.4:8:14497667:14500851:1 gene:LPERR08G13530 transcript:LPERR08G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETEDCNIGDRVRLDPSRPLSKNKHWVVAEILRRAKVYSPSAAATATASAQPGSTIQQAEVSSKPST >LPERR08G13540.1 pep chromosome:Lperr_V1.4:8:14519969:14525417:1 gene:LPERR08G13540 transcript:LPERR08G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGPTIIGRVIRPYARQDQAAAVKFDRLFRHQEKQQRTRAGTEGEGQNTSPVRSATYTVQDFKSNFWTYMDTYWSATTSSSYIKPEGGVVIMVCAAERIT >LPERR08G13540.2 pep chromosome:Lperr_V1.4:8:14519969:14525417:1 gene:LPERR08G13540 transcript:LPERR08G13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTQHQRQPIPVLHRTLSDNRLESIFCMFDLYLSFCTSSLTSSFSWLASYRIFLRARANGLSRSHRRRSGKNSEGERKN >LPERR08G13550.1 pep chromosome:Lperr_V1.4:8:14526710:14527165:-1 gene:LPERR08G13550 transcript:LPERR08G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYEDSTPLGGQDGLYGVQFAGRSINKDDEAVKTSIMDTSARKPQEGVSTSRRLLIRRLWQQRTPCLRPIHCSLSCDKYPGETIANVVTSLPFIVLGLQTPRQ >LPERR08G13560.1 pep chromosome:Lperr_V1.4:8:14541215:14545940:1 gene:LPERR08G13560 transcript:LPERR08G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMVKLTEQQLAGIMDMDNIRNIFIFAPSGQAKSNVTQALVRARGILAPRVAAGVAGVTGTSANGECVQPAHAGVTHKHAGGDGPSSVCNDLVFDTHADHTSTPATCGPTLPKSIYCKMNKDVLKSYSGKRNCSKFLVNVIDSPQLVDDYSSEFNVDISIADGALVVVDCNEGVTLNTKRFLCQALHESIKPVVTLELMDDILFDSKVKYDDDNARKGNVSFSCCSSQWGFTLDNFAEFWGPKLDVEPSEMLERLWGDQFYDLKTKEWTCKHTGAESCQRGFVIFCLSTLVSIKKLCFKGKTDKINKLVGTVFSTKPGENELVGTALFKHVLQTWLPAGTVLIQMMIMKLPSPSMAQRYRVENLYKGPMNDLFANAMRTCDPSGPLMIYIHKMVPAAETGELLAFGRIYSGTLDSGMEVHVVGPTVSDCNKKYAVKSYQGAIIWIGKDQEPVQRVSAFLRGLFHSGIIKRAMVIAPSSVVDDWGPELSEVGLASRTFTKGKDKKHLTELKATAVEGGVILTTYEQFREHEMKISSELTSIDYVFADEGHRIKNYETSTSKALERIKSNHKIVVADLLGSLENFVANFLIPIDRGKHKEADFKLMASAIKASEEVGRLTSNKFEVTVWLKINPLQQKLYETLIDDDTLRLHGSALEASKVSVVNLL >LPERR08G13570.1 pep chromosome:Lperr_V1.4:8:14546987:14554184:1 gene:LPERR08G13570 transcript:LPERR08G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAHADAIHAALWRFDALGLAEHHDALASLLRRLSNGMKTIKMIILKGEIACGVIDKQCQREIGKQEGFVLRVPYQGFDTSVTHRELIEMHGYVFDRSLKTEIELIKKHDYVFDEFHPRSQLPDGKGPHVKKIPKGGFPKSVIRIPKKIVPFLQGRGHHKMKHVAHCSGAMVHITGTVVNNFREVILQGRNDEITEAERLVQKFIQEISVETIYIGRDAHLTSAIIEDIERRSGTHIKVCEVTDKSAEQPVEITGNAVDIKTAKRIVTQVASKEKAGK >LPERR08G13570.2 pep chromosome:Lperr_V1.4:8:14546815:14554184:1 gene:LPERR08G13570 transcript:LPERR08G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVGGVGITLTAATRILKGEIACGVIDKQCQREIGKQEGFVLRVPYQGFDTSVTHRELIEMHGYVFDRSLKTEIELIKKHDYVFDEFHPRSQLPDGKGPHVKKIPKGGFPKSVIRIPKKIVPFLQGRGHHKMKHVAHCSGAMVHITGTVVNNFREVILQGRNDEITEAERLVQKFIQEISVETIYIGRDAHLTSAIIEDIERRSGTHIKVCEVTDKSAEQPVEITGNAVDIKTAKRIVTQVASKEKAGK >LPERR08G13580.1 pep chromosome:Lperr_V1.4:8:14555045:14555692:-1 gene:LPERR08G13580 transcript:LPERR08G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAVVGVAVVAAVVLAAEGRVARKDLGINLGGGLGVGGGGGLGVGTGGGLGVGSGIGIGIGGGGGGSGSASGSGSGSGSYSGSGSGSGSGSGSGSWSGSNSGSSSGSGGGGSSAGSHAESGAGSNAGSGGAGSYAGSKAGSYAGSNGGDGGSGAGSYAGSNAGSYAGSNGGGAGSYAGSEAGSYAGSPHGGSGAGSYAGSRAGSYAGSGRGK >LPERR08G13590.1 pep chromosome:Lperr_V1.4:8:14558481:14559314:1 gene:LPERR08G13590 transcript:LPERR08G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNVIGLLNLVTLLLSAPILGGGIWMATRGDGSECDRHLSTPAIALGAVLMAVSLAGLLGACCRVTWLLWVYLLAMFALIVALVGFTAFAFAVTNRGAGEAVSGRGYKEYRLGDYSTWLRRHVESSRNWDKIRSCLAGADVCKSLQERNETWVQFVAGDLSPIQSGCCKPPTSCNFTYGGKTRWGKTARLGSADPDCDEWSNDDDELCYGCRSCKAGVVAALKSDWKIVAIVNAVFLALIVVVYSVGCCAFKNSRRDSVHLRRGGWKQAGYA >LPERR08G13600.1 pep chromosome:Lperr_V1.4:8:14560828:14564890:-1 gene:LPERR08G13600 transcript:LPERR08G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSARPTIRTTSEFRLPSASRPSGVLHFPEESGGDGAQRGAAHVGEPAYAGKRRRSRRRQRTASSHPSSGLLWCLASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQKMLLPCGLPPDRDED >LPERR08G13610.1 pep chromosome:Lperr_V1.4:8:14564938:14566914:1 gene:LPERR08G13610 transcript:LPERR08G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPYNWPEKGPNAPLGIHVSCGRVSPRVPMKTLEATTTLHVNPPRFFVSFSKFQSSPPLLASTKISRRRRIRHFLRNLRAYCDRSMEKKELLGVRKSPLLTKRRRKVTAGGGGRNLAKAVAAYLASDSFMYAPLVSNSPPPPAEAASVTPSSLPPGASPADKKVTLAQKYRGSWRGTFAAF >LPERR08G13620.1 pep chromosome:Lperr_V1.4:8:14573150:14573410:-1 gene:LPERR08G13620 transcript:LPERR08G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGKSNGRTSGGMVSLRRRRPFQLMVLRRLRELKKIVPATSRRSHGGDVDALLRRAAEYICALELKVAVLRKLSAIYGA >LPERR08G13630.1 pep chromosome:Lperr_V1.4:8:14602906:14604381:1 gene:LPERR08G13630 transcript:LPERR08G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISHHTMRGRFNYSALPPSSPLQLPLPYLPSPPSLQSPPPPLPLLLSPPPPPVSAFDAAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGGGGEPNVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGSGCSPLLFVLESGSEGSVSDRFDATSSAHLSHVTEQQQEEESEPVQKTAAVEKADEVVVNVKLGKFRSQAAAAGVGGSSSMRRCYSMGTYEYVMDERSLLRVAVKPPAKRRPMTTAASQMMPGHRVAMSECDCHSKRESFRGFVQPPPMPPKMVDEKRESFSFSKIWMRGAAPRRKDVSASSAAAGAPCSSSRRAASFRLSAVLQRAASDVAAAKRRPDVVSPVTESEYNVSAWDKSEKSGSVADWDIESGGGGGLGSRADEAPSFARRTLLWIRGHL >LPERR08G13640.1 pep chromosome:Lperr_V1.4:8:14618622:14622992:1 gene:LPERR08G13640 transcript:LPERR08G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNSGDDEGGAPPTPPEPRRVVVAHRLPLRADPNPDAPHGFDFSLDPHALPLQLSHGVPRPVVFVGVLPSAVAEAVPASEELAADLLARFSCYPVFIPAKLHADFYDGFCKHYLWPMLHYLLPLAPSYGSGGGLPFNDELYRAFLTVSTHFAERVFELLNPDVDLVFVHDYHLWAFPTLLRHKSPRARIGFFLHSPFPTSELFRAIPVREDLLRAFLNADLVGFHTFDYARHFLSSCSRVLGLSSRSRRGYIGIEYYGRTVVVKILSVGIDMGQLRAVLPLPETLAKAREIADKYKGRLLMLGVDDMDLFKGIGLKLLAMEKLLESRPDLRGQVVLVQINNPARSLGRDVDEVHAEVLAIRDRINRQYGWAEYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRTPYFYTVCRQEGPVPAALSGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVAEAMNTALRMSDREQQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVVFNFGLAMGFRVVALGPSFQKLSPERINQAYSQTGNRLILLDYDGTVMPQGRINKEPSEEVIRTLNQLCSDPANTVFVVSGRGKDELAEWFAPCERLGISAEHGYFTRWSRDSPWESCKLVTNFDWKNIAKPVMKHYSDATDGSDIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVSVKSGHQIVEVNPQGVGKGVAVRNLISTMGDRGSLPDFILCVGDDGSDEDMFEAMSNPAFAETAEIFPCTVGNKPSLAKFYLDDPADVVKMLQGLTDSSTEQQPAAAPRSPVSFENPLH >LPERR08G13650.1 pep chromosome:Lperr_V1.4:8:14640955:14653561:-1 gene:LPERR08G13650 transcript:LPERR08G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWDTGYGHKMWLVVWHWFIFFFFTFASSSWSLNSDGIALLALSRDLILPRSISSSWKESDATPCKWNGVLCDKKNNVISLDLSSSGVSGSLGPQIGLMKNLQVVSLASNSISGSIPQELGNCSMLDQLDLSNNNFSGEIPASLGNIKRLTVLSLYSNSLTGGIPVGLFKNQALEEVYLQYNYLGGSIPPAVGEMTNLRYLWLHGNKLTGVLPDTIGNCTNLEELYLLDNQLSGSLPKTLSNIKGLKVFDVTANSFTGEITFSFEDCKLEKFILSFNQINGEIPSWLENCSSLTQIALVNNNISGQIPASFGLLRNLSKLLLSENSLSGSIPPEIGNCCLLVWLELDANQLNGTVPKELAKLRNLQKLFLFKNHLTGEFPEDIWSIKSLESVLIYENGFTGRLPPVVAELKFLQNITLFDNFFSGVIPPDLGVNSHLTQIDFTNNSFVGGIPLNICSGKRLRILDLGFNRLNGSIPSNVVDCPSLERIILQSNNLSGTIPQFRNCANLSYIDLSHNSLSGNIPASLHRCVNITMINWSENKFFGSIPSEIGELVNLRVLNLSYNSLQGELPVQISGCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRMQENKFSGGIPESLSQLDMLIELQLGGNILGGSIPSSLGRLIKLVIALNISSNGLIGDIPPLLGNLVELQSLDLSLNNLTGGVDMLGNLQLLQALNVSYNRLSGPVPENLLKFLSSSPSSFNGNPDLCISCHTNNSSCTGSNALKPCGATKGGHNQFKIAVIVIGSLFVGAVAVLILSCIILKFHHPKAESEEAVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGDVYAVKKLAISAQKGSYKSMIRELRTVGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLHDVLHGIQPPSLDWSARCNIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLKDPSSAAPQTTGVMGTIGYMAPELAFSTSSVESDVYSYGVVLLELLTRKQAVDPSFPDSMDIVNWVTSTLNGTDQIELVCDESLMEEVYGTVEMEEVRKVLHLALRCAAKEANQRPPMADVVKELANVRYASGKLSKSEKTPSRSLS >LPERR08G13650.2 pep chromosome:Lperr_V1.4:8:14640955:14644442:-1 gene:LPERR08G13650 transcript:LPERR08G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLDLSNNNFSGEIPASLGNIKRLTVLSLYSNSLTGGIPVGLFKNQALEEVYLQYNYLGGSIPPAVGEMTNLRYLWLHGNKLTGVLPDTIGNCTNLEELYLLDNQLSGSLPKTLSNIKGLKVFDVTANSFTGEITFSFEDCKLEKFILSFNQINGEIPSWLENCSSLTQIALVNNNISGQIPASFGLLRNLSKLLLSENSLSGSIPPEIGNCCLLVWLELDANQLNGTVPKELAKLRNLQKLFLFKNHLTGEFPEDIWSIKSLESVLIYENGFTGRLPPVVAELKFLQNITLFDNFFSGVIPPDLGVNSHLTQIDFTNNSFVGGIPLNICSGKRLRILDLGFNRLNGSIPSNVVDCPSLERIILQSNNLSGTIPQFRNCANLSYIDLSHNSLSGNIPASLHRCVNITMINWSENKFFGSIPSEIGELVNLRVLNLSYNSLQGELPVQISGCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRMQENKFSGGIPESLSQLDMLIELQLGGNILGGSIPSSLGRLIKLVIALNISSNGLIGDIPPLLGNLVELQSLDLSLNNLTGGVDMLGNLQLLQALNVSYNRLSGPVPENLLKFLSSSPSSFNGNPDLCISCHTNNSSCTGSNALKPCGATKGGHNQFKIAVIVIGSLFVGAVAVLILSCIILKFHHPKAESEEAVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGDVYAVKKLAISAQKGSYKSMIRELRTVGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLHDVLHGIQPPSLDWSARCNIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLKDPSSAAPQTTGVMGTIGYMAPELAFSTSSVESDVYSYGVVLLELLTRKQAVDPSFPDSMDIVNWVTSTLNGTDQIELVCDESLMEEVYGTVEMEEVRKVLHLALRCAAKEANQRPPMADVVKELANVRYASGKLSKSEKTPSRSLS >LPERR08G13650.3 pep chromosome:Lperr_V1.4:8:14640955:14644784:-1 gene:LPERR08G13650 transcript:LPERR08G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVWHWFIFFFFTFASSSWSLNSDGIALLALSRDLILPRSISSSWKESDATPCKWNGVLCDKKNNVISLDLSSSGVSGSLGPQIGLMKNLQVVSLASNSISGSIPQELGNCSMLDQLDLSNNNFSGEIPASLGNIKRLTVLSLYSNSLTGGIPVGLFKNQALEEVYLQYNYLGGSIPPAVGEMTNLRYLWLHGNKLTGVLPDTIGNCTNLEELYLLDNQLSGSLPKTLSNIKGLKVFDVTANSFTGEITFSFEDCKLEKFILSFNQINGEIPSWLENCSSLTQIALVNNNISGQIPASFGLLRNLSKLLLSENSLSGSIPPEIGNCCLLVWLELDANQLNGTVPKELAKLRNLQKLFLFKNHLTGEFPEDIWSIKSLESVLIYENGFTGRLPPVVAELKFLQNITLFDNFFSGVIPPDLGVNSHLTQIDFTNNSFVGGIPLNICSGKRLRILDLGFNRLNGSIPSNVVDCPSLERIILQSNNLSGTIPQFRNCANLSYIDLSHNSLSGNIPASLHRCVNITMINWSENKFFGSIPSEIGELVNLRVLNLSYNSLQGELPVQISGCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRMQENKFSGGIPESLSQLDMLIELQLGGNILGGSIPSSLGRLIKLVIALNISSNGLIGDIPPLLGNLVELQSLDLSLNNLTGGVDMLGNLQLLQALNVSYNRLSGPVPENLLKFLSSSPSSFNGNPDLCISCHTNNSSCTGSNALKPCGATKGGHNQFKIAVIVIGSLFVGAVAVLILSCIILKFHHPKAESEEAVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGDVYAVKKLAISAQKGSYKSMIRELRTVGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLHDVLHGIQPPSLDWSARCNIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLKDPSSAAPQTTGVMGTIGYMAPELAFSTSSVESDVYSYGVVLLELLTRKQAVDPSFPDSMDIVNWVTSTLNGTDQIELVCDESLMEEVYGTVEMEEVRKVLHLALRCAAKEANQRPPMADVVKELANVRYASGKLSKSEKTPSRSLS >LPERR08G13660.1 pep chromosome:Lperr_V1.4:8:14653635:14672874:-1 gene:LPERR08G13660 transcript:LPERR08G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVSLNLSNSGLSGYLGRQIGIMKHLKVLDLTGNGISGPVPISIGNCTKLEELLVLHNRLSGSLPDTLSNIEALRVFDITNFTGKVNFRFENCKLEKFILSYNNLRGEVPSWIWNCSSLTQLAIVNNGMTGQIPSSVVLLTNLSYLVLSQNSLSGTIPPEIDANQLEGTVPKELANLRNLQLLYLFENRLTGEFPEEIWGIQSLESVGIYKNNFTGKLPLVLAELKHLQQITLYNNFDSGIDHATFVGTIPPKICSGRRLKVLYLGLNLLNGSIPSGIADYPTLERGLFRRPLLWLRLYNPLMFVTVGVAYCYLPERQNGTANMALSGYFLQLGPVVFLSSDGLALLDLSKTLILPSSISSNWSSDDATPCTWKGVGCDEMSNLVSLNLSHSGLSGSLGPQIGLLKHLKVLDLTGNGISGPMPISIGNCTKLEELLLLQNRLSGSLPDTLSNIEALKVFDITNNSFTGEVNFRFENCKLEKFILSYNNLRCEVPSWIWNCSSLTQFAIVNNGMTGQIPSSNSLSGTIPPEIGNCQLLIWLHLDANQIEGTVPKKLANLRNLQLLYLFENRLTGEFPEDIWGIESLLSIDIYSNNLTGQLPLLLAEMKQLKQITLFNNSFTGVIPQGLGINSSLSVIDFINNSFVGTIPPKICSGGRLGTLNLGLNLLNGSIPSGIADCPTLKRVILKQNNLIGPIPQFISCSNLDHIDLSYNFLSGDIPASLSKCSNVTFVNWSRNKLTGQIPPEIGKLAKLRSLNLSVNRLNGEVPVEISGCSKLYQLDLGYNSLNGSALTTVSSLKFLSQLRLQENKFSGGLPDSLSQLDMLIELQLGGNILRGSIPSSLGTLNKLSIALNLSRNGLIGGIPPQLSNLVELQSLDLSFNNLTGGLGSLGKLQFLYFLNVSYNMFNGPVPKNLVRFLNSTLSSFSGNPDLCMACNDSNSSCTGANVLKPCDSLRKKSALTPLKVAMIVIGSLFVGALLILCVLVKYNFKPKISSDLSILFEGPSSKLNEAIEVTENFSSKYIIGSGAHGTVYKAVLRSGEVYAVKKLLHAAHKGSNASMIRELQTLGRIRHRNLIKLEGFLFKSEYGLILYDFMENGSLHDVLHGTESTPTLDWSIRYNIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYSATSQTTGIVGTTGYMAPEMAFSTRSTMEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSQLNETNQIETLCDPALMKEVYGTYEMEELRKVLSLALRCVSKESSQRPSMAVVVKELTDAKHVPGSYSKQGNSGPSNS >LPERR08G13670.1 pep chromosome:Lperr_V1.4:8:14690727:14691314:-1 gene:LPERR08G13670 transcript:LPERR08G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHTTESGISSSFHSPHPTQYLGCNCVVLHGYIPLSSAPPSVKFFFGWPSDEDYTPMSADTGMDSPPLPCVPSGMRWKAWITYSSCNNVQLVWAALDLNEHIISNLSFDQLWTSAHAIHKGTPIVV >LPERR08G13680.1 pep chromosome:Lperr_V1.4:8:14693498:14694031:1 gene:LPERR08G13680 transcript:LPERR08G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAAAAAKAAVVSPMAAAGGAAAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGRGAADDGAPADGAKPAASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSDKGEEDEKKKVQEVAMGSLIKDGENGEHSESQRGRDEHHIPEV >LPERR08G13690.1 pep chromosome:Lperr_V1.4:8:14703839:14708878:-1 gene:LPERR08G13690 transcript:LPERR08G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRAILSNPHAAPAGASPAAARSPAARSSVVLPRRLRGVRSAVISSSSSPAAAVVASDPAGRILGAGTDGALWPKPAVLVAEKLSEAGLAVLRGFADVECAYGMSPSELLAKVAQFDALIVRSGTKVTREVLEAGGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAISRADFISLHMPLIPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVAGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVASESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRIAEERVSHESPAAAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPDKDTLENIGHIPAIEEFVFLEL >LPERR08G13700.1 pep chromosome:Lperr_V1.4:8:14719918:14724441:-1 gene:LPERR08G13700 transcript:LPERR08G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTSTPFPFPSRRSPPDDTLFYAVYPLPLPTGLPPADIHATLRSLHLTLSTHLAPFLSTHLFHRDPFTLTLPPPNPNPTSPCPLCSTPPSIPHLHGALQFGDSLPDEWLAVSLLFSLTRAFPNLAARAWDSDGEFLLIEAAFALPRWVDPDTAPNRVFIFRGEVHLLPPSLFPETPPSLEAALAAVYDDSVDTRAPDAVQATIRRRIEGLPEKASENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGIEMVRTSVRMTRAMYAQLVQQSFQAPRVYLMPRREEGPEKWMEAELGMKIACGFEMMYQERQREGEEGKGSTWEVYRKSLEATGCFDGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDGILATPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELRERQKEMEAYEAAKQHRKSQKKNVSGSSSSQSNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMQSVDLDVNQFFKAMESVLGRSKDEAGNEAGFDGKSSSSDMDFEDDSDYVSDDAEETGEKDMGNAFMESYSDALNKELSTTTIEKSFARAPRPDVSNEGPSNAVDTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDVLTLVHTLRKGKLKRFT >LPERR08G13710.1 pep chromosome:Lperr_V1.4:8:14725373:14729202:-1 gene:LPERR08G13710 transcript:LPERR08G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGVASMGRAATTTTTNPTTQSRWPRAVTRLRLALRSPAAAASGSKHWIGCFRPAPEPASSPAVAVLKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNRNISSSEKSRDESERLEGFIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDIKFRSGLRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDKGVTNNNETEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSTQRLVAHNTIQVKSYWLNSDGADVINHMMDNFLV >LPERR08G13710.2 pep chromosome:Lperr_V1.4:8:14726501:14729202:-1 gene:LPERR08G13710 transcript:LPERR08G13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGVASMGRAATTTTTNPTTQSRWPRAVTRLRLALRSPAAAASGSKHWIGCFRPAPEPASSPAVAVLKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNRNISSSEKSRDESERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFDCWENRKTFIPYRQ >LPERR08G13710.3 pep chromosome:Lperr_V1.4:8:14725373:14726498:-1 gene:LPERR08G13710 transcript:LPERR08G13710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRFSSEWLMTHRLLVRDEKYPHIVHVDKGVTNNNETEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSTQRLVAHNTIQVKSYWLNSDGADVINHMMDNFLV >LPERR08G13720.1 pep chromosome:Lperr_V1.4:8:14731482:14736036:-1 gene:LPERR08G13720 transcript:LPERR08G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAINRLRSSFREPASAPTTSAPPPFPTVADAVAAFDSRRAADGASVSPELRCGRCGAAGGLLRGAESAVCVYCGCPRREECGGIAFRGSVAYRWLLGSLGLDGSEPVEFESESTDSSKAKEAPKNGIVLSDLLDLKLTFPPENEETSGSTKIYEQSSAAYTLNLSGVNLDSFFAERMETTTTAVVPTKKHTVVQEKQSADSRSHDSSSLEVRATSKGIYSTGIKTNSQNTNQIEVTPAFANWDADFQSAGSEKATEVSQNNDLFNNTLTVKTSSFPAHVTATSPVVPSGNLTNMRSTKLEDSKDLASASGWLVEDDSNSGIFPENIESSLSKSSVQSDQLPIRGDTGAGIDEAFDDWQEFAGGNQGSLSNAGGHVEGSIERNPSEIKAVDAWPASSMESSNNVSYNSVDDWQAFTSSPGQGGNSVKPVEGSVTGKGGDLVKPVGETASISFEHYSESNSVELWPVGNVKEHNTKVLKETNDSFDDWQDFTTSGQAQGTPSNQVGGTIEVSHVTQKETGDDSWFMADVNEERNNDLANTSNAMLDDFQGFSGSDLAPQSLSNVSGEMTSLSFGQHEATDTVQSWVGNSNNMGTHMGTANSEDNSFDIWQDFTMSSHKKENFSAFEEKTTSASSEPTKETDPMDLWLTSNTQDSNSSKDANRINDSTGGWKDFAKFDQIQSVKIPVVGHSNDSSVTEPLDLWASSNAAELKNHEQINKDSDPFDDWHDFENSHPQDASLQVLSNSSLFDNPSVLKPDALEGLEFGSFAQSVPSQSQIDNKENSNKTNTVSSDEHLQRMVGMQQTSDVDSLSAIWPTTSHDTQSVLKPESVDANVERVLSQMHDLSFMLKDELSIPDKAVDHSKP >LPERR08G13720.2 pep chromosome:Lperr_V1.4:8:14731484:14736036:-1 gene:LPERR08G13720 transcript:LPERR08G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAINRLRSSFREPASAPTTSAPPPFPTVADAVAAFDSRRAADGASVSPELRCGRCGAAGGLLRGAESAVCVYCGCPRREECGGIAFRGSVAYRWLLGSLGLDGSEPVEFESESTDSSKAKEAPKNGIVLSDLLDLKLTFPPENEETSGSTKIYEQSSAAYTLNLSGVNLDSFFAERMETTTTAVVPTKKHTVVQEKQSADSRSHDSSSLEVRATSKGIYSTGIKTNSQNTNQIEVTPAFANWDADFQSAGSEKATEVSQNNDLFNNTLTVKTSSFPAHVTATSPVVPSGNLTNMRSTKLEDSKDLASASGWLVEDDSNSGIFPENIESSLSKSSVQSDQLPIRGDTGAGIDEAFDDWQEFAGGNQGSLSNAGGHVEGSIERNPSEIKAVDAWPASSMESSNNVSYNSVDDWQAFTSSPGQGGNSVKPVEGSVTGKGGDLVKPVGETASISFEHYSESNSVELWPVGNVKEHNTKVLKETNDSFDDWQDFTTSGQAQGTPSNQVGGTIEVSHVTQKETGDDSWFMADVNEERNNDLANTSNAMLDDFQGFSGSDLAPQSLSNVSGEMTSLSFGQHEATDTVQSWVGNSNNMGTHMGTANSEDNSFDIWQDFTMSSHKKENFSAFEEKTTSASSEPTKETDPMDLWLTSNTQDSNSSKDANRINDSTGGWKDFAKFDQIQSVKIPVVGHSNDSSVTEPLDLWASSNAAELKNHEQINKDSDPFDDWHDFENSHPQDASLQVLSNSSLFDNPSVLKPDALEGLEFGSFAQSVPSQSQIDNKENSNKTNTVSSDEHLQRMVGMQQTSDVDSLSAIWPTTSHDTQSVLKPESVDANVERVLSQMHDLSFMLKDELSIPDKAVDHSKP >LPERR08G13730.1 pep chromosome:Lperr_V1.4:8:14739118:14743193:1 gene:LPERR08G13730 transcript:LPERR08G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVGRLEAAVSRLEALNGAGGHRPLAMAIGGGGGQVDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPSMDSIAAFMGPLNETIMEANALAEGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWVKALKELFVPSLRDYVKTFYPLGPAWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKTGMSAVFAEISSGKPVTQGLRTVTDDMKSKNRTDRTGVVATEGKKSHKATCSSSTNLPSKLELQMGRKWIVEHHVGNKNLIIEDCDTKQSIYVFGCKDCVLQIKGKVNNITIDKCTKMGVLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLDTSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFRDGQFTTSPTSHSGA >LPERR08G13730.2 pep chromosome:Lperr_V1.4:8:14739118:14744860:1 gene:LPERR08G13730 transcript:LPERR08G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVGRLEAAVSRLEALNGAGGHRPLAMAIGGGGGQVDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPSMDSIAAFMGPLNETIMEANALAEGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWVKALKELFVPSLRDYVKTFYPLGPAWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKTGMSAVFAEISSGKPVTQGLRTVTDDMKSKNRTDRTGVVATEGKKSHKATCSSSTNLPSKLELQMGRKWIVEHHVGNKNLIIEDCDTKQSIYVFGCKDCVLQIKGKVNNITIDKCTKMGVLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLDTSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFRDGQFTTSPTSHSGA >LPERR08G13740.1 pep chromosome:Lperr_V1.4:8:14742687:14744679:-1 gene:LPERR08G13740 transcript:LPERR08G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRGRACLIDGATGETLTFGDVDAASRRAASRLAALGARHRGTVMLLLPNSVDFAVAFLASSRLGAVATTANPLHTPPEIAKQVAASGATVVVTDPAFFPKVTGLPGVTVVAAADLTTTDDDNIISSPPSPPIDVINDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLNFREDDVILCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDVGVMLNLVERHGVTVAPLVPPIVVEMAKSDALDRHDLSSVRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPLPVKSGACGTVVRNAELKIVDPETGLSLPRNQPGEICIRGKQIMKGYLNNPEATAETIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAIADAAVVPMKDDSCGEIPVAFIVASEGSEITEDEIKQYVAKQVVFYKRLHKIFFVNAIPKAPSGKILRKDLRAKLAAGIAAC >LPERR08G13750.1 pep chromosome:Lperr_V1.4:8:14751827:14752309:1 gene:LPERR08G13750 transcript:LPERR08G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSERRSSILGSFRTAVAKVRFMLSFSATRWILTSIVGSRTAPRRRVSFDQARPPSLLDFEGSAILPSPSPARSGKTTTTTAPPSRSASLGSSTPTTRTVSRTSSAVSSGGGSSPGGGVGEDDIDRRAELFIANFYKHIQMERQVSLQLRYLDRTPSR >LPERR08G13760.1 pep chromosome:Lperr_V1.4:8:14754009:14756141:1 gene:LPERR08G13760 transcript:LPERR08G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASCCCCWTSSLRSVFSYAFLAQRLPRRLYFRHCDTRQNPWGRLGCSDRPRASLWWLPETGFSSPAVGGVTQSNCVYLLWSSCDCERLYKFCLDDNTASFYQILPQPMSACCRAFWSVPTKVQSMELPDLALSNHLILNEGNINANVVQKHISLSQWQDLPIELLELVASNLSLVDRIRFPAVCKSWSDVSNPIEHAKVWPWLMYCSERDNKCKMLDPLHGKQYTLQVESFGIDDDRHILRSSKDGWVIVVIWFCGVTFSSNPTSKDCVFFGILSNLTGDFLDVNVWRFGENEWSEQSFEYQMPFPVARNNPVLFRGEFYCLGRKGNLGVFNPSSNNWRILDKPEPIHDEIKAFDDDHEGAEFCYLVELGGELISVFQRNAEEPPHVFKLDEKKMAWFEIEEIGDAVLFLDFRASFAVASVKDGFGNRIYFPRFTEDGKHALFYDLENKMYQPSYYGLKEPLNCVWVVPNMHLDE >LPERR08G13770.1 pep chromosome:Lperr_V1.4:8:14765890:14772849:-1 gene:LPERR08G13770 transcript:LPERR08G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGVNGGGARTKSFLSLRRSQHRDDFDDGSRAAQARRAAAVRPSDHFRRGKWFPMRDAKSSADNRSRQMWRESADVQWLDGGMATFVWRGQVVPVKAAPDANDDDDDGALITALTPAHIDQPHRAAYSSSRSNPI >LPERR08G13770.2 pep chromosome:Lperr_V1.4:8:14765890:14772849:-1 gene:LPERR08G13770 transcript:LPERR08G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGVNGGGARTKSFLSLRRSQHRDDFDDGSRAAQARRAAAVRRRPRRPWSPLSLFASDHFRRGKWFPMRDAKSSADNRSRQMWRESADVQWLDGGMATFVWRGQVVPVKAAPDANDDDDDGALITALTPAHIDQPHRAAYSSSRSNPI >LPERR08G13770.3 pep chromosome:Lperr_V1.4:8:14765675:14772849:-1 gene:LPERR08G13770 transcript:LPERR08G13770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGVNGGGARTKSFLSLRRSQHRDDFDDGSRAAQARRAAAVRPSDHFRRGKWFPMRDAKSSADNRSRQMWRESADVQWLDGGMATFVWRGQVVPVKAAPDANDDDDDGALITALTPAHIDQPHRFFTGKALHWSGTWEPGLG >LPERR08G13770.4 pep chromosome:Lperr_V1.4:8:14765890:14771722:-1 gene:LPERR08G13770 transcript:LPERR08G13770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMWLDGGMATFVWRGQVVPVKAAPDANDDDDDGALITALTPAHIDQPHRAAYSSSRSNPI >LPERR08G13770.5 pep chromosome:Lperr_V1.4:8:14766223:14772849:-1 gene:LPERR08G13770 transcript:LPERR08G13770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGVNGGGARTKSFLSLRRSQHRDDFDDGSRAAQARRAAAVRPSDHFRRGKWFPMRDAKSSADNRSRQMWRESADVG >LPERR08G13780.1 pep chromosome:Lperr_V1.4:8:14789551:14797270:1 gene:LPERR08G13780 transcript:LPERR08G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNSSGSGGDCPSSLPRLHVRDVPVLEGKLCLGCVHDGDCLLMVDEITNECFLFCLSNSSKISLPPLREPLEDIGACVVLGSSPVNQECTVVISSLPEPEESFLLHCHPGDEEWTKLIAQDHSHMLSGSLVNCAGQLYSFSTHGRLVTVDVIDGVVQPQENIGWERSCDSPYETYLIESVGDLFLVAASAYGCPYDWPLVGVSVEAIGEDRAFLIAGRYGFSYTAVEGLVQGNCIYIVWGGYDCERLYKFCLDDMTISFQSILPHPTKDLPRGFWSVPAEIQTTEFKDSAPSIRYDTEVIVVNNFNEDEDQHATTVAPWQDLPTEMLRRWRSISNPVAQAKVWPWLMHCGRQDGTLKMFDPLQGIGYTMKVGPFNAHKRQTFRFSKDGWVIVSHGDKKVFVINPFTEEIVKLPKLPWRYLDNGISFSTMPTSPYCIFLGVGGSPRGDGIRVSTCRPNNKESEEKEAEAEEEDESEEENVSEDEEEDDESDESEYEEDDESEESEYEDEEDGNWIDHFFDTDDELFPVAHNNPVYFREEFYFLGQRGNLSVFNPGNNEWRILKKPEPIHANLTPYDEGSEACYLVELRGELIAVFHRNANEPPRVLKLNESTMAWVDIEDIGGGTLFLDYRASMAVPSPEAGHGNRIYFPRFSEDGKQAIFYDLEAKKYIPTFCGAKEPMNCVPWLVQGSRGETLTFVDVSDMSLHETVVPEV >LPERR08G13790.1 pep chromosome:Lperr_V1.4:8:14797299:14799497:1 gene:LPERR08G13790 transcript:LPERR08G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDWLLMLDKSTADCFLLRITGNPRTKIQLPPLHEPLNFLSTREMLESPESPNCTIVIASSVEVEEEGYLLHCNPGDKEWTKLVSPYDDFSFTFLIRKYGGKIYHCFAELVVVDMINGEIQLQRMGTIEDEEIDARGNGHYLVVESCGELFLLWIEELGYFAQDGLLTSIDVFCLDFDSMSWKRVDSIGSDRTFLVSGHYALSCPSINGVLQGNCVYLIWSSCECERLYKFCLDDMTISFNQILPQPTKPWCRAFWAVPTSIQSISVVEETAIPDYGLSKEKSNDNISNDFEEHAKSADGNSSRSWEHLPVELLELIVSNLSLVDLIRFPTVCKAWSEVSNPIEQAKVWPWLMHISKQDGTCKLFDPLRCEEYNIQVTVPFDVDKQHGNIIRSAKDGWVFMSAGIYGDEIFITNPFTEDSLELAMFYRRYHYSGISFSSSNPMCSDCVFFGINSSDNGLFLGTFTWQHGEPSWNEQEFEYDVPFPVGYNNPVMFNGEFYCLGRKGNLGVFNPASHAWRILDKTEPIHVNMNLSRDDHIGREFCYLVDMSGELISVFLRNSNESPRVFKLDMTKMSWVEGEDIGGGAMFLDYRSSYGVSSPDGGHGNRIYFPRYSEDGKPVFYDMDKKKYFPIVLCVVEPLNCVWMVPNMHKNESMTNMHKNELASDEE >LPERR08G13800.1 pep chromosome:Lperr_V1.4:8:14813718:14819470:1 gene:LPERR08G13800 transcript:LPERR08G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCPSSIAQLLLVVYLSVFLLLANAHPMSPTSPPRKDAPSPAAAKGVTGISPALVSTLRETLDAIKNVASIISSFPIGGILGGGDLRLSSAVADCLDLLDLSSDELSWSMSTTTSSQPTNAGGTAAAARLGTGDARSDLRSWLGGALGNQDTCKEGLDETGSVLGSLVGAALNTVTSLLTDGLGKVAAGAGAEAAIASTSSRRGLADGAGVHPSWLGARERRMLQMSVGGMAVDAVVAKDGSGNYTTVSAAVEAAPAESERRYVIYVKKGVYKETVDVKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDMTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCTLAARLPLPDQKNSVTAQGRLDSNMTTGFAFQFCNVTADADLQRALANQSAAAAMTQTYLGRPWKEYSRVVFMQSYIGGVVWPEGWLAWDGEFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >LPERR08G13810.1 pep chromosome:Lperr_V1.4:8:14817385:14819241:-1 gene:LPERR08G13810 transcript:LPERR08G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSDFGPLTERRRAERQRQQRRRFMVAAGGASVILIIIVMGGAAVAYNASFGDGSSSSSSSASSSGAGGEKPNLRGVSKIIKAMCAQTDFRDACEKSLAAANATASSSSSPKDIVRASVAVIGDAVAKAFDKSGAIVSDDPRVKAAVADCKEIYENAKDDLDRTLGGIDGGGVDGLTKRGYRLRVWLSAVIAHQETCIDGFPDGDLKDKMRGAMESGRELTSNALAIIGKASSFFAALNLPSSTPAATSHRRLLSFDEDAPTQPKVNRSSGNDDDNSVPLWVNRQERRLLKGKFENKLTPNVVVAKDGSGKFKTINDALNAMPKKYTGRYVIYVKEGVYEEYVTITKKMPNVTMYGDGAKKTIITGNRNFVDGRTTYKTATFNAQGDGFMAIAMGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGYQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLTLRRPLDNQKNIATAQGRADGREATGFVFQHGRITAESALKDASRPSVRSYLARPWREYSRTLIMDTDIPAFVDKAGYLPWSGEFGLKTLWYAEYGNKGDGAGTDGRVSWPGYKKVISKEEATRFTVQNFLHAEPWIKPTGTPVKYGMWN >LPERR08G13820.1 pep chromosome:Lperr_V1.4:8:14830929:14841438:1 gene:LPERR08G13820 transcript:LPERR08G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAEGRLVELFGAVKSWMPRRGEHSPPPPPQTTTMQAGGAGGGGGGGASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSQSAVSIGSEKSSSTGRSSVGTNGQMSSYTNVSFTDFPSVPVEGQGDCCEEDDCGEKQQPAMEPSGGVEPLNYVDNTSDPYSFCLNRSDDEDDDYAVFHSDSKAQHLQNSDEYFKTVCFDAIAHQVDCSDVKESAPPVQDTENFVDSVGVDKIGDRMIDNIEECNTRSSSLYSMEVLENEPVDFENNKSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVSLADKSGKESWLDIVTSLSWEAASLLKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASKKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGIFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTAPSPNNSLHAFDVQKDGSTFNGFRTVDQTIVTCSPEYKSCKKLGTGSTQTEAHFNGQDKNTASFHVMVPRSSTDPLVQQLNNSFCHCPSCARDVVNEMHFQEGQLEKSGHTLDNDLNALSAHRTNLESVESSHLFAYNSENGDSISAKLPVPLNVQISHDDDSSKDDSLIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCMSCELPPEAHVYCYVHPQGSLTISVRKLAAKLPGEHDGKIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLKKEIRKGQPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGCLRVGSRSSDSNDKSVDTNTSTKLENSSKGSEFLSTKEGSLRQSASPLHANDKEPDQPDQSDGNSSRIAGLNGMEDAIPKINHSNSVDVKDNLDRQESTIGVRRVFSDGQFPVNADISDTLDAKWRGENGTVPDTSIMKPLALLEGTADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPLYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCFQMLDESNKNKDGADSSLPLPVYDSGNFNPFHLFDDFGSTDDFASSISGTRGSFVPDLVHTRVSFEDGGPLGKVKYTVTCYYAKNFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELDSFLKFGPDYFKYLSESINTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINLSSSAPNISHEDPQNSSQE >LPERR08G13820.2 pep chromosome:Lperr_V1.4:8:14830929:14841438:1 gene:LPERR08G13820 transcript:LPERR08G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAEGRLVELFGAVKSWMPRRGEHSPPPPPQTTTMQAGGAGGGGGGGASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSQSAVSIGSEKSSSTGRSSVGTNGQMSSYTNVSFTDFPSVPVEGQGDCCEEDDCGEKQQPAMEPSGGVEPLNYVDNTSDPYSFCLNRSDDEDDDYAVFHSDSKAQHLQNSDEYFKTVCFDAIAHQVDCSDVKESAPPVQDTENFVDSVGVDKIGDRMIDNIEECNTRSSSLYSMEVLENEPVDFENNKSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVSLADKSGKESWLDIVTSLSWEAASLLKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASKKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGIFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTAPSPNNSLHAFDVQKDGSTFNGFRTVDQTIVTCSPEYKSCKKLGTGSTQTEAHFNGQDKNTASFHVMVPRSSTDPLVQQLNNSFCHCPSCARDVVNEMHFQEGQLEKSGHTLDNDLNALSAHRTNLESVESSHLFAYNSENGDSISAKLPVPLNVQISHDDDSSKDDSLIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCMSCELPPEAHVYCYVHPQGSLTISVRKLAAKLPGEHDGKIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVEQEANEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLKKEIRKGQPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGCLRVGSRSSDSNDKSVDTNTSTKLENSSKGSEFLSTKEGSLRQSASPLHANDKEPDQPDQSDGNSSRIAGLNGMEDAIPKINHSNSVDVKDNLDRQESTIGVRRVFSDGQFPVNADISDTLDAKWRGENGTVPDTSIMKPLALLEGTADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPLYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCFQMLDESNKNKDGADSSLPLPVYDSGNFNPFHLFDDFGSTDDFASSISGTRGSFVPDLVHTRVSFEDGGPLGKVKYTVTCYYAKNFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELDSFLKFGPDYFKYLSESINTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINLSSSAPNISHEDPQNSSQE >LPERR08G13830.1 pep chromosome:Lperr_V1.4:8:14842070:14842684:1 gene:LPERR08G13830 transcript:LPERR08G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDSGGGGGKKTPWTEEDEALRRGVREHRRQNWAEIAAALPRRGPKSCRLQWCQHLSPELDTRAPFTPVEDALIVAQQRLHGNKWATIARCLPGRSDNAVKNRWNSTLRKLRRHGAVRATEEDAAAAAAAQEDDTVMVCRELFPVRAGGVKEAAAAVARLLAGEKEDEEEDVEATGLTLGLPVLSEAELELRLGLAWPENA >LPERR08G13840.1 pep chromosome:Lperr_V1.4:8:14843636:14854554:1 gene:LPERR08G13840 transcript:LPERR08G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSVVKDGGAVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYVESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGSGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSLNVTTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPSVFTGLAQNASKVIAKKASAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKSQSFASPDKVAELVQKVGTAIQQDLPKVMTMMRLSNIVEAHIQLHSLLKSEYTAEEIQSIGMLSIPDLQSQLDALL >LPERR08G13850.1 pep chromosome:Lperr_V1.4:8:14868048:14869855:1 gene:LPERR08G13850 transcript:LPERR08G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEDGGAHFVTAAAAMAGGINGASAGGRRVRERTHVGLQIIVHNHHHHQGRHAHGHAASIVLKQMVRPRAAAAVVGRHAVSCSFLKTCSLCRRELCPTKDVYMYRGDQGFCSEECRWQQIVVDEAREREATMGRPDRRGLARHHSPHRAAPIRGRPRKTVTVA >LPERR08G13860.1 pep chromosome:Lperr_V1.4:8:14871197:14871529:1 gene:LPERR08G13860 transcript:LPERR08G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARALLSSARVSGGTAAVRCGAWRSYSAAATAAAVRQEPAAAAGTTATKLAAAAAEGAAGNSKEGFFWMREPRTGNWMPENHFNDVDAAYLRSRLLFAKKN >LPERR08G13870.1 pep chromosome:Lperr_V1.4:8:14876074:14888599:-1 gene:LPERR08G13870 transcript:LPERR08G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSSSSYLCRDRKKRKPMPETELQIDSEVVNCRMLAVLCGKVTAKQPTPPSLVPEAQPRLSYPFTELISSGRLEVHTLINPTIEQFWEAQQNQPNLIYIQGQQLENEEEIGTLIWGDVDVSDPQMFCLLISPPFPTMVYLEVPNGEKLAQSLQSKEVQNVVLGPHLLGDAPKINISPPENKLAKEEEDISKQTPAIKIYDEDVNIKLLICGASCTLDACFLSSIEDGLNALLNIEFRLCKIQDRDSGPPHLVETLLCGEVAMYCDVKTCSSHVSLLVSGSVPTCLEDERLESHIKQEIIEKRQTVRAVLVSNDVKPSAEPVTSMSVAHGASTFEVWIKLPKWAAQLLKYLAQEISYKSLAALGIACVNGTPVSSFDRQDVERFNFFCTNRYKDEATVDSMYFCLPRWSASLAKDRLKRIQVLKPDNGRLSDAPKINIFPSKNEMVVEEGEHFLAIMIYDDDVNMKLLICGAPFW >LPERR08G13870.2 pep chromosome:Lperr_V1.4:8:14876603:14888599:-1 gene:LPERR08G13870 transcript:LPERR08G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSSSSYLCRDRKKRKPMPETELQIDSEVVNCRMLAVLCGKVTAKQPTPPSLVPEAQPRLSYPFTELISSGRLEVHTLINPTIEQFWEAQQNQPNLIYIQGQQLENEEEIGTLIWGDVDVSDPQMFCLLISPPFPTMVYLEVPNGEKLAQSLQSKEVQNVVLGPHLLGDAPKINISPPENKLAKEEEDISKQTPAIKIYDEDVNIKLLICGASCTLDACFLSSIEDGLNALLNIEFRLCKIQDRDSGPPHLVETLLCGEVAMYCDVKTCSSHVSLLVSGSVPTCLEDERLESHIKQEIIEKRQTVRAVLVSNDVKPSAEPVTSMSVAHGASTFEVWIKLPKWAAQLLKYLAQEISYKSLAALGIACVNGTPVSSFDRQDVERFNFFCTNRYKDEATVDSMYFCLPRWSASLAKDRLKRIQVLKPDNGRLSDAPKINIFPSKNEMVVEEGEHFLAIMIYDDDVNMKLLICGAPCA >LPERR08G13870.3 pep chromosome:Lperr_V1.4:8:14876603:14888471:-1 gene:LPERR08G13870 transcript:LPERR08G13870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSSSSYLCRDRKKRKPMPETELQIDSEVVNCRMLAVLCGKVTAKQPTPPSLVPEAQPRLSYPFTELISSGRLEVHTLINPTIEQFWEAQQNQPNLIYIQGQQLENEEEIGTLIWGDVDVSDPQMFCLLISPPFPTMVYLEVPNGEKLAQSLQSKEVQNVVLGPHLLGDAPKINISPPENKLAKEEEDISKQTPAIKIYDEDVNIKLLICGASCTLDACFLSSIEDGLNALLNIEFRLCKIQDRDSGPPHLVETLLCGEVAMYCDVKTCSSHVSLLVSGSVPTCLEDERLESHIKQEIIEKRQTVRAVLVSNDVKPSAEPVTSMSVAHGASTFEVWIKLPKWAAQLLKYLAQEISYKSLAALGIACVNGTPVSSFDRQDVERFNFFCTNRYKDEATVDSMYFCLPRWSASLAKDRLKRIQVLKPDNGRLSDAPKINIFPSKNEMVVEEGEHFLAIMIYDDDVNMKLLICGAPCA >LPERR08G13870.4 pep chromosome:Lperr_V1.4:8:14876074:14888471:-1 gene:LPERR08G13870 transcript:LPERR08G13870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSSSSYLCRDRKKRKPMPETELQIDSEVVNCRMLAVLCGKVTAKQPTPPSLVPEAQPRLSYPFTELISSGRLEVHTLINPTIEQFWEAQQNQPNLIYIQGQQLENEEEIGTLIWGDVDVSDPQMFCLLISPPFPTMVYLEVPNGEKLAQSLQSKEVQNVVLGPHLLGDAPKINISPPENKLAKEEEDISKQTPAIKIYDEDVNIKLLICGASCTLDACFLSSIEDGLNALLNIEFRLCKIQDRDSGPPHLVETLLCGEVAMYCDVKTCSSHVSLLVSGSVPTCLEDERLESHIKQEIIEKRQTVRAVLVSNDVKPSAEPVTSMSVAHGASTFEVWIKLPKWAAQLLKYLAQEISYKSLAALGIACVNGTPVSSFDRQDVERFNFFCTNRYKDEATVDSILSDAPKINIFPSKNEMVVEEGEHFLAIMIYDDDVNMKLLICGAPFW >LPERR08G13870.5 pep chromosome:Lperr_V1.4:8:14876603:14888471:-1 gene:LPERR08G13870 transcript:LPERR08G13870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSSSSYLCRDRKKRKPMPETELQIDSEVVNCRMLAVLCGKVTAKQPTPPSLVPEAQPRLSYPFTELISSGRLEVHTLINPTIEQFWEAQQNQPNLIYIQGQQLENEEEIGTLIWGDVDVSDPQMFCLLISPPFPTMVYLEVPNGEKLAQSLQSKEVQNVVLGPHLLGDAPKINISPPENKLAKEEEDISKQTPAIKIYDEDVNIKLLICGASCTLDACFLSSIEDGLNALLNIEFRLCKIQDRDSGPPHLVETLLCGEVAMYCDVKTCSSHVSLLVSGSVPTCLEDERLESHIKQEIIEKRQTVRAVLVSNDVKPSAEPVTSMSVAHGASTFEVWIKLPKWAAQLLKYLAQEISYKSLAALGIACVNGTPVSSFDRQDVERFNFFCTNRYKDEATVDSILSDAPKINIFPSKNEMVVEEGEHFLAIMIYDDDVNMKLLICGAPCA >LPERR08G13880.1 pep chromosome:Lperr_V1.4:8:14890755:14893960:-1 gene:LPERR08G13880 transcript:LPERR08G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQFLAVAVDAAKSAGEIIRKGFYQTKNIEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTTGVVYNPIMNELFTAIRGKGALLNGLPIKTSSQNELVKALMVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGAVILQEAGGLVFDPSGGEFDLMAQRMAGSNSYLKDQFIKELGDTS >LPERR08G13890.1 pep chromosome:Lperr_V1.4:8:14897701:14901338:1 gene:LPERR08G13890 transcript:LPERR08G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSSSSSDADLTVLVDDFYFSVLSHGRNGDDGDDDDELFPISDEKYASELQLQEVIMSSSAIVASSRRTMTPSIESQQVIFIGESSSSKAASSSSAAATTVAMVFCKICMDAVPEADAHQASWGCDHAFCGGCLAGYIAAKIQENRIAGVNCPEERCRGELDPELCQGIIPREVFDRWGAALCEAMLLGAKRTYCPFKDCSAMMLDDGGEVVTESECPSCRRLFCAACDVPWHAGADCAAYRKLGKGDRGKEDLMLLEMAKGRKWKRCPKCKFFVEKSEGCLHITCRCGFEFCYGCGGQWGITHSKEEKAGKQQRHPSCSICMEPMPPTEAHRGGVGCAHEFCRACLAGHVRAKLDSSGSAGAVRCPDASCGGALDPELCRVALPSDVFERWCAALCEAMFVGARRTYCPFPGCSEMMVADDDGEEGSCVTQTECQGCRRLFCARCGVPWHAGVSCGEFERLGEGERGREDLLLVEAARNGKWKRCPRCRFYVEKSNGCLHITCRCGFEFCYGCGKPWQLIHDGCSG >LPERR08G13900.1 pep chromosome:Lperr_V1.4:8:14903349:14905903:1 gene:LPERR08G13900 transcript:LPERR08G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAPRPLLLLLAVAVAVAVGGVSGEERTFIVRVDADAKPSIFPTHAHWYEAAVTAAAGGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAAAALAAAPGVAAVVPERVRHLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIIDTGISPTHRSFHDRGLGPVPPKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDNDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGNGQVLDGVSVYGGPALQSGKMYELVYAGTSSSASSSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGVGMVLANGVFDGEGLVADCHVLPATAVGAAAGEKLRKYIGSSTRQAPATGTILFEGTHLGVRPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPNGVGPAGIPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHDEDPLHTDGDQRRRRAGGVQRGGEGAGGPRQLAFRRDGQKLSFTVRVEAAAPRRKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >LPERR08G13910.1 pep chromosome:Lperr_V1.4:8:14924849:14925262:1 gene:LPERR08G13910 transcript:LPERR08G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGLNKLRCMIKRWHSSSRIARAPSAGDLAGAAPAAAERAASFHGADEVSKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRTGGSGGIGGDGGGGGATVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >LPERR08G13920.1 pep chromosome:Lperr_V1.4:8:14955356:14957513:1 gene:LPERR08G13920 transcript:LPERR08G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGASGGSLYAVLGVATDCSDAELRTAYRKLAMKWHPDKCGAAGSSAGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILDAMNQSGTTDNGKNESFDDLQRQFEELFLRPPAPSPSSFRSAQDDSGKSSKRRAGRT >LPERR08G13930.1 pep chromosome:Lperr_V1.4:8:14959004:14962315:1 gene:LPERR08G13930 transcript:LPERR08G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIEFSTTPTPSQHLENQENHPHFALHSLLLHCRSKGFHRRRRISSHLLRSPTRVPSSPHHAIALLKFSPLFVQWISRNAAMKFLLLGAMSRHRRSSCRCSGRLRPPIAVPLRHRICLIHVKPQPLVALNFDHRRRRSSPSPTSALRHRRPPNPAAP >LPERR08G13930.2 pep chromosome:Lperr_V1.4:8:14959004:14960004:1 gene:LPERR08G13930 transcript:LPERR08G13930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIEFSTTPTPSQHLENQENHPHFALHSLLLHCRSKGFHRRRRISSHLLRSPTRVPSSPHHAIALLKFSPLFVQWISRNAAMKFLLLGAMSRHRRSSCRCSGRLRPPIAVPLRHRICLIHVKPQPLVALNFDHRRRRSSPSPTSALRHRRPPNPAAP >LPERR08G13940.1 pep chromosome:Lperr_V1.4:8:14968654:14969148:1 gene:LPERR08G13940 transcript:LPERR08G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIITLAVVSSFLLLLLLAPATTAISISGGGSVGGGITGGATGTTVTDDTPPFVFPGAAAAGCWNAVLQAEICAGDILRSVASFLLHLHADAGGEAQPLNPLGSGAVHVGAPCCGVLKTVGDRCFRDLLADSPFHPLYAPFINHVCGGGGLPVGGIVPGHRD >LPERR08G13950.1 pep chromosome:Lperr_V1.4:8:14973320:14984618:1 gene:LPERR08G13950 transcript:LPERR08G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGAVGSGVGYGGTSGRQWWSYVDAEEVEEAPNGLCLLAVNGEEQQPPPADACLKKCGKVEIPYPFGIGSKCAMKGFALTCNRTRKGTYKPYAFNVEVLSISLNDGTARMRMSISSYCYNNSIGNMNMPDDWQLDFTDTPYRFSSTENKFTVVGCDTCVFINGDGITKNYTSGCVSMCQRPSDMTNGSCAGIGCCQTAIPEDLAYYNTGFSENYNTTAIWNFSRCSYAVLMEAKSFSFSSTYVTTSEFYDRNHKQVPIEETCRAAKRVASSYACRSSNSECIDSKNGPGYLCNCTRGFHGNPYLSGGCTDVDECAQDPNPCSATNGICRNTEGHFQCVCPQRMHLNNRGVCVQKQRLALWAILIIGISTGIFIIAIISFLYAIRERRKIANMKKSHFQQHGGFLLLEDMKSKQGITFKIFSAEEMEQATQNFDKGQILGHGGQGTVYKGILKDKRNVAIKRCKLINERQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIQRGTLFQLIHGTTKGLRTYVPLGVRLKIVQEAAEALAYLHLSASPPILHGDVKSSNILLDVQYSAKVSDFGASTLAPVDKAQLVTLVQRTFGYLDPEYMQTCQLTDKSDVYSLGVVIVEVITGKNVCCLDSLEEDRTLSSMFISIMQQGKLETILDDQIKDGENVELLGEVAKLAMECLDMRSEHRPSMDEVAREIGRLTMSLQPQCMQPLNVPDEKDWLLGESSTTKTGTEMSSRNYSTMEMEAVASIAHGR >LPERR08G13960.1 pep chromosome:Lperr_V1.4:8:14989838:14990072:1 gene:LPERR08G13960 transcript:LPERR08G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDHLRRAGLSSHAVFRDFMSRQISPLQARERPAWLYSGVTDGTRTFVGAEHDMDANALGLVISRTLGSTRRSP >LPERR08G13970.1 pep chromosome:Lperr_V1.4:8:15007996:15010354:1 gene:LPERR08G13970 transcript:LPERR08G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLCKLRKYSSFSPSSAAAVTADAPATVTRSITIVRPPSLSVDPSSRGGGEYSSSVPSSPASSAPDSPFASGSASTPKGESWRRFRRKPKTAADGAPEAATVGPRSPTVYDWVVISSLDR >LPERR08G13980.1 pep chromosome:Lperr_V1.4:8:15026944:15036650:1 gene:LPERR08G13980 transcript:LPERR08G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSASGRRTVGHRRIADYLAADDSTTEAMSENESFNTAYSEEFFVTGGLAGGGGGDGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTAAALYGPTSLAAATGGGANTPGSGRSLSRCSSTSSRIRKKFAWLRSPSPAPAPATPPEQQPPPAPREVAMAARERRRIQARLNRSRSGPRRALKGLRFISRTTGSSDSGELWRRVEERFAALSRDGLLARDDFGDCIGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYASLIMEELDPEDLGYIELWQLEALLLQRDSYMNYSRPMSSGSAAQWSQNLGNGGAAAGTQPPPPPATTGRRRGWSLRRAAARAQVAAEENWRRAWVVALWVAAMAGLFAWKFIQYRRSPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDTIAFHKIIAMAIALGICMHAGNHLACDFPRLIKSTQEEYQLVSNFFGAEKPTYRTLLAGVEGVTGIVMVLLMIISFTLATRPLRKREERAAAARLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVSKWHQRTTWMYIAVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDCISVHIQTRGDWTQELKHIFVENYFAPSVPRIASFGALGMAEQRSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRFHFHKEYF >LPERR08G13990.1 pep chromosome:Lperr_V1.4:8:15035037:15041342:-1 gene:LPERR08G13990 transcript:LPERR08G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLAEYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLNHENIIRLKEIVVSPGSAHGAGGSDDYMYRGDIYMVFEYMDHDLKKVLHHSTPSQVKYYMEQLLKGLHYCHVNNVLHRDIKGANLLISDGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKALFPGRTEQEQLSKIFELCGFPNEENWPGVSKLPLYKTIHPATPTKRRLRDIFHNSDSDAVDLIERMLILNPTQGLHH >LPERR08G13990.2 pep chromosome:Lperr_V1.4:8:15035037:15041342:-1 gene:LPERR08G13990 transcript:LPERR08G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLAEYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLNHENIIRLKEIVVSPGSAHGAGGSDDYMYRGDIYMVFEYMDHDLKKVLHHSTPSQVKYYMEQLLKGLHYCHVNNVLHRDIKGANLLISDGGKLLKLADFGLARPFTRDGSFTNHVITLWYRKSSYQKYLNSVDFQMRKIGQLLFSNEIENNGLMWFETFIYSSDSDAVDLIERMLILNPTQGLHH >LPERR08G14000.1 pep chromosome:Lperr_V1.4:8:15053775:15054485:1 gene:LPERR08G14000 transcript:LPERR08G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGISGGAAPPQSSPERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSGGAVSLNFPDSPPDIRCCFPGALLTIEQIQAEAARHANRLYTPAAASTTNTAAVAVSPSYSSQQELAFPARSTSDDTAVSLPFTDGGVADYGGGDDDVIDWSFMDALPAMSAPSLPAGNINAEIVPAIDDFMYGFLHPMRQNEDDVEDVIDGNGDQAFFSVDLWRF >LPERR08G14010.1 pep chromosome:Lperr_V1.4:8:15064860:15068699:1 gene:LPERR08G14010 transcript:LPERR08G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSKRSVRTEYGCLRRFRRRRLLAFLRHSNLPATFDAQKCETRVFLDVRFLQRLVANGRWKDARGYLNSFLPRQDDDDQILSEEADTVLEFITRFDIFDDLAQGKLGGVDAADDLERQIEAIPSAMADDARYTEGPLEVFFHTCVLLIGGKLWLKAAKVVKELVAQIAEFKHLLLLPRCSINPCYTIPFGFGRQPSPAQGLSLKKGVNV >LPERR08G14020.1 pep chromosome:Lperr_V1.4:8:15081912:15082259:-1 gene:LPERR08G14020 transcript:LPERR08G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLSLAIFAALFSGFLILGLGAVGYVDECGFLCVDGAYVTCANYPGQQFPGYNCVCRPSDGRGCAVHNPDGSIDVECRK >LPERR08G14030.1 pep chromosome:Lperr_V1.4:8:15084153:15086091:1 gene:LPERR08G14030 transcript:LPERR08G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDANAVDDLIRRLLDGKKTKPSSSGKKVQLSESEIRQLCVSGKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPSSNYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAIIDDKIICMHGGLSPELDSLDQIRGIVRPVDVPDQGLLCDLLWSDPDRENLGWGENDRGVSFTFGADKVHEFLIKHDLDLICRAHQASPMSSSILEILFYFLNVVEDGYEFFGDRQLVTIFSAPNYCGEFNNAGAMMNVDASLLCSFRILKPFRGKSQAE >LPERR08G14040.1 pep chromosome:Lperr_V1.4:8:15092033:15093958:1 gene:LPERR08G14040 transcript:LPERR08G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSAELEAAERVVMRWDSTASSAATATAADEPMLFDAAASGGDRAEAERFLRAVDDLRRLAPPSPASVGSPRRLSSSGSSVPGATAVQVAMARLEDEFRHVLTSRALDLEIEALADLSGVGSLSISADYRSNSADLQVVDEDDSVSSSSVGRRSSYRSLPSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDSSLRRLGVERLSIGDVQRLEWKSLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPISNLTLSSAAGAGATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIVDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYKATLSELIVSRPSASARLAAEGNELAPSLAELDLPEPENQSPLAAHIIWIIVVLEHNLEGKAALYRDMALKHLFMMNNVYYIVHKVKDSPDLWSLIGDDYLKRLTGKFTLAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDAQLKEELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRSHG >LPERR08G14050.1 pep chromosome:Lperr_V1.4:8:15098181:15101024:1 gene:LPERR08G14050 transcript:LPERR08G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEMTATEMAQLLDLKPHPEGGYYTETFRDGSISVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTE >LPERR08G14060.1 pep chromosome:Lperr_V1.4:8:15103821:15104291:1 gene:LPERR08G14060 transcript:LPERR08G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHMEFYWGTSVTILFGGWRTSALPGYLVSLLALFLAAALHQYVESRRVRLIRARRLGASSDADARALLSAAASGRRFGKGWKLLPEAAVAAGLFGLSAAVGYLLMLAVMTYNGGVFLAVVAGLVAGHIVFRGGGAEEEVDGVVDEPDMSPCACA >LPERR08G14070.1 pep chromosome:Lperr_V1.4:8:15115231:15118635:1 gene:LPERR08G14070 transcript:LPERR08G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPKPKSPPRYPDLCGRRRLQLEVQILNREVGFLEKQKEAQILPSLSVDQAVYLTSYGGSKALFRVFCVEPVVIPLPNQNCALACHVSAVPAGAGASQSPRNQAASVVHAAPAATHHAVVDRAAAASRSLLAANPTAANPTAAAAAAIAAPAGFPAATVTVTASHIAAARLLLSTQRLLQRLQAAELWQLLRRKRAMLHGVLVAVLPTLLQLLGLLLQLQLPKVLVCRGAVRRLLRVAPALPELPVVVLQGAAVLLQVPVVVLRGGAILLRWRRRQGIIGVLLWQVVHRRRRESGAVVPRMLVRLRVLMPQVQRWVQLPVVQQPVLCRRMLMLK >LPERR08G14070.2 pep chromosome:Lperr_V1.4:8:15115231:15118635:1 gene:LPERR08G14070 transcript:LPERR08G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPKPKSPPRYPDLCGRRRLQLEVQILNREVGFLESYRDLNGFSPSRGVAKNQNCALACHVSAVPAGAGASQSPRNQAASVVHAAPAATHHAVVDRAAAASRSLLAANPTAANPTAAAAAAIAAPAGFPAATVTVTASHIAAARLLLSTQRLLQRLQAAELWQLLRRKRAMLHGVLVAVLPTLLQLLGLLLQLQLPKVLVCRGAVRRLLRVAPALPELPVVVLQGAAVLLQVPVVVLRGGAILLRWRRRQGIIGVLLWQVVHRRRRESGAVVPRMLVRLRVLMPQVQRWVQLPVVQQPVLCRRMLMLK >LPERR08G14080.1 pep chromosome:Lperr_V1.4:8:15123114:15123551:1 gene:LPERR08G14080 transcript:LPERR08G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFSLIVLFSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGMVLLVSTQCGAGGEHYCPADVRVEARALWLNCAALFLGMALQGVAVVVTPPFAAASPLEQVAVEHLTMLTETIAVTAFAHDFCIFVKLVRWKQ >LPERR08G14090.1 pep chromosome:Lperr_V1.4:8:15125829:15126155:1 gene:LPERR08G14090 transcript:LPERR08G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIWPVAPAPQPVRDNRAGKCWAVFVPSNGFISQIYLCCCPYGDRYFQDSARQGGPQGYAQFVDFVTAIFRLVLVLGQMIALSKTVFTTQAYVTVARSPRLGSCSLG >LPERR08G14100.1 pep chromosome:Lperr_V1.4:8:15129176:15129541:1 gene:LPERR08G14100 transcript:LPERR08G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLFWPGPPGVQPVGDLPVAATALFVAVNGFISPTYLQHYCPCDDFVDGVDERYFHLANFVTAMLGLVLTLGKMAVFRSHSFVGVARWLVSLAKVSTVGTFQLWVYVFYLCLKMFYIGS >LPERR08G14110.1 pep chromosome:Lperr_V1.4:8:15134948:15135313:1 gene:LPERR08G14110 transcript:LPERR08G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFWPGRPGAQPVGDLAVAATALFVAVNGFISPTYLRHYCPCDDSGYFVDGVEERYFHLANFVTAMLGLALVLGEMAAVFGSQAFDDVARWLVSLAKVSTVGTFQLWVYVFYLCLKMF >LPERR08G14120.1 pep chromosome:Lperr_V1.4:8:15138593:15139056:1 gene:LPERR08G14120 transcript:LPERR08G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFINFLRVIPPHQQQPVAPLPVAKSALLVAINGFVSPSYLRRCLSCDGGGRHCLRVDADVEQMCYQMASFATAVLGVALLAFHMASSSAVFPALAVWLVWLTKIFTCGTLQFCLNIIHFCLRMIYFQVYTQTWIQ >LPERR08G14130.1 pep chromosome:Lperr_V1.4:8:15141888:15142271:1 gene:LPERR08G14130 transcript:LPERR08G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIWPVQPAAQPLGGNRAGQVGKWAVFVAGNGFISQTYLRCCPCGVLCFQDSAGQWYYSQFANFVTAMLGLVLVLGQIAYFKTVFTSQAYVTVAQWLVSLAKVASVGTLQLWVNVFYICLRTLYCI >LPERR08G14140.1 pep chromosome:Lperr_V1.4:8:15145408:15145857:1 gene:LPERR08G14140 transcript:LPERR08G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGQPASQPVGDLRVAKSALFVAVNRFISPSYFRHCNPFFIDGSMEQRYFHLANFVTAMLGLTLVLGEMEFSAAVFRSQSFVTVAQWLVSLAKVAMVGTLQYWINALYLCLKILFTSLHNSAVLIDVILLISYCFILCLQNNVLQ >LPERR08G14150.1 pep chromosome:Lperr_V1.4:8:15148789:15151080:-1 gene:LPERR08G14150 transcript:LPERR08G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRSWKSMFCCAGGMAGAGDEDLPSERSRGDHHRRLISTSSTSSASRVSLSSLSSSAGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRLAAGLRPGLDAAQTVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGPMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDEDFSAKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRRSVDRSRRHREQSLVDWTRKYLKKPEQLHRVVMDQAMEGQYSYKGAQEAALVTYKCLSPSPKSRPTMREVVKALEPILDMNDYLQVGTFVFTVVVEDNTKDNESKNKQVDGEKKVDMKIEAMVEEKHRSHQDRHREKYPNSAIHTDIVLQRDGSIGSYTNSLRRHRRTASYLKERGA >LPERR08G14160.1 pep chromosome:Lperr_V1.4:8:15171201:15175717:1 gene:LPERR08G14160 transcript:LPERR08G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSELETSSRGSSPCATAASPSPASSHRHHQAIAGGLSCLFSSPSAAPRAAVSQDDLGALWHDRSGEPTAVAAGAGGGGGYSYPQPSSSPFKWRDMLHHSPVPLFHSPASSPAAAASRSPSAASWLAGRERERLFSSFVRNALGSCVDYAPVTTTTTPPLGVSAAAGELAFELDEHLTEAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGATATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPVVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHNLSGRHKSLYSIYSKMLKKNLTMDDVHDIHGLRLVVDTEQDCYRALDIVHKLWPRVAGRFKDYILHPKMNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERPSALGRSDAIRPPCPFPMHSEDCPYSYTRQCHHDGPIFVIMLEHDKMSVQELPANSTLVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDGPTS >LPERR08G14170.1 pep chromosome:Lperr_V1.4:8:15178336:15180929:1 gene:LPERR08G14170 transcript:LPERR08G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTGREAAAAACSSSSIGNDSDECSPPGKEEEGEEVQSAYVGGGGGGGGLVGLEALEEALPIRRSISKFYNGKSKSFACLKDTSCGSAKDIAKAESAYSRKRKNLLAYSIMYGTSQDTAAEVYETGPPKRPASLSRNSLATLASSSSRSSSCISIEETELSEQLHTPLPVANYENPVVCTVPALQLGSCESKTSSAPMRSFSMMDLRHPHNPRSSCHRKDQKTMD >LPERR08G14180.1 pep chromosome:Lperr_V1.4:8:15183643:15187594:-1 gene:LPERR08G14180 transcript:LPERR08G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMFSFFPGGLRVMLIADDTKDVRTATAMLTLLHYTVVATHSTAIAGLRALSRVNVMDVQVVLCDVHKVVSSGFDLRCVVETEFNIPVIYLLSTEQIVAGEDVGFLNRLLQRATYIVRKPLDPNVISILWRVVAWSKFSPEERMPSDVVDMHAPDGDNGENDNEDVVSIEEPQVHFKAVRSSGSRKRQLISNIYNNKCRSSNSSADDRPTNIPEHMNVKGRLGTQHVACHLNKHHAKQQKKDTDEHRLLSSDYSVFLKAILPTINAPPCNPPIPAGGAGPSSVATAAFAGGSGATASAPLQAPVHQQPPVAVQAPAMEKEQTSGGVQLDGQKRMQLMGPFSYQGPLPTAKENPINMIAAMEKGKAPVIELPFGQPVDDLLVEESAHGGAAPTMESASEENETAAMEEEAPNAEPCMVTDQAAADADPVAAEGDIMFSLESFLGLDDDVLLPLEDDAAAVNEEVGGLGIGWDQDRLDDIVIDNTNEFAFLDNNLAENE >LPERR08G14190.1 pep chromosome:Lperr_V1.4:8:15199307:15202890:-1 gene:LPERR08G14190 transcript:LPERR08G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMFSFFPGGLRVMLIADDPQDVRTATAMLSLLNYAVVATHSTAMAGLRALSRDNVMDVQAVICDVHKVISSGFDLRCVVETEFHIPVIYLLSTEQTVAGEDVGFLNRLLQRATYIVRKPLDPDTIRQLWRVVAWSKCSLRERRPSDVVDMRTPYGNYYENDNEDVVSIEEPQVHFKAMRSSGSRKRKLISNIYDNNYRNSIGGAGFSKPPKHWFTRTLRLKRKFEYKYRAKQQKKDMDEHRLLSSDHSMFLQAIRPTLNVPRRNPLIRAGGAGPSCVAGAAFAGGSGAAERLQVPVHQKARRTRNDNAVISINNAAVPAAVQAPAMEEQQMSGGVLVEGPPQMLLMGPFPYQGPLPPAMENHIGMLMAMEKGKSPVVEIPFGQPMDDLLVGESSSGSTGPTMESVSQENVPDQVAPEGDIMFCLESLMGMYGDMLLPTEDAAGSVNVEEGGMEIGWDLDRLENILMDDTNEFVFQDNSLAGKE >LPERR08G14200.1 pep chromosome:Lperr_V1.4:8:15206068:15207702:-1 gene:LPERR08G14200 transcript:LPERR08G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKYRAKQKKRITSWMSAHAYLLGTPFLLRAILPMLEAPPFNPLILTVVGQAASSRRTCLLAAALQPRRFKLWCSAPHLTDNTAFNFDNNIAINYNNNAAINFNNNAAPAASNPAISFNNAAGVQLNADLQQTLFMGPLSYQGPTSPAHADSHQLLVMDNDKGKSPLIELLFGQPVDDLLVRAGALRAFTMGRGVKWSWGLALTKSDVEALSRLLQCHQTNHIEQGSGLQRRRRRGRIRGERGSSRPAANNADTNIVPDLQVAADAASIAGEGDITFTLEEILGLDDDVMLPLEDGGVDAAAAVGARKAAWRTAGTWTWTWTTSSWTTFNKNDEPCR >LPERR08G14210.1 pep chromosome:Lperr_V1.4:8:15214036:15220726:-1 gene:LPERR08G14210 transcript:LPERR08G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADIASPPPKGTAAAAAPPPTPPAEMAGAPSGTPGPSPSTMLSLGLGPAAAAADGSPKSPTVAAPPRRSLRLAGGASPATPSSAPPGADGASSGSGSGSSGKKRRGRARAVSAPVAAAPTTNGGELGGGGEEVRVSGGSGGDRSGEGAFIGLRSRSRIAKRSMESGVDLSGEMGVGSGGGDGAGGKVHDEMPHGNADSLGKRHKGILTGEMKMEYVPDADGDGDDACVLLGEGSRRMPVHLCTAPNVVEPNVFTMRMGRADEGRRGDSVNTVKEENKGVSILENDISFDEHLHRQNSADASIGEIIEPLASPVRNPALVENAMEEERMNRARYDAKADEDEHSDGDDDDEEEVEPTAEPQDWPGPYLTAMSIIIDREAKLRDRESNSSNLNKSVDKVILWTPSKDRKSQLRSAPSLTSLCLQTLLNNSEAIESLAGIPDELKNRLLTSLCHSRKMNGHLLSEIVCDNPVTVQLSECSWLSEDDFETIFGKCRTEFLQLDLSGRCMPDYLLPATLAKVQDSRKLTSSSIKTIGRNCPQLSSLDLRNLNRLRDSAMSHLRNGCRLIKKLKLQRNAFSDEAVSYFLEQSGGYLTELCLSNVEKAANLTAHAIVRNCSTHLEVLDLSFCRELSNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSVVTVIGIEGNILEQTGSPNFSIAPAVALISY >LPERR08G14210.2 pep chromosome:Lperr_V1.4:8:15214036:15220726:-1 gene:LPERR08G14210 transcript:LPERR08G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADIASPPPKGTAAAAAPPPTPPAEMAGAPSGTPGPSPSTMLSLGLGPAAAAADGSPKSPTVAAPPRRSLRLAGGASPATPSSAPPGADGASSGSGSGSSGKKRRGRARAVSAPVAAAPTTNGGELGGGGEEVRVSGGSGGDRSGEGAFIGLRSRSRIAKRSMESGVDLSGEMGVGSGGGDGAGGKVHDEMPHGNADSLGKRHKGILTGEMKMEYVPDADGDGDDACVLLGEGSRRMPVHLCTAPNVVEPNVFTMRMGRADEGRRGDSVNTVKEENKGVSILENDISFDEHLHRQNSADASIGEIIEPLASPVRNPALVENAMEEERMNRARYDAKADEDEHSDGDDDDEEEVEPTAEPQDWPGPYLTAMSIIIDREAKLRDRESNSSNLNKSVDKVILWTPSKDRKSQLRSAPSLTSLCLQTLLNNSEAIESLAGIPDELKNRLLTSLCHSRKMNGHLLSEIVCDNPVTVQLSECSWLSEDDFETIFGKCRTEFLQLDLSGRCMPDYLLPATLAKTIGRNCPQLSSLDLRNLNRLRDSAMSHLRNGCRLIKKLKLQRNAFSDEAVSYFLEQSGGYLTELCLSNVEKAANLTAHAIVRNCSTHLEVLDLSFCRELSNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSVVTVIGIEGNILEQTGSPNFSIAPAVALISY >LPERR08G14210.3 pep chromosome:Lperr_V1.4:8:15214036:15220726:-1 gene:LPERR08G14210 transcript:LPERR08G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADIASPPPKGTAAAAAPPPTPPAEMAGAPSGTPGPSPSTMLSLGLGPAAAAADGSPKSPTVAAPPRRSLRLAGGASPATPSSAPPGADGASSGSGSGSSGKKRRGRARAVSAPVAAAPTTNGGELGGGGEEVRVSGGSGGDRSGEGAFIGLRSRSRIAKRSMESGVDLSGEMGVGSGGGDGAGGKVHDEMPHGNADSLGKRHKGILTGEMKMEYVPDADGDGDDACVLLGEGSRRMPVHLCTAPNVVEPNVFTMRMGRADEGRRGDSVNTVKEENKGVSILENDISFDEHLHRQNSADASIGEIIEPLASPVRNPALVENAMEEERMNRARYDAKADEDEHSDGDDDDEEEVEPTAEPQDWPGPYLTAMSIIIDREAKLRDRESNSSNLNKSVDKVILWTPSKDRKSQLRSAPSLTSLCLQTLLNNSEAIESLAGIPDELKNRLLTSLCHSRKMNGHLLSEIVCDNPVTVQLSECSWLSEDDFETIFGKCRTEFLQLDLSGRCMPDYLLPATLAKTIGRNCPQLSSLDLRNLNRLRDSAMSHLRNGCRLIKKLKLQRNAFSDEAVSYFLEQSGGYLTELCLSNVEKITDVFLKGHSNSVVTVIGIEGNILEQTGSPNFSIAPAVALISY >LPERR08G14220.1 pep chromosome:Lperr_V1.4:8:15223342:15225127:-1 gene:LPERR08G14220 transcript:LPERR08G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPTRLLLASVLVALLLSAASAADSKRNWWLDLGPDCVYLVQIGISGDLGVRVKDGGFWDNWVTDNPADQLVSLINSNRTASKASSLDDNQGLGCMALQYIKAYEGQCDQVGQSKKPLDSSFAEIFAPNCGVQVATLSKITGRLLACQSNYATPGEAFNFLVNDAKSLQVLHSKNHTEVGAAVSGTSGGGPYFWCVLFSSGKPNTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGVGTWRLVAALLFSAACAFAL >LPERR08G14230.1 pep chromosome:Lperr_V1.4:8:15228973:15232035:1 gene:LPERR08G14230 transcript:LPERR08G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRCPIPSHDLHATPFLGTASDPRRPSATAMPSPPPTRAGDASYPREDAFRGRWRWGFEENQESASKEEGEKKAPSPPPGRYATLHTYICFASVSVKELVCFTFITPTDNADGGGDLMG >LPERR08G14230.2 pep chromosome:Lperr_V1.4:8:15228973:15232081:1 gene:LPERR08G14230 transcript:LPERR08G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRCPIPSHDLHATPFLGTASDPRRPSATAMPSPPPTRAGDASYPREDAFRGRWRWGFEENQESASKEEGEKKAPSPPPGRYATLHTYICFASVSVKELVCFTFITPTDNVLKIYS >LPERR08G14240.1 pep chromosome:Lperr_V1.4:8:15234961:15235722:-1 gene:LPERR08G14240 transcript:LPERR08G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVCVSDVPLPPPICRRPASTCRATSSLSPPASGKSPRSPPTISEDEFNEMFFAEHGCDPTQMFFTKEEVQMLMRQYEAEKAYLLSHGEGKQETAPPPASKKRKAPQTTADNAEVQTPPPKSSSNKKMKAAAAAPPRSLWPTHSDVAERILYRRFETPELSKGVTILSCQCREELPRGCCALHQEAPFRAWMSEQGNVPAEGGGGGGWARVPKLSEHCGRCLFQYYKRWRGQVWMPTRFFLESVAQPPKTT >LPERR08G14250.1 pep chromosome:Lperr_V1.4:8:15238585:15242653:1 gene:LPERR08G14250 transcript:LPERR08G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISALRPLPPTSPLPRPHRQFAISTVISRTVTTTTTNSFPFPSPPRDPAAREMDRSPPDQKQQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGAVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLATSEIPEIVEQYRQGAINAIKAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEITRAVVSAIGADRVAVRISPAIDHLDAYDSDPMKLGMAVVERLNTLQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGAYRGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >LPERR08G14260.1 pep chromosome:Lperr_V1.4:8:15244343:15248104:-1 gene:LPERR08G14260 transcript:LPERR08G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVMLPVLLSFLLLPFSSMALTQDFCVADLSCADTPAGYPCKAGAGAGDFAYHGLATAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGSIAAGFISSSSNTVYTKTLYAGDIMVFPQGLLHYQYNAGSSVAVALVAFSGPNPGLQITDYALFANNLPSAVVEKVTFLDDAQVKKLKSVLGGSDSMYTSTKAMATKSTAALLPVLLLLTISTATALTQDFCVANRALPDTPSGYSCKPPSIVTANDFAYSGLNKPGALIKPFNTSLASAFVDQFPAVNGLGISASRVDILKDGVVPLHTHPLGSELLFVAEGTLLTGFISSFANNNKVYARRVSKGDVFVFPQGLLHFQYNVGNTTAVAFAAYSSANPGLQVTDLAFFANNFPTPDVVRGTFIDEKEVMRLKDLFGGSA >LPERR08G14270.1 pep chromosome:Lperr_V1.4:8:15292544:15292770:-1 gene:LPERR08G14270 transcript:LPERR08G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMMMRMGDRDEHNDGDDRAIVVHLGGVQKRGLIDRSIDRSDGRVKGGRLGGWLWQKENERCNPFLDHSFF >LPERR08G14280.1 pep chromosome:Lperr_V1.4:8:15292820:15295022:-1 gene:LPERR08G14280 transcript:LPERR08G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGLVLDMRALPRRMQLVVDGVSGEPFADVPGGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVSQLEVVTGDGECHVCSRSTDADLFFAVLGGLGQFGVITRARIPLSPAPQTVRWMRVVYASFAEYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPCVPIPDGARFDPSLLPDGAGPVLYCLEVALYQQRGADDDDMDKVRVGEMMRELKYVQGLEFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIADFDRAVLNGMLADGVDGPMLIYPMLKAKWDPATSVALPEGEIFYLVALLRFCRPYTLGGGPPVDELVAQNKSIIDACRSNGYDYKIYFPSYHAQPDWARHFGAKWSRFVERKARYDPLAILAPGQNIFPRTPSSSAVSAAAAAVIV >LPERR08G14290.1 pep chromosome:Lperr_V1.4:8:15301564:15302868:-1 gene:LPERR08G14290 transcript:LPERR08G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLIGDGPSEEWAIRAPNLRNLTMVYETACGGRVEDLSRLEERSLHGPNCAKFLTGIAQVTMLDFFCCNFMLHMLAIFCLLRSALFLNLRFGDGLTKLRNLKQTMKFLNAQLADDINEQAVVNITEYPRSSPDAQVIFMGRENQNLY >LPERR08G14300.1 pep chromosome:Lperr_V1.4:8:15306968:15308491:-1 gene:LPERR08G14300 transcript:LPERR08G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVDVKNKELRGVAELDSTKNTLVSIRASQNGSGGRIESNDHDVGCCRPEWEEAHSAKRQTASASASSTRERRGCPEQQQRRCGSHVKHGGLGGRRHNRLGTATTAWPQAASRLAARREHRWEGER >LPERR08G14310.1 pep chromosome:Lperr_V1.4:8:15308550:15309162:-1 gene:LPERR08G14310 transcript:LPERR08G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAEIALSGVLLGVRGYGSAGKNSTTAIAKTRSGHPIEVTFWIELPLALTHFSVHSPDLKFPPRAPISIAASGGLVLLRVPVDHIGGRSSFRGQRLLCLPSWSPGPETGSATVVVAAAANTASWPPSRSGLCPPSRYTCTAHLLLLLAVAALRVVCPVPDSAERQMYHLASKAITFGGANGTVG >LPERR08G14320.1 pep chromosome:Lperr_V1.4:8:15313548:15334494:-1 gene:LPERR08G14320 transcript:LPERR08G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVTKLEFVCNIMLSTEVDVLEQLPFLFENLRSLVISVNFSKMSHILFVFCLLRSAPVLEELDVVGQCDGAQDIDANDEFFNAQYGNDITYSNVQVVITGYPRVSPDAQVIFMNKESAYNGYLDTFSVNYELETTRTGRWIDPAHPRKIHRLDLYAVDKHKCLERKVLQLQENCKQMAEDRHELRNLRLYCGALKEHFTSTLKSLSEQCNITIPPFPDSSSVSSSSHPASPKSTYTLADPVTCSADNVQADSLADHVMSPPRRRKLRPSPADAAGLESLPPEMLSDVLSRLPIRDAVRTSALSRAWRRRWESVPYLSISWPRHTPPRAISAVLRRYSGPVRKFSNLYGIQSLVLAFRKLNEEFHILHPSIFSCRELTHLDLTDCCIPGLPTCFEGFPNLTRLRLSNAPNLQYFFTYVLDDNGWEISDLPSLEEASIECAVYSDGRDFVKLFTGLSRARELDIVMPVADANVLEGLSCSFENLKSLTLHTSLCLLSSILSLFCIIRNASSLETLDIELFDDSFENDEVDIDFLNEQWTDDLFSNLRCVEVTNMTCKLSEMHFIEFILSKARRLEKFDVCLAEDCPKSNEEAVIELAKYRRASARTKEMAGAQPLPPPASRQRRRVEPATDVLDSLPFDILDNILSRLHIYEVVRTSALSRAWRRRWESLPSVDLTRSPGIGASDVDSLLLRRTDAIRSFRLATRDRSWSPSAFHDWLLNLSRRGGLQELELTLRYSYKHHKLNSCLFSFRELTSLRLYCCGIPHVPAEFAGFPNLKTLYLSVVKVQSPGGRGLATLVAASRLLQEVTLIDTKLAGDGSEDDWVIRAPNLWKLTIALGREYGGRLEDLARLEECCLFGPNYAKYLRGMSRLTKLTFYCNTILSTEVDVLESLVLGVNFCKISHILAIFCLLRSAPVLEELDIWVWSDGTQEMKANDEFLNAQWINHMFAKLHVVRVKKVSCLINEMEFIEFILSKARVLRVLSLTLASNCPSSIEDTITEIIEYPRASPDAQVIFAGIEPESTNDEWNGFIDFSLELAELEDIENSGTGSLDSIHPRRRQRLNGEHVAQLQQLEEQLLDLEKEEEQHCTRRMQELKEMNQEGKNVVRHQKYILSTIESPFKQYNISQFKPPPLPGLPSVLSSHPATTGPGDTPDDPVDNVAANVRADSREDHPTPHTADAHVGSPTHIVDNGAGNALAMAPPSTRKRRFAAAEQEPPPDALGALPVEVLDDILGRLHIYEVVRTSALSRAWRRRWESLPSVDLTRSPGIAASDVDAVLLRRAAPVRAFRLAARDPSWFVDAFHDWLLYLSRNGVQTLDLWFPTENFRLHSCLFSCRELACLVLKGCCLPPAPSWFEGFPNLKKLCLKDVSLPEHGGKALVDLIASSPWLEDMELINVSLAGDGAEEWVICAPNLRRLVIASAFLYCGGRVDDLPRLEEGILCGLNYAKFLTGMANVTKLEFMCTFMQSTEVDVVEQLPFLFENLRSLVISVNFCKMSHILFMFCLLRSAPALEELNVVGQSKGAQDIDANDEFFNAQFTNDMFVKLGVVRMKRVACLSNEKCFMEFVLTKARVLRMLHVYPSSGSTYSNEQIVITKYPRVSPDAQVIFMDRESAYCGYMYTPNVNHELETTRTGSWIDVEHPPKIHRLDLDAVDQQKQLEETLLQSQRMWKNQNEIAQAHHEDMLEDTKRSLKSSVKSIEYFTSALKYLAEKCNIVIPPFPDSSSDSSSSQPQTLLPILPFIALKIRKQILEPMQTKSSRMLPVLVPILLNLIMFDESSNSSCWLRDPSLLFFLPDDVAVQFVYI >LPERR08G14330.1 pep chromosome:Lperr_V1.4:8:15335991:15347753:-1 gene:LPERR08G14330 transcript:LPERR08G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPRRKPRPAAEPTLESLPTEILENIVARLSIREAIRTSAVSRAWRRRWESTPNLRFRWSRNEVDVAVIGPVLARYSCPIRSFWTGWVDMQDSALTDEWVVLLAEGRVESISLGFAEHNFRCFHTIHSAIFSCCELTELELENCHLPAAPSGFGGFPNLTRLELSMVTIPEHGESTLEAIISSATMLRCLNLKNVFADGDDEECPNIEDLDIERRRVGPSADALGSLPLDVLDNILSRLHIHEVVRTSALSRAWRRRWESLLSVDLTRSPGIAASDVDVILLRRAAPVRSFRLATRDRSWSISAFHDWLLNLSRRGGLQHLELTLRYSYTHHNLSSCLFSFRDLTTLRLYCCGLPHVPTDFAGFPNLKTLYLSVVKVQSPGGRELATLIAASPVLQELTLIDVILIGDETDEDWVIRASNLRKLTIVLGYGYHGRMEDLPRLEECCLFGKNLARYLMGMARVTKLTFYCNCMSSTEVDVLERLPFLFENLRSLHWQPFNMVVGDALS >LPERR08G14340.1 pep chromosome:Lperr_V1.4:8:15349003:15350637:-1 gene:LPERR08G14340 transcript:LPERR08G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGEPTAKRRRLPAGEPDDLAALPPEIVDNIISRLNIREVVRTSVLSHAWRRRWESVRGLNLSFRSSDPAAAISSVLKRSTAPVSIFHLYIPGRRFHRAVRWLRILPTKRVQSLDLDFESSLDFPDKPNLDPIIFSCLDLTSLCLEGCVFPPPPPPSFVGFLKLTKLELSEIELPLHGEKHLEAIIAASPLLLELSLACAQLPRLWFVRGPNIRSLNISTDDDYVRIGELPQLEDVTISASSVKTEGVFNGNPPERFPFTFQNLRSLNLLACLDQIPSTSFVFAILRCAPNLEKLGIEVDCDTDEVDDAIVEGFANAETNDDIFPRLRYVRLHGSIGCSSNEMCFIKFVLSKARSLELFCVLVHSQNASSYKEALIEMATYKRASPRARLRFICR >LPERR08G14350.1 pep chromosome:Lperr_V1.4:8:15352731:15353806:-1 gene:LPERR08G14350 transcript:LPERR08G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRTEVLGGILKGLIHVERLEFDALIDKVDCDSNEVDAGNVEGFANAKTSDDIFPRLRYVWLLNISCSSNEMCFVKFVLSKAKSLELLFVRVSSQKTVSYEEACIKMAKYKRSSPLAGLKIIRGDSLVLDLQST >LPERR08G14360.1 pep chromosome:Lperr_V1.4:8:15353981:15360051:-1 gene:LPERR08G14360 transcript:LPERR08G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVEAKRRRLPAAEPDYLAALPPEIVDDIISRLDIRDVVRTSALSHAWRRRWHSVRGLNLRFKSTAPAAAIASVLKRSAAPIRKLRVRIRRRWIRGAVRWLRLLPRKRVRSLDIDFKVKFGVDEKPSLEPTIFSCVDLTSLSLTSCAVPRPPPSFAGFPRLTKLFLSDITLPRRGGRQLEAMIAASPLLVDLSLSYVRIPLWKKRWFVRGPNLQFLRICTYDDNGCMIGELPQLEEAVIESIPGIRTEDLCKILEGVTHATTLEFDTHLDWFENPPEGFSVKFLNLRSLHLYASLDEMPSTSLVFSILRYAPNLEELEVEVDRDSDRQDLIDTGIVEGFANAQTSDGILPKLRDVLLHGIYCSSNEMWFIKFVLSKARSLELFCVNACPHGIMSYAEACIEMAKYKRASPLARLRPITEGQTPAFTGGGAGLPRRPPAGDRRRHHRLDIRDVIRTSALSHAWRRRWHSIRGLDLDFQSPIPPAAISSVLKRSAAPIRSLRLRIPSRSFRRAVGWLRLLPRERVQSLDLHFAVKFPHDKPNLDASIFSCKELTTLCLYSCIFPPPPQPPSFVGFPKLTKLSLMEIELPPRGERRL >LPERR08G14370.1 pep chromosome:Lperr_V1.4:8:15365859:15370813:1 gene:LPERR08G14370 transcript:LPERR08G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLPGGGAPPLREDDDDDSAAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSAAAAASRRQHTYADSEVASGSERFHYAYRRAGSAMSSGDATPSVSARSGFLVDDEEEGEEEETAAGGSEDDEGKEWVAQVEPGVLITFLSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYEKVMELYNVQRFNQQTVPLPNTPKSEDESLKEDRPATPPLNNERLPHTLQRSLTGGRTIGYSQSDSFEHQHNLGNGHRQQHHHHQTGHLHNRSVGLPGEIQRDACQVMVGREPGKDT >LPERR08G14380.1 pep chromosome:Lperr_V1.4:8:15372567:15376223:-1 gene:LPERR08G14380 transcript:LPERR08G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGMWHVLNTVKSYKLKGASGFRAATWFPFPSPLRWLKHLELYLLLAFSVLAIADQLVNLPLLSFSVHPDALEHATMYLHLAVYASVALAAAAADADAQIGDVVAALAASVFGQELFLLRFHSADHKGIEGHYHWLLQIVVAASVVTTSATVIVPRSFAVAVVRSASVLFQGLWFVVMGFALWVPALVPKGCHGVGDGGDGGGDAMRSAVACATEEAARRAVVMANLQFSWVLAVVWAVTAYLCIRVESSRCTEYRQIQAPGGIDAGAIAGVDDGDAAQKRVFPVSDNVLFLLRPSSYVAPVWFPVRRARYLELVLIIAGAAASILMELIIGPARHNPFDADGTVPSDHLHNFEHASISLSLLVYAALAIALDRTAPRATRRDAAAVSQLAAFEQELVIFRLHSADHDGVEGQYHLLLQGVLAVTLVATVAGVAAPGSVEVSVVRSASLVFQGVWFVAMGVMLWTPAFLPKGCFLSQEDGHDVAQCRAGAPLARAKALVNLEFSWFLSATVVLVVAIYIRMCRIYKEEPRYVPLVTGDGRDDDGDGDDSDVEAGKGGGSGHVLLKPMEIARP >LPERR08G14390.1 pep chromosome:Lperr_V1.4:8:15376909:15377431:1 gene:LPERR08G14390 transcript:LPERR08G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDATVALAARFSRAASVAVPLRTSRPRRMGPARLSEARRSSCGGWRHGGEPTSRCRIADVPGADAADEGEVDARRRCDGSEHDNDAQNPRELMTPLPQLGEEGEHLDGTS >LPERR08G14400.1 pep chromosome:Lperr_V1.4:8:15398282:15399142:1 gene:LPERR08G14400 transcript:LPERR08G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERDGHNKPASTAGIDSFSQLPFIRPAREKQPSPSSSSAAPIRLFGFEVPPDAATATDAVEENKGSAVAASAAEGTKQSAAASGPDAIGGGGGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHQGHGGGYYPLLHDPYAAFAAAAYPNHHRFATAAAMPPPPHYPSWAAGSRSTSYYSGPGSISQPINGSPVAPAGMWRLPSAAGGLGSTAPVSAASRRHEPVVLGGAGSASFSPSTSSSSSSASPHERRGQPAAHKENVSLDLSL >LPERR08G14410.1 pep chromosome:Lperr_V1.4:8:15403425:15406043:1 gene:LPERR08G14410 transcript:LPERR08G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGCHFLLSLPLYSRRHHGAHHPAKVRSHVSCCSRQDDAEECASKPLTNGKEEEKSDPSRRKWIACLCAVTLISASGPTMFTPKGLAADLMNKPAVCRNCNGSGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPICLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPDS >LPERR08G14420.1 pep chromosome:Lperr_V1.4:8:15409897:15413054:-1 gene:LPERR08G14420 transcript:LPERR08G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRARLSSLLAGLPVLSGEGGLTLRTTTLDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPDLRVTVRGEDGPVARRAKDAFLSKGKPLVLEKIREYVSAMAKGGPAKDEVDSKKTPTKAAAAAAAGGTAAAAAPAAKKEEAPAVKEKKAKGKDKEGFKTIEMTEKFYCRAKDIYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGINEELQEGKLIVQKWRFGSWPDGVHSTVRLMFDEPESGVTVISLKQTDVPEEDRYGNSTVAENTERGWRELIFQRIRGVFGFGI >LPERR08G14430.1 pep chromosome:Lperr_V1.4:8:15419013:15419966:1 gene:LPERR08G14430 transcript:LPERR08G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSPPPPPPVPAPADAAAPPPWFYCYECESTVSLPSPPTRRIVCPRCRGGFLEENPNHPPDEDEEQEEAGSPPPPPPPPPGFLSDSSSDEGGDLDLGMGPAATREYLSRLLHHHLYDEHMDVASAAAAAVSLLEQGGGGGGEGGENAPASAASIAALPTVEVSEPAAACAICKDDLPLASPARRLPCGHLYHSDCIVQWLEMRNSCPVCRSRLPAAAAAAACTQEAAAVAPSEQGPPPVRISIRLSSNRRRLRTNHEAAPQIAAASPTQLAQAVTGEGGGGPANSTETVSSEWPPLPESDAVMSEDREGQRFFD >LPERR08G14440.1 pep chromosome:Lperr_V1.4:8:15442536:15443801:-1 gene:LPERR08G14440 transcript:LPERR08G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAVAVPDGGRPELVLGLGVGVGRGEDEVRRREDAVVGARRWAAASPEPSVRLSLVSSLALHWPSDTGGVEPAAARGFDVNRAPSVAAGMEDDEDGPGAAPALSSSPNDSGGSFPLDLSGHGGIRGGAGGEAPAAAAHGGGERSSSRASDDDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTARPFYMHLPATTLSMCPSCERVASNPATTSAPAVAASPERRPSSFAALFSAPRGFPLAAGQPRPPPPPESNCL >LPERR08G14450.1 pep chromosome:Lperr_V1.4:8:15457231:15458727:1 gene:LPERR08G14450 transcript:LPERR08G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQFWLLWITLAVSVSYYISNRRRRVGGRRCPPGPMPLPFIGNLLNLRGHLPPALARLARTYGPVMTLKMGLTTTVVISSSDAAREAFTKHDRHLAARTVLDVTRSLGFADRSMIWLPSSDPVWKTLRGVAAANIFSPRGLASLRGVREGKVRELVGYLRARAGETVDVRHAVYGGMLSLVSSSFFSVDVVDLGAESENEFRRSMTFLMEVVSKTNVSDLYPFLRRLDLQGWRRLTERYLGRVIRFLDDVIDRRLADTNASPDKHGDFLDSLLDLVSAGKIARENVTTILLDVFVAGSDTITATVEWAMAELLRNPSELAKVRAEMDIALGGKESIEEPDIGRLSYLQAVVKEAMRLHPAAPLLLPHKAVEDGVEISGFAIPKGSMVIFNAWAIMRDPVAWERPDEFMPERFLRRAEEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFVLTSLLRAFEWRLPDGVCAEELDMSHKFTIPNFRTVPLKAVPVVVS >LPERR08G14460.1 pep chromosome:Lperr_V1.4:8:15458878:15462676:-1 gene:LPERR08G14460 transcript:LPERR08G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVASTGSDTADPVQHSTFFASRYVRDPLPRFRMPERSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVELVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRCKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMENCMENTAILRQGIEATGRFEILSKEAGVPLVAFSLKDRDRYTVFDISENLRRFGWIVPAYTMPANAEHVAVLRVVIREDLSRSLAERLVVDIVKILHELDAHAAQVVKVSSAIAKQSGDDGIVTKKSVLETEREIFAYWRDQVKKKKTGPC >LPERR08G14470.1 pep chromosome:Lperr_V1.4:8:15470264:15470740:1 gene:LPERR08G14470 transcript:LPERR08G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIRRKSHSDCHKEMVRHVDLTLSQRTISPHLCRELLSSVPGDTTLAPGVRGKTNGELRRGRMQRSDVEEVNHEQLLIGSPTSNLWKISWILSSETVRRPPFILAHGDAILREKFVPLNESAGCSRQKQIKGAILSHAGESIPGIFGVLLRLNRERE >LPERR08G14480.1 pep chromosome:Lperr_V1.4:8:15472809:15478007:1 gene:LPERR08G14480 transcript:LPERR08G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAATRKKLQRKFRLRGFTLKVDALEEAADFLARFPDAEDDALDLLLDELDKEPLESSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDVNCESLIGCTGRRWIMGVISQLEERQFYLEDLTGAGNFTTFLNTQHKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSILMGLDFFGGGVIPTEETVRLATLEKKAMNDMFVILSDVWLDSPETMEKLGIVLDGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >LPERR08G14480.2 pep chromosome:Lperr_V1.4:8:15472809:15478007:1 gene:LPERR08G14480 transcript:LPERR08G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAATRKKLQRKFRLRGFTLKVDALEEAADFLARFPDAEDDALDLLLDELDKEPLESSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDVNCESLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSILMGLDFFGGGVIPTEETVRLATLEKKAMNDMFVILSDVWLDSPETMEKLGIVLDGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >LPERR08G14480.3 pep chromosome:Lperr_V1.4:8:15472809:15477698:1 gene:LPERR08G14480 transcript:LPERR08G14480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAATRKKLQRKFRLRGFTLKVDALEEAADFLARFPDAEDDALDLLLDELDKEPLESSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDVNCESLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSILMGLDFFGGGVIPTEETVRLATLEKKAMNDMFVILSDVWLDSPETMEKLGIVLDGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >LPERR08G14490.1 pep chromosome:Lperr_V1.4:8:15489792:15492075:1 gene:LPERR08G14490 transcript:LPERR08G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNLYTLYYAALLQKKGVSQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISTQPVVAARPRRLRRFIERSMIARRLLLLIAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSPAILVGLFLLQKYGTSKVSFMFSPIMAAWTFTTPVIGAEAMFADLGHFSKRSIQIAFLSSIYPSLVLTYAGQTAYLINNVDDLSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKNKEGEVYSPETNYILMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVLLVALYFVPFLLLEGTYVSAVRAKILRGGWVPFAVSVALATVMFGWYYGRQRKTEYEAANKVTSERLRELLSTPGVHRAPGLCFFYTNKQDGWLTPVLAHYIKNMRSLHEVTIFLTLRYVLVAKVDAKDRVSVRRLGPSGVYGCTIQYGYADAIDFEEDDLAGQVVSALREHVGEAPEEAARVEEARAAGVVHVRGKMRFHVGNDVRPGAAWVLRVPA >LPERR08G14500.1 pep chromosome:Lperr_V1.4:8:15494484:15496422:-1 gene:LPERR08G14500 transcript:LPERR08G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQEREMHAWRWPLDTMACSLRDSSRFLFEWVPHFRYFGVGVVGVGAASDPHGHNPHGHELGLEFFFPKCMESPAMEATAAVTSLPAPQDTGVMYEEFDELLQNFWDCNEEQLVSFGSSSFLKENSDVTCFPDNDGDDLPLGLNSASPIGPPDNTVGLLQGDYEQQPHSSASSHCNVGPHASDTGTSADKNCSSKRPVTPEGTETASSKKSRTMPAAQYGSSVLYPFAVVKPSGLDGGMTLADINARILTRPPRPVRHPVGEFACAPRAAAGNRPAPSGKTVAGFTRLHTAGRGTITIIRTTG >LPERR08G14510.1 pep chromosome:Lperr_V1.4:8:15540523:15543276:1 gene:LPERR08G14510 transcript:LPERR08G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPQDASAAAPEPFRSLQIATAGAAASKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACNAGRPRAAEASSSPGHGGGVLGASSSQQQLFGVPASLSRTASRSSASPSSDLVVSPMAWPPATGGGAPAMAAPPAFHRFTDPTLSPPTPCGRRGGGGGHSLELQLMPPRGTTCANGGDGGAPVAAAVGYYAASPRSPAALPSRQPAATDAMRLQLSIGFGGARDDGSNNNSSSSSEVSATAAAATRLKEEAHEQLRLAMAEKKAADEARAQAKRQAELADQEFAAARRMRHQAQVELSRAHALRDHAMRQVDATQLQITCYSCRHKFRARAAAMSSEVASYVSSVVTEGGDNDGLPRSRSRTMAMDIN >LPERR08G14520.1 pep chromosome:Lperr_V1.4:8:15548695:15549846:1 gene:LPERR08G14520 transcript:LPERR08G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVASLRPNAELEAAVIRATSHDERSVDYRSAARVFALARASPSSSALQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPAKTSGFSAFVRAYFHFLDTRSLFAAQDMDAGDGEDDDTEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETVAAARRRRGVQGMRVLRKASEQSALLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVEDKGKVDEEKALVAVAEDAGVESSRTVVTRQWVVFDDDEVAGAMQGHFGNYVNPFLGAPWEAVTGSGNLLV >LPERR08G14530.1 pep chromosome:Lperr_V1.4:8:15553014:15560599:-1 gene:LPERR08G14530 transcript:LPERR08G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFLVLLLLAAAVAGGGGHEGSSSCPFHSHDEPHDDYHHGHGHGHGHGHSCGGGGDESHHHHHHGHGHGHGHGHGHDHGEIQQRLLPEELAEEADLEVDAFGDHRHHHHHGHHHEHHHGHGDFHPELSPLGIWLRAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVQCLVTRFFIKCHMLLVEGILMRMITRITIILMSIHMHTLWKIFLLACLFYIVRYVEDNSKKGAHNMGHGHHHHHHKRHENSSEAKLEDTKEDHDGAIEKTDGGTRADSKSALRKVAKELRRNLCIAVQGLKLVVEKSSATTYGLDIFSDEQRSVSSGSNATDREPLNSESDPAPDRGLANEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTIKNSMIQLISLSMGMLVALGISLVE >LPERR08G14530.2 pep chromosome:Lperr_V1.4:8:15553014:15560599:-1 gene:LPERR08G14530 transcript:LPERR08G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFLVLLLLAAAVAGGGGHEGSSSCPFHSHDEPHDDYHHGHGHGHGHGHSCGGGGDESHHHHHHGHGHGHGHGHGHDHGEIQQRLLPEELAEEADLEVDAFGDHRHHHHHGHHHEHHHGHGDFHPELSPLGIWLRAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVQCLVTRFFIKCHMLLVEGILMRMITRITIILMSIHMHTLWKIFLLACLFYIVRYVEDNSKKGAHNMGHGHHHHHHKRHENSSEAKLEDTKEDHDGAIEKTDGGTRADSKSALRKRSVSSGSNATDREPLNSESDPAPDRGLANEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTIKNSMIQLISLSMGMLVALGISLVE >LPERR08G14530.3 pep chromosome:Lperr_V1.4:8:15553014:15560599:-1 gene:LPERR08G14530 transcript:LPERR08G14530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFLVLLLLAAAVAGGGGHEGSSSCPFHSHDEPHDDYHHGHGHGHGHGHSCGGGGDESHHHHHHGHGHGHGHGHGHDHGEIQQRLLPEELAEEADLEVDAFGDHRHHHHHGHHHEHHHGHGDFHPELSPLGIWLRAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGAGAMLGDSFLHQMPHAFVGIVLFFIVEKIVRYVEDNSKKGAHNMGHGHHHHHHKRHENSSEAKLEDTKEDHDGAIEKTDGGTRADSKSALRKVAKELRRNLCIAVQGLKLVVEKSSATTYGLDIFSDEQRSVSSGSNATDREPLNSESDPAPDRGLANEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTIKNSMIQLISLSMGMLVALGISLVE >LPERR08G14530.4 pep chromosome:Lperr_V1.4:8:15553014:15560599:-1 gene:LPERR08G14530 transcript:LPERR08G14530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFLVLLLLAAAVAGGGGHEGSSSCPFHSHDEPHDDYHHGHGHGHGHGHSCGGGGDESHHHHHHGHGHGHGHGHGHDHGEIQQRLLPEELAEEADLEVDAFGDHRHHHHHGHHHEHHHGHGDFHPELSPLGIWLRAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGAGAMLGDSFLHQMPHAFVGIVLFFIVEKIVRYVEDNSKKGAHNMGHGHHHHHHKRHENSSEAKLEDTKEDHDGAIEKTDGGTRADSKSALRKRSVSSGSNATDREPLNSESDPAPDRGLANEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTIKNSMIQLISLSMGMLVALGISLVE >LPERR08G14540.1 pep chromosome:Lperr_V1.4:8:15560222:15560721:1 gene:LPERR08G14540 transcript:LPERR08G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAMVVFVVVAMVMVVAVVAEGIDLEIRLLGELLWEKPLLDLTVVMAMAVAMPMPVPMVLCPCPCPCPCPWW >LPERR08G14550.1 pep chromosome:Lperr_V1.4:8:15562198:15563916:1 gene:LPERR08G14550 transcript:LPERR08G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWAILSHVHSVAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYEKLVREQLKKYRARGGAAVAAEDHKAEHNHAN >LPERR08G14560.1 pep chromosome:Lperr_V1.4:8:15568989:15570407:1 gene:LPERR08G14560 transcript:LPERR08G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPDAASGSASEASDGEAPRRRSRSRSRSPSRSVSLSPSRSRSKTPPSNLHPNPAALSTPASAAVDFAAVSDSDADAAAADADADADARLASPRRSRERSPRLHSDSDNSAAAEVEEADAEAVAVAAASDDGDDEGNATPPPRSRRSSRVEPSSIKPISSRPMDASRRPATPAAAGGSSQSQRRSKRPRASPAQHSPEYQKRQPRVWNPEDEVTILRALITYRAKNGVLPGSSQETAKLHNMIRGQLTVKASTTQLSDKIRRLKHKFNLILARVTKSGREPNFPTVHDREVYDLSKKVWNSKTGGVISAGAGSAGGGGGGRVYENVEEVAESDEEQGSAESDDDMESGWDDRDHRNKRLKAIVANGNGNAIVTGGRSVHGNGSWKGDAAEKGKDMYPYLWEAVEELSKEHPSGTTFRKAFGMLDGSRARAMEEKLNRFRLSEIRQQVRRMDLMKETIKMVLDALEGTIE >LPERR08G14570.1 pep chromosome:Lperr_V1.4:8:15590380:15595801:1 gene:LPERR08G14570 transcript:LPERR08G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSTPVRVAPKGGAKAYPPANGIPRRADSPVRGCGFPPLVSPPPRKPRGDTASSDDEDDEQEDWREVYGSHLQLEVEPPVRDPRDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAALHYVRNHGAVPRADWSTWSVEVTGLVKRPMRITMDELVNDFPAVEVPVTLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPAKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVIATPGHDEILPINGFTTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCALDHPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGSDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCRLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLVKVYFKNEHPKFPDGGLMTQYLESLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRHARRLAMIAGGSGITPMYQVIQSVLRDQPEDKTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAISPNLEKMKYDMANSFIVF >LPERR08G14580.1 pep chromosome:Lperr_V1.4:8:15596222:15600044:1 gene:LPERR08G14580 transcript:LPERR08G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGRRLPAAPDRTPMQDPHILYGRNLESAFSRRDSDAFSLCSSRPSSIGTAPSLAAPVTNFSDKASQAAALRVVNAYLAPTINLRHPFPAAKDILAAFRHFLDVLQYRNQGAFEDDLLYILRFLGCPYKLTRSALKAPGTPHSWPPLLSVLYWLTLLVRCDENADNSTSQFDAISDDLTLYFTNSYSLFISGEDDAVAALDDEYFSKARVHAEADVEATQAMEKEAQDLEAKRIKLTSGPSTLEKLQTEKEAFTADIQKFESVVNTWTTKIQEKEESLACLDKELEAKVLDGQRIVAENEELMKKVDTQKLNVRDVERMQREIQAVEHDISKSDNGKVTLEEKGWDLDTAIVRKLEEIEGSMEQVNQALRKLNLNVEFHYTVNTKGSSPVEVLGTGYKTILKPALNSLADEMRMISVSKHDDVVDLEKQSQRNAKVLEEKRNCLSAHQANYDKSVALMDSLDVEIENHGSRCKNDARLIENELEKKEHELSTVEKEAHGLLKNSENRLQDAKQQSDQETQLCARQLLELIDSVADYKEFMEATISGMKKELYETVDYISSLPSKAVPTS >LPERR08G14590.1 pep chromosome:Lperr_V1.4:8:15602804:15609278:1 gene:LPERR08G14590 transcript:LPERR08G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVFVLVLVLVATTLLPVSHCSDSDGVGFHLNLRHVDAHGSYTKPQLVSRAICRSKARVAALQAVVAAAATAAPLVDPITAARILVAASQGEYLMDLAVGTPPLYYTAMLDTGSDLIWTQCAPCVLCADQPTPYFRPTRSDTFRLVPCRSHLCAALPYPSCFQRSVCVYQYNYGDYASTAGVLAGDTFTFGSGAAPNSTKVMAPNVAFGCGNINSGELYNSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLYFGVFATLNSTNASTGGGSPAAQSTPLVVNAALPSLYFVSLNGISLGDTRLPIDPLVFTINDDGTGGVFIDSGTSLTWLQQDAYDAVRGELVSVMSPLPPANDTEIGLETCFPWPPPPNVMVTVPDMELHFDGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHPLVVRAGAVQHHIGDLDHPYAGLPLSTHDVVRHGARASKARAAWLNSKLAAGLSNKRGGVSSADVRLAPLSDQGHTLTVGVGTPPQPRRLIVDTGSDLIWTQCKLASSRRHAAEPALYDPGESSTFQFLPCSCRLCQEGQFSFKNCTTKNRCVYEDVYGSATAYGVLASESFTFGVHRAVTIPLGFGCGALSAGTLAGATGILGLSPESLSLITQLKIHRFSYCLTPFADRKTSPILFGKMADLSKHKTTGPIQTTSLLKNPVETVYYYVPLVGISLGNKRLAVPASSLAMRPDGSGGTIVDSGSTVAYLVETAYEAVKEAVIDVVRLPVAKNRTIEDYDVCFLLPRAAAAKAAVMEAVQGLPPLVLHFDGGAAMVLPPENYLQEPRARLMCLAVANSTDGSGVSVIGNVQQQNMHVLFDVRNQKFSFAPTQCDQI >LPERR08G14600.1 pep chromosome:Lperr_V1.4:8:15612267:15613674:1 gene:LPERR08G14600 transcript:LPERR08G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSLVLAVVLCCLVTSGEAVWLELSTTATKCFSEEIQSNVVVIGDYSILFEGYPTRPVLSVKVTSPYGNVLHHKDKVDQGKFSFNTKETGIYMACFSVDNNVDKEMGVNLNLEWKIGIATKDWNALAKTERLEGVALEVAKLETAVQAIHENLLYLKSKEAYMRDVSDWTNFKITWLSLMSLAVCIIASIVQLWHLKRFFRKKKLI >LPERR08G14610.1 pep chromosome:Lperr_V1.4:8:15619622:15621120:-1 gene:LPERR08G14610 transcript:LPERR08G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGGGGGGGMDALPDGVVQQILSQLSSARDVAACAGVSRGLRGFVPFLPSLYFPRGAFDAAGGAAAADEAIGRMVDAASRLEQLVIYCPFSAALLPRWLAARSSTLRVLELRMDSAVPPSASGAGSGHMDCVAAVANLEELRLWGLTMTRAPAWGRLERLRVLEIIGAVMRDAAVNGAVAACPNLTILALIGCEFSGAVAITLPLLERCRLDFVGSANCSLALAAPRVESLEVQGFCWISLQGGNRLKHLTIAKNTGSVYSIDMGKLPELEKLSLRGVQWSWGAVSSALQCAREVKYLVMKVEFCGNHETLEPFPEVDLVDFFNSHPKLVKFEIHGAMFAAMCQKNSLKNLDSRFSTPCLEEVLITVRSPLNAEQKLDTLESLIKYSPRMRRMVIRISQMKNCHGSADGFFEEIFKFMHMNNGTVRIE >LPERR08G14620.1 pep chromosome:Lperr_V1.4:8:15625668:15628929:1 gene:LPERR08G14620 transcript:LPERR08G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGAGKLTRTPSSLLRSPTMRTPSFDDPEPDDKKAQGKKKLLRPAAAHLHHRLRPGPAKSVLILALALALALPLLALTVLLLRHDGHHLVLLAAAAGAALAAAAAVARLRGRIRRAAPAGLPASVRWFIGEGEGDDDAGAHRKGKGKSDEPVVREGVEFYSNGDCYEGEFHCGRCSGSGVYNFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGQFKGGVKHGLGCYHFRQTPSHRIIFLHIRVNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGVGMYTFRNGDKRSGEWDSGALKNPLPLSDQAVHRAVLAAQRAADSAFHLPRVDEPVNRAVMAANRAATAARVAAIKAVQNRIDGKFSHTYVDV >LPERR08G14620.2 pep chromosome:Lperr_V1.4:8:15625668:15628929:1 gene:LPERR08G14620 transcript:LPERR08G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGAGKLTRTPSSLLRSPTMRTPSFDDPEPDDKKAQGKKKLLRPAAAHLHHRLRPGPAKSVLILALALALALPLLALTVLLLRHDGHHLVLLAAAAGAALAAAAAVARLRGRIRRAAPAGLPASVRWFIGEGEGDDDAGAHRKGKGKSDEPVVREGVEFYSNGDCYEGEFHCGRCSGSGVYNFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGQFKGGVKHGLGCYHFRQTPSHRIIFLHIRVNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGVGMYTFRNGDKRSGEWDSGALKNPLPLSDQAVHRAVLAAQRAADSAFHLPRVDEPVNRAVMAANRAATAARVAAIKAVQNRIDGKFSHTYVDV >LPERR08G14620.3 pep chromosome:Lperr_V1.4:8:15625668:15628452:1 gene:LPERR08G14620 transcript:LPERR08G14620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGAGKLTRTPSSLLRSPTMRTPSFDDPEPDDKKAQGKKKLLRPAAAHLHHRLRPGPAKSVLILALALALALPLLALTVLLLRHDGHHLVLLAAAAGAALAAAAAVARLRGRIRRAAPAGLPASVRWFIGEGEGDDDAGAHRKGKGKSDEPVVREGVEFYSNGDCYEGEFHCGRCSGSGVYNFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGQFKGGVKHGLGCYHFRQTPSHRIIFLHIRVNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGVGMYTFRNGDKRSGEWDSGALKNPLPLSDQAVHRAVLAAQRAADSAFHLPRVDEPVNRAVMAANRAATAARVAAIKAVQNRIDGKFSHTYVDV >LPERR08G14630.1 pep chromosome:Lperr_V1.4:8:15633575:15634053:1 gene:LPERR08G14630 transcript:LPERR08G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGANILKEVVVGLWHVLDKRPILSELRRKDAKTLPCEDLKRFVKVVNRARIKEHNNFTAKN >LPERR08G14640.1 pep chromosome:Lperr_V1.4:8:15640402:15642497:-1 gene:LPERR08G14640 transcript:LPERR08G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLLILIAAAALLLAAAVPAARAQEETDHEDEFSYIVGEENGPEHWGKIKPEWSHCGGGEMQSPIDLTHSRVTLVRGLGYLNHSYRAADASIVNRGHDIMVRFNGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEIGHPDRFLQKMEPYLRMIADKQDREEKVGVIDPRGARGRASVYYRYMGSLTTPPCTEGVIWTIVRRVRTVSKYQLELLREAVHDDMENNARPLQAVNHRDISIFRPIPHKRY >LPERR08G14650.1 pep chromosome:Lperr_V1.4:8:15664571:15666888:-1 gene:LPERR08G14650 transcript:LPERR08G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTTRVILLAAAAVILLSASPAARAQETENERKFSYIPGSPNGPANWSSLNRPFWDACNNESNQAKQSPIDLADDRVKPMLNLGFLDYSYQPAQATIENRGHDIEVKFTENAGRLMINGKPYQLMQLHWHTPAEHTVNGRRYDMELHLVHSDGNNNAVIGNLYEIGNPDPFLLSLEPYIRILIANRTDNSKPMPIGKVDPSIAKSRDAVYYRYNGSLTTPACTEGVIWTVFKRTQTVAKYQLDLLRDAVDDGYENNARPLQKLNNRTISIFKPSIL >LPERR08G14660.1 pep chromosome:Lperr_V1.4:8:15675449:15681544:-1 gene:LPERR08G14660 transcript:LPERR08G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLGAAAVVLLLLSAAPAAIAQETKNHPEFGYIPGSPNGPEKWSTLNRPYWDACNNKSKDAEQSPIDLTNERVKLMRNLGNLDYSYRPTNANITNLGYEIEVEFTANPGRLVTSGKTYQLKQLHWHSPSEHTVDGRRYDLELHLVHQDSKKINAVIGILYVIGNPDPFIRTLEPSIKKIANRKGKSEPIGMVDPSLAKSKDPVYYRYNGSLTTPPCTEGVIWTVFKMTQTVAKYQLDLLRDAVADGYENNARPLQNLNKRNINIFKPDPL >LPERR08G14670.1 pep chromosome:Lperr_V1.4:8:15685509:15686690:-1 gene:LPERR08G14670 transcript:LPERR08G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEESDAARQKAAAAVPAPFLTKTYQLVNDPATDHVVSWGDDAGGGSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYVRIITNMYVLLRDYVHAINGASPDALRRMQGFRKIVPERWEFANEFFRKGEKQLLCEIHRRKSSPATWPPFPPPFFAASRHHFAGVGAAALFRHVGDATLHGLMTTTDQVRRRHWFERAVAAAPPSRLLELAAPAPGSAVEEALVEENERLRRGNAALAQEVAHMRRLYSDIIYFVQNRVRHVEPSPAAAVLHGILRPASTSGKVYCDGASGGRSATSSSSLTVAADQDQPLLALRLPRTTEEINGDVVAVAGGGSGSTKLFGVQLSTDDQTSSGVSRKRSLQEQHPTTSPARKRMMAVEHDELRLSVVSPP >LPERR08G14680.1 pep chromosome:Lperr_V1.4:8:15699306:15699989:1 gene:LPERR08G14680 transcript:LPERR08G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNPEAQFELMNTMLQLEQLSSFPDHHHGAMAVPCSPPSPPCIGGPQAHHHHFSSAPQPHHAVSPTNGGYRDKYNYTQHLPAASAASSYTTTTASRPTANSTGGEGGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPPAYPGLNGGQW >LPERR08G14690.1 pep chromosome:Lperr_V1.4:8:15706219:15711724:1 gene:LPERR08G14690 transcript:LPERR08G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLELSSASDQRRYGGSSQKLTLFVHSPKGLVLWTCVFDCYAPNCFPRVSLGALDNLRKAMDYIGLLCTIIDSHGLSGRSQAHTFEARKEIIITADYSINGDDNLIFMRYHKLAIDLKSSADVRIQLSYVENQEGVANVDEIVTNADAFMVARGDLGMEIPIEKIFYAQNSNVAIAVLDGTNCVMLSGETTTKAYPELAMWTTANICLKAES >LPERR08G14700.1 pep chromosome:Lperr_V1.4:8:15718078:15719544:1 gene:LPERR08G14700 transcript:LPERR08G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRKKKRRDESRKNKIRKRTEANQVYSAEEKRALAAFSFEQRAMRESKPCGAPSPDQSPTTQNWSGTGQQEVMVSGAEEDETKAATAFRDSRRRNGGDGSVPEQQET >LPERR08G14710.1 pep chromosome:Lperr_V1.4:8:15724378:15729152:1 gene:LPERR08G14710 transcript:LPERR08G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLGGGGEGERVLVRKRWRKEEAEGGGGGCSGSSTSHGSSLCDSPLPSFVRHGGGGPGSDLELDGLPTSSSNASSGSQEEDHGPLQGVKEEGWIQVQGPIKNTAAHSTGECQDQRYRLGSDLFHGRTERKQRPASVDFGCPNVDKSSTHSPGFLVNGAGMMNKGLGVSSQNKSGVPTSPGTPGNNRRGVTAVGYQQGWSSERVPLSSNGQRRYPGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFGEPYSSVSSSSSLLDNGRVGNLKANSPFLAGVLLPEHVCGGNSHTGRDLKCVSGDDSSNGLGVASGVAHGAHPSVWSTRVYQQLNSAFQSSQSSPTSQESAQACTVEQIEITTDSTTSNKPAILRKDVATQTSPERSRSSSPSGRSSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSENNSTNIIEWKKKTVESKSSAWEVTETAKCISKVEGEEAKMTAWENMQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSANRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGCFTCHAF >LPERR08G14710.2 pep chromosome:Lperr_V1.4:8:15724378:15728477:1 gene:LPERR08G14710 transcript:LPERR08G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLGGGGEGERVLVRKRWRKEEAEGGGGGCSGSSTSHGSSLCDSPLPSFVRHGGGGPGSDLELDGLPTSSSNASSGSQEEDHGPLQGVKEEGWIQVQGPIKNTAAHSTGECQDQRYRLGSDLFHGRTERKQRPASVDFGCPNVDKSSTHSPGFLVNGAGMMNKGLGVSSQNKSGVPTSPGTPGNNRRGVTAVGYQQGWSSERVPLSSNGQRRYPGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFGEPYSSVSSSSSLLDNGRVGNLKANSPFLAGVLLPEHVCGGNSHTGRDLKCVSGDDSSNGLGVASGVAHGAHPSVWSTRVYQQLNSAFQSSQSSPTSQESAQACTVEQIEITTDSTTSNKPAILRKDVATQTSPERSRSSSPSGRSSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSENNSTNIIEWKKKTVESKSSAWEVTETAKCISKVEGEEAKMTAWENMQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSANRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGCFTCHAF >LPERR08G14720.1 pep chromosome:Lperr_V1.4:8:15731498:15737728:-1 gene:LPERR08G14720 transcript:LPERR08G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPLHSSEIPKEIPNPFVPAAAAAAAAMANSGEEGRVVVDLRSAAESAVGGDEEAHATPLHEIESLCMRCHENGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVPRGQNEVLNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDIFLAKLRSLGLGEAAFTFILDDPAGNSFIENPNAPSADPLLSVRFYERTREQQAALGFLVEPPTEEPGEPVSHASTVEGNSEVSQKEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEEVDTLPSTCGACGAECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVQVPELELELASGTLGGLVTTVEGLIVKICEALERVHGFQLGDSTLEWKKKKWEDFKDRLSKLLSLQEPWTLIIDDGLAASFVAPATDTIEDDSQLTIEEYQRSWEQNEELGLNDMDTSSADAAYNTTNT >LPERR08G14720.2 pep chromosome:Lperr_V1.4:8:15731498:15737602:-1 gene:LPERR08G14720 transcript:LPERR08G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEEGRVVVDLRSAAESAVGGDEEAHATPLHEIESLCMRCHENGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVPRGQNEVLNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDIFLAKLRSLGLGEAAFTFILDDPAGNSFIENPNAPSADPLLSVRFYERTREQQAALGFLVEPPTEEPGEPVSHASTVEGNSEVSQKEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEEVDTLPSTCGACGAECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVQVPELELELASGTLGGLVTTVEGLIVKICEALERVHGFQLGDSTLEWKKKKWEDFKDRLSKLLSLQEPWTLIIDDGLAASFVAPATDTIEDDSQLTIEEYQRSWEQNEELGLNDMDTSSADAAYNTTNT >LPERR08G14730.1 pep chromosome:Lperr_V1.4:8:15739896:15743809:-1 gene:LPERR08G14730 transcript:LPERR08G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPPPHPTNRVAPLLSKPLACRAAAPAAAPSLSATAAAAAARAAPPVNRKKVVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASCGARIVADKLIAACADQVFDLVALPITCHPSFIGDLPTFRAVETNVQVSGELTTSRGPGTSFQFALSFVEQLFGTCIAEDMDNTLMTQVDDNLERSIEVNEIEWSSDHIPRVLIPIANGSEEMEIIMLTNVLRRANVNVVLASVEKSTSIVGSQRMRIVADKRILDASATEYDLIILPGGPAGAARLHRSRVLKKLLREQKQTGRMYGGVCSSPVILQKQGLLQDKSVTAHPSIVDQLTCEVIDQSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFQYPKS >LPERR08G14740.1 pep chromosome:Lperr_V1.4:8:15749132:15755997:1 gene:LPERR08G14740 transcript:LPERR08G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGGGAERRGAAAAGAAPLSRQGSIYSLTFDELGGGLGKDFGSMNMDELLRSIWTAEESQAMASASGGAAPAAGLQRQGSLTLPRTLSVKTVDEVWRDFEREVSPDAATGGGGGEQQPGRQPTLGEMTLEEFLVRAGVVRENPAAAAAMVAAAAAAPPVAPRSIQTVNNSSIFFGNFGAANDGAGAGAMGFSPVGIGDPTMGNGLMSGVAGIGGGAITVTSVDTSVGTMDSAGKGEGDLSSPMAPVPYPFESVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEMMEMQKRLFPDMQKNQGGGSSLRSGQQSIRTEEALLKKNIDRSLISLSQKFGSFCSLLILQTAVEWELELPAAD >LPERR08G14740.2 pep chromosome:Lperr_V1.4:8:15749132:15755997:1 gene:LPERR08G14740 transcript:LPERR08G14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGGGAERRGAAAAGAAPLSRQGSIYSLTFDELGGGLGKDFGSMNMDELLRSIWTAEESQAMASASGGAAPAAGLQRQGSLTLPRTLSVKTVDEVWRDFEREVSPDAATGGGGGEQQPGRQPTLGEMTLEEFLVRAGVVRENPAAAAAMVAAAAAAPPVAPRSIQTVNNSSIFFGNFGAANDGAGAGAMGFSPVGIGDPTMGNGLMSGVAGIGGGAITVTSVDTSVGTMDSAGKGEGDLSSPMAPVPYPFESVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEMMEMQKRLFPDMQKNQVLEVVNNPYGQKKRCLRRTLTDFIVSEVRELLQSANSANSCGVGAGASCC >LPERR08G14750.1 pep chromosome:Lperr_V1.4:8:15771965:15772951:-1 gene:LPERR08G14750 transcript:LPERR08G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFPPDLRAVLSMGLPSGPGFPDWRTRAGLRSAFDLPIAAASLQIARGTLWPRCWGPRPADPDRAVRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPTPPPPPSISGEIAAAATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASTSSSSGCSSPPARRSRTPHWVDTYLDRLGSVLKQGGWRDTEVNEMVEVTAASGLFDGEEAAAAPAVDADAVHDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAIQIPPEIAVKVERLARSVARR >LPERR08G14760.1 pep chromosome:Lperr_V1.4:8:15786764:15787997:1 gene:LPERR08G14760 transcript:LPERR08G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSQGGVSNHFMDLRFWISLGFARGRHYHKEPTNDELFMYASSWPDCGYDFSCCKNIFIPVYWMGGYFLIIVDKELKKVFILDPRPVNKKHKDYPVGSYTRKIVCISENLMRGMRRTHSGYLVYLFMRSWSNGELRLPTYKGCGDLRK >LPERR08G14760.2 pep chromosome:Lperr_V1.4:8:15786764:15788070:1 gene:LPERR08G14760 transcript:LPERR08G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSQGGVSNHFMDLRFWISLGFARGRHYHKEPTNDELFMYASSWPDCGYDFSCCKNIFIPVYWMGGYFLIIVDKELKKVFILDPRPVNKKHKDYPVGSYTRKIVCISENLMRGMRRTHSGYLVYLFMRSWSNGELRLPTYKGCGDLRK >LPERR08G14770.1 pep chromosome:Lperr_V1.4:8:15788607:15797299:1 gene:LPERR08G14770 transcript:LPERR08G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAGGRRRRCGLLLPLLVAVAFLGEIAFLGRLDMAKNAAAVESWTTSFYARPFSASDSRKGAVKVAHADADADAPPGGGEVVEEEEDDGIRLCQERLEREDGVPHDRDFDKDPVLVGGAAKDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAAARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIANTMKHIASNQEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEEMNPKFTGRPCSCSSKSGKVYHLFVRERGRFKTESIFLRSDQLTMSALESAVLAKFRSFNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFKFRDDADLEKHIKDHPCAKLEVIFV >LPERR08G14780.1 pep chromosome:Lperr_V1.4:8:15801458:15806242:-1 gene:LPERR08G14780 transcript:LPERR08G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFLFFVTAAALSFFLCTNEQRRRSDAGRQSRQQNEQQKKKLKLPPGSMGWPYVGETLQLYSEDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKERMIGPAAIFFHQGDYHLRLRRLVQAALSPASLRALVPDVDAAVASTLAAWADAGVAASTFHAMKKLSFDVGVVTIFGGRLGRRQREELRTNYSVLERGYNCFPNRFPGTLYYKAIQARKRLREIVSEIVAERRATGGDDLLGGLMSSRVDGGETGATAALTDEQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWPQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPEYFQDPHKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEILVLLHRLLTAYGWEIVGASDEVEYSPFPVPRRGLNARLWKLPQEMVATAAGGA >LPERR08G14790.1 pep chromosome:Lperr_V1.4:8:15824598:15826744:1 gene:LPERR08G14790 transcript:LPERR08G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTVTLCCALVAVLCLSSSFAQAQVLFQGFNWESWRHQGGWYNFLREKVEEIASTGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELRSLIEAFHDSHVQCLADVVINHRCADYKDSRGIYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGAGFGAAPDIDHLNPRVQRELTDWLNWLRTDLGFDGWRLDFAKGYSAPIARVYVDNTNPTFVVGEIWSSLIYNGDGKPSPNQDADRQELVNWVDGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFIDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHPESKLEILEADGDLYVAMIDGKVITKLGPRYDVGGIIPSDFHVVAHGNDYCIWEKSGLRVPAGRRHP >LPERR08G14800.1 pep chromosome:Lperr_V1.4:8:15828824:15831402:1 gene:LPERR08G14800 transcript:LPERR08G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFNWESWKQQGGWYNLLKTQVDDIAKAGITHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTASDLKSLISTLHAKGINSIADVVINHRCAEKKDSRGIYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEDFAAAPDIDHLNTRVQRELTDWLDWLHTDVGFDGWRLDFAKGYSTGITKMYVENCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALATIRQRNGIHAGSKLRILVADADAYVAVIDEKVMVKIGTRYDVGNVVPSDFHPTVHGKDYCVWEKGSLRVPAGRHL >LPERR08G14810.1 pep chromosome:Lperr_V1.4:8:15838246:15839079:1 gene:LPERR08G14810 transcript:LPERR08G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRISAMAASSNQEYMIRFDGVHIEDDPSPSSAAAEPPPPPPFAGRAISSEREHTVIVAALLHVISGYRTAPPEVFPAAAAAPAMCAVCGMDQCLGCEFFAGESGVVSFDAGAGAEKISSATAAAAPAAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDRAAVEFRGPRAKLNFPFPEQQISMHEHDAAAANSKSDTLSPSPCSADADELQGGGGGGGGEAGDQLWEGLQDLMKLEEEGGLSWFPQSSDSWN >LPERR08G14820.1 pep chromosome:Lperr_V1.4:8:15846749:15848765:1 gene:LPERR08G14820 transcript:LPERR08G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPDHHHHQQPPHHQLMAPPRMSFSSDFALEPPPPSSGPGRASMGDADFEFTSVGSRPMIAADQLFSKGRILPLRESSSGGGGGRPVTLRDELLVHDGDVDPAGGE >LPERR08G14830.1 pep chromosome:Lperr_V1.4:8:15850444:15852566:1 gene:LPERR08G14830 transcript:LPERR08G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSNIFTQKTHYEVLSVNEGATYDEVRAGYRAAILNAHPDKSQAKLESLVSSDENGEFLSVQKAWEVLRDPKSRSEYDKQLKTFRQNSANIAYEIDIEEMTIESTGDATELMYPCRCGDHFSISSFDLEEMGVLIDENGEIYFQSSDFVSAYVVLSCGSCSLKTRLVMNRTS >LPERR08G14840.1 pep chromosome:Lperr_V1.4:8:15856794:15866940:1 gene:LPERR08G14840 transcript:LPERR08G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEVQDGIRMPWNVIPGTKQDATNCVVPVSAIYTPLKPNPAFPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSTESISRFLLPASDCEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGVIGPCTSLEKKSPLSSDTVVGQGGTTAWKMCGLDRKTSICLVFDIAKKDGSDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEPVTSWYQSSGSMAMAMASAAQAFIDISNGLTELTEMFSDVIRKLDALRSALHVSPPGTIVLADFDPVRWLDRALISLCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPALLDVTAIAADRILLLDSYFTIVVFHGITIAQWRKAGYQDQQGHEAFTQLLQAPQEEADLIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPAPPGGDVIFTDDVSFQVFMDHLQRLAVQ >LPERR08G14840.2 pep chromosome:Lperr_V1.4:8:15856794:15866940:1 gene:LPERR08G14840 transcript:LPERR08G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEVQDGIRMPWNVIPGTKQDATNCVVPVSAIYTPLKPNPAFPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSTESISRFLLPASDCEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGVIGPCTSLEKKSPLSSDTVVGQGGTTAWKMCGLDRKTSICLVFDIAKKDGSDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPVRWLDRALISLCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPALLDVTAIAADRILLLDSYFTIVVFHGITIAQWRKAGYQDQQGHEAFTQLLQAPQEEADLIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPAPPGGDVIFTDDVSFQVFMDHLQRLAVQ >LPERR08G14840.3 pep chromosome:Lperr_V1.4:8:15857345:15866940:1 gene:LPERR08G14840 transcript:LPERR08G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEVQDGIRMPWNVIPGTKQDATNCVVPVSAIYTPLKPNPAFPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSTESISRFLLPASDCEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGVIGPCTSLEKKSPLSSDTVVGQGGTTAWKMCGLDRKTSICLVFDIAKKDGSDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPVRWLDRALISLCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPALLDVTAIAADRILLLDSYFTIVVFHGITIAQWRKAGYQDQQGHEAFTQLLQAPQEEADLIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPAPPGGDVIFTDDVSFQVFMDHLQRLAVQ >LPERR08G14840.4 pep chromosome:Lperr_V1.4:8:15856689:15857374:1 gene:LPERR08G14840 transcript:LPERR08G14840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFWPDPGNLGLDYEPIPTSPQLRRAESSPDSNLFFFPFSFFSSPSPSSPPAVLHPPLPPPQEIGETLSSAADLHPASRGAGVLLLLPHRPRA >LPERR08G14850.1 pep chromosome:Lperr_V1.4:8:15867227:15868306:-1 gene:LPERR08G14850 transcript:LPERR08G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSALLILLNMAGTLLSPRSPPATTTTVAGDGDVDFFFFPFLVLYKSGRVVRFIGTDTVPATTTDPATGVASKDVIIDAAAGLAVRLYMPANVTTSGGGKLPIVVFYHGGAFVTESAFSPTYQTYLNTLVSKAGVLAVSVEYRLSPEHRLPTAYDDSWAALQWALQNARSGGPDPWLSRHADASRLFLVGDSAGGNIAHNMAMRAAGVANISGIALLDPYFWGKRPVRGETNDTATRRWRERTWRFVCDGRYGIDDPVINPVAMARGEWRRLAGVRVLVTVAGLDGLSARGRSYVEAVRASGWGGEATLYETPGEYHVYFLVKPDGEKATKEMDAVVAFIKGEQVNTALRMDAWTG >LPERR08G14860.1 pep chromosome:Lperr_V1.4:8:15871510:15872487:-1 gene:LPERR08G14860 transcript:LPERR08G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSIPAMDPDTEVDFDFSPFLIRYKSGRVHRLMGTSTAAAGTDAATGVTSKDVVIDADTGLAARLFLPAGVPTREKLPVVVYFHGGGFVVHSAFSAVHSGFLNALVAAAGVVAVSVDYRLSPEHPIPAAYDDAWAALRWASSCTEPWLEEHGDAARLFVAGDSAGANIAHNVTMRAGKHGGLPIAGMVLLHPFFRGGELVPSEGTDAALLRRAARAWGFMCAGRFGIDHPFINPLATPAAEWAELGCRRVLVTVAELDTMRDQARGYVAALRGSAWGGEEAAMYETAGEGHVYFLEDAGAGGDKAAAEADAVVSFIKRCSAAA >LPERR08G14870.1 pep chromosome:Lperr_V1.4:8:15877512:15878540:-1 gene:LPERR08G14870 transcript:LPERR08G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAVLALFLVTLVCSSTTLVSALRSRATDPNKEVKFDFTPFLIQYKSGRVQRLMGTTVVAPSVDSRTGVASKDVVVDGSTGLAVRLYRPPRHRLGERRRRLPVLIYFHGGAFVVESAFDPVYHNYLNTLAAKAGVIAVSVNYRLAPEHPLPAAYDDAWTVLRWVSADIRRGADTWLARLADASRLFVAGDSAGGNIAHNLAIRAGDNAAATTIKIRGVALLDPYFLGKYVDASAEKAWGFICAGRYGMDHPYVNPMAALPATAWRRLGSDRVLLTVSEQDRLGPFQRAYVEALRGSGWSGQAQLYVTPGEGHCYFLNNLDSPKSAMHMATLAAFINRTT >LPERR08G14880.1 pep chromosome:Lperr_V1.4:8:15883290:15884240:1 gene:LPERR08G14880 transcript:LPERR08G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDDEITFEFVPLIRCYKSGRVERLLPDTRVPPSLDPATGVASRDVTIDQSTGLWARLYLPPSSAAGELLPVVVYLHGGGLVVGSAADALEHGFLNRLSSRARVLVVSVDYRLAPEHLVPACYDDACAALRWATSSSSTDTWLRDHGDIRRVFVLGYSSGANIAHNVTLHGGERVKGMALLHPCFISSKKADGEVKNAWLRKKLEELWALACGGEMTASAVAAALDDPRIDPVVDGAPSLRRLGCDRVLVCLADEELEVRGRVYYDALLESGWAEDAVELFVAGGDHEYFQREPDGDMAVALMDRLVAFFGGNE >LPERR08G14890.1 pep chromosome:Lperr_V1.4:8:15885453:15886454:1 gene:LPERR08G14890 transcript:LPERR08G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPTAPPAASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAAATGVASRDVVISPNVSARLYLPSGSEKRKLPVLVYYHGGGFCLGSAFNPTFHAYFNTFAALADALVVSVEYRLAPEHPVPAAYSDSWEALVWVASHAVAGGEGGDEAWLVDHADFSRLYLGGESAGSNIAHHMAMRVGSSSDEGGLPNGVKIRGLVMIHPYFLGTNKVDSDDLDPAVRESLGSLWRVMCPATTGEDDPLINPLVDGAPAFDALACDRVLVCIGEGDVLRDRGRAYYDRLTASGWRGEAEIWQAPEKGHTFHLLEPHCDAAIKQDKVISAFLNR >LPERR08G14900.1 pep chromosome:Lperr_V1.4:8:15893746:15894066:1 gene:LPERR08G14900 transcript:LPERR08G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVVSCTCILIFIVVIISGSQAEARRLTAVTPTTTAVMGNEPFNEDAVVVEGDGSFRAVQEMASSASTDAVVAEESKPMATTDARPTAPGNSPGIGNKGRINN >LPERR08G14910.1 pep chromosome:Lperr_V1.4:8:15924633:15930660:1 gene:LPERR08G14910 transcript:LPERR08G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMSGSRGRRRAPPPPASAAAAQRGARAPRCEKVMERPSSKMPASIMKASSNVAPQLIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAVIISMAKSAYLSDIDRCTPARHGQVCDQAASASWSYLKRVTNVKQTPRKPSPSLNQGDRPGKENQSCSTNSFRDVSRSPLNTVPKCSVPVEEKYAGFQTVSTVKDHKGTVDTTVIDSENMSTEANRVSEELLTCLLNIFSQMRSSCGQDEDRSSSPSVSGSCESSDGACTGDPYGVLELESRDIGPYKQFRAVDATSFDQNVFDSNTLLGRRLNVCLAKIRDLLRELSSVDLLGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNTKGLKAGDGAAVARGMLGLEWSEPSVTFALSCGSWSSPAVRMYTACHVEEELEAAKRDYLQAAVGISTASRLSIPKLLHWYLMDFAKDVSSLMDWVCLQLPSDMQRRAVEVVEASRRSPSPWPVQVVPYEFRFRKRVHSWKTSLFQVGNWIPELEKLAFQVPTEHVSGTGMIPY >LPERR08G14910.2 pep chromosome:Lperr_V1.4:8:15924633:15930660:1 gene:LPERR08G14910 transcript:LPERR08G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMSGSRGRRRAPPPPASAAAAQRGARAPRCEKVMERPSSKMPASIMKASSNVAPQLIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAVIISMAKSAYLSDIDRCTPARHGQVCDQAASASWSYLKRVTNVKQTPRKPSPSLNQGDRPGKENQSCSTNSFRDVSRSPLNTVPKCSVPVEEKYAGFQTVSTVKDHKGTVDTTVIDSENMSTEANRVSEELLTCLLNIFSQMRSSCGQDEDRSSSPSVSGSCESSDGACTGDPYGVLELESRDIGPYKQFRAVDATSFDQNVFDSNTLLGRRLKDLLRELSSVDLLGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNTKGLKAGDGAAVARGMLGLEWSEPSVTFALSCGSWSSPAVRMYTACHVEEELEAAKRDYLQAAVGISTASRLSIPKLLHWYLMDFAKDVSSLMDWVCLQLPSDMQRRAVEVVEASRRSPSPWPVQVVPYEFRFRKRVHSWKTSLFQVGNWIPELEKLAFQVPTEHVSGTGMIPY >LPERR08G14910.3 pep chromosome:Lperr_V1.4:8:15924633:15930660:1 gene:LPERR08G14910 transcript:LPERR08G14910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMSGSRGRRRAPPPPASAAAAQRGARAPRCEKVMERPSSKMPASIMKASSNVAPQLIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAVIISMAKSAYLSDIDRCTPARHGQVCDQAASASWSYLKRVTNVKQTPRKPSPSLNQGDRPGKENQSCSTNSFRDVSRSPLNTVPKCSVPVEEKYAGFQMRSSCGQDEDRSSSPSVSGSCESSDGACTGDPYGVLELESRDIGPYKQFRAVDATSFDQNVFDSNTLLGRRLNVCLAKIRDLLRELSSVDLLGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNTKGLKAGDGAAVARGMLGLEWSEPSVTFALSCGSWSSPAVRMYTACHVEEELEAAKRDYLQAAVGISTASRLSIPKLLHWYLMDFAKDVSSLMDWVCLQLPSDMQRRAVEVVEASRRSPSPWPVQVVPYEFRFRKRVHSWKTSLFQVGNWIPELEKLAFQVPTEHVSGTGMIPY >LPERR08G14910.4 pep chromosome:Lperr_V1.4:8:15924633:15930660:1 gene:LPERR08G14910 transcript:LPERR08G14910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMSGSRGRRRAPPPPASAAAAQRGARAPRCEKVMERPSSKMPASIMKASSNVAPQLIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAVIISMAKSAYLSDIDRCTPARHGQVCDQAASASWSYLKRVTNVKQTPRKPSPSLNQGDRPGKENQSCSTNSFRDVSRSPLNTVPKCSVPVEEKYAGFQTVSTVKDHKGTVDTTVIDSENMSTEANRVSEELLTCLLNIFSQMRSSCGQDEDRSSSPSVSGSCESSDGACTGDPYGVLELESRDIGPYKQFRAVDATSFDQNVFDSNTLLGRRLNVCLAKIRDLLRELSSVDLLGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNTKGLKAGDGAAVARGMLGLEWSEPSVTFALSCGSWSSPAVRMYTACHVEEELEAAKRDYLQAAVGISTASRLSIPKLLHWYLMDFAKDVSSLMDWVCLQLPSDMQRRAVEVVEASRRSPSPWPVQVVPYEFRFRSMYLVQE >LPERR08G14920.1 pep chromosome:Lperr_V1.4:8:15932814:15937342:1 gene:LPERR08G14920 transcript:LPERR08G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTVAILSRDLEKLSQLAEEIAKEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNAGEPPADDGDGDAIAPPRPNPFLAISPDSFHRSLAVSAAGAFYCAQQVIPGMVERGRGTVIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGAIGEPRSSARGRCGGGEADPDAVAQSYWHVHAQDKSAWTHEMDIRSPSSTMY >LPERR08G14930.1 pep chromosome:Lperr_V1.4:8:15937797:15940951:-1 gene:LPERR08G14930 transcript:LPERR08G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFGPGPCSPWALAHVVAAFFNRPAARRRRLVLGMRTRPSPRPQPPPPRASRRPSLRAAAAAVLTSLPVRGSSASPAPCPAVVPQIRWNEDPHPGAELGMSSSSVARSEAGEFTEVVVVRHGETAWNASRIIQGHLDVELNEIGRQQAVAVARRLSNEVKPAAIYSSDLKRAAETAEIIAKACNLPNVVFDPALRERHIGDLQGLKYENAGTEKPEAYKAFMSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERVILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETSILENAFGGDKHSA >LPERR08G14930.2 pep chromosome:Lperr_V1.4:8:15937799:15940929:-1 gene:LPERR08G14930 transcript:LPERR08G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFGPGPCSPWALAHVVAAFFNRPAARRRRLVLGMRTRPSPRPQPPPPRASRRPSLRAAAAAVLTSLPVRGSSASPAPCPAVVPQIRWNEDPHPGAELGMSSSSVARSEAGEFTEVVVVRHGETAWNASRIIQVVCCAVSAPSPISFLPLKLGLCNSNFGGIIRWGNWKKLNLGHLDVELNEIGRQQAVAVARRLSNEVKPAAIYSSDLKRAAETAEIIAKACNLPNVVFDPALRERHIGDLQGLKYENAGTEKPEAYKAFMSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERVILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETSILENAFGGDKHSA >LPERR08G14940.1 pep chromosome:Lperr_V1.4:8:15942078:15942839:1 gene:LPERR08G14940 transcript:LPERR08G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGPASLRSLLRPSSDGRRTKLSGTSGAGGGIFKMFKLLPMLTTGCKMAALLGRHGSNTKKQALLLADHAPTVTLFGHRRGRLSLAIHEDTRSPPAFLIELPMFASVLHREMATGTVKIALECDTVAGAGRRRRLMEEYVWAVFCNGRNAGYAIRRKDASDDEMHVLRLLRGVSMGAGVLPPTPASAGHDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNAGGGAGCDGGGDCAAPELSIFLVRKK >LPERR08G14950.1 pep chromosome:Lperr_V1.4:8:15952928:15962606:-1 gene:LPERR08G14950 transcript:LPERR08G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPGQQIDRVLVGKKARNLARTAFSALASPSTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGADVRIADYFDVIAGTSTGGLVTAMLAAPDANGRPLFAGKDITGFYLEHAPKIFPASSNGGPLGLIHRMSSGPKYDGKYLHSVVQKLLGETRVNQTITNVVIPTFDIKLLQPTIFSKYDALKDKSKNALLSDVCIGTSAAPTYLPCHQFKTTDNNGNPREFNLIDGGIAANNPTLLAMTHVSKQILLGNKDFFPIKPIDYGKFIVLSLGTGSAKIEQKFDAVESSKWGVLGWLLNEGGSNPLIDSFSQASADIVDIHASVLFQALQCESSYLRIQDDELSGHTASVDLSTPENLNKLVEAGNNLLKKQVCKVNLETGRNEPDGNRGTNEDELVRFAEMLSQERRARLSIRTNQKTNTNNLNPIS >LPERR08G14950.2 pep chromosome:Lperr_V1.4:8:15951255:15962606:-1 gene:LPERR08G14950 transcript:LPERR08G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPGQQIDRVLVGKKARNLARTAFSALASPSTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGADVRIADYFDVIAGTSTGGLVTAMLAAPDANGRPLFAGKDITGFYLEHAPKIFPASSNGGPLGLIHRMSSGPKYDGKYLHSVVQKLLGETRVNQTITNVVIPTFDIKLLQPTIFSKYDALKDKSKNALLSDVCIGTSAAPTYLPCHQFKTTDNNGNPREFNLIDGGIAANNPTLLAMTHVSKQILLGNQDFFPIKPADYGKFMILSLGTGSAKIEQKFNAVDSGRWGILGWLYNKGATPLIDSFSQASADLVDIHASVLFQALHCEKHYLRIQDDELSGNTASVDVSTPENLRRLVGVGEALLKKQVCKVHLETGKNEPDMKRGTNEEELVRFAEMLSRERKARLQKMQGSMKN >LPERR08G14950.3 pep chromosome:Lperr_V1.4:8:15959784:15962606:-1 gene:LPERR08G14950 transcript:LPERR08G14950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPGQQIDRVLVGKKARNLARTAFSALASPSTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGADVRIADYFDVIAGTSTGGLVTAMLAAPDANGRPLFAGKDITGFYLEHAPKIFPASSNGGPLGLIHRMSSGPKYDGKYLHSVVQKLLGETRVNQTITNVVIPTFDIKLLQPTIFSKYDALKDKSKNALLSDVCIGTSAAPTYLPCHQFKTTDNNGNPREFNLIDGGIAANNPTLLAMTHVSKQILLGNKDFFPIKPIDYGKFIVLSLGTGSAKIEQKFDAVESSKWGVLGWLLNEGGSNPLIDSFSQASADIVDIHASVLFQALQCESSYLRIQDDELSGHTASVDLSTPENLNKLVEAGNNLLKKQVCKVNLETGRNEPDGNRGTNEDELVRFAEMLSQERRARLSIRSHL >LPERR08G14950.4 pep chromosome:Lperr_V1.4:8:15951255:15952900:-1 gene:LPERR08G14950 transcript:LPERR08G14950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQTPEQTNGSSLTLNPVVQRVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARLANYFDVIAGTSTGGLVTAMLAAPNGNGDPLFAAKDINDFYLEHCPKIFPSVGPLGLFKSMAGPKYDGKHLHSVVQELLGDTRIDKTITNIVVPTFDIKLLQPTIFSTYDGRKDVSKNALLSDICISTSAAPTYLPGHRFETTDKDGNPREFNLVDGGVAANNPTLLAMTHVSKQILLGNQDFFPIKPADYGKFMILSLGTGSAKIEQKFNAVDSGRWGILGWLYNKGATPLIDSFSQASADLVDIHASVLFQALHCEKHYLRIQDDELSGNTASVDVSTPENLRRLVGVGEALLKKQVCKVHLETGKNEPDMKRGTNEEELVRFAEMLSRERKARLQKMQGSMKN >LPERR08G14960.1 pep chromosome:Lperr_V1.4:8:15970026:15970561:-1 gene:LPERR08G14960 transcript:LPERR08G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKLTRFQPLRGKIWNSEIFGAEGKNSEEKKNLAPPNPNRPSPSRVDQPPPICASSSPSHRCRLFLPHRTQPPPPPLPLLDWKAVELVSRNRVSQRWRGWIWQRWRSWICRRTSTASSEFVAPARHRSVSLPLRAALLRLLVVVYLWLG >LPERR08G14970.1 pep chromosome:Lperr_V1.4:8:15991964:15993595:-1 gene:LPERR08G14970 transcript:LPERR08G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSIRLPARSSPYRASSSPHPHFHPPAAAATAVSDLHPPPRIACIVIHPRQSLHIFCRGGPIICRHRRPPSTADVVGQRPWPPSMAAVLRRVPRHAAQTYAASILGPILEDGAKFSSIELRRKCDREVCVIERRAEGVEE >LPERR08G14980.1 pep chromosome:Lperr_V1.4:8:15994289:16006837:-1 gene:LPERR08G14980 transcript:LPERR08G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLDQSIPLTLNPVAHPAVSALASPSTSTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGADVRIADYFDVIAGTSTGGLVTAMLAAPDANGRPLFAGKDITGFYLEHAPKIFPASSNGGPLGLIHRMSGPKYDGKYLHSVVQKLLGETRVNQTITNVVIPTFDIKLLQPTIFSKFDAEKDESKNALLSDVCIGTSAAPTYLPGHRFMTTDNNGNPREFNLIDGGVAANNPTLLAITHVSKQILLGKKDFFPIKPIDYGKFIVLSLGTGSAKIEQKFDAVESSKWGILGWLLNEGGSSPLIDSFSQASADIVDIHISVLFQALQCESSYLRIQDDELTGDTASVDVSTPENLKQLVEAGKKLLKKQVCKVNLETGKNEPDGSRGTNEKELVRFAKMLSEERRARLKKKAIVHGSPLTLNPVAHPAVSALASPSMSRSPPPSYGNIVTVLSIDGGGVRGIIPGTILANGGPLGLIHRMSGPKYDGKYLHSVVQELLGETRVSQTITNVVIPTFDIKLLQPTIFSKFDAQNDKSKNALLSDVCIGTSAAPTYLPGHRFKTTDNNVNPREFNLIDGGIAANNPTLLAMTLVSKQILLGSEDFFPIKPIDYGKFIVLSLGTGSAKIDQKFDPVESSKRGILGWLLNEGGSNPLIDGFSQASADIVDIHASVLFQALHCESSYLRIQDDGLSGDTASVDLSTPENLNKLVEAGNNLLKKQVCKVNLETGRNEPDENRGANGDELVRFAKMLSQERRARLEKKAIVQ >LPERR08G14990.1 pep chromosome:Lperr_V1.4:8:16026609:16029122:-1 gene:LPERR08G14990 transcript:LPERR08G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLEIGAHQDSSPDKVKMVTVLSIDGGGVRGIIPATILAFLEKELQKIDGEDARIADYFDVVAGTSTGGLLTAMLTAPDKNGRPLFAAKDLAQFYIDNSPKIFPQKNWFLSKIAGTLRMVSGPKYDGKYLHSILREKLGETRLEKTLTNVIIPTFDIFNLQPTIFSSFELKQKPLKNALLSDIAISTSAAPTFFPAHYFETKDDKGQKREFNLVDGGVAANNPTLCAMSQVSRHIIVDDNDDFFPVKPVEYNKFMVISVGCGTNHDLKYNAKEAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLCVLFNALHCKKNYLRIQYDQLKGTAGSIDDCSKENMDKLVEIGNDLLKRNVSRVDLETGHYVDVPGEGTNEQQLTKFAQQLSDERKRRQKELSQE >LPERR08G15000.1 pep chromosome:Lperr_V1.4:8:16034433:16036534:-1 gene:LPERR08G15000 transcript:LPERR08G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARARDAQQRKRRLEYLMLPASPSPSPSPSSSSLSASASDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAESRLREKELSRQQEINKRLAVSPDASFSNSGNQHHIVKSNDTKEKPLIEQTRQTILEAQSSRFIIEGTNKKSHDPKTSNSSSCDSRPTPSSAPKGKWSRNTVEGEHFSGDRTATKMLEESQANFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >LPERR08G15010.1 pep chromosome:Lperr_V1.4:8:16039180:16040229:1 gene:LPERR08G15010 transcript:LPERR08G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATAARRSAERWIGVAEKLLMARDLEGCKQFVSQALADDPTAPGADDLAAAADILLAAQRRRLPTGRPDPYAVLGLDSSDPASRHPDAVHSSYRRLSLLLNCSHPDRICLHAFADAAHLVADAWAFLFDPSRKASLDSDLAAASIRPPSPDKQPPPPNPASPASKRGRPPAAAKPQPTPEREQEQETEAEAAPFWTACPSCCRLHEYSRGYEGRTLLCPSCRKPFVAAAMAASPPVVPGTDMYYCSWGFFPLGFPGGPAFAVPTPPSTQQQAPAALGIYPMGPYLPLPGQGGAVEGDTAAGGTVTSPAPTATPAATLPVKPRPVKIGARKRGRPKGSKNKRVVIEIN >LPERR08G15020.1 pep chromosome:Lperr_V1.4:8:16046171:16051794:1 gene:LPERR08G15020 transcript:LPERR08G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKTEKDDAIHEFQSTSELSEDNLRHNFRLGDIAWVKHDGSWWPAQVVDDSCISNKRKKTAKHHVPVRLYGTCQHLYVDPWKSNMEFKMMLKRENKSAMEKFHEVFKKELSRVNSASDSTEEVANSEAKTSSKKVRKQKGLKEATVIEHMGEDIKDQHRGEQHQELGYTATTGVANRKGRRTREGATDGKDQASGKKDSTVGPSYKTDKQDDFIYDEEACKTPLTVNSMVRREGLRRSARTPMNTYLDSSEDRTSPLRDTGASEDANGAGRTPENSNQRKNDSAIGETLASHAVIRSMVRDILFSDIIDKQHAAEMAYVDEVIDGICGVGEVNIAGDTTAVIEGGRGIKRSGSRVEESSNLKQRSRKGRVDQASSKEKKTARDTPETFDDSNAFDSNSRDAAMEELGQLSARQIRIMQSLALIAPSGSPFGKKGMVASTHR >LPERR08G15030.1 pep chromosome:Lperr_V1.4:8:16055124:16055829:1 gene:LPERR08G15030 transcript:LPERR08G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTDGECSARKAVAPVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGVGVVVPGEGDEVGVEEDDVAVSVGERRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVRAEVATVGGRTRSVLELDVAVRDGATDDNNHDRAVALSALRAALRTVLLNRDDLLAAADGYKRPRFSPRCSSLS >LPERR08G15040.1 pep chromosome:Lperr_V1.4:8:16057195:16060372:-1 gene:LPERR08G15040 transcript:LPERR08G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVPRLRLLPLLLPEPAAVHRGCSSCGGSSAAVAPAAVRAMSSSSSSTPSFSHSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRASHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSDIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIDNLGSAALLHQKYSAIGSRMVLNSKFRQEFPSGLVVFGGGDMNGIGGIWNPGMVGIVVGIVVGMDGIVVGIEGIGGKVTGIVGIVGMVVGMAGIGRDGIVPAAVGGMVAFGIGTDGIGGTVSLGTAGMEGIGGSVVGTVGMEG >LPERR08G15040.2 pep chromosome:Lperr_V1.4:8:16057195:16060372:-1 gene:LPERR08G15040 transcript:LPERR08G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVPRLRLLPLLLPEPAAVHRGCSSCGGSSAAVAPAAVRAMSSSSSSTPSFSHSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRASHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSDIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQALLHQKYSAIGSRMVLNSKFRQEFPSGLVVFGGGDMNGIGGIWNPGMVGIVVGIVVGMDGIVVGIEGIGGKVTGIVGIVGMVVGMAGIGRDGIVPAAVGGMVAFGIGTDGIGGTVSLGTAGMEGIGGSVVGTVGMEG >LPERR08G15050.1 pep chromosome:Lperr_V1.4:8:16061177:16063511:-1 gene:LPERR08G15050 transcript:LPERR08G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVKKVAEMAVKAGKTIDWEGMAKMLVSDEARKEFNTLRRAFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKEIAEMQEMKKKISTMTADEYFAKHPELKQKFDDEIRNDNWGY >LPERR08G15060.1 pep chromosome:Lperr_V1.4:8:16064268:16064687:-1 gene:LPERR08G15060 transcript:LPERR08G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPVPPPSTSRRRATAARSAPGVDAGGGRRRAAVFALPSSWGGRRRACFSADADADALLLRRRRRALETAPPPARFGAAPLNSGRSTALPPARIAAGALESQEGRGGGWRPEVRGGESSLQPNERARRGKKRKVILT >LPERR08G15070.1 pep chromosome:Lperr_V1.4:8:16066646:16067530:-1 gene:LPERR08G15070 transcript:LPERR08G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNQPGEGAGGKDHGGQQQLDCFSDEVESRGDGGEGGVVAAVATKRRRGRPPGSKNKPKPPVVVTREAPPETSLLAAAAMRPHVLEIPGGGDIAGALAGFARRRGIGICVLAGTGAVANVSLRHPSPEIGGGGCAVVVFHGRYDILSISATFLPPSSMSTAAAPALGALAGGDGGLSISLAGPHGQIVGGAVAGPLVAATTVVVVAAAFTAPTFHRLPAGDDDDDDDAPPASVSGSGGGADADEHRRRHLTPLQPHGMSPATTQYASPSSQHEAVWAATAAAASAPRPRPPY >LPERR08G15080.1 pep chromosome:Lperr_V1.4:8:16072169:16079356:-1 gene:LPERR08G15080 transcript:LPERR08G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRAYYSEFFPGVVHAAEMVDEAAWIPPPPQPPTVVPAQVEAGIEAEARPQPQPQPAVGVKGVCAVCFRPTTFRCKQCKAVNSFKCQIAHWRQGHKNECHPPSADAKHDDEAEVSVSKERKMEQRSASEENIVFGAEAIAKTNTAVHSKTKAAGPDNIGASKTVPSVLTVDKVSHIPGVRSVTPNSSKGIDNISERNSKPSDKAISTANNLATSLKKIVKQQTVPKVVRHYPSEATHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLIQGKTALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGAHRLHEETTLMQLIFGGYLRSKIKCTKCDAVSEQCERMLDLTVEIDGDISSLEGALERFTSTEVLDGDNKYQCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLKRYMSSKSDDTSPVYSLYAVVVHQDVMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPTSLENVTSKCAYMLLYARCSPRAPSSARPLLMAQDPAHVKKAKAKVNSGRWHVGPMSRHPDSQLNSDHMTDDLPHAYDEFGMPYSPAESPSPSESSSIFTNSDAGSHSTDSSDSTRNSTSEEYEHYFFGQTERVSYPDYSMVGNGDNGHITYSRSKSGLGTSSSGQEVDRYRNDDHRLQGTTEGWLQGDESSSLYTNQSKHHFSSKLTEQYRRRLDGTEHDPGEARSSVLLRRSGRERTAQTFY >LPERR08G15080.2 pep chromosome:Lperr_V1.4:8:16072171:16078993:-1 gene:LPERR08G15080 transcript:LPERR08G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAGSSSASVAAAAAAVVIAVVVALVVVGRRRIRRAEARREEVRRLTRLAQEESELAEMESVRAYYSEFFPGVVHAAEMVDEAAWIPPPPQPPTVVPAQVEAGIEAEARPQPQPQPAVGVKGVCAVCFRPTTFRCKQCKAVNSFKCQIAHWRQGHKNECHPPSADAKHDDEAEVSVSKERKMEQRSASEENIVFGAEAIAKTNTAGTARSETSAANRTVKNSSDKITDIPSEVFSSEDLPDDGPSVRAVKHPQSSSQVASSDSRKTESNMKPTTSIENNCNTKDPDEVLVCKSQPSPPEISGSESLINKESLIDSKKRQDCPQTSNRRRYADSNSSQAAAPVALEPKTSRTALQVEVVHSKTKAAGPDNIGASKTVPSVLTVDKVSHIPGVRSVTPNSSKGIDNISERNSKPSDKAISTANNLATSLKKIVKQQTVPKVVRHYPSEATHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGGLHSNNCSKKEWCFMCEFEKLVWEGRQGKTALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGAHRLHEETTLMQLIFGGYLRSKIKCTKCDAVSEQCERMLDLTVEIDGDISSLEGALERFTSTEVLDGDNKYQCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLKRYMSSKSDDTSPVYSLYAVVVHQDVMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPTSLENVTSKCAYMLLYARCSPRAPSSARPLLMAQDPAHVKKAKAKVNSGRWHVGPMSRHPDSQLNSDHMTDDLPHAYDEFGMPYSPAESPSPSESSSIFTNSDAGSHSTDSSDSTRNSTSEEYEHYFFGQTERVSYPDYSMVGNGDNGHITYSRSKSGLGTSSSGQEVDRYRNDDHRLQGTTEGWLQGDESSSLYTNQSKHHFSSKLTEQYRRRLDGTEHDPGEARSSVLLRRSGRERTAQTFY >LPERR08G15090.1 pep chromosome:Lperr_V1.4:8:16092674:16093642:-1 gene:LPERR08G15090 transcript:LPERR08G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAPPAAAAMRPALAFHGGGQTVSIPHEVIAPPRKPGPIAVGAQILRAEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKKKWTEESGGVLPLHRKIAAGLVAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLATHVAASFAAGLVAAAASNPVDVVKTRVMNMKVAPGAPPPYSGAVDCALKTVRAEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFHGVDF >LPERR08G15100.1 pep chromosome:Lperr_V1.4:8:16100366:16106245:-1 gene:LPERR08G15100 transcript:LPERR08G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGAAAAAAAAPTSGACGLRLRRDHLPRYSYFRLARTNPITDVFLSRSYAPSSSSPRSLTSKQSESGHAHGHGELDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEGFLRRMEAPMQRAFEAMRELEKGAIANPDEGRMVGHYWLRSPALAPNSFLREKIETTLERIIAFTNDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPVEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECDVDDDMVRGTELNIINSCPFGSPRVMKPSTMD >LPERR08G15100.2 pep chromosome:Lperr_V1.4:8:16100366:16106245:-1 gene:LPERR08G15100 transcript:LPERR08G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGAAAAAAAAPTSGACGLRLRRDHLPRYSYFRLARTNPITDVFLSRSYAPSSSSPRSLTSKQSESGHAHGHGELDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEGFLRRMEAPMQRAFEAMRELEKGAIANPDEGRMVGHYWLRSPALAPNSFLREKIETTLERIIAFTNDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPVEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECDVDDDMVRGTELNIINSCPFGSPRVMKPSTMD >LPERR08G15110.1 pep chromosome:Lperr_V1.4:8:16108163:16111438:1 gene:LPERR08G15110 transcript:LPERR08G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSHLGSLDRSFTTPCRFRWRGRRKSWHQNLDAFEEEVRDRNSGAPPFLMAVPSVLVLVIAAVISRRPLRHVLRSNQSIVRTKKIQKSKRRERREMS >LPERR08G15130.1 pep chromosome:Lperr_V1.4:8:16127528:16130282:1 gene:LPERR08G15130 transcript:LPERR08G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCCYDVAASLLLCAEDNSSILCLEEEYEDVEERNSSGCRSIDGDFVAGGVEEEMFPPQTEECVASLVEREREHMPRADYAERLLVAGGVDLSVRCEAIDWIWKVYTYYSFTPLTAYLAVNYLDRFLSQYELPEGKAWMTQLLSVACLSIAAKMEETAVPECLDLQIGEARFVFEAKTIQRMELLVLSTLNWRMQAVTPFSYLDYFLRKLNGGNAVPRSWLWQSSELILSIATGTGFLVFTPSEIAAAVAASVAGEVTGVVEDIAKACTHIDKERVLQCQEAIQASMASINTVQPKPATRSRRGSASSSSVPQSPVGVLDAGCLSYKSDDTDAATIASHGGGRRNCFDCSPVTSKRRKLSR >LPERR08G15130.2 pep chromosome:Lperr_V1.4:8:16127528:16130638:1 gene:LPERR08G15130 transcript:LPERR08G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCCYDVAASLLLCAEDNSSILCLEEEYEDVEERNSSGCRSIDGDFVAGGVEEEMFPPQTEECVASLVEREREHMPRADYAERLLVAGGVDLSVRCEAIDWIWKVYTYYSFTPLTAYLAVNYLDRFLSQYELPEGKAWMTQLLSVACLSIAAKMEETAVPECLDLQIGEARFVFEAKTIQRMELLVLSTLNWRMQAVTPFSYLDYFLRKLNGGNAVPRSWLWQSSELILSIATGTGFLVFTPSEIAAAVAASVAGEVTGVVEDIAKACTHIDKERVLQCQEAIQASMASINTVQPKPATRSRRGSASSSSVPQSPVGVLDAGCLSYKSDDTDAATIASHGGGRRNCFDCSPVTSKRRKLSR >LPERR08G15140.1 pep chromosome:Lperr_V1.4:8:16144732:16145616:-1 gene:LPERR08G15140 transcript:LPERR08G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDDGDGDEEMPPMPLSSGYDAPMQPGIGAAGGLPKPGDSGGRFGTPGGGGGGGATRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGAGPTDQPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQQHHQIAAAAAAAAVAAGYPPHQRPLALPSTSHSGRDEGDDMSGLVGPMMIGPMVGNMSLGSGGGPSGSGGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKP >LPERR08G15150.1 pep chromosome:Lperr_V1.4:8:16164491:16166397:-1 gene:LPERR08G15150 transcript:LPERR08G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRVFVQYNGVVPRGEGQAGFLTALDTAAGIDCLDEEFDVTCDAADFQDALGIEAYETCIMVGRRLRVVDRHAL >LPERR08G15160.1 pep chromosome:Lperr_V1.4:8:16189379:16195263:1 gene:LPERR08G15160 transcript:LPERR08G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAPLLLPRGVDDVASAEKQRCGAGARVARDWWVESKKLWRIVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVGGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLLFAVLLTPTYVLMEDLLLLIGQPADLANLAGKMSMWLLPQHFAMAMLLPLTRFLQSQLKNWVTAATAGVALVLHVGITYLLVVYFRFGFIGAVAAANVAWWIVVLGQFVYVVGGGCPLSWKGFSMEAFADFWDFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVVIGLVFWCLIMAYNDVIALLFSSSKVVLDAVNDLSVLLAFTVLLNSVQPVLSGVAIGSGWQALVAYVNVGSYYLVGVPIGAILGWPLQLGVGGIWSGLIGGTAVQTLILAYLTIRCDWDEEAMKASTRMEVWASSK >LPERR08G15160.2 pep chromosome:Lperr_V1.4:8:16189379:16195263:1 gene:LPERR08G15160 transcript:LPERR08G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAPLLLPRGVDDVASAEKQRCGAGARVARDWWVESKKLWRIVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVGGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLLFAVLLTPTYVLMEDLLLLIGQPADLANLAGKMSMWLLPQHFAMAMLLPLTRFLQSQLKNWVTAATAGVALVLHVGITYLLVVYFRFGFIGAVAAANVAWWIVVLGQFVYVVGGGCPLSWKGFSMEAFADFWDFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVVIGLVFWCLIMAYNDVIALLFSSSKVVLDAVNDLSVLLAFTVLLNSVQPVLSGVAIGSGWQALVAYVNVGSYYLVGVPIGAILGWPLQLGVGGIWSGLIGGTAVQTLILAYLTIRCDWDEEAMKASTRMEVWASSK >LPERR08G15170.1 pep chromosome:Lperr_V1.4:8:16196366:16200400:-1 gene:LPERR08G15170 transcript:LPERR08G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVCLGLQLLVAVLGAIGVGRYHMRRFAIAASLNRRFGIEGDSAVDMLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSAETSFSQDTYELKWTFNNDLGLVFAAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRISYDDAFNEIFRQLHLEAEARSEEMKKNKQVIRSRPTKISNNKKTTPGSRDTAQDSNGDSGNGDSDGGSGKERSKPNGNTKVQENRVKDNSHAHTVVVKGKENGDPNDGAFDVSKLQMLRKNKVRNNDGARDVSKNTPKPNQKKPNQKKNRIWDETPSDRKLDFTDPADKRGDKVIDQVDLNQGTSMMDKDDAVSSDEGEEDGEENAGAEQGEKNDTASTQKKGWFSSMFKSIAGNNVLEKSDLQPALRVLKDRLMTKNVAEEIAEKLCESVAASLEGKTLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLRQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >LPERR08G15170.2 pep chromosome:Lperr_V1.4:8:16196366:16199636:-1 gene:LPERR08G15170 transcript:LPERR08G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSFSQDTYELKWTFNNDLGLVFAAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRISYDDAFNEIFRQLHLEAEARSEEMKKNKQVIRSRPTKISNNKKTTPGSRDTAQDSNGDSGNGDSDGGSGKERSKPNGNTKVQENRVKDNSHAHTVVVKGKENGDPNDGAFDVSKLQMLRKNKVRNNDGARDVSKNTPKPNQKKPNQKKNRIWDETPSDRKLDFTDPADKRGDKVIDQVDLNQGTSMMDKDDAVSSDEGEEDGEENAGAEQGEKNDTASTQKKGWFSSMFKSIAGNNVLEKSDLQPALRVLKDRLMTKNVAEEIAEKLCESVAASLEGKTLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLRQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >LPERR08G15170.3 pep chromosome:Lperr_V1.4:8:16196366:16199636:-1 gene:LPERR08G15170 transcript:LPERR08G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSAETSFSQDTYELKWTFNNDLGLVFAAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRISYDDAFNEIFRQLHLEAEARSEEMKKNKQVIRSRPTKISNNKKTTPGSRDTAQDSNGDSGNGDSDGGSGKERSKPNGNTKVQENRVKDNSHAHTVVVKGKENGDPNDGAFDVSKLQMLRKNKVRNNDGARDVSKNTPKPNQKKPNQKKNRIWDETPSDRKLDFTDPADKRGDKVIDQVDLNQGTSMMDKDDAVSSDEGEEDGEENAGAEQGEKNDTASTQKKGWFSSMFKSIAGNNVLEKSDLQPALRVLKDRLMTKNVAEEIAEKLCESVAASLEGKTLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLRQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >LPERR08G15180.1 pep chromosome:Lperr_V1.4:8:16206983:16208431:-1 gene:LPERR08G15180 transcript:LPERR08G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQLPSHEEKSADAAVDLGIPVVDLGVLVNGAPEERSRAIRDLGRACEDWGFFMVTNHGVPEALREAFMDACKELFSLPLEEKKEYMRAKPMDPIRVGTGFYSLVDDIPCRRDYLKMFSHPDFHCPPKPTNLREIAMEYSTCTRGLLLELTKAISESLGLAGDRLSEALNLESCFQILVANNYPACERPGEEALGFSAHSDHGLLTLLFQNGVNGLQVKHNGQWLLAEPLPGSFFVIAGDQLEIVTNGKYKGVLHRAVVGGEKSRMSFVSLIGPCMDTVVEPLPEMATEGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVQH >LPERR08G15190.1 pep chromosome:Lperr_V1.4:8:16215409:16216690:-1 gene:LPERR08G15190 transcript:LPERR08G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSSAASSPTIGEIRWLQHLLDGMEAADVGIDDGESPSSSSSSSSSSDNDDEVSSNNGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVTPPSPCSSTTRKSGLARVVAADEVRHAPCETSVLFPHSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFSDIPIPSLPGFAFLEETDLPEDFSVAGAPYLGPELELAVDMDDDDDDYDDYDQ >LPERR08G15200.1 pep chromosome:Lperr_V1.4:8:16223537:16225913:1 gene:LPERR08G15200 transcript:LPERR08G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAAGGGDLTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHAAAARAYRGRVEAELSNICAGILRLLDERLVPAAAVVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDASKPEDDQ >LPERR08G15210.1 pep chromosome:Lperr_V1.4:8:16229440:16231937:1 gene:LPERR08G15210 transcript:LPERR08G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMFSFRSSVRSSSNGDKASHSITEASDIQEQLNKLQEELKNEKKEKARALDEIAGLKKIKNEKKLASNGGDDKLDLVHRLEQLEGELEAARGSEKKLLVSLEAQTKQLAQTKVSLAEAKLEISSLKDNKTSSEAFSALSSNTSSQPVRNLRRRGIMSFSFADPGEVETLSLQRELKLAVEAEEKCKKAMDDLAITLKEQATDARDAKAKLSLAQSELANARTEMETSKALLENTEEKLRVALEEAERLKFESDELAAALKEKERGLVDCIKMFEGELIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARADNCQLKEDISEKENTLQSIMQDYESLKVSEAAAQSSIRELKDMIDAMFSSESNKTSAEASPRDTKGNDVYYDQERSQLEDIRNPARHKKRTILRKFGDIMKKRNSQSAN >LPERR08G15220.1 pep chromosome:Lperr_V1.4:8:16233029:16234998:-1 gene:LPERR08G15220 transcript:LPERR08G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRNHSALASRLLIRDNQRITALGRAGDLAAARRVFDAMTRRDAVSWNALLTALWRGGRDLPAARGLFDAMPSRNVISWNSIIAGCVAHGDLAAASDYFARAPRRNVASWNAMLAGLVRLGSMEDARTLFGRMPERNVVSYTTMVDALARCGEVTSARELFDAMPQRNLVSWAAMISGYVENNMLEEARKLFEAMPEKNVVACTTMITGYCKEGDLENARRLFDGIRVKDVISWNAIISGYIHNGHGEEATKLYIIMLRKGLKPDHATLIALLTACSALALLRQGRSTHAVAIKAMLESSISICNALMTMYSKCGNVDESELIFISLKSKDIVSWNTIIAAYAHHGRYQKVIDLFHEMELCGLTPNDITFLSMLSACGHVGRVDESLKLFDLMSSKYAISPRAEHYACIVDILSRAGQFEKACSYIKGMPCEAEKNVWGTLLCASQTHGNVQLGEIAAKMLVLSDSQSSGAYVTLSNIYAAAGMWSEVNRVRGQMKEKGVKKQPGHSWTEIADKVHMFVGGDACHPEMDMILSELRKISFHMQMITNTTQMMEELAQECG >LPERR08G15230.1 pep chromosome:Lperr_V1.4:8:16238260:16240483:-1 gene:LPERR08G15230 transcript:LPERR08G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPASPGDSEAASSGARDMDDEDLVEELLATVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDSAPPLTDDAYRRLVLLARAFSAARRLLRSCHDGSKIFLVLRSHHFHNGRCLLRILAIFTLLPPRRRRPQALESEAVQGRFRSVYERMNSALDGMPYSELSISDEVMEQVELMNAQLTRSKKRADTQDIELSMDLMVILEHRDGDRNADRAILERLAKKLELQTLADLRAETMAIKKLINERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITFAIMTDPVIVASGQTYERRSIQKWLDSGERTCPKTRQPLAHLSLAPNYALKNLILQWCDKNKVELQKREPEPAAEQDEQRQHRAAGEDIPSLVEGMSSIHLDVQRKAVKKIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKKQITKGGAIPLIIEILRSGSAEAQENSAATLFSLSMIDENKLLIGRLGGISPLVELLKNGSVRGKKDAATAIFNLVLNQQNKARATQAGIVPALMKIVDDDGGALNMVDEALSIFLLLSSHAACCGEIGTPPFIEKLVRLIKEGTPKNKECALSVLLELGSKNKPMLVHALRFGLHEHLSRIAKNGTSRAQRKANSLIQLARKCY >LPERR08G15240.1 pep chromosome:Lperr_V1.4:8:16245278:16246237:-1 gene:LPERR08G15240 transcript:LPERR08G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSDGGGDLVVSDGDGFGGVGMEEEMDVDDDMVMRGGGGGEKKRRLSVEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRSSYDSLRSDYDALRRDKDALLAEIKELKGKLGDEDAAASFSSAVKEERPADRPPAAAPQGSSESDSSAVVSDAEAEILTENKTATAAAVVAEEEVVTGGALLHHDHAEVFFHGQMLKVDDDEAAFLGDDDAACGGFFSDEQLPSLPWWAEPAEQWTN >LPERR08G15250.1 pep chromosome:Lperr_V1.4:8:16253251:16258734:-1 gene:LPERR08G15250 transcript:LPERR08G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLAVSAHRPALPLPTPHNHLRRRHLQLQPFPNSLSLSLPISPHPLSPSAPRRHHLTPLLASASAAQPASPKPKSAAAAEEGGGAKPIPLLISLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLIQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASVPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWVMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLKWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFRLGFTTAAINAVIWGVVGTFWWKFLGLY >LPERR08G15260.1 pep chromosome:Lperr_V1.4:8:16259914:16264061:1 gene:LPERR08G15260 transcript:LPERR08G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSANLVSRLSSIYSQHKTSGWRCDRSLMSNATSISLRALCSTHLANNHRARNFHMCHAAGDSSKHVIVNGQANPSKVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIKDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLKTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFAKRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFKSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGDQLALSMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENQRYMETKRLKMGHVYGARPSGHSSALADDGVKKEQE >LPERR08G15270.1 pep chromosome:Lperr_V1.4:8:16264616:16274243:1 gene:LPERR08G15270 transcript:LPERR08G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKLHPRISKRKCYFRSSASECEKTVHNSRWIEFRRQRVAFQRSRKIIHLIPLASQDDSSGLSVNGSPQIDSASEMDNIRVKLVKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSKDSWFPKQWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHESQPTNTSESDLSLLMLGLTCLAAIIKLGSTKVSCQQFFSMVPDIVGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTDLDDSINDIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYSGDTPAATIEGREDMHKGEIISRVLTVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDLTKYDMPKDQTFPEAEEHLVATRIELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEIRGKTASNANESLTPQRPANRAENKRRPFWDLFGRTSGRRVEPIQQTSDQNVLVADVDNKDTESNDILRFEQLRRELIELEKRVQKSADNAQKEETYAANETLDSSVSAPPVSGPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMADAISSRGDQVK >LPERR08G15270.2 pep chromosome:Lperr_V1.4:8:16264616:16270061:1 gene:LPERR08G15270 transcript:LPERR08G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKLHPRISKRKCYFRSSASECEKTVHNSRWIEFRRQRVAFQRSRKIIHLIPLASQDDSSGLSVNGSPQIDSASEMDNIRVKLVKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSKDSWFPKQWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHESQPTNTSESDLSLLMLGLTCLAAIIKLGSTKVSCQQFFSMVPDIVGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTDLDDSINDIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYSGDTPAATIEGREDMHKGEIISRVLTVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDLTKYDMPKDQTFPEAEEHLVATRIELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEVSLVIFIW >LPERR08G15270.3 pep chromosome:Lperr_V1.4:8:16271016:16274243:1 gene:LPERR08G15270 transcript:LPERR08G15270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVADASSRPLSPAVEEIRGKTASNANESLTPQRPANRAENKRRPFWDLFGRTSGRRVEPIQQTSDQNVLVADVDNKDTESNDILRFEQLRRELIELEKRVQKSADNAQKEETYAANETLDSSVSAPPVSGPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMADAISSRGDQVK >LPERR08G15280.1 pep chromosome:Lperr_V1.4:8:16277921:16278340:1 gene:LPERR08G15280 transcript:LPERR08G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHIVVVVAMAVLFAVAAAQGPSASPTPAPKAQPPVATPPTRPPAVAPVSPPAQPPALTPPPASAPAPAPAAAATPTPQAAPPTADTPTLSPPAPGSISQSPTEPPTSPPPPNAASGVSASWAAAAAAVATVAASFY >LPERR08G15290.1 pep chromosome:Lperr_V1.4:8:16282618:16292795:-1 gene:LPERR08G15290 transcript:LPERR08G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLVVVGCAAAASAMEMNFYVGDAKGWTTGVNYTAWAIGKQFEANDTLIFRQPGRDHTVTEVTKSEYDACAVSGNPIFDGRALLVTISLSPGTQYFICTVGNHCASGMKLAVTVSNSSDAPRAQPWFPPRGSSTPTGAASARLHSGGVVVAAAIGVIVFEPSANQRYLSLSQGIRFDFGVLVMIEELAMRDKNLVRSTMASYSVMIMLLLVVGCAMVASAETEFRVGDEKGWTTGVDYAAWANGKNFAANDTLREEHTVIEVRKSDYDACAGSGTPTSDSQAFYKSVSLRPGTHYFICTVSTHCANGMKLAVTVSNSSSGPMFRPSNVPYPYPPPAGSSATRLQVGAFVVATAGIFFKLALF >LPERR08G15290.2 pep chromosome:Lperr_V1.4:8:16282618:16292795:-1 gene:LPERR08G15290 transcript:LPERR08G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLVVVGCAAAASAMEMNFYVGDAKGWTTGVNYTAWAIGKQFEANDTLIFRQPGRDHTVTEVTKSEYDACAVSGNPIFDGRALLVTISLSPGTQYFICTVGNHCASGMKLAVTVSNSSDAPRAQPWFPPRGSSTPTGAASARLHSGGVVVAAAIGVIGNAPIERIRFDFGVLVMIEELAMRDKNLVRSTMASYSVMIMLLLVVGCAMVASAETEFRVGDEKGWTTGVDYAAWANGKNFAANDTLREEHTVIEVRKSDYDACAGSGTPTSDSQAFYKSVSLRPGTHYFICTVSTHCANGMKLAVTVSNSSSGPMFRPSNVPYPYPPPAGSSATRLQVGAFVVATAGIFFKLALF >LPERR08G15290.3 pep chromosome:Lperr_V1.4:8:16283855:16292795:-1 gene:LPERR08G15290 transcript:LPERR08G15290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLVVVGCAAAASAMEMNFYVGDAKGWTTGVNYTAWAIGKQFEANDTLIFRQPGRDHTVTEVTKSEYDACAVSGNPIFDGRALLVTISLSPGTQYFICTVGNHCASGMKLAVTVSNSSDAPRAQPWFPPRGSSTPTGAASARLHSGGVVVAAAIGVIGNAPIERIRFDFGVLVMIEELAMIWLLNLMLMHAFSLKYPKEKANGH >LPERR08G15290.4 pep chromosome:Lperr_V1.4:8:16282618:16283648:-1 gene:LPERR08G15290 transcript:LPERR08G15290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSVMIMLLLVVGCAMVASAETEFRVGDEKGWTTGVDYAAWANGKNFAANDTLLIEVRKSDYDACAGSGTPTSDSQAFYKSVSLRPGTHYFICTVSTHCANGMKLAVTVSNSSSGPMFRPSNVPYPYPPPAGSSATRLQVGAFVVATAGIFFKLALF >LPERR08G15300.1 pep chromosome:Lperr_V1.4:8:16299361:16299558:-1 gene:LPERR08G15300 transcript:LPERR08G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSALITMLVVIGCAAAASAMEMNFYVGDAQGWTTGVNYTAWAIGKQFEDKDSVGGNRYLFGK >LPERR08G15310.1 pep chromosome:Lperr_V1.4:8:16305672:16306516:-1 gene:LPERR08G15310 transcript:LPERR08G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVLVGFAAAASAATTYTVGDANGWTTGTDYTAWTSGKSFAVGDKLTFNFGSGHTLTEVSKSDYENCAVSGNPISDVQSGPATVDLTAAGTRYFICTVPSHCTGGMKLAVTVGSGSSSGTPSTPGSGTPPTTPSSPSKPSAAAAGLQASAVVAAAAGVLVKLALF >LPERR08G15320.1 pep chromosome:Lperr_V1.4:8:16314031:16314745:-1 gene:LPERR08G15320 transcript:LPERR08G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTTSSTADPYGGGMEWESERDMLLMAAGGEHQKLSRRPFAADLLQNCDLPPPAKLFGPLPTLQRLENAAAGTSPDQKSGGIDGRGGDGGDQLMRALRLSQSRAREAEEKLSAAGASNGELSALLVRDSVVLSAHRRWVMMLEAENSALKGGGAGAGVDVSAMEDGSEEEEDSGGGRRGVAAWWLALAVCVGIAGVGLAMGKLLL >LPERR08G15330.1 pep chromosome:Lperr_V1.4:8:16316908:16318959:-1 gene:LPERR08G15330 transcript:LPERR08G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPSLPARQPPPLRLPKHSSLAPHGGRVSFAAACSSGGRAAFGACCASASVAPAPAAEEAVEEPEEGPRTRVVASNIPWDCTADDMRELFGKYGSVVDVELWMYNSSRNRGLAFVTMGSEEEALSALNNLNSTTLNDRTIKVEFARSRKKPFVVPSAPMPKHIVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRPINVMFKDDDAKKNKSAAPEEEDLNKSAAPEEEDLKSESSEQNDN >LPERR08G15340.1 pep chromosome:Lperr_V1.4:8:16321929:16323837:-1 gene:LPERR08G15340 transcript:LPERR08G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPSAQEGRGKKPAKDGADLSDIDSGWVVLGKSDIVSADLAARSLCSSPKTIPTWARWVLGGVLHTVVPFYERVRYVEDETAGDVKTAVEVVEHVAEVTEKLASNVAEQLPENGCLQKAVEKIEYIAEVVDGGAEKVEAIVEKIEKFSDKIDSEVEPIIKELEKEFEDSIQ >LPERR08G15350.1 pep chromosome:Lperr_V1.4:8:16330827:16332974:-1 gene:LPERR08G15350 transcript:LPERR08G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAAGSGAWSWSHGYGGGVDYAKGITTEFVAADDDVGVNAYLDGSSSSPVMAVVVPGLDDLDGGCSAAALPPAPAAAGGRRKRRRTRTVKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINYVKEMEQLLQSLEAQRHARISAADSELPFAGFFTFPQYSMSAAPTTTAAAAAAVESGDGEEESSGSKQSAVADIEVTMVESHANLKVLTSRRRPRQLLRMVAGIQAHRLAVLHLNVASAGHMALYSLSLKVEDDCQLTSVDDIAAAVHGIVDTIEQEQQKQQQS >LPERR08G15360.1 pep chromosome:Lperr_V1.4:8:16343920:16348190:1 gene:LPERR08G15360 transcript:LPERR08G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHCSGLLLVFGLVCLFQLSYSSSDNDFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVGLQKYLSTNGGGGGNLTVDQDVASTWETFRLWRISYREYQFRCIKGQFLTASNGDVISATVDSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQITSNYPSQPGWDDGMATFEMTIVANNIHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVSGSLEALDRAFNWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSAANIEKTLDVINFLAQRYANNPCLLGIELLNEPSAGAVPLDTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGSTNTVVDLHYYNLFDPFFEKLNTTENIQFIYKNRMPQVQALNKANGPLVFVGEWVNEWSVPNASQTEYQLFGKAQLEVYGDASFGWSYWTVRCNSVHWDYEWNKRNRFLIGGSTLEIPKYMLLVAGFLVYLLSILT >LPERR08G15360.2 pep chromosome:Lperr_V1.4:8:16343859:16348190:1 gene:LPERR08G15360 transcript:LPERR08G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHCSGLLLVFGLVCLFQLSYSSSDNDFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVGLQKYLSTNGGGGGNLTVDQDVASTWETFRLWRISYREYQFRCIKGQFLTASNGDVISATVDSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQITSNYPSQPGWDDGMATFEMTIVANNIHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVSGSLEALDRAFNWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSAANIEKTLDVINFLAQRYANNPCLLGIELLNEPSAGAVPLDTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGSTNTVVDLHYYNLFDPFFEKLNTTENIQFIYKNRMPQVQALNKANGPLVFVGEWVNEWSVPNASQTEYQLFGKAQLEVYGDASFGWSYWTVRCNSVHWDYEWNKRNRFLIGGSTLEIPKYMLLVAGFLVYLLSILT >LPERR08G15370.1 pep chromosome:Lperr_V1.4:8:16349586:16350954:1 gene:LPERR08G15370 transcript:LPERR08G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRLRARRGSNGRLPLPLLAAAAVVVVIAALVGGAAAQGSTPSGPGPNYFDPKNFNPSMAVVIVVLVTAFFFLGFFSIYIRRCSGGPLGGGGPPGEYGGAGRFMFASAAMARSTRRARGLDRAVLESFPTVAYADVKAHKGGGGGGGVVLECAVCISEFDDDEILRLLPRCSHAFHVDCIDAWLASHVTCPVCRSNLSVVDAPPPPPPVTTTATAAPEQFLLG >LPERR08G15380.1 pep chromosome:Lperr_V1.4:8:16351879:16355859:-1 gene:LPERR08G15380 transcript:LPERR08G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYPHPSVAIPLRSRLPVSPRRISRERAALCEGVEEAAVRPAASSVFLLRPHLLHSSSPTTSSPFACPPARVAARFRVAAAAAQVAKEGNKLFTIGHTSRLVAMEAKFFRFLKLVGVGFKARTEREGRELKPPEVYKGKGILYIDEVIKLKPGKKQKK >LPERR08G15380.2 pep chromosome:Lperr_V1.4:8:16351879:16355859:-1 gene:LPERR08G15380 transcript:LPERR08G15380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYPHPSVAIPLRSRLPVSPRRISRERAALCEGVEEAAVRPAASSVFLLRPHLLHSSSPTTSSPFACPPARVAARFRVAAAAAQVAKEGNKLFTIGHTSRLVAMEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNSKPPEVYKGKGILYIDEVIKLKPGKKQKK >LPERR08G15390.1 pep chromosome:Lperr_V1.4:8:16357449:16365136:-1 gene:LPERR08G15390 transcript:LPERR08G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGRHRRRCGGGGRKRGLVQRRHLTQALESLWRHTPRPAPPAAVVARGEANPSWRQPPPLENPAFEEYYKEQQIVREEEWDDFISLLRKPLPATFRINASSQFFKDMCSKLEDDFKRYLESEVSDEYGKDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGHITRQEAVSMVPPLFLDIQPDHHILDTPGSKTFQLLEMIHQSKEPGLLPKALVVANDVNAQRCDVLIHNTKRMCTANLIVTNHGAQNFPDCCLANDLSEIYRNDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSDMGNGLHVLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSIELLDVSNELPELVRRPGLGTWKVKDKGSWFQNHEDVPDDRKNVIVPSMFPSSESTQEKPSLSGKANTDSNRSLSRNFSTEKTSKVCSDTDGVSNSNSTKHSDSTSNSMGSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNEGQMAKKIGFEVLDDELLQEQKNLSMDDHTSKDKNLTEVSLVSVDVNDQAESENGMKLPDQCKWKGVDPILLFKDLTVIKSIVSFFGINISFPLEGHLVTRSADPDNARRIYYVSKSVQEILQLNVAVGEQLKITSLGLKMFETHRSKDGCPCAYRLSYEALPLLLPYISKRILRASPNDFLRLLQYRTVNFAHFIDARFGEEASSLIPGCCIVILHEDLHNLDLDSITMDPTTIAIVCWRGRATLNAMVSPPDRKELLERITHRFGLKAFRVEEDENPEQKIN >LPERR08G15390.2 pep chromosome:Lperr_V1.4:8:16357451:16365136:-1 gene:LPERR08G15390 transcript:LPERR08G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGRHRRRCGGGGRKRGLVQRRHLTQALESLWRHTPRPAPPAAVVARGEANPSWRQPPPLENPAFEEYYKEQQIVREEEWDDFISLLRKPLPATFRINASSQFFKDMCSKLEDDFKRYLESEVSDEYGKDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGHITRQEAVSMVPPLFLDIQPDHHILDTPGSKTFQLLEMIHQSKEPGLLPKALVVANDVNAQRCDVLIHNTKRMCTANLIVTNHGAQNFPDCCLANDLSEIYRNDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSDMGNGLHVLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSIELLDVSNELPELVRRPGLGTWKVKDKGSWFQNHEDVPDDRKNVIVPSMFPSSESTQEKPSLSGKANTDSNRSLSRNFSTEKTSKVCSDTDGVSNSNSTKHSDSTSNSMGSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNEGQMAKVTKTDHLFSTDRAVNFNEELHSETVRPGKTVVHQQKIGFEVLDDELLQEQKNLSMDDHTSKDKNLTEVSLVSVDVNDQAESENGMKLPDQCKWKGVDPILLFKDLTVIKSIVSFFGINISFPLEGHLVTRSADPDNARRIYYVSKSVQEILQLNVAVGEQLKITSLGLKMFETHRSKDGCPCAYRLSYEALPLLLPYISKRILRASPNDFLRLLQYRTVNFAHFIDARFGEEASSLIPGCCIVILHEDLHNLDLDSITMDPTTIAIVCWRGRATLNAMVSPPDRKELLERITHRFGLKAFRVEEDENPEQKIN >LPERR08G15390.3 pep chromosome:Lperr_V1.4:8:16357451:16365136:-1 gene:LPERR08G15390 transcript:LPERR08G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGRHRRRCGGGGRKRGLVQRRHLTQALESLWRHTPRPAPPAAVVARGEANPSWRQPPPLENPAFEEYYKEQQIVREEEWDDFISLLRKPLPATFRINARYVLKVSDEYGKDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGHITRQEAVSMVPPLFLDIQPDHHILDTPGSKTFQLLEMIHQSKEPGLLPKALVVANDVNAQRCDVLIHNTKRMCTANLIVTNHGAQNFPDCCLANDLSEIYRNDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSDMGNGLHVLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSIELLDVSNELPELVRRPGLGTWKVKDKGSWFQNHEDVPDDRKNVIVPSMFPSSESTQEKPSLSGKANTDSNRSLSRNFSTEKTSKVCSDTDGVSNSNSTKHSDSTSNSMGSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLNEGQMAKVTKTDHLFSTDRAVNFNEELHSETVRPGKTVVHQQKIGFEVLDDELLQEQKNLSMDDHTSKDKNLTEVSLVSVDVNDQAESENGMKLPDQCKWKGVDPILLFKDLTVIKSIVSFFGINISFPLEGHLVTRSADPDNARRIYYVSKSVQEILQLNVAVGEQLKITSLGLKMFETHRSKDGCPCAYRLSYEALPLLLPYISKRILRASPNDFLRLLQYRTVNFAHFIDARFGEEASSLIPGCCIVILHEDLHNLDLDSITMDPTTIAIVCWRGRATLNAMVSPPDRKELLERITHRFGLKAFRVEEDENPEQKIN >LPERR08G15400.1 pep chromosome:Lperr_V1.4:8:16365857:16368941:-1 gene:LPERR08G15400 transcript:LPERR08G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPCPAPPLPSSPARAPAPRRGGLLRTRAVRAAPRSPSKWSLGSWRGLTALQQPEYPNQAELEEVLRTVEAFPPIVFAGEARKLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDTFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPENRPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >LPERR08G15410.1 pep chromosome:Lperr_V1.4:8:16372281:16373342:1 gene:LPERR08G15410 transcript:LPERR08G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALQAAAAAADSERPGRMETPAADRWARRAEGEAAAAADEEEPQLRRRKSAPGQPWAHMETMHLLDAYEERWTRLRRGQLKAQQWEDVAADVAARCAASGAVLRKTGTQCRHKLEKLRKRYRIESARPVTSLWPYFRRMERLERGPLPVSSAFPSPPPPAVSPPAAASDEDDDDDEEEEDEEEAEEPIPRNNNTRSINGILRESGSGGGFGGFAPRPPQPPPPQQQPSSIAMLSTAPPRKRVAYEAFQAKAAMADKMKKEEEPPPASIRPCGGANAEISAILREFGQGIMRLERRRMEMQWEIDRGWKETEARHSRMLLDAQRRLVESLNAVPPPAKKARREHGGSGDGS >LPERR08G15420.1 pep chromosome:Lperr_V1.4:8:16374936:16380891:1 gene:LPERR08G15420 transcript:LPERR08G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAPLSPLLSRLQIHLHIHLLPSPSPPFSRAPSRTRPPPLRVSASAFGRGGRGAAANSAALTSSWALDAVLSAAELLCLAPPAICSVVCAARLVFQPPSSLAGPVVGGRLFVVQYVLLVGAVAIGSLIRRRQLCRVGGGGDGGAAGVRGVDFAGRIGEVEETVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPINEAATLAQKSSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDNEDLKGEDTARSSSTTHEKENRKEDIKAETVSGDNNKP >LPERR08G15430.1 pep chromosome:Lperr_V1.4:8:16384394:16385365:-1 gene:LPERR08G15430 transcript:LPERR08G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLYSPLLAWILLLAVAIAGGEAEAATPRQLFLVTPPPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRATVADFLLSLSSSSPSPSSPSAPSVAAWWATTARYHPGAARLALGRQVFDADLSMGRRLSETSLAVLAARLSPHRNSIAVVITAPDILVDGFCLSHCGLHASATSTSSPSRTAAHAGRGRFAYVWVGNAAEQCPGECAWPFHQPSYGPQSPPLVAPNADVGMDGVIVNLATLLAGAVTNPYGGGYFQGPTEAPLEAVTACTGMFGAGAYPGYPGQLPVDAATGASYNAVGVDGRRFLLPAMWDPKTSQCSTLV >LPERR08G15440.1 pep chromosome:Lperr_V1.4:8:16391154:16396309:1 gene:LPERR08G15440 transcript:LPERR08G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGTLGFDYGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDHVRELIRKTRGLTEKSFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGNLEEAVKAKEAGVDAIIVQGREAGGHEGLLPLLPRVVDLVSDSCTSVIAAGGIVDGRGYAAALALGAQGICLGTRFLASEESFAHPLYKKRLIEMNCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPDQETEENQPIIGHSIIHGVHKDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPASEVVKRLVAEAQQVIREKFSDFPLMSKEHQ >LPERR08G15440.2 pep chromosome:Lperr_V1.4:8:16391194:16391583:1 gene:LPERR08G15440 transcript:LPERR08G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLAVAVAVTPSRWRVSALRRPSTTSSWLRRHFALFSCILPKPSSAKPSDGELPVAYAYPPPPPPAVTSALTPRAVGLARWRRFEVAARLLVVYLPPPA >LPERR08G15450.1 pep chromosome:Lperr_V1.4:8:16398043:16399596:1 gene:LPERR08G15450 transcript:LPERR08G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPAPTPLHVAAAVFLSFFLLASASRLPPRRLIPPGGEETKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPASAAAAAKRPPGDDAPLVATFTNYKPLGWKCQCRDRLFDP >LPERR08G15450.2 pep chromosome:Lperr_V1.4:8:16397892:16399596:1 gene:LPERR08G15450 transcript:LPERR08G15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPAPTPLHVAAAVFLSFFLLASASRLPPRRLIPPGGEETKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPASAAAAAKRPPGDDAPLVATFTNYKPLGWKCQCRDRLFDP >LPERR08G15460.1 pep chromosome:Lperr_V1.4:8:16401777:16410229:1 gene:LPERR08G15460 transcript:LPERR08G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVPPMETEAIPVVDLRVLSQSDLDGLASASAHAVDAPADAADPLPPLKIDRAVFNESAGSRKQTFSRLRFGAASSSSSSSPSPVSAAAVAAELRGKDKENSLIAHHLRRLFARDDDPNSLLPSPTEHPPTSLSQTQTLALPAPPRSPSPDPDQLTTNSKGISVDLVGLARLVDPYDAELGKRTAGMASESELMGFISTLAGEWVSARERRKFVDASFFGDHLPTGWKLQLGIKRKDRMAWVHCFSYVSPKGQQFASCKEVSAYLMSLLGYPELKMDNIEYGSTQQHGLCANDGVNVLGVQYQIGSSMGSHLPVASVTLSSHSRDQDERDVINSYDCQQCNLTFHGQSAYAHHLITFHKGSSKRRKINKTGKFGEPLVGKDGKFECPLCNVTFEEQPQYYGHIGAHAKHHGLTPEALLQTFSGKVSSNSFADLSFSLQELTGLPQENEKTTASEARGIYLFNSNYPNNFNAQNQTWCRPEEIPPTKDAPSTWNYRNDVMDCADRTLPRIAPHPKDHMDCRVNGFTEATGFNDQAGRHQVYRPSSIGTANHCQGQIIDRAMATSKHAEVNNSMKARDSSCLNTISFPIATANNETSTVLNDGNRLCVTGKGFSASFSNNNGAPSVVLPSSGLNNKMPSSVSVADRSSITPRSFNARYVNDNGASEANNIGNKSNTMVYQTNSTMRPVPPCDLQLGFSGQKQQIFPGYAELRPAAPGPPQLVGMARNSSMPARPSQPQFGSMSRTDALPTGSSQLASMARPNFAPTGFSQFASMTRPLASVPPANSSQFGDMGKQNIVSTSEPTLVLGYAPQMVNGPPSQLGWDLSLSRMVSQGITEGMLPVLCIWCNSQFHHFGPIDGQQSGSFGFICPSCKEKIPGHHNTPNNGPWQP >LPERR08G15470.1 pep chromosome:Lperr_V1.4:8:16404114:16408866:-1 gene:LPERR08G15470 transcript:LPERR08G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWDVRRVSELDHIIMIIPSATSRQELNKDISAVICCNNVPGRTNELLAVEEIWAAAVVKEMHGCAKGGGDGSTKRSCSTVEGIGVSGLVEEIEVPMVDD >LPERR08G15480.1 pep chromosome:Lperr_V1.4:8:16409216:16409922:-1 gene:LPERR08G15480 transcript:LPERR08G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRTNSILLVMALVLGTASLSMAASGVATYYEKYTRKSNLATSACYGNKNMGNMVAAANDGLYNNGAVCGRCYAVKCTGATNGGGDNPCTGATVTVKMVDNCASSDGCQSTIDLSREAFAKIANLDAGIISITYNPTYDGF >LPERR08G15490.1 pep chromosome:Lperr_V1.4:8:16415828:16417013:-1 gene:LPERR08G15490 transcript:LPERR08G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKTSSMLLVMALVLGTASLATAASGVATFYTQYTPSACYGNKNMGNMVAAANGRLYNNGAVCGRCYTVKCTGAASGGGNPCTGASVTVKMVDNCASKDGCQSTIDLSKQAFAKIANLDAGIIRITYNPTSCP >LPERR08G15500.1 pep chromosome:Lperr_V1.4:8:16417268:16421405:-1 gene:LPERR08G15500 transcript:LPERR08G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPTAAAAVAAARRSPSGQHCSSPFALPSLLLPRRLSLRQPRRAAWHAVARASASARSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCDPAAGDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFEAAPSSDSDKEKLDWKTERYKDIIKSGTVKPRPGVLQLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEVKLGVQSQNCLVVEDSVIGLQAATGAGMSCIITYTPSTSNQDFTDAIATYPDLSNVRLEDLKLLLQKSLYVREPIVSSSS >LPERR08G15500.2 pep chromosome:Lperr_V1.4:8:16417916:16421405:-1 gene:LPERR08G15500 transcript:LPERR08G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPTAAAAVAAARRSPSGQHCSSPFALPSLLLPRRLSLRQPRRAAWHAVARASASARSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCDPAAGDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFEAAPSSDSDKEKLDWKTERYKDIIKSGTVKPRPGVLQLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEVKLGVQSQNCLVVEDSVIGLQAATGAGMSCIITYTPSTSNQDFTDAIATYPDLSNVRLEDLKLLLQKSLPTGIFKINQC >LPERR08G15500.3 pep chromosome:Lperr_V1.4:8:16418140:16421405:-1 gene:LPERR08G15500 transcript:LPERR08G15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPTAAAAVAAARRSPSGQHCSSPFALPSLLLPRRLSLRQPRRAAWHAVARASASARSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCDPAAGDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFEAAPSSDSDKEKLDWKTERYKDIIKSGTVKPRPGVLQLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEVKLGVQSQNCLVVEDSVIGLQAATGAGMSCIITYTPSTSNQDFTDAIATYPDLSNVRLEDLKLLLQKSLVTG >LPERR08G15510.1 pep chromosome:Lperr_V1.4:8:16422506:16432658:1 gene:LPERR08G15510 transcript:LPERR08G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPLLALSKAISSRSSKPSSLLASSHLLLRRGGGSLRRSPFAASASSSSAARRLAFPGDLLLLSLARLALRGPPAPRSEPRRWFASVSASSVPSTGPPRGGGGGGNGDGGGGGGGDGWKSPRASQGTAATEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSATVNLATEVALVWAVPEDKDVKNWKLQLGEKLANQLTTCGYKSNLRDSSKVSSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLVMDNDSEQSSFTEVPCDTLAVGDRIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKLTVEVRRPGGETTMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYMFWSIFGPQLVPAAIQHGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIPSHRVDDKNTKDYPNNEWTEGEILSLAAGVESNTTHPLGKAIIEAAQSANCLHLQAKDGSFVEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHDPFVDAEHFGQSIAYVAVDGTLAGLICFEDKLREDSHQIIDTLSKQGVSVYMLSGDKKSAAMNVASLLGIEAEKVIAEVKPHEKKRFISELQKYHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLLLRMRLGSRQQPIHHLEARHKPRTTVSDVLPDATESERSPSKWRSA >LPERR08G15520.1 pep chromosome:Lperr_V1.4:8:16431164:16434254:-1 gene:LPERR08G15520 transcript:LPERR08G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVIEEVEKSRERSRSHSPRRRHRDDHRDRERRGRSRSRSRERHSRDRDRDYRRHSRSRSRSRSLSPEYKNRRRGRDDDKRRSKSRSKSRSKSRSKSRSKSRSRSRSRSRSRSYHSASPTRRSASPRKNTPPKSPVGNGSPEKQTNGKGSPPSRSVSPSPRRAGSRGPGSDGKM >LPERR08G15530.1 pep chromosome:Lperr_V1.4:8:16439314:16440720:-1 gene:LPERR08G15530 transcript:LPERR08G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSILGNKYDPVHRSMHRIRSSIRHETELDRNGLFPRRWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAAAAAGGGGGVVDPSVAAQLQGGGAGGGADVAIQAAKMQYLQCLLQSAATTIATAGSGVGGGGGMLAPAMMITSGGDVEANLRLIGCGAGAGDAYACHGHGGALPPLADLSDVTTANPVADWCSATASSSCGGGGGGASSPFPWPEFCFPDDPFITDFL >LPERR08G15540.1 pep chromosome:Lperr_V1.4:8:16451016:16451986:-1 gene:LPERR08G15540 transcript:LPERR08G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGGAARGGDGGRSKFWASGREGAGSSSSGSRVMHACMWPSVLWCVEMSGCRRCGHMRSLCF >LPERR08G15550.1 pep chromosome:Lperr_V1.4:8:16455321:16455809:1 gene:LPERR08G15550 transcript:LPERR08G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKGSGSEGSNVDDGYGYISGRDRRRKEYEEEGDGDDDDSLASDASTGPAKVKVPSTPDGDEEGGHRKHDEDEEGKEEEEEEDHDMHTKFSVGSGKKTGKTEKGGEGKSSRRGQNKRGSSSRTRFFW >LPERR08G15560.1 pep chromosome:Lperr_V1.4:8:16458880:16461011:1 gene:LPERR08G15560 transcript:LPERR08G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPWIPTDPTAGAPPPRAVPAAAYTSPWVRHLVKEAGAAVSNAQEPRARVPPAENFEVETREHEEKVNKYQAVLAARLKAKYFSSKAFGKEDMFEEMTIQSETILLSRSSKFLPGEELYESGQLFIFDKCLLYQAQSFISSW >LPERR08G15570.1 pep chromosome:Lperr_V1.4:8:16463247:16463936:-1 gene:LPERR08G15570 transcript:LPERR08G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHRHHGGHGEVASLHLLSSPNPPFFATHHHHHHHSLNMALPPQAYFVPATPPPFQEALLAAGAGDDDPMASFELEHILEEAACRLAGAGAGNGSLSSGSDDHHHGGVVAAVAEEERRRRRMVSNRESARRSRMRKQRQLSELWTQVEHLRGANRRLLDELNRALRGCADARRENGRLRDEKAELIKKLQLLSPAPEKNASSSSTSSNGSSCSSEPSKNSTTTTTTDE >LPERR08G15580.1 pep chromosome:Lperr_V1.4:8:16478161:16478961:1 gene:LPERR08G15580 transcript:LPERR08G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRVERWWPPVFPFFFGCDGGAAGCREAIVLDSCSSTPLPIDVADAGEDLCLPPIMHADDGWMYVGLESDCCSYLPVGPNLWSRTVVGVVVLWALFVLARINWAGTGQQLTCVAHIHRARMGFFVTYFRA >LPERR08G15590.1 pep chromosome:Lperr_V1.4:8:16481894:16482709:-1 gene:LPERR08G15590 transcript:LPERR08G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLRFASDEILQTLDASSSSSSSSYDAFVPVFRPDPSGFSSAASAVMAAADRVRSQFLSVEPDLFHDALVAPGPDHLGFPDEEEVESIRWDCLQLDDVDDEEDTDLRLEATSAADEFDWEEVASPSGAGLEQPEPEWEVLADVPSPAPAPADEGFVYTSDRDVYEVLVGDGLFLKSKPPAARSAVEALPSAVVAAGEEGEGEECAVCRDGVAAGERVKRLPCSHRYHEECIVPWLDVRNSCPLCRFELPTDDPQYETWKASRAAAA >LPERR08G15600.1 pep chromosome:Lperr_V1.4:8:16488899:16491329:1 gene:LPERR08G15600 transcript:LPERR08G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDANGGNGFGVESNASPVNGMAMAWQWQGQMVQPSMDSLPWSSSPSTAVLVAGSDAASAMTGGSFLPPPATASVRGGFGHFPVASGGLRESGNDDCSSDSKKKRTSEEIAGTDHANASSNMLADSANETECSKEANGEVNGPTTTTAAAGKSKGKGAKDAGEAQKEGYSHIRARKGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNTRIDFDIESLVSNKDVLRFPGPPSSAPMGYSSPEKMPGLQLSQPGMLQGGVHGMINPGVFTSVIQKQHQNDKGAFRQPQMDQALDGSFHEMVQMTYPQVMGAEDLSIRQDQDGFHM >LPERR08G15610.1 pep chromosome:Lperr_V1.4:8:16491953:16495995:-1 gene:LPERR08G15610 transcript:LPERR08G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVRVRCDAAVAEKAAGEEAAAEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKSDPDAGAITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGTDNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAAADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRNVEVEEDEETKEGEEATEGEQKKTKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAENDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDMISLDQYIENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGEKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPDSTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRSDAEAEATTSEASAEADSSEVRVTEVIEPSEVRPESDPWRD >LPERR08G15620.1 pep chromosome:Lperr_V1.4:8:16496645:16500265:-1 gene:LPERR08G15620 transcript:LPERR08G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPSYDVADEEEPPPPGAAADAPAAAAVAAATAARRVSLTGVPWREGVEARRVRTRRGTEIIAVYVRCPRARLTVLYSHGNAADIGKMYELFVEFSVRLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIVLYGQSVGSGPTVDLASHLHHIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKIPLVKSPVLIIHGTNDDIVDCSHGKQLWELCQHKYEPLWIEGGDHCNLETFPVYIRHLKKFISAIEKFPAEKETSSTESEKLPAENETQSESSALSDASWTTSQRLEPPRKSTRHELPPRLSTDHVDKRRRSTGHREKSKSITYKKEKSRRSVDTFDRTRDEQDQPDKPRKSIDRLGEMIRSMGLCNVDCFKDPPRSTEPSRDR >LPERR08G15630.1 pep chromosome:Lperr_V1.4:8:16507369:16508805:1 gene:LPERR08G15630 transcript:LPERR08G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTPRSHGNDEDCATPPKPHVLVVPYPAQGHMLPLLDLVALLAKRGLSLTVAVTPGNAPLLAPLLASCPPLSVATVTLPFPHSSGLLPAGCGENTKDLPGHLFRPFMVSLAALRDPLLDWCNARRKRPSERVTAVVSDMFTGWTWPLAAELGVPHVTFSPSPAHYLAVSHSLWRRMPRRRHVIDDEDESVAFPDVPGSPIFPWRHLSGLFRQYVAGEEVSEAIRQFFLWNLESICFVANTSTAIEASYVDRPLPDLMGKKVLAVGPLSCAVERRTDRPAVAPASVGAWLDAFGDGAVLYVSFGTQVTLSPAQAARVADALAQSAAPFMWAAGSGTAVPEGFEAATSTRGMVVRGWAPQVEILRHRAVGWFLTHCGWNSVLEAVASGVAMLTWPMSADQFTNACLLAEAGVAVPVAEGADAMPDAGEMADVIASAIGDGGTSARERAAELGRSTAAAVAEGGSSYSDLEELVRMLA >LPERR08G15640.1 pep chromosome:Lperr_V1.4:8:16518963:16520792:1 gene:LPERR08G15640 transcript:LPERR08G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTNATPSRRRRPHVLVIPFPAEGHLLPLLDFAHRLSTRHGIRLTVAVTPSNFPLLSAFLASTPLAAALPIPLPDTSSPEHSRHLPRGTHHALLAVHLSAIRAPLLSWARARPDDPPTVVISDFFLGWTQLLADEMRVPRVVFYASGAFAVAALEHLWNGALPLERDGSVVFDTLPGSPDFSYEHVPSVVTSYVAGDPEWEFVLEGFLLNSRAWGAAVNTFEEMEREFLDCLKKRWFVHGRVWAVGPVADSGSRGEEVRSAEAEQLFSWLDTCPPRSVVYACFGSMYKPPQAQAAALGAALEASGARFVWAVAADVAGIPEGMEERTAVRGRVVRGWAPQMEILRHDAVGAFLTHCGWNSTLEGVAAGVVLLAWPMKADQFIDARLVVDLHGAAVRAAEGAGAVPDAGELARVFXAPLASSAAPSAAACAWGSLYMLPKQAYTTERGGHKSEMTTVGGSG >LPERR08G15650.1 pep chromosome:Lperr_V1.4:8:16520557:16521127:-1 gene:LPERR08G15650 transcript:LPERR08G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGVPSGRQRRLHALVVPFPAQGHLLPLLDFAHRLSTRHGFRLTVAVTPSNLPLISAFLVSTPLATTLPIPLPDTSSPEHSRQLPPGTHHALLAVHLSGIRAPLLSWAWSHPDPPTVVISDFFLGWTQLLADGMRVPRVAFYGVGATSGIRSCLSLSPVHRLSRTSTCRRW >LPERR08G15660.1 pep chromosome:Lperr_V1.4:8:16533194:16536344:1 gene:LPERR08G15660 transcript:LPERR08G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSHSRHHHAFEKSPNHIMKNIDRKHDLQGAINHAASKYLQRIYPLGIQRTSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDKVSEHDVEGEEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYQDNRLFELLALSGMLIDHNWTEILKRRDMYREAFNDFDPNTVAKMDENDVAEISGNRELKLAECRVRCIIENAKCIQKASFCMGTTNQAKLAGNGIGVAKEFGSFSGYIWGHMNHRPMVGKYKHHKYIPFRTPKSETVSKDLVRRGFRLVGPVIIYSFMQATGMVIDHLVDCFRFPECVHLAERSWGITNVAS >LPERR08G15660.2 pep chromosome:Lperr_V1.4:8:16533194:16536344:1 gene:LPERR08G15660 transcript:LPERR08G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSHSRHHHAFEKSPNHIMKNIDRKHDLQGAINHAASKYLQRIYPLGIQRTSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDKVSEHDVEGEEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYQDNRLFELLALSGMLIDHNWTEILKRRDMYREAFNDFDPNTVAKMDENDVAEISGNRELKLAECRVAKEFGSFSGYIWGHMNHRPMVGKYKHHKYIPFRTPKSETVSKDLVRRGFRLVGPVIIYSFMQATGMVIDHLVDCFRFPECVHLAERSWGITNVAS >LPERR08G15670.1 pep chromosome:Lperr_V1.4:8:16537166:16539096:-1 gene:LPERR08G15670 transcript:LPERR08G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIDHTFNNDYPSKNQIEGRSLSWKRVFVQTDNGSVLGIELERGENAHTVKKKLQIALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTHCLSPNGKEVQHCDHSRVIEIVGCTNPSTRMKQLVDEIVHGIERGIQPVAISSGMGGVYYFMDIWGEHAAIVKLTDEEPFAPNNPKGYIGKSLGLPGLKALKDQCVLVNDKKTQALSKLASLQQFIPHDYDASDHGTSSFPVSDVHRIGILDIRIFNTDRHAGNILVRKLETGAGKFETRRELIPIDHGLCLPENLEDPYFEWIHWPQAYIPFSEEELEYIANLDPIKDAEMLCMELHMIHEASLRVLVLSTTFLKEAAAYGFCLSEIGEMMTRQFTGKEDEPSELEYLCMEAKKWVEETEWLILETDITGGRGDESTQFDLDCEDESVACEGSYFKSPRPFRGSSQNPLSKLVEGNEDEKEKDNDEFNKNDIGICTSPVTTWTPSTPNLLILPKKHNFSEISECHSGAAKNRVTTKIKKNDYGGNPRELKCGGWSANEMLPLSSSFVKLWDLRANEWSAFLAKFQDLLPSMFQERKQTATHSPWLMQRLGTSCQF >LPERR08G15680.1 pep chromosome:Lperr_V1.4:8:16554784:16561526:1 gene:LPERR08G15680 transcript:LPERR08G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATDYKQPAPAPPAQGFFLKTHDFLPQVEKRADQPPPPPPSRAQAADAATEKQLPHHQHAPPAATAAAAAFTISHAATAVKQEPPFAPWCQPVAAVDPRGYQWSLPFAARGVAVASRTQQQQQQPPPPERKSGGGFMDAGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVEGKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLRELLPHNDQKRDKASFLLEVIEYIRFLREKVQKYEVSYPEWNQENAKIVPWTNIYFRSSWKNAQNKSQTPADTSPDPPEHPKNGSSYVFPFTGNCDNNNAVETAAASGAHHQAETDPVSRTSYRSADTPSPNNVADKVTSQRQAQLVRPSPAENCDMLNNSDLAIDEGTISLSSQYSQQLLNKLNHALENSGLDLSQASISVNLGKRAMKRSTPAATSTSKELTDPACESRAMGHQLRLGDGAEEHQASKRHKSDNT >LPERR08G15680.2 pep chromosome:Lperr_V1.4:8:16554784:16561526:1 gene:LPERR08G15680 transcript:LPERR08G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATDYKQPAPAPPAQEKRADQPPPPPPSRAQAADAATEKQLPHHQHAPPAATAAAAAFTISHAATAVKQEPPFAPWCQPVAAVDPRGYQWSLPFAARGVAVASRTQQQQQQPPPPERKSGGGFMDAGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVEGKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLRELLPHNDQKRDKASFLLEVIEYIRFLREKVQKYEVSYPEWNQENAKIVPWTNIYFRSSWKNAQNKSQTPADTSPDPPEHPKNGSSYVFPFTGNCDNNNAVETAAASGAHHQAETDPRQAQLVRPSPAENCDMLNNSDLAIDEGTISLSSQYSQQLLNKLNHALENSGLDLSQASISVNLGKRAMKRSTPAATSTSKELTDPACESRAMGHQLRLGDGAEEHQASKRHKSDNT >LPERR08G15690.1 pep chromosome:Lperr_V1.4:8:16564172:16564963:1 gene:LPERR08G15690 transcript:LPERR08G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQAEPGRRPAQQFPTVDLRRPKGYAAAPASPQPAAPAPTPPPAAAEGDPCPRCESRDTKFCYFNNYNTSQPRHFCKGCRRYWTKGGTLRNVPVGGGTRKKPSSSSSSSSSSSAASVPAAKRQKPSKKRRVDTPPEPAADTSVPTPTAADAAGDSINATKEPTEKKTLTTPPPAPDTASEITTELVVPAVEDDSFTDLLQPDSAAVTLGLDFSDYPSVTKGLADPDLHFEWPPATFDMASLWPASAGFADPDPTALFLNLP >LPERR08G15700.1 pep chromosome:Lperr_V1.4:8:16584376:16585488:1 gene:LPERR08G15700 transcript:LPERR08G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSPLMPGSVLPKSKIRKREEVEALNRLLSAGVHRGHDAAAAPTAPGRMLDHLRQASLSSHVVFRDFMSRRISSLQSRERPAWFYSGVTDGMRTFVGAEHDMDASALGLVISRTLGVVDETLTLMPPDVTPLCRDLRHDHILAARRRRIESHPGSRRQRSTLRWKKAGRGRAQLQRIPSNPGSCR >LPERR08G15710.1 pep chromosome:Lperr_V1.4:8:16600032:16601801:-1 gene:LPERR08G15710 transcript:LPERR08G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHSSSQPPPSQQLPPPPPPQQQLPPPPQQQQTPPQHSLHPPPPLPQAPPPQQQKLSIPGVAAPGPNHPPAQPNLPPPPQPTPAAAPPPPQHHQIQSGGDGFHRPGGNYGGGPIVVGNGGPAGMGDGPGGTTLFVGELHWWTTDADLEAELIKYGPVKEVRFFDEKASGKSKGYCQVDFFDPNAATACKEAMNGHVFNDRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGAPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMLGQGFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRREREKDIPPSQDWPERRHRDERDMGRERDRDFDRDRERERDRDRERDRERDRERERDRHRDDRDHYGDYHRHRERDSERNEDWDRGRSSGVRSRSREVDHSKRRRRTPE >LPERR08G15720.1 pep chromosome:Lperr_V1.4:8:16606431:16607231:1 gene:LPERR08G15720 transcript:LPERR08G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLAAALLVLLPLAVSAADGGKAVAKAPAAPPAPPNITASMAKGGCKAFAALVAASPDALSTFQSAADGGVTAFCPTDDAMRSFMPTYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDANEGVARIKDTVYDKDPIAIYALDTVLEPVELFDPVEAPAPAPAPVADAPKASKSKKASRRHVADAPGPAGDDAPPADEKKSSKKNAAAGGAPRSRWLAAVPVAVAVAVAAALV >LPERR08G15730.1 pep chromosome:Lperr_V1.4:8:16613169:16613627:1 gene:LPERR08G15730 transcript:LPERR08G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEDKAGEKAEKAPAAGKKPKAEKRLPATKGEKGEGKKERGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >LPERR08G15740.1 pep chromosome:Lperr_V1.4:8:16615425:16623287:1 gene:LPERR08G15740 transcript:LPERR08G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFIQIFERRDRVKEQMRQHVVSHSESLACAILAAGRRPPPWLLPRLDPIPARGNTLKKTSSDLANLKNEDSLHNVQPQTYQRIEPKTLEFGGGKPGGLHIVNCPGEIDQSQKCVFESVVKEFSVTHSINEGPLSTSLVEVPHFVMSSLLQKDTLQPVESNLQEIPYSVISPLPDEGTMGVPEFGSLIGMTCMASQPLESVTLQSLKPIVLERPDSVFSPLSQKKTTEAGDTVSLTEQDAFPLSTILVEVPHSVMSSLLEKDTLQPVESNLQEIPHSVISSLPDEGTMGVPEYGSLTGMTCMASQLLESVTLQSLKPIALEGPDSVFSPLSQKETTDTGDTVSLTEQVAVASPRFGNDPLQPIFLEVADSVLSPLPEKDAVHTVEMDSITGPIPVAFPLSENGSLQPEILKGPDSFSCPLPEGDAIQSAETDSVAGLISMTCLHFESDSLQINLLKAPDSVPTPMSQTDTRCFAETDSLEGPCSVANFLLEKDEANCPVGPNSMTNLLLEKEPGNMFETDSLEKPHQMTNTQLENATIHSVEANFPEESHSVASLPLEKVTHKLETLFLEESYCPDIRQPEKDSLHREEHTGTPRKFNFSAKTIDENMKILEQQSSECHVLSPCDGSSLQPDRLAIITCKAPKMLATPHDNMLGDECGYSLNPEENMPDDDHGHILRSYLGGSVSVSSLTRSAAKEKLLRKPNYDGDVYQSNIFSSGTMFNHGNPNNCNATEVLEAAQNESFQTHSSLSNPSLEYDMICTAADKSLQGEAILHSVNCQQEQGDHAQAKLVQGGAYNVYVRNNMNESMMPERTSTESAEKSLRNHAGTEHVIFGFDIALEINSDSCKTVSDNHTQVTEPSAQHLIHSSRCGKVTPMKSDVQSTDSHQGTSVADVIQVHENSSCERIEMNRQSDQALYSSSSTMSTSSMDCQHDILDKMENRAYILGKPQHSVCQLDSSGSRECISVDLEQRNDTSTWKSPMSYIHNHTSVNSSSQRSMSGLSDVMHCNSLMMKSLSCTGNSLSGNVATVPQDSLSSCSDILSGDDGQYTRKTDYCSVYPDVEHVQVEDQILIQTDYVLSGSVVLNPNNHPSSTPPTTFLSYDSSGEQSQQACASNCSNKKLGEKGNHGDPEVHLVSDGDIPLHKNTDNCADSDETVEVSCGIPIPANSPTIKERVLEAYHDSAKWVNLSSILSEKINSKITFPLMSKYESLTARFEKLLGPSSLMEVEPYFGKYSLEKLPGAHYTYEGTGSDSSTVEENENCDKPSGFPFRNYSSHGLTGRKPLERVASYQSKEKSASLSRKPMDVGRLDLPTTKASSREFDQPMKNPKENRAPSIRKEVKVTKSLHGRESKGRILGNQTERQKSEANLDKGWKPSNIVSSMTSFIPLVKQKQQPTTAGVKRDVRVKALEVAEAAKRRQQKKQNEREMRKAAAELERERLKQEREQKQKQIEQKTKIDADIVTRKRQWEDYGRKEKEKKKKCIEEPRKQQKQLEERMHAGNSGKYFSQKDPDDTELMKNIVGVVINQLSSDENTESFPILVTPRSNNVKAMVADGKSGSSGHQIHGNLSDDADKSYEMSPCEDSDEEDDGDLEHKREIRRRQKSIPQWTRKEILDKILSSNQILDPREIFERKRSFSLSNVLAPHIPQRRLMID >LPERR08G15750.1 pep chromosome:Lperr_V1.4:8:16627570:16631208:1 gene:LPERR08G15750 transcript:LPERR08G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVVKQIVPAHQAKPAVDPVTAKVSKAGKDGRSDLISKEIIDEQKPSHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIEGLNCNGNQEKKMSQKSSTSESFASAKVSDGTNSLRKTCGSGKVSDTADSIESGKSSMCRPSTSSNISDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGVLGLSHFRLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVVKSANPGPDALQRNGQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIVKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >LPERR08G15760.1 pep chromosome:Lperr_V1.4:8:16632370:16632895:-1 gene:LPERR08G15760 transcript:LPERR08G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREAASSSGRAICVDDVIRRFAGGDDVSVWLLTADRRWERRCVLIVLQAHLKSRIFLYDDATGAVTQLNAPPNASPEREQSRDVVADVLAIMKPLNEMDNRRGHDATLGAVYLMEFLVGIMQKLPSNLRHGFADIDRFYTFTGVI >LPERR08G15770.1 pep chromosome:Lperr_V1.4:8:16634964:16636601:1 gene:LPERR08G15770 transcript:LPERR08G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREAAAAADEEITVKSTAAAAAAADGEIKAATNVRSSPGVVCNDDVIRNIFARLPARDAIASMVLSTHHRSLITSPDFRRLHCLHGEPLPRPHIAYVATAQIVTHRDTYGRVKSLEDWAEKRAGRGGTGAFACVSRYNAESDRSSMYRGFHVAGAGRRHGINPMRALVGRKYIDHKYIGTCNGVILFADEADGLLINPAVADGEREFDVVPSTSTPCEEEEEDTEYHISGFGYGPRTRSYKLLVCKHKRIQNYETHVPGRPNVRISTYGRGPMYSWRADALVVYTLGSTAPEEKPRTVLAELDNDTIRRRSIYMDGTVYLINADKATVLAFDVDDETITSIDLPVERVEGGKPGSLIKSELMEMYGHVCVATVQDSDEGHIGVWLLTADRRWERRCVFHNDWCWPATVAGVWDCGGVLLIAVQAYGKSHIFLYDDATEKAYRLNPPPNASPEKMDLYHIFWGYKTTLVSPGSIAGELSQDEQRRRALAADVLAVVKPVNEMYKRKGQKGALHIVCFMEFLVSVMRRLPSELHNGIVDMDEFY >LPERR08G15780.1 pep chromosome:Lperr_V1.4:8:16639817:16640641:-1 gene:LPERR08G15780 transcript:LPERR08G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWRADELVVHSIGSAAEQPRTVLAGLDDDKICRRSLYMDGTVYLLNVNKATVLAFDVDDETITSIDLPLPGERVADGNQGSYVISELMEMSGRVCVATLEDVDKRLIAVWLLTADRRCERRCLFHNAAVSFWDYNHDVAGVWDCGGVLLIFMQAHDESCCIFLYDDATEGVYMLNAPPNATPERMDYRICWGYKPTLVSPANIVGELSQDEKQLHDLAVDLLAALKPVNDMEKRKGQDATLTTVCFMEFLAGIMRKLPSKLHHGIGKLDRFY >LPERR08G15790.1 pep chromosome:Lperr_V1.4:8:16640694:16641404:-1 gene:LPERR08G15790 transcript:LPERR08G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLNREVTAAVADGDIAAADGEITVANAATGVDRENTAVAHAGVSSSSGDAICNDDVVRSIFARLPARNVVTEFFYDTGTLRYHLLDRNPSRSWRYHGFHVAGAGRRYGNNPVRALAGQKYNDHQYICTCNGVILLAGKEEADDYEPSVGLLLNPAVTDGEREVTDGELSHIGLRLRHKDQNRQATRLQVQTHSKL >LPERR08G15800.1 pep chromosome:Lperr_V1.4:8:16650740:16652782:-1 gene:LPERR08G15800 transcript:LPERR08G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREKAAATVDGEITAAAAPPGSAAAASSSESAAAICDDVIRNIFARLPARDAVASMVLSRHHRRLITSPDFHRLHCLHGEPLPRPHIALWMRVLATDHGTSTVYAPGIREFHSPGADTRPAASNHYQPAETSWKTWRYHGFVEVPRQITTSWRYHGFHVAGDGRRHGTTDPMRALAGRKYNDHRYVGTCNGVILLADEKEPSVGLLLNPAIADGKREVTLVPSLRPARGKPPTEKFHILGFGYGPRTKTYKLVACKHKLFTKFNTYTNAGSFHYWRPMYSWRADKLVVYSLSNLTEQPRTVLAGLGGDTIRCRSLYLDGMVYLLIVDKGTVLAFDVDDETITSTNLPGKRVVGSETGSLHLKSELMEMSGRLCVATVDDGDERCIAVWLLSEDRRWEQRCLFFNGMCRWGDLAGVWNCDGVLLIFMQTSNKNRILLYDDARDDLYYIKTPPNASPEKMDYRIWWGYKPTLVSPANIVGELSQDEQRLRDLTASLDALKPVDEMDKRNGHDAALHTVCFMEFLVGIMRKLPDQLDHGIVTLHRFY >LPERR08G15820.1 pep chromosome:Lperr_V1.4:8:16673257:16674761:-1 gene:LPERR08G15820 transcript:LPERR08G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDDAAAAADSDITTDAAANRAGSLPSSDGAICDDVVRHIFARLPARDAVASMALSKHHRRLISSPEFRRLHCRHGEPLLRPHISYVAMAPVVTCLDVSGRVTSLEFWAKRRAEQRGFGLGAFDMESGFYPGPDFSSRYHGFHVAGNGRRRRQKYNDHNYVGTCNGVILLAGNGKGEEDEKEPSVGLLLNPAISDDMREVFLVGYSQEKKTESYHILGFGYGPRTGTYKLILCKQIRVPNPERRDGGKGRYSGVPSYVWRDEELMVYSLGAAAAEQPRTVFAGLDDDTVNSRSVYMDGTVFLLNVDKAMVLAFDVDGETITTIDLPGNRVAGHKNVKSDLMEVSGRVCVATKTTSVSRVCDLDDDVHEALDPDDDDGGDIDIDKDLISVWLLTADHRRSERRCAFHSDSPWSTVAGVWDCGSVLLIVMKSYDKISIFLYDDATKVSRLKALPNVSPNRLDYNICWGYKPTLVTPASIVGELSEDE >LPERR08G15830.1 pep chromosome:Lperr_V1.4:8:16675157:16680643:1 gene:LPERR08G15830 transcript:LPERR08G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEREQGGRDGEVGKLGIEQAILIAPATDDPNLCVLTFRSLFVGITGCIIISFVSVFTSFRQNPVIIPFIAILMGCLFLGKIMAIALPPKDIRIPWTRITFSLNPGPFNMKEHVVSYTIFSSGLTSSPVQDLFVLMRVYLTTMHPVLFFLLLMTTYIIPYGFATLFFKIYVNPPEMWCPEVLPFVSLFRTLHEEEKEVNSMGSLPKDQLLLLVGICSFTYYIVPVYLFPSISTLSLVCWIWKKSVLAHQLGSGMHGLGLGSIGFDWTTISSFTGNPLVLPFSAIVNMTAGFFLFAYIIVPIAYWTDSFKAKRFPLVSTEIYDSYGNIFSISKVLNEKKFEFVLEGYESYSQIYLSITRACSLGFEFACLASSLSEMALVHGRYFLIQAKKSFVGSEKDCEDYHVNVMKKYDNIPWWWGCVLLSIMTILAMLACEGFGNQIQLRYWGLLLAYLFVLVFLPPFSILRATTAQEPPLRFFMLLIIGYLYPGKPLGNMAFTSYSSRVLSDTLGTIGMYKLGHYLKIPPRALFFSQIIGALILTCTDYIATLWLFSNVENICKPDLLPKGSPWTCPYIQIAYSDMMIWGVIGPSRVFYPAAGAVNYISCIIFIFTIKFALYKKKEWWDKHIYLLSVGLDTGVALMAFLVMFALQMHDINGIDWWGLEVSDHCPLAKCPTQPGVAVEGCPVFL >LPERR08G15840.1 pep chromosome:Lperr_V1.4:8:16681181:16682148:1 gene:LPERR08G15840 transcript:LPERR08G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGIRKCNLQLQWEKKRSANNAIYCCTVTRPFACSNSVYNI >LPERR08G15850.1 pep chromosome:Lperr_V1.4:8:16695141:16698846:1 gene:LPERR08G15850 transcript:LPERR08G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAICDVVCSKLNFFSQGRAVEATAVEVGGKNTFVIHASALVANSRYEAGLTAATKQTLAMYSAVSIQGGCGRGEEGDLLAG >LPERR08G15850.2 pep chromosome:Lperr_V1.4:8:16695165:16698728:1 gene:LPERR08G15850 transcript:LPERR08G15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMVLMVLLRRMYKQARYGSTPIRRHWRSCKLSQNPSSGSDSSGSRRKKYLRHPRICPRR >LPERR08G15860.1 pep chromosome:Lperr_V1.4:8:16708471:16713302:1 gene:LPERR08G15860 transcript:LPERR08G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQLELSNLDGRRRSENSENTDEKTEEVDDCPIEEVRLTVPITDDPTLPALTFRTWLLGLVSCSLLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGMTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWTDAYGARRFPIISSHVFAANGSRYDVNQVLDTATFEFSQRGYDAAGKIHLSIFFAFTYGLSFATLAATLSHVALFHGRSIWRQTVAAARAAGGGDVHTRLMKRNYAVVPQWWFQVMLVAVLGLSVFTCEGFGNQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLADFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPEKSWIKLINMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGVNGVNWWGLEVDDHCALARCPTAPGISAPGCPVP >LPERR08G15870.1 pep chromosome:Lperr_V1.4:8:16710010:16715190:-1 gene:LPERR08G15870 transcript:LPERR08G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADLRSREHGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPTVVDKVMEDIHEFNGKQVEIKRTIPKDSMQSKDFKTKKIFVGGLPQTLTEDDFKHFFEKYGTVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDILANGNMIDLAGAKVEIKKAEPKKSSNPPPSSYGSASRSAYGRDSRGHSSGNDYGGLANAYSHYSGGGYGPYRNHGGYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSYGYASRGGTYGGGFEGPYAGGNLSGYRRGGDESYAGLGSSSYGGAMYGSIAYDPALGGYGSGSTPERSRGNLAGGSGRLDVVMMGEEEGEAGGEEDAPIRQLQLVAESLTCKHGKSKNGDEHHLEPPLRHDGPRWLNSNVAVSSTWLTSYRLPFAANTWEEMIGKRRAP >LPERR08G15870.2 pep chromosome:Lperr_V1.4:8:16712182:16715108:-1 gene:LPERR08G15870 transcript:LPERR08G15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADLRSREHGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPTVVDKVMEDIHEFNGKQVEIKRTIPKDSMQSKDFKTKKIFVGGLPQTLTEDDFKHFFEKYGTVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDILANGNMIDLAGAKVEIKKAEPKKSSNPPPSSYGSASRSAYGRDSRGHSSGNDYGGLANAYSHYSGGGYGPYRNHGGYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSYGYASRGGTYGGGFEGPYAGGNLSGYRRGGDESYAGLGSSSYGGAMYGSIAYDPALGGYGSGSTPERSRGNLAGGSGRYNPYGR >LPERR08G15880.1 pep chromosome:Lperr_V1.4:8:16718210:16719139:-1 gene:LPERR08G15880 transcript:LPERR08G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNITSEGKDPIKTYKRGRNITSTGKETIITYKRRRVRRCDIPEVPEELITEILLRLPVRSLARFKCVCKAWQNTISDPIFVRSHLLHSATKQEQKPSFLITPHKLDTVIDDEDWPTTFSNNFSFFQWQYGNDNMRLLHAMNFHGEFHSVGFMSHCDGLVLFPTDTNVYVINPATGDVLKLPEGQKDAKSSYWPTVGFGFDPRSNTYKVARYFYRSVNYTMQTYDAGMEVFTIGQDGSDCWREIVESPPYSLHGMQVAVHCKGSLIWMISEMLAKPHPNVLLRFSLENENFSLIPYPCTSLPIDQYI >LPERR08G15890.1 pep chromosome:Lperr_V1.4:8:16723495:16730300:1 gene:LPERR08G15890 transcript:LPERR08G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPTWDQEFSFSVGDVQDVLKLYVYDEDIIGIDDFLGQVKVPLEDVLAADNYSLGMRWIQLLPKGKTDKAIDCGEICIAMSLETAGATRSWSEDLASELTDIQRDYSLSSQGTGTSVTLSYQGSEACQEESVNGNLGRADTTEEDNCSEDTDKNQTTAEDKCNWSPIAASSGTDVSKTDKSNKPSFVDRVCQMFGKRSDDAVATPLVTSDESDDVQEATIGYEAPAAVSQDYSPSTDTPFDELLKYFETKHPEVEMPSDLQGILVNKSYVTSPSDLNNLLFSPDSNFRQTVVEIQGCSDFKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGREYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSGEQTSHLIISWRINFLQSTMIKGMIENGAKQGLQQNYAQFSDMLSQKIKPVENAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIVVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQARDQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGTSMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSVVITLHKGRGMDAKHGAKSVDNGKLKFYLQSFASFSVANRTIMALWKARSLSTEYKMQIAEEQSQSNDTLQSEDSGIFVGVEDAKNLQMNELLM >LPERR08G15890.2 pep chromosome:Lperr_V1.4:8:16723495:16730300:1 gene:LPERR08G15890 transcript:LPERR08G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPTWDQEFSFSVGDVQDVLKLYVYDEDIIGIDDFLGQVKVPLEDVLAADNYSLGMRWIQLLPKGKTDKAIDCGEICIAMSLETAGATRSWSEDLASELTDIQRDYSLSSQGTGTSVTLSYQGSEACQEESVNGNLGRADTTEEDNCSEDTDKNQTTAEDKCNWSPIAASSGTDVSKTDKSNKPSFVDRVCQMFGKRSDDAVATPLVTSDESDDVQEATIGYEAPAAVSQDYSPSTDTPFDELLKYFETKHPEVEMPSDLQGILVNKSYVTSPSDLNNLLFSPDSNFRQTVVEIQGCSDFKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGREYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSGEQTSHLIISWRINFLQSTMIKGMIENGAKQGLQQNYAQFSDMLSQKIKPVENAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIVVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQARDQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGTSMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSVVITLHKGRGMDAKHGAKSVDNGKLKFYLQSFASFSVANRTIMALWKARSLSTEYKMQIAEEQSQSNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANMASLMEVFGGGSFEMKVMNKVGCLNYSATQWESDKPDEYQRQIHYKFSKKLSPIGGEVTGTQQKSPMPNKAGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSTRLKEMFVLASKQLPHAR >LPERR08G15890.3 pep chromosome:Lperr_V1.4:8:16723495:16728523:1 gene:LPERR08G15890 transcript:LPERR08G15890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPTWDQEFSFSVGDVQDVLKLYVYDEDIIGIDDFLGQVKVPLEDVLAADNYSLGMRWIQLLPKGKTDKAIDCGEICIAMSLETAGATRSWSEDLASELTDIQRDYSLSSQGTGTSVTLSYQGSEACQEESVNGNLGRADTTEEDNCSEDTDKNQTTAEDKCNWSPIAASSGTDVSKTDKSNKPSFVDRVCQMFGKRSDDAVATPLVTSDESDDVQEATIGYEAPAAVSQDYSPSTDTPFDELLKYFETKHPEVEMPSDLQGILVNKSYVTSPSDLNNLLFSPDSNFRQTVVEIQGCSDFKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGREYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSGEQTSHLIISWRINFLQSTMIKGMIENGAKQGLQQNYAQFSDMLSQKIKPVENAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIVVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQARDQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGTSMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSVVITLHKGRGMDAKHGAKSVDNGKLKFYLQSFASFSVANRTIMALWKARSLSTEYKMQIAEEQSQSNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANFYPKS >LPERR08G15890.4 pep chromosome:Lperr_V1.4:8:16723495:16728523:1 gene:LPERR08G15890 transcript:LPERR08G15890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPTWDQEFSFSVGDVQDVLKLYVYDEDIIGIDDFLGQVKVPLEDVLAADNYSLGMRWIQLLPKGKTDKAIDCGEICIAMSLETAGATRSWSEDLASELTDIQRDYSLSSQGTGTSVTLSYQGSEACQEESVNGNLGRADTTEEDNCSEDTDKNQTTAEDKCNWSPIAASSGTDVSKTDKSNKPSFVDRVCQMFGKRSDDAVATPLVTSDESDDVQEATIGYEAPAAVSQDYSPSTDTPFDELLKYFETKHPEVEMPSDLQGILVNKSYVTSPSDLNNLLFSPDSNFRQTVVEIQGCSDFKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGREYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSGEQTSHLIISWRINFLQSTMIKGMIENGAKQGLQQNYAQFSDMLSQKIKPVENAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIVVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQARDQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGTSMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSVVITLHKGRGMDAKHGAKSVDNGKLKFYLQSFASFSVANRTIMALWKARSLSTEYKMQIAEEQSQSNDTLQSEDSGIFVGVEDAKNLQMNEFYPKS >LPERR08G15900.1 pep chromosome:Lperr_V1.4:8:16732281:16732754:-1 gene:LPERR08G15900 transcript:LPERR08G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLVAYLLYNTAVSIAILADMVRAAMVFLGLPVPPAAWEDGDDQLAAIAAAAAVGPTLADRFRSSFSPARFGRRRCGGAAPDCRVCLARFEPESVVNRLPCGHLFHRACLEKWLDYDHATCPLCRHRLLLPAAAANESPATAMAGRI >LPERR08G15910.1 pep chromosome:Lperr_V1.4:8:16735467:16735769:-1 gene:LPERR08G15910 transcript:LPERR08G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSNELVLLFNWFLGLWPPMISPLSNMSVLTLLPSGLAVPTTTILSSLAITCVGLVPSDIVLTVPSVTTRDGSRTTPYSLVVPGELELEDSTTDDDSW >LPERR08G15920.1 pep chromosome:Lperr_V1.4:8:16739298:16742941:1 gene:LPERR08G15920 transcript:LPERR08G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYAVVHIPCYFDKSGTFDAVQVYTFGPVATPSWREVPAPPGSSGRLQAGAVACVDGSAYWVTAGTPGIMSLDLKDDRVARDVGWLPETPECASRCSYRLTEIRARLCVAVTVEEPPNSMVEVWWLEGTKDQRWTRRYNIQIDTPKQHVMWPLFAHGDHVLTVAQVFNFKEHYLHKHKASDKRSSQCSGEDMEEAAWGGDHELRRHRLYGHQYLFAYMETPEPLEIYGSPVQIKGSSKIE >LPERR08G15930.1 pep chromosome:Lperr_V1.4:8:16743290:16744102:1 gene:LPERR08G15930 transcript:LPERR08G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHTTSQPRSTQEEAEISLWEDMIGVLPTDAFDEEEDEEAESSLGEEMIGDLPTDAFEAILHRIPPSARRRLRLVCRKWRDVIDERMPETKKKARAKTLAFVVNDDLPGVAAHVIDDLAGEVGSSSRKLWSSSDAAYPNCNLTMMVGTCNGLICLYDLSIDSVFLLNPVTGETLHIPKPPPVAQGARIVAKWSTFSFVYLETTGQYKIVHLPIISSSLTCVQVLTLGDQDDDMSWRNVATPPGTRCHVHFGVIGIAGVTYWIAALHVN >LPERR08G15940.1 pep chromosome:Lperr_V1.4:8:16747619:16748155:1 gene:LPERR08G15940 transcript:LPERR08G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGTCNGLICLYDRSMDSVFLLNPVTGETLHVPRPPPIAQTRVVAKWSTYSFVYVETTGQYKIVHLPVICSTTSPLTYVQVLTLGDQDGDMSWRNVATPPGTRCDVDLGVIGIHGVTYWIGTNDRRRNTYALMSLDLNKERVMHVTSPPKTAYGLALPMDDCLREVGGKIGIIARCS >LPERR08G15950.1 pep chromosome:Lperr_V1.4:8:16748199:16749666:1 gene:LPERR08G15950 transcript:LPERR08G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGDGTTGHRFGVSSCRVIMGPVFGHGDQLEKKYSLAAAAMTAMPASYAASAKRDSQRLPVEWEQY >LPERR08G15960.1 pep chromosome:Lperr_V1.4:8:16760546:16763169:1 gene:LPERR08G15960 transcript:LPERR08G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRRESQSSCCPLLPIEDDRRMQRPALPVRRVNRRHRRDQPGHRLAQQHGLFGRIEHHRAFSFKYHEATERYKIVHLAVIPPDWSTFVSALDAVEILTLGVGEEDASAAWRRVRAPAGSTCRIGHGVASDDRATYWINHDGNRLMSFDHTGECFVPVSSLPAAVASKLNNGCFRKVQRRLCICATTDDYQKNTTMEEMWMLEREGSQCQERWYCRFHLTTRRCMQVHQVSSPHFAQGEDILTDHGGSLFVHRCVSSTVRPECSVAQIHEHWPYHDPIFTYGKGSALHTFSYVETMEPLSVYQCNNGSKIQNVVDNDKEQAADTTTSLGQILASLFKGLPTSPVRTPGALTNHN >LPERR08G15970.1 pep chromosome:Lperr_V1.4:8:16764827:16781130:1 gene:LPERR08G15970 transcript:LPERR08G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTIDALDAASAALAEAFRISSTDPDVVTASQLLDSAATAAYAAVREALSAFLAKFLPPFPHGEASPPSSPTAPIYDADLPSDAVVEILRRLPPLHRRRFRLVCRHWRDLIDDLAPAKLPPAKTLVVANGSGYIFDDLAGGRSRKLQCRYSLDGMVDTCNGLICLCDAFGGVSIVNPVNGDTLAVPRPPPSRYRGNRAVYSFGYHPMTGRHKVVHFPVNDGLTRWFDVVKVFTLGVDATCRRRPTKRGRAATSAAASVDGVIYWVTMDAKKVMLLDLKDERVAAMITPTSPVLESEPAVYHSFCLIEVSMLEGVAGSGGRWIRRYSVQLHEPERLMVWPHFVHGDDVRRKGLQCHEALQIDEKMPGKAVGVYYNFTYHDLRTFVYVETTEPLNLPSDTVADIMRLIPPLHRRRFRLVCRHWRDLIDDRAPLTPPHTKTLDVFDDLQGHRSREIHCTYSLEAMVGTCNGLICLCNGGSGIVVFNPATGETLDIPLPPASRCRGNRATYDFAFHPATGRYTVVHFPADNGWTGSFDAVKVFTLGVNASWRDVAAPAGSTFRESCGVVSVDGSTYWVAKDTDKIMSLDLKDECISSHVMTLPAPTSPGRPSFRLTEVYGSLAVATIVRYPRDITKAEVWLLVGAGDDRRWNRQYSVLFKAGTDRQMVWPHFAHGDHVMTTSLPYFATELFVHKLSGGGDDGESLPCREARINRRISPDESVCEFRSFNLRTMANDLRTFAYVETKEPLNVYSPGPPPTKTLVVVDGKGHVFDDLPGGRSREIRCSYSLEGMVGTCNGLICLCDADGAGGIVVANPTTGETLDVPPPPPGQPRRVQLRVPSGDGAAGSGRSTRSMCFTLGVNASWRDVAAPAGSTCRESYGVVSVDGSTYWVSKDTDKLMSLDLKDDLILIDDVITLPAPASPSSKPGGYSFRLTEVHGRLAVAAIVIQPRHRTNVLVWVREGVAGGDRRLSRRYSVLFDEPERQIVWPHFAHGDHVLTTSESWYSCSYLFVNKLSGGGESDQCREARINGRVSGKAVGEFPKYSLYSMHRQHSVASDLRTFAYVETTEPLNIIRRLPPLDRRRCRLVCRHWHDVVDSRAPARPGAAKTLVVAHRHIYVFDDLPGGTSRELKTNLATIYSGAGLVGTCNGILCLCDEGLGGTALFNPVTGETLRVPSSSSSSWSARDHKAYRFAHHPTTGRYKIVHFPVSDRCTGSFDVVRVFTLGENEYASWRDVPAPAGSSRRKSCGFASVDGVTYWVAKDTEKLMSFDLKDERFASVIALPAPASEPGRKCCLVEAHGRVAVAAIVAQPTNTKTEVWVLEGGAAGGRKWSRQYSVQVHGANRQMVWPHFAHGDHALTASTWRHARLQCREARINEKMPGKAAGKYKSRTYDDIRTFAYVETNEPLNVYKILRRLPPLDRRRCRLVCRHWHDTIDSRAPARPGRAKTLVVAHGKGYVFDDLPGGTSRPLPSLGGYSLADLVGTCNGILCLTGNGFGGFVLANPFTGEALRIPIPTRRDKPWKNAWMHRSYSFAYHQATGRYKIVHFPVYDRWTGSFDVVKVFTLGEDVYVSWRDVPAPAGSSMRKGCGFVSVDGVTYWVAMDTEKVMSLDLGNERFEAVITLPAPASEPGRTCRLVEAHGRLAVAAIVTQPTNTKTEAWVLEGGAGGRRWSRRYSVQLHGPDRQMVWPHFAHGDHVLAASTWQYSRENVFLYCREARVNEKMTGKAVGKYGYQTRDDLRAFAYVETTEPLNVYIQKHRTDGHG >LPERR08G15980.1 pep chromosome:Lperr_V1.4:8:16787349:16791129:1 gene:LPERR08G15980 transcript:LPERR08G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRATAARLLVFVACAFTAFVRPCHGVNDQGEALLTWKASLTNGTALDTWRAADASPCRWLGVSCDARGDVVSVSVRSVDLGGTLLATASATASLARSLKTLVLSGTNLTGVIPKEIGDLAELTTLDLTKNELAGAIPAELCRLRKLQSLALNSNSLRGEIPGDIGNLTGLTSLTLYDNELSGVIPASIGNLKKLQVLRAGGNPALKGPLPAEIGGCTDLTMLGLAETGMSGSLPETIGNLKKIQTIAIYTAMLTGTIPASIGNCTELTSLYLYQNTLSGGIPPELGRLKKLQTVLLWQNHLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLMNLQQLQLSTNKLTGVIPPEISNCTSLTDIEVDNNELTGDIGIDFPRLRNLTLFYAWQNRLTGAVPASLAQCESLQSLDLSYNNLTGTIPRELFALQNLTKILLLSNDLAGFIPPEIGNCTNLYRLRLNENRLSGTIPAEIGNLKNLNFLDLGSNRLTGPVPAAISGCDNLEFMDLHSNSLTGTLPDVLPRSLQFVDISDNRLTGLLGAGIGSLLELTKLNLGKNRISGGIPPELGSCEKLQMLDLGDNVLSGGIPPELGKLPFLEISLNLSCNRLSGEIPPQFGGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISFNSFSGELPDTPFFQKLPLNDIAGNHLLVVGSGGDDASRRAAISSLKLAMTILAVVSAFLLMTATYILARSRRNIGGGGGATAADEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVCLPPNIATGGAGAGEFLAVKKMWSSDESGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYSYLPNGSLSGFLHRGGVKGAAEWAPRYEIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKIDSSKPRIAGSYGYIAPEYANMQSITEKSDVYSFGMVVLEILTGRHPLDPTLPGGTHLVQWVREHLQAKRVVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPTMKDVVALLKEIRRPVEAAGVEGKEQTCVIVVPPSVAEQRPPMQSTLPKGGSSSGSFAMSDYSS >LPERR08G15990.1 pep chromosome:Lperr_V1.4:8:16793477:16799421:1 gene:LPERR08G15990 transcript:LPERR08G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAGELAGARGEGRVGVERAFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVSTLPGHKATVNCTLWLPTKKDVLQVRCREVHYLLSGSADGIIMAWKIDSGKGEWTNALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEQGTVGSCKVSCLHSIPVGPKPMVSLSLAVLPGQGGRLILAMGGLDHKVHIYCGDQSGEFIKACDLKGHSDWIRSLDFSLPVMMGSEEHSLFLVSSSQDRTIRIWQMNSDAVSSAHMTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKTTGLWINSVTVGELSHSALGFYGGHWEPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSTTRIFAPWRSQISPGDVIYWREIARPQIHGHDINCVAFIECNGNHRFVCGADEKVSRVFEAPLSFLKTLQHATLLKSDDFDDVQVLGANMSALGLSQKPIYTHGARETPSSISNDGPDSIENIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKLIASSCKAQTAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFRYEFATGSRDKTVKIWCVQDSSSVKLLATLPQFRDSVTALAWTGRDHARNAGLLAVGMDNGMIELWNISGGRASTDSSSSGSSPLSVSCMLRFDPLLCHVSTVHRLRWQKSDSSVEKSALQLASCGADHCVRVFEVRDI >LPERR08G16000.1 pep chromosome:Lperr_V1.4:8:16800657:16802725:-1 gene:LPERR08G16000 transcript:LPERR08G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGSRGVEDVILRYMNLSEHDRGEAIPNREYLISMLQRLKRESDMATQLANPGALNEKIEEMQQEIYSSQQQLQITEDRLRLFEPDPAALGSTSDIDGREKFLMDLLTRVIEKKNNLLSSHMPSFDATAAGMQGTDATQQMYVSQADGMGTFGGDAAMWAPDVGADPGHQMFGASDPLIYLRDHDVYDANSHVAGLHAAAGGEAAACVGDVAAGDDAWRQEYTCTELLSTLIPTTPFPIMPHCLGAEEQYLSMEEQGMVAAAAAQETVEASTASCSYVPSDETTGTPPVMAYDGNAPPPANIA >LPERR08G16010.1 pep chromosome:Lperr_V1.4:8:16806756:16809702:-1 gene:LPERR08G16010 transcript:LPERR08G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHYCNHASSSSNAAPRGTNRFARIGDDYQTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKKSFNNQSLHALGIITNPYEQAISIIGRTLARFDEDNLIPCYGFGDATTHDQKVFSFFPDNRPCDGFEQAVNRYRQIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPIPAYKKEAEFALSALMEIPEQFKAAVNLQLLGKRRGFPHRTVLPPPVSESQQYYGCSTVKQTQSTSYESPPKKTATPKQDSDIGDQTCPICWMEPKNLAFGCGHQTCSDCGKDLKVCPICQRQISTRIRLY >LPERR08G16010.2 pep chromosome:Lperr_V1.4:8:16805939:16809702:-1 gene:LPERR08G16010 transcript:LPERR08G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHYCNHASSSSNAAPRGTNRFARIGDDYQTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKKSFNNQSLHALGIITNPYEQAISIIGRTLARFDEDNLIPCYGFGDATTHDQKVFSFFPDNRPCDGFEQAVNRYRQIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPIPAYKKEAEFALSALMEIPEQFKAAVNLQLLGKRRGFPHRTVLPPPGARQH >LPERR08G16020.1 pep chromosome:Lperr_V1.4:8:16811424:16816624:1 gene:LPERR08G16020 transcript:LPERR08G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNCHLQLRARGRRDKEHTEARISPAANRSTRISCEEEEHMADTATAETNADQSDPLNAQEGREASAQKLEASSITETLPPSQQAGAVTQDIIEVLPPSGHCLSSPPVTHIWERSVDGWGVRLYIRIDLQGSFHTYPDVGGPFQSLEEAYKAIDLYLEDYRDPKMRMDQEGLPPMEMAIRQCLYWPDGTIKKRTKSCVTKAAHKRMCQLVQALVDKYNDDHKLIGDMARKLKDVLLYQSIREERVWYYHLNFTTRIKEIDDVDTGKDNLFFVEVKRSKCYGCTNRGTVGMKHPDISEYLAGHLDVMFFTGDGKWIDSEEDDKYVKAKEAKIRRMYKGLDDPLMEKLLTLPPGVTIVNDSRGARVEDWWSCE >LPERR08G16020.2 pep chromosome:Lperr_V1.4:8:16811424:16816624:1 gene:LPERR08G16020 transcript:LPERR08G16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNCHLQLRARGRRDKEHTEARISPAANRSTRISCEEEEHMADTATAETNADQSDPLNAQEGREASAQKLEASSITETLPPSQQAGAVTQDIIEVLPPSGHCLSSPPVTHIWERSVDGWGVRLYIRIDLQGSFHTYPDVGGPFQSLEEAYKAIDLYLEDYRDPKMRMDQEGLPPMEMAIRQCLYWPDGTIKKRTKSCVTKAAHKRMCQLVQALVDKYNDDHKLIGDMARKLKDVLLYQSIREERVWYYHLNFTTRIKEIDDVDTGKDNLFFVEVKRDGKWIDSEEDDKYVKAKEAKIRRMYKGLDDPLMEKLLTLPPGVTIVNDSRGARVEDWWSCE >LPERR08G16020.3 pep chromosome:Lperr_V1.4:8:16811424:16816624:1 gene:LPERR08G16020 transcript:LPERR08G16020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNCHLQLRARGRRDKEHTEARISPAANRSTRIRNAQEGREASAQKLEASSITETLPPSQQAGAVTQDIIEVLPPSGHCLSSPPVTHIWERSVDGWGVRLYIRIDLQGSFHTYPDVGGPFQSLEEAYKAIDLYLEDYRDPKMRMDQEGLPPMEMAIRQCLYWPDGTIKKRTKSCVTKAAHKRMCQLVQALVDKYNDDHKLIGDMARKLKDVLLYQSIREERVWYYHLNFTTRIKEIDDVDTGKDNLFFVEVKRSKCYGCTNRGTVGMKHPDISEYLAGHLDVMFFTGDGKWIDSEEDDKYVKAKEAKIRRMYKGLDDPLMEKLLTLPPGVTIVNDSRGARVEDWWSCE >LPERR08G16030.1 pep chromosome:Lperr_V1.4:8:16828800:16833422:1 gene:LPERR08G16030 transcript:LPERR08G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHDGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASHQIKQSSDNTALSSLTRMLQESILTDITINAADGSIMAHRAILASRSPVFRSMFSHNLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDICDLKEACHESLLEDIDTKNVLERLQMAHLYRLPKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGVLTTWNGR >LPERR08G16040.1 pep chromosome:Lperr_V1.4:8:16836948:16840941:1 gene:LPERR08G16040 transcript:LPERR08G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGVAVQDGSLVALGATVLTEVSDNVLLTPAAGAGMTTGVFVGVRSATAGSRCIFPLGNLRDLRFMCTFRFKMWWMTQRMGTSGRDIPFETQFIIVEAAGDGDGDAVYTVFLPILEGSFRAVLQGNSDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVKEGLQSLGKGGFAPKFVIIDDGWQSVSMDPAGVASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVSEIKGNHELKYVYVWHAITGYWGGVRPGVDGMEHYESKMQYPVSSPGIQKNEPCDALNSITTNGLGLVNPDKVFSFYNELHAYLVSAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASVARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTSDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCKLGKKNLVHDEQPTTVTGVIRAKDVHHLATVAADDWNGDVIVYSHIGGEVTCLPKNASLPVTLKSRDYEVFTIVPIKKLGNGVSFAPVGLIGMFNSGGAVTALRYAGDAGVELKVIIESRIGKFSMVLNIVLG >LPERR08G16040.2 pep chromosome:Lperr_V1.4:8:16836948:16841207:1 gene:LPERR08G16040 transcript:LPERR08G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGVAVQDGSLVALGATVLTEVSDNVLLTPAAGAGMTTGVFVGVRSATAGSRCIFPLGNLRDLRFMCTFRFKMWWMTQRMGTSGRDIPFETQFIIVEAAGDGDGDAVYTVFLPILEGSFRAVLQGNSDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVKEGLQSLGKGGFAPKFVIIDDGWQSVSMDPAGVASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVSEIKGNHELKYVYVWHAITGYWGGVRPGVDGMEHYESKMQYPVSSPGIQKNEPCDALNSITTNGLGLVNPDKVFSFYNELHAYLVSAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASVARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTSDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCKLGKKNLVHDEQPTTVTGVIRAKDVHHLATVAADDWNGDVIVYSHIGGEVTCLPKNASLPVTLKSRDYEVFTIVPIKKLGNGVSFAPVGLIGMFNSGGAVTALRYAGDAGVELKVRGSGTFGAYSSARPTRVVVDSEADEFSYDDGCGLVSFELAVPEQELYSWTISIEY >LPERR08G16050.1 pep chromosome:Lperr_V1.4:8:16841761:16845772:1 gene:LPERR08G16050 transcript:LPERR08G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGKDQASALMAPRLPRGALPPAPAAPRVGSGSCGGCGGLPLLPRSTGSGFFASRLASFHAFRSIGSKTLMGHCTRKMATTVATLNSGVANAAANSGLKLLVTNGPQAQKAIGIWLFGCAAWVFCLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKMSPEYKRTRTNWLEPSSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSVNWVNSAAKIKKLAIPVSVVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDMFTMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKLDMHPVVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLSSAMAPSVGTDE >LPERR08G16050.2 pep chromosome:Lperr_V1.4:8:16841761:16845772:1 gene:LPERR08G16050 transcript:LPERR08G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGKDQASALMAPRLPRGALPPAPAAPRVGSGSCGGCGGLPLLPRSTGSGFFASRLASFHAFRSIGSKTLMGHCTRKMATTVATLNSGVANAAANSGLKLLVTNGPQAQKAIGIWLFGCAAWVFCLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKMSPEYKRVNKGMNLEDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIGKGYVTRQLGLRLSALFALGAGQGLIGWWMVKSGLEEPSSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSVNWVNSAAKIKKLAIPVSVVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDMFTMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKLDMHPVVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLSSAMAPSVGTDE >LPERR08G16050.3 pep chromosome:Lperr_V1.4:8:16841761:16845772:1 gene:LPERR08G16050 transcript:LPERR08G16050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGKDQASALMAPRLPRGALPPAPAAPRVGSGSCGGCGGLPLLPRSTGSGFFASRLASFHAFRSIGSKFTKFTADSCAPFQTLMGHCTRKMATTVATLNSGVANAAANSGLKLLVTNGPQAQKAIGIWLFGCAAWVFCLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKMSPEYKRVNKGMNLEDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIGKGYVTRQLGLRLSALFALGAGQGLIGWWMVKSGLEEPSSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSVNWVNSAAKIKKLAIPVSVVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDMFTMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKLDMHPVVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLSSAMAPSVGTDE >LPERR08G16060.1 pep chromosome:Lperr_V1.4:8:16855109:16861167:1 gene:LPERR08G16060 transcript:LPERR08G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTSARRWRRWRWKWRTRDAVLALLVASVVAPPLLLDGGAPISHLPGHILIGSGSGHDPSNLIARREVRKGINALQQDASDAVKEPIQTVASDAAALKAGLVQHIVDQGSGMGRSTESRGAKDDGAHNVEKMVIAVDEKDGDKFGKASGLINGGKLGENKVLALGNARDLNIILNKGHTAGRSTEHATSEESDARAISSNTSHVHGRIREMELTLANVKQVHGNCAAAINKLQAVVHSTEEQMQTHKQEANYVTQAPANHVFHIVTDKLNYTAMRMWFLSNPQDKAVVEVQNIADFTWLNSSYSPVLRQLESHFMINYYFRIQHDKPDKTPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLTALWSIDLKGKVNGAVQTCGETFHRFDRYLNFSNPLIAKNFDCHACGWAYGMNMFDLSEWRKQNITDVYHYWQKLNEHRLLWKLGTLPAGLVTFWNRTFPLDHKWHLLGLGYKPNVNQKDIDRAAVIHYNGNRKPWLEIGVAKYQKYWSKYVSFDNVFIRKCNIHP >LPERR08G16060.2 pep chromosome:Lperr_V1.4:8:16855109:16861167:1 gene:LPERR08G16060 transcript:LPERR08G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTSARRWRRWRWKWRTRDAVLALLVASVVAPPLLLDGGAPISHLPGHILIGSGSGHDPSNLIARREVRKGINALQQDASDAVKEPIQTVASDAAALKAGLVQHIVDQGSGMGRSTESRGAKDDGAHNVEKMVIAVDEKDGDKFGKASGLINGGKLGENKVLALGNARDLNIILNKAPANHVFHIVTDKLNYTAMRMWFLSNPQDKAVVEVQNIADFTWLNSSYSPVLRQLESHFMINYYFRIQHDKPDKTPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLTALWSIDLKGKVNGAVQTCGETFHRFDRYLNFSNPLIAKNFDCHACGWAYGMNMFDLSEWRKQNITDVYHYWQKLNEHRLLWKLGTLPAGLVTFWNRTFPLDHKWHLLGLGYKPNVNQKDIDRAAVIHYNGNRKPWLEIGVAKYQKYWSKYVSFDNVFIRKCNIHP >LPERR08G16070.1 pep chromosome:Lperr_V1.4:8:16861529:16861975:1 gene:LPERR08G16070 transcript:LPERR08G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFSSVSVCLLLLAAIAGNTMAVTETPDDEAAPPAAAETPPKYDSDDAAAVAVINGRAATPGGRRPSVPVPADDGCWESIVRTSPACAHDVLQTLVLRVPSLSRECCDVLARAGDKCVADIFSGFPSGEKILPIVKRVCSLVSVII >LPERR08G16080.1 pep chromosome:Lperr_V1.4:8:16864071:16864679:1 gene:LPERR08G16080 transcript:LPERR08G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSTTPPPPPPPPVLGAPVPYPPAGAYAPPVGPYAHAPALYAPPPPAASAAATAASQQAAAAQLQSFWADQYREIEHTTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAAVAAGIPHPAAGLPATDPMAYYYVQPQ >LPERR08G16090.1 pep chromosome:Lperr_V1.4:8:16867448:16868149:-1 gene:LPERR08G16090 transcript:LPERR08G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERPIKSDVHVVEIPVTGDGAVFLDKTAMAAESSSSSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGISLLLLFAASVSPPRASSSSCGRWWVPSSLSLAASVALAAAVQIRVCAYWAASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGSGAWGPLRWCYQNAVAACLLAVAAAAMCSGKFILCA >LPERR08G16100.1 pep chromosome:Lperr_V1.4:8:16875953:16880255:1 gene:LPERR08G16100 transcript:LPERR08G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGDAGDYQRPESQENKEKPPIPCSSQDPINSYEDDNNTEEDEYEEEEFLYDIDDDDDDDVPPADELLGIKHSDGSIYRPNTHSFHSLYRLAEAGETSFGPMKITAPTDHCLPCWTSCEVHHGSPLMQIFSVKIAAFSAAAVAPIYGFMAARDLYGPLRNYLFRRTRDDPFVLPGGDSIIKLSGPKRGISLQNPAMIEYDLKIKKGEEKDDDDDLQLIDGVAAFSELTPFHGVYTRRIHGVHGAVDICLALLRDGMEGTVQVTIPKVADGGIHLSLSCRTSDLHEEIKLFDGTVAKPSKLRNHVIAVRHRTMLILDFKIAPITPAVADDGGGSSDRLRRYCVDLDPINTEYDDDHHDQQEEEKYPEDDDEEEEFLYDINNKGVVPVADLMFVKHSDGSIYNTDGHSHIRLFKLYDTRETLLQPMRLTNPNDDCWPIWSNCKAHRGCRMMQIFSLKIAALSAAAAGGGGPIQIYGFMAARDLYEPLRNYVFNYTRDNPYVSATTPIPTQL >LPERR08G16100.2 pep chromosome:Lperr_V1.4:8:16881334:16883747:1 gene:LPERR08G16100 transcript:LPERR08G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFVVALVLAAAVVGIGDAAPSTAEVFWRAVLPDSPLPDAILRLIHTDTNFVGNKVESTGGATRISKFPYNYSDYKASSSSPTTTTASGLDSKDKVEEAGGAAQTNYPYNYGDYKASSSSPTATTAASGLDYDDYTGGDSTKRVPETAIAKERDFDYDEYVSKLRGGDDYNYKKPAPSSTSNDDPFEYDYKAAAMAKPTVFFHEESIRVGETLTFYFPAATASRLGLLPRRAADAIPFTTASLPAVLALLGVTPGSAEAAGMAATLRTCEWPALAGERKFCAASLESMVEGAMAALGTRDVAALTSTLPRRGAPPQAYAVRAVSRVDHGAAGAGEFVACHDQEYPYTVYRCHTTGPARAYMVEMEGSLRRGGDGGHGDGGEVVTVATVCHTDTSRWNPEHMSFKLLGTKPGGVPVCHLMPYGHIIWAKNVKSSTA >LPERR08G16100.3 pep chromosome:Lperr_V1.4:8:16883170:16887452:1 gene:LPERR08G16100 transcript:LPERR08G16100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYRGGDAGGCQRPESQEKEEKPSSVPCSVDPISTENDDQQQQDENLNNTEDHEEEKLVLYDDDDDDEEEEEEEYVPLACEFVGVKHSDGSIYRPNAHGYSKLYKLYDTRKTFLEPMRMTIPTDECYPIWTCCERHIGCRMMQIFSLKIAALSSSAAADNAPIQIYGFMAARDLYEPLRNYVFSYRRDNPYVLPGNGNYSDPDSVIIMSGPTRGISLECPASIEYDLKIKKGENAEDDLQLIDGVVEFSERTPFHGPYRKRIPGDHGAVDISVALLRNAKEATVQVLIPELAHGGIRLSLSCFLGDLPQEIKLFEGTIFEPWKLRRIVVAAVLGTNLILNYKIAPVVAADGGSSNCIHRRCVFLVEHHGGSYQWICHDFANICTRVNCSDVE >LPERR08G16100.4 pep chromosome:Lperr_V1.4:8:16880258:16881501:1 gene:LPERR08G16100 transcript:LPERR08G16100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSRGISLQTQALIEYDLKIKKGENPQDDLQLIDGVAVFSDLTSFYGPYRNRILGVHGALDISVANLRDAKEGTIQILIDKLAPGGIHLSLSCFLGELPQEIKLYEGTAFEPWELKRIVVAAMLGTELILDYKITPLEAAPADSENGSSDRTIRRRCIFNVQHHKSSYQWIRHDFADILTKPACQYHQRVADERHVTAQDFIPLARAPDVGASLFQFHVTVAVYPQ >LPERR08G16110.1 pep chromosome:Lperr_V1.4:8:16889771:16892663:-1 gene:LPERR08G16110 transcript:LPERR08G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPAPAKYRELNYSLSPCVSFPSHTATHFPPLPIHPPAASSPPLPPRVRARPVQMAGALWISCSQASLLWALLLLTVSPGNSFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYTPAGISDDYIINHLKFKVLVHEYEGSNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDANVFSKRNMYDSIEPVNCPMELQKSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGSKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCIMIGDGVQILGMAIVTIIFATLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVMFMVLTVLNFVLWGSKSTGALPISLFFALLALWFCISVPLTLVGGFIGTRAEQIEFPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVENWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >LPERR08G16120.1 pep chromosome:Lperr_V1.4:8:16916761:16921735:1 gene:LPERR08G16120 transcript:LPERR08G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVIHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHAIKKRGRRRVDCRFPAAISIEDVRDADEERAVTAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDMEKAIQMWAEMLKWREDFGADTILKDFDFHELGEVLRYYPQGYHGVDREGHPVYIERLGKVDPNKLMQITLVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKIARELVNRMHKIDSDYYPETLHQMFVVNAGNGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDNGGCLGSNKGPWNDPYILKWQGMISDISNAESGSDVDDFGSFFQKGADYSCLTPVHEEVKGTDPSAYYSCDDQTRRDIAPESCRGVQATGMVQNHLADTRQSSTNRSPHNSGNNAYKVDGSIVRRSLENFIQVVVITFIKLLSFIVLLVSRPVRRLENVHSCPVTVPAEEKPQPRSIRDDEMTACLQRLDSLELMCNHLASRPPEIPKEKEHMLLNSFERIKCIEADLERTKRALHATVVKQKALVETLEAVQESSKVRKRLFCS >LPERR08G16130.1 pep chromosome:Lperr_V1.4:8:16927591:16932331:1 gene:LPERR08G16130 transcript:LPERR08G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGELSGVYRAEQEDRHVDLMGDSDFDDDEYSQPISEATEDTSAVDVKKGKDIQGIPWEKLSITRDRYRKTRLEQYANFENVCTPADTGAHYYEFQHNTRSVKPTILHFQLRNLVWATTRHDVYLLSQHSVLHWSPFTSEKHKVIDFQGHITPSEKHQGNVSEGFYQAQVSTLSVRGNLLVAGGFRGELICKFLDREGISYCCKSTHDDNGITNSLEIFEKPSGTVHFLASNNDCGVRDFNMERFQICNNFRFPWAVNHTSMSPDGKLVAIVGDNPEGLIVDINSGKTVHDLRGHLDYSFASAWNPDGRTFATGNQDKTCRIWDIRNLSESVAVLRGNMGAIRSIRYTSDGQFLAMAEPADFVHIFDVGSGYRRKQEVDFFGEVSGISFSPDTEALFIGVHDRTYSSLLQYSRRRFYSYLDSAL >LPERR08G16140.1 pep chromosome:Lperr_V1.4:8:16931647:16933806:-1 gene:LPERR08G16140 transcript:LPERR08G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSANGAAAGESPPAGTSPGSSPVIKPKGHGLRRWRRIPRQQHIGGDVAGGGAAGEDTAAAQLHKRRMPVAGGGPRGKHEVVSEEEGSAASVESRFVPPAKLDPSLGELIASAGFSVGAGGAADSVNGDDRSSGKSPTTASFPRHHDLSPFPFAGKNPRAARARVFDAGAEQGNSRSSVESDQLSSSNAVISRQSIAGFAGNGFHKVDCDHGDEGRSIGSVEGKLGLAQGIVNSVADFAERSVGNGDDGSRVRSSADPYEESILLLKRTQEALENEIRKFAVISKESSDDFDGCDDDCSGSVHLEEPLEETSQNIKNLESRLEEASYLDQLFLEKTEAEIQSIILTRAAQTWTPQVEDQIALYNAQKSLSGNYKQLELKLQQTKNRAVMLEEMAEKLKAECDYLSGSSDVLRFQSRASRVSLFCFIQFILLFTAIATFLARLLPSPTEDVVPT >LPERR08G16160.1 pep chromosome:Lperr_V1.4:8:16944373:16945311:-1 gene:LPERR08G16160 transcript:LPERR08G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPAIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSPDEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPDDGKVVAIDPDRESYEVGRPFIEKAGVAHKVDFREGKGLEKLDELLAEEAAAGRQAEFDFAFVDADKPNYVKYHEQLLQLVRVGGHIIYDNTLWAGTVALPPETPMSDLDKRFSVAIRDLNARLAADPRIDVCQLAIADGVTICRRLV >LPERR08G16170.1 pep chromosome:Lperr_V1.4:8:16956615:16957751:-1 gene:LPERR08G16170 transcript:LPERR08G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDANGAVNGIESRETKTAIHSNDSPKTLLKSDALHEYMLNTMVYPRENEFMRELRLITKEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEIGVFTGCSVLATALAIPNDGKVVAIDVSREYFDLGLPVIKKAGVSHKVDFREGPAMPILDDLLTDYLSRTRVYSDIHAHVILVQKGNEGKFDFAFVDADKANYGKYHERLLRLVRAGGLLAYDNTLWGGSVALKDDSGLEEHDQHIRRSIVGFNAKIAADPRVEAVQLPIADGVTLCRRVV >LPERR08G16180.1 pep chromosome:Lperr_V1.4:8:16957783:16962984:-1 gene:LPERR08G16180 transcript:LPERR08G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFITIKASTIVAHACEGKGREEGAVAPASGSARADGGNCSSSPADAALSGRAGSSVSLVYIDQNLCCATHYTPGS >LPERR08G16190.1 pep chromosome:Lperr_V1.4:8:16963525:16964451:-1 gene:LPERR08G16190 transcript:LPERR08G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPDSKTLLKTDSILEYVLDTMVYPQEHERMRELRLISQNHPLSFVVSSPDQMQFFSMLLKMIGARRTIEVGVFTGYSLLATALALPDDGKVVAIDASREYFDLGLPVIEHAGVAHKVEFRQGDGLAELDRLLAEAGEEALFDFAYADADKEQYGGYHERLLRLVRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNATVAADDRVEACLLPIADGVTLCRRVK >LPERR08G16200.1 pep chromosome:Lperr_V1.4:8:16984347:16987098:1 gene:LPERR08G16200 transcript:LPERR08G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATEQKAKRRQPQPLESDHNDAGDDDLISNLNDDVLVHVLGFLPTTADVARPCAVSRRWRRLGPLVPSHNFPLSDENHQERIDRFIAFVNHHLAAARAACVQQLTISFSWEQHLDVRHIDAAQVDAWIRFGMQRVSKSFVLAIDHVKRFNDENNNGMVLGELPRSTRLESMVLSVSNAALRLPATVKFDSMTHLSLNGLRLDVGSDHRLGRLLSSPCCPRLQKLSLTLISGIEELRLDAGELLELSLQAQRMHSADFCRLKLKTPKLRVLQIKSCALQALRISAPMLEELSYSFVNFFYRIERITVGKLSCMRSLKGLELSYDRESLQANSCSVHLLQRSASVECLSMHLSFPKRNGGDQNILKDHLNIKLPSLQEIRISQYKGRMYEASLMKKLHATVPALKRIKLCFDPASIRWSKEGLWKLLPQIPFAEVGSWAAASTDHDSIDYGTFVWTLACEEEI >LPERR08G16200.2 pep chromosome:Lperr_V1.4:8:16984347:16989272:1 gene:LPERR08G16200 transcript:LPERR08G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATEQKAKRRQPQPLESDHNDAGDDDLISNLNDDVLVHVLGFLPTTADVARPCAVSRRWRRLGPLVPSHNFPLSDENHQERIDRFIAFVNHHLAAARAACVQQLTISFSWEQHLDVRHIDAAQVDAWIRFGMQRVSKSFVLAIDHVKRFNDENNNGMVLGELPRSTRLESMVLSVSNAALRLPATVKFDSMTHLSLNGLRLDVGSDHRLGRLLSSPCCPRLQKLSLTLISGIEELRLDAGELLELSLQAQRMHSADFCRLKLKTPKLRVLQIKSCALQALRISAPMLEELSYSFVNFFYRIERITVGKLSCMRSLKGLELSYDRESLQANSCSVHLLQRSASVECLSMHLSFPKRNGGDQNILKDHLNIKLPSLQEIRISQYKGRMYEASLMKKLHATVPALKRIKLCFDPASIRWSKEGLWKLLPQIPFAEVGSWAAASTDHDSIDYGTFVWTLACEEEI >LPERR08G16210.1 pep chromosome:Lperr_V1.4:8:16987796:16988734:-1 gene:LPERR08G16210 transcript:LPERR08G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKILSKSGSFQEKVVSHGFKGSNLIEEIILSNPKRNGDQFLALLRAADDRSTPTAAAAADQSPAIETINVSDLLAGLEEEDAEERNGGEKVFDATTARARSFRTVEEFDAMVTQSTSPPAKLSSSPEQDEESRASRALPPATPSSSSSSAAAADQEALGVKSSEQEESAVSAAAGGGGGGNKRRARARQLGELKVAPAFDFSKSGSLRDWLLQGGQIFSPGSYVTPKFGAGAGVAATVPPPPQEHGVNHGGEQSVFDPELVAQFEQAMERLSEEEERALEEILEAMGASPAAAEEVTASDRPVMVQE >LPERR08G16220.1 pep chromosome:Lperr_V1.4:8:17001734:17004709:1 gene:LPERR08G16220 transcript:LPERR08G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKSRSFLDVPKDIPIATTKPLTIRTNAGGGCSSGSISDRSNPISPAISLTPHLYSPSPPSSAFVSALQSPYISPRALDPPTPRPPAPAPVAVPSPPACYSNSEDTDAAPSASRTPPSERYDSGGGGGIDAAKVSDAGGVVQPRVSFSFPVPRVSFTRGSVASPASNAKLRSCDVYIGYHGNGGSLGRFCKWLKSELELQGVASFVADRAKYSDTQSHEIADRIICSVVFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFETEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVMLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGLFFGSTVDIQEVDCPRGSAANDRSSGMSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVVCISGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQELDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWVVGSLLSELMITPSTLFEAVDRISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVLFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >LPERR08G16230.1 pep chromosome:Lperr_V1.4:8:17006512:17011935:1 gene:LPERR08G16230 transcript:LPERR08G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSDMEPLRSGGGGAAALPPSSSVDPDSPSTPRRSRVRELLRNLDRRLSSRGRHHYYNGEGGRGGGGAASPRGGEPGLARGGQEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALGAVGLAIWVPSVVNQSGNKETFEATSPRHGYSSLLPPADRSETDWRRPEGDDVELAILEDDPYRYGINNEETLLDDLKVSQAMSKHFIKVTPTVNIKEATRLMHDKQQSCVLVVDTEDFLEGIVTIGDIRRKGFEFDLSEDTPRTGENSSNLDVNSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQPAVNGH >LPERR08G16240.1 pep chromosome:Lperr_V1.4:8:17012280:17015806:-1 gene:LPERR08G16240 transcript:LPERR08G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNNHGPLMDEVILPSPSPRTLMSSFSNEEFSSGPFSDIFGDNGSKKPYDGLGKSKAFVDSSREESAQLAKKFEPNFFGANQKSSPNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMSSNVKQSIPKKTEDETRHDRSFFFQSILGSKPPTFPVAEKGFIGSHQKHPSVNDNHQELSVQSSSTAAKEVITATIVKPKTSDSMLDNDDHPSPVNDQEESVTNKNEEYSSDLIITPAADGYNWRKYGQKQVKNSEYPRSYYKCTYPNCPVKKKVERSQDGQITEIVYKGSHDHPLPPSNRRPSVPFSQCNDLKAHDESFGSMSGQATATSWENDTNSHLQDVHGEVLDTKLSASLTTTEHAGTSVMNKQEAVDISSTLSIEEDNRVTNHTPLSLGYDGNEDESEYKRRKMDVYASASTSTNAIDIGAVASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQANGLHHRRREPAQGGTLSQFGGLAAYSSAGHQSAEHFGSAAAAGFSFGMLPHGITIPMPPTATAVPTQMAGHPPAMQGSMATAVPTQTAGHSPALQGYPGLVLPTDEMKVNLLPRFRLPVANGSIAEVPCQQPRNADSSDNCKHREALGEEKWSNEGRTLGLIS >LPERR08G16260.1 pep chromosome:Lperr_V1.4:8:17025675:17027024:1 gene:LPERR08G16260 transcript:LPERR08G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAIRKLCAAFDAVALTVIAAGISRAAHGPSGRRHPFSAHAHSPPPPDFPTIASCRAAVANRRRPRQPSPPAPEAAADEQPVLVRIKGERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFREMELYGCPRTAKSFNATMKVLVRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAYRVMQEMEKAGVRPDVITYTTLMDAFYKSRQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVKKMYASGIRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVNTINQLLKGLMSISKDRNAREIMKLVTGRKPSYSNDEVRTFQDILSLGKTRKMI >LPERR08G16270.1 pep chromosome:Lperr_V1.4:8:17029827:17032609:1 gene:LPERR08G16270 transcript:LPERR08G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISWDPLPPVFTSTRFSNLSPLRGEENVKEASMEKKPRLVAGGGGGGGGDGEASGSAVCGGLLVERLPEALLVEVVGRLELEAACSAAASCRALRSAAAAAFSAVMSLDLSMFPPTNAILNRILAGNGALRSLVVNCSLIDDAAVAAIAKGSLRELSLLKCSSFSPYLFVVIGERCKNLRSFVLEMVASNDDEHFGICRKSVAHIFKGCGNLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQAKRLFPISTSLKTPVSSTVESLSLVLDIITDELVACITGSLHNLVELCLEDNPMLEPDLHNDLTNIGLQALGLCHKLTHLSLTRGKQNCSSTFRRVTDFGILMLAEGCKQLKTVRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGCLSDLTCLDLDEAAPKITEVRLLNCGLLTSETAISLSSCTNLEVLDLSGCRSIADSGLVSISQLSKLTLLDLSGADVTDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTIISLDIGNVPRISGGAVTLIAKNCEQISSLCLRNCLLINDSSIETLGSMRHNSGRNSLRMLDLSYCSRLSRSFLGLFEPPCFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >LPERR08G16280.1 pep chromosome:Lperr_V1.4:8:17034596:17038166:-1 gene:LPERR08G16280 transcript:LPERR08G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAVASHPSAAGAAAAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDGGASPPRVFGCVIGVQRGRMVEIFNSFELVLDPVSGTLDRAFLENKQELYKKVFPDFYVMGWYSTGSDVQDTDMQIHKALMDINESPVYLLLNPAINISQKDLPVTIYESELHVIDGSPQLIFVKANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAIESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNTTYERSTARRGGRSAFM >LPERR08G16290.1 pep chromosome:Lperr_V1.4:8:17038871:17048213:1 gene:LPERR08G16290 transcript:LPERR08G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLITGQGNCAPDGASSSNPFGNFANALLGQSSKAQSIKELPGSSVNVPTTSELGATAPLSTIPGSENEFKQDQLPLARVNTLLHSLDIDSNYRMKGPLHGPYSEMEEYWNQSQSAMRPAPMHVVSDKWITEFDKQNNNSENWANSFEQQYGPNGWASEFEQHQSQMAMMGGANMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGAEKWANEFSSEYNQGGLNNNWIDEFSKLHVDDEWAEEFSGGAFGESSADPWVDEFQNQLSSSKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNSEGWRLLGVTHAENDDDQQAIAAMMRALEANPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVSMNPKADNAWQYLRISLSNASRADMVAACDSRNLDVLQKEFPL >LPERR08G16300.1 pep chromosome:Lperr_V1.4:8:17048923:17052464:-1 gene:LPERR08G16300 transcript:LPERR08G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLKPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSQAAAPGALFEDKPQSANDAWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKVEKKEKRKHKKHHHHKSKSKRHHSSENSDSEESDGKDERRKSVHSSEHKREEKRSRRDKKDHRQDSEDDEPRKRRHAISEDDEPRMSRKEKKGQREDSEDEKPKKSRKDQRRHDSEDEEPRRKHHPSEDDEPKRRQSEVLGDDEQRRRRHEMPRHDEYSRRDRSDTDDRRGRHYAPADRNNAYPKHDSSDSRHRRPEYGRGNSTSELPSEGQRRQESQQSRNGPTFNRRRGVHHMSEEEREARLRQMQADAEVHEEQRWTRLKKAADDDAKEAATVNVNQFKGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >LPERR08G16310.1 pep chromosome:Lperr_V1.4:8:17053488:17057687:-1 gene:LPERR08G16310 transcript:LPERR08G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSTDLPSPAGTSPAAWPQRWRRKRGRERNGAVTAAAAAGGVFSIGVGGKKLHGGGGGVGEMTEEELAAVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANGSTSPHQNGSISGSVNSEETSSVVDDEWGEYVDDNEKLPEMFLPLKQSYFKAFKLMDKELKMHPTIDCFCSGSTAVTLVKQGCDLVVGNLGDSRAIMGTRDATNNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRSTAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLTQENEHEEGSTQDAEIPVTIAKVDQDIAQGDANISSEEQITEATLQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILTGDKRSTSWRKRR >LPERR08G16320.1 pep chromosome:Lperr_V1.4:8:17063539:17066964:1 gene:LPERR08G16320 transcript:LPERR08G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVARCVPTEKWACNGSDEGITQEEKMLLQSLPIHESDEHVEVNCELAKSGDQVCSVPYDLYDLPQMNDVLSLETWNLCLTEDDRFRLAAYLPDMDQQDFFLTMKELFNGCDMFFGSPVQSFFNRLNGGLYSLDVSQPRELLLIFERRRHYHFLKSYHDGLISKFASMGKVWRSRGVSTSLQGKVHTWNDTRHEDPLTGVDIDSSPLNRSLSVINEDKSATVAPFKRAKCMDGTVTTQFSAKPKGIDYRAKSMEMSSLSPISHVPSELNTTCIRLPKGVLKIKNDYTSFNNHNEGMHYTAEPMQADQLGVQVSSLPLATALDVHGVALNSAYYYHMSTSKSTLQNLRSNAYQRDSTLDTYPLSVKSLRGVQIMVPEELERGNFSGMLGTCHQSATEHSPPAYCNELSGTTELPHEKNLLKNFGQRNAITPGSSPYSFTRITDCHQTNGCIPHSLKTAESISEVLTLDRDTPASYKHLSEQSETIHRYPEGLKMKTAASQTATEVEEGYKYPYTYKRRKLQKRLDFVDPVQKSTTVSSESPSALASMTNVKGEGNQAMKIGS >LPERR08G16330.1 pep chromosome:Lperr_V1.4:8:17067131:17068864:1 gene:LPERR08G16330 transcript:LPERR08G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHLLPLLLGRLVVSGDLRRRSTTRLRRIIPLLPAHPHLAALLCEVHFPLFPYSSATFLHNILIRAAASGSPCISFAAFSSLLRRGILPDRFTFPPLLGVAARMSGFPRTGAQVHAQVVRRGFMAEVFVVNALLAMYATMRDTDSMREVFGDSCAGGVADVVSWNTVMGGCVKCGEMGDARRVFDEMPERNGVSWSTMAGCYEETLELFRAMQTEGIVWPNQVTMMHLRSGEKLNDITFVGVLTACAHGGLVDEGRQCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIWSMPMAPDIMVLGTLLGACRMHKRFDVAKRVQGEILSLNAKKSGCQVMISDIYAAAGKWVDALEARQVLQRSGIRNLPRSSSSTHDNRFLAEA >LPERR08G16340.1 pep chromosome:Lperr_V1.4:8:17070724:17074826:-1 gene:LPERR08G16340 transcript:LPERR08G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGTDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDATNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >LPERR08G16340.2 pep chromosome:Lperr_V1.4:8:17070724:17073706:-1 gene:LPERR08G16340 transcript:LPERR08G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDATNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >LPERR08G16350.1 pep chromosome:Lperr_V1.4:8:17079701:17082259:-1 gene:LPERR08G16350 transcript:LPERR08G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVYRLPLVECMMFGALVSATDPVTILSIFQELGTGMNLYALVFGESVLNDADYGIFEDQHFWPELFRSVGVGIISALYPLLFYLLYMLAEGFGLSGIVSILFTGIVMKRYTFSNLSEDSQRFTASFFHLLSPLAETFVHGHHTRDYPDNIKWHFGTVGLEEQWRLPLLFSLFMIFPRAMAKQF >LPERR08G16360.1 pep chromosome:Lperr_V1.4:8:17082949:17087456:1 gene:LPERR08G16360 transcript:LPERR08G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTRSRTANKMEEKRIARFSVTWRGKQLDIDADPNCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSDLTLKEVAISDGKPIRMMGVLNDEIEEVSDNGKRPDLRIVGFDEEEKRLRQRSSGRPQFSLKLPQGQYIFCGFQTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGVMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDANFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFDLEPDSAVVGHKLGGGSSSLASARVLSGAAAYKRLLNASADLDSSKDCVKSGKETLVPGTKFEPDQDFVQKNAKLEPDPDDDDDMNVDVRTGASWSSVSRSFSEQNATGHSEPDPDDSSNVYILNQELRIDGKPSGEPDPDDNGTSKFISEPGNKMETETELRNNFTVLKSEPDPDDSSNSILIKLGTDRPAETTCEAVMEECEDKMEMELGQSRNSTISKSEPDPDDHAGDSNISELQRIEEPVAALCGRLQKAIEMLRSQTTPTEAASAFQTLFKIIKNVIEHPHDVKYRRLRKSNPQFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >LPERR08G16360.2 pep chromosome:Lperr_V1.4:8:17083496:17087456:1 gene:LPERR08G16360 transcript:LPERR08G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGNKMEEKRIARFSVTWRGKQLDIDADPNCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSDLTLKEVAISDGKPIRMMGVLNDEIEEVSDNGKRPDLRIVGFDEEEKRLRQRSSGRPQFSLKLPQGQYIFCGFQTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGVMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDANFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFDLEPDSAVVGHKLGGGSSSLASARVLSGAAAYKRLLNASADLDSSKDCVKSGKETLVPGTKFEPDQDFVQKNAKLEPDPDDDDDMNVDVRTGASWSSVSRSFSEQNATGHSEPDPDDSSNVYILNQELRIDGKPSGEPDPDDNGTSKFISEPGNKMETETELRNNFTVLKSEPDPDDSSNSILIKLGTDRPAETTCEAVMEECEDKMEMELGQSRNSTISKSEPDPDDHAGDSNISELQRIEEPVAALCGRLQKAIEMLRSQTTPTEAASAFQTLFKIIKNVIEHPHDVKYRRLRKSNPQFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >LPERR08G16370.1 pep chromosome:Lperr_V1.4:8:17087651:17090670:1 gene:LPERR08G16370 transcript:LPERR08G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISLGRFLLLAVAAVYYILPLPARRRRPAALGFCRRGRRRISPMEAAAAVASRCSGVRCSPNRTHKQRRQQRSAPRVGFVSQPQPRAVCCKRSPHPDARAHSAAAAAAAVGEKVGEAGGDGAERKRKRLAVFVSGGGSNFRAIHEAALRGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSVPEGVSTGDLLNSLRGLGVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKSVIASGARYSGPTVHFVDEHYDTGRTLAQKVVPVLANDTPEQLATRVLHEEHQVYVEAVAALCEDRIVWREDGVPLIRSRTNPDEYT >LPERR08G16370.2 pep chromosome:Lperr_V1.4:8:17087651:17090670:1 gene:LPERR08G16370 transcript:LPERR08G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISLGRFLLLAVAAVYYILPLPARRRRPAALGFCRRGRRRISPMEAAAAVASRCSGVRCSPNRTHKQRRQQRSAPRVGFVSQPQPRAVCCKRSPHPDARAHSAAAAAAAVGEKVGEAGGDGAERKRKRLAVFVSGGGSNFRAIHEAALRGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSVPEGVSTGDLLNSLRGLGVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKSVIASGARYSGPTVHFVDEHYDTGRTLAQKVVPVLANDTPEQLATRVLHEEHQVYVEAVAALCEDRIVWREDGVPLIRSRTNPDEYT >LPERR08G16370.3 pep chromosome:Lperr_V1.4:8:17087651:17090783:1 gene:LPERR08G16370 transcript:LPERR08G16370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISLGRFLLLAVAAVYYILPLPARRRRPAALGFCRRGRRRISPMEAAAAVASRCSGVRCSPNRTHKQRRQQRSAPRVGFVSQPQPRAVCCKRSPHPDARAHSAAAAAAAVGEKVGEAGGDGAERKRKRLAVFVSGGGSNFRAIHEAALRGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSVPEGVSTGDLLNSLRGLGVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKSVIASGARYSGPTVHFVDEHYDTGRTLAQKVVPVLANDTPEQLATRVLHEEHQVYVEAVAALCEDRIVWREDGVPLIRSRTNPDEYT >LPERR08G16380.1 pep chromosome:Lperr_V1.4:8:17091109:17120918:-1 gene:LPERR08G16380 transcript:LPERR08G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRSTQRLANTHTITLERGGEPTRDGAKRRASVRHAGRRASAGGARMQPPACSSRRRFNHLSGDELERRLRWRCRRKCTQRDNVAYRPHERRTMQLHTMSSTAPSAVAILLLLAAAAAANSCTTQCGDIGIEDGCYHAAGFNLTCIHSYSPPRLFLGDGTVQVLDISIPNSTVRINSGVIEVNESQSSSHHGHNKFRPINVTWGDSLPQGGPYFLSGSKSNLVLVGCNAQVDVRETGVNHALIASCSTICPVISSSDGATTLMPGRYGGACSGIGCCETNLLLGSSSYDIQIHMLDSGQERYYTSLKVHMVDQGVNYSQILLYDDGISLALPALLDWVISNSTCPEDMPTPECRSAHSSCRDTMFGGPAHMGYLCECSHGYQGNPYITHGCYDIDECTDPEAHSCYAICINMPGSFHCRCQNGAYGDPFKRGGCIVAKHSLTGLSIALIVCGGSIVLLLSLHHTCDEATEGEETKRENFQAKSWVAIEAINIKKHGLVRINHVKVCAWRASCVCAAPRQVGDGGHGIVFKGILDLHVVAIKRSKIVVQREINEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFILNGTLYHHLHVEGPVSLSWDDRLRISLEVARALSYLHSSSSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDQYEITTAVQGTLGYLDPMYYYTGRLTDKSDVFSFGVLLMELLTRKKPISDTFDSGESLVSQFVSLLSKGNLVEIIDYQVREEEGGEVLEVATLAEMCTKLKGEERPSMREVEMALENILSKRSPFHNNTTPSRPNENQVSTLYMSIGVTKEAINHINVVKLLGCCLETQVSLVVYKFISNGCLDHHLHVNEQISLSWDNRVRIALEVSRALAYLHSAATIPIFHKDCKASNILLNGNLTTKVSDFGASKYISIDQTELTTAVQGTIGYLDPMYYYMGQLADKIFLVLNCLLQKKLVYKTDDGDSLKKSWLEIYPQVMMEEGDEEVQEVALLAVMCTKLNGQDRPTMEEVEMTLENLLGKKKVASHKPKSSVHDISQSRRDTEQVSRQYSMEQEMVLSESEIHHNQHRHLNNSINTVLLMSLAPVLLLQLLAVAAAAASPAPAPEKKCNTTICGNISIEYPFGVQDGCYHPGFNLTCNQSYNPPRLFLGDGTVQVLEISIPNNTVRVNSSRIDVAKGAAGVNVTWGSGLPNGGAYFMPASGNGIILVGCNVQVEVREPISMSANIATYILVMAFAQTHKEHITVVALTASRAMLLYKEDAKILMNVPTRRLTRLSIALIVSGGSIALFLLLASPFVARELQKATTNFDRSRQVGDGGHGVVFKGILDLKVVAIKNQRSEISEFINEVAILSQVNHRNVVKLLGCCPFTIIFIPISLSWDGRLRISLDVVLELSYLHSASSMQIYHRDIKSQIYFLMIVSDFGASKYTPIDRSEITTVIQGTIGYLDHMYYYTGRLTDKSDVFSFGVLLMELLTRKKPISDIFDSGESLVSQFVSLLSKGNLVEIIDYQVREEEGGEVLEVATLAEMCTKLKGEERPSMREVEMALENILSKRSPFHNNTTPSRPNENQVSTLYMSIGVTKEASRQYAMEDEILLFFKQNHGLLLQQLMSQNREIDDFINEVVILSQVNHRNVFISNGCLDHHLHVNEQISLSWDNRVRIALEVSRALAYLHTSTTIPIFHMDNKASNILLNGNLTTKVSDFGASNYIPIDQTELTTAVQGTIGYLTQDELLTRKKLVYKTDDGDSLVLFLASLFTEEKLVEIIDPQVMMEEGDEEVQEVALLEVMCTKLNGQDRPTMKEVEMTLDNLLGKKKVASHKPKSSVHAISQSRRYCKSNRRDTEQVSRQYSMEQEMVLSERYPR >LPERR08G16380.2 pep chromosome:Lperr_V1.4:8:17091109:17110408:-1 gene:LPERR08G16380 transcript:LPERR08G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRVYRGSWSEWNAEVNHINVVKLLGCCLETQVSLVVYKFISNGCLDHHLHVNEQISLSWDNRVRIALEVSRALAYLHSAATIPIFHKDCKASNILLNGNLTTKVSDFGASKYISIDQTELTTAVQGTIGYLDPMYYYMGQLADKIFLVLNCLLQKKLVYKTDDGDSLKKSWLEIYPQVMMEEGDEEVQEVALLAVMCTKLNGQDRPTMEEVEMTLENLLGKKKVASHKPKSSVHDISQSRRDTEQVSRQYSMEQEMVLSESEIHHNQHRHLNNSINTVLLMSLAPVLLLQLLAVAAAAASPAPAPEKKCNTTICGNISIEYPFGVQDGCYHPGFNLTCNQSYNPPRLFLGDGTVQVLEISIPNNTVRVNSSRIDVAKGAAGVNVTWGSGLPNGGAYFMPASGNGIILVGCNVQVEVREPISMSANIATYILVMAFAQTHKEHITVVALTASRAMLLYKEDAKILMNVPTRRLTRLSIALIVSGGSIALFLLLASPFVARELQKATTNFDRSRQVGDGGHGVVFKGILDLKVVAIKNQRSEISEFINEVAILSQVNHRNVVKLLGCCPFTIIFIPISLSWDGRLRISLDVVLELSYLHSASSMQIYHRDIKSQIYFLMIVSDFGASKYTPIDRSEITTVIQGTIGYLDHMYYYTGRLTDKSDVFSFGVLLMELLTRKKPISDIFDSGESLVSQFVSLLSKGNLVEIIDYQVREEEGGEVLEVATLAEMCTKLKGEERPSMREVEMALENILSKRSPFHNNTTPSRPNENQVSTLYMSIGVTKEASRQYAMEDEILLFFKQNHGLLLQQLMSQNREIDDFINEVVILSQVNHRNVFISNGCLDHHLHVNEQISLSWDNRVRIALEVSRALAYLHTSTTIPIFHMDNKASNILLNGNLTTKVSDFGASNYIPIDQTELTTAVQGTIGYLTQDELLTRKKLVYKTDDGDSLVLFLASLFTEEKLVEIIDPQVMMEEGDEEVQEVALLEVMCTKLNGQDRPTMKEVEMTLDNLLGKKKVASHKPKSSVHAISQSRRYCKSNRRDTEQVSRQYSMEQEMVLSERYPR >LPERR08G16380.3 pep chromosome:Lperr_V1.4:8:17110687:17120918:-1 gene:LPERR08G16380 transcript:LPERR08G16380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRSTQRLANTHTITLERGGEPTRDGAKRRASVRHAGRRASAGGARMQPPACSSRRRFNHLSGDELERRLRWRCRRKCTQRDNVAYRPHERRTMQLHTMSSTAPSAVAILLLLAAAAAANSCTTQCGDIGIEDGCYHAAGFNLTCIHSYSPPRLFLGDGTVQVLDISIPNSTVRINSGVIEVNESQSSSHHGHNKFRPINVTWGDSLPQGGPYFLSGSKSNLVLVGCNAQVDVRETGVNHALIASCSTICPVISSSDGATTLMPGRYGGACSGIGCCETNLLLGSSSYDIQIHMLDSGQERYYTSLKVHMVDQGVNYSQILLYDDGISLALPALLDWVISNSTCPEDMPTPECRSAHSSCRDTMFGGPAHMGYLCECSHGYQGNPYITHGCYDIDECTDPEAHSCYAICINMPGSFHCRCQNGAYGDPFKRGGCIVAKHSLTGLSIALIVCGGSIVLLLSLHHTCDEATEGEETKRENFQAKSWVAIEAINIKKHGLVRINHVKVCAWRASCVCAAPRQVGDGGHGIVFKGILDLHVVAIKRSKIVVQREINEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFILNGTLYHHLHVEGPVSLSWDDRLRISLEVARALSYLHSSSSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDQYEITTAVQGTLGYLDPMYYYTGRLTDKSDVFSFGVLLMELLTRKKPISDTFDSGESLVSQFVSLLSKGNLVEIIDYQVREEEGGEVLEVATLAEMCTKLKGEERPSMREVEMALENILSKRSPFHNNTTPSRPNENQVSTLYMSIGVTKEASRQYAMEDEILLSSRYPR >LPERR08G16400.1 pep chromosome:Lperr_V1.4:8:17108231:17108770:1 gene:LPERR08G16400 transcript:LPERR08G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILIRDCWLPERGTQRVSHGRQRPNPNERRRHPVGVGRSSVEQTGGGGNGPRSGVQKRAAASPRRLALQAAAARAHQVRMRQRRPAAAHGEATRHGRMEMHERRQRRPAEARTTTAACGGGARRRRNPSVARP >LPERR08G16410.1 pep chromosome:Lperr_V1.4:8:17121411:17128714:-1 gene:LPERR08G16410 transcript:LPERR08G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSSESFLSASRDSESTSSTYGELLGVAAAAGTANCSTHCGNIRFSYPFGVEPGCYHDGFNLTCDRSHQSPKLFLGDGTIEVLEISIPNGTVRINSSSIVPISSASGFGNVVNKTGKYHTWGGLRKGGPFFISPYKNKFLVLSCSNVQVLLLGGDNTTVNACATYCPPAPKKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPASEITEFDAESSVYIAEEGSYNATRLIFETANALPALLDWAISNSTCFMKPLAASASACRSSNSYCQNYTSYVYNGYQCHCESGYQGNPYIPNGCQDIDECAHWELHSCYGTCINMPGTFHCRCPDGTYGNPLMDGGCIKIKNSSQGLSIGLIVSGGTVLLLLALCAPLVTRKIKLRKMKKAKEKFFKQNHGLLLQQLISQKVDIGERMIIPLADLEKATGNFDTSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLPWYDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVYTSSNGDALVLHFVSLLTECNLVEILDPQVLEEGDGEVQEVAALAATCIKLTGDDRPTMREVEMALENLSVKKKRATLGTTSNRYDWDQIAVHYMSAGEITDESTRQYTMEEEIWSSDMDECNSPGNCYGQCNNTEGSFICKCPPGLKGNASIPNGCQDIDECKDPYTYPCYGNCINMWGTFHCHCHTGSQGDPTIKGGCIKIHHSISGLRIGLIVSGGISVFILALLAPFITRKIKQHKVNRMKDIFFKQNHGLLLQQLMSQNRDIGERMIMTLQELEKATDNRDIGKVGDGGHDIVYKGILDLQVVAIKKSRIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGSLDHHLHIDGPVSLPCDDRIRIALEIARALAYLHSSTTIPIFHRDIKASNILLDDNLTAKISDFGASRYIPIDQTELSMVVQGTIGYLDPMYYYTGHLIDKSDVFSFGVLLIELLTRKKPTYRTSDGVSLVLHFASLLGEGRELNGEAKALSTRAIGNGDRVYISVGLMCKDVTEEYSVPCQRSPQLNEIIAQVCRQYY >LPERR08G16420.1 pep chromosome:Lperr_V1.4:8:17131970:17136273:1 gene:LPERR08G16420 transcript:LPERR08G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFAIAALHLLQFLAVIPMLLSYQIALPGCTDKCGNTSIPYPFGIGDERCFREGFKLVCDTAYDPPKLFMNSPGYEVHKIKLKRRVLHLDTGITYMLGSNSYKVSWSVSLDGKLFRVSADMNVFIILGCGFQFFISPPAAGDSTSSTCVSACHTGYPVLETDGTCSGIGCCNTSVVEDHNSYMIKLVSLSERSSSLSSPNAPPFNASMVVVKGEWWRRADNAMLLQQEVLSRLSGPAGVQENVGVRTVKLSDFGCLSDNNINECMLPNPPPCFGKCINTVGSYEYICPGGTSGNARIPNGCVSGKLKFSGFMVAIGLGGSIVILLLILASVVVRRKLKFRRAKKLKEFFFKQNRGLLLLQLVDKDIAERMIFSLDELENATNNFNESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFRCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSLPWKERLRIALEIARSLVYLHSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDKTTLTTTIQGTFGYLDPEYYRTSRLTEKSDVYSFGVILVELITRKRPTSYISSEGFNLIEQFILLIGEDRFCEIVDTQVTEEGGEEEAREVAVIAVMCLNLKGEDRPTMWQVEVKLEGLQSAVNTVRSDQITPRHAVQLNYPSNEQNDSNIIAVSDAGYHNSSRRLSMEEEFWSSMSFPR >LPERR08G16420.2 pep chromosome:Lperr_V1.4:8:17131970:17136273:1 gene:LPERR08G16420 transcript:LPERR08G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFAIAALHLLQFLAVIPMLLSYQIALPGCTDKCGNTSIPYPFGIGDERCFREGFKLVCDTAYDPPKLFMNSPGYEVHKIKLKRRVLHLDTGITYMLGSNSYKVSWSVSLDGKLFRVSADMNVFIILGCGFQFFISPPAAGDSTSSTCVSACHTGYPVLETDGTCSGIGCCNTSVVEDHNSYMIKLVSLSERSSSLSSPNAPPFNASMVVVKGEWWRRADNAMLLQQEVLSRLSGPAGVQENVGVRTVKLSDFGCLSDNNINECMLPNPPPCFGKCINTVGSYEYICPGGTSGNARIPNGCVSGKLKFSGFMVAIGLGGSIVILLLILASVVVRRKLKFRRAKKLKEFFFKQNRGLLLLQLVDKDIAERMIFSLDELENATNNFNESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFRCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSLPWKERLRIALEIARSLVYLHSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDKTTLTTTIQGTFGYLDPEYYRTSRLTEKSDVYSFGVILVELITRKRPTSYISSEGFNLIEQFILLIGEDRFCEIVDTQVTEEGGEEEAREVAVIAVMCLNLKGEDRPTMWQVEVKLEGLQSAVNTVRSDQITPRHAVQLNYPSNEQNDSNIIAVSDAGYHNSSRRLSMEEEFWSSMSFPR >LPERR08G16420.3 pep chromosome:Lperr_V1.4:8:17131970:17136273:1 gene:LPERR08G16420 transcript:LPERR08G16420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFAIAALHLLQFLAVIPMLLSYQIALPGCTDKCGNTSIPYPFGIGDERCFREGFKLVCDTAYDPPKLFMNSPGYEVHKIKLKRRVLHLDTGITYMLGSNSYKVSWSVSLDGKLFRVSADMNVFIILGCGFQFFISPPAAGDSTSSTCVSACHTGYPVLETDGTCSGIGCCNTSVVEDHNSYMIKLVSLSERSSSLSSPNAPPFNASMVVVKGEWWRRADNAMLLQQEVLSRLSGPAGVQENVGVRTVKLSDFGCLSDNNINECMLPNPPPCFGKCINTVGSYEYICPGGTSGNARIPNGCVSGKLKFSGFMVAIGLGGSIVILLLILASVVVRRKLKFRRAKKLKEFFFKQNRGLLLLQLVDKDIAERMIFSLDELENATNNFNESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFRCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSLPWKERLRIALEIARSLVYLHSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDKTTLTTTIQGTFGYLDPEYYRTSRLTEKSDVYSFGVILVELITRKRPTSYISSEGFNLIEQFILLIGEDRFCEIVDTQVTEEGGEEEAREVAVIAVMCLNLKGEDRPTMWQVEVKLEGLQSAVNTVRSDQITPRHAVQLNYPSNEQNDSNIIAVSDAGYHNSSRRLSMEEEFWSSMSFPR >LPERR08G16430.1 pep chromosome:Lperr_V1.4:8:17149538:17156219:-1 gene:LPERR08G16430 transcript:LPERR08G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAEDESSANLDLICALCDNGGEIASCEGKCLRSFHAIKDSGEDCRTLGYTRQQFDVFPCASANCGYFYHAKCVAYLLFAENEAKAEEYSAKIANGVKFACPLHRCDVCKYGEDKSKEELQFALCRRKIAFDDFVDNGVFHFQRAWGDLLPNNRILIYCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFTVNGMNKKVVKIRLLKDCPPDALSSDKKSIGIVNRSSSSDLITKKRKVLVSGGAKHCDRIAVAREKILVTSRIPISSFPAIDTSTERRIHEFAQQVSSDITIEDIQKRLVVPSTHTPATNNTDKITLGMVQRSVEAVNAALHMLENGAPIEDVKSVCTPGDLFQIARWKNKLNIYLAPFLHGMRYTSYGRHFTKVNKLEQIVDRLQWYIESGDTVVDFCCGSNDFSSLLKEKLEASEKNCFYKNYDLIQPKNDFNFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWADANQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWALKHKEIAKTMGHLSKDVCLDDTQREMADIASVTNTGHVQMGNEGDADFMDEGRQEEGPLKASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMDCESDMSISSADKSDCQKQTETTSNSEHGHTPAEQPTGSADCDEVTSAGVEYHNQENSPLTERPADDSHCEKVDDLPTASKHAAGVQYQMLDDTPPPLDEIVPGFSAQPIDSSPGVVRLSAGLQYQRLGLEDTLSMQWLLDIFHTHQVISLHFLRSFLLQEFPSLDSNSVQEMACGNKDGVPLQKFWPEECTIRHSCGGGARPLVGWMTRSVRH >LPERR08G16430.2 pep chromosome:Lperr_V1.4:8:17149554:17156219:-1 gene:LPERR08G16430 transcript:LPERR08G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAEDESSANLDLICALCDNGGEIASCEGKCLRSFHAIKDSGEDCRTLGYTRQQFDVFPCASANCGYFYHAKCVAYLLFAENEAKAEEYSAKIANGVKFACPLHRCDVCKYGEDKSKEELQFALCRRKIAFDDFVDNGVFHFQRAWGDLLPNNRILIYCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFTVNGMNKKVVKIRLLKDCPPDALSSDKKSIGIVNRSSSSDLITKKRKVLVSGGAKHCDRIAVAREKILVTSRIPISSFPAIDTSTERRIHEFAQQVSSDITIEDIQKRLVVPSTHTPATNNTDKITLGMVQRSVEAVNAALHMLENGAPIEDVKSVCTPGDLFQIARWKNKLNIYLAPFLHGMRYTSYGRHFTKVNKLEQIVDRLQWYIESGDTVVDFCCGSNDFSSLLKEKLEASEKNCFYKNYDLIQPKNDFNFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWADANQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWALKHKEIAKTMGHLSKDVCLDDTQREMADIASVTNTGHVQMGNEGDADFMDEGRQEEGPLKASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMDCESDMSISSADKSDCQKQTETTSNSEHGHTPAEQPTGSADCDEVTSAGVEYHNQENSPLTERPADDSHCEKVDDLPTASKHAAGVQYQMLDDTPPPLDEIVPGFSAQPIDSSPGVVRLSAGLQYQRLGLEDTLSRGIPEADADCRQLEDSLLASEVDAVAAKYFPETSDLPAPPFFPASEVDAVAARYFPHTSSNLPALPPFIPAPRIPFPGLQFGPRNGLWQQGWCPPPEVLARGMHHPAFMRGRGPSSGWLDD >LPERR08G16430.3 pep chromosome:Lperr_V1.4:8:17149554:17156219:-1 gene:LPERR08G16430 transcript:LPERR08G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAEDESSANLDLICALCDNGGEIASCEGKCLRSFHAIKDSGEDCRTLGYTRQQFDVFPCASANCGYFYHAKCVAYLLFAENEAKAEEYSAKIANGVKFACPLHRCDVCKYGEDKSKEELQFALCRRKIAFDDFVDNGVFHFQRAWGDLLPNNRILIYCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFTVNGMNKKVVKIRLLKDCPPDALSSDKKSIGIVNRSSSSDLITKKRKVLVSGGAKHCDRIAVAREKILVTSRIPISSFPAIDTSTERRIHEFAQQVSSDITIEDIQKRLVVPSTHTPATNNTDKITLGMVQRSVEAVNAALHMLENGAPIEDVKSVCTPGDLFQIARWKNKLNIYLAPFLHGMRYTSYGRHFTKVNKLEQIVDRLQWYIESGDTNDFNFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWADANQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWALKHKEIAKTMGHLSKDVCLDDTQREMADIASVTNTGHVQMGNEGDADFMDEGRQEEGPLKASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMDCESDMSISSADKSDCQKQTETTSNSEHGHTPAEQPTGSADCDEVTSAGVEYHNQENSPLTERPADDSHCEKVDDLPTASKHAAGVQYQMLDDTPPPLDEIVPGFSAQPIDSSPGVVRLSAGLQYQRLGLEDTLSRGIPEADADCRQLEDSLLASEVDAVAAKYFPETSDLPAPPFFPASEVDAVAARYFPHTSSNLPALPPFIPAPRIPFPGLQFGPRNGLWQQGWCPPPEVLARGMHHPAFMRGRGPSSGWLDD >LPERR08G16440.1 pep chromosome:Lperr_V1.4:8:17161502:17162979:-1 gene:LPERR08G16440 transcript:LPERR08G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRAAIFMAILLCSSIPSSFAQNHKSPPAPATVSLPPSPAPAPSPRHVDLGDLLSVAGPFHTFLDYLEKTDVLKTFQNQANNTKEGITLFVPKDSAFASLKKTTFANLTSDQLKSLALYHALPKYYSLAEFNKLSTLNPVATLAGGDYTLNLTDDMGTVHVKSMWSNPKISSSVYSTRPVAVYEVDRVLLPMQIFKSDPPLAPAPAPAPESSHGKKPAADSPLPGKSTSADAKADKKSSSATPASGIVGGGYFVLAASALAAGLLMMMM >LPERR08G16450.1 pep chromosome:Lperr_V1.4:8:17163090:17165496:-1 gene:LPERR08G16450 transcript:LPERR08G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWYLQTEGKPRAWLENPVEHDSYLTYRRKQLMTLYELPSGQAPTLRSHLHHPICRELTKIADAESLKSEDKTQS >LPERR08G16460.1 pep chromosome:Lperr_V1.4:8:17170035:17175512:1 gene:LPERR08G16460 transcript:LPERR08G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDLGLCRALTGVGKDGLLTSTEKASPSSSSSYSTTWTSTFRLAETMYGRRRPPPGGKNARPVRVVLPPTSNDQTGLLMCSVALATEMASASLPPGSRRSLAVRLAVAGSQITSKPGWREQLGVVPNGYGTSMSPHLLGHPGNAISPADAVVIEVFIAARRRRRRRRSATIRIAAPSTLLPVGKTIVRTYSVLGTPTLLNERGLSRQPI >LPERR08G16470.1 pep chromosome:Lperr_V1.4:8:17171636:17175350:-1 gene:LPERR08G16470 transcript:LPERR08G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSITTASAGEIALPGCPSKCGDMDVPYPFGTTPNCSRHPGFEVICEPATARRTARLRLEPGGRLALAISVANATLHISSPVWSFDVGGNTTRTGLAFFPPGGGRRRPYIVSARRNVLVHVVESRKFCYLGKNVKNVIANPATTGSLMHTASVVAVEKSWWRDKNNVFIVKLSLAAGQAAVQIPVVLDWVFDNTLCTEARGRPGYGCVRKNSECVDSRSSAHGYVCRCSDGYQGNPYVMRNGCQRIHQARFPAGIFATGVGIGMSILLSVFGAIFLSKKLKFVDKDIAKSMVFSLKELEKATNMFDEALILGGGGHGTVYKGILLNQHVVAIKKSKIVVKREIDGFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYDHLHVDNARSLSWTNRLRIASEVACSLAYLHSAASISIIHRDIKTSNILLDDRFKAKVSDFGASRGIAIDKSGVTTAIQGTFGYLDPEYYYTRRLTDKSDVYSYGVILVELLTRKKPTAYSSGDGLGLVAHFILLLSEDRLSEILDEQITEEGKDETKQVAAIAAMCLRLKGEDRPSMRHVETSLRGIHGSNNNLGDEQLTRPSNHSTFQRSNAVVQANNSRRRFSMENEFLQSASFPR >LPERR08G16480.1 pep chromosome:Lperr_V1.4:8:17179220:17179450:-1 gene:LPERR08G16480 transcript:LPERR08G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGVGWGATNLDLVDGGWESERKGRSQGKVGIVARRAFGVGGREMWFGFVAGRFGPRDKYGTFGNENHGLFAAF >LPERR08G16490.1 pep chromosome:Lperr_V1.4:8:17179758:17180096:1 gene:LPERR08G16490 transcript:LPERR08G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRVLDADRDGALSYADMAGELMSLRVLETHFGFDDDAASADELAAMYRGLFARFDRDGDGKVGLHEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKMAVDRELAKAA >LPERR08G16500.1 pep chromosome:Lperr_V1.4:8:17180500:17182450:-1 gene:LPERR08G16500 transcript:LPERR08G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDQVIRAMNRNNEDYRSPAVPALTKTLLEDIKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGQGADLAVLDYKLRTDTSHTIKAICIVHNETATGVTNDLSAVRKILDKNRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLIFEEGLENIIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLMGCLSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPLARTDQLQ >LPERR08G16500.2 pep chromosome:Lperr_V1.4:8:17180617:17182450:-1 gene:LPERR08G16500 transcript:LPERR08G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDQVIRAMNRNNEDYRSPAVPALTKTLLEDIKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGQGADLAVLDYKLRTDTSHTIKAICIVHNETATGVTNDLSAVRKILDKNRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLIFEEGLENIIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLMGCLSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >LPERR08G16510.1 pep chromosome:Lperr_V1.4:8:17189101:17194855:1 gene:LPERR08G16510 transcript:LPERR08G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELARYVESFQQQQQQQQQQQASAQHLQSFLSASQQYQHQRGGGAPYDVAAAYRYVGQQPPFPQDGGAHLQRLVQLQQQQQQQMAAVAAAAAAGGTAATAVESPRPAAPPPASSKKESASTGVKRRGGPGGLNKVCGVSPELEAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLESTKDSNRDSKKLKPVDSEPISPAETDIKQLPIIVSDALASFLGTEEKEMPPSEAVKRVWDHIKTNNLEDPADPTMILCDSKLKQLFGCESLTAVNVSELLSQHLFKQPNKV >LPERR08G16520.1 pep chromosome:Lperr_V1.4:8:17194250:17196035:-1 gene:LPERR08G16520 transcript:LPERR08G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMSSAPCHHLPLLLAPSALHLHGRKVPGGGSSPAMRGRMRVNSLFGDGGGGEDGFRAVRRLVKLNSAIQNRSVRELLEIVGDECIFVFSKIPTIDVSQMGKNMFLLLHAMMLRHHVSFVLKPTENEGFDLGVKWSLEWKGQKLPWDLDCNITTNHVYRGMLLINEVNKVYVPLLQRILEIIHQNLDAVILTLANKFLPEGTLDESDRKTMVACAIIGLVVMLVFYNMFKNL >LPERR08G16530.1 pep chromosome:Lperr_V1.4:8:17203124:17204065:1 gene:LPERR08G16530 transcript:LPERR08G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVVQVAAATLLAAEEEAPVMVEAVSAPVVAAAAEEEATAPAVAAEPEADAPTETDTKEAEPVAEPTEPADAAPAETESKEAEPVAEPAEPTEAAPADTEAKEAEPVAEPVEPTGTEAAPADTEVKEAETPMAAPVVETAETKKAEATPAPADAEAKESEEPAAGVAKAEPEPAEAKEPEAVPAAEPEPEAATESAVDKATQ >LPERR08G16540.1 pep chromosome:Lperr_V1.4:8:17210333:17211002:-1 gene:LPERR08G16540 transcript:LPERR08G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGGGARWGQTPRRNGDGRSGLDRLPTGAAVGRCEPPALSLWICDRARCSRRSPSGRSVWRTGRGSSWCAAAASGEEEVKVDPAMFDGAAVPPPDAPLSLIVEVTRANRVLSFICSAWPDEPLVRHVLTLRQANGSSTGNGGRQCMYRKLGAKEREVDDELARFLHEYMTNKEKTELLRWLMIVESFVE >LPERR08G16540.2 pep chromosome:Lperr_V1.4:8:17210333:17211002:-1 gene:LPERR08G16540 transcript:LPERR08G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGGGARWGQTPRRNGDGRSGLDRLPTGAAVGRCEPPALSLWICDRARCSRRSPSGRSVWRTGRGSSWCAAAASGEEEVKVDPAMFDGAAVPPPDAPLSLIVEVTRANRVLSFICSAWPDEPLVRHVLTLRQANGSSTGNGGRQKLGAKEREVDDELARFLHEYMTNKEKTELLRWLMIVESFVE >LPERR08G16550.1 pep chromosome:Lperr_V1.4:8:17216338:17217833:1 gene:LPERR08G16550 transcript:LPERR08G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCTIISRVYPDGRRTYRINGAFWTRTNKFDSCDIRIFTVAGFMSLVKPSSSWLNVEHDIFYTEHGLNMTNYIFSVQKLGLVKYISSPELGFLQSLSGDINRKVKLVFRFLDKSLSDPSTNKTYNSMLSNLTFIKTIASGIMVPKNYIWPVTSDNYVQLPTQIVKDAHNAGLEIYASDFSNDGIFPYNYSYDPLGEYLSFVSDGDSLLMVY >LPERR08G16560.1 pep chromosome:Lperr_V1.4:8:17220787:17221921:1 gene:LPERR08G16560 transcript:LPERR08G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMPPQPGLTRPRHQNHLRACMSMPNAAFMAKSLGFDVVDLLTTDFSHAGYNIHTMKNKEVMIQSKDSAVLVKLKQLKTQHKLVYTLPSTVGDATASSIEDIKKFADAAIVDRQSVFVESNGFILRQTNLVKDLQSTGLAVYAQVFRNETNPKAWFQVFPVQPPGGAGFLY >LPERR08G16580.1 pep chromosome:Lperr_V1.4:8:17249883:17255366:1 gene:LPERR08G16580 transcript:LPERR08G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSLGGGGGVRLWWWCCCCILLFLAGGGAAQRSPAPATPAYKTLSGKAPLVIAKGGFSGIFPDSSSSAYQFAVSATSSDTTLWCNVQLTKDGVGICLRNLLLSNCTSINQVYPAGKKTYMVNGKRVEGWFPIDYTMSSLQTVILTQAIWSRSDKFDFAYFPILPVTNVSNLAKPSTLWLNIEHDIFYRQHGLNMTKYILSISKTIAATHISSPELGFLQSISGRVNRRTKLVFCFLDAASTDPSSNQTYGSLLSNLTFIKTVASGIMVPKEYIWPVTTSNYIQPSKSIVRDAHTAGLEIYASDFANDRIIPYNYSYDPLEEYLNFVGNDNFSVDGVLTDHPLTAAAAIGCFTNLNVSSKTDHGNPLVISHNGASGDYPDCTDLAYQKAVDDGADVIDCFVQMTSDGVPICMSSINLFDTTNVQATVFSSRASIIKEIQPTPGIFTFNLTWAEISSSDLKPKISSPLSTFYLVRNPLCKNAGKFFSLSEFLAFAKNKDLSGIMIIIQNAPFLAKSGFDVVDSVTNALSDAGYNNQTTKVKEVMIQSKDSAVLVKLKQETKYKLVYTLPPNIGDASASSLVDVKKFADAVVVDRDSIFPVSKGFIMRGTNLTKDLHSAGLAVFRNEFVSPPWDFFSDVTVEINTYVQSVNVDGFITDFPKTVKRYKMNSCTGLGNNMPQYMLPVEIGGLAQLLVGTQGQPPSLSPMPVLNASDVTEPPLPPAAPRNAPGGSATGSTPAPGASPSGAHAVASTRAGILLMVTVICASLLI >LPERR08G16590.1 pep chromosome:Lperr_V1.4:8:17256543:17262277:-1 gene:LPERR08G16590 transcript:LPERR08G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRAAAHCAASASTSHASHCAELLSLLLRRGRLREASAVASRLAATAEPAVSDALVSFHSRLGDVSSALSHLHRLVQSGGAPSAASSASLLRAMCSASMSTEAMDVFVLWMDNPAPLPISEFALLIPGLCAEGAVDKARFLFDAMLGSGLTPPVRVYRSLAFAYCKARRSLHASEMCQLMLSKGMHLDRELSTALIRVFCQEGRLEPALDVFHRMKGDEHVHLDAYAYTTMIGGLFEHGYVDHGLELYHEMMDRGIKPDTATYNVMIKWYCKSKWVGTAMEIYKVMVRTGVKPDLRCYTILLASLGKDGKLGEAEDLFDKMLESGLFPDHIMFISIARFFPKGWVVLFVRKALKAVAKLDCSGKLLELSSFAGGCSNMSLQKDADHLLDEIVRSNVLPVNIVFNLLIIAMCSEERLDVSFYLLEKLVAYGCEPSVLTYNIVIKCLCKQNRMDNARALITLMQSRGVRPDMSTNSIMVTAYCRNGEIESALRLFDEMAKDGIEPSIAVYDSIIACMCRMGRLREAEVTLRQMIAAGLPPDEVIYASLVNGYSIMRQTRDACRIFDEMLERGLQPGSHAYGALINGLVRDNKIRKALSYLERMLEEGIAPQTVIYTMLINQFFRKGDVRLCLDLVVLMMKNHVEPDLITYGTLVTGICRNIDRRDMRPSLAKKLKEARYTLYRMLPQIIDTGKEKQKDKHISTEEKIQVAQSVIHDLTESGMMPDLHIYNGMLNGLCRANKMDDAYSLLSVMEQAGVLPNHVTYTILMNNQIRLGDSNRAIQLFNSLNNSGCFFDSITYNTFIKGLSLSGRMKEALSFFLLMQKRGFVPSKASYDKLMELLLAENAIDLVLQLFEDMFFQGYTPRYANYTSLLLVLAKDGQWSKADRIFTMMLKKGKYLDTETKKCLEELCYKDGELDLALEMEGSMALEMEGSMPLYAVTGNHAGVTVKDGFILKNPQKIHSRSRARRAHGAKQKEMPLWLWSRVTCSRTSMLLWKVSTSLRLRRQERRHSDQFDSKRAKSKASRERKIARQEERLAQGPREPPAPALPAQTAAAPKKANKGTSVCSM >LPERR08G16600.1 pep chromosome:Lperr_V1.4:8:17266645:17270133:1 gene:LPERR08G16600 transcript:LPERR08G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNGSYGESSSSDDVEAPLLLSPAASCGGEKGVRPASSSSAAAARVRAILAHRYPAIAAGPAACAAVCGLVELGDGHGEARNMLGVLAWVFVWWVTGAVPLAVASMAPLFLFPAFGVSSSDAVAKAYMDDVISLVLGSFILALAIEHYHIHRRLALNVREHAPPDSISSPVLSTLMWIHNTACTVMMMPVATGILQRLPRHGGAAGDIDSGGDEVRRFSRAVVLGVVYASVIGGMSTLTGTGVNIILVGMWSAYFPEQRPITFSSWMSFGLPMAIILFLALWVTLCFMYCSKNTGKALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGALIVLWMTRNLTGNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFKTSGLTDILSDGLRFLKGAPTMVIVPVACVFSGIITEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTIGPVIFGMDIKS >LPERR08G16600.2 pep chromosome:Lperr_V1.4:8:17266645:17270133:1 gene:LPERR08G16600 transcript:LPERR08G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNGSYGESSSSDDVEAPLLLSPAASCGGEKGVRPASSSSAAAARVRAILAHRYPAIAAGPAACAAVCGLVELGDGHGEARNMLGVLAWVFVWWVTGAVPLAVASMAPLFLFPAFGVSSSDAVAKAYMDDVISLVLGSFILALAIEHYHIHRRLALNRLPRHGGAAGDIDSGGDEVRRFSRAVVLGVVYASVIGGMSTLTGTGVNIILVGMWSAYFPEQRPITFSSWMSFGLPMAIILFLALWVTLCFMYCSKNTGKALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGALIVLWMTRNLTGNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFKTSGLTDILSDGLRFLKGAPTMVIVPVACVFSGIITEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTIGPVIFGMDIKS >LPERR08G16610.1 pep chromosome:Lperr_V1.4:8:17272423:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVRTHHHHHQNNNNPRLLLRRIIPRILLLVFAIYAVSFGLYLLRQVPHTPTAGELDDEVIDVDEGEFRVVVQAPPSSSSQKPWPRLPSFLPWKSGSVPPRSCEGYFGNGFTNLVDVLPSRGGGGWFRCHYSETLRSSICEGGRVRIDPGLIAMSRGGESLEQVMGRSEEEELPRYENGALQVEAAAKRTGPLVEPGFLDAYVPAGGIGMHTMRSLLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYASSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLRAKPDNQKTARLSEFGEMILASFDLLRDDILSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIESWAKGQKCKINVINGLFAHMNMTEQLRAIEEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYATVTDEEIEQWIKEERKKGMKRKRKSRGTVGTAGRLYASACVPEKRTCRVAACIPNSPVYLLCMGVRWAFAAADDDGAEAFGCGGAAGGYRGTTVHVQPLGLMRLPIGKGKTKDKKTPEYRIINDEYG >LPERR08G16610.2 pep chromosome:Lperr_V1.4:8:17272423:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVRTHHHHHQNNNNPRLLLRRIIPRILLLVFAIYAVSFGLYLLRQVPHTPTAGELDDEVIDVDEGEFRVVVQAPPSSSSQKPWPRLPSFLPWKSGSVPPRSCEGYFGNGFTNLVDVLPSRGGGGWFRCHYSETLRSSICEGGRVRIDPGLIAMSRGGESLEQVMGRSEEEELPRYENGALQVEAAAKRTGPLVEPGFLDAYVPAGGIGMHTMRSLLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYASSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLRAKPDNQKTARLSEFGEMILASFDLLRDDILSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIESWAKGQKCKINVINGLFAHMNMTEQLRAIEEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYATVTDVTNELSNILKGLGC >LPERR08G16610.3 pep chromosome:Lperr_V1.4:8:17272423:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVRTHHHHHQNNNNPRLLLRRIIPRILLLVFAIYAVSFGLYLLRQVPHTPTAGELDDEVIDVDEGEFRVVVQAPPSSSSQKPWPRLPSFLPWKSGSVPPRSCEGYFGNGFTNLVDVLPSRGGGGWFRCHYSETLRSSICEGGRVRIDPGLIAMSRGGESLEQVMGRSEEEELPRYENGALQVEAAAKRTGPLVEPGFLDAYVPAGGIGMHTMRSLLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYASSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLRAKPDNQKTARLSEFGEMILASFDLLRDDILSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIESWAKGQKCKINVINGLFAHMNMTEQLRAIEEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYATVTDEEIEQWIKEERKKGMKRKRKSRGTVGTAGRLYASACVPEKRTCRVAACIPNSPVYLLCMGVRWAFAAADDDGGIVASGFLLCWAAGWLLWTAPRPSDAVVLPVVTGVLQYMYSLWGSCDYQLAKDN >LPERR08G16610.4 pep chromosome:Lperr_V1.4:8:17272423:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVRTHHHHHQNNNNPRLLLRRIIPRILLLVFAIYAVSFGLYLLRQVPHTPTAGELDDEVIDVDEGEFRVVVQAPPSSSSQKPWPRLPSFLPWKSGSVPPRSCEGYFGNGFTNLVDVLPSRGGGGWFRCHYSETLRSSICEGGRVRIDPGLIAMSRGGESLEQVMGRSEEEELPRYENGALQVEAAAKRTGPLVEPGFLDAYVPAGGIGMHTMRSLLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYASSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLRAKPDNQKTARLSEFGEMILASFDLLRDDILSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIESWAKGQKCKINVINGLFAHMNMTEQLRAIEEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYATVTDEEIEQWIKEERKKGMKRKRKSRGTVGTAGRLYASACVPEKRTCRVAACIPNSPVYLLSPRPSDAVVLPVVTGVLQYMYSLWGSCDYQLAKDN >LPERR08G16610.5 pep chromosome:Lperr_V1.4:8:17277839:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRTRFDEEIEQWIKEERKKGMKRKRKSRGTVGTAGRLYASACVPEKRTCRVAACIPNSPVYLLCMGVRWAFAAADDDGAEAFGCGGAAGGYRGTTVHVQPLGLMRLPIGKGKTKDKKTPEYRIINDEYG >LPERR08G16610.6 pep chromosome:Lperr_V1.4:8:17277839:17282388:1 gene:LPERR08G16610 transcript:LPERR08G16610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRTRFDEEIEQWIKEERKKGMKRKRKSRGTVGTAGRLYASACVPEKRTCRVAACIPNSPVYLLCMGVRWAFAAADDDGGIVASGFLLCWAAGWLLWTAPRPSDAVVLPVVTGVLQYMYSLWGSCDYQLAKDN >LPERR08G16620.1 pep chromosome:Lperr_V1.4:8:17289539:17292856:1 gene:LPERR08G16620 transcript:LPERR08G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTYTSFFKNPYYYYCTSAASFPNPPSAAAGHLPPPLPSYSALYPAGGGAYHHHHHQSYSYPAAAAAFFQPQPPPVIDTSPPSPPLREALPLLSLSPTPARHRSAAESDSDSDDGGGGGCYLQQEVTAGSTTTAAVSRAPLFADLNCVPSSCDGDGNDDDVIGGGDPMDVEAAVALRIGLPAADLLSGITGGGRIGGDHGENDDEEEEDCKVGGGGGEEEEIVPLGFATTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHSAGFSFDDDDDGAVSDIDQHGGDSSSYGGGGGAAAARASMASSSSLQPRC >LPERR08G16630.1 pep chromosome:Lperr_V1.4:8:17309015:17313810:-1 gene:LPERR08G16630 transcript:LPERR08G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSTGPPMSSVAVSPEVAAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILDGVPHVGLSSEQLKSLAISGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTEVSGCKVPCRVDSPEECAKIICANKNLHLGSGILIAVPIPKEYAASGNAIESAIQKALKEAEDKNIIGNAITPFMLDRVKVLTGGSSLEANIALVKNNALLGAKIAVALSDLQQRGQCCTAA >LPERR08G16630.2 pep chromosome:Lperr_V1.4:8:17309015:17313810:-1 gene:LPERR08G16630 transcript:LPERR08G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSTGPPMSSVAVSPEVAAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILDGVPHVGLSSEQLKSLAISGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTEVSGCKVPCRVDSPEECAKIICANKNLHLGSGILIAVPIPKEYAASGNAIESAIQKALKEAEYNPYSQQSVVDKNIIGNAITPFMLDRVKVLTGGSSLEANIALVKNNALLGAKIAVALSDLQQRGQCCTAA >LPERR08G16640.1 pep chromosome:Lperr_V1.4:8:17316713:17317944:-1 gene:LPERR08G16640 transcript:LPERR08G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILASILSPSPLLTTTTPSTSSQQPQAVRIPPSAAPAGKPFAAKLAAVAAAGILAMSSSSPAVAAGDSPEFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPKKRSEREYLTFLAGFRALAPVSAVLDNLALSDVGLQDQIASADGVRSTEREDAGTGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNLEWSRDEAVLRRLHQSFKTVADATS >LPERR08G16650.1 pep chromosome:Lperr_V1.4:8:17319514:17328785:1 gene:LPERR08G16650 transcript:LPERR08G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDLAGDGGPYFKADFTAAGEHQLSARVREKLREFVDDDTCDTLVKYVISMLQGGKCKDKASEMLDVFLGQDSRAFVSWLWDHLSLNLHLYVQTQEGHQVENNDDETPKELPGGQKSSELHLRSKGQSECTSESSTTRGRTKRDWKGIGRDGNENFPLRSVLTDILHGEEKRSQKTNETRHTPSSNQRNGRKRDRDDEPQQIKRDLPLRRNVGASCRLLKFAVLDAVKAVQQTSSSTEPSSKRLRSVVSTSSADNQHGKRIETSTEGRLYDKKPERTRQILQVSGAALALKAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTVDDFDRYDNDDAISGDVSLEDGEAEMNVDSTSDDDVNRDDGITRYESSDSQIGAYSSVVEKKDSFVKCSVGPETSTMKHSSLIEEQSGSLSPISMSKTVVVSANTNIAEPSNYETPKDVHVVEKTDITPMNASVTSLTNNLKELAHGEVQKDSQRSATATSVTSSYSTAHPTEDADSRTLFVSNVHFGATKDSLSRHFNQFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGGGRSSMYARHGRMAYPRGGLPGITFRGRAPMKAGARSLQWKREPSATDSNSGALSSAEQVLPPAT >LPERR08G16660.1 pep chromosome:Lperr_V1.4:8:17333748:17334263:1 gene:LPERR08G16660 transcript:LPERR08G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKVEEPTELRAPEITLCANSCGFPANPATNNLCQNCFLAASSSAAAASPPSPSSSSSSIPVFPVLEKPRTTTAAAVTTVAVAAVERPTAGPVESSSKASRSSSVNRCHGCRKRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARDNPVVRAAKIVRF >LPERR08G16670.1 pep chromosome:Lperr_V1.4:8:17342991:17344280:1 gene:LPERR08G16670 transcript:LPERR08G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDRARALRVLGRGAMGTVFLVSSSPSGNSGVNGGVGCYALKVFDKRSTKPDAERRARWELSVLSDLARGPHLPCLLGSGETDSLLAWAVPYCPGGDLNELRYNLPDRVFSPAAIRFYVAETVSALAALHSSGVVYRDLKPENLLLRSDGHVTLTDFDLSRLLPPIPSSSSSSPHRRRPRVSARSEIPLVAAKSDQFLPPPPAARQKLQNLVRFIMRSTEKSGGDVLLSKKAKSARVSPVSRNKQPPAAAAKWGKSYSFVGTEEYVSPEMVQGEGHGFAVDWWAVGVLVYEMAFGRTPFKGRNRKETFRNVLIKDIEFPGDSRRRSPELTDLITRLLERDPKRRLGYRGGADEVRAHPFFAGVDWDLLEVVSRPPYIPPVTADVDGEVAGEGKDFSVREYFEKLHQPPPPNPETESSSSSEFSPEF >LPERR08G16680.1 pep chromosome:Lperr_V1.4:8:17349431:17353259:1 gene:LPERR08G16680 transcript:LPERR08G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHGWYFRLLISSVPLRYEGLRSYAMDEIMRFLLDANCNCECAYVGTDDQEPEFVKMLIKDAWGFSTFKKKAPNAIKEIRKFAHKAMGTADVRIDVKLNKHIWSSGIRSVPRRIRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >LPERR08G16690.1 pep chromosome:Lperr_V1.4:8:17352032:17355133:-1 gene:LPERR08G16690 transcript:LPERR08G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGLGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQSKLQHKASNSIQNRSPKPKKAVNAAKSVEVRRSDTVRVPSRAPSELSEETDDMVSEAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDEDKSVVYQRNEELQSKIDRLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKNTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTLGVSRQLSTTITAINMNGGAKRPMLWKNGSIGKQTKFTGVQIPDDWQETSTFLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKAGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMVQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNLGIDADDSEEDDTGSEGSADESKSFQLLNELSDLLMLPKDMLQEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTIKDVTSAFPCVAAPVVYRPPMLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPSPSNGVAHFTAQRGSSMENARFTLLRELKNVMSHGK >LPERR08G16700.1 pep chromosome:Lperr_V1.4:8:17359710:17363961:-1 gene:LPERR08G16700 transcript:LPERR08G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNAKPDLTLETWAHHRALERLQGKGLVTADAEASHDPGLITYVKSNILLEINNLANTQSTI >LPERR08G16710.1 pep chromosome:Lperr_V1.4:8:17363998:17364204:-1 gene:LPERR08G16710 transcript:LPERR08G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISAAAAAAGAMLHARIRAASLRVRGGAGGGGRWTTPGHEERPKGYLFNRPAAAGESRGWEDWELP >LPERR08G16720.1 pep chromosome:Lperr_V1.4:8:17366075:17367208:-1 gene:LPERR08G16720 transcript:LPERR08G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPLLLLLLFATLAALASADAAACSAGDRDALLSIRAALSEARLGVFSSWKQGGDCCGGGWYGVSCDPSTGRVADLTLRGEAEDAVMAPAGRPASGVMSGYISDAVCRLDRLSTLVLADWKQISGGIPSCLASDLPYLRILELPGNRISGEIPSSIGTLSRLTVLNLADNLISGEIPNSITTLSSLKHLDLTNNQLTGRIPAGIGSLSMLSRALLGRNKLSGEIPASVGSLTRLADLDLAENQLIGGIPENLGDAHVLTSLYLGSNRISGRIPASLLRNKGLGILNLSRNAVEGAIPDVFTGESYFMVLDLSRNRLTGAVPRSLSSAAYVGHLDLSHNRLCGSIPAGPPFDHLDAASFASNSCLCGGPLGKCT >LPERR08G16730.1 pep chromosome:Lperr_V1.4:8:17374137:17378586:1 gene:LPERR08G16730 transcript:LPERR08G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSLQYSRDRFSSPSCPSSPASKRNPPARPVEALLLLLSPLLSLLLTTQEKGKGGKLGANLIDRLGRRRLCVSSSSSSCRRWGIFFATFEMRLYSPAVKLRRSSSHTMYSQSSQFHGGFTQSLVLRKCSRSQSPSYHVKLGLVDCSLNSNIKSSDRPSLRYFASLVGRQFRCGMSGTEGGLNVKLDMSSRNNFSSMSWNWKGLHKKIGGTTGGLCLGFAVSGIANAEVPVEIRISDSVASTSSTHGKEVYTNYSVTGTPIPNEDLQRKLADELREMVADEFVKRRGESEWFVEGDFDTYVSQIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPAKRAPET >LPERR08G16740.1 pep chromosome:Lperr_V1.4:8:17380349:17381483:1 gene:LPERR08G16740 transcript:LPERR08G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRDFKARDVGTAREKILNLIQRNIKNNITVVYFDGWHGFGRTTVLRSIAEVLPSMKALPPELCFDRVILIDCSRWESKRAMQRKIAEDLKLDNKTMAMFDTQDEEDDFNGVDPCSRDAITNVAAVINRTLSQSRFIMIFLNGSDDEIPLTRFGIADYHENVCEAPMDLFGKSMLPTIGRMMGSSKRLEHGRSVIHCIQK >LPERR08G16750.1 pep chromosome:Lperr_V1.4:8:17381581:17382032:1 gene:LPERR08G16750 transcript:LPERR08G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVLSCCVFNFVSPPFLHCHTLKFLGLDRCTSNNTNELQAGNHTSTTKWWACLQSLCVIDLRYTDWVGIFHEEKALFGSHYCCRQQLRCTATTVTLAAFLHPSQDRSAEVAERLRCVVQSQAAAAVQR >LPERR08G16760.1 pep chromosome:Lperr_V1.4:8:17382053:17384428:1 gene:LPERR08G16760 transcript:LPERR08G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMANLVEVNTDGVRCSRLINQLQRRLPCLERLRVINPVYEAETSSSTDINDLFVGKTDLQLLDLSGNKEMKKLPTSISKASNLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGPADQPSSRASTTDELPPQNCRPKHPPAEDTKKNVKTSVISLEGCTQLKILFLRGMPNLVELDLSGCEIKVLDFGTMVTDVPSLKRLFLLGYTWMCSPARPSLAVEHRFKFQLHARIVDARLARSLLGAINTFYSGHVYFNISITSTTSSSSRHVQEETKGNKMTGSSDQQQQQYYLYNGVAASNIYGDVFSKVGDALTTQMQQAFPQPPTVQLDRHVEIGDGSRNVESEVQASSESKNLDCDNDATAAATTALTQICEGFKMVAPELETIRIRGCWSLRRLPALKGRTPGKEKPSVEVEKDVWDALEWDGVEAGHCPSLFQPPRHSRYYKNKRLPRGSLLRYLLD >LPERR08G16770.1 pep chromosome:Lperr_V1.4:8:17387402:17389815:-1 gene:LPERR08G16770 transcript:LPERR08G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSALRALPTPSRPSSSPELCAVGKPHHPHEGASLIRLDSRTPAREVIGGERGRKEATAVDADAGAGWQSRLTGATLTVRLRLPSLRQADAGGAVGAVRGGRGIGMGRYGMGSNGVSCNARRRWLRSAEQVCVAAFRCVNVKLDLIDGCICPD >LPERR08G16780.1 pep chromosome:Lperr_V1.4:8:17396636:17399474:1 gene:LPERR08G16780 transcript:LPERR08G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRVVVLVVVMAAVEMAAALNTDGLALLALKFAVSEDPNGALSTWRHADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSEMVTLSLPYNQLAGQIPVAITALQKLSALDLAHNLLSGQIPAGIGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDENPRLCGFPLKVECAGEKEEDPRIPEANGGMNPGAAAAEVGRPTKRRSSSSPTVPILAAIVVVAIVAGVVLQWQCRRRCVTTGRDEEKDSSRSTTKGGGESKSGGAVTLAGSDDRRGGGGGGGDEGELFVAVDDGFGLELEELLRASAFVVGKSRGGIVYRVVPGHGAAVAVRRLSEPDDGADSGSDSGWRRRRAFETEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPFPWSMRLTIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGTHKNSSSSSKKLGAAACAVAARGGGAGAYVAPELRTPGGAVAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRIG >LPERR08G16790.1 pep chromosome:Lperr_V1.4:8:17400516:17402558:-1 gene:LPERR08G16790 transcript:LPERR08G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLRLRALGLRCGHRGAFTPSHGGGRLPRRPPRLAGVFCSLAASGNGASVAAGPVRSGAEVARARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWDEFRDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCCTEGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLNYQPELPEEAQRLFPAEDIYA >LPERR08G16790.2 pep chromosome:Lperr_V1.4:8:17400516:17402558:-1 gene:LPERR08G16790 transcript:LPERR08G16790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLRLRALGLRCGHRGAFTPSHGGGRLPRRPPRLAGVFCSLAASGNGASVAAGPVRSGAEVARARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDEFRDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCCTEGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLNYQPELPEEAQRLFPAEDIYA >LPERR08G16800.1 pep chromosome:Lperr_V1.4:8:17416680:17420309:1 gene:LPERR08G16800 transcript:LPERR08G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPPMSSSGLLRYRSAPSSVLGEFCDDFLPAAAPPPPPQPRAASPDAADNVFSRFLADHQIRDDKSPAPAPPPSAAAAAHFSDDPTSMASMFQHHHQQQQMVASVEGLYRTVSSTGIDAAGAGSGVGGLIRQSSSPAGFLNHLSMDNGYGSMLRAGMAAGGVGGGGFRNGASAAAADSPGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGSGGGRGYLSGYPMSSGWEDSSQLMSDNISGGVKRQRDSSEPAAAQNGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKEVKVLNDSRANCTCSASKHQQFSG >LPERR08G16810.1 pep chromosome:Lperr_V1.4:8:17429185:17430675:1 gene:LPERR08G16810 transcript:LPERR08G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLLCATLAAALLVYHLTTTRRRRRLPPGPTPLPLIGNALALRGNMHHDLARLSRHHGPVMTLNLGLVTTVVVSSHAAAREAFAKHERRLAAHAVPDTARARGFAGRSVIWLPSSDPRWRTLRGVVATHAFSPRSLAAARGARERKARDIVEHLAGFARAGEAVDVGRVVYGGVTNLVSSAFFSVDAVDMGTESAHGLQEAVEEIILATAKPNVSDLFPFLRPLDLQRWRAWTGKRFDRVFAILDGIVDSRLGDTEKHGDFLESLLELMSAGKIARDNVTTIMFDLFGAGTDTIAVTVEWVMAELLRNPSIMAKVQAEIKDVLGGKKTIEENDIEKLPYLQAVVKEAMRLHPVAPILLPHQAVEDGVEIGGYTVPKGSTVIFNVWAIMRDPTVWERPDEFMPERFLDREKGVDFRGKDFEFIPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMTAEELDVSERFTTANVLLVPLKAIPIITSSEIQVN >LPERR08G16820.1 pep chromosome:Lperr_V1.4:8:17432810:17435398:-1 gene:LPERR08G16820 transcript:LPERR08G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFAIARMISSTASRSPVADVDDVGGEERARHECCSEPVTRTLVSESPSRGPSRGRVSIRAHGRLEWEGFVS >LPERR08G16830.1 pep chromosome:Lperr_V1.4:8:17434962:17435291:1 gene:LPERR08G16830 transcript:LPERR08G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLLCAALAQALTYYLVASTTRRRRRQRLPPGPTPLPVIGNVLAIRGNTHHELARLARHHGAVMTLRLGLATAAVVSSASAAREAYTRHDRRLAARAGEAVDVGRVAA >LPERR08G16840.1 pep chromosome:Lperr_V1.4:8:17435454:17436298:1 gene:LPERR08G16840 transcript:LPERR08G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFDKIYAILDGIINTRLANNADASTSRKNSDFLDSLLELVSTGKIDHDNVRTIMFDLFAAGTDTIAITIEWAMAELLRNPSIMTKVKAEMNEILGSKKTIEENDIEKLPYLQAVVKEAMRLHPVAPILLPHQAVEDGLEIGGYTVPKGSTVIFNVWAIMRDPTAWERPDEFMPERFLKREKEVEFRGKDFEFIPFGAGRRMCPGLPMAERVVPLVLASLLRTFKWWLPDGMTAEELDVTERFTTANVLDVGQPSKPQMEMLRSATAK >LPERR08G16850.1 pep chromosome:Lperr_V1.4:8:17437841:17442189:-1 gene:LPERR08G16850 transcript:LPERR08G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAATTSAHPPPFPATRQEIQAAIAKATELRALHAKLLHGQSAAGANAATSAYAAASRSPSAAALIRLPPGASPALASSKAAVAEDYPVFTPTYDEEPLSGMNYIRQDNRSLSENWSGIGLDHEAQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRFACRNHPSFLQPALSVDSFIKSASKRTDLAELKAVTTCNTCKPATISRHPEADVDALKNLSSRVPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTIECENMSQLLKEWGVFSLESLKRELAEANEHRDAALQEAAEVKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLGMSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDIDNGLSDKLNLLLQPYQVTLTDKHSKVVLYHLEALMNQLMYQDFENCTFQKNGSPKCLDPNQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLMILRIEENRAFHQMYMEDIHPDKQRSQNPCQVKIMVTPGFYVQDRLLKCRRKPTRGGDEGHVLTTDGVERPQRKGKMAGSREKTQDPLSAGHSGSKWCSIHNTSRHDLTECISVKNLAKRVQGYREKEREKKGNEGRCEGKSPVAPTESRREEARGKAPVEDDDDDLLDTPWRSRHSSNESASSPTSSSSTN >LPERR08G16860.1 pep chromosome:Lperr_V1.4:8:17448152:17453757:-1 gene:LPERR08G16860 transcript:LPERR08G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGEKRAALLREITEEGGFAFVASAEKAASGDLRAAEAAREMAWEQLHSCPRSEFGRAWRDAYALACLHVAGLRSSAAAGDDDRRAALRALDMGLIMGGDLLRAELEEAIARVLADRSLRGGGGGDGTGGAGADVGKWMEGLTRNRDLADVLKVLPVNGCIDHWPARTKWKDIRYLEKIAGDRNIPVEVGKKYVSSEWKQELITFSQFLERMWSSDCSANLTYLAQHPLFDQIKELREDIMIPEYCNAGGGELQTLNAWFGPDGTVTPLHHDLHHNLYAQVLGRKYFRLYSATSSDDLYPHSETMFSNTSQVDLDNIDINKFPRTGEVEFMDGILEEGDLLYIPPRWWHYLLVANVNSSTAGVADC >LPERR08G16860.2 pep chromosome:Lperr_V1.4:8:17448152:17453757:-1 gene:LPERR08G16860 transcript:LPERR08G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGEKRAALLREITEEGGFAFVASAEKAASGDLRAAEAAREMAWEQLHSCPRSEFGRAWRDAYALACLHVAGLRSSAAAGDDDRRAALRALDMGLIMGGDLLRAELEEAIARVLADRSLRGGGGGDGTGGAGADVGKWMEGLTRNRDLADVLKVLPVKSLSCKQIQRRSCISLEAFIRDYFLCESPVILSGCIDHWPARTKWKDIRYLEKIAGDRNIPVEVGKKYVSSEWKQELITFSQFLERMWSSDCSANLTYLAQHPLFDQIKELREDIMIPEYCNAGGGELQTLNAWFGPDGTVTPLHHDLHHNLYAQVLGRKYFRLYSATSSDDLYPHSETMFSNTSQVDLDNIDINKFPRTGEVEFMDGILEEGDLLYIPPRWWHYMDYGAGRVADC >LPERR08G16860.3 pep chromosome:Lperr_V1.4:8:17448152:17453757:-1 gene:LPERR08G16860 transcript:LPERR08G16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGEKRAALLREITEEGGFAFVASAEKAASGDLRAAEAAREMAWEQLHSCPRSEFGRAWRDAYALACLHVAGLRSSAAAGDDDRRAALRALDMGLIMGGDLLRAELEEAIARVLADRSLRGGGGGDGTGGAGADVGKWMEGLTRNRDLADVLKVLPVKSLSCKQIQRRSCISLEAFIRDYFLCESPVILSGCIDHWPARTKWKDIRYLEKIAGDRNIPVEVGKKYVSSEWKQELITFSQFLERMWSSDCSANLTYLAQHPLFDQIKELREDIMIPEYCNAGGGELQTLNAWFGPDGTVTPLHHDLHHNLYAQVLGRKYFRLYSATSSDDLYPHSETMFSNTSQVDLDNIDINKFPRTGEVEFMDGILEEGDLLYIPPRWWHYLLVANVNSSTAGVADC >LPERR08G16870.1 pep chromosome:Lperr_V1.4:8:17457288:17461636:1 gene:LPERR08G16870 transcript:LPERR08G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSGGGALSAQSAAALGEGIGLVFARWTALQMAVEGEWGGRDSRAKADQLAESILSWFTNSKREHYYEDLVDMMYDTVSESFNADFEDGSVEEVAEQLLIMHEECLQSNYSSVEKLRNSRTQGNAVSQSRQMVVDDDDSSDDDDDDDEPSMVDNEAGSADMAVDEPKPSKPVPDADGWTTVPPRRGRGKN >LPERR08G16880.1 pep chromosome:Lperr_V1.4:8:17462415:17463452:1 gene:LPERR08G16880 transcript:LPERR08G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRRAAGFPFLPPFCLSSLPNKTRKTNPIQTLLQTQPFSSDSTAAATTATAAASPTRKQ >LPERR08G16890.1 pep chromosome:Lperr_V1.4:8:17463458:17465335:1 gene:LPERR08G16890 transcript:LPERR08G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLAMILAEDLGDTSDFEVDGIKNLAENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQKAALEKSMAKKLSNQALRKKMSRAQDGILKYMIKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYDVENSMLVDAKCNGVFNQHSLMDLQDATLGSLLSALMQHCSPQQRKYPLDKGVPPPWWPSGNEEWWVSLGLPKGMSAPYRKPHDLKKIWKVGVLTGVIKHMAPNFDKIRNRVRKSKCLQDKMTAKESLIWLGVLQREEKSFHRFSNAGSQITHHSSLEGRTDDTYSSSDEYDVGLLEEPPHSTSSKDDEGGRQLTVVQIREEHASGRRNKGHHGQQSNQVRSSNEKTTESRKRKKTSGHFSTVESEVEVTQINGNPPEIVSNALPYTGRINQTEMLGMANQMTNLSHINNTSGTLQHQVDQGNFISSAAAVVNNYNSNQTTNIIPSSIYMGVQPLACQNNDHTNPWSENTFQVNNVGPPPIGFTTNSSSVGYHTLPVQQSVPESVDAHKPITEAGMLADSTSYGYHTTATGTGNSTSVAGDANQLVRDPFCSGTSDNFVGSSFDGLPFDFIGMSSPMHDIGELLDDDDIMQYLGT >LPERR08G16900.1 pep chromosome:Lperr_V1.4:8:17476404:17484057:1 gene:LPERR08G16900 transcript:LPERR08G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVKVKAVATFKVTVGGLLKSLRPSNAIDNVRELIGRSLFLELVSSHLDKSGKEKPVVHSYAHKVDDDDHGVVTYEANFDVPAGFGPIGAVVVTNHLQQEMFLEDVNLTAGAGAGNSTLLSIRCKSWVQPKSGEDEGTPGKRVFFANKTYLPSQTPAGLKNYRKKGLKEKRGDGTGQREADDNPKSESRSNSVYVPRDEEFSEVKNTQFSLKTLQSVLHAAVPVAQSTILDSNQPFPSFFVIDKLFEDGVELPGVEKLNFLQSIVPRLLELLRDGPGDKVLLFDTPANVKKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADILETQMGHVMTVPEALKQKRLFMLDYHDLFLPYVHKIRSLKNTTMYGSRTIFFLTDDGTLRLLAIELTRPSSPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYHLLRPHFRYTMKINALARTRLINAGGIIELAFSPQKYSMELSSVAYDKLWRFDMESLPGDLVRRGMAEEDPTARHGLKLAVEDYPFANDGLLIWDAIKSWVEAYVARFYADDVAIAGDEELQAFWNEVRTVGHGDKKDEPWWPVLDTPASLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTKMPVEEPVDEAAMGKFLDNPDQAIRECFPSQVQATVVMAVLDVLSTHSSDEEYLGGEETRPWNGGDAAVQAAYAAFNARLKEIEGVIDGRNKDKSLKNRCGAGILPYQLMKPFSEAGVTGMGIPNSTSI >LPERR08G16910.1 pep chromosome:Lperr_V1.4:8:17487597:17494712:1 gene:LPERR08G16910 transcript:LPERR08G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQLTPSTTSSSSGQLYFPSSSCTTLRRPSSSLIAGGRIRQKVVVRCASSSSSAAAASRGGGGRKDSAAAEGSNMAAVKVKAVATFRETVGGVIRSLRPSKAIDDIKKLVGRSLFLELVSSDLDKTGKKKATVQSYAHEVEDEEHGVLTYTAEFDVPAGFGPIGAIVVTNHLRQEMLLEEVNLTSGNSTPQSIRCKSWVQPKSGEDEGTPGKRVFFANKTYLPSQTPAGLKSYRNKGLLEKRGDGISQREADDCVYDYDFYNDLGDADNSEKDMARPVLGGSKQFPYPRRCRTGRPRSKKDPKSETRSGTVYVPRDEDFSEAKNTQFVLKTARSVLHAAVPVVQSGVQSDAPVVKSGIVQPNTTVSFPSFFVIDRLFENGVELPGLEKISFLQSILPRLLGAVRDGPGDDILLFDTPASVKKDKFAWLRDEEFARETLAGVNPYAIELVREFPMKSKLDPAVYGPAESAITADVLEAQMGHVMTVAEALKQKRLFMLDYHDLFLPYVHKIRAQKNTTMYGSRTVFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTETTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYHLLRPHFRYTMKINALARTALINAGGIIEQSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTSKHGLKLAINDYPFANDGLLIWDAIKTWVEAYVARFYPDNGTVIGDEELQAFWNEVRTVGHGDKKDEPWWPVLDTPASLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTKMPVEEPVDVAAMEKFLDNPDQAIRECLPSTVQATVVMAVLDVLSTHSSDEEYLSGKETEPWNKDATVQAAYAAFNARIKEIEGIIDGRNKDKNLKNRCGAGILPYQLMKPFSDKGVTGMGIPNSISI >LPERR08G16920.1 pep chromosome:Lperr_V1.4:8:17502236:17513105:-1 gene:LPERR08G16920 transcript:LPERR08G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRLVLSALLFVALACSYNGVHGALNRHSFPDGFIFGTGSSAYQYEGAVDKRGQNIWDTFSHTPGKTADGSNADIANDFYHRYKEDLNLLTEMNMDSFRFSIAWSRILPRLTPFVTIFHFDTPQALEDKYGGFLSENIIKDFVDYADLCFSLFGDRVKLWNTFNEPTVFCMHGYATGIVAPGRCSPPSCAAGAVGDSGREPYVAGHHLLIAHAEAVRLYRSKYQSTHAGEIGITQVSNWFVPYDAAAAADRRARQRALDFMLGWFMDSVVYGDYPATMRRLVGERLPAFTAEQSAMLRGSFDFIGLNYYTSNYAKAAAAPNKLQPSYLTDNWVNATGYRNGVPIGPPAYTEIFFNYPPGLRELLLYIKRRYNNPTIYITENGTDEANNSTIPISEALKDETRIGFHYKHLQFVHKAIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYIDRATLRRYRKKSSYWFAAGMRWFLSALFLAALVSDVHGAFNRYSFPEGFVFGTGSAAYQYEGAVNEGGRGPSIWDTYAHIPGKVEHGSNGDVAVDFYHRYKEDLNFLTEMNMDAFRFSIAWSRILPNGTISGGINKEGIAFYNSLIDEIISRGLKPFVTLFHFDTPQALEDKYRSFLSENIVMDFVDYANVCFREFGNRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVNNKCAPGDSGTEPYVAGHNLLLAHGEAVSLYRQKYQATQNGQIGITQVSHWFVPYSDSNADKHAVRRSLDFMYGWFMDPIVYGDYPGTMRKLVGDRLPKFTAEQSELLKGSYDFIGLNYYTTNYAKGVNRPPSKLKPAYATDNWANQTAYHNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTIPIAEALKDDNRITFHYQHLRSMQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDHATLKRYRKKSSYWFADFLKR >LPERR08G16930.1 pep chromosome:Lperr_V1.4:8:17519783:17527523:1 gene:LPERR08G16930 transcript:LPERR08G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAGDDEDDYMGDLSHFLPPPPSSSQSTTLGRRKLPPPPPPSQGRGHGQPKRAKGRVPWQERRRRDRERKQREEDERTMAGLAEAIPESNLGFRMLRQMGYNPSAAVEPVGIEIRRSRAGIGAEPAVSAAVVAVAKSSPEVAEAERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREVAPPQPPPEGEEEKGEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKDMRINFQCISMLEW >LPERR08G16930.2 pep chromosome:Lperr_V1.4:8:17519560:17527523:1 gene:LPERR08G16930 transcript:LPERR08G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAGDDEDDYMGDLSHFLPPPPSSSQSTTLGRRKLPPPPPPSQGRGHGQPKRAKGRVPWQERRRRDRERKQREEDERTMAGLAEAIPESNLGFRMLRQMGYNPSAAVEPVGIEIRRSRAGIGAEPAVSAAVVAVAKSSPEDLQNILAKLRDEHYYCLYCGCKDMRINFQCISMLEW >LPERR08G16940.1 pep chromosome:Lperr_V1.4:8:17523971:17529138:-1 gene:LPERR08G16940 transcript:LPERR08G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASGGSAAGAGGGGSGGDGGGDRQLHGFKFGKKIYFKDADSAASDGDDDDDDSASAPPPASSSKGKGAVAASASASVGKGKKGKGRAASASGAAAAVAPRCQVEGCGADLSGIKSYYCRHKVCFIHAKAPRVVVAGLEQRFCQQCSRFHLLTEFDQVKRSCRRRLAGHNERRRRPQTPLASRYGRLATSRGEEHGRIRSFMLDFSYPRVPSSVRDAWPAIRPGDRVAGGIQWHRNIDPHAHPSAVAGYGAHGYGGQGSSSSGPPVFPGPDLPQGGCLAGAGGATDSSCALSLLSTQPWDNTTHSATASHNRAAVMPTTTSFDSNPVAPSAMVGSYMAPSPWTGSRGHEGSGRSAPHQLPHEVSLDEVHPGPGHHGQFSGELELALQGSGPAPAPRIDPGSSSTFDQGSNTMDWKPMLYANHY >LPERR08G16950.1 pep chromosome:Lperr_V1.4:8:17539874:17540794:-1 gene:LPERR08G16950 transcript:LPERR08G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSSDRAALLKIKEQLGNPPELSSWLPATNCCSWDSGIICSETGRVYLVALFSLNVSVPIPSALGDLPLLQTIQLDNMPTMYGPIPSSFAKLSHLELLDITETSISGPIPDFLVKTNLSALSITNSKLTGPIPQSLSRLPNLRVIDLSSNMLTGSIPPGLLHGSSRFLMLSNNQFTGEIPEDYGNGDIDTIDLSHNQLTGNPSFLFDIAKPTAKIDLSWNRLEFDMTKVRFPHHLNYLDLSHNNIKGRVAKSLKDINLRFFNVSYNALCGEIPTGRYMVYHGADCYTHNKCLCGSPLPPCKNGQ >LPERR08G16960.1 pep chromosome:Lperr_V1.4:8:17544150:17549267:1 gene:LPERR08G16960 transcript:LPERR08G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNQQQQQQPDHDDVVIQVNASAIAAVDERSSTNEVEDDVDYGAAADCAGRGLSRRTFSHAYKMKHREPLEFTKWQLALLSYQSLGVVYGDLGTSPLYVFSSISLPNPGEQDFLGILSIILWTFTLICLVKYVFIVLKADDHGEGGTFALYSLLRQHVNFKGNMPVPVTQLASDSNLKFHSKKKRTSKYLNYLEESTKLQAVITCIVLTGTCMVIGDGALTPAISAHVVLLSVIILFVLFFFQKHGTSKVSFTFSPIMLLWFAFVAFIGLYNIIKYYPPILKAVSPHYIIIYFLRNKKAAWETLGAIVLCITGVAVIFVMLFTTILMVVIMAIIWETNIAYIGAYFVAFFSIEGIYMTSLMNKLLQGGWVPFAITAFFLTITLSWTYGRSKKSDYEQANVVKREEFIKTATTSSRVPGACIFCTDMMNGIPPIVRHYLQHVASLRELTVFVTVRTLPVRTVLPEERFLIDKLEPVGVYRCIVRYGYMDNHNMEGDEYVATVIASLKEIAENDDEILVLDSALINGSSFVVGRTIIKMSPNHNCFKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMQYEI >LPERR08G16970.1 pep chromosome:Lperr_V1.4:8:17550310:17551880:-1 gene:LPERR08G16970 transcript:LPERR08G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPEAAAAARPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCQVVAAARRADRLRSLCDEINGGGGGPRVAAVELDVSSGGDALEGAVQRAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWDTLIKTNLTGSWLVAKHVCRRMRDAKLKGSVINISSVAGLNRGHLPGSTGYAASKSAVHYVTKLMALELGPYGIRVNAIAPGIFMSEITAPLLQKRWLKTVVSKIVPLKTHGTTDPALTSLVRFLIHESSSYVTGNIFIVDSGATLPGVPIFSSL >LPERR08G16980.1 pep chromosome:Lperr_V1.4:8:17553933:17557995:1 gene:LPERR08G16980 transcript:LPERR08G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVIQWVSADQGLLYQGGRQASDPVLKRLPSDNSRMLGSRKRRGRLLPNELIKEYSPLDGIGVPNTGSIVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGESGRRYCARCKPSRYYRCLTLVVMASVTGEVLSLKLQALTGYSLETVGEDRNSCEECKVYQMYYRST >LPERR08G16990.1 pep chromosome:Lperr_V1.4:8:17559018:17561763:-1 gene:LPERR08G16990 transcript:LPERR08G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGTDRAEGMTARSDDPGVSPESSAPAAAQSPSASAAGEIWGTSEDLLLACAVNRHGTASWDAVANEVRSRFPSAAADAFTPDSCRLRFRLLHRRFSDTGGDGGGEEEVEPDADAVAGWVEELRKLRVAELRREVEKYDLSIGSLQSKVKRLKEERERSISGETKSQAAAAAKEETEEGEDVRKGSPEEEEAGGVEDRVSGHESGRSCKESNSSDLKRPENDDPAAANDDDNPAAAREEKAVKEEVAVKEEASGESVAGSKEAAAADAEKESSDVQSSASPSRRRRKGVAGGEETEEASPSVSVAIPAAEAEPLVAFLESIRTSKAGAVFERRLESQEGEGYSGTIRRHVDLETIRSRLTGGATPCYASASELYRDLLLLCANALVFFPRASPEHAAAIQIRGLVSNRIISKIDRPPAVAAGNTAETSTKKPKADADIAGSLLEKPPIIVCRKRSSIAKAAAAKGEKSETIDKKEKDGEEKKKPAATAAAASKDKKAAAATTARGMRTNKSRGQARNQKTAKVSESGEGSKKSDKKAAAAAAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQNKKSSASSSSGKPGGSGTKKGASAAVAATPPGRRIGRPPKRGAAPPTPPPSKRAKEDKPTRKRGKK >LPERR08G17000.1 pep chromosome:Lperr_V1.4:8:17565698:17568738:1 gene:LPERR08G17000 transcript:LPERR08G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRRRRRSGGRRSPPLGARARRSGRRSGRTEKDGAGMRRLGVAMGEIERLHHKVQRPKEQVADGEALLELVNALAVSAKSEKKDGPTPSQFVTSLLTKFGVRASIVDDSIESFSWSNLSVVASPLFMTATGCQTMNGPMNIAVKERKRVARRLFDRNPKRPAELYETKPDQNQRNGTDKNMIVMFKLLRKNQCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDIDDNGNHFAIPRNAPAAELITSREVINSQYVFRFDTKDWEIMKGVVEPGDELMTNRQNKNGEYYYNNNTKPCSDSERQGKDDEFAKGEAMDETLVKPCAEDVIRKRRRSEAESLKHWFFSCGWE >LPERR08G17010.1 pep chromosome:Lperr_V1.4:8:17569033:17571295:1 gene:LPERR08G17010 transcript:LPERR08G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAAGDAYHYNNRLRAAADHRDLLLAFRAMLRAGVAADHFTFPFALKALAQARRHGSPPPCAGAASATVTATTLGCLHAHLAKSGHGADVYAASALVHAYASRADAASARKVFDAARHRSVVTWTAMIAGHAAAGEAREAVALFREAVEGGEEVNAVTVEQVMGACARCGDLESGRWVHATLRRWGVEPVLVDVALATSVLDMYASCGGLDAALEVFDKMPRRNEVSWNAMAEVCNRHGRHDKVLEVFAGMHSDGIKPDKVTWLSILRACTSKGATGLGQGVHAYMEKTVGHQHVAVYTSLMDMYSKIGNARSALQIFQCLERKDLMAWTSMIIGLAKHGHGKEAVQLFNKMQYGGVVPDHVAFVGVLTACNHAGMVDEARKYFDSMLNHYGIRPTIKHYGCMIDLFSRAGHLAEAEGMMQLMPVQLSVTMWGSMMNGCKIHGRADIAERIGRQVTELNPQFGATYVIMSNIYAEALWNPERS >LPERR08G17020.1 pep chromosome:Lperr_V1.4:8:17576051:17577980:-1 gene:LPERR08G17020 transcript:LPERR08G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDALWEMIGEEMAAAAAGGEHGLPPGFRFHPTDEELITFYLAAKVFNGGNLAGVDIAEVDLNRCEPWDLPETARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVVSNAAGGGGGGGGGGGLIGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGEFAAARRSTKEEWVICRIFHKVGDQYSKMMMMKSPSYYPYLPVSHHPSSIFHDLPPVPFPNPSGCTSLLPFHHDLPIPHSPLQANKNSSTSFNGGFVFPNAVADQPNTIASSNNAAAFPCFTSTTVTGKVGPQAQLGVNAGQQEAPPPPTWMDAYMQHSGFLYEMGPPAVPRGA >LPERR08G17030.1 pep chromosome:Lperr_V1.4:8:17588511:17589011:-1 gene:LPERR08G17030 transcript:LPERR08G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSASRENLPVTNSVGKDGGGDHLIGLRRRMSSFSVRIQPLSSASAGAFRRASSMPSTARAMAAGAGALRRWWDLGVGWVMNRKPSFARDLEMNDDEAAVLGCHCRGTWRHVFFKIRASARRLLGRDGLPLSSHDFRYDSVSYAHNFDNGDAAA >LPERR08G17040.1 pep chromosome:Lperr_V1.4:8:17595771:17597848:-1 gene:LPERR08G17040 transcript:LPERR08G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAFETVEGLVGEVMRLHRSLPARPAVEEVEAAEALAAAADREERARADAVGRLRRSPAVPDELFYIAQEMHRALAAFRCRELKRDASRLLELEAIHALFDDLIQRASQCVPSSSSSSSSPRFATAGASSSSLVAAAPAVGGISSNTNGVTASRAVGASAGRVSMDDSYVRKAKAAMWDGGAVVSTTNSHLPPRGSMEANSIAVQVDGSHGEDKEKLNLIKLASMIEVAAKNGAPGLNFQGKLMAQIEWIPDSIGKLTGLVTLDISENRLLALPEAIGKLFSLTKLDLHANRITQLPESIGDLRSLIYLNMRGNQLATLPSSLGRLLNLEELDVGANGLSSLPDSIGSLARLKKLIVDTNNVDELPYTIGQCVSLVELQAGYNHLKALPEAVGKLESLEILNVRYNSLKSLPTTMASLSKLKEVDVSFNQLESIPENFCFATSLVKLNVSGNFSDLQSLPRSIGNLEMLEELDMSNNQIKVLPDSFGSLKHLRVLRAEENPLHVPPREIALKGAQAVVQYMSEYGKKTTMSEPMKAKKTWVQFCFFSRPNKRKHDRIDNGT >LPERR08G17050.1 pep chromosome:Lperr_V1.4:8:17601008:17602374:-1 gene:LPERR08G17050 transcript:LPERR08G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKADLSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >LPERR08G17060.1 pep chromosome:Lperr_V1.4:8:17603585:17608035:-1 gene:LPERR08G17060 transcript:LPERR08G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLLLALLLPVSAAASPSPSDDAEAAVSRFQEYLRIDTAQPAPDYAAAAAFLRGQADDVGGLEARTLELAAGKPLLLLRWPGRRPSLPSVLLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYARGSQASPNFDMKCVGMQYLEAIRRLRGAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLPSLGEEYRVFYGERSPWWLSIKARGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFVYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPGVHTEAFEKRLAEEWAPSSRNLTFEFKQKASVPDKFGKPAITAADNSNPWWPLLEEAVKRAGAKLGKPEIFPASTDARYFRELGLPAFGFSPMANTPTLLHDHNEFLSKDEYLKGIEIYESIIRTLATLKDSYVDDESRAEL >LPERR08G17070.1 pep chromosome:Lperr_V1.4:8:17609891:17610465:-1 gene:LPERR08G17070 transcript:LPERR08G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGVASEAGCEEMRRGVKAMLRGVRVRMWPEGEAAKTPPMVPLSEQEHEGGGANAGIGEKDDEQRNTTMTTPTTMGATTGGQWA >LPERR08G17080.1 pep chromosome:Lperr_V1.4:8:17611868:17614782:1 gene:LPERR08G17080 transcript:LPERR08G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAGAGTGAGGKGPRDMRLTMQEAAKKLSLWHSATFRPILTHDELDPILAAAGFTALPIREPPAPAEVAWREYAFVGVAAAGRGGGGRWLGPRPRLPYPRVDGLHLRTYQAFLGAVEFYLGALRVADLFHVRCMPVTTAQDRIFDKVFRVMRNYGVEEDGLIVYRDNTLDDATLAVCSEHTPVQDVGYHIIPGNTCTNLGYFKSGRIAGNCDEETCGRGVAAASGTAAPVRDVVVTNSAVRKMMMMPFKTEP >LPERR08G17090.1 pep chromosome:Lperr_V1.4:8:17616155:17617559:1 gene:LPERR08G17090 transcript:LPERR08G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANQSKILKALRRVGLRAEPWSPRNELLSAYAAGTGLMAANNNYYYSFF >LPERR08G17100.1 pep chromosome:Lperr_V1.4:8:17618793:17626988:1 gene:LPERR08G17100 transcript:LPERR08G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLSVPSRSCASLIGLMLLMSKRLLITLLDFKTRMDHFLVIFGVKLTLVFCCVGALAITGALHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLANFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEQ >LPERR08G17100.2 pep chromosome:Lperr_V1.4:8:17618795:17619500:1 gene:LPERR08G17100 transcript:LPERR08G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAELAAEKHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVESDEVIQWIMSCYHPESGGFGGNVGHDAHVLYTLSAIQVLCLFDRLDALDVEKVADCILHYY >LPERR08G17110.1 pep chromosome:Lperr_V1.4:8:17623377:17626132:-1 gene:LPERR08G17110 transcript:LPERR08G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAAPAPAPPSSSTAAMQSVRMAYTSDGTPVFTPVSSAAAPPGYAVAAAAAGGNGAAPPPESGEPVVKKKRGRPRKYGPDGSMSLGLVTSPPPPPVAVGGGTGSTTPVAQPQSVSGPFSPAQLKPASFSSLVVSLDGAKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVQAGEDVSAKIMSFTQHGNRAVCVLSANGVISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKHHVHSDPLSAPLKTAAPTTGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSNNSQPPFLSSMPWK >LPERR08G17120.1 pep chromosome:Lperr_V1.4:8:17631597:17633684:1 gene:LPERR08G17120 transcript:LPERR08G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVTAATAPLCCRGLSSGVRSRRGTVRCGAGGRREALLGVLLSAAASSAPALAPVAAAVAKTAELQEGFRTYEDEANKYSIAIPQDWLVGSGEATGFKSVTAFYPEKQVDDSNVSVAITGIGPDFTSMKSFGDVDAFAETLVNGLDRSWKRPPGLAAKLINSRAANGLYYIEYTLQNPGDKRRHIVSAIGMAFNGWYNRLYTVTGQYIDDDVDAEKYRAQIEKTVQSFRFT >LPERR08G17130.1 pep chromosome:Lperr_V1.4:8:17634596:17636343:1 gene:LPERR08G17130 transcript:LPERR08G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQHQNPAAAAGMPPMTTTEGGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGENKAGQTILYLVFEYMDTDLKKFIRAHRQNHEKIAPPTVKVSVGFWGFFDFWVRAREVFVGMSSPCLVRWMVMGMRFLQILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFGMLSWPLTNLFSLETLRLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDVVGGLDTDGLDLLEKMLQYEPAKRISAKKAMEHPYFNDVNKELY >LPERR08G17140.1 pep chromosome:Lperr_V1.4:8:17638943:17644220:1 gene:LPERR08G17140 transcript:LPERR08G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTLQVYQPIQINLLAKTLSISNHPLTKNLAQNPNFHQLNDMYNKWALAGSGNRTGRVVGRLLALIKRAHPLGPRKLPVAARASRPRNIRSPHHLAAVEMDVRRRLPPPPPAKSGVGRRERRRVQAGDALPLPIRHTNLLFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLSIFGLVASLIYLLSFFGIAFVQSIVSSTDDDDDVDFLSAAAAATSPPPPPCAMLVGNSTEAAQEIMPEEDEEIVAAVVAGKFPSYALETRLGDCRRAAGIRREALRRTTGRQIEGLPLEGFDYGAILGQCCELPVGYVQLPVGIAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARFPTARRAAELKAFLEEPANFDTLSMVFNRSSRFARLQGVQGAMAGRNLYMRFSCFTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVVS >LPERR08G17140.2 pep chromosome:Lperr_V1.4:8:17638943:17644555:1 gene:LPERR08G17140 transcript:LPERR08G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTLQVYQPIQINLLAKTLSISNHPLTKNLAQNPNFHQLNDMYNKWALAGSGNRTGRVVGRLLALIKRAHPLGPRKLPVAARASRPRNIRSPHHLAAVEMDVRRRLPPPPPAKSGVGRRERRRVQAGDALPLPIRHTNLLFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLSIFGLVASLIYLLSFFGIAFVQSIVSSTDDDDDVDFLSAAAAATSPPPPPCAMLVGNSTEAAQEIMPEEDEEIVAAVVAGKFPSYALETRLGDCRRAAGIRREALRRTTGRQIEGLPLEGFDYGAILGQCCELPVGYVQLPVGIAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARFPTARRAAELKAFLEEPANFDTLSMVFNRSSRFARLQGVQGAMAGRNLYMRFSCFTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVVS >LPERR08G17150.1 pep chromosome:Lperr_V1.4:8:17647038:17649042:1 gene:LPERR08G17150 transcript:LPERR08G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRAVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVKPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSED >LPERR08G17170.1 pep chromosome:Lperr_V1.4:8:17667226:17670700:1 gene:LPERR08G17170 transcript:LPERR08G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEAGYSGGVEVRKEELRGEEAPLALEESKEIVERMDGEAVSNPIKVASDDSDDLAQEVLNSEDKPNLSVLREGGEAMEFNVALGCDKIVNEDSVDDGREAGVVEDSSMVNGHLHPEAPGCAVNGELASSEIVVLVHQEVDDQELEGINGRTSYEYKHDHTNTCIGKSKDHMENIFTNQAVDDATGLTEQDTINGEQESDARVDNGHNHVVTSENYGEREADGEELKGVDGRTSNGHDHSKTSKEESKVHMEDNFINQADVDAIRLTEHETISGGQQLDILVENVDAHVRLCADCGEGVEKCDVLDDRSNNREVAANSVKLVDQEAGGVGQDGLDGSLTKGPVIAIVDTRQIFQWLMDMIRWRGVLIPMKSRHGLSFEESSMTQSVVEGVHHERTIKMVNEQIEGDVNVVNKKVPRECALSNVYENVKESEGDTNVSNKKVPGEGKILINGYEHVEESVDTNLVLKPLVGNGQYDLIHVHRLEDRAEDNTDTCVVKKNDDVAIDCSETKEKNDKTNDKILQGHDLFNDKVERGVQDDETIKGDYDISTFQPIELVLCSTLEIEKGGTKQHTSLREGSLVSVQQQTFISLQDTKQELSATIDNHKAGNTKLKQESCMEVLDGAKLCVAPAIVSALHGETRSNSADNDGATVGISTLGCNLGTSSVALIKDNLKVEGATNEICAENANASCVVETEYVQNIAATIVDITHKQNDDEENMHINTDITGDHNESQLEINTNIANKGGLQFVIPHSVYLMKVPLFMGESLWAKIQDAQICLDELTQKRDAINVPMQKKKVLCNVLWEQLEATRQEERGSRTAYGDKRNDLNSVQSTIGRMNGENSVQEIDDMIAMEENIIEHESICLKEEKCLLQDIKELKAQKKQLHSNMDSKVEIGEEFQQKEHTHEQLKILKDYDVLLTNLKSLEDKTRSIKKNFDDEQDALRKLIEELQVADEVHQRAYDEWFELKKEP >LPERR08G17180.1 pep chromosome:Lperr_V1.4:8:17694380:17700008:1 gene:LPERR08G17180 transcript:LPERR08G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQQLPPHATTAAKKRGNPWPAASAAAAAGGGNWNPRMWDWDSRALTAKPSSDAMRVNGVLTQQPQPSPAAKASEPPRQGGGGGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPPSSAPAQEPVVRPSKRVRSGSPGSTSGGGGGGGGGGGGNSGGSYPMCQVDDCRADLTNAKDYHRRHKVCEVHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINNANSASRSPPSEAVDLNASHGQQQDSDQRTTNGFDKQANGLDKQANGFDKQANGFDKQANGFDKQAVPSTMDLLTVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSHSTEPPGVVNSHEKSIQIFSATRTNGTLESPPEMYKQPDQETHPYLSLRLFGSTEEDVPCKMDTGNKYLSSESSNPLDERSPSSSPPITHKFFPIRSVDENARIADYGEDTATVEVSTSRAWHPPPLELFKGSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVHGSDLDFWRKGRFLVRTDSQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGKKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDHAHDARRLKPKDEVLHFLNELGWLFQKLAASTSAEKSDTSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSRMTHLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDVEDIVDALTDDPQQIGLTCWHSVLDDDGQSPETYAKLRNNNSYNELVARKLVDRKNNQVTIMVGKEEIHMDQPGNVGEKNKSAIQALQIRSCNQCAILDSGLLRRPLHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >LPERR08G17180.2 pep chromosome:Lperr_V1.4:8:17694380:17700008:1 gene:LPERR08G17180 transcript:LPERR08G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQQLPPHATTAAKKRGNPWPAASAAAAAGGGNWNPRMWDWDSRALTAKPSSDAMRVNGVLTQQPQPSPAAKASEPPRQGGGGGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPPSSAPAQEPVVRPSKRVRSGSPGSTSGGGGGGGGGGGGNSGGSYPMCQVDDCRADLTNAKDYHRRHKVCEVHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINNANSASRSPPSEAVDLNASHGQQQDSDQRTTNGFDKQANGLDKQANGFDKQANGFDKQANGFDKQAVPSTMDLLTVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSHSTEPPGVVNSHEKSIQIFSATRTNGTLESPPEMYKQPDQETHPYLSLRLFGSTEEDVPCKMDTGNKYLSSESSNPLDERSPSSSPPITHKFFPIRSVDENARIADYGEDTATVEVSTSRAWHPPPLELFKGSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVHGSDLDFWRKGRFLVRTDSQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGKKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDHAHDARRLKPKDEVLHFLNELGWLFQKLAASTSAEKSDTSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSRMTHLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDVEDIVDALTDDPQQIGLTCWHSVLDDDGQSPETYAKLRNNNSYNELVARKLVDRKNNQVTIMVGKEEIHMDQPGNVGEKNKSAIQALQIRSCNQCAILDSGLLRRPLHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >LPERR08G17180.3 pep chromosome:Lperr_V1.4:8:17694380:17700979:1 gene:LPERR08G17180 transcript:LPERR08G17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQQLPPHATTAAKKRGNPWPAASAAAAAGGGNWNPRMWDWDSRALTAKPSSDAMRVNGVLTQQPQPSPAAKASEPPRQGGGGGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPPSSAPAQEPVVRPSKRVRSGSPGSTSGGGGGGGGGGGGNSGGSYPMCQVDDCRADLTNAKDYHRRHKVCEVHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINNANSASRSPPSEAVDLNASHGQQQDSDQRTTNGFDKQANGLDKQANGFDKQANGFDKQANGFDKQAVPSTMDLLTVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSHSTEPPGVVNSHEKSIQIFSATRTNGTLESPPEMYKQPDQETHPYLSLRLFGSTEEDVPCKMDTGNKYLSSESSNPLDERSPSSSPPITHKFFPIRSVDENARIADYGEDTATVEVSTSRAWHPPPLELFKGSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVHGSDLDFWRKGRFLVRTDSQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGKKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDHAHDARRLKPKDEVLHFLNELGWLFQKLAASTSAEKSDTSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSRMTHLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDVEDIVDALTDDPQQIGLTCWHSVLDDDGQSPETYAKLRNNNSYNELVARKLVDRKNNQVTIMVGKEEIHMDQPGNVGEKNKSAIQALQIRSCNQCAILDSGLLRRPLHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >LPERR08G17190.1 pep chromosome:Lperr_V1.4:8:17705557:17714181:1 gene:LPERR08G17190 transcript:LPERR08G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMRRVVILFILFFAAAAQAQQETRLPVKSYAYDAFQRGDPAVSSLLFGGDAGINNGALQVTPDSRNLNDFLSNKSGSVLLPHQFTLWRRTNATTTRVVSFNTTFSINVFYDHESRPGEGLTFVVAPNADGPPPGSHGGFLGLTNATLESDPEKNRFVAVEFDTFRQPEGRFDPDDNHVGLDIGSVKSNVTAPLAAVNVTIATNRTSPANYTVWIEYDGVARRLAVFMGVRGNPKPAKAVLAAALDLSEHVPEKGYLGFTASTGVSFELNCILDWNLTIETFPNSKKKNWVVAVAVAVPVAGIAVAVAAFFVARVVRARRSMERRRRERLEHTLTNLPGMPKEFAYEKLRRATKNFDERLRLGKGGYGMVYKGVLPAVVADDGRPETTTTTMTTEVAVKMFTRDDASCVDDFVKEVDIINRLRHRNIVPLIGYCYKKGQLLLVYEYMPNGSLDQHLFSSPPAPPPPSLGWDLRYSILSGVASALHYLHDEYDQKVIHRDLKASNIMLDAAFSARLGDFGLARAIDTDKTSYMENSSVAGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCGGDGDGEVNGFGFLVDWVWRLHRDGRVVDAVDQRLGGEFDAGDAERLLLLGLACSHPTPAERPRTMEITQILLRSAPPPVVPPFKPSFVWPAVVDGDTMTSSSHVSTEVVSSTSTWSGNFVRGADRSRAPLPEQDASGFTV >LPERR08G17200.1 pep chromosome:Lperr_V1.4:8:17717154:17718068:-1 gene:LPERR08G17200 transcript:LPERR08G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIRPLPWLLADATAHDERVTAARPVQPRHDDILLATYPKCGTTWLKALSFAVTNRSRHPIAGAGAGAGDHYPLLTTHPQDIVPFIELPLRHLHPLDADLDALPSPRLLCTHVPHQLLPRRVDEMGCRIVYMCREPKDVVVSTWHYINKVGNGFFLHFDQAFDQLVDGFSLYGPIWDHCLGYWKKAMEEPEKVLFLKYDDMMADPVGHVKKLAEFLRVPFTDEEIGAGVVEEVVRLCSFEKLSRLPMNSSGVADRIGGRPMENSAYFRKGEVGDWKNHLTEEMTNKLDAIIEEKLKGSGLTF >LPERR08G17210.1 pep chromosome:Lperr_V1.4:8:17724418:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASEVFMETTICCTETPRASHSSSYLQHRQSRSVVSTHGNVLDISPRFSYHKPTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.2 pep chromosome:Lperr_V1.4:8:17724418:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASEVFMETTICCTETPRASHSSSYLQHRQSRSVVSTHGNVLDISPRFSYHKPTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.3 pep chromosome:Lperr_V1.4:8:17724418:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYWTYRRDSPITNLWTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.4 pep chromosome:Lperr_V1.4:8:17724623:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASEVFMETTICCTETPRASHSSSYLQHRQSRSVVSTHGNVLDISPRFSYHKPTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.5 pep chromosome:Lperr_V1.4:8:17724623:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASEVFMETTICCTETPRASHSSSYLQHRQSRSVVSTHGNVLDISPRFSYHKPTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.6 pep chromosome:Lperr_V1.4:8:17724418:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYWTYRRDSPITNLWTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.7 pep chromosome:Lperr_V1.4:8:17724623:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASEVFMETTICCTETPRASHSSSYLQHRQSRSVVSTHGNVLDISPRFSYHKPTTNKDKMLRGRYSINLPEHLPEHHMITGAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.8 pep chromosome:Lperr_V1.4:8:17725609:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17210.9 pep chromosome:Lperr_V1.4:8:17725656:17730841:1 gene:LPERR08G17210 transcript:LPERR08G17210.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASESVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRLSAQVDQELHHQKSRKKADEGAFRLRDIKESVSYNLPTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIIRCISGVYCKLASQPSQLVADFETLSTPSFSSASSTFSLKHRVDSWSPRCHYNVNTSSEKNDSLNENSEHYSGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGVSVNAQTIQNSIIGCQSHRPSVNKNTNKLMCMQWVRTLFTPLKKSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYIAKKVNHQLDQAKTEFIQASVLIRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLKKRIDKCVEWIPFKSSFRYTIHRSLAD >LPERR08G17220.1 pep chromosome:Lperr_V1.4:8:17725566:17729112:-1 gene:LPERR08G17220 transcript:LPERR08G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHDLTCHLNQVRQLKSSILCIMMEDFRACVDIVMASGAPTINTEILGTYSAIEALKKFARLECPEDDLDNPFTKILPVIKSKKVLQSYSVLHN >LPERR08G17220.2 pep chromosome:Lperr_V1.4:8:17725564:17730805:-1 gene:LPERR08G17220 transcript:LPERR08G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNAGSLTQWLREKGFDEDAIGRMSRRCRNLHSLDAGEATGVWDYLLDVVKIERRKLRHVVTKCPKVLTLSVGDKLIPTVQCLVTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSEVGIEGSYLQRVIMSFPDILSRDVDKILRPNLVFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEQMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKACVDIVMASGAPTINTEILGTYSAIEALKKFARLECPEDDLDNPFTKILPVIKSKKVLQSYSVLHN >LPERR08G17220.3 pep chromosome:Lperr_V1.4:8:17729440:17730805:-1 gene:LPERR08G17220 transcript:LPERR08G17220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNAGSLTQWLREKGFDEDAIGRMSRRCRNLHSLDAGEATGVWDYLLDVVKIERRKLRHVVTKCPKVLTLSVGDKLIPTVQCLVTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSEVGIEGSYLQRVIMSFPDILSRDVDKILRPNLVFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEQMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKFAMKFGLLAAV >LPERR08G17230.1 pep chromosome:Lperr_V1.4:8:17731634:17734888:-1 gene:LPERR08G17230 transcript:LPERR08G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAKLQHWRMANTTKGKVCVTGASGFVASWLVKRLLEYGYHVLGTVRDPGNHKKVGHLWNLAGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPGTVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVSLDETSWSSLEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSPELSPTATDVLGLFQGETGKFTMYGRMGYVHIDDVASCHILVYETARATGRYICNSAVLDNNELVTLLARRFPSYPIPKSLPCVYGDQTYDFSTAKVRELGMKFIDVKEMFDDAVESFRSHGYLLN >LPERR08G17240.1 pep chromosome:Lperr_V1.4:8:17734963:17737389:-1 gene:LPERR08G17240 transcript:LPERR08G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAAASRRSHRTAAAAFSSQPRSPLSAHFTNRAPLARAPAVVSDLASAIRAVLASASSSSSPSSNAARAYPLLKSAAFDARLAPDALVSAVLSAVTDGAPSSPLAATALLSRLLASLCRAGRTDAAVSAYSTMVSRGVVPDAKSRTDLLLATARMRGSADALTLLNVMQRKGQPLDAWMFDVVMRACYKEGMYGDVVRLFDEMPGCEVEPDQRVYSVAIAALCKLRDADRALLVLREMERAGFEAWDFIYNALVDVLIKEGRMDEALRMKDELLATGRKMSMVLATTLMHGYCLQREVTKALDVFEETVRNGLKPTNVTYTVLIRGCMEEGMPEKACELCRRMRDHGLLPSTYEFNMVIEGLLNGNWWKDAVSLLEEMADSGIPDVVTYKILIRWLCEQRKLREALNLWEKMNQTGVEPSIVTYHNVLLCYCVNGSMDEAIKLYTEMPEKGLIPNVVTYTTLMKGHINKKAFDKAYALLDDMKQNGVACNDYTYNTLINGLCMVGRVCDVDEVLRRFVSEGFVPTTMTYNSIINGYIKAGMMGSALAIYQQMCEKGIPPNIVTYTSFIDGYCKTSCCDLALKLLNDVRRKGLRPDIAAYNSLIHGFCQEGKMSLALQFLVLMLKDGLSPNVAVYNCFITGYKNLKMMEEALRFYEKMIKQGVSVDTATYTTLIDGFSKDGNVNVALELYSEMMAKGNTPDYITFVALTHGLCRSGDIDGAKKLLDEMSRLNIRPNALIYNMLINGVLRDGNLPEAFKLHDEMLDRGIMPDDTTYDILVCMKSLESASPTDVDNPILSSPVKDKEPV >LPERR08G17250.1 pep chromosome:Lperr_V1.4:8:17738466:17741642:-1 gene:LPERR08G17250 transcript:LPERR08G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDGGGGGGWGGGDGDSLFEGMVLFSPSLSADPEPAVVEAPDPKPPSPRDDAPAGGVVSGADVAASPPPPPLDEDLFSDLTLLSPQSPVDLSNQGQNQDQSAAAPPSPAPVAVLSRQASSSASSSLRKKKRAVRIGYGRWPQPPPPSPPAAAAIATASAAAASVEIDSSSSSISDASTHHSAAAIPVQFHEHLDNNGVELDAAAAVVVDPGENSPNSPCVKDEDGELEEVGAAGVEAMGIEERLAVLRSQISGKLESIQQRATAVAARRRQLAGRRRKAAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKEKDRLLTALRDAELNYDSVDVELQDVLESRIAAEEEAAALLEHFAKDATDHADSVSKEAEEMSLKEIEEWRESMELLETKKLEMEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELAELLKLIRLKEAEIAENNAQIQEVQERITAVVSRFYGSQSDIDLKLKTLQEMRTEMDSEAQALVIKKNENDNFISLTEQRDSNLREIINACSSEAKTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARTSLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKTLNSEKEELHAKLEKAATDLELIEKDITATTDRIQECEGLIVLKEKESAMTSYKRLRLDSAAARAELAAATETDDSEEVEILRKEAEAAESKAMELKTSYDLELDDNEIMFQPVVPIVFITNSTGQHLSEIASSFGLSPSK >LPERR08G17260.1 pep chromosome:Lperr_V1.4:8:17743010:17743272:1 gene:LPERR08G17260 transcript:LPERR08G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYAMDRLKLMCAQKLWESVSVETITNTLVVTKGYSCLMLSFLIVIDEIIVRIENRST >LPERR08G17270.1 pep chromosome:Lperr_V1.4:8:17744195:17747883:1 gene:LPERR08G17270 transcript:LPERR08G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFIEYKLDYLKAQELAVGKCLPARISAGDHNATIYCCPSGSTVDNGEYISLLLMLKDDPKINVVFEAFLMGKDGAPSSKHEGRSMQQVTVRNRSYCIIRAINAALLYLSKCDEYLSLDVATKYKSIFEVFLMDRDGQPSNKHAHRSLLVQPAPNSLLCDLWKNFAKCNELIYVSDGVIKFICGLVLVNKDDDPLVMSPSNLGGQISAMWATQTPPISLFFVAGETFHAQKLILSTRSQVFKSQLLV >LPERR08G17280.1 pep chromosome:Lperr_V1.4:8:17747922:17752009:1 gene:LPERR08G17280 transcript:LPERR08G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVSFKALLYYIYIDLLTPMVSPLTEFFHDLMVAVDTYQLKRSFCVQPSYDFTELKLEYRPGTNFPIGGELSKRISVDQQHECVIDKPMSYGGFLFVPMKLVSRTKKNKSVLFETARYFGSMPRDRLSTIVVDEVHDTRVYAGDSRSLVKISDIGSTYVIDGVVTIVCGFIIVLADDDENPIAVPPSNLGANLGAILDSTDGSDVSFSSILLVY >LPERR08G17290.1 pep chromosome:Lperr_V1.4:8:17776214:17780349:1 gene:LPERR08G17290 transcript:LPERR08G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVMTPAAHGGFRGKGKSPAAEEESEASSIGAPSSDSSSIGENSSSEKEEEEGEEEVESKVKEVVEEEGIGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAAEIAKPENPFNKRRRVLAAWSRRRASCSSLVTTYLPPLLAPDHAVVEEEDEEYDDDSDSEHAAGAGSGGNRRREPTFPPPRLSLQHTHKSLNPASSFRSPRSFSLSDLQNADTTRQFGWAASVSETST >LPERR08G17290.2 pep chromosome:Lperr_V1.4:8:17776296:17780349:1 gene:LPERR08G17290 transcript:LPERR08G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVMTPAAHGGFRGKGKSPAAVEEEERGSNGFFVEEEEEEEVRRVEEEESEASSIGAPSSDSSSIGENSSSEKEEEEGEEEVESKVKEVVEEEGIGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAAEIAKPENPFNKRRRVLAAWSRRRASCSSLVTTYLPPLLAPDHAVVEEEDEEYDDDSDSEHAAGAGSGGNRRREPTFPPPRLSLQHTHKSLNPASSFRSPRSFSLSDLQNADTTRQFGWAASVSETST >LPERR08G17300.1 pep chromosome:Lperr_V1.4:8:17782680:17783819:1 gene:LPERR08G17300 transcript:LPERR08G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQDQDDQQPNQHPTARRCAACRYLRRRCADDCVLAPFFPADHPHRYACVHRVFGASNVARLLQSLPMAERGNAANTMAMEAYWRVQDPVYGCTGIINRLQEEIRAVQCDLATTQAHLAIAVASHRRPPPPPLPQPPPPPQSPPPAVDPADEFLNLDGL >LPERR08G17310.1 pep chromosome:Lperr_V1.4:8:17784476:17795542:-1 gene:LPERR08G17310 transcript:LPERR08G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRSSSAPTSPAAEIRSPVRDSAEETDAEEKFDDAFDIPHKNASHDRLRRWRAAFLFKEAGEKDLREAYTGIKLPTASHSFPIELEKLTTLNRDHDSVMLQEVGGVKGLSNLLKTNLDKGISPNGDELLQRRGVFGSNTYPRKKRKSILRFVFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGSIFLAVFIVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDQKAPFLMSGCKVADGYGSMLVTGVGANTEWGQLMANISEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKNPDGSTQFVAGTTRAKTGFMGAIRILTIATHDCWHYFGFRLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRTMSCGATELLIEGIAQNTTGTIFLPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLTLDGSVQPMSAEKYNECKKSIEDMAMSSLRCVALAYCPCEIDTIPKEDIADWKLPEDDLILLCIVGIKDPCRPGVRSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAISEPFVIEGKVFREMSEAARGDIIDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRRHARQVIARENRRLTQGACRQVTRVHVAAESYKEQRAVVLLYPLGKQGAEHKHKDIIFEFACVGHQEPLVTNIMWRNLFVQAIYQIAILLIFDFSGRSILRLKNESRADAEKIQNTFIFNTFVFCQIFNEFNARKPEEKNVFKGITKNHLFIGIIAITTIFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPTCLKRTSRRDEEEGGQS >LPERR08G17320.1 pep chromosome:Lperr_V1.4:8:17795585:17796122:-1 gene:LPERR08G17320 transcript:LPERR08G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPINPVAPNYLHRQVGPTLTFAALDRAGSALFPFLWPGPPGSVRHPSQKLRGSFLRRTSPPIRHRKRKARRTRQHEQRSFTPRGECSREREEERERERGGPVAWRLQWNLPEASARGGGGARVSVSSLLRSIEGGG >LPERR08G17330.1 pep chromosome:Lperr_V1.4:8:17799057:17804165:-1 gene:LPERR08G17330 transcript:LPERR08G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAGASASPPPPPPASGGDRKRGRSSPSLPLPPPPPGPPPSGPHGSKRHRRDDGGGGGGFDRRRLRGVGYDNQDDRRHGNDHGGPGGRGGYGDERGQGRHFNRAQDWPDSGRGGWNEGPGNPRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNEDWLRNKYHPTNLETVMNKRKELARENANEFFQDLQSGTLDIGPGVTSTATNKSGNNANDADANGKKGKLGKGQDDLYYAAPKAHPGLEGAELLDTLVTYLWRIHGVDYYGMCETSEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWKDRIQGQDPMELLKAKEKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVLELTSKVRENIYFENYMSDPKAPGGTPIMQQPAPREKVRQRLPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDPVYDSYGDPIMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRAGRGGGLPIVGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSFYWCKACSEGLPHRVCSSYAVFNPAT >LPERR08G17330.2 pep chromosome:Lperr_V1.4:8:17799451:17804165:-1 gene:LPERR08G17330 transcript:LPERR08G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAGASASPPPPPPASGGDRKRGRSSPSLPLPPPPPGPPPSGPHGSKRHRRDDGGGGGGFDRRRLRGVGYDNQDDRRHGNDHGGPGGRGGYGDERGQGRHFNRAQDWPDSGRGGWNEGPGNPRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNEDWLRNKYHPTNLETVMNKRKELARENANEFFQDLQSGTLDIGPGVTSTATNKSGNNANDADANGKKGKLGKGQDDLYYAAPKAHPVSSEPRRIKIDIELAQALIYKLDSEKGIENNILSGSDHDRAEKDKSGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMCETSEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWKDRIQGQDPMELLKAKEKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVLELTSKVRENIYFENYMSDPKAPGGTPIMQQPAPREKVRQRLPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDPVYDSYGDPIMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRAGRGGGLPIVGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >LPERR08G17340.1 pep chromosome:Lperr_V1.4:8:17806407:17809366:-1 gene:LPERR08G17340 transcript:LPERR08G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGAAASLPASPSSHQPRSRLAARSLALPAARACGPLRAAGAAAGGGAKDDAQAGVTPNGSPVIKPKSDSILLSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSSMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEEQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSAEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTTGK >LPERR08G17350.1 pep chromosome:Lperr_V1.4:8:17810005:17812849:-1 gene:LPERR08G17350 transcript:LPERR08G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGTTAAAQAYGEAWYWDERYRKEAGPFDWYQKYAALSPLLALYLRRHHRLLLVGCGNSVFGENMVDDGYQDIVNIDISSVVIEQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASTMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHIIDRWERSREPPWELTKPLPLDGDSTSVVSLLGPKPDGSDDGKSHSDSRAEAVEAK >LPERR08G17350.2 pep chromosome:Lperr_V1.4:8:17810005:17812849:-1 gene:LPERR08G17350 transcript:LPERR08G17350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGTTAAAQAYGEAWYWDERYRKEAGPFDWYQKYAALSPLLALYLRRHHRLLLVGCGNSDMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASTMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHIIDRWERSREPPWELTKPLPLDGDSTSVVSLLGPKPDGSDDGKSHSDSRAEAVEAK >LPERR08G17360.1 pep chromosome:Lperr_V1.4:8:17815200:17820527:1 gene:LPERR08G17360 transcript:LPERR08G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGSEGTAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCIGEDYLRKCAEGNDAVERFTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDAGDIELVWCQNPIPKFHGTSIEATVKGKRHIKLLKFSEDYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESGLEAEVSYCRSHTFLGLGGDPRCIKGKIFSSRSRETICEINGYWDRIVSMKDARTGKESVLYDAKTAIADLKTPVVRNQEGLSSSESAVVWGEVSDAILKKDWERSRQAKRRVEDTARRLDRERNEKGEVWIPKHFSLSQDKHGNWECCPLEKSVPPAPIIVPS >LPERR08G17370.1 pep chromosome:Lperr_V1.4:8:17818843:17821968:-1 gene:LPERR08G17370 transcript:LPERR08G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTSCVMIFVLALVAQWCSTSMAASCTFTISNHCSQTIWPATLAGAGTPQLPTTGFRLDPGQSVQIPAPAGWSGRVWARTGCDFSNTTAGAAAAAGATACQTGDCGGRLECGGAGAAPPATLFEVTLGKGAVAAAAATAGDLDYYDISLVDGYNLPVVTVPHGGGGGATGGCATTGCTADLNLSCPKELQVDSGGGTVACRSACEAFGDEKYCCSGAYATPTTCQPTAYSAMFKTACPRAYSYAYDDGTSIFTCSNAAGYTIAFCLPTNSGLNTAGVTPSPTTSPPPANGQNGAGGDAVNTPPPAGNNGAGISSYQPPPPADNNGAGINSYQPPPPTDVNGDGSAFQPPPADGNGAGITDQPPWMTATASKQTMMPSSASTRHNQLWSLLLLLLPAVVLLFMGYKPVAILLN >LPERR08G17370.2 pep chromosome:Lperr_V1.4:8:17818711:17821968:-1 gene:LPERR08G17370 transcript:LPERR08G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTSCVMIFVLALVAQWCSTSMAASCTFTISNHCSQTIWPATLAGAGTPQLPTTGFRLDPGQSVQIPAPAGWSGRVWARTGCDFSNTTAGAAAAAGATACQTGDCGGRLECGGAGAAPPATLFEVTLGKGAVAAAAATAGDLDYYDISLVDGYNLPVVTVPHGGGGGATGGCATTGCTADLNLSCPKELQVDSGGGTVACRSACEAFGDEKYCCSGAYATPTTCQPTAYSAMFKTACPRAYSYAYDDGTSIFTCSNAAGYTIAFCLPTNSGLNTAGVTPSPTTSPPPANGQNGAGGDAVNTPPPAGNNGAGISSYQPPPPADNNGAGINSYQPPPPTDVNGDGSAFQPPPADGNGAGITDQPPWMTATASKQTMMPSSASTRHNQLWSLLLLLLPAVVLLFMGYKPVS >LPERR08G17380.1 pep chromosome:Lperr_V1.4:8:17822154:17824632:-1 gene:LPERR08G17380 transcript:LPERR08G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILFRAGILPPPHMLAMTHKGGPCDRHPIDPMTGRPLDLEIVTVVDDPHAPESGCINGLRLMKQNICSQQLKVFLHSEANPMHANA >LPERR08G17390.1 pep chromosome:Lperr_V1.4:8:17830514:17835572:1 gene:LPERR08G17390 transcript:LPERR08G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEERRRFSNLRSVRWRVDLGILPASPAASVEEHRRAAADSRRRYVGLRRRLLVDPHVPKEESRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWNSGADNENESERSSKSNNLDELDLDTREIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGCGGVVRMAEFFSPSSVGSSSSLPPVIEASSALYHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLDDLLVVWDKVFACSNNMLLNSDEEYNFRVLCSARGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKAKSLQSIAIDVNTSSSYFLLKRDSYEYDRVHSNLGTSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVEKGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSNDTRNSVRRNLLNTFSDDLDRCNEVAGKTRQDEFPIVSIHREHPLSAEPPKLKAVDENVNVSPSRVAKVGPLKNSVVEPIHENATQRTACVAEACSSGENSPVFYAASAGNELENNQDTDSDSGSVTTSNSCSGDHDRDEILKDEPSSCNDDSKSVQDSEAASSDKTPDRDGISERVVVSNERKPFISKFQWLLKLGKPPVEGNMEKSSGETSADKQDVDPSYSSPSDGNSNNSRGNAKLASGDKKVMGTLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >LPERR08G17400.1 pep chromosome:Lperr_V1.4:8:17837816:17839744:-1 gene:LPERR08G17400 transcript:LPERR08G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAAARLRWLPWNALARGHCHAAVAAVITSWHGRDSSGASSWGTHIGERIHWIQLRPFSAARAKVRRYKKLIEDGIDVNEELQDRRLKQMEKRRVVRTAQKAFMDYLHVTRGICFSDAEHMSKRSPIFISKLLEKVKDAAKEPEEGGDEAAFISVVKRREMRDERVSKALARLFNFHPINEFEPFFESIGITPGEYEPLLPRDLMFLSDDETLLDNFRVLCNYGIVRTKIGRIYKDATEVFSFGHGVLASKLKALEDQGFSKTSVIKLVMSTPVILVSDPNVELKILPWLDDVGIQRDWLGQFLSVKKSYNWGNMVQVPQFFSDLGFTTEGIGKLLRQNPDFLFSGSGKMLFSAVLVMLKAGSGKKELFDLFLDFPNLPVDNFVRNLRNGILFLAEIGVSDEDIKKFVVSNGSILGSVLLKKPNSILTHLSVGKRRLCKIIMEDPHLLLNYSLGAKVNRLPKIDLYEASFKGKVKFLQSIGFVEGSEDMKRALKAFRGKGDELQDRYDFLVKAGLDPEDVANMIKLAPQVLNQKTHVLESKISFLVNDIGYPLSALVNFPSFLSFTVERTKLRFLMYNWLVEKGVIGPQLALSTILACSDKKFTKNYVVRHPMGPEAWEKFKTEMASTKTARLVTSDN >LPERR08G17410.1 pep chromosome:Lperr_V1.4:8:17841350:17846323:-1 gene:LPERR08G17410 transcript:LPERR08G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLVAAAALLVIAAAVTGVTAQEAAVPEEIVVVGKEEDLEAAAALRGELQQLREKISGLESGIAERSQELKVKDDSIANLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQVGKANARAIDKLKKDVEAQSVNKATLENRANDAEKKLQELNAKIDVLRKANDKQKRKLQNTERALKVAEEELMRVHLEATTKSKQLTEVHGAWLPPWLAAHSARYMEVISGHWSEHGKPAIHTFLQKASEKSAQAKKWAEPHVETAKTKWVPVKEKLVVLKKNTEPYIQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHVYGSFLESATTYHRQAQGTILDYLRQHEASALLAVPVYVIYRLLMGAFCTKKLKRPTHGDLGAESAMVE >LPERR08G17410.2 pep chromosome:Lperr_V1.4:8:17841350:17846323:-1 gene:LPERR08G17410 transcript:LPERR08G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLVAAAALLVIAAAVTGVTAQEAAVPEEIVVVGKEEDLEAAAALRGELQQLREKISGLESGIAERSQELKVKDDSIANLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQVGKANARAIDKLKKDVEAQSVNKATLENRANDAEKKLQELNAKIDVLRKANDKQKRKLQNTERALKVAEEELMRVHLEATTKSKQLTEVHGAWLPPWLAAHSARYMEVISGHWSEHGKPAIHTFLQKASEKSAQAKKWAEPHVETAKTKWVPVKEKLVVLKKNTEPYIQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHVYGSFLESATTYHRQAQGTILDYLRQHEVTKSLATKELVWFLASALLAVPVYVIYRLLMGAFCTKKLKRPTHGDLGAESAMVE >LPERR08G17420.1 pep chromosome:Lperr_V1.4:8:17848700:17854344:1 gene:LPERR08G17420 transcript:LPERR08G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKFGKTSRKSGGGGGGEAVESDDIANSGNVASDEQKGKILEDDPAAAASAGMEADAGNVGVEGEEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEGSSSREWMESDVGNGFPLCGFDSLYDKYCERMTVLDRMITQLLKDPGSLNVSKKSPRSASKLASTLRNLSFKRREELQEDSEHLQQQQGEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPENPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVADGKYNAEDQVEPSIFAPDLIKLLEESILTFRLFLKKDKKKNSALMSVHGHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLPDNKLRRDGSPILFPC >LPERR08G17430.1 pep chromosome:Lperr_V1.4:8:17859267:17873731:1 gene:LPERR08G17430 transcript:LPERR08G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASAHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKHQKPELLGLPYGSYEKRTIHVSRYLRSGPNPSVSVEEQLRPVETIRIESHYPMDNYGGNSMDRFFAAPAHSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMPYDRSSYPLSSPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHGQMHQKEEEEEEEEEDDDDDDSDDDDDDDECEHSDDKCMAPNGGACPVKTEPNGKPETKGFESKGVQGEPRNKGEHEIKAHKKELMRNKVANAEDTPGFTVYLNRRPASLIEAMRDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEILRDEELQPQVMELVQGLSRMWRAMAERHKAQKRTIDDAKLLFLQRNPAAATAIAMAAASPPDAGAPAPPPAAGGMAGRVLCAGMAAVTGAMGEFADASADSYDALVSAVAAGAGAGAPPERGKDETLRPPPQPQPAIIRVRETVREKDKERETHSRAVARHQPRRQGLSQSRPEPSGAASLFSPLCSFPSFFAFPRETLAATPLSLFLSLSPPRLSPPPPANTPGGCDGAGGLISSASFLRRTTPAPPPLCGCPPRPATARSEMATESAVRLIGGTGAGNWSKDYGAFDSSLGSLSGEGLGFVDNNSGIYGGWRESVPNRSGSAPPSMEGSLAALGHMAGQQGGNLDVSLGGKLGNMADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVQEWRVVSQDDSNKGSLFIPRSTLSTHTEEPEDDRSPGLDSSSAEDAQVSGKSGSNFDSHCMNLGDFSSETFQQNAASFTVRSTHSNDISSSSVPSSSCPDSSISVQTSQQEKPSIGIKLGNDVPGSGSVLTELDTVNSNMKNLRISVDSHGTIHVKQQWQDNVMQQYGPSPVQGDSIQMIPQGAHVPHVPFVDNLSHTQLKLSTSDMQHFLPPPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVITSYAPQGSVATPVDSPITPSFSGRPSGFPSTGNFSAGTEFVQPYKIYGQQLGVAMQQSIPDPNYFQFFQHPSLLPYAGMNQYNTMGPRVSVVGNPADSFDPPKALPQPTYPSDQRLQLPRPGVYNSPAARRAGSAPNYQSTFSQPTSPYVGATMTYPTSPVFQGQPFTGVFSPGRRNDSARFQTPSRNMTANPGIQGQREREKFDDPKACSFLEELKSNRARRVELSDIVGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLAGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLERGKGHERAQIISKLAGQVITMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTEGNDNLLAMMKDQYANYVVQKILETCNEKQRELLLSRVKGHMQALRKYTYGKHIVSRVEQLCGEGDTESDT >LPERR08G17430.2 pep chromosome:Lperr_V1.4:8:17859267:17873731:1 gene:LPERR08G17430 transcript:LPERR08G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASAHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKHQKPELLGLPYGSYEKRTIHVSRYLRSGPNPSVSVEEQLRPVETIRIESHYPMDNYGGNSMDRFFAAPAHSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMPYDRSSYPLSSPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHGQMHQKEEEEEEEEEDDDDDDSDDDDDDDECEHSDDKCMAPNGGACPVKTEPNGKPETKGFESKGVQGEPRNKGEHEIKAHKKELMRNKVANAEDTPGFTVYLNRRPASLIEAMRDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEILRDEELQPQVMELVQGLSRMWRAMAERHKAQKRTIDDAKLLFLQRNPAAATAIAMAAASPPDAGAPAPPPAAGGMAGRVLCAGMAAVTGAMGEFADASADSYDALVSAVAAGAGAGAPPERGKDETLRPPPQPQPAIIRVRETVREKDKERETHSRAVARHQPRRQGLSQSRPEPSGAASLFSPLCSFPSFFAFPRETLAATPLSLFLSLSPPRLSPPPPANTPGGCDGAGGLISSASFLRRTTPAPPPLCGCPPRPATARSEMATESAVRLIGGTGAGNWSKDYGAFDSSLGSLSGEGLGFVDNNSGIYGGWRESVPNRSGSAPPSMEGSLAALGHMAGQQGGNLDVSLGGKLGNMADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVQEWRVVSQDDSNKGSLFIPRSTLSTHTEEPEDDRSPGLDSSSAEDAQVSGKSGSNFDSHCMNLGDFSSETFQQNAASLYDSSSHPSNSNTGNGVSDYSDINSSTNFSPDAVKTSSLNSWTPVPVTSTVRSTHSNDISSSSVPSSSCPDSSISVQTSQQEKPSIGIKLGNDVPGSGSVLTELDTVNSNMKNLRISVDSHGTIHVKQQWQDNVMQQYGPSPVQGDSIQMIPQGAHVPHVPFVDNLSHTQLKLSTSDMQHFLPPPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVITSYAPQGSVATPVDSPITPSFSGRPSGFPSTGNFSAGTEFVQPYKIYGQQLGVAMQQSIPDPNYFQFFQHPSLLPYAGMNQYNTMGPRVSVVGNPADSFDPPKALPQPTYPSDQRLQLPRPGVYNSPAARRAGSAPNYQSTFSQPTSPYVGATMTYPTSPVFQGQPFTGVFSPGRRNDSARFQTPSRNMTANPGIQGQREREKFDDPKACSFLEELKSNRARRVELSDIVGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLAGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLERGKGHERAQIISKLAGQVITMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTEGNDNLLAMMKDQYANYVVQKILETCNEKQRELLLSRVKGHMQALRKYTYGKHIVSRVEQLCGEGDTESDT >LPERR08G17430.3 pep chromosome:Lperr_V1.4:8:17858914:17873731:1 gene:LPERR08G17430 transcript:LPERR08G17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASAHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKHQKPELLGLPYGSYEKRTIHVSRYLRSGPNPSVSVEEQLRPVETIRIESHYPMDNYGGNSMDRFFAAPAHSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMPYDRSSYPLSSPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHGQMHQKEEEEEEEEEDDDDDDSDDDDDDDECEHSDDKCMAPNGGACPVKTEPNGKPETKGFESKGVQGEPRNKGEHEIKAHKKELMRNKVANAEDTPGFTVYLNRRPASLIEAMRDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEILRDEELQPQVMELVQGLSRMWRAMAERHKAQKRTIDDAKLLFLQRNPAAATAIAMAAASPPDAGAPAPPPAAGGMAGRVLCAGMAAVTGAMGEFADASADSYDALVSAVAAGAGAGAPPERGKDETLRPPPQPQPAIIRVRETVREKDKERETHSRAVARHQPRRQGLSQSRPEPSGAASLFSPLCSFPSFFAFPRETLAATPLSLFLSLSPPRLSPPPPANTPGGCDGAGGLISSASFLRRTTPAPPPLCGCPPRPATARSEMATESAVRLIGGTGAGNWSKDYGAFDSSLGSLSGEGLGFVDNNSGIYGGWRESVPNRSGSAPPSMEGSLAALGHMAGQQGGNLDVSLGGKLGNMADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVQEWRVVSQDDSNKGSLFIPRSTLSTHTEEPEDDRSPGLDSSSAEDAQVSGKSGSNFDSHCMNLGDFSSETFQQNAASLYDSSSHPSNSNTGNGVSDYSDINSSTNFSPDAVKTSSLNSWTPVPVTSTVRSTHSNDISSSSVPSSSCPDSSISVQTSQQEKPSIGIKLGNDVPGSGSVLTELDTVNSNMKNLRISVDSHGTIHVKQQWQDNVMQQYGPSPVQGDSIQMIPQGAHVPHVPFVDNLSHTQLKLSTSDMQHFLPPPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVITSYAPQGSVATPVDSPITPSFSGRPSGFPSTGNFSAGTEFVQPYKIYGQQLGVAMQQSIPDPNYFQFFQHPSLLPYAGMNQYNTMGPRVSVVGNPADSFDPPKALPQPTYPSDQRLQLPRPGVYNSPAARRAGSAPNYQSTFSQPTSPYVGATMTYPTSPVFQGQPFTGVFSPGRRNDSARFQTPSRNMTANPGIQGQREREKFDDPKACSFLEELKSNRARRVELSDIVGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLAGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLERGKGHERAQIISKLAGQVITMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTEGNDNLLAMMKDQYANYVVQKILETCNEKQRELLLSRVKGHMQALRKYTYGKHIVSRVEQLCGEGDTESDT >LPERR08G17430.4 pep chromosome:Lperr_V1.4:8:17859267:17873731:1 gene:LPERR08G17430 transcript:LPERR08G17430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASAHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKHQKPELLGLPYGSYEKRTIHVSRYLRSGPNPSVSVEEQLRPVETIRIESHYPMDNYGGNSMDRFFAAPAHSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMPYDRSSYPLSSPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHGQMHQKEEEEEEEEEDDDDDDSDDDDDDDECEHSDDKCMAPNGGACPVKTEPNGKPETKGFESKGVQGEPRNKGEHEIKAHKKELMRNKVANAEDTPGFTVYLNRRPASLIEAMRDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEILRDEELQPQVMELVQGLSRMWRAMAERHKAQKRTIDDAKLLFLQRNPAAATAIAMAAASPPDAGAPAPPPAAGGMAGRVLCAGMAAVTGAMGEFADASADSYDALVSAVAAGAGAGAPPERGKDETLRPPPQPQPAIIRVRETVREKDKERETHSRAVARHQPRRQGLSQSRPEPSGAASLFSPLCSFPSFFAFPRETLAATPLSLFLSLSPPRLSPPPPANTPGGCDGAGGLISSASFLRRTTPAPPPLCGCPPRPATARSEMATESAVRLIGGTGAGNWSKDYGAFDSSLGSLSGEGLGFVDNNSGIYGGWRESVPNRSGSAPPSMEGSLAALGHMAGQQGGNLDVSLGGKLGNMADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVQEWRVVSQDDSNKGSLFIPRSTLSTHTEEPEDDRSPGLDSSSAEDAQVSGKSGSNFDSHCMNLGDFSSETFQQNAASLYDSSSHPSNSNTGNGVSDYSDINSSTNFSPDAVKTSSLNSWTPVPVTSTVRSTHSNDISSSSVPSSSCPDSSISVQTSQQEKPSIGIKLGNDVPGSGSVLTELDTVNSNMKNLRISVDSHGTIHVKQQWQDNVMQQYGPSPVQGDSIQMIPQGAHVPHVPFVDNLSHTQLKLSTSDMQHFLPPPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVITSYAPQGSVATPVDSPITPSFSGRPSGFPSTGNFSAGTEFVQPYKIYGQQLGVAMQQSIPDPNYFQFFQHPSLLPYAGMNQYNTMGPRVSVVGNPADSFDPPKALPQPTYPSDQRLQLPRPGVYNSPAARRAGSAPNYQSTFSQPTSPYVGATMTYPTSPVFQGQPFTGVFSPGRRNDSARFQTPSRNMTANPGIQGQREREKFDDPKACSFLEELKSNRARRVELSDIVGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLAGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLERGKGHERAQIISKLAGQVITMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTEGNDNLLAMMKDQYANYVVQKILETCNEKQRELLLSRVKGHMQALRKYTYGKHIVSRVEQLCGEGDTESDT >LPERR08G17430.5 pep chromosome:Lperr_V1.4:8:17861189:17862272:1 gene:LPERR08G17430 transcript:LPERR08G17430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRREFIKQALEQRNRFASAHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKHQKPELLGLPYGSYEKRTIHVSRYLRSGPNPSVSVEEQLRPVETIRIESHYPMDNYGGNSMDRFFAAPAHSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMPYDRSSYPLSSPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDEVNRVTKENMRSRHIRKN >LPERR08G17440.1 pep chromosome:Lperr_V1.4:8:17874167:17876322:-1 gene:LPERR08G17440 transcript:LPERR08G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHASTPTILQSLHASRAAIVSALATAVEAESALSAAGDRIADLHSLLPSSSSLQSQSVAAVALHSRIDRAVAPSSPFLASLRRVSSLSAAVTDGDDVAGHVDRLREAVEECVERGEEAVRRVEEAVVFIGRTTTTKATAGRRRRRLEEAAAALRAVYEAEAETMRFEGPLDDALVRLQDLFEGILLRMKHADFTGGEEGEEVGYEVGREEEVEAAARMARTLAGNDCLDICLDIYVKARYRRAAKAMMRLNPEYLKSYTPEAIDAMDWESLESAMSRWGPHFHVAISGVLAAERRLCSRVLAALPPAVWPECFAKIAARIVSAFFRFADGVSSSSGAAAREPQRLFKLLDMHAAVASEGDRLDDLFSSSSGDGDGENDQSATLLAIRERATEVELALSRAAAAAFFEFTLRVETHHAAVSVSVSGDGHVSKIVRYAVNYLKCLASDDYRRLIESALSAGGGDFAEAAGSVMEALRRHVEEARRSCGDDVAGHVAAMNSYWYIYMRSRGSHLARLVGEEAMRRRFKAAAEEAAWEYHQAVWDPLVRIVDFTTGDVDPEEAREKAAAFAEAMEERVGRRRRYRIPDGDLREQIKAAAARAVRGAYAAFVKANGETVAGRREFLPVDAVEGMVRKVFDEMGGGGSDGRRRSRRQSGSLEGFED >LPERR08G17450.1 pep chromosome:Lperr_V1.4:8:17877336:17881318:-1 gene:LPERR08G17450 transcript:LPERR08G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDDVARGAAGAGGGGGKKLALASIGFADVRVGAVAGVAGGGYKEELLVMGDDLDVAVRLPDVGAAVRNFLRNREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKQIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQAIELGTFECVKRSMTEAQEKWKEDGYPKIEIGNLKIELPLHLLSPIAVGGAAAGIVSTLVCHPLEVLKDRLTVNREAYPSISLALNKIYRADGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYLRAHKKKSLSRPELLIIGALSGLTASTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPQLHT >LPERR08G17460.1 pep chromosome:Lperr_V1.4:8:17882405:17885675:1 gene:LPERR08G17460 transcript:LPERR08G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAEADPAVVVRVDGNNVARLGRPKPGPRPRQLLSLPPFPAAGGLPGRKAVAAAGAPRMRMVTAVSWVKHYLADVPQEVVQAHFNKRMVYSECSDHEASVDCIKSQKHHLKKIKHNDVMEPGMRIHLAVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSSILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLLLMGRTKESFTRLHWLFTSVNLAKTNSEGWNAACEAYMQRYWALVIGTPKEREGVISAPLSKVLLDDGKAERVILAHPSGVDGAQDAVTAYRVLGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGTPWSKEKPNLLRFVAPMPAHMKISWNIMSSYLV >LPERR08G17470.1 pep chromosome:Lperr_V1.4:8:17886212:17887597:1 gene:LPERR08G17470 transcript:LPERR08G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPELEGLQYLEPSRFIAFSFPNPLLHHASNPYSNGDNDAFLRVAVLDHAGDGGTAAMLVPEGRHRDWIFSTRAGHLHLLLSTQSQHNLSRLILIGPELSAPSPRVVVASVAARPDPDPAHARLLPLLLALCPRAAFGGNSIPDVPLLTFHDDLLRVATVRVVTGPVVGEMAVEDVAVDCAPWLIELRRRLRFKRMPFLVQTQVRLARQLVAGDSEVLGEFDEVGGGALQPEVGGELVEPYLQAMTAGLAVIAHSMDEIVQLGGKPRCLCVGVGGGALLMSIRKGLQCDVLGIEADGVVLDVARSHFGLVEDEFLRVRVGDAIQMIQDFAQQGEPDVNFSAIMVDLDSSDAMCGVSAPPLEMAQESILLAARRILHKNGALILNVIPPAADGSFYKELIEVLHHVFCELYEINVGNGENYVLVATVSPVKTILADSSGSVLMELRKLAGDFTERTTRI >LPERR08G17480.1 pep chromosome:Lperr_V1.4:8:17888323:17889357:-1 gene:LPERR08G17480 transcript:LPERR08G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDYAATNSCAIGSSLSSDAFSAGGHTWKVVYYPRGEYEDNGEYLSVFLNLASKSNNDVKAVFEAFLMEKHGKPSSSVAKSDLESSYVVDGKVRIMCVVIVLHDNDPIEEDESIPVPESDIGIHLGGLLDREDGTDISFIVDGETFHAHRAVLAARSSVFQAELFGSMAEAKMSSITILEIERATFKALLQFIYTDKLPEVRVKLEGFLSPSMVTRISFFQDLLVAADRYDLSRLKLMCAQKLWEAISVDNVVTALVCAEEHGCPELKKRCLNFLARDNNFKKAVLTEGYFQLMPRFPGIIDEIRASMIES >LPERR08G17490.1 pep chromosome:Lperr_V1.4:8:17890393:17891592:-1 gene:LPERR08G17490 transcript:LPERR08G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLPPRPPPPSPASSSSPARLSFLPSPRRRGAVASCSPRTAPPHDGDAAAAAAAALMVAHAESGDFAAAQSIWSQLLLSSAAPRLLLAAAPRLLPAYARLGRSDEILLAVRELAARDAGAARVLYPLAVSSLGAAGELALMEDAVADMSSIHGLPVDSATGNAFLLHYAASGTLPQMESAYDRLKKSRLSVSGAGIRAMATAYIRRRKFHRLNEFVADVGLRRRDTGVGNLLWNLNLLSFAANFKMKSLQRAFLEMVGEGFRPDVTTFNIRAAAFSKMCFFWDLHLTADHMRRDGVAPDLVTHGCFVDAYIERRLGRNLGFAFDRLAGGGGGGGGGEPAVETDGIVFEAFGKGGFHASSEVLMEATGGERRRWTYYKLLRVYLRKQHRKNQIFWNY >LPERR08G17500.1 pep chromosome:Lperr_V1.4:8:17892434:17897221:-1 gene:LPERR08G17500 transcript:LPERR08G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHQQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSVMLESCGITKLDAPEAMEAETAITEMTGKWLGSRQIRCNWATKNTAEEKQETDNHNAVVLTNGSSSNPGMEASQDTGSKENPENNPDCTTVYVGNIGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSTHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFTAAELLAYQRQLAISQAAAGQITGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >LPERR08G17510.1 pep chromosome:Lperr_V1.4:8:17900104:17907637:1 gene:LPERR08G17510 transcript:LPERR08G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSGFLELKVDYSSIDNRNFKSFFLSSDAFSPEDTPGRYGTTLISTMLASKSKNSVTAIFDTFLTEKNGNPSSSYSYRIMCVVIVLCNNDPAEGQGVPIPMPSSDIWVHLDGLLDRGEGMDVSFVIDGETFHAHRSVLDARSPVFRAELLVFVTEAKAYGILLLCQNIGGKNFALFSKKNMSTIMIREIKPVMFRTLLRFIYTDELPQEGVGLEGSLSYDLRRLKMMCAQKLWEAISMDNVVMTLVYANKHGCPELKKRCLEFFVADSNFKKAVLTKGYFRMISSQQYVFPVAAASGDMPPDYGFLELKLDYAATNEFAIGGFFKSDAFSAGGHTWRVKCYPRGRDYLDRQGAYDALFLEMVSKSENVVTAIFEAFLMGKDGNPSSSHAMRFIVKYPLQGSFPFLPNLFVQRKALDPMYVVDGNVSIMCVVIVLSDNDPVKGAPMPVPPSDIGVHLGSLLDRGDGTDISFVIDGEMFHAHRAVLAARSPVFQAELFGSMAEAKMSSITIQEINPATFKALLQFIYTDKLPQDAFNLFQDLLVAADRYDISRLKLMCAQKLWEAISVDNVVTTLVCAKEHGCPELKKRCLDFLVVEDNFKKAVLTEGYFRLMPCFPQVIDEIRTMLES >LPERR08G17520.1 pep chromosome:Lperr_V1.4:8:17907703:17909341:-1 gene:LPERR08G17520 transcript:LPERR08G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACGVIVFGWASNWPTNFGPIDRAAHVRDTEKSPIHNFNRISRRRRRREFRRADDSTPAIGISMAAISPELEGLQYLEPSRFIAFSFPNPSSTMPPTPTPRMRLFRDKPRCLCVGVGGGALLMLIRKGLQCDVLGIEADGVVLDVARSHFGLVEDEFLRVRVGDAIQMIQDFAQQGEPVQLWWILIPLMLIAPPLEMTQESILLAARRILHKKGALILNVIPPAEDGFLYKELIEVLRHVFCELYEINVGNVHFVAHHFNQ >LPERR08G17520.2 pep chromosome:Lperr_V1.4:8:17908674:17909149:-1 gene:LPERR08G17520 transcript:LPERR08G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPELEGLQYLEPSRFIAFSFPNPSSTMPPTPTPRMRLFRDKPRCLCVGVGGGALLMLIRKGLQCDVLGIEADGVVLDVARSHFGLVEDEFLRVRVGDAIQMIQDFAQQGEPGMNFSAIMVDLDSSDANVWSQCTTIGDDAGKHSSCCTQNPT >LPERR08G17530.1 pep chromosome:Lperr_V1.4:8:17909505:17924519:-1 gene:LPERR08G17530 transcript:LPERR08G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSGGGGEEGEGRGTTAKVNQELWYACAGPLVSLPPQGSLVVYFPQGHSEQVAASMRRDADAQIPSYPNLPSKLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKEILLASELALKQTKPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPCQELQARDLHDNIWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEETGTRRYMGTITGISDLDPVRWKTSHWRNLQVAWDEAAPSERRTRVSLWEIEPIIAPFFIYPSPMFTAKRPRLPGMAVPGLNLAQWMSMQHNSSLPGTVVQPELLNSLSGKPIQNLAAADLSRQISFHPQFLQQNSIQFNASLVPQQNQQTEQLAKVIATQNQLGSSIIPQKVVQDCNSEQRQNVVTQQVQGSQSNISITQPQLVVQAQLQQPQPQQPLISEQQKMLLDLQQQMMNSQSAPQQCVQVNQAISLHNSNTVQYPTQQKVQSHQQVQDFTGNAIPNAKPDVVTSIGPSSLHTAGGLQLLKTDDVPSSSTSPSTNSSPVLLQSIPSSSKNQSLATAGKTSQSSVVLGPTIEQDTKPYQSVKQTMIIPKVTEQRPATRQDCINNNTQMDYLDTSSSATSVCLSQADGSLQQNFPPPSFNQHHLLKDAIPVSDFEVTDPRNNLLFGVSIDGQLGLPLSADLLANDIETDKYMDQLPGNGISNYISSKDSQQELSSSMISHSFGVADMAFNSIDSAVNDTTFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKRDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGDIVDNGRMVASKTAETRSSSSSAAASRSRSARTTASSPSFPSNPSAPHLSLYVSWVALSTTANARRATSSGVIIIHVLTGVDSMASRMAAVSAAASGSTTTRSTPLAARHLRWLSARRRAREATSAASRRRASHHAACRDSAASSGDPVPANTARALLRSATQGAMASAIVPAALSAPDAHCICSGVGSGLERYLVPTEECVVRSCSVSSSSASSSGPQFITASISSCISSSMSTTAGGGVLLLQHMIVSICK >LPERR08G17530.2 pep chromosome:Lperr_V1.4:8:17917613:17924519:-1 gene:LPERR08G17530 transcript:LPERR08G17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSGGGGEEGEGRGTTAKVNQELWYACAGPLVSLPPQGSLVVYFPQGHSEQVAASMRRDADAQIPSYPNLPSKLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKEILLASELALKQTKPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPCQELQARDLHDNIWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEETGTRRYMGTITGISDLDPVENLSLAQSSAAPSERRTRVSLWEIEPIIAPFFIYPSPMFTAKRPRLPGMAVPGLNLAQWMSMQHNSSLPGTVVQPELLNSLSGKPIQNLAAADLSRQISFHPQFLQQNSIQFNASLVPQQNQQTEQLAKVIATQNQLGSSIIPQKVVQDCNSEQRQNVVTQQVQGSQSNISITQPQLVVQAQLQQPQPQQPLISEQQKMLLDLQQQMMNSQSAPQQCVQVNQAISLHNSNTVQYPTQQKVQSHQQVQDFTGNAIPNAKPDVVTSIGPSSLHTAGGLQLLKTDDVPSSSTSPSTNSSPVLLQSIPSSSKNQSLATAGKTSQSSVVLGPTIEQDTKPYQSVKQTMIIPKVTEQRPATRQDCINNNTQMDYLDTSSSATSVCLSQADGSLQQNFPPPSFNQHHLLKDAIPVSDFEVTDPRNNLLFGVSIDGQLGLPLSADLLANDIETDKYMDQLPGNGISNYISSKDSQQELSSSMISHSFGVADMAFNSIDSAVNDTTFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKRDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITAFGS >LPERR08G17530.3 pep chromosome:Lperr_V1.4:8:17917613:17924519:-1 gene:LPERR08G17530 transcript:LPERR08G17530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSGGGGEEGEGRGTTAKVNQELWYACAGPLVSLPPQGSLVVYFPQGHSEQVAASMRRDADAQIPSYPNLPSKLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKEILLASELALKQTKPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPCQELQARDLHDNIWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEETGTRRYMGTITGISDLDPVRWKTSHWRNLQVAWDEAAPSERRTRVSLWEIEPIIAPFFIYPSPMFTAKRPRLPGMAVPGLNLAQWMSMQHNSSLPGTVVQPELLNSLSGKPIQNLAAADLSRQISFHPQFLQQNSIQFNASLVPQQNQQTEQLAKVIATQNQLGSSIIPQKVVQDCNSEQRQNVVTQQVQGSQSNISITQPQLVVQAQLQQPQPQQPLISEQQKMLLDLQQQMMNSQSAPQQCVQVNQAISLHNSNTVQYPTQQKVQSHQQVQDFTGNAIPNAKPDVVTSIGPSSLHTAGGLQLLKTDDVPSSSTSPSTNSSPVLLQSIPSSSKNQSLATAGKTSQSSVVLGPTIEQDTKPYQSVKQTMIIPKVTEQRPATRQDCINNNTQMDYLDTSSSATSVCLSQADGSLQQNFPPPSFNQHHLLKDAIPVSDFEVTDPRNNLLFGVSIDGQLGLPLSADLLANDIETDKYMDQLPGNGISNYISSKDSQQELSSSMISHSFGVADMAFNSIDSAVNDTTFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKRDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITAFGS >LPERR08G17530.4 pep chromosome:Lperr_V1.4:8:17917613:17924519:-1 gene:LPERR08G17530 transcript:LPERR08G17530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSGGGGEEGEGRGTTAKVNQELWYACAGPLVSLPPQGSLVVYFPQGHSEQVAASMRRDADAQIPSYPNLPSKLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKEILLASELALKQTKPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPCQELQARDLHDNIWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEETGTRRYMGTITGISDLDPVRWKTSHWRNLQVAWDEAAPSERRTRVSLWEIEPIIAPFFIYPSPMFTAKRPRLPGMAVPGLNLAQWMSMQHNSSLPGTVVQPELLNSLSGKPIQNLAAADLSRQISFHPQFLQQNSIQFNASLVPQQNQQTEQLAKVIATQNQLGSSIIPQKVVQDCNSEQRQNVVTQQVQGSQSNISITQPQLVVQAQLQQPQPQQPLISEQQKMLLDLQQQMMNSQSAPQQCVQVNQAISLHNSNTVQYPTQQKVQSHQQVQDFTGNAIPNAKPDVVTSIGPSSLHTAGGLQLLKTDDVPSSSTSPSTNSSPVLLQSIPSSSKNQSLATAGKTSQSSVVLGPTIEQDTKPYQSVKQTMIIPKVTEQRPATRQDCINNNTQMDYLDTSSSATSVCLSQADGSLQQNFPPPSFNQHHLLKDAIPVSDFEVTDPRNNLLFGVHKRGAVGRSIDINRYSGYDELKRDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITAFGS >LPERR08G17530.5 pep chromosome:Lperr_V1.4:8:17909505:17914253:-1 gene:LPERR08G17530 transcript:LPERR08G17530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEELRRRWGELHRRSRGGPGIREAEDEGFDATVHNHVPDGEENLLESHSEASLPSRPTCKRSQEGLADGVEEPPVKLQRANFKCEQSHDRQEVEPEIFVMNRIGGQSRSPVKKVHTEPTRHEKINSENCLGREVKHADYDSRNKKPKTADGEKIYPLQRRKQAKQGLRDEIPGWEDKGTNCSGALTGRMVASKTAETRSSSSSAAASRSRSARTTASSPSFPSNPSAPHLSLYVSWVALSTTANARRATSSGVIIIHVLTGVDSMASRMAAVSAAASGSTTTRSTPLAARHLRWLSARRRAREATSAASRRRASHHAACRDSAASSGDPVPANTARALLRSATQGAMASAIVPAALSAPDAHCICSGVGSGLERYLVPTEECVVRSCSVSSSSASSSGPQFITASISSCISSSMSTTAGGGVLLLQHMIVSICK >LPERR08G17540.1 pep chromosome:Lperr_V1.4:8:17909527:17910402:1 gene:LPERR08G17540 transcript:LPERR08G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSSKTPPPAVVDIDDEMQELIDAVMNCGPEEDADELETEQLLTTHSSVGTKYLSNPDPTPEQMQWASGAERAAGTMADAMAPCVADLRRALAVFAGTGSPEEAALSRHAAWCDARRREAAEVASLARRLAESHLRCLAARGVDRVVVDPEAAALTAAMREAMESTPVNTWIMMTPEEVARRAFAVVLRATQLTYKLRWGADGLEGKDGEEAVVRALREREAAAEEELDRVSAVFDATIRPYEAWYAQVNKEAPVKKRPSPETEEEEDLEGPRQRRRMFPAGEDSPGTEY >LPERR08G17560.1 pep chromosome:Lperr_V1.4:8:17931837:17932532:-1 gene:LPERR08G17560 transcript:LPERR08G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEAESGCGGVKLKRKGDEQPDLFVFSGDLDAACRATKMRRLDAAEADDVAMGDAPSKEGEGGMVLYEPAEFDSGGDGSGLLGELLLLLRPWATLRAGGEWIRDMLREADSRTVRRLLLLSGDEEDDDSGGLALVPWGSSPPPPPPPPAAAGDSMAEVAEEEEEREGSTAAAMEVEEESGDLARSVTGAGVGCGEGYFFRRWPPQHCMPPPAMPAMIGQASPVMTWSW >LPERR08G17570.1 pep chromosome:Lperr_V1.4:8:17934836:17935066:-1 gene:LPERR08G17570 transcript:LPERR08G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRVLHLLFLAAAGEEIEDVFCGGDTTPDMATLRPDRLTVVLSGYSERRLRLLRAIAVAYAGHPLVLAVVVMW >LPERR08G17580.1 pep chromosome:Lperr_V1.4:8:17935282:17937003:1 gene:LPERR08G17580 transcript:LPERR08G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQWSGAVVRWRCSMSSSPPAMCRPPLVLPSRPARRVVGCVSVPREVAAAVDTAALPEAEAETEEEGVECEGCNGAGWLLCDFCKGKKNNVKSDTSRIYRRCPTCKAAGFILCPRCRVYKCITYPENNDS >LPERR08G17590.1 pep chromosome:Lperr_V1.4:8:17935360:17943243:-1 gene:LPERR08G17590 transcript:LPERR08G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPPCLSARPLVVAVAPGRPGPCLARRPRNAAVTAAAAGRRPAVGVRGVVRSVVSAVEEVGIEGEEDEEEEEEEAVVMPETYALGGACRVLAGMPEPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEAETVTEEVPLDPVFNRTGNVWHVFIEGDLHNMMYGYRFDGLFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGECWPQMAGMIPLPYSTFDWQGDLPLQFPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSSGTRNCGLDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGGPVEGDTTTTGTPLATPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWKVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWYSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLEDFPTSGRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAFALDQFSHFLNSNLYPMLSYSSIILELKPDRRRIHRRGDFPWNGHAADDPPRRPRRQHKRRPAHRRRRRRHATPPPDHRT >LPERR08G17590.2 pep chromosome:Lperr_V1.4:8:17936746:17943243:-1 gene:LPERR08G17590 transcript:LPERR08G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPPCLSARPLVVAVAPGRPGPCLARRPRNAAVTAAAAGRRPAVGVRGVVRSVVSAVEEVGIEGEEDEEEEEEEAVVMPETYALGGACRVLAGMPEPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEAETVTEEVPLDPVFNRTGNVWHVFIEGDLHNMMYGYRFDGLFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGECWPQMAGMIPLPYSTFDWQGDLPLQFPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSSGTRNCGLDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGGPVEGDTTTTGTPLATPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWKVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWYSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLEDFPTSGRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAFALDQFSHFLNSNLYPMLSYSSIILELKPDV >LPERR08G17600.1 pep chromosome:Lperr_V1.4:8:17949585:17951305:1 gene:LPERR08G17600 transcript:LPERR08G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSNSYLDLVLIPAGFLFPIVYHIWLWHVVRRSPLSSTDNDKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYTVKKPLSDAVFGAHGEYMMALKYVTLLLIFLLSFLSHTVAICTLNQATFLLNTLPSAIADDLPITKEYIVDVLEKGFLLNLIGNRLFYAGVPLLLWIFGPVLACLCSIVMIPMLHSIDMVYVEDGSSKGEANARVEMVYESDESAMQV >LPERR08G17610.1 pep chromosome:Lperr_V1.4:8:17952985:17955486:-1 gene:LPERR08G17610 transcript:LPERR08G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPRLLPRTLAGLLVAVFLLQFAAADAARLLAEEESAAAAAAASPADEADALIKLKNGIADDDDSLQSWAAGTSPCNGEASNWAGILCSNGNVMGLQLEKMGLSGELDLGPLKALTGLRTLSFIDNEFAGVMPQLKELTGLRAIYLSGNKFSGEIPADAFAGMGWLKKVVLSRNAFSGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKMFDVSNNELEGEIPANLKSLDPAMFAGNKKLCGAPLDAKCDAPSSSSPPASSPPATTPATAATTTTPTGNAAPAGEARPPPQKATEGSTSFGVLAAFLGTLAIIGFAVVAVQRRREYNTQNFGPTDSTKPSSLPTAPPSPAKHAAPRCSAAGATDDRTSNAAGAAGGGSTAARGGKAAEQGRLTFVRDDRGRFFELQDLLKATAEVLGVANLGVCYRATLTNGCSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLVSYYYRKEEKLLIHDYVPNRSLAHLLHGDGRGIKRVAPVHWGARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERKQFGRSSKKSDVWCLGILILEILTGRPPSYETAKPQQQTTAASDQPPPPPPSENDLVGVVGSTPQEEWLETVVDADLRREDDGESKEEIVKLIKIGMACCEASVDSRWELKTAVERIEELKGKENANEDYSFYSSLSDGASREDDFANVAIN >LPERR08G17620.1 pep chromosome:Lperr_V1.4:8:17956198:17957834:-1 gene:LPERR08G17620 transcript:LPERR08G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAEEEGTSMPATPMSSSSWLSSSSSSQPLLLNPAYARSKSVIHDELRNFRVFLQLCALDHSSRAARAASYAAFLALALAVPAAVSLSLTPDPSLSPASSSAITFNRVSQVPASGLAAISFATLASFFRRGGNGCGGGLRQLLFLDGGLRDDAASVRRGYARELDRAFRLLAALLLPPLAVEAAHKAVFFFATVRVSPPFQLPGVSVSPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDDVEARATDIFAEHRRIRTQLLATSHRYRAFIICCLVTITVGSTVQLSGFFMCLFGAARITHRAQRIVSIASQWHMNMESLHHAKSAQLPPPPVASSSASDMDGPPHHQPELGTTAACAYRSRHALVTYLCHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKVVVLS >LPERR08G17630.1 pep chromosome:Lperr_V1.4:8:17958629:17963411:1 gene:LPERR08G17630 transcript:LPERR08G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIDNRSQSAGKRARTDGGRREDDWTCPSCKNVNFAFRTTCNMRNCNQSKPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGAAPPYGASLYGGPAIPRYGIPQFPGGSGYPYGYGGRLPMGSPYGPMHMAGPPPYSGGSMMGPGGMYGMPMDRYSLGLPAGPGPMGTRAGSYSEEGSQKKPADLCANFIVGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGPKQKMPEGSWKCEKCNNINYPFRTKCNRPTCEAERSFQANTANDLSTDQDNQKYSKGSLQLLSKLQLSHDFQDNNDQTKVDLPGGAPGVPTSSHALRMAALSELQNSLMS >LPERR08G17640.1 pep chromosome:Lperr_V1.4:8:17967305:17967949:1 gene:LPERR08G17640 transcript:LPERR08G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRSSAAAVVPIIPPPRQAAAPRNMGLRGVRCRLWGRWAAEIRVPPRRVVVAGAGGRPSSSRRLWIGTFPSAVAAALAHDAALYCFYGLSPPGRRAFNFPFAPRAAYLDHAHLRLAAAVSGVGGAVTLGAVRAVAGRYALEVSNMLFAPPPPPPPPVFFAPPPPPATNTMVAGAAAAAIEQQDGGGGNYYRNNEALLSMDLQELAALMGIAV >LPERR08G17650.1 pep chromosome:Lperr_V1.4:8:17970058:17971065:1 gene:LPERR08G17650 transcript:LPERR08G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRRVLHLLFLAAAAAVVAVAGEEVEDAFCGGDSTPDMATLRPDRLTVVLSGYSEQRLPLLRAIAVAYAAHPLVLAVVVMWSNPTTPSTVLLSTFPPSPTITLHVTATSSINSRFLPLPSIPTAAVAVADDDVLPSAAALSLAFATWQHRSSSSGPLVGFFPRSHHLDLTRRRWAYTAATQPEGRYSMVLTKLLVVGVDLLYRYTCSPELAAARDVVDRERNCEDILMNFVAADVSGEGPVLVEADGGVRDWGDPRNDGDGGDAGGEAVKGVGLSSTGGMAHWEKRGNCITEFHRLLGRMPLRYSYGKVVAAAAGGEPGMCSKGGRLVRCDQE >LPERR08G17660.1 pep chromosome:Lperr_V1.4:8:17974144:17976697:1 gene:LPERR08G17660 transcript:LPERR08G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTGAPFNPMVFFLAPASRADCAGSTSSGQASGCKFNLEEERVVIDLQAIIGNKWAEISTYLPGRTDNDVKNFWSTRKKKLARMTRAHNNCSRSSRVLRTSTESYSGTTIAVQETRYHIGESSQKASMAMIPIEETKRHTGESSQQTSMRCFSSSSYALHTSTASYSELSSQQMMIPFQEETTHYQIGESSSQQQQSMDNKPFHGGLVPSPDDSSLFAMVPVYCPTVFTDMASSADDGFGVSNYLQEPAVSEVQPIQFFGPEDDGYANVGLLHPTMSSHMVFDEMSPEMLHCFDLPPSLPPPNPPPPPSTQL >LPERR08G17670.1 pep chromosome:Lperr_V1.4:8:17977331:17978973:-1 gene:LPERR08G17670 transcript:LPERR08G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAKPFSCCCYLLRIPISGAAATAVSSRRPSWRAAAASGNAEAEDGGGSRRARLSARRRERIKLEGVAAGGGGIGIGEFLREPAGVESLLNTRALQSFTAVVEEGDSSSSAEGKANMFRCTLQSIGFLGFEVAPVLDLRVTPTATDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDNGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVEKPGNLLMQSLLDRLVPLLGEQLLRDYNSWVQLQQQQNETSS >LPERR08G17680.1 pep chromosome:Lperr_V1.4:8:17981079:17986394:-1 gene:LPERR08G17680 transcript:LPERR08G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISPAAAASLLPLLLLLSLLAAAPPAEARRHKDGIDIDGEKMCDRGWECSGSRFCCNETITDYFKAYQFEELFANRNDPRLAHAAGFWDYHSFITAAALFEPRGFGTTGGRATGMREVAAFLGHVGAKTSCGYSVAPGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNFNYGIVGLGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLVCGQGSIDKMNVIVSHYRHYLDLMGVGSDNAGDNLDCADQSLLAVDKARRKGMELTNLPHIAASSRFFSSCSRCNCRVRRTGKIVIGASATGSRCSNADSACSYPIEQQNADFLPGPRSSLCYRRRDFASVALLPFILPHVNIASAAESIDGSVIQSGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLRKAKEVIDGVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPTGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNVFDNAYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQTKFFADFKDAYIKLSLMFLY >LPERR08G17680.2 pep chromosome:Lperr_V1.4:8:17981127:17986394:-1 gene:LPERR08G17680 transcript:LPERR08G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISPAAAASLLPLLLLLSLLAAAPPAEARRHKDGIDIDGEKMCDRGWECSGSRFCCNETITDYFKAYQFEELFANRNDPRLAHAAGFWDYHSFITAAALFEPRGFGTTGGRATGMREVAAFLGHVGAKTSCGYSVAPGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNFNYGIVGLGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLVCGQGSIDKMNVIVSHYRHYLDLMGVGSDNAGDNLDCADQSLLAVDKARRKGMELTNLPHIAASSRFFSSCSRCNCRVRRTGKIVIGASATGSRCSNADSACSYPIEQQNADFLPGPRSSLCYRRRDFASVALLPFILPHVNIASAAESIDGSVIQSGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLRKAKEVIDGVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPTGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNVFDNAYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQTKFFADFKDAYIKLVNTGASWRSA >LPERR08G17690.1 pep chromosome:Lperr_V1.4:8:17988170:17992700:1 gene:LPERR08G17690 transcript:LPERR08G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYIKARQVHPDKNPNDPQAAEKFQELGEAYQVLSDSTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRKLHEKMQACSFSCSFPLISPCPAYFCKDLVNLFHRAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKHLSAEGHYSEEELEMYMQNHKKVMVDSLWKLNVADIEATLSHVCQMVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASQMRNIDNMDDNDGSSPDSSPRREPSCDPIPNPPHAQSPYVEAPPFGGTYYPFNFPMPTAPPGAQRDPMP >LPERR08G17700.1 pep chromosome:Lperr_V1.4:8:17993062:17994066:-1 gene:LPERR08G17700 transcript:LPERR08G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISSSFVKFKLDVTHNYAVGEGFTKHVSDGEQQWMIRCYPRGFWEDDDGEYITLGVGLLAKSNSHKFIVHAYLMTKDGGASVASIRSTNRTYPIKKSSNFYGYGVLLRHFVKRADLESMYVIDGMATIVCGIVIFANGEIEPGPIPVPHSNLGEQLGVMVGCDDSSDVSFSVDGEIFHAHRAVFAARSPVFKAELLGSMAEATMSCITIHDIDRMTFRAMRHFIYTDMLPTWDESSSSTEQFQKLFVAADRYALDRLKLMCAQKLWENMSVQMVATTLGYADMYHCPELKSRCLDFLMAESNFRKVAVTDGYLELKQDFPSVMEEIKKRIET >LPERR08G17710.1 pep chromosome:Lperr_V1.4:8:17996613:17997036:-1 gene:LPERR08G17710 transcript:LPERR08G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWAPARRERTMLQLARWEDPLPSGSGRNRIEQLEVIMVDSMGECPSLPAISYASRWTTTLCFNFIAADNNFRKVAVTENYCHVVHAFPSVIKEISTRLNK >LPERR08G17720.1 pep chromosome:Lperr_V1.4:8:18000124:18000489:-1 gene:LPERR08G17720 transcript:LPERR08G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGVVPAPTSSPEPASAEPSRRDARPDPPWEEPDLVGGGRSATGVARSGRRSAGNLRPAAATERRPAPPGRCQCASRHHVNALPHRDNSAAASSPHPLHRSGQPCPRQSGELPRRRRPC >LPERR08G17730.1 pep chromosome:Lperr_V1.4:8:18000569:18001012:-1 gene:LPERR08G17730 transcript:LPERR08G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLPAQLPSPPRSLLDPLPTLSSIQSPPVPSRALPTLPPATTAGDDDRRPAATSGGGSDEGRAAAACYRSAASGSGATDPRAGGEQRDGDPGRDDGDPRAAAGRAATTSGRAAATAVGWPRRRGGHAAFCNFFFLLRAGRRAA >LPERR08G17740.1 pep chromosome:Lperr_V1.4:8:18004739:18009241:1 gene:LPERR08G17740 transcript:LPERR08G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKSIIHGKRNTDLFLYGYLGPNDFSSSDFIALFHEEAASIFARCPCLQDIDLEIVRDCCLYGFFVYYHSCLSSSQFIWPAHASNCWTCDGVVQGARAWEISNALHPEINFQCDFTLLKSVFRKLNMDPRVPFLVLEDYDEFIHLNKPYRWVSVTSRNRTIGEAMQPKIARASSIFLARAEPERYIYQRYGYFSAQRYWDRIGIPDGFFEQCSNLGVLVLSYCAFNFVSPPFLHCHTLKFLGLDSCTSNNTNELQAGGHTTKWACLQSLSVIDLHYTDWVGIFHEEKMELMANLMEVNTKGVRCSQLTSRLQKRLPCLKRLRVINPVHEAETSSSTDIKDLFVGKTDLQLLDMSGNREMENLPTSISEASKLKVLILDGCDALKDVVVPDRLPSSLRSFSFDGYGPADGPNNWATTSDELPPQSCRRKRPGDNIDVKTSVISLIGCTQLENLFLRGLPNLVELDLSGCAIKVLDFGTMVTGVRNLKWLFLLGCEHLCAIRWGPNEEQAKRLQLLCIDTRPARKVLGFARPSLAVVEQEPKWVYVHACIVDARLVRSLLPMIRLASGYGHFFNISITSSMASGSGIVQPETTSKKMTESSDEQHYGVASIYGTDVFSKVGDAVTAMQSFPFPPLPEQKWDYRHIEIGDGSRNVESEVAADYDSDHLVTVMARFAESLHVHDVSTGHHILPGEAWQLLLWCHVERCSNLDTVFPPDAVDTGTLHTIWASDLLKARCIYGAEAPSHHMWQSTNVIKFPKLTTIHLYNLPALRQICEAVETMVAPALETIRIKGCSNLRRLPSLKGRTPGMDRPTVEVEKHVWDGLEWDGVDAGHCPLLFQLRPWHHSHYSQHKRLPRGSLLSSLCEPEYGASAGQQYSSLEISSETDEELTGGRQGLTGGDDKVRRWVPSRLCTGSAAPIPRDAPPPTPSSPCRRYLRYQIQIRYPGYQFWYPMGTNSRSGTPGYRYPDRRGGLIGGWEGAVTGRGPVDGEGRRHQRGVASGGEGRGGEGNRRRLVVLASS >LPERR08G17750.1 pep chromosome:Lperr_V1.4:8:18024547:18029296:1 gene:LPERR08G17750 transcript:LPERR08G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKVDYLQIQKAAAISGEWLPGPRISAGEHNATIMCQRHPPTVKVEYISLVLVLNEIDPKLNVIFEQISCCLMLKKIYILPSSNLGGQLGTMVNCADGSDVSFSVGGETFHAHRALLAARSPVFKAELLGDMAEATMPCITLHDIEPATFKALLHFVYTDVLQTEGNSSTNATDLLQRLLTAADRYAMDRLKLMCAQKLWESVGNGGGDARLSGEAQLPGAKEQVPQLLHGGGQLQKGSGH >LPERR08G17760.1 pep chromosome:Lperr_V1.4:8:18030799:18068535:-1 gene:LPERR08G17760 transcript:LPERR08G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCFVPFVLDNFGTKSLAVGDVVSSDEFSAGGHLWVIDCYPHGCKTAANKGEYVSLFFRLIKSRSSSVKAFIEGYVVNREGEPRDCEKKRTDIHEFKCNGDDWGWLVCNDGEGIEDPPFVVPPPKIGEHLGRLLDSADGSDVSFVVGGETFPAHRAVLAARSPVFKAELFGCMAEATSSCITLHEIEPVTFRALLRFIYTDDLREDIDKLDGSPVDTTFHHDLLAMADRYELDGLKLLCARRLLCNMTANSVADTLVCNETYNCPEMKIKCMDFFAVDDNFKKAAFSDVFFFHANMFTGCFVPFVLDYSVTKSLAVGDVVSSGDISAGGHHWVIDCYPHGITTKKAEEKGKYVSLYLRIKSKCSGVKAMFEAFVLTKDGEPSTSDSPWAPVHEFQGKDGNDDWGWSEFMSRDDLEEKYVTATGRVTFICGIAVMRHDGGQENSMYIASPSKVGEDLGGLLDSADGSDVSFIVDGETFSAHRAVLAARSPVFKAELFGCMSEATLSCITLHDIEPATFRALLRFIYTDELPEDTGKLDGSPIDTTFHHDLLAVADRYALDGLKLLCARWLLCNMTTDSVNLVAGDVVMSDDISAGGHLWKIECYPRSKSTTSTVKAIFHSSVLTRDGQPLSIDDERTELQEFERNDEEWGLSNFVKRVDLEENCVTDSGHVTFLCGIAVVIDPLLIVVPPPDIGTHLGRLLDKIEGTDVTFVVGGETFPAHRAVVAARSPVFKAELFGSMSESNSSIITLQDIEPATFSALLRFMYTDDMPDDTDELEQVSMTDTLQHLLAASDRYGLDRLKLMCAQRLLHCMTVDSVADILACAEMYNCPELKNKCIDFFVAENNFKKDVFTDGTTKLAAGDVISSDDISFGGHVWKIDCYPRGTLMAAKKRNCVVSLFLRMKSKSNAVKAFFEASAMNREGHRPIRGGSRKTDVYEFKGNNGDHGYGLGWHDFMYQDSMCNAVTASGHVTLVCRISIIVDPLLVLPPSNIGENFGHLLDDGAYGTDVTFFVGGEKFPAHRVILAARSPVFKAELFGSMSESTSSCITLQDIEPETFRDLLRFIYTDQLPGVTDGPDGFPADTFQDLLVVADRYALDRLKLLCAHKLAHNMTADTVADILDCAETYNCPGLKNKCMDFLTVKDNFNQAVFTQGYARLLQKYPLVAAEMKTRAAASGDMPNSGFIELNLDYAATNEFLSDAFTAGGHTWVLKCYPRGREEVKGEYLSLFLGLVSSKSKNVMAIFDAFVLGKGGERYSFIPARSSMIFSPIGPPNGLQAWGWLRFVKRSDLESLYMVDGKFRIMCVVIVLRDNDPIQGFPLPLPLSVPPSDIGVHLGGMLDRGVGTDVTFLVDGETFPAHRVVLAARSLVFETELYGSMMEANMSCITLHDIEPDTFRAMLRFIYTDTLLVDGVELMTTAMTTELFYKLLAAADRYGLNRLKCMCAQKLWETVSVDTVAKMLVHAEMHSCPELKRRCLDFFVQDKNFKEAVLTDGLEAVRMSSMNMAPASGFLELKLDFSATNACATGDIFTTDVFSAGGFDWSVEYFPRGYGKNGINGAYLSLFLKLVSKSKNIKAIFDAFLMEKDGEPSSYVAKRLVHVFPPEGGYISWGWLKFVKRSDLESSYVVDGKVRIMCVVIVLRDNDNHVPVSSSDVTGRLDGLPVPPSDIGVHLGHLLDSGDGTDVSFIVNGEKFAAHRAVLAARSPVFKAELFGCMSESTSSCITLNDIEPAIFRALLWFIYTDELPGDTSKTNVFFQHLLAVADRYALDRLKLMCAQRLLHNVTADTVTDILVCAETYNCPELKNKCIDFFAVEDNFRKAVFTDGFALLVQEFPLIVAELQKRLRPSIRMATTSGYVDLKVDYSATKACAIGDVFFSDAFTAGGHTWRVTYYPRGDKKENNNGDHFSLFLKLDSKSKDVKAIFDAFLMDKDGKPLLLLPRDACSERDLESSYVVDGKFRIMCVVIVLHDDRAPVPSSDIGVHLGRLLDSSDGADVSFMVDGETFPAHRAVLAARSPVFKAELFGSMVESKMSCITLHDIEPETFRSLLQFIYTDELPEDCTELEGSSSTTTARDELFKKLLAAADRYDLSRLKLMCEQKLSETVSVDNVLPMLVFAEMHNCPDLKRRCLDFVLAEKNFEEALVSLNMLASGFIEYKLNYLQTQKLAIEEEWLPRTRISAGEHNGTIMCYPRGRVGYKGEHISLFLTLNQIDPKFTVVFDVFLIGRDGKPSSKYGQRSGDVIESSGGSRYIGWNRFVKRSDLDPDFVADDGTVTFVCGLIVLRSDDPIIVPPSNLGGQLGAMVCSTDGSDVSFNVGGETFHAHRAVLAARSPVFRAELFGSMVEATMPCITLRDIESLTFKALLHFVYTDELSSLLNSVDLLQGLLAAADRYALDRLKLMCAKKLWELTSVETVAAIIVCAETYNCTELKRRCLDFFMAKDNFNKVVVTKGYFHLGHSSPAIIEEIKARLENLKLKP >LPERR08G17770.1 pep chromosome:Lperr_V1.4:8:18070398:18071618:1 gene:LPERR08G17770 transcript:LPERR08G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDSGFMELEVDFSATDMLSDVFFFGGYEWREDDNYGYLSVGLDLVVSKSKNIKAILFDVSLMKKDGEPSSHHAKRNDLSRVDMLRFIYTDEIPEDGIEFKKLLAAADMYDLSRLKLICAQKLWKTVSVDNLATTLVYAEMHGCPQLKKRCLEFFVQDKNFEEIVLTEGNLNSFSPLSSSSSGDMR >LPERR08G17780.1 pep chromosome:Lperr_V1.4:8:18072372:18073235:-1 gene:LPERR08G17780 transcript:LPERR08G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQGHVEFNVDCSPGAAVPHGVVHLGDHHRWLATIYPAGVEENGGEFVSLFVENLTKSNNGTAILDATVLRDDASECFIAASGNRIEADPVKRGIWGWRDLVGVSRLRQERRRFKVVCLVAVVVVFSQHRDPPRSFAVAIAGGGAPDIVFIVEDKTFTAHRAILAARSAVFETMLTGDTTPTTAIAIEDMKSWTFGAMRYFLYTDELPESAEFADVEPPGDIKLMSYESPLDFRFGDSMMQRLLDLLAAADRFAIDGLKLASADRLIGDITSETVSEMLVYAELYG >LPERR08G17790.1 pep chromosome:Lperr_V1.4:8:18073376:18073673:1 gene:LPERR08G17790 transcript:LPERR08G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRFCFGHYPSRRPNCLCTKKLLDFVVLSESVRLPGDKEEVRWLPRRQRVHSPDAGLPFGHGLMDEIGAVSETMRAVVAEFELEEAN >LPERR08G17800.1 pep chromosome:Lperr_V1.4:8:18073744:18076594:-1 gene:LPERR08G17800 transcript:LPERR08G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWAGQAEGWIRQQPPEQIYIAVAVVAVTVLLLVVGVSCLKSSKPNTIVLSGLSGSGKTILFYQLRDGSSHQGTVTSMEQNNDTFVLHSELERRGKTKPVHVVDVPGHARLKPKLDEVLPQAAGIVYVVDAQDFLSTMQSAAEYLYDILTKATVVKKRIPVLLFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVAEGAGLTVDRASFKWADITALALVNLGPSFRVPNLGDGPESLLVYLPGTYN >LPERR08G17810.1 pep chromosome:Lperr_V1.4:8:18081653:18089252:1 gene:LPERR08G17810 transcript:LPERR08G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEAAPMARPPAARIAVPSYSLPTPRHADDVARHRHSQSELGCFHSGGGCGGAGALPEFVGEGGGEGIYRVPLRAAAHPARPPAVEVRPHPLRETQVGSFLRTLACAGRGRRRGQQLWVFRESVVPVEPVLCVEADGANGVVWTGHRDGRIRAWSMDLAAGGGGEDEAALTWQAYSRTPVLSMVITSYGEIWAGSEGGIIKAWPWEVIANALSLTPEEKLVAELRIEKFYIDLRNNGNISSFPAADVKHLLADHSRAKVWSLSSMAFAIWDARTRELLKVESARVEAPVMPEQFIEEEIKVKPVKKDKPQSPFAFFQKSRNALMGAAGAVRRAATKGTFVEDNRRIETVVQAMNGTVWSGCTDGSIILWDGNGNRLQEFQQHCSSVQCMKALGERVWVGYASGIIQVMDVEGDLLAEWTAHSCPVIQMAIGGSYIFSLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRIENIKMLVGTWNVGQEKASYDSLYSWLGGAFSDVELVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRSLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVGRRNADFDHIYRTMTFNKPHGSAASTTSVQLNKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLQAEMRAGRVFQGMREGVIRFPPTYKFQRHLAGLAGYDSGEKKRIPAWCDRILYRDSRAVLTAECSLECPVVAKITSYEACMNVTDSDHKPVRCAFSVDIARVDEFIRRQEYGKILESDKRIRSLLRESHFVPETIISTNNIILENQDHVVLRITNDSQREMAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEIEPSVGLIEPGETMEVTVHHEDYYTQEVFVNGVLENCWCEVTRDKEAILLVNVTGSTSTETITHRINVRHCCSTISAHSPINPLSIATPSTDALLGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPM >LPERR08G17810.2 pep chromosome:Lperr_V1.4:8:18081653:18089252:1 gene:LPERR08G17810 transcript:LPERR08G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEAAPMARPPAARIAVPSYSLPTPRHADDVARHRHSQSELGCFHSGGGCGGAGALPEFVGEGGGEGIYRVPLRAAAHPARPPAVEVRPHPLRETQVGSFLRTLACAGRGRRRGQQLWVFRESVVPVEPVLCVEADGANGVVWTGHRDGRIRAWSMDLAAGGGGEDEAALTWQAYSRTPVLSMVITSYGEIWAGSEGGIIKAWPWEVIANALSLTPEEKLVAELRIEKFYIDLRNNGNISSFPAADVKHLLADHSRAKVWSLSSMAFAIWDARTRELLKVESARVEAPVMPEQFIEEEIKVKPVKKDKPQSPFAFFQKSRNALMGAAGAVRRAATKGTFVEDNRRIETVVQAMNGTVWSGCTDGSIILWDGNGNRLQEFQQHCSSVQCMKALGERVWVGYASGIIQVMDVEGDLLAEWTAHSCPVIQMAIGGSYIFSLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRIENIKMLVGTWNVGQEKASYDSLYSWLGGAFSDVELVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRSLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENANGNQVDEDIPEMAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLQAEMRAGRVFQGMREGVIRFPPTYKFQRHLAGLAGYDSGEKKRIPAWCDRILYRDSRAVLTAECSLECPVVAKITSYEACMNVTDSDHKPVRCAFSVDIARVDEFIRRQEYGKILESDKRIRSLLRESHFVPETIISTNNIILENQDHVVLRITNDSQREMAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEIEPSVGLIEPGETMEVTVHHEDYYTQEVFVNGVLENCWCEVTRDKEAILLVNVTGSTSTETITHRINVRHCCSTISAHSPINPLSIATPSTDALLGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPM >LPERR08G17820.1 pep chromosome:Lperr_V1.4:8:18088282:18093305:-1 gene:LPERR08G17820 transcript:LPERR08G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAFLLLSLTAAAAPAMAAGGACAAEKFTNNRVYAACSDLPHLGAAVHWTYDAAASSLSVAFAAAPPSKGGWVAWGLNPTGAGMAGTQALVAIPSKEGGGAYEVQTFDIQGYSLDAPGKLKFPATDLAAEVAGDGKVRLFGTLTLQNGTGEVNQVWQVGPTSGGSMMPHAMGNENKAATGKLNLVTGVSSSSGGAVGSNLKKKNTHGVLNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQIIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGIAVFALGTLQVFALFLRPKPDHKYRVYWNAYHHSVGYTVIILGIINIFKGMSILNVEQKWKTGYIIAISILGGIAVILEVVTWSIVLKRRKEEKKSYNGASNGHLPLSICFAQ >LPERR08G17830.1 pep chromosome:Lperr_V1.4:8:18101652:18102452:1 gene:LPERR08G17830 transcript:LPERR08G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHVTLLLVAVATAVIMRPASAATCAGEKFPAGKTYASCKDLPSLGASIHYTYDAEKSSLSVAFVAAPASSSGGWVAWGLNPTAEGMAGTQALVALKSGGGSSAPVVKTYNITGYVPLGGASTPIAFPATELAADEVGGKIRLFGKLQLHKGMKSVNQVWQVGSSVTGGAPDKHAFAAANLASKAKLVLAGGKAAAASSPAGEPAAAPIAGGPSPSAAGAGGGGAGSDSSSAVAPSAGKNAAVAAGVSAPVIAVMALVGFFLAIV >LPERR08G17840.1 pep chromosome:Lperr_V1.4:8:18105834:18108185:-1 gene:LPERR08G17840 transcript:LPERR08G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLILFRVSLRSCDDIPHWIVNGGSDYFIYRIDTPLLSESATPHRDPKLEITRKQVQQDDVPNED >LPERR08G17850.1 pep chromosome:Lperr_V1.4:8:18109250:18113165:-1 gene:LPERR08G17850 transcript:LPERR08G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSGRGGGFGSLDCRPNMGEPNISDGAVMQDSEMVDGNEMVQGSEMVNGNETVQGNAIVHGNDAMVQGNDMAHENDEMIQGGEMIHGNDMLHVDDMIHGNEIVQVNEMVNGDEMALFCTRDWLQYAPAAVPEAPSTALVKTET >LPERR08G17860.1 pep chromosome:Lperr_V1.4:8:18123349:18125873:1 gene:LPERR08G17860 transcript:LPERR08G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAGGVGGGDYISSLLNSSPRLDFGLLSDGVVSGAGAGGGGDCLEKLCGDPGFAERAARLSSFNGYRYGGVGGDGGLFGMPPPAPAAAAAGAGGSREASSVSDPASAMKDAANGKKRKATNAKGKGQVGEERELSDGKRSKMNEIDKKENPVKPKAEQAGSDSSVEDGGGAGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMYQACGPSASSVFSLESSNSAFPFAEQGDVFQQFAPNSMESQCTLNQLDLALSQATNGQYAFQDGTTSANLQQRNFWDDDLQSVFHLENGQSQDNGVSVPSFHGQLQAGHMKMEF >LPERR08G17880.1 pep chromosome:Lperr_V1.4:8:18151091:18152442:-1 gene:LPERR08G17880 transcript:LPERR08G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHGAAAGLGMVVRMGPCGGDGGGRRDMDMRGVERVVRVAVRHGDAVDAMSVLYERNGHEEWTDLWGGPGGTLSEICLQPGEYLTSVAGHYGRLDGDLVVIRSLTFVSNMRSYGPFGEEDGVAFELPAAGGKILGFHARSGRRLDALGTYVKIG >LPERR08G17890.1 pep chromosome:Lperr_V1.4:8:18153582:18156355:-1 gene:LPERR08G17890 transcript:LPERR08G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMAIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVKTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRLAFGLVTLVHTKIQSKRRIVVGNEEWRQNCFALLPEKFMPPACDVLANYTEALYIRFISDN >LPERR08G17900.1 pep chromosome:Lperr_V1.4:8:18158552:18158883:-1 gene:LPERR08G17900 transcript:LPERR08G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNVQAQIGRSSSGEEQETPGGGISRPATERRMVIGSRAPTCTYNECRGCRHRCSVQEVPVDAGDPMNSAYHYRCICHI >LPERR08G17910.1 pep chromosome:Lperr_V1.4:8:18169123:18177346:1 gene:LPERR08G17910 transcript:LPERR08G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEMPPAGADDMMMGEDGMGDFGGEDGPVMKVGEEKEIGKQGLMKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPADLAYGVAGSPPTIPPSATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDLDEVFVKYEARLEDGTVVSKFEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGESGRPTAGEEGAVPPNATLLIDLELVSWKTVTEIGGDKKILKKVLTEGDGYERPNEGAVVKVKITGKLQDGTVFSKKGNDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNAEKIETAGAKKEEGNALFKLGKYARASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYIQLADLELAEVDIKKALEIDPDNRDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPSEDSKAESKQESEPVSAA >LPERR08G17910.2 pep chromosome:Lperr_V1.4:8:18166912:18177346:1 gene:LPERR08G17910 transcript:LPERR08G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEMPPAGADDMMMGEDGMGDFGGEDGPVMKVGEEKEIGKQGLMKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPADLAYGVAGSPPTIPPSATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDLDEVFVKYEARLEDGTVVSKFEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGESGRPTAGEEGAVPPNATLLIDLELVSWKTVTEIGGDKKILKKVLTEGDGYERPNEGAVVKVKITGKLQDGTVFSKKGNDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNAEKIETAGAKKEEGNALFKLGKYARASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYIQLADLELAEVDIKKALEIDPDNRDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPSEDSKAESKQESEPVSAA >LPERR08G17920.1 pep chromosome:Lperr_V1.4:8:18175366:18179595:-1 gene:LPERR08G17920 transcript:LPERR08G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVHKSGGGGGEGGSGGGNHHLVCHACGYQYPNAHPSAKQRRAHRKNCGNNPPSSPAAAVAGVEEEKLLRDGGGGGGEGDGASAADSGGVLLGSAEKVGNVADDDGNAERSSPQVNVVQIVHSKCTEDCVVSGELNPSGNDSKASGTENNEIQNEAVTRLPENLPHFDDKHPSESAISSDQCQDGTSLLVPEQKDGETLNFEFSADEISKSNVVSLETVAALSKDGIGNNEDDFSFLERPKTVEEDTSVEDSDVVSKEQIPCEETVSSMEQSSVMFTNSMDDVSNSAKEPINLLGDKVSCVEKHVCLDEASSNDLSQLASGASHSDAPDTDKPQHQDDCATQTPDQLIIPKEMDTDGGLQFPDADVNIKALSGAVGHADEDKTAVNFSKNVCAPHSTVEGDIQDSVRQTSDMTLMPPQVDLSEVSTSSTSHDIDKITNEEGIDERSPDVNLTSHEVNEVHGIDVEEIPQNEDNTAYNDPQECNTVCATCDFEENIQNEEIIAEVSPHKITTVQGTCNVEEKEQIEEFDGSRDIEETRQSDAHVETSDKINVPIEETKQSDAHVETSDKINVLSSLENVEEEQKYKEITADPSLEINVQLSKVDVETTTDRTAYEVNTVNITENVEEKKQNEEITMDPTSNINMICSTATDEKKQNDEISVGPSSDETIVPHDKYNVEENNEETVLVSKPNKINVVGTTDSVEDKNQNGEVTFETTSHEDSAICIHTIDNVEEKKGDELSPDSTSHQLDMESSADNVEEKRQTEDITTDPSSHESDTLNIIDGAEIKKQDTEVAADPAAGKFDVPQSTDDAEERKETVSTHDLKVHNRTENKEQDAEFAVKPASDKTDDPQSVDDTEQTKQKEETVSTDDPKVDDQNEEIADKEVIVDSDKNHISLKSLLTEKAAETKEKKPSTKDRVLSFRRRVSKDGASPVKPGSPKAAAVSGQQDWNSPARLPAEKKPKAKKQQWVPFICCHSMN >LPERR08G17930.1 pep chromosome:Lperr_V1.4:8:18181245:18193645:-1 gene:LPERR08G17930 transcript:LPERR08G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRSEHKDLLKKEKHKEKKHKKERRGRERKEKNSNHRKDKHRKKHKREKHKDKRKSKDGDRYKNQISEKETQESAYIDNGRPKEKVQHEAAKDIKPANDLVTQSIDQGHHASHTGKLLLQSTKSYGSAGSKEKKRSLSSVVKKSRRSTHLNHGMIQQNYNVAYDNKKWKKPGLGSKSQVQNRKNHQAGSVEKHSNRKHSHNRMDLPQRSAEGTSTITTVVSGAERAPNDVITPSPNSLQQTGQVDQDPVVSSLFPYRKSGSMSPRGLMEIRNGSNDDFHIGMNQQLVQSKDVAVKGKGKTKELKTNDHKYVEDKDRDRVVMKRKAKVKTREIEKIGKVDVYKQEHKKLDSFRASNDKTDGLLQPGQLNEKFTSGDVKKRKDFDANSSLVTEHSVRVNKLPRISPINPHANGEIFEHSQGSEPSSSTVPVSTTAYEADVIQDSKECYNNGVTGSHHLKEPKTSVSSSKYGSNQVSLKPPHPDTKYLNQVYSIPAMDDCSDYIDQDWLLSRDSVERRSEILEAAQSTQVWAEAQLIDSADLAAKEIDRDHSWATGLESKEESLWFISFKSILHFSKLVLFSHPLPLLPMTLFSQCKRKR >LPERR08G17930.2 pep chromosome:Lperr_V1.4:8:18190730:18193645:-1 gene:LPERR08G17930 transcript:LPERR08G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRSEHKDLLKKEKHKEKKHKKERRGRERKEKNSNHRKDKHRKKHKREKHKDKRKSKDGDRYKNQISEKETQESAYIDNGRPKEKVQHEAAKDIKPANDLVTQSIDQGHHASHTGKLLLQSTKSYGSAGSKEKKRSLSSVVKKSRRSTHLNHGMIQQNYNVAYDNKKWKKPGLGSKSQVQNRKNHQAGSVEKHSNRKHSHNRMDLPQRSAEGTSTITTVVSGAERAPNDVITPSPNSLQQTGQVDQDPVVSSLFPYRKSGSMSPRGLMEIRNGSNDDFHIGMNQQLVQSKDVAVKGKGKTKELKTNDHKYVEDKDRDRVVMKRKAKVKTREIEKIGKVDVYKQEHKKLDSFRASNDKTDGLLQPGQLNEKFTSGDVKKRKDFDANSSLVTEHSVRVNKLPRISPINPHANGEIFEHSQGSEPSSSTVPVSTTAYEADVIQDSKECYNNGVTGSHHLKEPKTSVSSSKYGSNQVSLKPPHPDTKYLNQVYSIPAMDDCSDYIDQDWLLSRDSVERRSEILEAAQSTQVWAEAQLIDSADVVALPYVVPL >LPERR08G17940.1 pep chromosome:Lperr_V1.4:8:18198909:18200345:-1 gene:LPERR08G17940 transcript:LPERR08G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHCNAVDAAAKGIKPPPPPQHQQQLLMVRRVAASGKLLSAASSHLLFRATLLATLSLVFLFTLHYPSLLSHSFHLSSSPSSISSNTLPASRSSSHRILLGSSPSWSDVLRSATPTRRRDGGAGISVLVTGAAGFVGAHCSLALRARGDGVVGLDNFNAYYSPSLKRARASLLASRGVAVIDGDINDGALLSRLLDAAPFTHVLHLAAQAGVRHALRDPQAYVASNVAGLVSVLEAAARRPHQPAVVWASSSSVYGLNDKSPFSEDHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFAFARSIVAGEPITLFRGARRDFTYIDDVVRGCLGALDTAGESTGGGVRGGKKRGVAPLRIYNLGNTSPVDVARMVGILEKLLGRKANKRVVTMPSNGDVPFTHANVSRAARDFGYRPTTSLDAGLRHFVEWFVDYYKIDLAKIAKPTKRKSMAMSTASS >LPERR08G17950.1 pep chromosome:Lperr_V1.4:8:18205363:18206709:-1 gene:LPERR08G17950 transcript:LPERR08G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTARSRKRAHDGSGQKVTVINLETTTPVVDTGNQHQAPSLMGTRTSPIDVEALDDKMRSRKIMRRYVPIVDLENNTSQGGYGVAGAMGLWSSNCQGAPPVICLSPDREEGTSIQPKNVAQISRTCAKVAPKEPIFTCPVCLNKLEQPSTTKCGHIFCESCIKQSIKAQKKCPTCRTSLGMKSFHRVYLPTSAD >LPERR08G17960.1 pep chromosome:Lperr_V1.4:8:18208802:18211364:-1 gene:LPERR08G17960 transcript:LPERR08G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQKKNAHVPKFGNWDNDGNVPYTLYFENARKGKVAGAGAGKIINPNDPLENPDAFSSFATPSPSRDAPPPPYAGSSPYHRHAAAAGETPARRGRTSGGGGGGYSVEQSPSPSPLHPYSQSRAADYSVESAAAAGGLVANSRAKGAPRGNETPTRGSAVPRFGDWDSNPASADGYTHIFNKVREEKQTQAGKPPGIAGKDGAAGHGGNVSKQHLEDDYASTKCSCFGWCK >LPERR08G17970.1 pep chromosome:Lperr_V1.4:8:18222014:18227774:1 gene:LPERR08G17970 transcript:LPERR08G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAGAMQREGSAKDWEEFDPSPSPKLAYSQSYVAMRVLLTSVASLDPVLVSSSLKSAWAAISSHKHARSLERSRSKGLSCKRAILHLLLCFMVGIFIGFTPPFSVDLPEKIASENGRLPFDGDVIERKMVEQQGTKLEPFVVEAESEASDEPQVEESPPVPAMLDDEVDFVETSRIVHSVNDSGIVMRKQLIVITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSCETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVILEGPLCKGNQVTGWHTNHLRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCARVMVWNFELEPPQVNYPTGWLLQKNLDAVVPIT >LPERR08G17970.2 pep chromosome:Lperr_V1.4:8:18224140:18227774:1 gene:LPERR08G17970 transcript:LPERR08G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAGAMQREGSAKDWEEFDPSPSPKLAYSQSYVAMRVLLTSVASLDPVLVSSSLKSAWAAISSHKHARSLERSRSKGLSCKRAILHLLLCFMVGIFIGFTPPFSVDLPEKIASENGRLPFDGDVIERKMVEQQGTKLEPFVVEAESEASDEPQVEESPPVPAMLDDEVDFVETSRIVHSVNDSGIVMRKQLIVITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSCETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVILEGPLCKGNQVTGWHTNHLRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCARVMVWNFELEPPQVNYPTGWLLQKNLDAVVPIT >LPERR08G17980.1 pep chromosome:Lperr_V1.4:8:18230624:18234671:-1 gene:LPERR08G17980 transcript:LPERR08G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQQQIASGWRAPGAGLLGPYPQANTAFAPPQPYDELLVVVAVKTIGRVRKARWEEQHTEETWREFRVPLSLHSIDHGKLGQLMRNPITTPISKLEFKEEKLTQRSCISIRIGAIADRC >LPERR08G17990.1 pep chromosome:Lperr_V1.4:8:18244446:18248382:1 gene:LPERR08G17990 transcript:LPERR08G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVSGRKRSVMDRIGSGGGGGGSRPRPDAAKRFRQADGTWRRELYMDSVGVQTGPASRNTQANQRPHLHQSTEVLRKSSLPDLREKLSGIQRPQLNSTVQIPKPAQEITKSAKPVQKREPVQKREPPATAALPATKKVNEPSAPKQSQEKVVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKSSKLLPTS >LPERR08G18000.1 pep chromosome:Lperr_V1.4:8:18249180:18257156:1 gene:LPERR08G18000 transcript:LPERR08G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFVHALLIEDSYSNLAPKFEGEEKKLKRFREKSELNVLKHQMKQEITFKERGLMPRRGNQKSQQQLEDIRIVELYKSKQDGQEKERGRIQNQLQEDKRERIRAAKELMEAKRTLEENQRKRVMESRKADQEEEKRARERIRQCIDDDKVERRRRLGLPLENTAAPVPTITPTKIKPAEPAVSSEQLRDCLRKLKKNYKDDTARVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGSLQGGVEFLELCGFMKLTISGYLVIPKDKINMALLNAAGVEVASAMENPYFGLLSK >LPERR08G18010.1 pep chromosome:Lperr_V1.4:8:18264978:18265772:-1 gene:LPERR08G18010 transcript:LPERR08G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPTTPAAAGSCNLRDLLKLRDEDDLASAGRRAAVTLASAMAAERLPPPPPPPPPLTSSSSVSVAAAAAVARTLLDIIRDDQLPTSSGDGSAGDPLVRRAVSLPAPVTASPPATEATTPPLPPPETQLPSPAAATESESEQGERVSLMALLEQTERQWSATVRRDESPELTSSAGIAESAPESAEETETEAAAGRGCCCVCMARAKGAAFIPCGHTFCRSCARELLAGRGRCPLCNAAILDVLDIF >LPERR08G18020.1 pep chromosome:Lperr_V1.4:8:18266834:18271502:-1 gene:LPERR08G18020 transcript:LPERR08G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKTSTRPREPGENSPRKASRLDMPAAIVPLNTWPEVRDPNQKAVAAAETSETDMEFCPHFGYFEDDMLAFMSQLRSCNYAPRCGHYMCENKVEKSSIFVCVKCELHFCIGHGNKHKPQGHARWHANLEQHYIFALFNEPEILYCMKCEQRMDMERDMEPESDSSDNSDTSDTKGCGHFLLGEIDFALTVSRITASTSVPVCQYHGCGITGKNRIMVCTGCNKHFCTRAETKKRPQGHARQHARQNEHWVGLWYSNPYMGYCFVCEFELNPGESFVEQGMVSGKEAFGQQSIVKQGMVSGKKAFGQQSGTLGALMVKGMMSGKGLFGQQSGTLGAPNVEQGMVSGKEAFGQQSGLVKGHGWPIKGIPNLGNTCYMNALLQCLFVLEKLRAKMLAPDAPWEIIGMALKRLFEDDNNVDNAQDTIVPLKFLACVRISDEKFVGGGMHDSHELLTFLRTELDKEEKSMMPAVATTVIDSIFAAQLSVTISCRRCPYSSASHEIIHDIQAPLPSKMPPPKSTASPPRNISCTSREKICVKLFPKVDMSNTEIVQAIAEGNDSHITGLELGDVAMEKTSEPLEVDSTEVEQSPQRKDGVHVLSQTPKDKVPGEIVQMPTKAGDLGQYDSAGIDNTSSEPEAKQNTFSVEGTAEDKGKAQCSDISGDNNSFASIEECLALHFEPELLEWKCQNCFKVDHHSSATSSKDGEQMMASTNENTIIDGDQTEQSDKIACQSEQSSSLGLECFSSRQPHGSDSQRQAMLTVDSLTEGNSTNPPVEPSHKEITSGMISDDNIAKKKTEGLEGVEETVPSFLPSDEPANQLIDQSQNASTIYQHEGKQVKLDHSADQVDASQKEQEDRNQGGIKTCRISKLPPVLTIQLKRNLGERGKVREHVSFEEILDVGPFMDPSSEDKDNSSYLLVGVIEHIGPSSDVGHWVAYVRKSRRQPDGGSSSWYCASDLNIREVSLEEVLSVFIAQSIQEDWTADDEQTFRGKIKKKRREEQM >LPERR08G18030.1 pep chromosome:Lperr_V1.4:8:18273339:18278653:-1 gene:LPERR08G18030 transcript:LPERR08G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGDILKLSCGENELPSCSIANKKPECLEGAQADVSGCHPAEKLSNLLSGQCQNASIEDQGRGKEINLGQIAHQVEENQNDRHDRNEVAIQTHLISKLPVVRGWKAKIPPPRHWSPTNNLSLPFRSPNPLPSLRGFSNFLSASSSSRDAAAAMLDPPTPRVLRSCGGGVMGEESAMARETGNGRIPQKSPYLKPPPAEVNNPEQKAAAAAAPTTNIDERICAHLAPFKNDFPRFISGVRSASKCAPRCAHYLCENKVEKGSILVCIYCMLHFCIGDGTKDKPQGHARWHANLEQHCVGALLSDPGTLYCFLCECTLHLDVSNMQQRQHVSCDKEEISCSSSRNVRKCTHACDKDDVATIVQLIESTVDAPMCDEEMCQITDGHNMMMCLECNWYYCIGGPANKANPQGHIRQHAFLEWHWFALWYDDPYAGYCFACEDSVIIGGKESKEGLTVNGKANCHAPGSANGDDCVIREILNPGNTCYMNALLRCLLVLGKLQARMFGPDVPLGILGTILRGLFVDTNSASHARGLLNPKLLLACVRRFDSWFIGTSMQDSHELLCCLRDKLNEEEKIIRPPKMKKVASTSVAPRSTIIDSIFGGQLSVTTSCKCCLLKSYSCDVFYDLSVPLPPKGTPSKRVPASPPQNKVTSEDKGKTQSHDIVNDEAEDINSLASIEECLELHMKAEIKEWTCENCSKVAQKASTTSGKDGEQMISTNVNRTLDGDQAEQSDCETCQSEQSSNLIRLAVECSSSTSQPHGSDVQHQVIPAVDIKTNGDTSGISCVEKNLSSCSIADKKSECFEGVQEDVSSCRLAEKQDNLLSRQYKNISIQDQGRRKQVNLGHNARQVEENQDDWQDRNESAIQTRLISKLPPVLVIQLKRSLGPLEVSEHVSFKEILDVEPFMDPSSEDKISSGYRLVGVVEHRGLGNDIGNCVAYVRANHQQRGSGSSSWFCATEFDVNEISLEEVLKCKAYLLFYERMEG >LPERR08G18040.1 pep chromosome:Lperr_V1.4:8:18279861:18283717:-1 gene:LPERR08G18040 transcript:LPERR08G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETREGRSPQNPPESGCGDTAASSNAEGWTCAHWDHFQAGMPRFILKLRSSKRAPRCEHYLCENKVEKGSILVCIGCKLQFCIGDGTKDNPQGHARWHFNLEQHCVGALFSDPETLYCFLCEHRLDLDASNMHRRHVSSEKEETDCGWIYWALLQAISIGTERERCEHFMSDEDHVSYIVTGIRLCEYVPVCGACTSNIAGEVCFMVCLECETCLCANHACLHAVEDQHWVALYHEAPNAVYCFACKQAYGIGFKEDDEEMTDNGEVPHEESQPTSVSWRTMGNSLPQIDHVSDGLANWNAHAIKGILNLGNTCYLNALVQCLLVLGKLRARMLGPDAPSGTLGTVLHDLFEQTYGVDSTRRSLLDTSSLLDCVRRLDSKFEGAFMQDSHELLCCLRNHLYEEDMMMSPPNMQDGALSAVPPTVFHSIFGGQLSSTKLCKFCSLKSVSHVGFCDLSVALPLKGSLSKSKIAVHGPLRTPKDKVQGKAVDFLPQNMLPDVKVEELDLTKTDTHVPEDIGPPPPVSPLSEENARIKSGSDVGKNYSGVLDDAFNKRKISSEAKTNTSSEEITTENKEKTHSNDLVCDKAQYINSIASIDECLELHFKAEMMGWTCENCSKVAQKPGTILGKYSEPMMSSTTEDIADDGDQSEQSEKIACQSEQCNKKTGCHEGVQEAVPSCVPAEKQANLLSGQNKNATILDKGRAKRVKLHHSAHQVEENQNEKKDKCNGAIQTLISKLPPVLVIHLIRNLLTHKVIGHVSFKEIFHVGLFVDPSSEDKDNLSYRLVGVIEHCGPFNDTGHFLAYVRASPRQQTGGSSSWFRASDDNIKEVSVEEVLKCEAYILFYERVEG >LPERR08G18050.1 pep chromosome:Lperr_V1.4:8:18285782:18316030:-1 gene:LPERR08G18050 transcript:LPERR08G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIKLLGDSQIPASGLEDAIMVKTSELQKGGPQTCTVSQKSEHRMSERSKVTRAKLKPNILDSADSTKVEQISQSKDAVKVVDVHPQKVFHDVKVEEMDAATADSCIPAAPPPSVSPPREEDALMESGSDVEKNETAVKPEASTKDKMVTSSAKVTTEGKGKTLIRDVVYDKAQDISSVVSIEGCLELHFESQMVEWSCVNCSKAAEKPGIILGEYSSKEDMIVREQSEHSERITCQSVDRMEVEGASSSRQPLGSDSQHQVMLTADSITKGITPGTISCEQDLASENTHNKNSEFHEGVQEPANELSEQGQNASTLDKHKGKQISIRGSNADQVDANQKEREGRNQGAILTYRISKLPPVLTIQLQRNLAGAGKAREHVSFEEILDVGCFMDPSSEDKDNSQYRLVGVIEHVGLGTDKGHFLAYVKPSPPQQTNGPSSCFRADDAIITEISLEEVLNYFSAMGSVVSSAYSALCSFIDAQIQKAEDAYLMERFPELRDLPAAKRKKRLRWLRYIIMGRKNKVVVEEEKLGWWAWLMGRRPETRAWVKEKWEKRCKSYLLDAMEEGKRARASAAAAADASGIPRKSPRLEEPPAADAAAEVIGAGTERCEHFMSDQDHVDHILAGIRLREVDPVCCDYTCNIAGESSILVCLECELRLCNSHCCLHAMEEEHWIGLCGDSLTMVYCFKCEKSYDMSVKDDDGGMTDDDDVWVEEIRLTSVTRWMMGESLPQIDHVSAGLANWNAHAIKGILNLGNTCYLNALVQCLLVLGKLQARMLGLDAPSGILGTVLSDLFEQTYGVDSARRSLLDTSSLLRCVRRLDSRFEGTFMQDSHELLCCLRNRLDEEEMRMNSSKMQDGALSAVPPSVFDSIFGGQLSSTKSCKCCSFSSVSHVGFCDLSVALPSKGSPSKSLASPSWTEGHKCQQKIHTKLFPAIDKSNTQKKMWSLFSDSRSPSSELEDVVFVKISEPLRFDFTQTEQISPSKIAVSVPLQTLKDKVQGKAIDFLPQNMLPDVKVEELDLTKTNTHIPEDIGPPPPVSPLREENPWIESGSDVGKNDSGVLDDVFSEPEISSEARTGTFSEEFTTENKEKTCSSDLVCDKTKDINSIASIDECLKLYFKAEMMGWTCENCSKVAHKSGTILGEYGEPMMSSANEDTAVDGDQSEQSEKITCQSKQSNKRPECHEGGQEAIPSCVPDEKQANLLTGQEQNATTPDEGGGMQVKLPHRGHKTEKNQNWKKDRNKGSVQTLISKLPPVLVIHLIRNLWPHNKVIGHVSFKEILDVGLFVDPSSEDKDNLSYRLVGVIEHHGPSPNVGHCLAYVRASPRQQTSGSSSWFRASDDDIREIAVEEVLKFLQFDRNRTYQLRAFNAMAVWKSPKRRVRAVEIAEDPWKGKAPRLELPAAVVEIGSSSGGQTALDASDDPRDSVAAMAIRDTDGERCKHINFTTDEIETILSTIDAEIARAPICEDEICMAKGSRLVMVCPECDWYFCIGGKANRAKPQGHIREHAFEKAHWVALRYKDPYEGYCFQCEDSLTIGDEEGEEGLMVNGDDEHECIIRGILNLGNTCYLNAVLQCLFVIGKLRSRMFRSDAPSGMLADILHDLFVDTNSVSYNTQGVLDPRCILACVRSLKPEFRGTFMHDSHELLCFLRNQLNEDEQIMRHGNMKQGAPSTVAPTAIDSIFCGQLSDTLSCKRCSVKSVSHGAFYDLSVPLPPKGTPAKSVASPSRNEKCISQQKICTKLFPAIVKTNTEKICTISETASESEDTVMVKTSEPLKVDSNHLEHFLQSKDDAHGPLQAPIRKENALIASNCDVAKTNSAVLGNAFNGPENSIDAKVDNFLVQVTAKDNGKARNSDVVYDEAVDINSFASIEACLELYFKAEMIERRCENCSMVDQKESTISGKDCEQAMACTNVNRTIDGDQAKQSKRKTCQTEHSCDLIRLDVECSSSSRQPHVSDGQHQVMPAVDMKTKGGILEMSCENDLPSCSIGNKKSDCPEDAQEDVSGCCPAENLSNLLVGLCQNASTQDQGTGKEVNLGDSTQQVEKNQYDQHGMNEGSIQTCLISKLPSILVIQLKRNLGPLKVSGHVSFKEILDMKVFLHPSSEDKNNSSYRLVGVVEHQGLGKDLGHYVAYVRPSHPQQTNGSSSWFCASDANVREISFKEVLKRAARLRPGRFSRALLEFSDAMEDEKRARAGDGDSAGSPRKGKAPRIEPDAADDSGWGGDASSPEVSDPADREPAVAIRGTDGEHCKHIAYGINMDDIAGIVSKFASGGAPECNDETCQTARRDLMMVCSECDLYFCIGYRPDNRTKPKGHVWEHAYLEGHWVALWYEDPFVGYCFECEDSLAIVSEEKGEKGPMVNHEEGSRASGIGCSGTVLSSEVSGPRDRVPAVAIRDTDGKQCRHTACDINMHYISGIVSKIASGGEPLCKDDTCRTTRRHLMMVCTECDLYLCVGRKSGNRAKPRGHMQEHALLEGHWVALWYEDPYTGYCFDCEDSLTIVGAEKVEKGTVVNREEGSRASGFANRQGCVIRGIPNQGNTCYLNALLQCLLVLEKLRAVMSRPDAPSGPLGGVLRDLFDDTSSVSYTRRILDPMDLLACARMLNPRFEGNLMQDSHELLCYLRDGLNREETDVRPGHMQQGAPTDVAPTVINSIFGGQFSETKFCKCCSFKSVTYALFHDLSVPIPPKGTPAESVASPPQNERRMPQQKIHIKPFPAVDKANTEKIHTLAKFGDPQVSASDSEDIVMVKTSEPLKVDSNTLKQIGQCKDVVHCPLQTPMRKENVLISSGSDVERTNNAVLDNAVLDSIRSEDSTEAKMDTFSAEVTTEDKEKGQNHDIVHDEAEEINSLASIEACLELHFKAEMVELKCENCSKVAQKESTVSGKDGQQTMACTNVNRTIDGDQAQEDVPSCCQADKQANLLSGQGQNTSTQDQGREEQVNLGHNAHAVEENQYDRHAKSEVAIKKCLISKLPAVLVIHLQRNLNLHKVIGHVSFKEILDMALFLDPSSEDKDNSRYRLVGVVEHEGPGSNEGHFLAYVRPSPHQQADGSSSWFRASDCEIREISLEEVLKCEAYILFYERMGG >LPERR08G18050.2 pep chromosome:Lperr_V1.4:8:18296795:18316030:-1 gene:LPERR08G18050 transcript:LPERR08G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIKLLGDSQIPASGLEDAIMVKTSELQKGGPQTCTVSQKSEHRMSERSKVTRAKLKPNILDSADSTKVEQISQSKDAVKVVDVHPQKVFHDVKVEEMDAATADSCIPAAPPPSVSPPREEDALMESGSDVEKNETAVKPEASTKDKMVTSSAKVTTEGKGKTLIRDVVYDKAQDISSVVSIEGCLELHFESQMVEWSCVNCSKAAEKPGIILGEYSSKEDMIVREQSEHSERITCQSVDRMEVEGASSSRQPLGSDSQHQVMLTADSITKGITPGTISCEQDLASENTHNKNSEFHEGVQEPANELSEQGQNASTLDKHKGKQISIRGSNADQVDANQKEREGRNQGAILTYRISKLPPVLTIQLQRNLAGAGKAREHVSFEEILDVGCFMDPSSEDKDNSQYRLVGVIEHVGLGTDKGHFLAYVKPSPPQQTNGPSSCFRADDAIITEISLEEVLNYFSAMGSVVSSAYSALCSFIDAQIQKAEDAYLMERFPELRDLPAAKRKKRLRWLRYIIMGRKNKVVVEEEKLGWWAWLMGRRPETRAWVKEKWEKRCKSYLLDAMEEGKRARASAAAAADASGIPRKSPRLEEPPAADAAAEVIGAGTERCEHFMSDQDHVDHILAGIRLREVDPVCCDYTCNIAGESSILVCLECELRLCNSHCCLHAMEEEHWIGLCGDSLTMVYCFKCEKSYDMSVKDDDGGMTDDDDVWVEEIRLTSVTRWMMGESLPQIDHVSAGLANWNAHAIKGILNLGNTCYLNALVQCLLVLGKLQARMLGLDAPSGILGTVLSDLFEQTYGVDSARRSLLDTSSLLRCVRRLDSRFEGTFMQDSHELLCCLRNRLDEEEMRMNSSKMQDGALSAVPPSVFDSIFGGQLSSTKSCKCCSFSSVSHVGFCDLSVALPSKGSPSKSLASPSWTEGHKCQQKIHTKLFPAIDKSNTQKKMWSLFSDSRSPSSELEDVVFVKISEPLRFDFTQTEQISPSKIAVSVPLQTLKDKVQGKAIDFLPQNMLPDVKVEELDLTKTNTHIPEDIGPPPPVSPLREENPWIESGSDVGKNDSGVLDDVFSEPEISSEARTGTFSEEFTTENKEKTCSSDLVCDKTKDINSIASIDECLKLYFKAEMMGWTCENCSKVAHKSGTILGEYGEPMMSSANEDTAVDGDQSEQSEKITCQSKQSNKRPECHEGGQEAIPSCVPDEKQANLLTGQEQNATTPDEGGGMQVKLPHRGHKTEKNQNWKKDRNKGSVQTLISKLPPVLVIHLIRNLWPHNKVIGHVSFKEILDVGLFVDPSSEDKDNLSYRLVGVIEHHGPSPNVGHCLAYVRASPRQQTSGSSSWFRASDDDIREIAVEEVLKFLQFDRNRTYQLRAFNAMAVWKSPKRRVRAVEIAEDPWKGKAPRLELPAAVVEIGSSSGGQTALDASDDPRDSVAAMAIRDTDGERCKHINFTTDEIETILSTIDAEIARAPICEDEICMAKGSRLVMVCPECDWYFCIGGKANRAKPQGHIREHAFEKAHWVALRYKDPYEGYCFQCEDSLTIGDEEGEEGLMVNGDDEHECIIRGILNLGNTCYLNAVLQCLFVIGKLRSRMFRSDAPSGMLADILHDLFVDTNSVSYNTQGVLDPRCILACVRSLKPEFRGTFMHDSHELLCFLRNQLNEDEQIMRHGNMKQGAPSTVAPTAIDSIFCGQLSDTLSCKRCSVKSVSHGAFYDLSVPLPPKGTPAKSVASPSRNEKCISQQKICTKLFPAIVKTNTEKICTISETASESEDTVMVKTSEPLKVDSNHLEHFLQSKDDAHGPLQAPIRKENALIASNCDVAKTNSAVLGNAFNGPENSIDAKVDNFLVQVTAKDNGKARNSDVVYDEAVDINSFASIEACLELYFKAEMIERRCENCSMVDQKESTISGKDCEQAMACTNVNRTIDGDQAKQSKRKTCQTEHSCDLIRLDVECSSSSRQPHVSDGQHQVMPAVDMKTKGGILEMSCENDLPSCSIGNKKSDCPEDAQEDVSGCCPAENLSNLLVGLCQNASTQDQGTGKEVNLGDSTQQVEKNQYDQHGMNEGSIQTCLISKLPSILVIQLKRNLGPLKVSGHVSFKEILDMKVFLHPSSEDKNNSSYRLVGVVEHQGLGKDLGHYVAYVRPSHPQQTNGSSSWFCASDANVREISFKEVLKCEAYLLFYERMEG >LPERR08G18060.1 pep chromosome:Lperr_V1.4:8:18322959:18328484:1 gene:LPERR08G18060 transcript:LPERR08G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLIWLKPYKLKYDEYWEKSNLALAVACFFDPRFKQKLVQYFLLKIYPDKAADEIKRVMDAIHKLFQAYKCCLTPESSKPAAVGPQSLGDTALGLGDIEEFLYEDAYAAKCGDKNELEVYMSEKPIRWVDPTGKGERFDVLSWWKGNQMVFPILSRLARDVLAVQISTVGSESAFGAGGRVVSPFHSSLESEVIEALICTKDWERSSRKGHFKDKIETSLDELDLKLINKCGNEKNINEEAHQNGIDLTRAEQEQVLLERECQQKGKHLEIDQNCVDTVGMESPSTTKEFTGGRSSDQVGAHAAAKQAYESWKNVVTCNNETSVSNLLQIFSMSSPSPSGHKNGYNVFPIQVVAGGFASTSSPPSIPSRHIFSVDPLWSSTLISAENNKNQFLLQLPPPGSHGQPEELQLLDKFSNALSSYPIL >LPERR08G18070.1 pep chromosome:Lperr_V1.4:8:18330012:18333973:-1 gene:LPERR08G18070 transcript:LPERR08G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRERATEEKEPAAGTEAAGSRRAAAAAPAPLPEEDASDLLKKAAALTRKDSSIHRCQHVRCGQSFIDLGIALIKASVDPPLCEAYDCGSGSTEGEGSSILDCGRIFCAGHARQHALSWRHLVALMHKRPNVACCFGCDDSYFISNVRYGDTVGDNDGLTISALKKDEKGMMMVGNEAGGHASEKTEVGSGGGSMEVLTSDVSPQRCNHVYKKSEVVRVIKRIMLSDIASTCSDSTFDNTTGRSMILVCLGCEKPFCNGHADTHAKSTKHWNYLIYRSPYLVCCFVCKGIVFLAGKDKEDLQADNATAGHGSESFIEHAHAIKGMPNLGNTCYLNSLVQCLHVLGRLRARMLRLDPTTGGLGAILRHLFDDTDNVNNAKGLLDPSQLWRYFCFLFPMFRGTSMQDSHEALCYLLNGLDMDEAIMNSPNMQDGVPTVSDSIFGGQLSVTTLRKCCSFHSVSHDVFHNLSVPLPLKKSPAKIIEIPEWVTKGRRSQLKRNIEKTRTIAEHGDSQNATSELKDVVMVKTSEPLEVDSTIVEQTSQSKDVVQGPLQTSQSKDVTTANYHIPEDLGAPPPVSPLREDDARTVFGIDAEKNGSAVQPEVSTETEVTTSSRKVTSKDNGKSRSGSVDINSLASIEECLELHFKVETIEWTCENCSKVDQKPDIILGNCSEHMMSSTSEEGGRGKQAKLHPSADQVEEKQNEQKDRNKGAIRKNLISKLPPVLAIHLKRSLRTHKVMGHVSFKEILDVGQFMDPSSEEKDNSRYRLVAVIEHQGFSLNSGHFLAYARPNPPQQTNGSSSWFCASDAEIKEISFEEVLKCEAYLLFYERIEG >LPERR08G18080.1 pep chromosome:Lperr_V1.4:8:18335689:18339705:-1 gene:LPERR08G18080 transcript:LPERR08G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKRPSEGDAPEIPQKSPRLEQPTDLIDPWRQIGSNGGTHRCQHLLCGQDDIDLAITLIKTSIDSPICNVCKCDDSEGRIFLVCLDCEWRHCTRHAEQHAQVTRHWIALMYIAPNVAHCFACDDSYSISGVLFGERMAVDKGEFSISACNKDDKGMMVDNEADDHASAVTEASTSDIGTPRCDHYSIYTEDEIDQVQRLVISGNITRMCSSDCDNSRGLMIFVCLGCQKTFCTAHAYEHVKNTKHLIALLYHTPCLACCFQCDRISVLIVEVDGRMPVDNETGGRASGSVIGHANAIKGIPNLGNTCYLNSLCLLVLGRLRARILEPDAQMGRLGKVLRCLFEDTDCVNNSGGTLDPTMLLDCVRTLEPRFVGTPMEDSHEALCCLRNGLDNEERMIKSRNMQDGFPSAMAPTVFYSVFGGGLSFTILCKRCSFRSVSHAVFHDLSVPIPPKKSSAKSVESPAWTKAHRSQQKIHSNLFEPIQKRNTDKTHTIAEDTDSQSIASELEDVVMVKTSEALGVDSTEVEQISQSKDVAQDPLQIQTDKVQGKATFPQHVLFDVKIEGMDVATTDCHIPEDIGPPPPVPPLREENAQIESSTDVGKNDSAVLDDVYSEPEISSEPKTDIFSAEVTTEDKGRTGSSDIVCEKAQNIETLASIDECLELYFKAQMIEWVCENCSKVAQKLDIIQGIYSGPILSSINEDTTVGDKRGHSEKVTCQSEQCNKRPECCEGVQDAVPSCVPSEKQNNFLSSQYQNTTLDDVSGNHSANQVEESQNELKDGNKGPIQTCLISKLPHVLAIHLKRNLGPNKVIGHISFKETLDMGLSEDKDNSHYRLVGVVEHQGPGNNTGHFVAYVRASPRQQTSGSSSWFCASDDDIREISLEEVLKCEAYLLFYERMEG >LPERR08G18090.1 pep chromosome:Lperr_V1.4:8:18340691:18344004:1 gene:LPERR08G18090 transcript:LPERR08G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFVAIPSIVIIVGMLSPHAAEPRDAAAAAQSPAQNHSVSMLSTMTGGQMILSCRAAFAGNWEYFHYFILDPYKPQRAYFQPPTVGGESYAILCKWGYMGNFLQDVVVFNSSAAYARRCRVEEGGCHYLFQDGHMFLVTGKQPTKAAPEQRREKALVGDLVLRECTHVLAVFPTMCRYKRHDHQYVGNIIGRWRWWFNY >LPERR08G18100.1 pep chromosome:Lperr_V1.4:8:18340977:18346499:-1 gene:LPERR08G18100 transcript:LPERR08G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLLLLAIVLAAALVAAAAADAGDQDTTDSTAAAGGGNASAGLDRRTKMFLRTARAASGGGAIATGKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSISSYYYPPFIHRYAISNMINANEVAHVSPLAENGVGLAADGRRLEVGALGLVGVEDEVVELPANAARQLRIICPPVIVLSIDTEWFCAGDCAAAAASRGSAACGESIPTMMTMEGIATNTKGIEIIVRRRSRPAYMIGARGEENIVVHT >LPERR08G18100.2 pep chromosome:Lperr_V1.4:8:18343810:18346499:-1 gene:LPERR08G18100 transcript:LPERR08G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLLLLAIVLAAALVAAAAADAGDQDTTDSTAAAGGGNASAGLDRRTKMFLRTARAASGGGAIATGKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSISSYYYPPL >LPERR08G18100.3 pep chromosome:Lperr_V1.4:8:18340977:18343408:-1 gene:LPERR08G18100 transcript:LPERR08G18100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQKNGVGLAADGRRLEVGALGLVGVEDEVVELPANAARQLRIICPPVIVLSIDTEWFCAGDCAAAAASRGSAACGESIPTMMTMEGIATNTKGIEIIVRRRSRPAYMIGARGEENIVVHT >LPERR08G18100.4 pep chromosome:Lperr_V1.4:8:18340977:18342733:-1 gene:LPERR08G18100 transcript:LPERR08G18100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSPLENGVGLAADGRRLEVGALGLVGVEDEVVELPANAARQLRIICPPVIVLSIDTEWFCAGDCAAAAASRGSAACGESIPTMMTMEGIATNTKGIEIIVRRRSRPAYMIGARGEENIVVHT >LPERR08G18110.1 pep chromosome:Lperr_V1.4:8:18351239:18354094:-1 gene:LPERR08G18110 transcript:LPERR08G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGAGGWCFCSGGAKLERIKSTVLAAKGAAVAAVSFPTGAGGGDGGGRGGSGFLIHRGLLLTTHGTIPSAAVAAAADVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDDLNSHGQQPHFLKTCLNPSLELGSTVLLLGHTNKNDLTIGEGKVVIATDNLIKFSTDVAWHPGSAGFDMHGNLAFMVCDPMKLSPSTPTGYASASSAALLSSKKDVTTQFGMPIPAVCGWLKQHWNGNLEDVSKLMMPPARLISSGQRSECSSVGRLNYIKTMEREGGNVMSSSQIPPRLTQHGSCSSASAKISCGENDSVDSHSIREQQDRTSQMHEPKSEQPASLMDNNFPPGHPRSIRLPLPLKHMMPHEDKIKPNPSVSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDDRGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHNRWDSPKSVESSKGAPSRSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >LPERR08G18120.1 pep chromosome:Lperr_V1.4:8:18355569:18359373:1 gene:LPERR08G18120 transcript:LPERR08G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGASAMPAGSSVGGGGGDGEVEAGFAKLQGEDFEYYMQTYSILLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFATAAAARHPPIIPPQMAPPPPSYVRTGRPRLSDFHDRSFEGEYGRESDEIGNGVSEGAMRGKLIKRNKKMSAESDIYGGHRINVEAIGTLGEDNRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMGKLHTELLEQFGNVWHHSRVRKYLTPEDWSPTETKGRPWYGLLTLLRKFPEHFVINTRSKGRVTSEFVSLVSLLS >LPERR08G18130.1 pep chromosome:Lperr_V1.4:8:18364810:18367247:-1 gene:LPERR08G18130 transcript:LPERR08G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWDDIYKVVAAMAPLYFALLLGFFSVKWWRFFSPEQCAAINTMVVYFSMPFFTFDFVVRTNPFAMNYRVIAADAVSKLLVIAAMAAWARFCGAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGKWAQDLVVQIAVVQSMVWFPLLLLAFELRKAWVVGGGGAVVGPVISSPSMETERKDGGGGDVEMNGVVAAAVGGGGGVIRLRFWPTARKVGMKLARNPNVYASVLGVVWACIAYRWHIGMPGIVTGSLQVMSKTGTGMSMFSMGLFMAQQERMIACGAGMTALGLALRFAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYVVLGFV >LPERR08G18140.1 pep chromosome:Lperr_V1.4:8:18370425:18373086:1 gene:LPERR08G18140 transcript:LPERR08G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAIAGAGYCVVAADMRLSVGYSILTRDHSKIAHLADKCVLASSGFQGDVKALQKNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGATLMMPVLDNQLKSPSPLLLPARDAVTPLSEAEAVDLVKDVFASATERDIYTGDKLEIVIINSSGSRRECIELRKD >LPERR08G18160.1 pep chromosome:Lperr_V1.4:8:18376926:18378464:1 gene:LPERR08G18160 transcript:LPERR08G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKGIGASAPRQLMRNRTMSSRVRQQNLSQPSIEVEDLGHVSLRKKNGNLVSGSTSRTREGSRATTSPAGVAMAAATSSELSNPTTLAAAPAPAARSRQTATAASGEDGIAAESGAEAGTPERGGLPAVRSAAEARRKTMWAIGSAYVATLRFLV >LPERR08G18170.1 pep chromosome:Lperr_V1.4:8:18379971:18381132:1 gene:LPERR08G18170 transcript:LPERR08G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKKSCSIHNDTTFSSSDDGESEITKLTDDLLAEIILKLPLKSMARSMSVSKNWLAAISSDDYLRGRLPPQITTVYFPDDAAAAPRFACAAGDGDGLEDRDIGFFLPESAADGGGGGDVVVCDGSNGLLLCRITGGTTPPEFVVADPVTRRWAAIPSPSSVATLSVLAFDPSTSPDHYRVINFNAWRDGGATVEAYSSATRAWASHDADFGGVPASSLSATTHYHTGVVYILAAEPDCVVCLDATNFTSTVIPLPADQNSGEGHVTHSGGRLHYVISDGEQLKVWELIDDAFSRQQWRLKHDVSVGGGGGDEVRFLAMHPENGDVAYTWTPWKVMEYDLRRKRSCRDWEFWKGERNRVVKTWLVPSSLSC >LPERR08G18180.1 pep chromosome:Lperr_V1.4:8:18382719:18382988:-1 gene:LPERR08G18180 transcript:LPERR08G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGEIRLLAFHPDMVDVVYLSSSAAAAAAEAAVREVVARCDMRKKEIVRSWKVVDGHHVVRFWLLGFSLGFLNYMGGEQECQIATTS >LPERR08G18190.1 pep chromosome:Lperr_V1.4:8:18388780:18390218:-1 gene:LPERR08G18190 transcript:LPERR08G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVRDNNQEGKCFYAIYAPCGLRHESCMYVELKRTHLKMEFCDDLLVEILSKLPFKSLARFKCVSRSWRALISGASLRARLPLLAAGLFVHAPRDGEPRYTTACSAGNGLEFCDMSFFPMASTARVVDARDGLLLYRSSAVARLYVAWPATRRWAALPVSRRQAQLPVLAFYAAASSPHFHVVCFLACRERGAAVDVYSSETGAWRERDAAFGGGGGEASSLSPATHCRGAVLHVLAYPDRVVLMDLAAASSAATATTPWCRLAPGRLPDEVDAGACLGHSGDGRLLYAKCDGEGIRVWAFDHEWKWNLTHTVRFDEMRTNGEIRLLAFHPDIADVVYLSAAAAEGEMVVRCDMRKEMVRSWKVGDGHHVVRFWLLGFSSGLLS >LPERR08G18200.1 pep chromosome:Lperr_V1.4:8:18393030:18396943:-1 gene:LPERR08G18200 transcript:LPERR08G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVIAAAVLVLSLQLAAASRVLNHGGAAPDANIAAAGGACATAVSPFGYACEEHEVTTPDGYILGMQRIPRGRRVTGGGGGRQPVILQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSRRHVSLDPSSRSYWNWSWDDLVVNDMPAIVDYVCSHSGQKPHYVGHSMGTLVALAAFSEGRLVDKLKSAALLSPVAYLSHITTPIGIVIAKAFAGELISDFLGIAEFNPASPQVSNLVRTFCRKPGVNCYDLLTSFTGKNYCLNNSAAEIFLKYEPQPTSTKTLVHLAQTVRDGVLTKYDYVRPDMNVAKYGQADPPAYDMSAIPARFPLFLSYGGRDSLSDPADVELLLDDLRRGHDGDKLTVQYLPQLAHADFVIGVCAKDLVYNDVVSFFHRFH >LPERR08G18210.1 pep chromosome:Lperr_V1.4:8:18401646:18407394:1 gene:LPERR08G18210 transcript:LPERR08G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMESAAVGPHFSGLRLDSRRLSSSSLPSSPSAAAASSNADASASAATATAVANGFVSGEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTDQLLECMGQLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVHTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPLDIAERHVLLMDPVLGTGNSANQAIELLIRKGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >LPERR08G18210.2 pep chromosome:Lperr_V1.4:8:18401646:18407367:1 gene:LPERR08G18210 transcript:LPERR08G18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMESAAVGPHFSGLRLDSRRLSSSSLPSSPSAAAASSNADASASAATATAVANGFVSGEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTDQLLECMGQLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVHTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPLDIAERHVLLMDPVLGTGNSANQAIELLIRKGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRFTAV >LPERR08G18210.3 pep chromosome:Lperr_V1.4:8:18401646:18407759:1 gene:LPERR08G18210 transcript:LPERR08G18210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMESAAVGPHFSGLRLDSRRLSSSSLPSSPSAAAASSNADASASAATATAVANGFVSGEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTDQLLECMGQLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVHTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPLDIAERHVLLMDPVLGTGNSANQAIELLIRKGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >LPERR08G18220.1 pep chromosome:Lperr_V1.4:8:18410010:18412977:1 gene:LPERR08G18220 transcript:LPERR08G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVKGDMGKLLPLISFFLGAALTAAFVIATLDVNWRLSALASWNNGAQASAVTDEMRALSELTEVLRNASMDDKTVIMTSINRAYAAPGSLLDLFLESFRLGEDTEPLLKHVLIVAMDPGAFDRCRSVHPHCYLLRRPDGAVDFSDEKYFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAIAADYYNGDPDSLRNHPNGGFLYARAAKRTVEFYRRWRDARRRFPPGSNEQLVLERSQAELSQQAGVRMQFLDTAHCGGFCQLSRDMGRVCTLHANCCTGLANKVHDLAGVLRDWRNYTAAPPADRRRGGFGWTKPGKCIR >LPERR08G18220.2 pep chromosome:Lperr_V1.4:8:18410606:18412977:1 gene:LPERR08G18220 transcript:LPERR08G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVKGDMGKLLPLISFFLGAALTAAFVIATLDVNWRLSALASWNNGAQASAVTDEMRALSELTEVLRNASMDDKTVIMTSINRAYAAPGSLLDLFLESFRLGEDTEPLLKHVLIVAMDPGAFDRCRSVHPHCYLLRRPDGAVDFSDEKYFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAIAADYYNGDPDSLRNHPNGGFLYARAAKRTVEFYRRWRDARRRFPPGSNEQLVLERSQAELSQQAGVRMQFLDTAHCGGFCQLSRDMGRVCTLHANCCTGLANKVHDLAGVLRDWRNYTAAPPADRRRGGFGWTKPGKCIR >LPERR08G18230.1 pep chromosome:Lperr_V1.4:8:18413650:18415667:-1 gene:LPERR08G18230 transcript:LPERR08G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLSQGKYSREPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPESSIAPRRQ >LPERR08G18240.1 pep chromosome:Lperr_V1.4:8:18418901:18420537:1 gene:LPERR08G18240 transcript:LPERR08G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRDSVMEVARHMGKSLAVSKNAADDIMRALSSYESGDSPLFPSSSPAMASAAEDDDDEEVFAAAEDVIRRCDSETVDYLYAAFVAVRRDALADAVAHLGGVEPVAIEEILRMEWGSLDHRMRRWTAAVRVVVRTLLAGERSLCDEVFAAAGDDDVTLGDVSFADVARGFVLTNLVGFADAVAMSTPATEKLYRMLGMYEALVDVEPDLTSLFSGDEFISGEVNAVVNHLGNTIRHTITQFVNVIQSESSRRPVNGGDIHPMTRYVLNYCGLLSESRATLDIVLAAGAVAAAESPTGGAASPSGRCMRQLLTHLLHKLDEKSQLYDDAGLTNIFLMNNLYYIVQKIMDLPSLRELLGDDWVRRHKGQIRQYETGYLRASWMAILSSLRDDGASTATATATAKEKARIFNAAFEEAYRSQTAWKVADPQLREELRIAVSERLIPAYRSFVGRARTVLESGGGSRHSSAAAVAKYIKYSLEDLEDYMLDFFEGVQKFVR >LPERR08G18250.1 pep chromosome:Lperr_V1.4:8:18421395:18427038:1 gene:LPERR08G18250 transcript:LPERR08G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPATYSDEPPRHPALKVNSKAYKWSIKCCIIGIPLAMNWILNSEEPFNAEPHRSALVLSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGLVEKNIQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLKLVGIPKLSSVTSLGGKHVEFVSVDKCKEENGGPYKASISVRQATDPDADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKKNVPYISDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >LPERR08G18250.2 pep chromosome:Lperr_V1.4:8:18421395:18426284:1 gene:LPERR08G18250 transcript:LPERR08G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPATYSDEPPRHPALKVNSKAYKWSIKCCIIGIPLAMNWILNSEEPFNAEPHRSALVLSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGLVEKNIQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLKLVGIPKLSSVTSLGGKHVEFVSVDKCKEENGGPYKASISVRQATDPDADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKKNVPYISDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >LPERR08G18260.1 pep chromosome:Lperr_V1.4:8:18425894:18427350:-1 gene:LPERR08G18260 transcript:LPERR08G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPATPAAEAAALWGHKHLPLLARASSKDSVEYILQALWRTRRTGLDAADRAVVRDMLQLAADADLDPLLVCLRILIRRCVHGDIGKEEIARVFPEEVSPELQRLLTLLLQKFQPEWQEDAAKDRASASRSEATECTSNKNQDTAEQPAAGAKELQNGIRSSVVEKELKVQLTKDTLDKMFEDMYPVKEVSNSGNSNGHEEVTGGT >LPERR08G18270.1 pep chromosome:Lperr_V1.4:8:18432615:18438591:1 gene:LPERR08G18270 transcript:LPERR08G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRRSGGVAMAVAALLLLAAAAASASPASTLEGFQPLSKIAIHKTTVDLHDSAYVRATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFLSGSCPNPSRYPGEPLLCTAPIKYQWANYSANYLYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSKPISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVLSGSGAAATAPARTVGWREPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYSNGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMEGTDQYKFIEHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYADQGSFEEPEGRESLQKLWQKHRVDVAFFGHVHNYERTCPLYQSQCVSGEKSRFSGTMNGTIFVIAGGGGSHLSDYTSAIPKWSVFRDRDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVDRDYRDVLSCVHDSCYPTTLAS >LPERR08G18270.2 pep chromosome:Lperr_V1.4:8:18432615:18438201:1 gene:LPERR08G18270 transcript:LPERR08G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRRSGGVAMAVAALLLLAAAAASASPASTLEGFQPLSKIAIHKTTVDLHDSAYVRATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFLSGSCPNPSRYPGEPLLCTAPIKYQWANYSANYLYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSKPISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVLSGSGAAATAPARTVGWREPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLFIEHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYADQGSFEEPEGRESLQKLWQKHRVDVAFFGHVHNYERTCPLYQSQCVSGEKSRFSGTMNGTIFVIAGGGGSHLSDYTSAIPKWSVFRDRDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVDRDYRDVLSCVHDSCYPTTLAS >LPERR08G18280.1 pep chromosome:Lperr_V1.4:8:18439091:18445174:1 gene:LPERR08G18280 transcript:LPERR08G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRMTGKAPCAALPESSCAYLLQELKMIWDEVGQEENERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYTKIMGMDPSKVLSNVHTSLSDGPNDQQTKNISDAILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSASSILSPGSLRLQTIQQIESEVQRLDHLKASKMKDLFMIKQTEIKEICKKSHMDMPYQTEMQKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQERGKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWETKKVQTQLARRHENSFILRPNTSCSRPSGRGFNTSPGSSAIWSSRLSTMVQQPSADNSSAEKDVHIRKVRNRSMQRVLGNNRSTSICYEDKTPSLSAIKQGVSPI >LPERR08G18290.1 pep chromosome:Lperr_V1.4:8:18440097:18455174:-1 gene:LPERR08G18290 transcript:LPERR08G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPXXXXXXXXXATPAFSSSRPPHPWEISARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYKWLESLEIESLVTSQQISEWLTSNPHIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKLPKTLQLSAARATNPTSAAPVTPQERTTTVRKSLPPVTDVSASRFVGGDSPIRDKKTSLSKKKEAFLKYELFAESVDQCVVKASPYCRYQRYRYIKPETNSSIQEGAATASTSTPTEVAKIFVNEQPNPGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLRPEQNSSSHSDGARSYDIWKGHANPSFPQKSIKKNIMFCLEGREAGANWSQTCSNGGWNSPAVQFEGPAQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRAIQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASSGDGTIGLFDIRACSAINHLSVGSGCEVTSVSFSSCGTYFSASSTSNNTLVWDTRLVPLNQNRDISRSKDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNAMQWLHKEPVLVTASGDGSVGMWDVTLGQPCVRHIFTHNRCANAVAVAPNDEYIATGGSDQKVAHEDSGSAAQGAFPVILSDPI >LPERR08G18290.2 pep chromosome:Lperr_V1.4:8:18445140:18455174:-1 gene:LPERR08G18290 transcript:LPERR08G18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPXXXXXXXXXATPAFSSSRPPHPWEISARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYKWLESLEIESLVTSQQISEWLTSNPHIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKLPKTLQLSAARATNPTSAAPVTPQERTTTVRKSLPPVTDVSASRFVGGDSPIRDKKTSLSKKKEAFLKYELFAESVDQCVVKASPYCRYQRYRYIKPETNSSIQEGAATASTSTPTEVAKIFVNEQPNPGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLRPEQNSSSHSDGARSYDIWKGHANPSFPQKSIKKNIMFCLEGREAGANWSQTCSNGGWNSPAVQFEGPAQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRAIQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASSGDGTIGLFDIRACSAINHLSVGSGCEVTSVSFSSCGTYFSASSTSNNTLVWDTRLVPLNQNRDISRSKDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNAMQWLHKEPVLVTASGDGSVGMWDVTLGQPCVRHIFTHNRCANAVAVAPNDEYIATGGSDQKVVSCLFGPLVSLL >LPERR08G18300.1 pep chromosome:Lperr_V1.4:8:18457679:18461860:1 gene:LPERR08G18300 transcript:LPERR08G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSNAFAGSKALEIQRQDMQRCKSVFPLSILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPNDPICEAILQNLDEPLICTSVKSVSEDEWILDPVIIADIYEPQGPKLDWMVAEDEEQESRPTFAFKAA >LPERR08G18300.2 pep chromosome:Lperr_V1.4:8:18457679:18458254:1 gene:LPERR08G18300 transcript:LPERR08G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPATAAAAASTSSLPLRRSVSPSHLRLPARPPPPPRPLRGASLSVVALHKRNPKRLKYASQGQFTRGDAGMLRVQVEPSGEDSWKLDPVIELINLGAVGIIPTDTVYAHALTLSRYGLGRWRQNLPDFAVDSCDTHVYTPV >LPERR08G18310.1 pep chromosome:Lperr_V1.4:8:18493028:18497926:1 gene:LPERR08G18310 transcript:LPERR08G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPATASSGWDLAAAESPDNNTTTTNNGANPPPPPPTSATATSAGVNAGGGGRQECSVDLKLGGLGDFAGGGARPSTQVVAEARGKGPAAAGKRGRGAAAGGGGGQCPSCAVDGCEEDLSKCRDYHRRHKVCEAHTKTPLVLVSGREMRFCQQCSRFHSLTEFDETKRSCRKRLDGHNRRRRKPQPDPMNSASYIASQQGSRFSPFATPRPEANWAGIIKTEESPYYTHHQIPLGMSSRQQHFVSSTSESSRRFPFLQEGEISFATATAMPIEAAGSVCQPLLKTVAPPPASHHHHDGGSGTSSKMFSDGLTQVLDSDCALSLLSAPANSSAIEVGGGRMVVQPTDQHIPMAQPLITGLQFGTGSSSSAWFSRPHQAAAAVVSTAGFSCPVVESEQLNTVLSSNDNEMNYNGIFHVGGEGSSDGTSSSLPFSWQ >LPERR08G18320.1 pep chromosome:Lperr_V1.4:8:18500865:18504942:1 gene:LPERR08G18320 transcript:LPERR08G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETALQNRESEQLKASRNEYLKLKARMVHVENLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKQMFDQLTELQRKEQMVSDANRCLRRKLEESNHVRGQQVWEQGCNLIGYEQRQPEVHQPLHHGGNGFFHPLDAAAAEPTLQIGYPAEHHEAMNNACMNTYMSPWLP >LPERR08G18320.2 pep chromosome:Lperr_V1.4:8:18500865:18504942:1 gene:LPERR08G18320 transcript:LPERR08G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQRNAVMQDLRQLFKIEKARNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKQMFDQLTELQRKEQMVSDANRCLRRKLEESNHVRGQQVWEQGCNLIGYEQRQPEVHQPLHHGGNGFFHPLDAAAAEPTLQIGYPAEHHEAMNNACMNTYMSPWLP >LPERR08G18330.1 pep chromosome:Lperr_V1.4:8:18509371:18512607:1 gene:LPERR08G18330 transcript:LPERR08G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKVGGGGEGMRKRKTKRGRVEMRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAEVALVVFSPAGRLYEFASSSSSLEKIFGRYWDLLDRTIDLNAGPRGCQVDCNIQV >LPERR08G18340.1 pep chromosome:Lperr_V1.4:8:18517417:18518864:1 gene:LPERR08G18340 transcript:LPERR08G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARWRLAGDDKDLRTRVGMALIQCLAVYANHMYLLCLRLTIFFCWTACNFSVLESEINGLNIAELRNLEKGMTNALTVVKDKLRLKVAGVFPKSEHQIKPAKCSLADHRLN >LPERR08G18350.1 pep chromosome:Lperr_V1.4:8:18520221:18522570:-1 gene:LPERR08G18350 transcript:LPERR08G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAVASGISARPVAPRPSRARASRSVFRAAISVEKGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGPPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNEELIKTLKKGTSFGAPCVLENTLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATCETLTAPYNDVEAVKKLFEENKGEIAAVFLEPVVGNAGFIPPQPGFLNALRELTKQDSTLLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKITGELVRGILDAGAKAGHELCGGHIRGMFGIFFTGGPVHNFVDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAEKVFRRL >LPERR08G18360.1 pep chromosome:Lperr_V1.4:8:18523663:18525317:-1 gene:LPERR08G18360 transcript:LPERR08G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVAKAFVEHYYRTFDTNRPALASLYQDGSMLTFEGQQFLGPAAIAGKLGSLPFAQCHHDINTVDCQPSGPTGGVLVFVSGSLRTGPEEHPLKFSQLLPAGGSFYVQNDMFRLNYG >LPERR08G18370.1 pep chromosome:Lperr_V1.4:8:18525837:18530781:1 gene:LPERR08G18370 transcript:LPERR08G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVGGRMRGFVRNRWLVFVAAMWMQSVAGVGYLFGSISPVIKSSLGYNQRQVAGLGVAKDLGDSVGFLAGTLCAVLPLWAAVLVGAVQNLVGYGWVWLAVTHRAPVPPLWVMCLLIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMMHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFMFVYSVCLLLAAYLMGVMILGDIVDLSHTVMVLLTIILIVLLLVPIVIPVILSFFSDNDESAYASLLQSPRKEEASASTPSEEQTEVIFSEVEDEKPKDVDLLPASERQKRIAELQTRLFQAAAEGAVRVKRRKGPRRGEDFTLMQALIKADLWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALATAQVFMAIGHFSFAMAWPGAMYIGTLLVGIGYGAHWAIVPAAASELFGLKNFGALYNFLTIANPAGSLVFSGIIASGIYDSEAEKQARQHHNSTLMAMPGRFVGMFSEATEPLKCEGAICFFLSSLIMSGFCIVAVVLSLILVYRTKIVYTNLYGNPRT >LPERR08G18380.1 pep chromosome:Lperr_V1.4:8:18531090:18532820:1 gene:LPERR08G18380 transcript:LPERR08G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTCWSCGEGAVVADPDSGVLVCTSCGRIHDTGSSEFVHQAACTDDGGFDLRASSYIYHQPSESQYRDQKLAAATETITSISTRLGLSASQAAAAVAMAKSATDGNLATQGSAFLPALASACAFLVARSRRLPLSLSEAAETAYCGVAPLADLVSRIASHLELQPLPLFDYAAALERAVRNSPTLTSSDDGRERIEVILSQSRFLLRCASKWSLTTGRYPLPLIAAVVAFAAEVNGVPSVTVENIARDLSARLHTSLRRYKELVDALVRVAQELLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFIPDIAGIVQAHSSVDDDESKYLQVAPQLDDDDLDSSNSGQEGKELVDLKISEECLSDAYQNVLKRLAQLQRLGKVGKGTNRKNRRIGGLELEPWLDLVDDDWTKDMVLEDVINIDVGFDAPPPSFTAGIKLQKKRRARIEAAKRRIDAIRKAPVGRENNTQAAPGKEDTCLPQKLASKKRGKKRIAGNDRAVNGELPTEMPDGPGGEKKRRKGAPTDGIDWEDCVIELLLLHGANEEEIEQGQYRRLLELHVFCAVTNGRR >LPERR08G18400.1 pep chromosome:Lperr_V1.4:8:18542019:18543894:-1 gene:LPERR08G18400 transcript:LPERR08G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAWLTLAVAVLAISSSAMAAATPAADALQGKCQQDFTKLTDCMDYATGHEESPSSSCCDDTSATQKARPDCLCYIIQQVHAGRNEVQSLGLRFDRLLALPAACKLPNANVSLCINLLHLTPSSPDYALFANASKAASSTPTPAAAATAGSFKVQARLSYGVAAAVVSAVLSSIF >LPERR08G18410.1 pep chromosome:Lperr_V1.4:8:18546579:18548942:-1 gene:LPERR08G18410 transcript:LPERR08G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSWRTACLLVLCTVALLQPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKAETPWHYSEDGVDLVVKDPNGAQVHDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >LPERR08G18420.1 pep chromosome:Lperr_V1.4:8:18554526:18558602:1 gene:LPERR08G18420 transcript:LPERR08G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQEGNKLYDGQVIDRIELSLGAGSLSFRAPPESSPSSVEAAAETTTTAIELIGKDRPGLLSEVFAVLADLKCNVVSSEVWTHGARVAALVHVTDAATLAAIDDAARLDTVKRLLRHLLRGTARATVPSASSASRPRSGATAAHAPRRLHQMMRDDRERRERDGAGGEEGRGGGGAVVEVVECKERGYTLVNVRCRDRAKLLFDTVCTLTDLRYVVFHGTVIAEGDEAYQEYYIRHLDDSPITSGDDRRRLARCLEAAIQRRNTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVTTRGAQAANVFYVVDASGEPVTAQAVEAVRAEIGEQVLFVREDAGGVNTGGGGGPRSPPGRDGRRSLGNMIRSRSEKFLYNLGLIRSCS >LPERR08G18430.1 pep chromosome:Lperr_V1.4:8:18570057:18574225:1 gene:LPERR08G18430 transcript:LPERR08G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGELEFVEEDDEYAKLVRRMNPPSVVIDNDSCDGATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDFIKKCLESEDYLLPPPATAPPSEATFVELTGVDRPGLLSEVCAVMASLSCNIVNAEVWTHDGRAAAVVHITDGSTGLPVRDAARLSHLQELLSTVMHGDGAADGNGRSAVSLGGGDNAERRLHRMMMLDGGEVGKNNKKGRKNNKVVVMDCRERDYTVVMVRCGDRPRLLFDTLCALADLGYVVFHGTVDAEAGATKDAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVLRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAAMDALGDQLGHAVLRVKTNGRAAAAAVKPPEGGGGGGGAASIIGNFLKGSFQGFRLIRSYS >LPERR08G18440.1 pep chromosome:Lperr_V1.4:8:18576513:18580266:1 gene:LPERR08G18440 transcript:LPERR08G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKPCITKPVSNGNKCVNTEENAPPACPDAVTNGCEAGIVDVEYIDSENLTDLPDAGASLSTLVARLDSKDWVKTCEALNNVRQLAIYHKDRLQELLGPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPHFSVEGIKEYGIYKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDEAPATTPEAESWEAFCQSKLSTLSAQAILRVTSTTKEAVAVSVTSTPPKEGVAVGC >LPERR08G18450.1 pep chromosome:Lperr_V1.4:8:18580142:18580609:-1 gene:LPERR08G18450 transcript:LPERR08G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRGVRNNNNSKQGLIMKTLDRCLSKQKQPPEGSFAVYVAGGRERFVVRTECVNHPLFRALLEEAEEEYGYVADGPIELPCDAGEFVAVVARIEEEMAEERTTVVKCGGGLVLRLHHPVTHLMIAAAATPARSPDDCEVSQARVTAGDGLNVD >LPERR08G18460.1 pep chromosome:Lperr_V1.4:8:18582885:18589590:1 gene:LPERR08G18460 transcript:LPERR08G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVEVNLIRLLEAAPRQQNQAKLLHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAVRLAAPEPENEMPIDENREEESSFELEKAGSPISLSSGLRRRPVAHTEVGPSSHESKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRRPRSIPFSELTESASDAPAHVAAPRRRRRPLASDAPHTGGKLAEEDEYPPLMAAAVAPASSSAGWDFTCDFEIDYGSEEHASIVYKTLAVDKELQPDKVKREMSVSGGKLIVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGDGSI >LPERR08G18460.2 pep chromosome:Lperr_V1.4:8:18582883:18589590:1 gene:LPERR08G18460 transcript:LPERR08G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVEVNLIRLLEAAPRQQNQAKLLHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAVRLAAPEPENEMPIDENREEESSFELEKAGSPISLSSGLRRRPVAHTEVGPSSHESKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRRPRSIPFSELTESASDAPAHVAAPRRRRRPLASDAPHTGGKLAEEDEYPPLMAAAVAPASSSAGWDFTCDFEIDYGSEEHASIVYKTLAVDKELQPDKVKREMSVSGGKLIVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGDGSI >LPERR08G18460.3 pep chromosome:Lperr_V1.4:8:18582719:18589590:1 gene:LPERR08G18460 transcript:LPERR08G18460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVEVNLIRLLEAAPRQQNQAKLLHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAVRLAAPEPENEMPIDENREEESSFELEKAGSPISLSSGLRRRPVAHTEVGPSSHESKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRRPRSIPFSELTESASDAPAHVAAPRRRRRPLASDAPHTGGKLAEEDEYPPLMAAAVAPASSSAGWDFTCDFEIDYGSEEHASIVYKTLAVDKELQPDKVKREMSVSGGKLIVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGDGSI >LPERR08G18470.1 pep chromosome:Lperr_V1.4:8:18590867:18593645:1 gene:LPERR08G18470 transcript:LPERR08G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTSPAGKDRDAVLTELLGHIHGHYRSALDRLPVVESPALIPRLLDSGVCFGLMDPVSNIIFNTLSSLSSEEFPSPNPSNPSAAALQGNEEKLAAADEEEIKRSGKGRKLAEEEEEDEGDISSSRRKRKRKRKRRRIKTAAAAVVTEEDVAAKRRREEAMSEIITDASCILCIPARWTSSPEPRTVAQRSLEGLVVFLVTYYHHLPIDEALHYLVLTKADLLAAVHLIDHSRGIGGRLFPISSPNTEIALRYFSQKSYPAKSVPSVPQLVPRADKIVKHSRQNFLDNQYFIRRKVKAALKIYAKEKGTVYELHVICGTNLNIPENGRHGYFRNRKGYPYAHVNFLARPKGSQRDNTAPSLFFLECSNGEDDIGRLFSCCNELESPADSGRCFHCECKGTKIVHPAIGTFRGRETDFEEMSLGKRDTDHNGVIISERMYVESVELSCEDDSLYFDPSMDYDFALALNNIIREEDEEHEELRRDSGSQGKFLTYPTNQSCW >LPERR08G18480.1 pep chromosome:Lperr_V1.4:8:18595931:18598416:-1 gene:LPERR08G18480 transcript:LPERR08G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFLSMTHPAVTLSGVAGNIISFCVFLAPVATFLQVYKKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIIIYLIYAPRKARLRTLAYFFLLDVAAFALIVVVTIYFVAKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVFLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPSNTAVLPTTSDAGMMSPISGTIAAGAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVTAADQQIDGDATNKEIQQNKQEVIEITAAGRVSDD >LPERR08G18490.1 pep chromosome:Lperr_V1.4:8:18613829:18617913:-1 gene:LPERR08G18490 transcript:LPERR08G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCGPHRGAPSRSDPDRRWQADATNERPRRFRSWPPDATHLPPPPTRRPSKSFPFFFPFSLHLSDPKPFLLVAGGGGGEGGGGGSRRGGHGPHCGGGGGELDQGRRGFTRSLTRAEVESGCGGSGGSGGGGGGGGGMYMPQQPSRSAGGRGYDGGGDQPRVYQVWRGSNAFFLRGRFIFGPDVRSIFLTMLLIVAPVVTFCVFVARHLVNDFPGDWGISVMVVAVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNETGVQTPQQLRLPRTKDVIVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVCSTTLLCLYVFAFCWVYIIKIRNAENLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPASKNNFRAWVTVEQGLQQTRVPSRGFMSPNMGKPIGDLEMGRKPVPWDEPRTAADIRDLEVGLAGFLDEKEGRLTHASPDLSRDDLPADLMDGRGGMHSGRSSWVQKTGTSEQAWVSTLLYLGLLCLAAYWEY >LPERR08G18490.2 pep chromosome:Lperr_V1.4:8:18614435:18617913:-1 gene:LPERR08G18490 transcript:LPERR08G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCGPHRGAPSRSDPDRRWQADATNERPRRFRSWPPDATHLPPPPTRRPSKSFPFFFPFSLHLSDPKPFLLVAGGGGGEGGGGGSRRGGHGPHCGGGGGELDQGRRGFTRSLTRAEVESGCGGSGGSGGGGGGGGGMYMPQQPSRSAGGRGYDGGGDQPRVYQVWRGSNAFFLRGRFIFGPDVRSIFLTMLLIVAPVVTFCVFVARHLVNDFPGDWGISVMVVAVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNETGVQTPQQLRLPRTKDVIVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVCSTTLLCLYVFAFCWVYIIKIRNAENLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPASKNNFRAWVTVEQGLQQTRVPSRGFMSPNMGKPIGDLEMGRKPVPWDEPRTAADIRDLEVGLAGFLDEKEGRLTHASPDLSRDDLPADLMDGRGGMHSGRSSWVQKTGTSESVPNMSTEELAAAWLAIKNDPLTTHGEH >LPERR08G18500.1 pep chromosome:Lperr_V1.4:8:18619483:18620565:-1 gene:LPERR08G18500 transcript:LPERR08G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAEMQRFIEQEQQKAMMSEMIGKLTSVCWDKCITGTPGNKFSSGETTCLTNCAQRFLDMSMIIAKRFEMQ >LPERR08G18510.1 pep chromosome:Lperr_V1.4:8:18620874:18625588:1 gene:LPERR08G18510 transcript:LPERR08G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPATATRAAAALCRIAACASSSSSAAAGNGGSTRRVEVRMPAPFTAMAERGRMVVGGHRGMGMNAVGAPPGARVVEAVRERENTLLSFGRAAAHAAVDFVEFDVQVTKDGCPIIFHDDFILTQETEDVNEKRVTDLLLEEFLSYGPQKNSREISKPLLRRTKDGRVLNWSAEDDDSLCTLQEVFECVSPRLGFNIELKFDDDIIYERSQLDRALEAVLQVVSQHASNRPVFFSTFHPDAARITRELQSLYPVLFLTEGGTAQHNDSRRNSLDEAIRVCLEYDLHGLVSEVRGILKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVDGVIVDLVEEISNAVADFSKPVVGQSMLASGVDPVGAKHQAFSEQQLGFLLRLIPELIQQPH >LPERR08G18520.1 pep chromosome:Lperr_V1.4:8:18636063:18640652:1 gene:LPERR08G18520 transcript:LPERR08G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAPVLVRHGGVMLPPGFRFHPTDEELVLQYLRRKAFGLPLPAAVIPDLHNLYKLDPWDIPGASEGERYFFAMRPAASGGAGGGGGKNRRQATASGGCWKPAGGRDKPVVAARCGGSHLVGVKKGMVFVPRRQGKTAAAAAAAGGCWVMHEYSLAMPLHKKGCLAEAEEWVVCRIFQRSSRSPRRLDNDDVIRRAPPHDGGAELRSPSPSQSSSVSSCVTSSSDQEEVSSG >LPERR08G18530.1 pep chromosome:Lperr_V1.4:8:18647790:18654186:1 gene:LPERR08G18530 transcript:LPERR08G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVARRRLGPGGVMGQQLMQALRSTAARSYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLSPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >LPERR08G18540.1 pep chromosome:Lperr_V1.4:8:18656245:18661073:1 gene:LPERR08G18540 transcript:LPERR08G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVHPAEEAPTPASRPASSPRRFEGVAACDLDGLPGGSSSSRVFEYPSIGDSDWKAALDIPNKSNEYSRDSHCFDGPAGLKGLKIDSRDADSRSSHKLDSAVHVPTMRVVGFESSSVGGSAIIETDNCHTPFVQLELQARKRLLSPLKTVLDRQFHGDMLNIAAGGARFELSDSSKKLYGSCFQDSKKANTGILDSFESQVSANSRCSNGSPEWDANKINSNLFTDGPLLGSKESLSYLDHLAASAKLAHSPLSLSPLSPKYINRTKATGAQCCTIKDIEHHFLDSREKGGPDGIRMLSVLEETDILHDEYNVMTPKRSSCRRYHNWGPESTPTSPRVGYSRSLSLPIRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNVTGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLPGRSSATNGRSPKLERSFSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPVHTFFCNYDLTDMPSGTKTFMRQKVTLFPTLSPSNPTKEGSNASDINACPKAESVSCGREITERESSECNGSSDGSEERDANSKCHSLDSNMMESNKHSSTVNKKINIDSDDCCCQMDNLGSKNSCCASSRINDSSGGGVIRYALHLRFLCSSSKKSSKSMLRCKSDPMSVPYNSNAAKEEERRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >LPERR08G18540.2 pep chromosome:Lperr_V1.4:8:18656245:18661073:1 gene:LPERR08G18540 transcript:LPERR08G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVHPAEEAPTPASRPASSPRRFEGVAACDLDGLPGGSSSSRVFEYPSIGDSDWKAALDIPNKSNEYSRDSHCFDGPAGLKGLKIDSRDADSRSSHKLDSAVHVPTMRVVGFESSSVGGSAIIETDNCHTPFVQLELQARKRLLSPLKTVLDRQFHGDMLNIAAGGARFELSDSSKKLYGSCFQDSKKANTGILDSFESQVSANSRCSNGSPEWDANKINSNLFTDGPLLGSKESLSYLDHLAASAKLAHSPLSLSPLSPKYINRTKATGAQCCTIKDIEHHFLDSREKGGPDGIRMLSVLEETDILHDEYNVMTPKRSSCRRYHNWGPESTPTSPRVGYSRSLSLPIRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNVTGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLPGRSSATNGRSPKLERSFSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPVHTFFCNYDLTDMPSGTKTFMRQKVTLFPTLSPSNPTKEGSNASDINACPKAESVSCGREITERESSSDGSEERDANSKCHSLDSNMMESNKHSSTVNKKINIDSDDCCCQMDNLGSKNSCCASSRINDSSGGGVIRYALHLRFLCSSSKKSSKSMLRCKSDPMSVPYNSNAAKEEERRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >LPERR08G18550.1 pep chromosome:Lperr_V1.4:8:18664099:18664762:1 gene:LPERR08G18550 transcript:LPERR08G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIELESQQRDETASTSDIAAVVSLRLLAAATSLAAAVVVITNHQQRWGIRVDFTLFQVWIAFVAMNLGCFLYAAATAAAARRLAGKGWLHNADQLVVNLEAAATAGAGAVGSIAMWGNEASGWYAVCRMYRRYCNAGLAALVLSFAAVLFLGFASVRSRYPKKIN >LPERR08G18560.1 pep chromosome:Lperr_V1.4:8:18665355:18669075:-1 gene:LPERR08G18560 transcript:LPERR08G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGGWVCDYCGEAAAALHCRADAARLCVACDRHVHSANALSRKHFRSPLCSECSSRPASLRLLSSGDSSFLCSDCDESSHDSSSPPPPASVRVPIEGFSGCPSAAELAASWGIDIAGGGDAGGEEEEDDPFFSALDYSMLAVDPVMRDLYVPCDPPEIAAGGGGGRRLKGEALGHQLAEMARREAETAANPNPNPHSDLSPRTPRRTSAAASGRLQQQQEKQAPPPPPLPPAAARDAALPYTSLLMMAPVNCNELMDSDRVGGGDEDENVLWESTPPSVPPTQIWDFNLGKSRDHNENSALEVGFSSNNGGFMIKSYNDMLKEISSGATKDLEDIYDSRYCATAEDIMSTNVCQLSSKNPSSGSHKRKASSCASTIDGPTTSTNHVNVASGALGGCNSHDRAAAITKEISFCDQTVVPTGGADRPSAIKIDSETLAQNRDNAMQRYEKHIRYESRKLRADTRKRVKGRFVKSNEAANYDGNGG >LPERR08G18570.1 pep chromosome:Lperr_V1.4:8:18713345:18714942:-1 gene:LPERR08G18570 transcript:LPERR08G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKVPASGAAINTNDPNISSFFLYNHSHGSQAPQPANANAAIVEDASLESSVSAVLDTSPLGSPSVDRKRKAAEDGATLSSAHSKDCKDGKSRRGKKPSSKELEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGSMFQEALAMPAPVLNQLSPAPSQAIMDTTTPTISSYSLQSQQGGISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNMCSFQ >LPERR08G18580.1 pep chromosome:Lperr_V1.4:8:18725349:18725726:-1 gene:LPERR08G18580 transcript:LPERR08G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNHSHIIHPVHVLRRSSCRNVNVTCGLCGDPVKFNDMAYCCTNIGCPSFFLHDACFHYLEKIRSHFSGHNLVLTARADAGVGGCTICGQSFNGFSHVYSCSQTRHIVCGVDGFRAHPRCGNLP >LPERR08G18590.1 pep chromosome:Lperr_V1.4:8:18730363:18731245:-1 gene:LPERR08G18590 transcript:LPERR08G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNHSHVSHYPDHVFRRASCQNVQATCGLCGVAVGFNDMAYRCTDAGCAYFLHDACFRYPARIRSHFSGHNLALTALADAGAGGCTVCGRTLNGFSHVYSCSQTRHVVCGAGGFRAHPRCGNLPQQTSAPPSHGHQPGAGAGRICDMCKCNNHSGGGGQARPWSYQCSTCPDRELCLVCVLGSNNDDGAQCSGSNQCACGAGCGGFVLGNCLHGFFRGFTGLSVKSLMGTCKGLVGGFCSY >LPERR08G18600.1 pep chromosome:Lperr_V1.4:8:18743232:18746168:1 gene:LPERR08G18600 transcript:LPERR08G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGHNKEKQQRKAIAADNDDDPARNFRGVPGYDWLLFESPSGFAIFIFDTFMFKEENAIEHVWANFVKEFMAGFLYLKDFKEFKDKSVAINRTSGLDKQLRDMLKIWCRRGEKLMVGSLEYKEIIEADMDLKVMWGVKNLMHYLLPNEKKVLTKEERLPLNELLKIEKDAAKYKDVVYKDAILEIDKELVESNYTKEIKLTHMRFLVEVAQQEAAKETQSS >LPERR08G18610.1 pep chromosome:Lperr_V1.4:8:18750038:18757959:-1 gene:LPERR08G18610 transcript:LPERR08G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVREKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSLSHIEAKKRFEFLEAGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRSSNGLSDTHNGDGIQTIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQISRPPGGCSSHQRSIGNPPEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQCFLLSPKSSGHDSESSSFTSLDLEPSMNDDLTLEKNTGNGQHDVRGTHHHKTNMKPEKPIDLLRKVDGNNICADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNKFVNNIWEEILPSSRNGGSDDKSRAHGLDPTRHFTVSKPRHSDPFSSKEKFIHAKYADKEFVQKYSMDEIQLAQQMWDNVTANDKRAVYSLIVHSHANVNFVYGEMSCSSYSTTWKSVQQELSASPTDGSPVFFDCHSHEKASPREPLSPASTSSRDAEDRCEGFSLLHLACYVADVGMVELLLQYGANVNAIDSRGRTPLHYCILKGRHMHAKLLLSRGANPQVADQDGRTPLQCAVDGGTSDEEILVLLEDHTR >LPERR08G18610.2 pep chromosome:Lperr_V1.4:8:18750038:18757959:-1 gene:LPERR08G18610 transcript:LPERR08G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVREKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSLSHIEAKKRFEFLEAGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRSSNGLSDTHNGDGIQTIGRSSHKMIEAVMQSASKGKGGCSSHQRSIGNPPEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQCFLLSPKSSGHDSESSSFTSLDLEPSMNDDLTLEKNTGNGQHDVRGTHHHKTNMKPEKPIDLLRKVDGNNICADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNKFVNNIWEEILPSSRNGGSDDKSRAHGLDPTRHFTVSKPRHSDPFSSKEKFIHAKYADKEFVQKYSMDEIQLAQQMWDNVTANDKRAVYSLIVHSHANVNFVYGEMSCSSYSTTWKSVQQELSASPTDGSPVFFDCHSHEKASPREPLSPASTSSRDAEDRCEGFSLLHLACYVADVGMVELLLQYGANVNAIDSRGRTPLHYCILKGRHMHAKLLLSRGANPQVADQDGRTPLQCAVDGGTSDEEILVLLEDHTR >LPERR08G18620.1 pep chromosome:Lperr_V1.4:8:18761518:18766005:-1 gene:LPERR08G18620 transcript:LPERR08G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWRAYLRENRALISHLRRDLGGRRREKRKTSTEPNPPLPPSPSRTPEPEPNPPHAKLAREAADASAAGADAAAPVPEEAVMGEAAAAAAPEALLVEGGGGEGMNPNPSGGGGGGGCSESVSVELSMGGDYYHSCCGDADLDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVDRILKKIEQCKRTLVDLGYIEFTFEDFFSIFIDQLESVLQGHETSIGAEELLERTRDQMVSDYVVMFFRFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFIPEANSSEGGAAAEKPYITLLYRPGHYDILYPK >LPERR08G18630.1 pep chromosome:Lperr_V1.4:8:18765988:18774604:1 gene:LPERR08G18630 transcript:LPERR08G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRAHLTPGPHPDELTPFSIHSRRPAIRSGDFRGGGGEAMSSAAWWARSRVRLLVPVLFLAPAFYFLLSPPTSPPFFTLPTSREESRVIWAQRRVVEWRPCGWWRAATAMPAPTRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKGIPVEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVERKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTDLSSKSLSAIEAARGEERKELTGEAARVWRNRGKCPLTPSETAFVLKALGIPTDTHIYLAAGDGLMELEGFTNIYKKIYTKSSLLTHEDFEKMHGNTKAALDYYVSINSDAYVATFFGNMDKMVTAMRTIQGLQKTLVLSRRAYANYTAVGFAGEQLAKAMWDAHREEYIMGRGSALPEQCFCEFKL >LPERR08G18640.1 pep chromosome:Lperr_V1.4:8:18776952:18778215:-1 gene:LPERR08G18640 transcript:LPERR08G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMYSCRTLPAYHGDSRFLLSACFMSKLPMLGPLKVSMVCSANPNNHRPRSSDITRQQKGGSTRRKSKPYQEKDDPENVYDFDTDVMSSKNGPPISLTSNSRPQATSIPGEREKEIVELFKRVQAQLRARGKGREEKKPEPAKSQGERGSVDSLLNLLRKHSVDQRRKSSDEKEQSVDQTRRGNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPGVKFQPVTNANTERVVSNINDAVEEATTLEKNAAPDEPDSVLTYEPDSVIPPENLSLDDLDLISDDEADDALDTDEPNREYDEPSLQIPDVPIIDESHDTSLEPSSIGGSDLSSLKVTELRELAKSRGIRGYSKMKKNDLVEVLSNMA >LPERR08G18650.1 pep chromosome:Lperr_V1.4:8:18781869:18785873:1 gene:LPERR08G18650 transcript:LPERR08G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSSEDALRRALAAGGADVEAAVDALKALKVEAGAAARRLQAAVGSGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLSFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCKEKVEKDLTLSPEKAAEFKHVLAVLDDLSADELGAKIKEYGIVAPDTKNQLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFADVADLEFLMFPRELQLSGESAKSVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKTRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKVEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDNAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVDIDEVASVVKQLTEGQSTWADVSAKYPSHVGPQGDQE >LPERR08G18660.1 pep chromosome:Lperr_V1.4:8:18786839:18791868:1 gene:LPERR08G18660 transcript:LPERR08G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLLLRILLLAAVSASAATAAEAARDGCSAGCDIALASFYVTPNQNVTNIANLFGLTGPTNYRLLAPYNPNIPDLDFINQGDRVNVYFRCGCVSPPTSPSTTYLAGVFNYTVPTGSTYTSIANNIYSNLTTPEWLQATNTYPANNIPDNSVINITINCSCGDINISPDYGFFLTYPLSGRDTLDSVAGNYGLSSQLDVVRRYNPGMESAVGRGLVYIPVKGFDLILLIWYTVVGYFRSPWKLPTSEIIRAASVLCKLIMILHKGKGSSAAPIAGGVVAGVVALALGILYIFYRRRKAKQATLLLSSEDSTQLASIISTDKVTPSTTQAGGPSPVAGITVDKSVEFSYGELFNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCTESSLFLVYEFIENGNLSQHLRGTGYEPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSLNILIDKNYRAKVADFGLTKLTEVGGTSLPTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESASDSKGLVYLFEEALNVPDPKEGLRTLIDPKLGEDYPIDSVLKMTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >LPERR08G18670.1 pep chromosome:Lperr_V1.4:8:18795766:18800092:1 gene:LPERR08G18670 transcript:LPERR08G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMATFIVLLVALLSTIAPLPLEALNVRGHLLKSKTFLSPAFFLRPGSVSNKFYYDVPFPRGHLAFKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSTSADKQKLPKRIIARNSGVCKNTLGQYYGLGSETRHTATWVPDPYGIEIGNPAKPPEGYEEKWLINVHAIDTRGAIDKIGCTECKCDLYNITIDEYGRKIPKDYIGGLFCCYDETQCKVREGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSLPDGKSESACMVEYQVEECSPGNRAKNECIDVKVTKQMLPRGGDVVFGVAHQHSGGLGASIHGQDGRLLCASIPTYGNGEEAGNEENYIVGLSTCYPRPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPWCLPSWLTNNM >LPERR08G18680.1 pep chromosome:Lperr_V1.4:8:18800791:18806312:-1 gene:LPERR08G18680 transcript:LPERR08G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAQPASSGSEVTTSGKVDAGGGGANSMEERFADSCKGKLGLDESMTRQAMQLFKESKSILLSSMSSFGSGSPEEIERFWSAFVLYCVSRLGKAGRGKEDGGITLCQILRAFRLNIVDFFKEMPQFCIKVGCVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPAENSAEPNPQASEYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAILIVQIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKKKPCPAASECQQDSLSFIDPEGLTYFKNLLEEDSLKSSLLILEKEYENAINTKGELDERMFTNDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPNGNGYYNSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTGSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARSALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNNSFRSPVKDILKSKLLPPLQSAFASPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIKGLCERLQLSQQVLERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWPPRSRNGKTGEEHVDIITFYNEVFIPTVKPLLVELGSGASPNKKNEEKCAADGPYPESPRLARFPNLPDMSPKKVSAAHNVYVSPLRSSKMDILLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLGDQNGTSTATADIATKTPVKREQPDS >LPERR08G18690.1 pep chromosome:Lperr_V1.4:8:18806921:18813743:-1 gene:LPERR08G18690 transcript:LPERR08G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSRIFNGKMRYFSTLRNSYAENKCIFSAPVSKLSHCHLPILLSHATVSGLLLELPLVHSVLRSLSLGPVPSLSISLLSLLCRFTYVTLDNYSLNIAISAAARIPSVVVGSQFHALSLKLSLDSDTFVLNALINMYSSCNYPAAAKLVLDSSPQGTSDVVSWNTIIAGYLRGGMANKALQSFRQMAKEQVMLDEVTLLNVLVACARTCIVKVGRLCHALVVLNGFEINCYIGSSLVSMYAKCGLVEDARRVFNGMPERNVVCWTSMIAGYTQSGRFKEAIELFRDMQIAGVKADGATIATVVSSCGQMGALDLGRYAHAYCDSRGLGEALSVKNSLIDMYSKCGDIKKAYEIFCGMTKRDVFTWTTMIMGFAMNGLCVEALDLFAQMEGDGEVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSNVYNLAPRIEHYGCMVDLLGRAKLLAEAEQFIKEMPIAPDVVVWRSLLFACRASGQVALAEYAAERIEQLEPKKCGGHVLLSNVYATTSRWVDVNKVRTGMGNCRTSKKPGCSFIEVDGCIHEFFSGDESHIEMEAIYNTLFGINELLVVESLY >LPERR08G18700.1 pep chromosome:Lperr_V1.4:8:18814895:18817833:-1 gene:LPERR08G18700 transcript:LPERR08G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMQGKAPLSDSNRRIMDAEAPPRRLYQTWKGSNRFFLGGRLIFGPDVRSLILTVCLIVIPVILFSAVVSGQLTHEYHNQIGGWVATAAIIFTAYIIVLLLLTSGQDPGIVPRNAHPPEPEDVGESSNLSEWPGGQHGSAGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTVLCIYVFAFCLVDLRIIMDTHRCKLGKAIMKSPVSGILILYTFIAMWFVGGLTSFHLYLICTNQTTYENFRYRYDRRTNPHNRGVVQNFIEILCSRIPSSKNNFRAKVKEDSATFTSSLGMGRAPSPPKMSVDLEMGVKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEIATDIEAFAEEFGMEQGFTERKKIEQRTSDNP >LPERR08G18710.1 pep chromosome:Lperr_V1.4:8:18821106:18821597:1 gene:LPERR08G18710 transcript:LPERR08G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKVAELLKKAMGALRGKASVLKARLLFLASLRRRTAVVGAISHHLRSLMPANASASPDGRPPLPAADDEEDIGLSELARLFEEVVVDDDDGGERFPDWTHSLFDDDCGDEEEEEEASVMEVIRQRREGEGEEFDMEEEIDHAADMFIRRVRHRMAASRRSF >LPERR08G18720.1 pep chromosome:Lperr_V1.4:8:18822984:18827392:1 gene:LPERR08G18720 transcript:LPERR08G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPHETAAMGGGGGAASCSICLDPVVVGAGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGSWVTDHTVGDHSNAASSSLACPYLALRGFLHPVHVPSSSSSSAENSSFHRHPTGLEGHAAPDMSNPQVFHATESRNHDNDHRFLSNLPVSGIPDHSVPPFGIGLPRYDSSSQQRSRPYAHHHPLVHRPTPRNGSNMVTPLGSVPPVMAETRGHGHGARGGHMYQQSMHSSMQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHVFAPVNRSNASDGEAVSRPVNRTYRPSGERIPQSHSDNGYQSMHPQRMPPFL >LPERR08G18720.2 pep chromosome:Lperr_V1.4:8:18822984:18828134:1 gene:LPERR08G18720 transcript:LPERR08G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPHETAAMGGGGGAASCSICLDPVVVGAGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGSWVTGETYDITSDIVNHTVGDHSNAASSSLACPYLALRGFLHPVHVPSSSSSSAENSSFHRHPTGLEGHAAPDMSNPQVFHATESRNHDNDHRFLSNLPVSGIPDHSVPPFGIGLPRYDSSSQQRSRPYAHHHPLVHRPTPRNGSNMVTPLGSVPPVMAETRGHGHGARGGHMYQQSMHSSMQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHVFAPVNRSNASDGEAVSRPVNRTYRWGQEGYTSFPWIPADGESHWWGTFNPVQNHTHGGFTRRPSGERIPQSHSDNGYQSMHPQRMPPFL >LPERR08G18720.3 pep chromosome:Lperr_V1.4:8:18822984:18828134:1 gene:LPERR08G18720 transcript:LPERR08G18720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPHETAAMGGGGGAASCSICLDPVVVGAGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGSWVTDHTVGDHSNAASSSLACPYLALRGFLHPVHVPSSSSSSAENSSFHRHPTGLEGHAAPDMSNPQVFHATESRNHDNDHRFLSNLPVSGIPDHSVPPFGIGLPRYDSSSQQRSRPYAHHHPLVHRPTPRNGSNMVTPLGSVPPVMAETRGHGHGARGGHMYQQSMHSSMQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHVFAPVNRSNASDGEAVSRPVNRTYRWGQEGYTSFPWIPADGESHWWGTFNPVQNHTHGGFTRRPSGERIPQSHSDNGYQSMHPQRMPPFL >LPERR08G18730.1 pep chromosome:Lperr_V1.4:8:18829095:18848973:-1 gene:LPERR08G18730 transcript:LPERR08G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRINSVTMSDMEETRRQLEKTKVDLVELINTSDNNLRVVAVWGTSGFLKDTSIIKRTYDVLKIRNKFECCAWIELMSPFNQIEFLHSIIRQFYVNSLQQSMDAKQEAADLVDQIPQKMDKSDEVALVNVFKEYVNKKRCLIVVLTVLSTIQEWDEKKKCFPCDNTRCRILVCTEHVEVARLCVRQQDNVPLEYKKLSGDETLYGFYEKGSQDSTHSEEPSSTSDVTTSGVKNSRVSKMFTRIDTVVATLEESQLTGRAKEKSYIIELIIKNQEKQQSRVISIWGMGGLGKTSLVQDIYRTEDVSRNFDTRACVTVLRPFNSGSKQFGDEKETELAELLEGKKYLVVLDDLWDIKEWDDIMPYLPNKKGSCIIVTTREENIANYCSKETNNIYKLSGLEDDQARELFMTKVFKAKTNLEEQYPELVEQANLILKKCNGLPLAIVTMGGFLANQPKSTLVWRKLNEHITAELHMNPGLETIRTVLLKSYDGLPYHLKSCFLYLSIFLEDQKISRERLMKRWSAEGYIGEAHGKSSMEIAHDMFIELISRSMILPATEKSELGREFDYCQLHDLMREISIRQSTEENLVFRMEEGCSSKSQGTIRHLAISSNWNGDQDEFETTVDLSRVRSLTVFGKWKPFFICKNMKMLRVLDLEDTEDLCDHHLEHVCKLLHLKYLSLRGCPGIHQLPDSLGELRHLETLDIRDTSIFMLPKSIIKLRKLRYLHGGTRKFQSRKPSPAQRWRIPCCILPCGANRDLVDPYEYPVPTLPRGSGKLKALHTLRYVHLAWDKTVVEEMESFTQLRKLGVMGIDKNNAPAFRSDISKFSRLESLSVKIGQAGLGCLDLEDQDTCSPRLEKLQRLQLIGVLGKLPKWIEKHQNLVKLRLESCKLEDADAAIQVLGALPSLAFLRMSDHAFNCQNEYSSVHLNFRQGLQEEAILFPKLRVLHLDMAGSLFRIYGAFDVALVSGLGSVQFGGGATPKLEQLLFGDLCSERILSGLKELPSLKKVILYSYNYSESNYDESLSEDSTVLSLGKLVLGGALGYANSALAEEVALQLGIQRDHAFIRDELEMMRSFLMVAHEEERDHNKVVKTWVQQVRDVAYDVEDCLHDLAVRVGKPSWWRKCSPLTLLEQRRVAQKMKDLRAKVEDVSQRNARYHLIESSGSKGAIADAMSMPGIDELRWQQERARAGLVRLITNKEEDLRVIAVWGPSGVLEKTSIIERTYDDLKASRNFECYACVSLRRPFNQKEFLLSIMSQIYENLIVERQEKQAPETHILGKMVVTKEDDSYLVDVFTGYLMEKNYLLVINDLSTIEEWNQIKAYFPNNKKGSRVVATTKQVEVATLCIGADNAKPELTQISADKTLYAFYEKGALDGIYSTKQASSSNRKVDKVDSVALNEFELIGRMDEKEDIINLVSNLDPQGLQVISVWGMGGLKKTTLVREVYQSPKFSGMFEKRAWVTIMRPFNCSHILKSLALQFGDESKTDLNKHLHGKRFLIVLDDVAGCIIVTTRQESIAKHCSNNESNIHRLNILEPTHARELFTRKVFKAVKWEEKYPQLVGLVEPILKKCGGLPIAIITIGGFLANQPKSDLAWRKLNEHISAELEMNPDLETIRTVLLKSYDGLPYYLKSCFLYLSIFPEDDKVSRKCLMQRWTAEGYTWEMRGKPAKETSHDMFMELMSRSMILPAQESIRLGRGIDYCQLHDLMREISITKSMEENLVLRLEEGCSSNTQGTTRHLAISSSWKGNKHEFDSMVDMSRVRSLTVFGEWKPFFISEKMRMLRVLDLEGTQGLVDHHLEHIGKFPHLKYLSLRGCNGIVQLPDSLGNLKQLELLDIRRTSILMLPKIIVKLRNLKYLHAGAYDLFGMEQPSLASKCMSLLKLQSILCASCCVPRLLQMDGANRQDACNYACCLMSRAIMMDLVGGGIGHFPFLPRRSRKLKNLQTLRHVHLAWGNAVIQEIERLTQLRKLGVVGINKKNGPVFLSAISKLNRLESLSMRASGEEGLRGCQDYCSTSPPSNNLQSLKLEGVLGGKLPEWIGKLQSLVKLRLEDTKLEDANATIQVLGALPSLAILRLWSYSFKCGQGDKLRLNFRREQEAAMFPSLRVLYTRLVLSNQCTLDKVEPPSLSSCNFVVRMTHTALDCYRG >LPERR08G18740.1 pep chromosome:Lperr_V1.4:8:18839974:18840611:1 gene:LPERR08G18740 transcript:LPERR08G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDMTPQWITTSTMVPAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMSGESLEKNLFKKIE >LPERR08G18750.1 pep chromosome:Lperr_V1.4:8:18848990:18849400:-1 gene:LPERR08G18750 transcript:LPERR08G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSALAEEVALQLGIQRDHAFIRDELHMMQSFLMAAHDERSEHKVVKAWVQQVRDVAYDVEDCLQDFAVRVGNSSWWRSPNMLLERRSVAKKMKELRAKVEDVSQRSVRYRLIDGCL >LPERR08G18760.1 pep chromosome:Lperr_V1.4:8:18854178:18877304:1 gene:LPERR08G18760 transcript:LPERR08G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKDHADADADAGVSLRILHSGLFITRFSLLVCPCGCDLIDETPMPDSRRGKVAAAWVLSTAATDGGMDGGCNRQPWSRLPSIGEEAPLTVLSSQLLLGTPALGYNLQRDKKDAIDWPITQ >LPERR08G18760.2 pep chromosome:Lperr_V1.4:8:18854178:18877304:1 gene:LPERR08G18760 transcript:LPERR08G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKDHADADADAGVSLRILHSGLFITRFSLLVCPCGCDLIDETPMPDSRRGKVAAAWVLSTAATDGGMDGGCNRQPWSRLPSIGEEAPLTVLSSQLLLGTPALGYNLQRDKKDAIDWPITQ >LPERR08G18760.3 pep chromosome:Lperr_V1.4:8:18854176:18877304:1 gene:LPERR08G18760 transcript:LPERR08G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKDHADADADAGVSLRILHSGLFITRFSLLVCPCGCDLIDETPMPDSRRGKVAAAWVLSTAATDGGMDGGCNRQPWSRLPSIGEEAPLTVLSSQLLLGTPALGYNLQRDKKDAIDWPITQ >LPERR08G18760.4 pep chromosome:Lperr_V1.4:8:18854178:18868431:1 gene:LPERR08G18760 transcript:LPERR08G18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPLLLIFQLISEYRSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKFL >LPERR08G18760.5 pep chromosome:Lperr_V1.4:8:18854195:18868431:1 gene:LPERR08G18760 transcript:LPERR08G18760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPLLLIFQLISEYRSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKFL >LPERR08G18760.6 pep chromosome:Lperr_V1.4:8:18854195:18868431:1 gene:LPERR08G18760 transcript:LPERR08G18760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLDGALSYAKSAIAEEVTLQLGVQKDQRFIRDELEMMLSFLRAADKEHAHHDEVLKTWVKQVRDVAYDVEDCLQDYIVQLERPSWWSRRLSCTTLKERHHIATMMKDLRAKVEDVSQRNSRYQLISGLAADASEPSHLAAAELQSTNDEAIRVAKQEKKVDLVHLITKSGGSGLQVIALWGNSRASAIWLAYQQAKVEFECHAWVKLTHPFDAKEFIGSLVRQFKANSQEVTGKAPQGTPSVVSVLDEMEAKGYNLLSDFSGYVTNKKYLIVLNGLSTIEELDWINACLPYNDNGSRILVCTQQDEVASFFNETGHKVSEMQQEQSFVKPLFIFYKEDSSIEQKYKAESSSNSTSTTGSTEDGNNPILDESKPTEWVEPESEVTDQISKPESEVMDLLSKGGKVIAVWGNDLEKSSAVVRNVYERLGGCFQKHAWFSMKPEVSHEEFLKDLDSQLDRDYCESTEGYSDHKQQEDARNSISKEGNTESTRKLLASKISLAHKFLFVFNNISSIQEWDSIAKRLPIESNPANRIIVTTRESTVAIHCSGENQYKLEGRNDKTKAEPQSVGLDSTEMKSETKSCMSSSSTANDKKKVHFDDEMITEDNVEVLPESCSPTSYPLNRDSNNSAAKKFNRSRSTIAAQEDQLIGRVKEKKDLLELLSSNSNHQVISVWGMGGIGKTTLVKSIYQSSELEQLEFKRRAWVTVQRPFQPTELLRSLAQHLGEDSTINKGGSMLGLVRNDLSTMGSKELSDKLKDDLTGKKYLIVLDDMSSYIEWDFIIKKLPESNDRRIIVTTRPESVARYCSKTVQNMHKIEGLTDEDALELFLNKVSNNDEDKSKLKQKRDMMEEAHIIIKKCGRLPLAIAAVGGFLTTRPPIITEWRKFSDHLSTELDENPSLEKIKKILISSYEGLSYHLKSCFLYLSIFPEDHNIRYGRLLRRWIAEGYSRPMRNKNAEKEAEEQFTGLLNKSMIQQSRTVTTGKTGFFQLHDLMREISIAKSEEENLVLVLDDHSISSSKDKVRHLVVSQSWSREKNKNDMENIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVDGLQDPDLASIGKLRHLKYLSIRGCEKIINLPSSVGNLLNLETLDIRGTCVTKLPATIGRLQNLKYLRAGPSLDDEDDTRSWVPNPLLLIFQLISEYRSIQEEVGIKYASSLLLWLVRGWLRNLDLYGVKVPRGIGRLRFMHTLSVVNIARGNALLKNLKKLTQLRKLGVTGINKKNCKELCSAIADHGCLQSLLLRAEGKAGLQGCLDDLSPPPGNLESLQLYGNLVTLPEWIKRLENLQKLSLRSTNLEADATMEVLGGLPMLAILRLQDNACRWDELRIERSKENELQSEQSNEDELRNELSKEKELRNERSEEDEIQNERIKEDEIRNERSEENGLRNEQSEKHETRNIQRNEILFRPKCFEVLTALELISWRSLKSVVFEKGATPKLEVILVDDCTNIDDVGFSGIGNLATLKEVSLQGNYFTSFKEELQEQLDLIKPKPNLKFL >LPERR08G18770.1 pep chromosome:Lperr_V1.4:8:18877416:18879487:1 gene:LPERR08G18770 transcript:LPERR08G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQDETAAAAESGCMRVLLEGREQDECGFGLIEEEQHELGSGGWSRFAANAAAGGRRRRWEERRPWMIDGQPDKGELRAFISSSLTGQSVVTEGVSHFSSPVTAEVMMAMTHA >LPERR08G18780.1 pep chromosome:Lperr_V1.4:8:18885136:18885849:1 gene:LPERR08G18780 transcript:LPERR08G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGDEENIRMDVGPLSLISFLLHYHRFIQEEVGIRGWLRNMDLYGVKVPRGIGRLRYMHTLSVVNIARGNAFLKKLKRLTQLRKLGVTGINKKNCKELCSAIVSHGRLQSLLLRAEGDAGTNLEADATMEVLGGLPMLAILRLQDKACKENKVSFRPKGFTSLKALELVGCWFLTSISRDI >LPERR08G18790.1 pep chromosome:Lperr_V1.4:8:18888666:18900647:1 gene:LPERR08G18790 transcript:LPERR08G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLVEVWSFLGVSNAARVGGRTVEAYPSNTNSRKKRAVLASNGSNCRERGQVSAGWSAKLCQICHCRGDKERGHDEVFQTWVKQVRDVAYDIEDCLQDYIVRLEKPSWWRRCLSCMTLQERHRIATMMKNLRAKVEDVSQRNSRYQLFGGPATDASESSHLATVELQSTTTEEAMRVAKQEKKVDLVPLITKSGGLGLQVILVRGTCGASVIWLAYQQAKVEFECHAWVKLMHPFEEKEFIGSLVRQFKTNSQEVAGKTPQGIPSGVLVLNDMEAKGYNLLHDFSGYVTSKKYLIVLNGLSSIEDLDWIKSYLPNNDNGSRILVCTQQDEVASFFSETGHKDSLIEQKDKAESSLRAASTTGSTEDGNNHILEADPQSVCLDSTDMKSETKSHMASASIVDDIKTTHFDDEVIQEDDLEALPKSSSAPSVNRESSTPAVKKFNRSTSTLAAQEDQLIGRVKEKEVVLKLLSGNSDHQVISVWGMGGIGKTTLVKNIYHSSQLEFEKRAWVTVQRPFQPIELLRSLARRLDEDFARNKGGFMLELARNDLSTMRRKELCAKLNNDLNRKKYLIVIDGLSSYTEWDFMRGILPRNSASCIIVTTRTEDVARYCSKNEENMQNIMGLTDEDALELFLNKVSNNDEDKFKLKKKRDMMEEANIIVNKCGRLPLAIAAVGGFLSMRPPIIMEWRKFSDRMSTELDENPSLDNIKKILIKSYEGLSYHLKSCFLYLSIFRGDCDIRYRRLLRRWIAEGYSTATRNKTAEEEVEEQFIALLNKSMIKQSRTVSTGKTGFCQLHNLMGEISIANSEEENLVLVLDDHSISNSKDKVRHLVVSQSWSRDKNKKNDMQNIVDVSHIRSLTVFGEWRPFFLSQKMRMLRVLDLENVYGLQDPDLASIGKLRHLKYLSLRGCVEIFNLPSSVGNLLNLETLDIRGTYVTKLPATIGRLQNLKYLCAGMPLYDEQDTRTRVPNLLSTILELFSNYRSVQEEVGARNFMSAVMMIFRCWLRNMDIYGVQVPRGIGRLRYMHTLSVVNIAQRSALIKKLKKLTQLRKLGVTGINKNNCNELCSAIADHGRLQSLLLRAEGDAGLEGCLDHLSPPPEDLESLQLYGNLVILPEWIKQLENLQKLSLRSTNLEADATMEVLGRLPMLAILRLQDNACRMEELRIERSKENELRSEQSNEDELRNELSKEKELQNERSNENELRNERIKEDEIRNEQSEENEQSEEHEIGNMQRNEILFRPKCFEMLTTLELISWRSLGSVVFEKGATPKLEVILVDGCTHIDDVGFSGIENLATLKEVSLQGDYYTSFKDKLQEQLNLIKPKPNLKFL >LPERR08G18790.2 pep chromosome:Lperr_V1.4:8:18889033:18900647:1 gene:LPERR08G18790 transcript:LPERR08G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAVSVGKSVLDGALSSAKSAIAEEVTLQLGVQQDQSFIRDELQMMMSFLRAADKERGHDEVFQTWVKQVRDVAYDIEDCLQDYIVRLEKPSWWRRCLSCMTLQERHRIATMMKNLRAKVEDVSQRNSRYQLFGGPATDASESSHLATVELQSTTTEEAMRVAKQEKKVDLVPLITKSGGLGLQVILVRGTCGASVIWLAYQQAKVEFECHAWVKLMHPFEEKEFIGSLVRQFKTNSQEVAGKTPQGIPSGVLVLNDMEAKGYNLLHDFSGYVTSKKYLIVLNGLSSIEDLDWIKSYLPNNDNGSRILVCTQQDEVASFFSETGHKDSLIEQKDKAESSLRAASTTGSTEDGNNHILEADPQSVCLDSTDMKSETKSHMASASIVDDIKTTHFDDEVIQEDDLEALPKSSSAPSVNRESSTPAVKKFNRSTSTLAAQEDQLIGRVKEKEVVLKLLSGNSDHQVISVWGMGGIGKTTLVKNIYHSSQLEFEKRAWVTVQRPFQPIELLRSLARRLDEDFARNKGGFMLELARNDLSTMRRKELCAKLNNDLNRKKYLIVIDGLSSYTEWDFMRGILPRNSASCIIVTTRTEDVARYCSKNEENMQNIMGLTDEDALELFLNKVSNNDEDKFKLKKKRDMMEEANIIVNKCGRLPLAIAAVGGFLSMRPPIIMEWRKFSDRMSTELDENPSLDNIKKILIKSYEGLSYHLKSCFLYLSIFRGDCDIRYRRLLRRWIAEGYSTATRNKTAEEEVEEQFIALLNKSMIKQSRTVSTGKTGFCQLHNLMGEISIANSEEENLVLVLDDHSISNSKDKVRHLVVSQSWSRDKNKKNDMQNIVDVSHIRSLTVFGEWRPFFLSQKMRMLRVLDLENVYGLQDPDLASIGKLRHLKYLSLRGCVEIFNLPSSVGNLLNLETLDIRGTYVTKLPATIGRLQNLKYLCAGMPLYDEQDTRTRVPNLLSTILELFSNYRSVQEEVGARNFMSAVMMIFRCWLRNMDIYGVQVPRGIGRLRYMHTLSVVNIAQRSALIKKLKKLTQLRKLGVTGINKNNCNELCSAIADHGRLQSLLLRAEGDAGLEGCLDHLSPPPEDLESLQLYGNLVILPEWIKQLENLQKLSLRSTNLEADATMEVLGRLPMLAILRLQDNACRMEELRIERSKENELRSEQSNEDELRNELSKEKELQNERSNENELRNERIKEDEIRNEQSEENEQSEEHEIGNMQRNEILFRPKCFEMLTTLELISWRSLGSVVFEKGATPKLEVILVDGCTHIDDVGFSGIENLATLKEVSLQGDYYTSFKDKLQEQLNLIKPKPNLKFL >LPERR08G18800.1 pep chromosome:Lperr_V1.4:8:18900186:18903689:-1 gene:LPERR08G18800 transcript:LPERR08G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPSLPVAEDGGGCVARWIRKEVLFGLALGQVVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGGILIYRRQTLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGICVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWNAGAVLPFLGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAVGLLIYSYKSSKEAEETAQVAGASDEQGKAGDEEAGMQNP >LPERR08G18800.2 pep chromosome:Lperr_V1.4:8:18900186:18903689:-1 gene:LPERR08G18800 transcript:LPERR08G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPSLPVAEDGGGCVARWIRKEVLFGLALGQVVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGGILIYRRQTLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGICVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWNAGAVLPFLGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVDWIYFVAFAGTAVGLLIYSYKSSKEAEETAQVAGASDEQGKAGDEEAGMQNP >LPERR08G18810.1 pep chromosome:Lperr_V1.4:8:18907239:18911079:1 gene:LPERR08G18810 transcript:LPERR08G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFRGGVLRSRLCSPLAAAAAAFSSAPAASAVSAAAGEAERAIREGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAARKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESIPINALIAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPNFGDEAETAAADTERRQEAASM >LPERR08G18810.2 pep chromosome:Lperr_V1.4:8:18906817:18911079:1 gene:LPERR08G18810 transcript:LPERR08G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPTSAVSPTFSKHKQHYPIPFLLLASFAFAAMMLLFRGGVLRSRLCSPLAAAAAAFSSAPAASAVSAAAGEAERAIREGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAARKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESIPINALIAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPNFGDEAETAAADTERRQEAASM >LPERR08G18820.1 pep chromosome:Lperr_V1.4:8:18913371:18923224:1 gene:LPERR08G18820 transcript:LPERR08G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDNMVEEEEDEEGDEDDGGSGSGGGEGGSDDEDEDEFVGGDYEGREAEGSDEVVSRREQRYTVLTEKDINERQEEDISKVAAVLSIRKEEACVLLHHYKWNISKLSDEWFVDEEKVRDIVGLLLNGINFPNSRKLTCGICFEGYSSDAMSSAGYISAAIGDGPGCLSLRCPDPSCGAMVLQDMINKLAKDDDKARYARFVLRAFVEDSKKTKWCPAPDCSCAVEFVSDGNYDCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDAFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLPGSSRPDNNDSKPPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEGGLEDVHSTSQGTTSSNTTATPKKAVTKGKSKKQIAKTSTDDSDGGWPCERCTFINPLSVDACSVCDKHRY >LPERR08G18820.2 pep chromosome:Lperr_V1.4:8:18913371:18923680:1 gene:LPERR08G18820 transcript:LPERR08G18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDNMVEEEEDEEGDEDDGGSGSGGGEGGSDDEDEDEFVGGDYEGREAEGSDEVVSRREQRYTVLTEKDINERQEEDISKVAAVLSIRKEEACVLLHHYKWNISKLSDEWFVDEEKVRDIVGLLLNGINFPNSRKLTCGICFEGYSSDAMSSAGCVHFYCHECWEGYISAAIGDGPGCLSLRCPDPSCGAMVLQDMINKLAKDDDKARYARFVLRAFVEDSKKTKWCPAPDCSCAVEFVSDGNYDVSCLCKYSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDAFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLPGSSRPDNNDSKPPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEGGLEDVHSTSQGTTSSNTTATPKKAVTKGKSKKQIAKTSTDDSDGGWPCERCTFINPLSVDACSVCDKHRY >LPERR08G18820.3 pep chromosome:Lperr_V1.4:8:18913371:18921424:1 gene:LPERR08G18820 transcript:LPERR08G18820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDNMVEEEEDEEGDEDDGGSGSGGGEGGSDDEDEDEFVGGDYEGREAEGSDEVVSRREQRYTVLTEKDINERQEEDISKVAAVLSIRKEEACVLLHHYKWNISKLSDEWFVDEEKVRDIVGLLLNGINFPNSRKLTCGICFEGYSSDAMSSAGYISAAIGDGPGCLSLRCPDPSCGAMVLQDMINKLAKDDDKARYARFVLRAFVEDSKKTKWCPAPDCSCAVEFVSDGNYDCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDAFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLPGSSRPDNNDSKPPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEGGLEDVHSTSQGTTSSNTTATPKKAVTKGKSKKQIAKTSTDDSDGGWPCERCTFINPLSVDACSVCDKHRY >LPERR08G18820.4 pep chromosome:Lperr_V1.4:8:18920405:18923680:1 gene:LPERR08G18820 transcript:LPERR08G18820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVDHPDLGLRYGLAVDVKSIHELLQRQMSVLVLVHEVEHLHVLVQLLHRQIPRHHLHQRRDAGEEDVKDDAGAPDVHLRAVASFQNLRRHDMRRAWLEEDGEAEVDDLERRILRFIGEQEILRLQIPVDHPSSPPSQSSITRCTPPPPPPPSAATSSHASRSRTTDDDADDIRLMIATSLLTSSPSFAAGVAFAARRRLRPRWRRMVLQASDSPVAASRHRRVTPNSPRPSSRPSTYRRANSAAVVVAMTSSMTTTSAACSSSFLGEASEGSGRTRLMRARSLREDA >LPERR08G18830.1 pep chromosome:Lperr_V1.4:8:18920894:18923700:-1 gene:LPERR08G18830 transcript:LPERR08G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRARISLVLPDPSDASPRKEEEHAADVVVIDDVIATTTAAEFARRYVLGRELGRGEFGVTRRCRDAATGESLACKTIRRHRGRNRRRAANATPAANEGEDVRREVAIMRRMSSASSSVVRLREACEDVAADGGGGGGGVHLVMELCEGGELFDRIVARGHYSERAAANLFRTIADVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVVGSAYYMAPEVLKRSYGPEVDVWSAGVILYILLSGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSSNAKDLVRRMLDPNQSTRLTAKQVLDHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEELDKYVQMFHLMDKDKNGHLSLEELMDGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLAAAFNYFDKDGSGFIELDELREELGPNEQAILEILRDVDTDNDGRISYQEFELMMKAGADWRNASRNFSRANFSSLSRRLCRESL >LPERR08G18830.2 pep chromosome:Lperr_V1.4:8:18920894:18923700:-1 gene:LPERR08G18830 transcript:LPERR08G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRARISLVLPDPSDASPRKEEEHAADVVVIDDVIATTTAAEFARRYVLGRELGRGEFGVTRRCRDAATGESLACKTIRRHRGRNRRRAANATPAANEGEDVRREVAIMRRMSSASSSVVRLREACEDVAADGGGGGGGVHLVMELCEGGELFDRIVARGHYSERAAANLFRTIADVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVVGSAYYMAPEVLKRSYGPEVDVWSAGVILYILLSGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSSNAKDLVRRMLDPNQSTRLTAKQVLDHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVSRRVLSFLFLSSSSCCYLAKSSSSEKKKLMATAVVVVARNLPVEELDKYVQMFHLMDKDKNGHLSLEELMDGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLAAAFNYFDKDGSGFIELDELREELGPNEQAILEILRDVDTDNDGRISYQEFELMMKAGADWRNASRNFSRANFSSLSRRLCRESL >LPERR08G18840.1 pep chromosome:Lperr_V1.4:8:18924923:18928997:1 gene:LPERR08G18840 transcript:LPERR08G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPEDAGQPPQSAGKGGRGDSKRKHSNWHGGGGGEGGQGTKRKRKEVFIYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLALKFKCQSILGVDIDSGLIESANWNLRRISRLDKVPLENTKVHKSADSLPENIPQRVAAEISNGDISDTNHHDIFEVISFRRENFVECASNSSEQYDTIVCLSVTKWIHLNWGDDGIINLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTIQIYPDKFREILLDKIGFRSVDVVTDKLVGAVTGFDRPIEVYHK >LPERR08G18850.1 pep chromosome:Lperr_V1.4:8:18933899:18934246:1 gene:LPERR08G18850 transcript:LPERR08G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISVAECQHVHRSALDYFLAVLIVVAVVVAARLLICAVVRCVVHNVAEAAHHHHHHHHHHSPTTTDDDIEMWGAAGTRPPPAIYRHEQQATSQPERRPDAAAESPRDEPHSTV >LPERR08G18860.1 pep chromosome:Lperr_V1.4:8:18935363:18935887:-1 gene:LPERR08G18860 transcript:LPERR08G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTTAVFSLAAVVLVSVVISVAGDRYFIESTCKLTNNPYCVPALATDPRSYQATTVRDLADVALSVAAAAVTYGSAVTNTLHQRYEGSEPLGRLLLGCKLMYDRAAVDVVAAAAAFRSGDYRGATRQQMAAHYAGVMCDELVRNYKELMVFDVDKKTIWRSDVAVDLIQLLK >LPERR08G18870.1 pep chromosome:Lperr_V1.4:8:18937725:18938213:-1 gene:LPERR08G18870 transcript:LPERR08G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSQYAPHLTRWRVSTTTINGVVRECVEHDGKPLFFRREDVIVVVSDSDSDATIECLEIGGEMFPLMKETMVGEAEMRCVEYVDDGGMTMRLTVTEEEKEVAEVDTDGVMRVVGCGSYYDRCTDTMQHVVDVQGEKEAYMLLVSVREELRRIVRVKRLN >LPERR08G18880.1 pep chromosome:Lperr_V1.4:8:18939325:18939504:-1 gene:LPERR08G18880 transcript:LPERR08G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAARPSPAEASRLVDCGEGGYESMVYPTVLEKAKETVELLLQRLPAGPSPKGPGH >LPERR08G18890.1 pep chromosome:Lperr_V1.4:8:18941930:18945215:1 gene:LPERR08G18890 transcript:LPERR08G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAASPLLLPSSRRPNHPSSKPSLIANTKRAPALMSSPPRRCCCRCEATAAAGDAAGTPSPQHGIDDGGVLCSSTSRRSVLGVAVAAGLAMAALDTALAAGLPPEEKPKLCDAACEKDLDNAPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPNGNIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDE >LPERR08G18900.1 pep chromosome:Lperr_V1.4:8:18996928:19001073:-1 gene:LPERR08G18900 transcript:LPERR08G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTNSAFIGSKKAQQTINGSKDASALSLEKEQSKLEKLKASVKNNGVESKKPQLTKSNSSLSKQVLNSIMGVDKEVVSSKAKAISVRSTPSSPTSVYSVPASFERFSNDMKQRTKVKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSSSVLNIESGPKALRRSWEGNADAKSKGKSDSKAAKADKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASATLDDSDKIVNKPSSPMRRTSGVLSNQNITNLVKIASNSKKMTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAIQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEHFLALHAAMSRATIVADSLTKAAAAATSPDQSTASETTTVDEESLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPPPTGIASSPLAVVLVDESAKPPATAAKASSSPAKSSRMSPAKGKGRVGPVSAAAIASAAIPAPPEWEKGGGAEERSELSRRLGEEARGWFLAFVERFLDADVASAAAPWDRDRAARMLPQLKRVNDWLSEISKPPPVTATAAAALESDEDGEEAVAAVANGVPEETIERLRKKIYEYLLTNVDSAASVLGGAGAGGEVAPVANGKRV >LPERR08G18910.1 pep chromosome:Lperr_V1.4:8:19007292:19012181:-1 gene:LPERR08G18910 transcript:LPERR08G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSQFKSSGLYSLKHPIHHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECTGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDEDSVSASDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDITQFVLQLLQERGELIPPEDSLDIARKVKEMHCYTSSDIVKEFKKHDKKPDKYVKQWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSADFSTPLPELIDSCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAVWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMH >LPERR08G18920.1 pep chromosome:Lperr_V1.4:8:19012912:19013400:1 gene:LPERR08G18920 transcript:LPERR08G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLRFAPHLTRWRVSTTTINGVVRECVEHDGKPLFFRREDVIVVVSDSDSDATIECLEIGGEMFPLMKETMVGEAEMRCVEYVDDGGMTMRLTVTEEEKEVAEVDTDGVMRVVGCGSYYDRCTDTMQHVVDVQGEKEAYMLLVSVREELRRIVRVKRLN >LPERR08G18930.1 pep chromosome:Lperr_V1.4:8:19015895:19016422:1 gene:LPERR08G18930 transcript:LPERR08G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSAVFPLAAVVLVSIVVSVAGDARFIFSTCKLTKNPNCVKTLATDPRSTKATTVRELADVALDIAAAAVKDGSASINDKHQRYAKAEPLGTLLLGCTWIYDRAAPEVAAASIAFRSGGYRDAVMHQMAAHYAGVMCDELITRNSKVSVVADDNKKTIERSDVAVDLIQLLY >LPERR08G18940.1 pep chromosome:Lperr_V1.4:8:19020009:19020410:1 gene:LPERR08G18940 transcript:LPERR08G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLATDPRSAKATTVRELADVALDIAAAAVKDGSASINDKHQRYAKAEPLGTLLLGCTWIYDRTAADVAAAAVAFRSGDYRGAARQQMAAHYAGVMCDELISHGSKKRVVADEDSKTIQRSDVAVDLIQLLY >LPERR08G18950.1 pep chromosome:Lperr_V1.4:8:19022541:19027511:-1 gene:LPERR08G18950 transcript:LPERR08G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPTNAILNRILAGNGAIRSLAVNCSLIDDAAVAAIAKGSLRELSLLKCSSFSPYLFVVIGKRCKNLRSFVLEMAASNDEDHFGICHKSVAHLFKGCGNLENLSLKFLPLLGPGSVDFESLVPIPSTIKVLSLQPKWSYTFRWVTDFGILMLAEGCKQLKTIRLAGFSNVRNAGYAALLQSCKDLKKFEVSTGCLSDLTCLDLGEAAPKITEVRLLNCGLLTSETAISLSSCTNLEVLDLSGCRSITDSGLVSISQLSNLTLLDLAEADVTDAGLSALGNGRCPISSLCLRGCKRISNNGIASLLCGSGTINKTILSLDIGDILRISGGAVTLIAKNCEQISSLCLRNCHLINDSSIETLGSMRHNSGRSSLRMLDLSYCSRLSRSFLGLFEPPFFRGLRWLGVGKSMLERHGCSPTVAELLERKPGFTVCCNACEMGCRNQCHPDIRRQ >LPERR08G18950.2 pep chromosome:Lperr_V1.4:8:19022541:19024900:-1 gene:LPERR08G18950 transcript:LPERR08G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPRLVAGDGEASGSAVCGGLVERLPEALLVAVIGRLELEAACSAAASCRAIRAAAAAFFSAATSLDLSMFPPTNAILNRILAGNGAIRSLAVNCSLIDDAAVAAIAKGSLRELSLLKCSSFSPYLFVVIGKRCKNLRSFVLEMAASNDEDHFGICHKSVAHLFKGCGNLENLSLKFLPLLGPGSVDFESLVPIPSTIKVLSLQPKWSYTFRWVTDFGILMLAEGCKQLKTIRLAGFSNVRNAGYAALLQSCKDLKKFEVSTGCLSDLTCLDLGEAAPKITEVRLLNCGLLTSETAISLSSCTNLEVLDLSGCRSITDSGLVSISQLSNLTLLDLAEADVTDAGLSALGNGRCPISSLCLRGCKRISNNGIASLLCGSGTINKTILSLDIGDILRISGGAVTLIAKNCEQISSLCLRNCHLINDSSIETLGSMRHNSGRSSLRMLDLSYCSRLSRSFLGLFEPPFFRGLRWLGVGKSMLERHGCSPTVAELLERKPGFTVCCNACEMGCRNQCHPDIRRQ >LPERR08G18960.1 pep chromosome:Lperr_V1.4:8:19028883:19030644:1 gene:LPERR08G18960 transcript:LPERR08G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGTPKQLPYVRETVLKKRKVNEEWAIKNRERKAAKRQRRRDDGKGGIKRPEDFVREFRDKELDFVRMKTRLKVRKLPPADSLNSSLVFVIRIPGTFNLHPHMRRILRKLRLTQVLTGVFLKANDATMKKLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPLPLTSNDIIEKALGEHGIICLEDLVHEIATVGPHFREASNFLMPLKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKLN >LPERR08G18960.2 pep chromosome:Lperr_V1.4:8:19028883:19030838:1 gene:LPERR08G18960 transcript:LPERR08G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGTPKQLPYVRETVLKKRKVNEEWAIKNRERKAAKRQRRRDDGKGGIKRPEDFVREFRDKELDFVRMKTRLKVRKLPPADSLNSSLVFVIRIPGTFNLHPHMRRILRKLRLTQVLTGVFLKANDATMKKLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPLPLTSNDIIEKALGEHGIICLEDLVHEIATVGPHFREASNFLMPLKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKLN >LPERR08G18970.1 pep chromosome:Lperr_V1.4:8:19031700:19034119:1 gene:LPERR08G18970 transcript:LPERR08G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLLPVVRGVACKAADTLVKTITAMCGVDGERGKLERHLLAVQCLLSDAEVKNETNLAVKRWMKDLKAVAYEADDVLDDFHYEALRREVMIGNSIIRKVLGYFTRQRPLLFCVTMSKRLSNVLRKINDLVEEMNKFGLVERSEPPQIPYRQTHSRLGMDEAADIFGRDVDKEVVVKLILDQRDQQELQVFPIIGMGGLGKTTLAKMVYNDPSVQEHFHLKMWHCVSDNFEAISLVKSVIELATNRRCGLPDTIELLRERLEEVIAGKEEKRWEDDLKPLLNSVGAPGSIIIVTTRSRRVASIMGTLRPYELACLTEDESWELFSRKAFGRGVQEQAVLVNIGRRIAKTCKGLPLALKTMGGLMSSKQQVKEWEAIARSDIGDNVRGKDEILSLLKLSYRHLPSEMKQCFTFCAIFAKDYEMEKDRLIQLWIANGFIQEEGTIELAQKGEFTFNELVWRSFLQDVKQVDLASEDCPGSSDGLIVCKMHDLMHDLAKHVSDECATTEELIQQRASSKDVYHMQISRYELRKVSELYNGMTSLCTLLMAVSSHEYFSDLNLRSFFLERLKLRSLRGLWCECYYDPSIITCQLTNTKHLRYLDLSKSSILPDSICTLYNLKSLRLNDCKRLKCLPEGMTDLRRLNHLYLLGCTDLERMPPNISVLTNLQTLTTFVVDTKDGCGIEEPQNLRYLTNQLELYNLREIKSVSNAKESNLHKKQDLSTLQLCWGRGLTDKPRGKDSNEDEEMLESLAPHGKIKILKVYGYGGSKVSVWMDERPSNISALEKARYYTMHKM >LPERR08G18980.1 pep chromosome:Lperr_V1.4:8:19036716:19039754:1 gene:LPERR08G18980 transcript:LPERR08G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVAGKAAGALVQGVTRVALRREAQIGDSKSKSRKVLGYFTPLLFRVTMSRKLNNVLKKINELVEEMNRFGLVECAEVAPVIHPQTHSGLDSLMEIVGRDDDKEMMVNLLLGQRSKDGIEEGKRIAFNDFRVQQHFELPMWLCVSDDFNLNGLVRSIIDLATIGNCNLPDRIELLRSRLHEVLGHKSLHTLRLNGCSSLEHLPEGLRFMCNLRHLYLTGCRSLKRMPSRIGLLKNLQTLTKFVVGAEDGHGLDELKDLQHLGGQLELCNLKAIRSKSNAREANLHLTQNVDELLLSWCDFPEEQDVSIEEIIEFPLPPSRLELLDVCGSGHIEISSWMNEPQIFVHPKVLRLFDCWRCKDLPPLWQSLSLESLSLFRLNNLTTLSSGIDMVVQGCNGSLEFFPKMEINLGDLPNLEKWMDNEVTESVTSVMFPELKELRIFNCPNLVNIPKAPILRKLDIKYCKIAVNSLDHLTRVSHLEYCGDGGVSNDVQVIPLSSWPSLATLYLGSLGNMVIKEEQQTMAPLESIRRLDIWCSNCFFWPVRLKRTHQILLR >LPERR08G18990.1 pep chromosome:Lperr_V1.4:8:19048805:19049118:-1 gene:LPERR08G18990 transcript:LPERR08G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVPWTRRWGVQGESLAQYFTGPTTAMTLGVVTLLGCCQGDYTSFSYILDVVTSLEALFYKSWLCGVLVGPAVIGHAKRKSFGARLLS >LPERR08G19000.1 pep chromosome:Lperr_V1.4:8:19049293:19050982:-1 gene:LPERR08G19000 transcript:LPERR08G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPADVVISVFLHLLQRPDTHPLCGAPLLFCGDLHRFGGGSGQVVEKAQTSEVVTPKSFPPWLDLARRQVSGEADEA >LPERR08G19010.1 pep chromosome:Lperr_V1.4:8:19051899:19059607:1 gene:LPERR08G19010 transcript:LPERR08G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSSTVQQGNVCIVAYRKRSLLLIAFLHTSKLANKRPESSCIVMAESLLLPVVRGVAGKAADALVKSITTMCGIDNNRCKLERHLLAVQCLLADAEAKSETNPAIKRWMKDIKAVAYEADDVLDDFHYEALRREAVIGDSSTRKVLGYFTASSPHLFRLTMSKKLSNVLKKIDELVEEMNKFGLVERAESLQLSYRQTHSGLDKSVEIFGRDHDKEVMVEILMEQQDEQKLCVLPIVGMGDNFEVVSLLKAIIELATDKRCKVSDTIELLRRQLEEAIGRKRFLLVLDDVWNEDEVKWDEDLRPLLNSVGGPGSIIVVTTRSQRVASIMKTRQSHELARLSEDESWELFSRRAFGKVQEQTCLVTIGKRIAKKCKGLPLALKTMDGLMSSKQQVQEWEAIARSNIGDTIKGKDEILSILKLSYKHLPSEMKQCFTFCAIFSKDYEMEKDMLIQLWIANGFIQEDDTIDLAKKEELTQQKSLSKDVWHMQISRDDLNQISGLLKDLPKLESWAENSNGEPNDLIIFPKLESLTIISCELLSSVPKSPALKDLSISRYCGLPISLVEDLASLSEAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLKSLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGSRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLLLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGDVKWMGKPDKVIYTSAMSLAGGVNAHECITVGDSLHHDIKGANSSGVASAFITGGIHLAELGLNEIGENAEDDVIDSLCRKHRSYPTYVLPSFTW >LPERR08G19020.1 pep chromosome:Lperr_V1.4:8:19065322:19066236:1 gene:LPERR08G19020 transcript:LPERR08G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKLVLKLIDDEKKRKTTFKNRLKGLVKKVKQFETLCDVDVFLICIAGAGGEVVTTWPADRAAVERLIDRLRATPPAKIREVHTTETFLQDDLGKQRRNLIKARAAGELPSWDSSLDKLDADGLSNLYDALSEKLERAHRRIAALGGGGGVALPEIGFEFPFAGFASSSSNTGLTQFYYPLHDVTLPVTHMPPPLCLTYDQMPPPPAIAAAPFEFMNGNAYATNYIEHGGEATTTAGFLDDHCQGFVAGEGYNGDVLGHGFAVAGAGYDLDLECCMMNSDVWPMMNTIENPMCSNFQGGFQI >LPERR08G19030.1 pep chromosome:Lperr_V1.4:8:19071774:19077411:1 gene:LPERR08G19030 transcript:LPERR08G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAAAQRIVAEFDPEVAAERAAQTNHDGETPLYVAAEKGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTDIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDTSVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIDVNAVNRSGLTALAIAEQLNNEELINILREAGGVTAKEQVHPANPAKQLKQTVSDIRHDVQSQIKQTRQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSTAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMSIGAVIMLTTLGSMCYCIIAHRMDERKIKKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >LPERR08G19040.1 pep chromosome:Lperr_V1.4:8:19079715:19085532:1 gene:LPERR08G19040 transcript:LPERR08G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQHTPHHARLRRLVVSASLSLPSPLQSPKFLPFFVYKEAAASEKPLLFSSSLPSRVSASNPIHQSMAQDDAPDSSSTTAASSSAPSAVRLNAAAPEFTPRSAAAAAAGHQHHPRRQHRVAYHHNQPQHPQQQHHHHYQPQYHHHQQHHHQQQWNHYGVEEEGDAAADGAEVLIPEDVAKRVVKQVEFYFSDVNLATTEHLMKFMVRDPEGFVPMSVVASFRKVRELVSERSSLAAVLQTSVELIVSDDGKKVRRRVPFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGTDAAGTSSGRASKIEMLFANKVHAFVEYKTVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQTMGQGRRGGDEVDAADEDDPETTNHPQDYETEDASQLSEAQPDHQADDGNLDKGGMRQGRGRGRGGRGRGRGQYHGHNRDASHPIGTPPSNHSALGDHPSKPPPGPRMPDGTRGFTMGRGKPLNPSNAA >LPERR08G19050.1 pep chromosome:Lperr_V1.4:8:19084866:19094624:-1 gene:LPERR08G19050 transcript:LPERR08G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACNRDSALCKDDESSPPVATRGTNEQQFDGKQNGSAPKVKTVTGSNESASNNKVTLPARPHLWSEKNRWEERAKARSWRSGEELNMCEHGGIAAGKAAGVLAQSVTCMCGVDGDLRKAGEPVLGRPVGPRNSQGERERSCIAAKEDMAESLLLPVVHGVIGKAANALVQSVTRMCSVDGDRGKLERQLLLVQCRLADAEEKSETNPAVKLWMKELKAVAYEADDVLDEFQYEALRREAVIAGSKSCKVLDCFTSLLFRATMSKKLNNVLKKINELVEEMNKFGLVERSTEVPVPRLPYRQTHSALDESVDILGREEDKEMVVKLLLVQHEKHKLQVLPIIGMGGLGKTTFAKMVYNDTRVKEHFQLKMWHCVSDKFEVGFLLKSIIELVTERKCELTDTIELLRRELQKVIGKKRFLLVLDDVWSEEKNLWEDVLKPILSSAGGDGSVILVTTRSQHVASIMGTLESHQLSCLSDDDSWDLFSRKAFYTERVQEQTELVTIGKLIVKKCKGLPLALKAMGGLMSSKQKVKQWETIARSNIGDSVKGEDGILPILKLSYTHLPSEMKQCFAFCSVFPKDYEMDKEVLIQLWMANGFIQEEQGEDVFNTLVSRSFLQDVKVKAIVYCLKYEYESIFCRMHDLMHDLAKDVADECTTSTLEQLIQKEASITNARHMHISYIHFRTLDSEKIGVFKGISYLHTLIAPPLSQKDLNELRLVSLRAIILQGSYFDYTDQVINSKHIRHLDLSESFIASLPNSICKMYNLQTLRLIGCSYLKYLPEDMGKMKKLVHLYLLGCDRLVRMPPNFSLLNNLRILTTFVVDIEAGRGIEELKDLHHLANRLELYNLRYINSRKSGKEANLHQKKNLNELILHWDSPNMKFWRPPNDADNEEVLESLAPHSELQVLEVHSYNGLRIPRWMSDPQRLRWLRTLGMYRCPGCKDLSTLWLSVSLERLILDHMNNLTTLCKNVEAEECSVPRQIFSKLKYMKLYCLSNFEKWAENTAGEANNLVTFPKLEVLEITICTALLSVPHCPGLKKLRTSYSGLRMSSLTHLTILSKLKYDGPEDYVCVSIFVTHEDEDKPRVPRKPLEYGSNYSSATQIARSSSCFTRRGCFPTVSVFDDEFLPTVQQTRAAHCFFSIVCLGLWKCFSYVEDLQLSGCDDLVYWPTEQLRSLIHLRSLCIESCHKFKGKGSSSEEMPHLEKLEIRFLLEIPEMPPSLEDLCLDYNTKLVALPSNLGDLDRLENLELRCERLVALPSNLGNLGRLEKLKLSCCDDLKELPNGMDRLTSLKRLEIWWCPKIKKLPQGLLKRLPTLEFLSVKGNPELQRLFTESGEYFHLISSVPQIELEESETELRPNPKKLMDSIRRIAGMGKAKVPSAVQMDKDESIVFFRELYKRDKDKDIDLLEPMYSVEFDAIQGGQTSKAPSRKKDFLIAVDEKHDYDWLKTPPATPLFPSIEMETNSSQMVFQKELPIPRPVKTSASRFSGKTEATKTSVVRSMLPKPDCSSKRSNLSRGAASTISSEKNQPCTIEKRSNYAAVTSRKQKPVTATAPTAPTADGNGTKKHSDRCYASQGAPKRVINPQVPCNAPKNLITTGSILTRQSPSTAKSRIRDSALGVDDKVNSSRTRKQSCTPVATRGIKEQIIDGKQKGLQDKVKAVTVSNEPASSNRGRAAEATLMKGMRRTDGKNEQRPNFFDNQAKK >LPERR08G19050.2 pep chromosome:Lperr_V1.4:8:19087521:19094624:-1 gene:LPERR08G19050 transcript:LPERR08G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACNRDSALCKDDESSPPVATRGTNEQQFDGKQNGSAPKVKTVTGSNESASNNKVTLPARPHLWSEKNRWEERAKARSWRSGEELNMCEHGGIAAGKAAGVLAQSVTCMCGVDGDLRKAGEPVLGRPVGPRNSQGERERSCIAAKEDMAESLLLPVVHGVIGKAANALVQSVTRMCSVDGDRGKLERQLLLVQCRLADAEEKSETNPAVKLWMKELKAVAYEADDVLDEFQYEALRREAVIAGSKSCKVLDCFTSLLFRATMSKKLNNVLKKINELVEEMNKFGLVERSTEVPVPRLPYRQTHSALDESVDILGREEDKEMVVKLLLVQHEKHKLQVLPIIGMGGLGKTTFAKMVYNDTRVKEHFQLKMWHCVSDKFEVGFLLKSIIELVTERKCELTDTIELLRRELQKVIGKKRFLLVLDDVWSEEKNLWEDVLKPILSSAGGDGSVILVTTRSQHVASIMGTLESHQLSCLSDDDSWDLFSRKAFYTERVQEQTELVTIGKLIVKKCKGLPLALKAMGGLMSSKQKVKQWETIARSNIGDSVKGEDGILPILKLSYTHLPSEMKQCFAFCSVFPKDYEMDKEVLIQLWMANGFIQEEQGEDVFNTLVSRSFLQDVKVKAIVYCLKYEYESIFCRMHDLMHDLAKDVADECTTSTLEQLIQKEASITNARHMHISYIHFRTLDSEKIGVFKGISYLHTLIAPPLSQKDLNELRLVSLRAIILQGSYFDYTDQVINSKHIRHLDLSESFIASLPNSICKMYNLQTLRLIGCSYLKYLPEDMGKMKKLVHLYLLGCDRLVRMPPNFSLLNNLRILTTFVVDIEAGRGIEELKDLHHLANRLELYNLRYINSRKSGKEANLHQKKNLNELILHWDSPNMKFWRPPNDADNEEVLESLAPHSELQVLEVHSYNGLRIPRWMSDPQRLRWLRTLGMYRCPGCKDLSTLWLSVSLERLILDHMNNLTTLCKNVEAEECSVPRQIFSKLKYMKLYCLSNFEKWAENTAGEANNLVTFPKLEVLEITICTALLSVPHCPGLKKLRTSYSGLRMSSLTHLTILSKLKYDGPEDYVCVSIFVTHEDEDKPRVPRKPLEYGSNYSSATQIARSSSCFTRRGCFPTVSVFDDEFLPTVQQTRAAHCFFSIVCLGLWKCFSYVEDLQLSGCDDLVYWPTEQLRSLIHLRSLCIESCHKFKGKGSSSEEMPHLEKLEIRFLLEIPEMPPSLEDLCLDYNTKLVALPSNLGDLDRLENLELRCERLVALPSNLGNLGRLEKLKLSCCDDLKELPNGMDRLTSLKRLEIWWCPKIKKLPQGLLKRLPTLEFLSVKGNPELQRLFTESGEYFHLISSVPQIELEESETELRPNPKKLVKRFLPYC >LPERR08G19060.1 pep chromosome:Lperr_V1.4:8:19097392:19110013:-1 gene:LPERR08G19060 transcript:LPERR08G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRVAVDKTADALVQRVTRMCGVDGDRRKLERHLLAVECLLADAVAKSETNPAIKRWMNDLRAVAYKADDVLDDFRYEALRRDAVRHGGHMTMTTKIFGRDKDRESVVQLLLDQRDEKNLQVLPVVGMGGLGKTTLAKMVYNDTSVHGHFQLKMWHCASRNFQANTLVRSMVELATKERCDLPDTIELLRGRLQEVIGFKRFLLVLDDVWSEEQHNWEDNLKPLLFSLGGSGSVILVTTRSQRVASIMGTLRPINLPHLSEEHSWELFSKKAFSRDMQMHPELISIGKRIVQKCRGLPLALKTMGGLMSSKQLISEWEAIAESNIGDNVQGRNGVLAILKFSYTNLPSEIKRCFAFCAVFPKNYRMDKGTLIQLWIANGFIHEEGTTDSEEKGELIFSQLVWRSFLQVVNPTPFDNQASRYGLVGCQMHDLMHDLAKDVTDECSTLEDLVQKNASAKDVRHLKISCEMLEKTSGLFNFKATNSLRTLLSPSLSYKDLSKSRLMSLRALCCTSPNIINSHSINTKFIRYLDFSHSTIVKLPSSICMLYNLQSLRLNHCHRLQYLPEGMRTMRNLNHLYLFECDKLKRMPPNIGLLNNLHTLTAFVLDTGHGYGIAQLRDLRHLRNRLELYNLIKIESGADAREARDCTIVEKILREGPSLHQKKNLSELSLCWGRRKYEYPQYDIGSYEEVLEADIGSNEEVLEAVEPHSELKVLEVHGYGGTKFSQWMTNSQMCKCLQKLIIFNCPSCNDMPIAGLLVFLEYLSLGWMDSLTTLCKNINLDVEGCSTSMQLFPKLKIMFLENLRNLERWVESCGGELDSLVMFPQLEELSMYDCPEVASVPKCPVMKNLSVTKCQSLPISSLAHLTMLTELNYDGKSYVPTIMPLGSWHSLVNLRVSSLAKMMVPMEEKQIQRPMESLQSVRLSGPNCFVTTSTTPKFHQQVWECFSFVKELYISECNELVRWPVEELQNMPCIRFLCISCCSNLQGRISPSSEEILPLPRLEMLSIYNCTSLQDIPNLPTSLEELKISNCEGLMTLPSNLGDLAELKRLYLSGCIGLKMLPDGMEGLTSLQRLTINECPGIQRFPPGLIQWLSSLKSLKVEGCPELHRRWGVFSLGPCHCRATHYIIKKTKTRCNTKKAFLKRLLMKLISKNHCFFRWCAGWPARRLDVIRICGVDDDRCKLERHLLAVQCLLADAEAKSETNPAIKRWMKDLKAVAYEADDVLEDFHYEALRNEAQIGDSTTRKVLNYLTPQSPLLFRVSMSKKLSRVLKKINELVEEMNRFGLVTSTEPLQLPYRQTHSVLDEPADIFGRHDDKEAVVKLLLEQRYEEKLQVLPVVGMGGLGKTTLAKMVYNDPRVKEHFHLKMWHCVSENFEAVSLLQSIVELAMNERCKLKTIEMLHKKLVEVIRKKRFLLVFDDVWNEEEKRWEDDLKPLLNSVGGLGSVMVVTTRSQRVAYIMGTLEPHELACLNEDDSWELFSNRAFGREVRESAELVVMGRRIVKKCGGLPLAVKTMGGLMSSKQLVSEWKTIAESNIGVIVQAKNNVIDIMKLSYRHLSSEMKQCFAFCAVFPKNYEMDRDILIQLWMANGFIQEEDTIDLTYKGELIYHDLVWRSFLEDVKEKDADSIVCKMHDLMHDLAKDVTDECASTAEELSQVNRSANHVHHIMVSWTWGVPKEMVTELFKCSSSLRTAMLSETPDATVQELRLDSLRALSWSCTYRVEKHLSDTLSHLMKANNLRYLDLSGSCVVRLPNSMCMLYNLQTLRLDDCIRLEYLPERMGTMRMLLHIYLYGCPSLQQMPPNISLLNNLHTLTKFIVDTKAGCGIEELKDLRKLSNRLELPPRPPRSKSGLMKHYEMDKEVLIQLWMANGFIQEEETMDFQQKGDYVFKYLVGISFPQDVKAKSIHYSTTYESIGCKMHDLMHDLAKEVADECVSSEQLTKQEASTKNVQHMHISSSTVNVRQKMRLFKGSSLHTLIMPPMLDEDLKDLRLASLRALGVGEFLHYTYDIQSYVINAKHLRYLDLSLSEILMLPSTICGMYNLQTLRLNGCWRLKYLPEGLGTMKKLVHLYLVECNS >LPERR08G19070.1 pep chromosome:Lperr_V1.4:8:19111280:19116195:-1 gene:LPERR08G19070 transcript:LPERR08G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKVSPAFVVSTNDRRKLERHLLAVQCMLGDAEEKSKTNPAVKRWMKDLRAIAYEADDVLDDFHYEALRREAQISDSAIPKVPGYFTPHRPLLFLLTMSKKLNNVLKKINDLVEEMNKFGLVEGAEAAPMIHPHTHSGLHSLMEIVGRDDDKETVMNLLFEQQSNRKIAVLPIVGMGGLGKTTLAKMVYNDFSVQQHFKLPVWHCVSDNFNVNGIVRSIIELVTRVNCTLPDRIELLRSRLHEVVGRRRYLLVLDDVWNEELQKWEELSLQTLRLNECGNLQHLPEGMKFMSKLRHIYLIGCHSLKRMPPRIGQLKNLWTLTTFVVDTEDGYGLEELKDLQHLSGRLTTLASGIDMVVQGFNGSMEIFPNLKRMSLLHLPNLEKWTESEVTESIASVIFPELKELRIYNCPKLVNIPKAPILRELDINQCKIAANSLNHLTALSQLVYWGDWCVSTDVQFIPLSSWLSIETLDLGCLRNMVLPEEQQTIPPLESIRKLVIRYCNCFFSPNSSNWPFSFWDCFAFVEELTIVSCDDLVHWPVKEFRGLNSLRCVRFSYCNNLTGSSSEESFFLSGLEKLYVEFCNNLQEIPKLPASLEILVIKKCNSLVSLSLNLASLAKLQELQLFCCASLKNLPDSMDGFSALQDLCVQLCPGVETLPQSLLHRLPTLRKLITLGSHKLDRRCRRGGEYWEFVSKIPCLNGDFIEDRSNNGFAKIVMPCCSTLN >LPERR08G19080.1 pep chromosome:Lperr_V1.4:8:19120060:19123260:-1 gene:LPERR08G19080 transcript:LPERR08G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNDLKAVAYEADDVLDEFHYEALRREAQIGDSSTRKVLDYLTPQSPLLFRVSMSKKLNNVLKKINELVEEMNKFGLVERSTEAPQLPYRETHSALDESIDIFGRDSDREVVVKLLLDQRDEQKLQVLPVIGMGGLGKTTLAKMVYNDSLVKDHFQLKMWHCVSDDFEAVSLLKSIIGLATKNTCVLLGTIELLRHKLEEAIGRKRFLLVLDDVWNEDEKKWEDDLRPLLNSVGGPGSVMIVTTRSKRVASIMGTLGPHELQCLNEDASWEVFSKRAFAKQVREQVELVAIGRRIVKKCRGLPLALKTMGGLMSSKQLVSEWEIIAESNVGVRVQGKNDVLDILKLSYRHLSSEMKQCFAFCAVYPKDYEMEKEELIQLWMANGFIQEEGTMDLTDKGEMIFRDLVWRSFLQDVKAKRIYFYGGDSIVCKMHDLMHDLAIDATNECVSATKQLGQDKVSEKDIHHMQIQTGTTKEMMELFKGTSSLRTLIVRPKISRNFIKELRMASTRALSCSVINSHVMNAKHLGFLDLSDTSIVRLPNSVCTLYNLQSLRLNGCRYLKYLPEGMRTMRKLVHIYLYDCDSLQRMPPNISLLNKLRTLTTFVVDSEDGLGIEELKDLQRLANRLELYKLRKIKNKEKSQQANLYKKQNLREILLFWGRDKDYMPEYMANEEQVLESLAPPHGELKVLELHGYGGLAIPQWMEDPHTFQCLAKLCISNCPWFKDLPAVRFLVSLEHLSLYGMDNLTTLCNNDDVEAHGYGTSLQIFPKLKEMDLEKLPNLEIWAINISGEPNSLITLPQLETLRITNCPKLAGIPDCPSLRELKIERCPNIAVSSLAHVTTLCSLYYDAEGFNSMTMPLGSWQSLKELHVVSLPNMMCSLEELRSLIRLRHLFINFTNLEMNHSESEEILFLSQLETLDIQNSTVLKEIPKLPSSLERLSIVNCLNLVALPSNLGNLSRLRNLILGNCDTLKVLPDGMDGLTSLRRLSVVCCSGIEKFPEGLLRRLPTLEYLCLIGCSDQLVRRCKEGGEYFDLLSSIPKKEIEAVPGARSNIKKLVRRFLPSC >LPERR08G19090.1 pep chromosome:Lperr_V1.4:8:19124227:19129647:-1 gene:LPERR08G19090 transcript:LPERR08G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAARKEWRAVPDSPLRSNGAEDSGEHGKMGQSDDRAMYEDGGGGLGDYCSITIDGSGGLSEDIIQQRLQSIVHQREELQRVEMELRAQVIAHPQIIEAQRSFEAAAKEHVAAAGKLKEQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATVRRERDNSEAERAQHLKQIHDLQEHLREKESQFLALEEQHRAAQDNIIYKDEQLREVHAWVARVQEMDVLQSQSLQAELRERTEQFNQYWITSQQQYVEMQRGYLHTIQQLQLELNELRERTGAPKDGTQTALESSTESTFGQIKGNNVVANGGGTDSSQSLKSNGIPDGSIKGNSNASAVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPTSPVPQFGTFQSQSTTQPNLQWPNQQEAQNASLTPDETNYQTSPSDQAALQQAASNNDELSLKQSQATHAEHLTAHGKQQQQQCLPSVVPESTHEQKLQVAESNVTDHLVYNEQQKAQGSSSIVRPQAQTNELKLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDSIHLREGAQQIISATTAAAKIAAVASSAPYSSLLPSVAVTPVAQSTRQQRRPVVDSRPSNVMPSRNGSTAANFGDQHDKGAHIAKANDSVGYNIVQGIENVTIASKVKDIQQNGFSDEVRLGQSSMQAVSANGARHERSGIPAGLRGLRTPEDKQVACHWVQLV >LPERR08G19100.1 pep chromosome:Lperr_V1.4:8:19133101:19133916:-1 gene:LPERR08G19100 transcript:LPERR08G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSPYNSSCSGTAFPAMLELDFCDVPDQWLLGLNVVDDDAMAAWAAPPSRSVSSDVVSSIPLSSATVIIREERNKTTPTRRRGRKPAGSTRGGGGAAPPIGHVEAERQRREKLNRRFCDLRAAVPVVSRMDKASLLADAAAYIVDLRRRVNSLEAAAAAAARIDNTAAAGDGVEEDDGRLEVRMVGRDAAAVRLTTRAETRHAAARMMCALRALDLAVQHASVTRVGGGGATVQDVMVDVPAAFHDEACLRAALLHMLRHHDTTAVDV >LPERR08G19110.1 pep chromosome:Lperr_V1.4:8:19138206:19139078:-1 gene:LPERR08G19110 transcript:LPERR08G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKGFYRQRKKGRVAKPKTSPAAKRASKKKNSSQSQDCGSDHGDEGEQQLRLFDMDMKYGPCIGITRLRRWQRAAAMGLFPPARLRPAPAKPRSLNAIFLFVSGGQQYLQHYWYYFRAARVSLGRQRYLSLPLILLMILYKD >LPERR08G19120.1 pep chromosome:Lperr_V1.4:8:19146857:19150711:1 gene:LPERR08G19120 transcript:LPERR08G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGAGDPRHQASPQPTGGGGGQPPRSPTPLDLSAAAYRRLSPSLRPPAHPQVRLPSPYPQIPSPAAASGHHHHHSRSLSQPLFFSLDSLPPLPYADLAPPPPAIPPSPPSSTSDNPSIPHGLPPRKGGNHHRRSQSDIPFGFSQLSPPLPPAPPPPPVKREGGRSDGGDDAALYDLVNSYMDLDGMDALNSSDEPHEDRDSRASGNRAGSAAESSENEAESHSNSVERNDGGSKSRHCRSLSVDSFMGKLNFAAGDESPKLPLPSPSGGGGGLSRSGSGSLDGGAAILFGAESANWEFSEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSSGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEISDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQSQKSA >LPERR08G19130.1 pep chromosome:Lperr_V1.4:8:19163862:19174974:1 gene:LPERR08G19130 transcript:LPERR08G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELTGEVGVAASASAPVEMMWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVKLEKLTVEADVRVGRRAVPTLLNCAINAAQDDTSSWGTWFRKNNLIKSFGGNMKGKVTYNGQEVNSSTPQYLHAYISQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINKVDQELDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVVSLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPDRKNVADFLQEVTSKMDQKQYWVGANKYQYYSIEKFAESFRTSYLPQLVEKNQLKPTNAGKSKYVKTSTSRRISRWNIFKACFSREVLLLKRNSPVHIFKTVQITVLALVISTLFLRTNMSHETVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREVLALPGWALLSSVFIISLPMSLVETGLWTGLTYYVIGYAPSFLRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKAMILTSLHNCPDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFYYANANTVGKAILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQFMRSPHKHQVNINTTNVKVEHNSQIVGNGSTSPDQVTLPFRPLSLVFDHINYFVDMPKEMIKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKPRQRNMFIDEVMDLVELTGLKNAMVGIAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPATWMLDISSRTAEYDIGVDYAEIYQHSSLYRANRQLIDDLEKPEPNTEDLHFPPRYWQDFKAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGIVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVSVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMLLSFLYYTLYGMMTVALTPNTEIAAGMSFLIFIFWNVFSGFVIGRQLIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIRVPGQPDQTVKEFLESFLGLQDRYFNLVTCLHLAIIALFAFLFFLAIKHLKFQRR >LPERR08G19140.1 pep chromosome:Lperr_V1.4:8:19164149:19169764:-1 gene:LPERR08G19140 transcript:LPERR08G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSSVGTARRPTRTIWRKPRLSSWVSGGESHIISTGADAEAATPTSPVSSTASIVADDRQIERQPTDRRPNTEVLLVLNA >LPERR08G19150.1 pep chromosome:Lperr_V1.4:8:19174499:19185990:-1 gene:LPERR08G19150 transcript:LPERR08G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVSFKSKEADSMSRWSKYLSTEESPPSASVSWRTMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICIILSKKFPEHPNRLQLEKVDKFALDALNENAEGYMQNLEQWIMLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMIVQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLHVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLIEVHEQALVSADALHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSIKGYALSYLSSCGGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACCFPECASSIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQYMRDCIIGNFRRRFHSMIRTDNCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPVETHGGGSAIDIISNWYIENFVKDASRTGVVFDATQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGIDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVSSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPDEVPDKNEITRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMVSNMWSTAVYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREQRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGATLMASEPMEPSPRQSPLISLSHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEAYEGDRRAGERQLRSMRRSGPLDYTGSRKVKFVEGSSSASHGTGSLQRFAVSRSGPLSYK >LPERR08G19160.1 pep chromosome:Lperr_V1.4:8:19192105:19193169:-1 gene:LPERR08G19160 transcript:LPERR08G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQEDKAEAAEEETTTRHHAPPAAVAMSAIPMNSWLVPKPEPVEFFGGMAVVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSGPATSAVVDGDESPAKRRRKLQPTRAVAGASPLATAAPAAAYYPVIADPLLQGSGGAAISVQSGLAPITATGAPQGLVPVFAMPATGTPAVAGSNRMIPQATAVWMVPQPGGAAGAANQPTQFWAIQSAPQLVNFAGAQFPTAINVADFQQQQQQPVSIVQNSNSGGEHHHMQFSGADSHEQQRRRKEADHPEEDEDDDDDEPVSDSSPEE >LPERR08G19170.1 pep chromosome:Lperr_V1.4:8:19195823:19203218:1 gene:LPERR08G19170 transcript:LPERR08G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPKDVGILAIDIYFPPTCVHQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVISMSLTVVASLLKKYNIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCTKYEKIAGEQFSISDADYCVFHSPYNKLVQKSFARLYFNDFMRNCSSVDNDAKEKLQPFANLSSEESYQSRDLEKASQQVAKHMYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHGSLDGQRILMFSYGSGLTSTMFSLRLRDGQHPFSLMSIASVLDVTTKLESRHVTSPEKFIETLKLMEHRYGAKDFETSKETSLLPPGTFYLTKVDSMYRRFYDKKAAEGKMKGCNGIANGH >LPERR08G19170.2 pep chromosome:Lperr_V1.4:8:19195823:19203218:1 gene:LPERR08G19170 transcript:LPERR08G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPKDVGILAIDIYFPPTCVHQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVISMSLTVVASLLKKYNIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPLVQKSFARLYFNDFMRNCSSVDNDAKEKLQPFANLSSEESYQSRDLEKASQQVAKHMYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHGSLDGQRILMFSYGSGLTSTMFSLRLRDGQHPFSLMSIASVLDVTTKLESRHVTSPEKFIETLKLMEHRYGAKDFETSKETSLLPPGTFYLTKVDSMYRRFYDKKAAEGKMKGCNGIANGH >LPERR08G19180.1 pep chromosome:Lperr_V1.4:8:19200723:19202762:-1 gene:LPERR08G19180 transcript:LPERR08G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLINKYFSRSSKTPAAAGDDNNKDMAPPPAANGDDYTCIPGCVPVRVKKATVTTTTTITTTTARNSRHQFVRTAATGLFPGNAQFTNHESLPSMPAAFAEFAAAFPQYGGLATADAIRDAEYAHLDRHVCLDYTGINLFSHAQMNSSLPSTSASPPAKSWQPPFFDIAYKSTSLRSQLQCDDVADAAGVGGAVTRRVMASLNIPGDEYTMVCTANRTTAFRLLAESYAFERHGKRLLTVYDYESEAAAAMAESARRRGAEVMSAAFAWPSLRLHAADLRKKLLRGGRLRRRHHAGGLFVLPLVSRMTGARYPYMWMSAAQEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSTLAAAAIERSVIARSIGVVSIVPARRWSLRDAAYDSTDLDQSRSFVAGAGAGGEEIDVDTTSSFSGPLSATLIALTLKNIPQLDPPEITEADSPPPQPQPPIEEPKLMEVECRGLDHADALGLIAIGNRLRCISNWLVVAMAKLRHPQSENGKPLVRVYGPRVKFERGPSVAFNVFDWKGEKISPALVQKLADRHNISLTCGFLRSIWFADKYDADRAAVLEHMVGDGVGGGGGGGGGGGSGKRKEINGGEMGIHVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >LPERR08G19190.1 pep chromosome:Lperr_V1.4:8:19206961:19210305:1 gene:LPERR08G19190 transcript:LPERR08G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSVGVHACRRAGVGPETGVLIMGAGPIGLVTLLAARAFGAPRVAIVDVDDHRLAVAASLGADAAVKVSPNLSDAGDDIDKIKSAMGCDIDVSLDCAGFSKTMATALEATRAGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQKDVEEAFEVSARGRDAIKVMFNL >LPERR08G19190.2 pep chromosome:Lperr_V1.4:8:19206847:19210305:1 gene:LPERR08G19190 transcript:LPERR08G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSVGVHACRRAGVGPETGVLIMGAGPIGLVTLLAARAFGAPRVAIVDVDDHRLAVAASLGADAAVKVSPNLSDAGDDIDKIKSAMGCDIDVSLDCAGFSKTMATALEATRAGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQKDVEEAFEVSARGRDAIKVMFNL >LPERR08G19190.3 pep chromosome:Lperr_V1.4:8:19206753:19210305:1 gene:LPERR08G19190 transcript:LPERR08G19190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSVGVHACRRAGVGPETGVLIMGAGPIGLVTLLAARAFGAPRVAIVDVDDHRLAVAASLGADAAVKVSPNLSDAGDDIDKIKSAMGCDIDVSLDCAGFSKTMATALEATRAGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQKDVEEAFEVSARGRDAIKVMFNL >LPERR08G19200.1 pep chromosome:Lperr_V1.4:8:19211911:19221847:-1 gene:LPERR08G19200 transcript:LPERR08G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESPSPKRPAGRTKFHETRHPVFRGVRRRGRRGRWVCEALARHLRRARHRXAAAACRLNFADSAWLLAVPPPPAAAAFRSAADVQRAVAQAVHDFEISRNGDVAEDAMSATSEPSSNNDDDDDDTVNATSCSSMSEDDDEVASPFEVDDVVSDMGWSLYYASLAQGLLMEPPVSDDDDTGGDDGSCEIADVIFMFSSWLHSWCMMLSQEQQDIMRNGATRLESVVKDLLFHFGWRSNYRKSGTSSGQLMSSSAAAGPSSPKRPAGRTKFQETRHPVYRGVRRRGRAGRWVCEVRVPGGGGDRLWVGTFDTADDAARAHDAAMIALCGASAPLNFPDSVSLLRVHRSPALSSGAAGNGQLLLPDVQRAAAEAVAEFQRRGGGGGGVNASSGDDAAAIPPLPPAAAELDNGVIHGDVFGDMGADLYYASLAEGLLMDPPPTMTAAGDCCCDDDGCGGGGGGELELWS >LPERR08G19210.1 pep chromosome:Lperr_V1.4:8:19224131:19227224:1 gene:LPERR08G19210 transcript:LPERR08G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPTPSTTAASFRPPPPPCFDYREAMLPHTRAAAAADPSLAALVESGALVRVPRRRFGPVPAWRPPEFVEPEEVWILGTSHLSDESVADVERVLRAVRPDNVVVDPNSSEPSIGASIWVGRLHLLCVCFWPFSPQRFLPVQIDHLEKSSERLGRCLKILALNSFLGILERAWKSLSWDEKTKLLVSLFRGITSTTETAQDEKAAGSPYDLYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLPRHVTQRVRHVVEANRLLRADLLPLSVSAIAVNFLSLSTTRFICHPNSKLTATIADNLDHIAADFFKTNTWGRL >LPERR08G19210.2 pep chromosome:Lperr_V1.4:8:19224222:19227224:1 gene:LPERR08G19210 transcript:LPERR08G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRAGIMYATDAGEPMLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLAVFSSKISSSANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLLVSLFRGITSTTETAQDEKAAGSPYDLYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLPRHVTQRVRHVVEANRLLRADLLPLSVSAIAVNFLSLSTTRFICHPNSKLTATIADNLDHIAADFFKTNTWGRL >LPERR08G19220.1 pep chromosome:Lperr_V1.4:8:19229910:19231411:1 gene:LPERR08G19220 transcript:LPERR08G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNAEDKYPDLFLGKSKHGVYCARIKSGYLSVWFLSEWHGEMKWVLKHRSQPSFLLPRLAYDDQQSDGESWILQHADYKEDYEFDYNASMYHFQPLQHRYDYEETEEEKRHAKYVTFLGFHPFEEVVFLAETFRRGFAYDLNNSKIQDLADTTQDEKAAGSPYELYEKLSISYPSLLQPLIHARDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTMAIGVVLWALYEQLQAVF >LPERR08G19230.1 pep chromosome:Lperr_V1.4:8:19244701:19246260:-1 gene:LPERR08G19230 transcript:LPERR08G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCSKVSECQQPLLPPPESCPPILLDRPARPSPSRIGGGTIAEVASIVRLAMPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLATGMDPVCGQAFGAGRTSLLAAALRRTVFLLLAASVPIAALWLAMDRLLVAVGQDPDIAASAYQFILFSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAVMALSLHVPINVLLVEHLALGIRGVALAAVLTNLNLLLFLLAYVYFFTNVNSKSTKSIHDNDRDDAIEWGRLVRLSLNSCMSVCLEWWWYEIMVLLCGVLAEPKAAVAAMGILIQTTSLVYIFPHSLGCAVSTRVGHELGAGRPDRARAAARVGLACGAALGVVACAFAASLRGIWGRMFTADEAILRLASSALPILGAAELGNCPQTAGCGVLRGSARIGSAARINVSAFYGVGMPAAMALAFWPARLDFRGMWAGMLAAQLVCAALMLLAVRKTDWHEQVARARELTGGAAIAGDEINAAAKVVEESGLLVVTVLS >LPERR08G19240.1 pep chromosome:Lperr_V1.4:8:19262487:19264555:-1 gene:LPERR08G19240 transcript:LPERR08G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETPPPPAAAAAAAREEVWEVRPGGMLVQKRSPDSDPPPGGAPVPTIRVKVKFAGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDIAGVKDRSKMVLLEDPTAQAKRLIEERRHGKAQRASKNISRVALDVDKLAGKVSAMEMIVNKGGKVVDADVVALTEALMNELVKLDSIVVADDEVKKQRRVQEKRVQNHSTSPSTKPEPVLAKKIIRIQQ >LPERR08G19250.1 pep chromosome:Lperr_V1.4:8:19269518:19269790:1 gene:LPERR08G19250 transcript:LPERR08G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASKKGMSAAAAVLILLVVAAEAQQECVPQLNRLLACRAYAVPGAGNPSAECCSALSSISQGCACGAISIINSLPSRCHLSQINCGQ >LPERR08G19260.1 pep chromosome:Lperr_V1.4:8:19270644:19275893:-1 gene:LPERR08G19260 transcript:LPERR08G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELDEPFDPIPTFQMPFDNLFSVLDHSLLERAAAVFGQGPLVAHPRELRRIAVNDNNPQTCSSGRDPVIEDVTGHESSHGPEIHGTVVVDEDDDDNLPSAQDPHRPRNTSRLNYSVPSAPPSVGVSDYNNDIEEEMVRAAREASKRDAEGLTNGPSSRERENASGARDDDDIARAVSMSLETAEREIVLRQEGVHVSDHSDLSGKEDIEGATGPIDRKGPTSRKVETSEHLVNEENLQEDIEDVEGESLVGQRFRHLPSGNAESAETLQRANSHPSSPPPRNIPNNHQLNGVFPSEEWGRMSFEEYDEALMLEAAMLGGIPGRAAYPFSLLSHRNSSCYPTVVRPPSPTLAAQRLLREQQDDEYLASLKADQERELNAKMEAELCRLKAAQEEEEKQRKQLEEEELKSKLAAKQASLPKEPSPDDEGAITVVVRMPDGRRQGRRFLKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRVVTDSEGQMSLSDLGLTSKQEALFLEQISG >LPERR08G19270.1 pep chromosome:Lperr_V1.4:8:19277253:19279768:-1 gene:LPERR08G19270 transcript:LPERR08G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGQGKVAGGAAGYQYNASYGGGGGVYYEERRWWPWLVPTVLVACIVVFLVEMFVNNCPRHGSPIRGETCVAGFLHQFAFQPLRENPLLGPSSATNKGTISANILFAPLASLEKMGALDWAKVVHQHQAWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFILLARPQFGWMERHELPQTNQPRKYKAYQYFLWAVALFLLLVGFVIALVMLFKGKNGNDGCHWCQYLNCIPTSRWKCNT >LPERR08G19280.1 pep chromosome:Lperr_V1.4:8:19280701:19283198:-1 gene:LPERR08G19280 transcript:LPERR08G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILKIFLIMHCFFVLHGRHADGMPNVYDVTEYGAKPSNQDNTDAFLAAWRDACGSASGNATLLIPNGTFVVGAVKFSGPCNAGAGGGATAVVIDGVLQPHLSNDHDDGDWITFSRVNNLLITGSGTLDGHGSDGSTNHCQAKPLTTTLKLNVVTNTIIRGLRSVNSRGFHVNIHRSSYVTAEGLHIEAPATSRNTDGIHVGASDHVTISDTTIGTGDDCVSLGPGTTDIVVAGVTCGPGHGISIGSLGKTIGEENVRGVVVKNCTLSGTTNGLRIKTWPGSTSSSIWAYNITFKNITMNDVYNPIIIDQHYCPHGQCSNITEPSLVQISDVTYERIEGTSSSKKAVKLLCSESRPCSRLHLNEINLSCGDQTPCDTEISNVQGMHDSVPQAPSPAPSPSHEQEANILLL >LPERR08G19290.1 pep chromosome:Lperr_V1.4:8:19285231:19286453:-1 gene:LPERR08G19290 transcript:LPERR08G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAVAAPAPGDHHRATTPPARAGEASPSPPPATAAAAAGQQRMVPTPFLTKTYQLVEDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPGATTAVAAAIPMALPVVTTAMRDGSGNSPVMSGEEQVISSSSSPEPPFMLPQAPSGSGSAGGGGGDMGEENERLRRENAQLARELTQMRKLCNNILLLMSKYASTTQHLDASSAAGNCSGDGESAEPATPPLPLPAVLDLMPPAAAPLSDTDEEMMSAKLFGVSIGRKRMRHDGAGDVAGETKPEPMDGRPHGKEDHESQAWPIYRPRPVYQPIRACNGYDYDQDGSNSS >LPERR08G19300.1 pep chromosome:Lperr_V1.4:8:19298248:19300632:-1 gene:LPERR08G19300 transcript:LPERR08G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIKPGDHIYTWRAAYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLAISSNSEECPTFPDCGFQLPDSGVILTCLDCFLRDGALHAFEYGVAPAAFLAKLRGGTCTTAAAADPPESVVRRAMFLLQNGFGDYDVFENNCEDFAIYCKTGLLPFSGDGGGGDEMGIGRSGQAATAIGVPLAALLSTPFKLLAAGPIGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWCRAKKKAEEEKAMKKEQNLHEKVVKKKKSLLPLKRKRDYTYCEITC >LPERR08G19310.1 pep chromosome:Lperr_V1.4:8:19301863:19306284:1 gene:LPERR08G19310 transcript:LPERR08G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRFADARQQQAMAAAAAAAGMAPTAATAATVGTAAAGMPPQASMVQQAAAAPPLKRARPDYGDVTAGQDMTGYYPRETDRAGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGYPVDDRRMIGVVGMDNRGMGYGARPEPPLPADASSTLYVEGLPANCTRREVSRFREVRLVNKESRHPGGDPHVLCFVDFDTPTQATVALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >LPERR08G19310.2 pep chromosome:Lperr_V1.4:8:19301864:19306282:1 gene:LPERR08G19310 transcript:LPERR08G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRFADARQQQAMAAAAAAAGMAPTAATAATVGTAAAGMPPQASMVQQAAAAPPLKRARPDYGDVTAGQDMTGYYPRETDRAGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGYPVDDRRMIGVVGMDNRGMGYGARPEPPLPADASSTLYVEGLPANCTRREVSRFREVRLVNKESRHPGGDPHVLCFVDFDTPTQATVALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >LPERR08G19320.1 pep chromosome:Lperr_V1.4:8:19307515:19310249:1 gene:LPERR08G19320 transcript:LPERR08G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAVVFSTTATSSATRRSNPASRVYASLRRSPHSRLVSSSPSPPVCATAASPGGAAAGGTAAAAEARKKLLIFDAEERLAESLAKYTARVSEEAVAARGAFTVALSGGSLIKALRKLVESPYLEAVDWSKWYVFWVDERVVPKDHADSNYKLAMDGLLSKVNCFDFLMPTRLGQSADTMLKWDYCELVPIPASQIYAINDALSADRAADEYETCLKQLVNDGVVAVSEVTGFPKLDLMLLGMGPDGHVASLFPGHPIVNENQKWVSYIKDSPKPPLERITFTFPMLNSSAHIGLVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSLQEGLITWFTDKPAVSMLSSI >LPERR08G19330.1 pep chromosome:Lperr_V1.4:8:19311946:19318184:1 gene:LPERR08G19330 transcript:LPERR08G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSPSSSASSSPRAPSSPPPWVHLRSLLAASSSSSSSSLAAAGNNNSAAAAGGVSSASFAPATYSPQSDRGGAKSPWSRRKRKRPLSWRHWSHLFSANGKLRDGGRKFLKKVRSGGVEPDIRAKVWPFLLGVYDLNSSEAERNAIKTNKRNDYEKLRRKCHHVLHSYKGSGLNVISEANCEYHSCSEELEPLNSESISTRASPSPKGLNSARCGTNGQENATEGIEEDISELTYADPYVAGSESSDSGTSDEEELDRMSVSANLEVNRDPDPKFVRSNSSKLDFFRHNKTPENFATWQRIIRLDAIRTDPEWALLSQNRAEVSREKAVQHARSVGLKDYEHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEAGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWAKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >LPERR08G19330.2 pep chromosome:Lperr_V1.4:8:19312046:19318184:1 gene:LPERR08G19330 transcript:LPERR08G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMAARRIGGGVGMKALRRSSTSPSSSASSSPRAPSSPPPWVHLRSLLAASSSSSSSSLAAAGNNNSAAAAGGVSSASFAPATYSPQSDRGGAKSPWSRRKRKRPLSWRHWSHLFSANGKLRDGGRKFLKKVRSGGVEPDIRAKVWPFLLGVYDLNSSEAERNAIKTNKRNDYEKLRRKCHHVLHSYKGSGLNVISEANCEYHSCSEELEPLNSESISTRASPSPKGLNSARCGTNGQENATEGIEEDISELTYADPYVAGSESSDSGTSDEEELDRMSVSANLEVNRDPDPKFVRSNSSKLDFFRHNKTPENFATWQRIIRLDAIRTDPEWALLSQNRAEVSREKAVQHARSVGLKDYEHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEAGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWAKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >LPERR08G19330.3 pep chromosome:Lperr_V1.4:8:19312056:19318184:1 gene:LPERR08G19330 transcript:LPERR08G19330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSPSSSASSSPRAPSSPPPWVHLRSLLAASSSSSSSSLAAAGNNNSAAAAGGVSSASFAPATYSPQSDRGGAKSPWSRRKRKRPLSWRHWSHLFSANGKLRDGGRKFLKKVRSGGVEPDIRAKVWPFLLGVYDLNSSEAERNAIKTNKRNDYEKLRRKCHHVLHSYKGSGLNVISEANCEYHSCSEELEPLNSESISTRASPSPKGLNSARCGTNGQENATEGIEEDISELTYADPYVAGSESSDSGTSDEEELDRMSVSANLEVNRDPDPKFVRSNSSKLDFFRHNKTPENFATWQRIIRLDAIRTDPEWALLSQNRAEVSREKAVQHARSVGLKDYEHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEAGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWAKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >LPERR08G19340.1 pep chromosome:Lperr_V1.4:8:19324489:19326298:-1 gene:LPERR08G19340 transcript:LPERR08G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPPPPPSQDQSAAGSWLLYVSLAAKSGGAGGEQPSSQNLLSFVAVVAVAFAVTSLLYWLSPGGPAWGRYRWSIAPKSVVPTGPRGIPVFGSMSLMSGLAHRKLAAAAAASTPTWRRLMAFSLGETRVVVTADVDVARELLASAAFADRPVKESAYGLLFHRAIGFAPYGEYWRALRRVASTHLFSPRKVAASSPRRAVIARQMVSAVAEASERDGVVEARAFLRRAALHNMMWSVFGKEYDMAAASSASGGEAEELRRMVDEGYNLLGQLNWSDHLPWLAPLDLQRTRARCSTLVPRVNRFVTRIIDDHRSRLRLAVDDDGDPAAPTAVDFTYVLLSLHGDDKLSDADMVAVLWEMIFRGTDTVAVLIEWVMARLVMHQDVQARVHEELDRVVGSDREVTESDAAKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFFIPAGTTAMVNMWAITHDPTVWVDPDEFKPERFVAGPSSDQVGEFSVMGSDLRLAPFGSGRRSCPGKSLAIAAVGFWVATLLHEFEWLPPLDEPCGVDLSEVLKLSCEMAAPLEARLRPRRSAA >LPERR08G19350.1 pep chromosome:Lperr_V1.4:8:19332247:19336757:-1 gene:LPERR08G19350 transcript:LPERR08G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAAEAKEERIMVSVRLRPLNGRESGDTCDWECISPTTVMFRSTIPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLESEMKTPGPASCTNHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLLKSDSDDLRDERITNRWDEHSRSSESFVRNASEEAFSVSDTCGIAYQDQDHVVFNGSCAFSDDREDIVFPVQTVELPEETKHEKCRSRWQPPSHHNSSDCIDSYHMTEAASRTASEVSEENCREVQCIDIHENRRSTSHEFDLLLPQPQDTKLQTPELDISKESVPQPDEEQELESITSRMEDPIRMYTINEEQQDEIPYPYECLTVKRCVMSSKDSVLSRSKSCRASFMIIPNSWFDDNECIGGTPPDEIFKHTPRKPDKVRRSLYPENVNPSSVDRSVLPSELSFDEALEDMSNSDEVAKDMCLSDAEQGNLISGISFATRLEKTDNEDEDKLEYQDQQSVRDGSTTMRTVKDVGIDSTLSTIDSPSRSPIDFENMQQEIIQLWHECNVPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSARPAVEGELNSAVASSLKNLRRERDTLHKQMLKKLNNGEKESVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVARLIELLEPAQALKEMFGLNFTLAPRADRRSFSLLGI >LPERR08G19350.2 pep chromosome:Lperr_V1.4:8:19332247:19336757:-1 gene:LPERR08G19350 transcript:LPERR08G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAAEAKEERIMVSVRLRPLNGRESGDTCDWECISPTTVMFRSTIPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLESEMKTPGPASCTNHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLLKSDSDDLRDERITNRWDEHSRSSESFVRNASEEAFSVSDTCGIAYQDQDHVVFNGSCAFSDDREDIVFPVQTVELPEETKHEKCRSRWQPPSHHNSSDCIDSYHMTEAASRTASEVSEENCREVQCIDIHENRRSTSHEFDLLLPQPQDTKLQTPELDISKESVPQPDEEQELESITSRMEDPIRMYTINEEQQDEIVTKTVEDSVEVHQCESNGSTDNDVKLYTCDSGLSLKPYPYECLTVKRCVMSSKDSVLSRSKSCRASFMIIPNSWFDDNECIGGTPPDEIFKHTPRKPDKVRRSLYPENVNPSSVDRSVLPSELSFDEALEDMSNSDEVAKDMCLSDAEQGNLISGISFATRLEKTDNEDEDKLEYQDQQSVRDGSTTMRTVKDVGIDSTLSTIDSPSRSPIDFENMQQEIIQLWHECNVPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSARPAVEGELNSAVASSLKNLRRERDTLHKQMLKKLNNGEKESVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVARLIELLEPAQALKEMFGLNFTLAPRADRRSFSLLGI >LPERR08G19360.1 pep chromosome:Lperr_V1.4:8:19354257:19355307:-1 gene:LPERR08G19360 transcript:LPERR08G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGGRHGRDDHHRPPHHAGGGVSPTDAAAFLYPSTAGRGGFQLWQQQPPPPHPFYAPANIIRFADDPAAAVAGSSSSSRGGGAGTISCQDCGNQAKKDCTHLRCRTCCKSRGFDCATHVKSTWVPAAKRRERHNLLAASAAAGDSSKRPRDSSATTPTTTSSGEQQQMMVVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIAGHLFKGILHDIGPDHHSGGGGGGGIGRLAAAGDGGSSPSTAAAPGGGGEGGGVAAGVSSSAVVMDPYPTPGPFAGGGGGGGGAHFFHGGHHPR >LPERR08G19370.1 pep chromosome:Lperr_V1.4:8:19367051:19368037:-1 gene:LPERR08G19370 transcript:LPERR08G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVTTTTASSLPTPAKSTSLFSQIAVHPDGTITRPFVPDAPPSLTGAGEVVLSRDVPLDASLATSLRIYLPNPPPPTTNSRKLPIILYFHGGGFVLFTSGTVFYHASCESMAAAVPAIVVSLDYRLAPEHRLPAAYHDAVAAVHWLLAGAGAGDPWIASHGDLSRIIIMGSSSGGNMAFHASVRTKAAVLGIVLHQPYLGGEERTASEEKSGEDAVLPLEANDKLWRLALPAGEDRDHEFSNPAKSMSPAAIAGMPRILVTGSDGDPLIDRQREFVRWLEEHGVDVVDRTDLAGFHAAELFVKEKADDLFAVVRDFIAAAAVDGDR >LPERR08G19380.1 pep chromosome:Lperr_V1.4:8:19370260:19375446:1 gene:LPERR08G19380 transcript:LPERR08G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRMLGVSGYRHLSCRNLSVFNEFSKQLKGEAKSNPEFQKSIKEFSEKFSGVKEDLKVRTKKTADRIYKSVDDVLTEAEAASKKVTANMKEKMSAATEEVKESFRLGKEDTSSCRDGSPETSKPEYSSNSDDKSQAGTSGYTLFNKLRSTLSSGSPMVSDAFAKLRDTRVSTFAKQGYEILKDELSSSSRKKKNHARHASAATVEKSTRTDLVIVPTKKSVLGEKWEALKNKVAEDVREQWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPTFSLPDFVADVQEMIKPILTAYSKILHISDADVRETKMMGSVPIIIVAFQTQQIYCVRDREGQVTEGGQDTIQTVFYGWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >LPERR08G19390.1 pep chromosome:Lperr_V1.4:8:19376779:19379100:-1 gene:LPERR08G19390 transcript:LPERR08G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYAALFSAVALYLAAAVAFRRRGNAGLPPGPTGLPLVGSLLSLDPELHTYFAGLAARYGPIFSIRLGSKLGVVVSSPALAREMLRGHNDLVFSSRDIPDAARAITYGGKQDILGVVVSSPALAREMLRGHNDLVFSSRDIPDAARAITYGGKQDIVWSPVGPTWRLLRRICVREMLSPAGLDNVAALRRREFMATMRHLHAVSASGGGEAPVDVGAQMFLTTMNVVAGTLWGGNIAGGGGEGEREAVGKEFRDLVADMTEMLGAPNVSDFFPALAPFDLQGIRKKSDMLKHRFDEIFARIIQQRTTKGGGGDETAADFLEYMLKLEKEGGDGKAAFTMTNDLVVGGTETTSNTVEWAMAELLKDRRALRKVKEELDAVVGRDAVVEEHHLPRLHYLQLVLKETLRLHPALPLMVPHCPTEDAIVAGHRVPAGSRVFVNVWAIQRDPAVWENPGEFIPERFENAGSGDGGGRRLDFTGSEHDYMPFGSGRRICAGIAMAERMAGYSLALLMQAFDWDLPAGERLDMEERFAIVMKKARPLVAVPTPRLSKPDLYYSS >LPERR08G19400.1 pep chromosome:Lperr_V1.4:8:19383051:19383398:-1 gene:LPERR08G19400 transcript:LPERR08G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLKNRRTLHKVKEELDAVVGRGAMVEEHHLPHLHYLHLVLKETLRHHPALHLMVPHCPTADVVIAGHRVPAGSRVFVNMWSIQRDPVVWENPGEVRERRRRWTWSEVGFYWE >LPERR08G19410.1 pep chromosome:Lperr_V1.4:8:19385253:19385744:-1 gene:LPERR08G19410 transcript:LPERR08G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGVSVTRPGKTGQGTVRFGHLHHLHPSTRSHCSALAPFDLQGIRKKFDMLMHRFDEIFARIIQQRTNGSDGETAADFLEYMLKLEKKGGDSKASFTMTNVKALLMVTTTVFVSK >LPERR08G19420.1 pep chromosome:Lperr_V1.4:8:19388273:19390460:-1 gene:LPERR08G19420 transcript:LPERR08G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMLLLYAGIFAAAAMYLAAAAVFRRRGGGGAGLPPGPRGLPLVGSLLSLDPDLHTYFAGLAARYGPIFSIRLGSKLGVVVSSPALAREMLRGDNDLVFSSRDIPDAARAISYGGGQNIVWNPVGPTWRLLRRICVREMLSPAGLDNAGELRRREFMSTLRHIHAVAGAGGEGTVDVGAQMFLNTMNVVTSTLWGGNIGGESERAAVGKEFRDLVADITEMLGAPNVSDFFPALAKFDLQGIRKKSDVLMHRFDEIFARIIQQRTKGSDGETAADFLEYMLKLEKEGGDGKAAFTMTNVKALLMDLVVGGTETTSNTVEWAMAELLKDRRALRKVKEELDAVVGREAVVEEHHLPRLHYLHLVLKETLRLHPALPLMVPHCPTDDAVIAGHHIPAGSRVFVNVWAIQRDPSIWENPGEFIPERFENAGDGGGGRRLDFTGSEQDYMPFGSGRRICAGIAMAERMAGYSLALLLQAFDWELPAGERLDMAERFAIVMKKATPLVAVPTRRLSKPELYYSS >LPERR08G19430.1 pep chromosome:Lperr_V1.4:8:19395969:19398743:-1 gene:LPERR08G19430 transcript:LPERR08G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKKRVKRGPWTAEEDKKLISFILTHGRCCWRAVPMLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEQLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQHLANTKAFSQAGTSTQSTVTTESAKSNDIAYPSDPKGGCSRDMSVPTDSMEQSSRNSSSHGLDPLVNWLLEAELPAEEQWLNFMSSNEDDFSGIVKQSPWDGSTTDWLLDYQDFSMDDSSLIDGARIQNSDGSNF >LPERR08G19440.1 pep chromosome:Lperr_V1.4:8:19407885:19412115:1 gene:LPERR08G19440 transcript:LPERR08G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPMSVVLGWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >LPERR08G19440.2 pep chromosome:Lperr_V1.4:8:19407885:19412640:1 gene:LPERR08G19440 transcript:LPERR08G19440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPMSVVLGWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >LPERR08G19450.1 pep chromosome:Lperr_V1.4:8:19413711:19416868:1 gene:LPERR08G19450 transcript:LPERR08G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANDSTAADQNPTTTPNPNPNPPSAAAAGPDDSAAAATRRPFTSLTQEEADLALARVLQEQERAYMMLSGGHHGGGGEYAASDDGGSYEFDYDDDDGDGLEGEEGSDYDEEDGDGHGEAPLDEDEEVGEADDGGAAAAAAGLDPAQYDDDEAFARALQDAEEREVAGRLMALAGLTGYVDPDEYSYEELVALGEVVGTESRGLPADTLASLPSVTYRAQDKQDGNMEQCVICRVEFEEGESLVALPCKHSYHSDCINQWLQLNKVCPMCSAEVSTSRDNRA >LPERR08G19460.1 pep chromosome:Lperr_V1.4:8:19417799:19418248:1 gene:LPERR08G19460 transcript:LPERR08G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAAPVGVVFAPNPAPSSSSSSAAAIGYRRRRIRCAAAGGNTLYDVLGLRAGATVREIKAAYRRLARERHPDVAGGADEFVRLHDAYATLSDPDTRARYDRDVFVAVAAMSRGGGGGGAYHRPTPAAPPWYGAAARRPRRTWETDQCW >LPERR08G19470.1 pep chromosome:Lperr_V1.4:8:19422000:19423919:1 gene:LPERR08G19470 transcript:LPERR08G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKSKVERLATLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLLRRLFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYNNNDDLDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNHDARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHAVLMDNKKMNNSNSNVNSNANVPNIASSSSSSSHHHDALDAAVDQVVAGAAKPNAGAAAASSTVTVAGTKQHNASLSGTSTKAREFEDAETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVQYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQTAMVQDPLIENLLPEAKIRMELYQSRGAKGYY >LPERR08G19480.1 pep chromosome:Lperr_V1.4:8:19428545:19431872:1 gene:LPERR08G19480 transcript:LPERR08G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFQLRMSLLLLTSPTSLAVLLLSFFQGWAGVDAITFTFTNRCADTVWPGLLSGSGTPPLETTGFALSPGSSRSIYAPPGWSGRFWGRSGCDFSSSGKGSCATGDCGSGEIECHGAGATPPATLAEFTLDGADGKDFYDVSLVDGYNLPMLVQAAVADCPDTGCLVDLNERCPSELRAGDGRACRSACEAFGRPDYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSSPSSGNSKNGSRRPSHEQLEDDVWLASLKASSGAGTMITASWLVSVVFQAIFAIAVVILLSQQEHPVLLS >LPERR08G19490.1 pep chromosome:Lperr_V1.4:8:19429338:19430201:-1 gene:LPERR08G19490 transcript:LPERR08G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEGGGGIIISVAIRFGASRFEQLRVLRRMASIRIPIGAIAAVIRPAKRLAGAPASAAVAGAELGGAPLVEIDEAAGVRAVGDGGLDEHGEIVAVDEADKSLPSAPSSVNSARVAGGVAPAPWHSISPEPQSPVAQEPLPEEEKSQPDRPQKRPDHPGGA >LPERR08G19500.1 pep chromosome:Lperr_V1.4:8:19431230:19434420:-1 gene:LPERR08G19500 transcript:LPERR08G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARETRKTEFVERFAKITSINAGVGTQAMATGRHKWPATLKHHKWKQRSGLYRAFSSGVC >LPERR08G19510.1 pep chromosome:Lperr_V1.4:8:19434435:19435756:1 gene:LPERR08G19510 transcript:LPERR08G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGGAALPTTRRSRRSTSAAADDDGAFFSGYLVHSISRNRHHSTFVSIIHPSSPITISLDFLPSSTSPTASIQIDAVSPHRGLLLCSTAAADVSYYVCKSATRQWRAIPNPRTRYRTAAAAILARPAVAGAAAAGEEFKIVRLSIPKLRDCLRCEVFDSRRNAWRRRSDVAVRPESMVETTSPPVRAHGAVMHWLRWPDHRGGEEDIFAFDMGNDTWRLIALPAEAVEKEKSWTAARKKLTAVEGKLCLVVAGDDDEVEIWVLVDYSRQKWEKKMTASLTRLAMEEGNSLILRDLYTSHIAIFSGVYTVLWYDFVRGIKIAEAPVRHNKCIQNVFKFESDFVPCEEIERRPDGSISKLHSPSKRDCQHSKDDVDKLNN >LPERR08G19520.1 pep chromosome:Lperr_V1.4:8:19438176:19451025:-1 gene:LPERR08G19520 transcript:LPERR08G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQISSKDDVIFKTKQLGMAMANYYRGCPNYRRCYVVQPVKNVQSGGAEGAIKDVIGVPIRLESITVKSGQVIDSLKFTYTATDGKTRTRGPWGGQGGYPGNNEIYLGPREYVREVSGTIGEYEGQIVVRSLKFVTSNNCTYGPYGDQMNENVTPFYSFGKVVGFHGRSGEQKQLGMAMANYYHGRPNYHGCYVAQPTKNVQFGGAQGAIKDVIGVPIRLESITIKSGQVIDSLQFTYTAADGRTRTRGPWGGQGGYPGSHEICLGPREYVMEVSGTIGEYEGQIVVRSLMFVTSNNCTYGPYGDQMNQNVTPFRSFGGKVVGFHGRCGEYLNSIGTYTL >LPERR08G19520.2 pep chromosome:Lperr_V1.4:8:19438176:19451025:-1 gene:LPERR08G19520 transcript:LPERR08G19520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQISSKDDVIFKTKQLGMAMANYYRGCPNYRRCYVVQPVKNVQSGGAEGAIKDVIGVPIRLESITVKSGQVIDSLKFTYTATDGKTRTRGPWGGQGGYPGNNEICLGPREYVMEVSGTIGEYEGQIVVRSLMFVTSNNCTYGPYGDQMNQNVTPFRSFGGKVVGFHGRCGEYLNSIGTYTL >LPERR08G19530.1 pep chromosome:Lperr_V1.4:8:19451169:19452438:-1 gene:LPERR08G19530 transcript:LPERR08G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYQRCHVQPQPIKNVAHGANVGPIQNVVVPPRRLESVFINSGQVIDSLKFTYTGADGRVHTLGPWGGQGGFGENSEFTLDCGEFVTEVSGAIGTFADGQTVIRALKLVTNCGRTYGPFGDQDVKEEEFTCFSPAGRAVVAFHGRSGKYLNSIGTYTV >LPERR08G19540.1 pep chromosome:Lperr_V1.4:8:19456539:19459705:1 gene:LPERR08G19540 transcript:LPERR08G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLARFIFVIIVFFLSRKKEKVRRAERKAAAAAHSSQPRRRRHHHHHHQGSMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >LPERR08G19550.1 pep chromosome:Lperr_V1.4:8:19459776:19465059:1 gene:LPERR08G19550 transcript:LPERR08G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAADPFLPQTSHSAAAASASTSESQTEEMQKTHKEEAAAEEGENPPPPERAAAFASPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQTDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKRVAESENSPASVESKPGRQHENELHKKKMDFIKEDLANSQECMSEEGRISEGPACAKSHVISSSDSAYSIEKNPRAEGEGQKDMDLQKNNATKKDKPAAKPIVVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQKVPSKAGANG >LPERR08G19570.1 pep chromosome:Lperr_V1.4:8:19479178:19482698:-1 gene:LPERR08G19570 transcript:LPERR08G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRHLRALISNKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKNPRITYADLYQLAGVVAVEVTGGPTVEFNPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFDGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPGFRRFVELYARDEETFFKDYAESHKKLSELGFTPRTSGSASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >LPERR08G19580.1 pep chromosome:Lperr_V1.4:8:19485168:19492953:1 gene:LPERR08G19580 transcript:LPERR08G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLAVAVAAALLAAAASGYELTKNGTAITYDRRSLIIDGRREIFFSGSIHYPRSPPDVWPDLISKAKEGGLNVIETYVFWNGHEPEKGVYNFEERYDLVKFFKLIQEKDMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKEFVTLIVNKLKEAKLFASQGGPIILSQIENEYQHLEVAFKEEGTKYINWAAKMAISTDTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPTDKNKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMYHGGTNFGRNGASFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRLCKKALLWGNPSVQPLGNLYEARIFEIPEQKVCVAFLSNHNTKEDGTVTFRGQKYFVARRSVSILADCKTVVFSTQHVNSQHNQRTFHFADQTVQNNVWEMFSDEKVPRYSQTKIRTQRPLEQYNMTKDKTDYLWYTTSFRLEADDLPFRKDIKPVLEVSSHGHAMIAFVNDVFVGCGHGTKINKAFTLDKVMDLKVGVNHISVLSSTLGMMDSGSYLEHRQAGVHTVTIQGLNTGTLDLTTNGWGHVVGLDGERRSVHTDAGMSAVKWNPGKNDRPMTWYRRHFDAPSGDDPVVIDLTPMGKGLVFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLKPTANILMIFEEEGGKPEAIMILTVKRDNICTFISEKNPAHIRSWERKESQLTATAGDLRPKAVLSCPPKKTIQSIVFASYGNPLGICGNYTFGSCHAARTKEVVEKACVGKKTCSLTVSHDAYGGDVNCPGTTATLAVQAKCSKRPPPAAAAVAQ >LPERR08G19590.1 pep chromosome:Lperr_V1.4:8:19488319:19492846:-1 gene:LPERR08G19590 transcript:LPERR08G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAGSALSFARPVKATNNNSISFTGVRKGNAFLRLQPVPMRLAVCYAAKKETVEKVCDIVKKQLAVPEGTDVCGASKFSDLGADSLDTVEIVMGLEEEFNISVEESSAQSIATVEDAAALIDKLCGGED >LPERR08G19600.1 pep chromosome:Lperr_V1.4:8:19494031:19495938:1 gene:LPERR08G19600 transcript:LPERR08G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGSGGAGAGDAATIMSTAAVAPAARRQLEHELLYRAELHQQQQESRGGGRVEKRKRRAMKNRESAERSRARKQAYLQELEEELRLLRAENAQLRDQCHQLKAAAAKAAEAEAAAAEQQEAAAAMEAPTLKRTLSATF >LPERR08G19610.1 pep chromosome:Lperr_V1.4:8:19499446:19499847:1 gene:LPERR08G19610 transcript:LPERR08G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIIEIGDGFTEADLAAADHLVQLSVSGGCEEDDGYCSSSTTLQSVNNAETTAALAMAKGDEIEDEDEDEDDDMGIDRRIRKKYRLLSELYAATKPVTADEEKVAGAGAGGGYKRKKRDQDKKKKKQPRRR >LPERR08G19620.1 pep chromosome:Lperr_V1.4:8:19503136:19503543:1 gene:LPERR08G19620 transcript:LPERR08G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLARRRRRAPPAKSEEGQQRFTALELAAAEQLIHLSESSSSGGADAFFSRRSPAASSSFSFTSSSPRSVNNAPPIRAAAGDDVDDEQEVGGRPRRNRRMRPIAEIYAATAPIGGGKAKPKAAAAAAAAAARE >LPERR08G19630.1 pep chromosome:Lperr_V1.4:8:19505434:19509002:-1 gene:LPERR08G19630 transcript:LPERR08G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDVQMSDSEPQPAATPAAAAAPAPSALQHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYSRLTSLVPKEDETEMDVDAAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPIAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >LPERR08G19640.1 pep chromosome:Lperr_V1.4:8:19510323:19515224:-1 gene:LPERR08G19640 transcript:LPERR08G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGSASENNGGDVGGDGSSPELRETLLAQDVDGKKIKKQEDLEEIRSVKEFMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENMVIAGLALGIMLGMGSALETLCGQAYGAKQLHMMGVYLQRSWLILTTMSVVLLPIYLFATPILRFFHQDEAIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAVISAACLVFHVAFTWLLLGPLGMGLVGLAVALNVSWWLVVLAQLGYIVMGNCPGAWNGFDWLAFTDLFGFWRLSIGSAIMLCLEFWFYMFLIVIVGNLPNAQVAVAAVSICILTGIGELIMVFFGFNAAISVRVSNELGAGRPRAAKLAIATVLMSSVAIGVAFFAAVLLLRDVYGVPFTDSPEVVRAVASLGVVFAFSLLLNSIQPVLSGVAVGAGWQWLVAYINLGCYYCIGIPVGYAIAFPLGLGVQGMWGGMLTGVGLQTVILVVITLRTNWDKEASQAYARIQHWGGSVAKIDSESI >LPERR08G19650.1 pep chromosome:Lperr_V1.4:8:19518952:19520572:-1 gene:LPERR08G19650 transcript:LPERR08G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAMHSSLSSSYSPVISTGGDARSLSRLPARVAGAVARGLVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSQESGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPYRESAPTLAEMFDTAAPGAVVAAAGMPVDAIAALPVTTFTHQSLAADAGDHAGCSVCLQDFEAGEEARRLPECEHTFHLQCIDSWLMRHASCPLCRRTVVAVAVVDDILGSEKGVQCTASPAARVRNTIHD >LPERR08G19660.1 pep chromosome:Lperr_V1.4:8:19522731:19533985:1 gene:LPERR08G19660 transcript:LPERR08G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMIFPDVSRVLACLYEKNIQFETEKDSYKDILKLQASRSVPVPFYDGPTFLQAESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVHDDDDDDINKEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHILTSEQFAYLYDSRKNVQRWWNTISARHSWQQVLRDMNSVEEEYQMELEEQEEQWQTDPPQTSVSHTIRLDPRMTTGTESRTILVPPPSAGTISTSFKTVPQGEQPLPPETTYHDRPSPRKESNFFTTTEKTPSTPRPRPSTNQKTPSGNFVTTATPKTSQRTDTDISSYKDASSQTKPSQISSKEASAKPHLSDFFKASSHPDEAATPTRPSPPESSKTYNKIAERRETSEAVGPSSPRSTKVPHEIDERASVDPRFDKPAPYTKPTTNIPQTSYGRPTTQRDLDTYPANEADEIPYDLGGGVQPPYARGRAEQTKITSADQRAATRLPEHVSSKDVQGETAQPAQPRGSQEVTKDARQADQKRVTAAPSRQQPSGEQNVHKKFIAPLTLKVPDLSTMQPESQEDTHNITSEDGRFSTKRLRKMMEDSEKAAQAGKSQPTDFQPSKEETPYIYKKPSDVQDRTILDDRKTGSSPSTGTRAPDFPTSAAEGRVVSPTKEVPHDDRSATEPQKSPSINEQEKLPVVPSQAQPTRSGQASKTSKEASPDDGLAELSTINQWRQASPPPLVKQEAPEAPNYDELAKTAGIDKRAPPSTPKQTMRDERNALATGQGTARGIGNVQSDKNSIMDERAPQMTPRQSAPSGTQRASESTQGGINNARDTSDDMFGKTSSADESNRTAIPKQTILQGEIPAVRGTSDDDRDMKLAADEKAATNKQKPASSSHQTIGPIKGTTPTSYGTTGDDLAKTSRADERPTPSSKVQEPAFDRQSASTTLQRGIPDAREEKKADRPSVTTPRRQEPTPDTQLRRTADQVSSQASLSSYFTGARNKENDISEAGQTKDSGPQATRPSVMNSQKNMNEAYNDRPSTQQMPNDQYRSQPAETKKKQGADAAVINEIGKPKKDDMWENPNQSSTGRVQRMSTEDASKLQLQSDLNNSISSKDSSAKTREMLPSVPDKSTGAQQMQGDKSSISQEDIVKQGSESGLQESVTEQPKKGNLANADEKIRGGEAPQKSEERTFSNTEQMKSNKNNRKSDGSTRPASFDDNEGNLPESQRRGSSGNP >LPERR08G19670.1 pep chromosome:Lperr_V1.4:8:19525904:19534022:-1 gene:LPERR08G19670 transcript:LPERR08G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDVGGGGEARNNRGGVQAAGEPTGDRRRSSLLRPSRRNSVCSNGGRRAVRAGKWRRGLHFRVPTSPPRRAGEDARRGPGRMWHVLVHKGAEHHLPDHKERPGDRTMPDSEDQRHAPHDGAIVQWKPSPRHPFPAGKDTHAVHRHASTLDTSGNIIDFSWYSFTTGAPAPVLSPAMIARARLLVDQLKDMVCK >LPERR08G19670.2 pep chromosome:Lperr_V1.4:8:19525904:19534022:-1 gene:LPERR08G19670 transcript:LPERR08G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDVGGGGEARNNRGGVQAAGEPTGDRRRSSLLRPSRRNSVCSNGGRRAVRAGKWRRGLHFRVPTSPPRRAGEDARRGPGRMHASTLDTSGNIIDFSWYSFTTGAPAPVLSPAMIARARLLVDQLKDMVCK >LPERR08G19680.1 pep chromosome:Lperr_V1.4:8:19534674:19537474:1 gene:LPERR08G19680 transcript:LPERR08G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLGLSPIPGIALDTLFLVAIHALAVVLLSKLFHLFLRRYHQPTAISQVLAGVVVGGMGLRNAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATRRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSMSVARIAGEMRLTVTENGRLVVAAAIATNLICVLGDGVLSSTTLAKEGSHQIKKGFLALAVAGGAVWAVRPAVTKVNKVNAGNHHVSIRHLAAMLLAIWFVGNIPQYLGFDGMPTSLALGMAFPREGPAARSVADALSPPVKGILIPFYFATIGMRLNFNTMSGAIIVPGVLMTLLGLFGKAIGAAAVAGYLNIPLSDALRFSVLLNIKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLVSTLVSGPVVAVVRRKEEEAYRTRHQAMESLGAEQELRIVACAHTSHAAPGMLSLVELLVNDPDEQPAVHVIHLVNGHGDGASRDAVTRMNTIVDLFTRATGIWFRQIDAVVRRDGAVASAVCGLAEEAHACLLLVPCHKEQRYDGAMSCRLDGRRDVTHGVLAGGAAPCTVGVLVDRPYRNTSTSFTVPSSIAAAAAGRTLLHPCSDRAVTHVIAAVFFGGADDREAVSFASRLAGHHAIGLTVFRFVKRSTYDTVTSSARVDGDLDDVALEGEGDVDERFMWRFYERYASRELAMYVEKVVESPSDVEETLDGMAGMFSLVVVGRGGRQPPELMAGLDRWADAGGEMGPAAEILASNDSLEMGSVLVMQQHTVVMRQ >LPERR08G19690.1 pep chromosome:Lperr_V1.4:8:19538297:19538740:-1 gene:LPERR08G19690 transcript:LPERR08G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGGIGRKRVTTSERKEIIHHSSSSCSSVAVAGKGNCVVYSCDGNRFEIPLSYLGTPVIAELLRMSQEEFGFTTDGRITLPCDTAVMEYVMCLLRREASEEVEKALLSSIVMPCKNHTSRMAINQNFAVCSM >LPERR08G19700.1 pep chromosome:Lperr_V1.4:8:19544337:19548050:1 gene:LPERR08G19700 transcript:LPERR08G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSRPAEGGDAAAMCRERTALLADAIRHRYALADAHRSYAASLHAVAAALPDFLHASLLSHPAASAAVRLPDHHKKVDDDDDHIHFPSSSSSSSSSSDDEGCDGGGGGHIRFPSDDDAAASPAPPPYGYGQSLFGISYARSQPPPPSVSSYEHHRPQSANATLHYAGAGAPPSPPRVSVWDFFNPFDSFESYYHEQHASSPPPTYTPSSRSPSNDEGHEDDIPKLENDAIANGSSAKEETTTSCNSNVEAHRKSRSSEASTSSTSTSSSMVSDVNVVQKSVMEEQLRRSDVAAVISRKAYDDDSDVVQEIRSQFEDAAKSAVDVSRVLEVGKMPYYQRSSGLKVSSMLICGLPSAGDEFLKFEEEKSMEYGNLSSTLQKLYMWEKKLLEEVKAEEKMRVLYDQKRQELKVLYGRGAEARKLEATEIHIRKLSTKISIVIQVVNTISKNINKLRDEELWLIQGLMQMWHAMSKCHQIQCHALSQAKNLDSKLAGARFSEAHMDLIKRLELQLLELITSFAAWVNAQKNFVGTLNEWLKKGIDYVPEVTDDGIPPFSPGRLGAPPIFTICNNWAISMGRIPEKEVVDTMQTFASSVLNIWEKHRLEWRQGMMANRDMDRDLRVMERDELSMRKALDAQCKKLVLVSNQSGVSLSAQVVQSNGPTSEVGLQSCMNKVFEAMENFTAACSNAYNDLHLRSEEEKTRFFSHENGRVP >LPERR08G19700.2 pep chromosome:Lperr_V1.4:8:19544337:19548698:1 gene:LPERR08G19700 transcript:LPERR08G19700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSRPAEGGDAAAMCRERTALLADAIRHRYALADAHRSYAASLHAVAAALPDFLHASLLSHPAASAAVRLPDHHKKVDDDDDHIHFPSSSSSSSSSSDDEGCDGGGGGHIRFPSDDDAAASPAPPPYGYGQSLFGISYARSQPPPPSVSSYEHHRPQSANATLHYAGAGAPPSPPRVSVWDFFNPFDSFESYYHEQHASSPPPTYTPSSRSPSNDEGHEDDIPKLENDAIANGSSAKEETTTSCNSNVEAHRKSRSSEASTSSTSTSSSMVSDVNVVQKSVMEEQLRRSDVAAVISRKAYDDDSDVVQEIRSQFEDAAKSAVDVSRVLEVGKMPYYQRSSGLKVSSMLICGLPSAGDEFLKFEEEKSMEYGNLSSTLQKLYMWEKKLLEEVKAEEKMRVLYDQKRQELKVLYGRGAEARKLEATEIHIRKLSTKISIVIQMWHAMSKCHQIQCHALSQAKNLDSKLAGARFSEAHMDLIKRLELQLLELITSFAAWVNAQKNFVGTLNEWLKKGIDYVPEVTDDGIPPFSPGRLGAPPIFTICNNWAISMGRIPEKEVVDTMQTFASSVLNIWEKHRLEWRQGMMANRDMDRDLRVMERDELSMRKALDAQCKKLVLVSNQSGVSLSAQVVQSNGPTSEVGLQSCMNKVFEAMENFTAACSNAYNDLHLRSEEEKTRFFSHENGRVP >LPERR08G19710.1 pep chromosome:Lperr_V1.4:8:19549469:19550188:1 gene:LPERR08G19710 transcript:LPERR08G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAGDLNGVARVYENVGSLVRFAGVEEVEEEMEASVSLLDACAAARDSLRAMRACALDAEEARRLAVDVLERVVTTLSRRVASAGETNRWSACVARAFTNRVRRVACEDDAEEIASLSKLKDERDGGETAAWMRTLGETIEHLEDGVELLFRRIVQCRVFLLNMCSS >LPERR08G19720.1 pep chromosome:Lperr_V1.4:8:19551413:19557126:1 gene:LPERR08G19720 transcript:LPERR08G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELHSICSGISSPSATIGTVSDGLRRLGGIYDCINEIISLHSNQAHGKRLEEEMERSLDVLDLCSAMQEMFADLKITIQELQMVLNRGDNAVVQVKAQTYSRLVKKAKHHLKKASSKSTSDDDGRLVSLLTTARGITVSVLKYALELLSKQMSTCNSSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLFRRLIQTRASLLNILSLRKQHQSYNPKHGLPSKLLLTLNTIVSSPSATIDTMCDGLRKLGEIYNCIEKLICTPGNQVSLCQKLQRKLVEEELGRSLVLLDLCNAMQESFIEGEDASVQVNAYIRLAKKAQKQFKKVSEKTISDKIDCKVVKLLAEARDITISLLESTSSFLSKEIETPKWSLVSNAFLKSKIVYKEEQLQELELTIKDLESGAEILNSNTKMAFHLRSVSLPSKRQSNEVEIEDELKSLEASISAPSTAIDAMVNGLRKLGDVYNQIEENIHLPNNQVFSAQQRKMLDGEMECSLELIDLCSAMQESFNELKAIIQDLHAALRRGDNASIQVKIQAFTRLAKKAQKQCKKINKKTTADKEDCKLVKLLIKARGLTVSLLESTLGHLSQQLVVPKVSLVSKAFQKKRSVVCEEEQLQALECIIGDLENGAELLFRRMIQSRKKTTPKLQSQAWRAIKGLQACLSVLAQMIPKLSSSCRA >LPERR08G19730.1 pep chromosome:Lperr_V1.4:8:19557165:19558485:1 gene:LPERR08G19730 transcript:LPERR08G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGLRKLGDIYNCIEELICTPGNQVSLCQKLQRKLVEEELGRSLVLLDLCNTMQESFIELRMSVRELTLAIKRGEDASVQVKAYIRLTKKAHKQFKKVSKKTTSDKIDCRVVKLLAEAREITISLLESVRYMYIARLRLKSTSCLLSKRIATPKRSLVSKKSKVMCEEEQLQELELTFKDLESGVELLFRRLIQSRVSLLNTLSS >LPERR08G19740.1 pep chromosome:Lperr_V1.4:8:19563962:19565809:1 gene:LPERR08G19740 transcript:LPERR08G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLVWTNLLNRNPASASRSPMQHSNTCNCSSLQITKLFFWNALEMRDNLGISICFDKRCIVESNREVVISLASLNSLTTLQSSLSETTFFTVLLDCFFAAFTKRIVLRRETLLWIDFLNRFLTPVSRSTMFNSSTCNCSFWQKNCSLLSVFDKRPVARTWRRKLL >LPERR08G19750.1 pep chromosome:Lperr_V1.4:8:19564031:19564738:-1 gene:LPERR08G19750 transcript:LPERR08G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELNSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQIYPSQQRKLLDGETECSLELLDLCNAMSEVFTELKAIIQDLQMSLRKGQDAVVQAKIQSYIRLVKAAKKQSKRTVKKVVSDKEDCRVVKLLSEAREITTSLFDSTMHLLSKQIEMPRLSLISKAFQKKSLVICNEEQLQVLECCIGDLEAEAGFLFRRLVQTRVTLLNILSS >LPERR08G19760.1 pep chromosome:Lperr_V1.4:8:19567430:19568161:-1 gene:LPERR08G19760 transcript:LPERR08G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSSKTNVEEQLQSLKATISSPSSTIRTVSNGLKRLNSVYDFIDEIMCMPSSQVLLCQSQSRKAVDQELECSLILLDLCKAMQENFSELKESIQSMQLAISRGEDATVQTKILSYIKLAKKAHKQFKKINKKTLSPDQESCRVVKLLAEARETAFSMLETLSHLLSKQTVMPGHSKWSLVSKTFQKRRIIYQEEQLQALELDIVDLESGVENLFRKSIQSRVSLLNALSM >LPERR08G19770.1 pep chromosome:Lperr_V1.4:8:19567515:19570825:1 gene:LPERR08G19770 transcript:LPERR08G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNACNCSSCQKLDHPAALLIRRETLLWIILRNRSSAPFSRSPMMHSNACNCSSSQTTDLFFWNALETRDILGTTSCCDRWHDVDSSKETVSTLALINSLTSLQSSLSEVVFLLIFLHCFCAFLTKRVKDWILT >LPERR08G19780.1 pep chromosome:Lperr_V1.4:8:19570465:19571181:-1 gene:LPERR08G19780 transcript:LPERR08G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRQSNEAEIEAELQSLEASICSPSTSIDAMGNGLRRLGDVYSQIEEIINLPSNQVCSAQQRKMLDGEMECSLQLIDLCSAMQESFNELKAIIQDLHAALRRGDNASIQVKIQSFTRLVKKAQKQCKKINKKTTSDKEDCKLVKLLIKARVLTVSLLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCEEEQLQALECIIGDLENGAELLFRRMIQSRVSLLNTLSS >LPERR08G19790.1 pep chromosome:Lperr_V1.4:8:19574179:19574886:1 gene:LPERR08G19790 transcript:LPERR08G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSLEACVSSPSMTIEMVSDVLRRLGDIYSFIEEIMFLPSNQVCSSQQRKLLDGEMECSIELLDICNAMSEVFTELKAIIQDLRVSLRKGDDAIVQTKIQSYIRLVKKAKKHVKKTVKKVASDKEDCKIVKLLGKAREITTSLLESTMHFLSKQIEMPKLSLISKAFQKKNFVMCNEEQLQALECCIVDLDAGAGFLFRRLIQSRVTLLNILSL >LPERR08G19800.1 pep chromosome:Lperr_V1.4:8:19575820:19576524:1 gene:LPERR08G19800 transcript:LPERR08G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRTISLPSRPLSNVEEELNNIEACISSPSLTIETISDGLRRLGGIYSSIEEIMCLPSNQVCSSQQRKLLDGETEYSLELLDLCNAMSEVFTELKAIIQDLQMSLRKGQDAVVQAKIQSYIRLVKKAKKQSKKTVRKVVSDKDCRIVKLLNKAREITTSLFESTTHLLSKQIEMPKLSLIPKAFQKKNFVICNEEQLQVLECCIGDLEAGAGFLFRRLVQIRVTLLNILSS >LPERR08G19810.1 pep chromosome:Lperr_V1.4:8:19578859:19579566:1 gene:LPERR08G19810 transcript:LPERR08G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQRSISLPSRPISKVEEEVHSIEACISSPSLTIEAISDGLRRIGGIYNNIEEIMCLPSNQVCSPQQRKLLDAEMECSLELLDLCNTMNEVFTELKAIVQDLQMSLRKGDDAIVQAKIQSYIRLLKKSKKHSKKTAKKVVSDKEDCKMVKLLSKAREITTSLVDSTLCLLSKQIAMPKSSLISKAFQKKNLVMCNEEQLQALECCIADLEAGAGLLFRRLVQSRVTLLNILSS >LPERR08G19820.1 pep chromosome:Lperr_V1.4:8:19582391:19583122:1 gene:LPERR08G19820 transcript:LPERR08G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHRSTSEPSSPRSDKTSVEEQLQNLKAAISSPSETIGTMLDGFSRIAALYNNTEEIICLPSSQVLLCQDQQRKAVEQELEHSLVLLDLCNSIQESFSELKTSIQEMQLAHKRGDATAVQAKIISFTRLTKKVQKQSKKISKKFDAADKNSSRMIKLLAEARDVAITMLESSSNFLSKKISTPNSSKWSLVSKAFQKTRLACQEEELQVLELVIVDLESGVETLFRRLIQIRVSLLNALSL >LPERR08G19830.1 pep chromosome:Lperr_V1.4:8:19584878:19585609:1 gene:LPERR08G19830 transcript:LPERR08G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRSASAPSSPRSNKSNIEEQLQSLKAAISSPSANIETMLDGFSRIGAVYNNIEEIICLPSSQVLLCQSQQREAVEQELEHSLVVLDLCSFIQESFSELKTSIQEIQLVHKRGDITAIQAKILRFIRLTKKAQKQSKKISKKAASVDQEATRVIKLLAKAREVAISMLESSSHLLSKKIATTNTSKWSLVSKAFQTARLACQEEQLQALELAIIDLESGIETLFRTMIQIRVSLLNALSL >LPERR08G19840.1 pep chromosome:Lperr_V1.4:8:19587169:19591302:1 gene:LPERR08G19840 transcript:LPERR08G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTKRGDHTAVQANIKSCIRLTKKAQKQSKKICKKSASDDQEGCRVLKLLVEAREAAISMLESSLHLLLKQIVTPNSTRWSLVSKAFQKTRLAYQEEQLQALELDIIDLESGVETLFRRLIQSRVSLLNALSIPCSNETNAEEQLQSLKTTISSPSATVQTMLDGFSTIGGVYSNIEEIMCYPSSQVLISQSQQRKAAEQELERSLVLLDLCNAMQESFSELKATIQGMQLAIKRGDSAAVQANIKSFICQTKKTQKQFKKINKKPFADDPEGCRVLKLLTEAREAAISILESPLHLLLKQISLVSKAFQKTRIACQEEQLQALELDIIDLESGVETLFRRLIQSRQAKDPCTVDLAAAAKTGQLQYTRLSMQATQHREF >LPERR08G19840.2 pep chromosome:Lperr_V1.4:8:19600710:19604910:1 gene:LPERR08G19840 transcript:LPERR08G19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTASTERVSATDMAFHQRSISLPSRPHSKVEEELCILDACISSPSTSIDTMCEGLRRLADIYSSVEEIISKYGICEIHAESPDATNKITSMMPNHNELYTGPIHSLVDSAFFPSSLPKKRGKGERTIVSSATMAFHLRSASVPSSPCSNETNAEEQLQSLKVIISSPCSTIETMSSGLKMLGNIYNCIDEIMCLPSSQVLLCQPLQRKAVEQELEFSLVMLDLCNAMQESFSRLKACIQDMQLVVKRGDDAALQAKIQSYIRLAKKGQKQFKNISKKSSSADQESCRVIKLLTESREIALSMLESASHLLSKQIALPSSSKWSLVTFQKRRVFCDEEHLQVLELDIVDLESGVENLFRRSIQSRIWLATKDQLACLLDLTPKLKKSCTA >LPERR08G19840.3 pep chromosome:Lperr_V1.4:8:19591311:19601020:1 gene:LPERR08G19840 transcript:LPERR08G19840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSNAEEELHSIETCITSPCLTIEAISDGLRKLGDIYSSIEEIMCLPSNQVCLSQQRKLLDGETECSLQLLDLCNAMSEVVTELKAIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKLFKKTVMKVASDKECKIVKLLSKAREITTALLESTMNILSKQIQMPKLSLISKAFQKKNSSYVRLVKKAKKQFKKTVKKAAYEKEDCRIARLLREAREITTSVLESTLHLLSKQIEMPKQSLVSKAFNKKKSVVCEEEQLQVSIEEIMCLPSNQAFSSQQRKMLDGEMECSLELVDLCNAVHEDFTELKAIIQDLQVALRKGDDAAAQSKIQSYAHLLKKAKKHFKKTTKKVAYEKENCSTARLLREAREITASVLASTLHLLSKQIEMPKQSLVSKAFNKKKSVVCEEEQLQVLECSIGDLESGAGHLEKPWLGVDNIAKQFYLFSSISREKQEVISFLPTMAFHIKSTSVPSSPRSGKTDVEEQLQSLKEIISSPSATMQTMCSGVRGLTDVYSCMDEIMSLPSSQASLCQIQQRKAVEQELERSLTLLDLCNAMQESFSALRTSTQEIQLSIKRGDDAAVQAKVQSYSRLTKKVEKQFRKISKKTANSADQESCKVIKLMADAREITFSVLESTLCLLSKQIAVPSSSKWSLVSKAFHKTRVTCQEEQLQALELDIVDLESKFEALFRRLIQSRVSLLNALSLAMKWTCGPCHYDAICCLCSKQMIHVQWILLLQLKQANSNARSTRA >LPERR08G19840.4 pep chromosome:Lperr_V1.4:8:19591311:19601020:1 gene:LPERR08G19840 transcript:LPERR08G19840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSNAEEELHSIETCITSPCLTIEAISDGLRKLGDIYSSIEEIMCLPSNQVCLSQQRKLLDGETECSLQLLDLCNAMSEVVTELKAIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKLFKKTVMKVASDKECKIVKLLSKAREITTALLESTMNILSKQIQMPKLSLISKAFQKKNSSYVRLVKKAKKQFKKTVKKAAYEKEDCRIARLLREAREITTSVLESTLHLLSKQIEMPKQSLVSKAFNKKKSVVCEEEQLQVEKPWLGVDNIAKQFYLFSSISREKQEVISFLPTMAFHIKSTSVPSSPRSGKTDVEEQLQSLKEIISSPSATMQTMCSGVRGLTDVYSCMDEIMSLPSSQASLCQIQQRKAVEQELERSLTLLDLCNAMQESFSALRTSTQEIQLSIKRGDDAAVQAKVQSYSRLTKKVEKQFRKISKKTANSADQESCKVIKLMADAREITFSVLESTLCLLSKQIAVPSSSKWSLVSKAFHKTRVTCQEEQLQALELDIVDLESKFEALFRRLIQSRVSLLNALSLAMKWTCGPCHYDAICCLCSKQMIHVQWILLLQLKQANSNARSTRA >LPERR08G19840.5 pep chromosome:Lperr_V1.4:8:19591311:19602137:1 gene:LPERR08G19840 transcript:LPERR08G19840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSNAEEELHSIETCITSPCLTIEAISDGLRKLGDIYSSIEEIMCLPSNQVCLSQQRKLLDGETECSLQLLDLCNAMSEVVTELKAIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKLFKKTVMKVASDKECKIVKLLSKAREITTALLESTMNILSKQIQMPKLSLISKAFQKKNSSYVRLVKKAKKQFKKTVKKAAYEKEDCRIARLLREAREITTSVLESTLHLLSKQIEMPKQSLVSKAFNKKKSVVCEEEQLQEIMCLPSNQAFSSQQRKMLDGEMECSLELVDLCNAVHEDFTELKAIIQDLQVALRKGDDAAAQSKIQSYAHLLKKAKKHFKKTTKKVAYEKENCSTARLLREAREITASVLASTLHLLSKQIEMPKQSLVSKAFNKKKSVVCEEEQLQVLECSIGDLEGAAGHLFRKLVQSRVSLLNILSS >LPERR08G19840.6 pep chromosome:Lperr_V1.4:8:19602061:19604910:1 gene:LPERR08G19840 transcript:LPERR08G19840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNHNELYTGPIHSLVDSAFFPSSLPKKRGKGERTIVSSATMAFHLRSASVPSSPCSNETNAEEQLQSLKVIISSPCSTIETMSSGLKMLGNIYNCIDEIMCLPSSQVLLCQPLQRKAVEQELEFSLVMLDLCNAMQESFSRLKACIQDMQLVVKRGDDAALQAKIQSYIRLAKKGQKQFKNISKKSSSADQESCRVIKLLTESREIALSMLESASHLLSKQIALPSSSKWSLVTFQKRRVFCDEEHLQVLELDIVDLESGVENLFRRSIQSRIWLATKDQLACLLDLTPKLKKSCTA >LPERR08G19840.7 pep chromosome:Lperr_V1.4:8:19591311:19601756:1 gene:LPERR08G19840 transcript:LPERR08G19840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSNAEEELHSIETCITSPCLTIEAISDGLRKLGDIYSSIEEIMCLPSNQVCLSQQRKLLDGETECSLQLLDLCNAMSEVVTELKAIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKLFKKTVMKVASDKECKIVKLLSKAREITTALLESTMNILSKQIQMPKLSLISKAFQKKNSHKARHIKPKFSSLSHLSASTERVSATDMAFHQRSISLPSRPHSKVEEELCILDACISSPSTSIDTMCEGLRRLADIYSSVEEIIIGDLEGAAGHLFRKLVQSRVSLLNILSS >LPERR08G19840.8 pep chromosome:Lperr_V1.4:8:19591311:19600581:1 gene:LPERR08G19840 transcript:LPERR08G19840.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSNAEEELHSIETCITSPCLTIEAISDGLRKLGDIYSSIEEIMCLPSNQVCLSQQRKLLDGETECSLQLLDLCNAMSEVVTELKAIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKLFKKTVMKVASDKECKIVKLLSKAREITTALLESTMNILSKQIQMPKLSLISKAFQKKNSQANDPCTVDLAAAIETGQLQCTQHTSLSV >LPERR08G19840.9 pep chromosome:Lperr_V1.4:8:19601049:19601756:1 gene:LPERR08G19840 transcript:LPERR08G19840.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHSKVEEELCILDACISSPSTSIDTMCEGLRRLADIYSSVEEIIIGDLEGAAGHLFRKLVQSRVSLLNILSS >LPERR08G19850.1 pep chromosome:Lperr_V1.4:8:19593871:19594275:-1 gene:LPERR08G19850 transcript:LPERR08G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTPIVAGEAEKAVMEASERRRRRRPAADRRMQVSATTSGWNLEGSLLGKLEVSIGFDGDYIQT >LPERR08G19860.1 pep chromosome:Lperr_V1.4:8:19595295:19595895:-1 gene:LPERR08G19860 transcript:LPERR08G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCFKHRESDLSGISQQLHHPTTLLISCSSSLLADLPELFLRLLGQADVRLDCCIVASLDGQQHLQGARLELGEALLHGVAEIKQEGEEIISLMLCSCSSTSILPERGLDGTLADLVWNAMAGMETERLVSLGILREEIRQIAFQCG >LPERR08G19860.2 pep chromosome:Lperr_V1.4:8:19595359:19595895:-1 gene:LPERR08G19860 transcript:LPERR08G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCFKHRESDLSGISQQLHHPTTLLISCSSSLLADLPELFLRLLGQADVRLDCCIVASLDGQQHLQGARLELGEALLHGVAEIKQDHLLDALQLFFNIDFAGARAGWHTRGSRVECHGWDGN >LPERR08G19870.1 pep chromosome:Lperr_V1.4:8:19595907:19596743:-1 gene:LPERR08G19870 transcript:LPERR08G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLAARTSSKSNMYKWEGSSNPLKGGCQSQGIRVGLRAFKRETLLWINLLNKVSTSFSRSMISNSNACNWFSWHATLVFWNALETRDHLLELGTAI >LPERR08G19880.1 pep chromosome:Lperr_V1.4:8:19605444:19606485:-1 gene:LPERR08G19880 transcript:LPERR08G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKNYITIKERGADDISCPLEKAYLATYEEEANDAMISNAKNVHESNPALDQSPEQKSCSSLKISDAAL >LPERR08G19890.1 pep chromosome:Lperr_V1.4:8:19606834:19609594:-1 gene:LPERR08G19890 transcript:LPERR08G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAASLAALPSQLTTSPARRSLLLSSTSRARPRPHHPLLHASSPKGGSYCRLVVTCNAGTVVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQFLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >LPERR08G19900.1 pep chromosome:Lperr_V1.4:8:19610494:19615290:1 gene:LPERR08G19900 transcript:LPERR08G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRATFLDLIVSLLILAHVATVTGVEPTYARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELYGQSNSPQLEQLFTLDEHKGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGAWDPHNHNSVATITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQNLIATAEDEFGIRLWDLRMLKHPLKNLPGHSHWTWAVRHNPEHDQLILVSPPHYTSARGLYISNVLFIVY >LPERR08G19900.2 pep chromosome:Lperr_V1.4:8:19610494:19615290:1 gene:LPERR08G19900 transcript:LPERR08G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRATFLDLIVSLLILAHVATVTGVEPTYARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELYGQSNSPQLEQLFTLDEHKGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGAWDPHNHNSVATITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQNLIATAEDEFGIRLWDLRMLKHPLKNLPGHSHWTWAVRHNPEHDQLILVSPPHYTSARGLYISNVLFIVY >LPERR08G19910.1 pep chromosome:Lperr_V1.4:8:19615972:19627256:1 gene:LPERR08G19910 transcript:LPERR08G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRRRGGAHNACPRPAALPAAALLLFVLAAVALLYVSPPPLSDHPALASSRRRSPHAVVLNSSGGGESTVETEHNEISRVPREADGLWGSKFASKFYGCSNSSSKFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISDLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYLNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGPIEEMGGKLIQRMRDRSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHATNPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISRKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLILNRRNMSWDSFSSRVRLVQKGFMGEPKELRPGRGEFHENPSTCICEKIDGKTAIKSNAQIEQGLINDTERGIAIQIEQGLSNDTDRGIAISEPTVPDEEAGESEAEEDAPGEKEETVDPEADDDALLSASLEKLPDVCKTHTSLKSSNKLYQVVKPEALIPLPRSSRQQTASARTQGPSVVPSNHQEVSTPLLSSSLASTQLHCKKLLPCCMGHSSATSPAGVVDAPTGVKVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILIKEDNRGYWDLVWNPPGERTGKFDVIKGTEFRIIYQDENQAEVSFVRRWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQDGWPGFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPEDRVPPRGQQLAYPEAFLLVDPVNPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFMNGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSEDFQKTEQRGCISGRLLVRDRYLDDADLYATAAYVGLALPGDAGSWQRECKGYQFWCRADDDGSFYIRNIVAGDYNLYAWVPGFIGDYKLDATLTEMTSIWVTLCMNHQETVPRCGRSECLTDLPLNSTFLTLIPTIFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFYAQVNRRTDQNTYQPTTWQIKFNLDSVNPNSNYKFRVALASSANAELQVRFNDPDRSAPHFTSGLIGRDNAIARHGIHGLYWLFNIDVSGSWLFQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >LPERR08G19910.2 pep chromosome:Lperr_V1.4:8:19615972:19627332:1 gene:LPERR08G19910 transcript:LPERR08G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRRRGGAHNACPRPAALPAAALLLFVLAAVALLYVSPPPLSDHPALASSRRRSPHAVVLNSSGGGESTVETEHNEISRVPREADGLWGSKFASKFYGCSNSSSKFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISDLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYLNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGPIEEMGGKLIQRMRDRSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHATNPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISRKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLILNRRNMSWDSFSSRVRLVQKGFMGEPKELRPGRGEFHENPSTCICEKIDGKTAIKSNAQIEQGLINDTERGIAIQIEQGLSNDTDRGIAISEPTVPDEEAGESEAEEDAPGEKEETVDPEADDDALLSASLEKLPDVCKTHTSLKSSNKLYQVVKPEALIPLPRSSRQQTASARTQGPSVVPSNHQEVSTPLLSSSLASTQLHCKKLLPCCMGHSSATSPAGVVDAPTGVKVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILIKEDNRGYWDLVWNPPGERTGKFDVIKGTEFRIIYQDENQAEVSFVRRWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQDGWPGFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPEDRVPPRGQQLAYPEAFLLVDPVNPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFMNGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSEDFQKTEQRGCISGRLLVRDRYLDDADLYATAAYVGLALPGDAGSWQRECKGYQFWCRADDDGSFYIRNIVAGDYNLYAWVPGFIGDYKLDATLTEMTSIWVTLCMNHQETVPRCGRSECLTDLPLNSTFLTLIPTIFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFYAQVNRRTDQNTYQPTTWQIKFNLDSVNPNSNYKFRVALASSANAELQVRFNDPDRSAPHFTSGLIGRDNAIARHGIHGLYWLFNIDVSGSWLFQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >LPERR08G19910.3 pep chromosome:Lperr_V1.4:8:19615972:19627256:1 gene:LPERR08G19910 transcript:LPERR08G19910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRRRGGAHNACPRPAALPAAALLLFVLAAVALLYVSPPPLSDHPALASSRRRSPHAVVLNSSGGGESTVETEHNEISRVPREADGLWGSKFASKFYGCSNSSSKFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISDLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYLNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGPIEEMGGKLIQRMRDRSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHATNPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISRKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLILNRRNMSWDSFSSRVRLVQKGFMGEPKELRPGRGEFHENPSTCICEKIDGKTAIKSNAQIEQGLINDTERGIAIQIEQGLSNDTDRGIAISEPTVPDEEAGESEAEEDAPGEKEETVDPEADDDALVRLEDPEYQVVKPEALIPLPRSSRQQTASARTQGPSVVPSNHQEVSTPLLSSSLASTQLHCKKLLPCCMGHSSATSPAGVVDAPTGVKVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILIKEDNRGYWDLVWNPPGERTGKFDVIKGTEFRIIYQDENQAEVSFVRRWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQDGWPGFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPEDRVPPRGQQLAYPEAFLLVDPVNPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFMNGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSEDFQKTEQRGCISGRLLVRDRYLDDADLYATAAYVGLALPGDAGSWQRECKGYQFWCRADDDGSFYIRNIVAGDYNLYAWVPGFIGDYKLDATLTEMTSIWVTLCMNHQETVPRCGRSECLTDLPLNSTFLTLIPTIFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFYAQVNRRTDQNTYQPTTWQIKFNLDSVNPNSNYKFRVALASSANAELQVRFNDPDRSAPHFTSGLIGRDNAIARHGIHGLYWLFNIDVSGSWLFQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >LPERR08G19920.1 pep chromosome:Lperr_V1.4:8:19633522:19638253:-1 gene:LPERR08G19920 transcript:LPERR08G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAHFFGLTDPQMQQQPPLQNNTATPATAAATATPPLKKKRNQPGNPSKYITLNNQLTIDRCVSIINPDPDAEVIALSPHTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQDRMPIADAVVYGAAVAGMAAQQAQLQSQFHQAPADVSHSGAGANVNAAAQFDHHLMLLSPSSATPHSTSSSTSPFYLAGCGGAADDASLIHGKPSFHHHGGLMQLPDQHNNGGGILNLGYFSGGGHHQDARLVFPENRFTGSGSETGSATVFSGNMIGGGGGGFFSNQNIAPPQMSATALLQKAAQMGATTSGGGGGAAAAGSVNSLLRGFGSGGGKIDGGGGVGGLIMSGEMSSTSRSNSSQTAAAAENESQLRGMMMNTLAAATGGGGFADVDDGNLSTRDFLGVNRGGGGGGAVGIRMRHGGGMGMGSLDQEMK >LPERR08G19930.1 pep chromosome:Lperr_V1.4:8:19654222:19658401:1 gene:LPERR08G19930 transcript:LPERR08G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPATMLPAPAPALLLLLLIAAAPLSTAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFDMREPKMCQIICKASISDKQANELKEKIEDEYRVNMILDNLPLVVPIARPDKDAVVFQGGYHVGVKGQYAGSKDEKYFIHNHLTFLVKYHKDEDSDLSRIVGFEVKPFSVNHQFEEKWDGAKTRLSTCDPHANKIVINSDTPQEVEVGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFILNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEPPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACLWFTRLIYSSVKID >LPERR08G19930.2 pep chromosome:Lperr_V1.4:8:19654287:19658401:1 gene:LPERR08G19930 transcript:LPERR08G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPATMLPAPAPALLLLLLIAAAPLSTAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFDMREPKMCQIICKASISDKQANELKEKIEDEYRVNMILDNLPLVVPIARPDKDAVVFQGGYHVGVKGQYAGSKDEKYFIHNHLTFLVKYHKDEDSDLSRIVGFEVKPFSVNHQFEEKWDGAKTRLSTCDPHANKIVINSDTPQEVEVGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFILNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEPPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACLWFTRLIYSSVKID >LPERR08G19940.1 pep chromosome:Lperr_V1.4:8:19660237:19667171:1 gene:LPERR08G19940 transcript:LPERR08G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMLRSLHREIFRYSQLETQNETQAETGWKLVHGDVFRSPSNPGLLCAFAGSGVQLFGTLLITVVFEFLGFFSSMTSAGVRNAMLLTWVLMGLFAGYTSSRLSKMFNTGSEWKHITLTTAILFPGFAFVIFQFLNNLLYNEKSSATIPSTTMCSLVLLWFCVAPPLVYVGGYLGFKKPAIEPPVEINKTLRKIPKQAWYTSTVFAILIGSIFPFATILVEFFFGLIFFWYHKFYRGFGFLLITFVLLVVACAEIPIVLCYYQLRSGNYKWWWRSFLTSGCSAVYLFLYATFFFTKIAIVKPVSAMLYFGHMLVVSYAFFLLTGTIGFFSCFFFTSIRPGDEILVKVNDLTSIKTQIPYSYYSLPFCKPDIQVDNAPTLWRFLLGDRQQRSPFLFEMKVPKTCQIVCRVFVGEKEAKELIEKVENQYRVNMALDNLPLTIPIRRIDESGFFYQHGYHIGVIGRYAGEEYNRYFIHNHLSFLVKYERDLDTGASRIVAFETKPFSIKHKFEAQWNGVNTRLSTCDANANKFISASDSPQEIRVGEEIIFTYDVSFQDSEIKWASRWDAYLSTADDQARWFSIVNSLVTLLVLSVAMATTMLRSLHREIFRYSLLETTQSETQAETRWKLVHGDVFRPPSNSGLLCAFAGSGVQLFGTLLITVAFEFLGFFSPMNPAGVRNFMILTWVLMGLFAGYTSSCLYKMFKNGSEWKHVTLTTAFLFPGFALVIYLILNDLLEYEKSSAAVPNSLMFVLVMSSCITWPLVYVGGYLGFKKTAIEPPVEINETPRKIPKQKCCAQLSCTFAAIPPPSQPSN >LPERR08G19940.2 pep chromosome:Lperr_V1.4:8:19660237:19666741:1 gene:LPERR08G19940 transcript:LPERR08G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMLRSLHREIFRYSQLETQNETQAETGWKLVHGDVFRSPSNPGLLCAFAGSGVQLFGTLLITVVFEFLGFFSSMTSAGVRNAMLLTWVLMGLFAGYTSSRLSKMFNTGSEWKHITLTTAILFPGFAFVIFQFLNNLLYNEKSSATIPSTTMCSLVLLWFCVAPPLVYVGGYLGFKKPAIEPPVEINKTLRKIPKQAWYTSTVFAILIGSIFPFATILVEFFFGLIFFWYHKFYRGFGFLLITFVLLVVACAEIPIVLCYYQLRSGNYKWWWRSFLTSGCSAVYLFLYATFFFTKIAIVKPVSAMLYFGHMLVVSYAFFLLTGTIGFFSCFFFTSIRPGDEILVKVNDLTSIKTQIPYSYYSLPFCKPDIQVDNAPTLWRFLLGDRQQRSPFLFEMKVPKTCQIVCRVFVGEKEAKELIEKVENQYRVNMALDNLPLTIPIRRIDESGFFYQHGYHIGVIGRYAGEEYNRYFIHNHLSFLVKYERDLDTGASRIVAFETKPFSIKHKFEAQWNGVNTRLSTCDANANKFISASDSPQEIRVGEEIIFTYDVSFQDSEIKWASRWDAYLSTADDQARWFSIVNSLVTLLVLSVAMATTMLRSLHREIFRYSLLETTQSETQAETRWKLVHGDVFRPPSNSGLLCAFAGSGVQLFGTLLITVAFEFLGFFSPMNPAGVRNFMILTWVLMGLFAGYTSSCLYKMFKNGSEWKHVTLTTAFLFPGFALVIYLILNDLLEYEKSSAAVPNSLMFVLVMSSCITWPLVYVGGYLGFKKTAIEPPVEINETPRKIPKQKWYISPAFTILIGSILPFAVVFIEFFYGLIFFWFHQFYCGFGFLLITLALLVVACAEISIAFCYYQLRSGNYKWWWRSFLTSGFSAVYLFLYATFFFFAKMQIVKPVSAMLYFGYMIVISCAFFLITGTIGFFSCFFFTRFIYSQRAFG >LPERR08G19940.3 pep chromosome:Lperr_V1.4:8:19658761:19661664:1 gene:LPERR08G19940 transcript:LPERR08G19940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMLRSLHREIFRYSQLETQNETQAETGWKLVHGDVFRSPSNPGLLCAFAGSGVQLFGTLLITVVFEFLGFFSSMTSAGVRNAMLLTWVLMGLFAGYTSSRLSKMFNTGSEWKHITLTTAILFPGFAFVIFQFLNNLLYNEKSSATIPSTTMCSLVLLWFCVAPPLVYVGGYLGFKKPAIEPPVEINKTLRKIPKQAWYTSTVFAILIGSIFPFATILVEFFFGLIFFWYHKFYRGFGFLLITFVLLVVACAEIPIVLCYYQLRSGNYKWWWRSFLTSGCSAVYLFLYATFFFTKIAIVKPVSAMLYFGHMLVVSYAFFLLTGTIGFFSCFFFTRLIYSQRAFG >LPERR08G19950.1 pep chromosome:Lperr_V1.4:8:19667085:19679740:-1 gene:LPERR08G19950 transcript:LPERR08G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDDDTMAAAAAEEEKQEEEEEEELFMNDDDEEELTWEEKVVEVLHLVRRREITEYNHKLHRRLDLNVVAIKVTESDVGYPISIYGTVLARDEYDLRCVYLFKRGRDNAQIITSPEDTLLLTGPNRALAARDIMYFEFHLKIKGDGGIDKDFSKGLLDHNVICYTKQPVTLSLESCLSIIEFVYTPVRFAVEALVAVSIKGMQSSKFSGKVTAWTSEDDENKIILYDSKAEGTNRVLGDGGSIDLTRRFVAVKLDDALVLNFTVSEDNHHGGELFELVLRQDDEEYMLEQGTYELQVKISWTAALKDGWRLRRRKFGNKYVTQFFVLPEGSGLTLSEWWIQARRSFRENYRKAFDSLFMMICWFVWKERNVRVFERRHKPAGVVVADIKEEILIKGENGVEKIFSKGLLEHNCIHHTQQPMTLSLESWLSTVEFVYTPVPIAVEALVAVSIKGMPSSNFIGKITACTAGDDENKIILYDSEVQGTNRVLGAGGSVDLTRRFVAVKLDDALVLNVAMSKHDHEEAQFFEPVLGHGDEVCVHEQGPYELQVKLDGWLGGGMAAKVHDSWAQLRVVVII >LPERR08G19960.1 pep chromosome:Lperr_V1.4:8:19679794:19681195:-1 gene:LPERR08G19960 transcript:LPERR08G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDQQCAAMDDEETMDVAAAAKEKKRRPELTWEEKVVKVLHMVRCRQITEYNHKLDRSHPTRFCTYYIAFFDHDKESRLGRGPPVQEALALSEYWRMMDSINVMAIKVTESDVGYPISIYGTVLARDEYDFRRGRDDSQIITSPEDTLLLTGPNRALGASDCMHFEFHLKIKGEGGVDKDFSKVTAWTTEDVENKIILYDSEVQGTNRVLGACGSVDLTRRFVAVKVYDALVLSVSVSEDNHHEDELFEVVLGHGDEVCVHEQGP >LPERR08G19970.1 pep chromosome:Lperr_V1.4:8:19682408:19684165:-1 gene:LPERR08G19970 transcript:LPERR08G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSVLPTSFHKDHNANIYTVVFGEKYFLLLPLTKHHHIYIRNYLAVNYVADEEQEGNELEMNQLRLKLELEEPERIVPWSSVDPYPSSPKETVVRVSSFLLYFDGSRPLHCTV >LPERR08G19980.1 pep chromosome:Lperr_V1.4:8:19686144:19692865:1 gene:LPERR08G19980 transcript:LPERR08G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAASRPPTPAANAASSSRIDSPSLKAALAMALLHYNRLPSRAATSSSASSPQALLHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPAADGDGGEHWIDEVLRRRFLRLEYNNEDEIQQLSLSIDFLVELSDGIFAKRHAAASFATFSHQAVDFILASLKNILSSERQKEITEEIINGLIARLMKRMCNTRENAGSADCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKILLVDPFDDAFPEMHSNMFIMIQLIEFLISDYFNNWLCCDHFDRKLFEEWVRSILKARKDLEVLESRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSELLC >LPERR08G19980.2 pep chromosome:Lperr_V1.4:8:19686144:19691874:1 gene:LPERR08G19980 transcript:LPERR08G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAASRPPTPAANAASSSRIDSPSLKAALAMALLHYNRLPSRAATSSSASSPQALLHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPAADGDGGEHWIDEVLRRRFLRLEYNNEDEIQQLSLSIDFLVELSDGIFAKRHAAASFATFSHQAVDFILASLKNILSSERQKEITEEIINGLIARLMKRMCNTRENAGSADCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKILLVDPFDDAFPEMHSNMFIMIQLIEFLISDYFNNWLCCDHFDRKLFEEWVRSILKARKDLEVLESRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSELLC >LPERR08G19980.3 pep chromosome:Lperr_V1.4:8:19686144:19690322:1 gene:LPERR08G19980 transcript:LPERR08G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAASRPPTPAANAASSSRIDSPSLKAALAMALLHYNRLPSRAATSSSASSPQALLHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPAADGDGGEHWIDEVLRRRFLRLEYNNEDEIQQLSLSIDFLVELSDGIFAKRHAAASFATFSHQAVDFILASLKNILSSERQKEITEEIINGLIARLMKRMCNTRENAGSADCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKILLVDPFDDAFPEMHSNMFIMIQLIEFLISDYFNNWLCCDHFDRKLFEEWVRSILKARKDLEVLESRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSELLC >LPERR08G19990.1 pep chromosome:Lperr_V1.4:8:19694574:19695029:-1 gene:LPERR08G19990 transcript:LPERR08G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIISVRSTTTAAAGDDDDISLDLRLRNNPPPLAGGGEVEYKERAFSCTYCRRTFYSSQALGGHQNAHKLERSLAKRSRDLSGAISAADQLASWSPAAYSPAAGDRAAAAVVSWIADGGRRRHGYRVHAAAAGGDVAAATEDIDLSLKL >LPERR08G20000.1 pep chromosome:Lperr_V1.4:8:19700993:19705423:-1 gene:LPERR08G20000 transcript:LPERR08G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSDRPGTQYSALWERNSSEPVQYAVYLKERNTDATGVGSALSCTEGEGSMDSQEPRVEAEQKPVMVDSTEEQTIPSKDEKAPKPTISHDSNDINLPSEGQAQAGTSNIGGGHNAAYPQTLYSSQAQPFYYQGPGYENPSNEWDGYPPYVSAEGLEAGPAVVYNDDPSMMFHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRGFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATKPTKEPEDGSNDEKPSSGADYELYNRPDFVIEYKKARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHDEDASILDDFDFYEERERALLENKARLHQQHQPPSSTAVEPKKPLSVPTDLVGHITKSFAQAVRLGEAKNVSPNSAEKVAVGDSSVAVKPLEVKESGLV >LPERR08G20010.1 pep chromosome:Lperr_V1.4:8:19707613:19709207:1 gene:LPERR08G20010 transcript:LPERR08G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKKEEETLVRMGGDKLILRGLRFHGKHGVKPEEKTLGQKFVVDVDAWMDLAAAGDSDDIADTVSYTSIYRIVQDVVEGPSHNLLESVAQSIANSTLLKFHQISAVRVKVEKPHVAVKGVLDCLGVEIFRQRKP >LPERR08G20020.1 pep chromosome:Lperr_V1.4:8:19714645:19715428:1 gene:LPERR08G20020 transcript:LPERR08G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVVDAVAVAAADKVDLKKETKKVQTQLARRHENSFILRPSTSCSRPSSRGFNTSPGSSAIWSSRLSTMVQQPSADNSSAEKDVHIRKVRNRSMQRVLGNNRSTSICYEDKTSSLGIALSSSNPYSFSYFQLVP >LPERR08G20030.1 pep chromosome:Lperr_V1.4:8:19716612:19716849:1 gene:LPERR08G20030 transcript:LPERR08G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAQDVVEGPSHNLLESVAQSIANSTLLNFHQISAVRVKVEKPHVAVKGVLDCLGVEIFRQRKP >LPERR08G20040.1 pep chromosome:Lperr_V1.4:8:19717620:19718867:-1 gene:LPERR08G20040 transcript:LPERR08G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEVQQVGELVEGESRRPLAEYASELDALSRSVQTSPLRLKALVRACHHALAFFDLLGVEAAFWKIEYAERLLDLDRAAGSVATAGDLLDADLAAAGRNVAATGTRSNNLVRVKRGIELKRALFHLMLGQIQQQKNRGVVVSFDGLVAMAYAAVFARHHDKNVQSTVADSICAIPVKSVSDFFATINETDESAAAEMHKYIEAANGVISYIDELFASRGLSSDF >LPERR08G20050.1 pep chromosome:Lperr_V1.4:8:19722177:19726647:1 gene:LPERR08G20050 transcript:LPERR08G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVYFLLVVAFYAFFAPFLGKQVLEYVAFGIYSPVVFVVFILYIRCTTINPADPGIMSKFDEGFRNAAGSSTGLQGANLPERADTTTGTNSPTSTFRSSVDGRHNNGGLAAGHSNINSTSQQPRSSKHLSIQSINRMVKRPYFAHYVMLRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLGNGLTRAPFATIVAIFTVLSLVACIPLGELFFFHMILIRKGITTYEYVVAMRAMSETPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFIDQDEVIPHLDPGMVPSTIDPDNTGNPERANKSNKKQVKISAWKLAKLDTNDAMKAAARARASSSVLRPVADARRAAAGDMMSSSGNGSLRSSSMSAAGGDDGGSSVMSSPVRIHKINFTPRPPPPPPTLPAAQNAGIPRPPPVPATARVANPMFQSATSYFRENRRASVVWDQEAGRYVSVAPAPATARSADQPAVKAPPFLASSGGEAVNARSRNINPAATNAAAAAASSSSAALPSGEPSERLTYSGQSIFFGGPLLGEPRRDEATARDRAAESFPVFAPGTFQKNPPFRR >LPERR08G20050.2 pep chromosome:Lperr_V1.4:8:19722177:19726647:1 gene:LPERR08G20050 transcript:LPERR08G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVYFLLVVAFYAFFAPFLGKQVLEYVAFGIYSPVVFVVFILYIRCTTINPADPGIMSKFDEGFRNAAGSSTGLQGANLPERADTTTGTNSPTSTFRSSVDGRHNNEHLSIQSINRMVKRPYFAHYVMLRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLGNGLTRAPFATIVAIFTVLSLVACIPLGELFFFHMILIRKGITTYEYVVAMRAMSETPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFIDQDEVIPHLDPGMVPSTIDPDNTGNPERANKSNKKQVKISAWKLAKLDTNDAMKAAARARASSSVLRPVADARRAAAGDMMSSSGNGSLRSSSMSAAGGDDGGSSVMSSPVRIHKINFTPRPPPPPPTLPAAQNAGIPRPPPVPATARVANPMFQSATSYFRENRRASVVWDQEAGRYVSVAPAPATARSADQPAVKAPPFLASSGGEAVNARSRNINPAATNAAAAAASSSSAALPSGEPSERLTYSGQSIFFGGPLLGEPRRDEATARDRAAESFPVFAPGTFQKNPPFRR >LPERR08G20050.3 pep chromosome:Lperr_V1.4:8:19722177:19726647:1 gene:LPERR08G20050 transcript:LPERR08G20050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVYFLLVVAFYAFFAPFLGKQVLEYVAFGIYSPVVFVVFILYIRCTTINPADPGIMSKFDEGFRNAAGSSTGLQGANLPERADTTTGTNSPTSTFRSSVDGRHNNGGLAAGHSNINSTSQQPRSSKHLSIQSINRMVKRPYFAHYVMLRSCDKCVDGFDHHCRLAIEIGVGIAVLVVCFVNKNSESIIQDKLGNGLTRAPFATIVAIFTVLSLVACIPLGELFFFHMILIRKGITTYEYVVAMRAMSETPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFIDQDEVIPHLDPGMVPSTIDPDNTGNPERANKSNKKQVKISAWKLAKLDTNDAMKAAARARASSSVLRPVADARRAAAGDMMSSSGNGSLRSSSMSAAGGDDGGSSVMSSPVRIHKINFTPRPPPPPPTLPAAQNAGIPRPPPVPATARVANPMFQSATSYFRENRRASVVWDQEAGRYVSVAPAPATARSADQPAVKAPPFLASSGGEAVNARSRNINPAATNAAAAAASSSSAALPSGEPSERLTYSGQSIFFGGPLLGEPRRDEATARDRAAESFPVFAPGTFQKNPPFRR >LPERR08G20050.4 pep chromosome:Lperr_V1.4:8:19722177:19726647:1 gene:LPERR08G20050 transcript:LPERR08G20050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVYFLLVVAFYAFFAPFLGKQVLEYVAFGIYSPVVFVVFILYIRCTTINPADPGIMSKFDEGFRNAAGSSTGLQGANLPERADTTTGTNSPTSTFRSSVDGRHNNEHLSIQSINRMVKRPYFAHYVMLRSCDKCVDGFDHHCRLAIEIGVGIAVLVVCFVNKNSESIIQDKLGNGLTRAPFATIVAIFTVLSLVACIPLGELFFFHMILIRKGITTYEYVVAMRAMSETPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFIDQDEVIPHLDPGMVPSTIDPDNTGNPERANKSNKKQVKISAWKLAKLDTNDAMKAAARARASSSVLRPVADARRAAAGDMMSSSGNGSLRSSSMSAAGGDDGGSSVMSSPVRIHKINFTPRPPPPPPTLPAAQNAGIPRPPPVPATARVANPMFQSATSYFRENRRASVVWDQEAGRYVSVAPAPATARSADQPAVKAPPFLASSGGEAVNARSRNINPAATNAAAAAASSSSAALPSGEPSERLTYSGQSIFFGGPLLGEPRRDEATARDRAAESFPVFAPGTFQKNPPFRR >LPERR08G20060.1 pep chromosome:Lperr_V1.4:8:19727638:19734404:1 gene:LPERR08G20060 transcript:LPERR08G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGMEVEVRVVGGARSCFAALPLHLIHALTRTSASGDLPPVLALDLRAAATGARWSLAWSGAASRSQAIEVAQELAECISLPDGTIAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVISSSPKKSVVQLVPGTEVAVAPKKRKAKESSNQDVQKQGAVKEEAKTKALLRVQAADKKYVDKFKYKGVELGVILSYAVLIHPDTAGRASSLCNLQLVTISSKSSPKGPAKKGKEVTQKKGAIVPKERAREVVVYILFSDSVSEGHVMLPHSIRHYIRVYVKKFSADVKNDQPIVTISPLRFKMHEKVVHDGSQLGSQEASIWRKTSIPSENGDSFQEAHFGINDEILSKDIDITSESIAEHKVLIKQWLVGQLKEMALHAGSSEISSVVLPTKVLLHFEAVDQKQNRGVQFLYLLKVAFENSSYNNSQGNARLAWSTQTDDLENLELNFGRLELGEPESFDSIVDDGFSNGFKLTPSSLGWRENAMSDVTKRLSVLLSSTRLRLFSRLKLPFPGHVLVHGPRGSGKTALTRAAAKYFEDHKEILAHVIYMDCSKLALGKANDTKQTIEDSISEALLHAPSIIIFDDLDNVVSVSSDPQVPQSSSSSDSIVRYLADIMDEYKDKTQNACGYGPVALMASVQSLQSLPQDLTSSGRFDFHVELPVLAVPEREALLIQQVEERELQCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSNAYLNCTEPTLVKEDFLKAMHNFLPVAMRDLSKYAPDDNEGGWEDVGGLNEAVTIIKETLELPSRYPNIFTKAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQFIDAALLRPGRFDRLIFCDFPGWHERLEILKVHSRNVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHEVLENINDDDAGSEPCISKDLLMSVVRKARPSTSADKKRQYDMEFGEFVSSRKSLSMKARESKGKKMHKARKGQN >LPERR08G20070.1 pep chromosome:Lperr_V1.4:8:19734799:19738250:1 gene:LPERR08G20070 transcript:LPERR08G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCGLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVSAKH >LPERR08G20080.1 pep chromosome:Lperr_V1.4:8:19737318:19746524:-1 gene:LPERR08G20080 transcript:LPERR08G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLGPGVIVESTSTPRTKPSRTACAAHTVESCSCPRRLPPHDTTTTRPDQTTDRAGRNGTEPTTTAAAAAGRGIISPEMDGSGSWDTIDWNQIKEPRPQRGSSQGMEEFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIQLGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFAFLPKTKQKNEVFDALRRYSKPTNLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRNWFPHSGSEFEKDLRNEWWRVTNVNSNYSLCSTYPSALIVPRSIRFIASFFFPIWQTLASYFVGLELFWQGLLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGISSAVSHVGDRRNRGSTWGGGNLNSMTQFSATLGEWLNHIQSILVGASWIAAQIAQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQKFLVDFMDCVLSCRFGNFLCNSEREREQSGAASSCHCMWMYLADLRASGGNFHEHYNPFYDPMKHNGPLVPPAAALAPTLWPQFYLRWTCPVESQGGDLESQWHAMSKKYTEAMKVKDAAESRAKDIKMKMESMLLELQREKRASSSALAMAQRAQRESIAIRKAVRSLGCTVNFAMNESEVEKTESLSYSIRKDTGVESQLNKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >LPERR08G20090.1 pep chromosome:Lperr_V1.4:8:19750588:19751718:1 gene:LPERR08G20090 transcript:LPERR08G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVVAAAMVLVLGCTTTAAMEFGEKDLSSESALWDLYERWRGHHRDARDLADKSRRFNVFKHNARLIHEFNSRPNEPYKLRLNRFADLTSDEFRRSFASSRISHHRAFQSQSPNQKSSAFSSSADDLPAAVDWRQKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIVTGNLTSLSEQQLVDCDTKSGNAGCNGGLMDNAFAYIAANGGIVSSSSYPYRARKSACKKPSSSSSPATVTIDGYEDVAANSEAELKKAVARQPVAVAIEAGGPHLQFYSEGVFAGKCGTELDHGVAAVGYGATVDGMKYWIVRNSWGSDWGEKGYIRMKRDVDAKEGLCGIAMMASYPVKKSTNPKIAEVVGDDDDDHVQRLYKDEL >LPERR08G20100.1 pep chromosome:Lperr_V1.4:8:19752306:19755072:1 gene:LPERR08G20100 transcript:LPERR08G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLWSSGASDKNKAMVQQLQRYGIIKSTKVAEVMDSIDRGLFVPSGASPYYDSPMPIGYNATISAPHMHASCLELLEKLLQPGMRALDVGSGTGYLTACFALMVGPEGRVVGVEHIPELVASSIENIKKSAAAPQLSDGSLNIHIADGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTLSQELKVVDKKQDGSVSIRDETSVRYVPLTSKDAQLQDPNRDPLH >LPERR08G20100.2 pep chromosome:Lperr_V1.4:8:19753064:19757153:1 gene:LPERR08G20100 transcript:LPERR08G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSGKRNRFMLLLVLLILLQHLLWSSGASDKNKAMVQQLQRYGIIKSTKVAEVMDSIDRGLFVPSGASPYYDSPMPIGYNATISAPHMHASCLELLEKLLQPGMRALDVGSGTGYLTACFALMVGPEGRVVGVEHIPELVASSIENIKKSAAAPQLSDGSLNIHIADGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTLSQELKVVDKKQDGSVSIRDETSVRYVPLTSKDAQLQGN >LPERR08G20110.1 pep chromosome:Lperr_V1.4:8:19755630:19757132:-1 gene:LPERR08G20110 transcript:LPERR08G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSVTSLAMAMAAASASSTDASPSPHKLPFHHLLFPRAAPLHLRASRRLPLTPLVASSDAAAAAEAGVEWSETEAEADEADEVFQEEEVESEAEAEAEVVASGEEVEEVEEEEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMNTIEEADKAIEMLNRYDISGRLLNVNRAAPRGSRVDRPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPPRGF >LPERR08G20120.1 pep chromosome:Lperr_V1.4:8:19758923:19759837:1 gene:LPERR08G20120 transcript:LPERR08G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDSSVGIAAAGDSVRGGGGALDRSLQAATTTVYGATLRSGKELLIHVTWTRSAAGATGLAVALDAAAATLSPPSSSKRATHHVLHKRRGSRSLATAGAGGDTAVTVHWDTTDATYASDSSPEPTGGDYYLAVVAVAGAGDELALLLGAGDAARYISRRFADSAATAAVVLSRREQLRAAAAAHTARCRFREGGAEHEVAVQATRGGGEGEVRVSIDGKRVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGGGGAGAQFMVRARVEKDGRLWMADQPPARGGFFLHVQCYRR >LPERR08G20130.1 pep chromosome:Lperr_V1.4:8:19760166:19762762:-1 gene:LPERR08G20130 transcript:LPERR08G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAASSASAACSSLAPNPTARDPVRRPPLGVATTRRHGYASTFLTSIGQVRPRDPFLNPRLRFAVAAAAKGEMAAEGSAATKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLDPKFLIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSAPKKVKLMCSYCKRHDESEVPDPYYGGPQGFEKVLDLLEDACESLLDSILAENANVAA >LPERR08G20140.1 pep chromosome:Lperr_V1.4:8:19763309:19772724:1 gene:LPERR08G20140 transcript:LPERR08G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSTLTTATDDAEVQREQGNNGNGAASAAVGKEGEEMVGPGPMPARPRQKRPLQFEHAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPRLAVSDCNTPVVHIYDTHSGSNDPIISKEIHAGPVKVMKYNPVHDVVISADAKGLLEYWSPSTLKFPEDEVKFRLKTDTNLFEIAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDIPMYHLEAIDFGRRMAVEKEIEKTENVPQPNAVFDESSNFLIYATLLGIKIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDSLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPRT >LPERR08G20140.2 pep chromosome:Lperr_V1.4:8:19763365:19772774:1 gene:LPERR08G20140 transcript:LPERR08G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSTLTTATDDAEVQREQGNNGNGAASAAVGKEGEEMVGPGPMPARPRQKRPLQFEHAFLDALPSAAMWVFSSRFRVRIWYEKSYMHRDVVTHVAVSPADFFITGSADGNLSCSRLCIVLYSAVVGGSGWMDYDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPRLAVSDCNTPVVHIYDTHSGSNDPIISKEIHAGPVKVMKYNPVHDVVISADAKGLLEYWSPSTLKFPEDEVKFRLKTDTNLFEIAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDIPMYHLEAIDFGRRMAVEKEIEKTENVPQPNAVFDESSNFLIYATLLGIKIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDSLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPRT >LPERR08G20150.1 pep chromosome:Lperr_V1.4:8:19774682:19780392:1 gene:LPERR08G20150 transcript:LPERR08G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTNQLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRSKFQAMLQIMNRKAESTEKKKNCDAEAEGAS >LPERR08G20150.2 pep chromosome:Lperr_V1.4:8:19775139:19780392:1 gene:LPERR08G20150 transcript:LPERR08G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTNQLNALVNNMEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRSKFQAMLQIMNRKAESTEKKKNCDAEAEGAS >LPERR08G20160.1 pep chromosome:Lperr_V1.4:8:19783299:19789094:1 gene:LPERR08G20160 transcript:LPERR08G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADGLDAERVIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDEESAKQRVQAEVTDTDLFCLVKERHGKGFDEFIDEKVVALAGDIIYEDLGLHPSVLRDLADDLDVIVNGAATTNFYGRYDVSLDVNVMGVKHLCQLAKKCPRLKVFLHVSTAYAGGDREGLIQERPFEEGTALREGMHLDIDAELRLVADLRRDLAGDDKAQRKAMKELGLTRARHFGWSNTYVFTKAMGEMLLGRMLLTAQSSMGLVVVRPSIITSIRRDPLPGWMQGTRTIDTLIIGYAKQNLPCFLGDLDLVMDVIPGDMVVNAMLTATAAHSGESSSPAPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRLGKGGEVIPTKEMHFFKTIASFQVYMLIKYRLPLEILHLVNILLCGLFSRLYSDLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQKMGRSKNPENDDMFNFDPKTIDWDDYFYSIHIPGVLKSDAYWPRTTHPYSVLRWNKVDLSHQT >LPERR08G20160.2 pep chromosome:Lperr_V1.4:8:19783299:19788783:1 gene:LPERR08G20160 transcript:LPERR08G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADGLDAERVIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDEESAKQRVQAEVTDTDLFCLVKERHGKGFDEFIDEKVVALAGDIIYEDLGLHPSVLRDLADDLDVIVNGAATTNFYGRYDVSLDVNVMGVKHLCQLAKKCPRLKVFLHVSTAYAGGDREGLIQERPFEEGTALREGMHLDIDAELRLVADLRRDLAGDDKAQRKAMKELGLTRARHFGWSNTYVFTKAMGEMLLGRMLLTAQSSMGLVVVRPSIITSIRRDPLPGWMQGTRTIDTLIIGYAKQNLPCFLGDLDLVMDVIPGDMVVNAMLTATAAHSGESSSPAPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRLGKGGEVIPTKEMHFFKTIASFQVYMLIKYRLPLEILHLVNILLCGLFSRLYSDLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQKMGRSKNPENDDMFNFDPKTIDWDDYFYSIHIPGVLKYILN >LPERR08G20170.1 pep chromosome:Lperr_V1.4:8:19789254:19792246:-1 gene:LPERR08G20170 transcript:LPERR08G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKVIIDTDPGIDDSMTILMAFRAPTVEIIGLTTIFGNVSTQNATKNALLLCERAGHPEVPVAEGSPEPLKGGEPRVADFVHGSDGLGNLFLPAPTTKKVDESAAEFMVNKVSQFPGEVFVLALGPLTNVALAIKRDSSFASKVKKIVVLGGAFFTAGNVNPAAEANIYGDPDAADVVFTSGADIDVVGINITTQVCLTDEDLLELRNSKGKHAQFLCDMCKFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETEGICTGHTLMDQGLKRWNSENPWSGYKPISVAWTVDVPKVLAFVKKLLMAP >LPERR08G20180.1 pep chromosome:Lperr_V1.4:8:19794404:19797071:1 gene:LPERR08G20180 transcript:LPERR08G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISLVVADAKERNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLEAKVNEIKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >LPERR08G20180.2 pep chromosome:Lperr_V1.4:8:19794406:19797071:1 gene:LPERR08G20180 transcript:LPERR08G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISLVVADAKERNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLEAKVNEIKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >LPERR08G20190.1 pep chromosome:Lperr_V1.4:8:19797563:19799718:-1 gene:LPERR08G20190 transcript:LPERR08G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGGYSSYPSAPPATSSYGYSSAPSAPPAPHSSSSCPYGHGGGGYQSSTYPPPPQGYPMGGMGMGGFVAFPAGTHPEVERAFRAADRDGSGSIEERELQDALSSAYHRFSIRTVRLLIFLFNNPASHSPSRMGPAEFVSLWNCLGQWRGIFDRYDRDRSGKIDSDELREALRSLGYAVPPSVLELLISNYNNGSSRRGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLSYDGFLSMVIPFIVP >LPERR08G20200.1 pep chromosome:Lperr_V1.4:8:19802013:19805204:1 gene:LPERR08G20200 transcript:LPERR08G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHLPPLLLSRRLRFSSTSRAAAAAATSRRTTTRISAQLDDAAASASTSTSDKPAASFSAPPGFTPPEPKRFEVKPGQADNIAGASLAIPFRLGTGVFVLGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIAQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIVKYLADKYGDGTVPIMLSLGLLTTITAGLAMSGRRGKGSQYTPSKLPPQPIEIWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGQFQAPYIEDPNTGVKMFESADIIDYLRATYAA >LPERR08G20200.2 pep chromosome:Lperr_V1.4:8:19802013:19805352:1 gene:LPERR08G20200 transcript:LPERR08G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHLPPLLLSRRLRFSSTSRAAAAAATSRRTTTRISAQLDDAAASASTSTSDKPAASFSAPPGFTPPEPKRFEVKPGQADNIAGASLAIPFRLGTGVFVLGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIAQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIVKYLADKYGDGTVPIMLSLGLLTTITAGLAMSGRRGKGSQYTPSKLPPQPIEIWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGQFQAPYIEDPNTGVKMFESADIIDYLRATYAA >LPERR08G20210.1 pep chromosome:Lperr_V1.4:8:19805920:19806504:1 gene:LPERR08G20210 transcript:LPERR08G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSPSPLPASRGRWSWGSALIGAASTAVAAGVLVCRPRDPTFELISISLSTFHFRPPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTAHLDAGEQPATSCRLLHLPARLDGLELAHHARSILADTARRHMELDAAVNIAGEAAVAIWSRRFSVRIDSHIVVDPVFLDVIDQENRSEMQLYLT >LPERR08G20220.1 pep chromosome:Lperr_V1.4:8:19806869:19812443:-1 gene:LPERR08G20220 transcript:LPERR08G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKAVNVQVLLRCRPFSDDELRSNAPQVVTCNDFQREVAVTQTIAGKQIDRVFTFDKVFGPSSKQRDLYDQAIIPIVNESGPNGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKQLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTASLETNQKQINELQEKYNLELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDFIISEQKKAENALTQQACVLRSDLEKSNRDNASLYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLAASIDQQNKHLESVESLCKSCVDSHDVATSEIKKKILASKALYMSHMEAFQNVVLLHKANANSTLEDLLACVEGEAQKIFGDIQNLLADHRSEVGHFTQELRESFRVSLDRTKNMSSFILGLFDKYAEETSKLQNHSNQTHEAQVKSLQDFQKAYEEQSKSEEQKLLADITNLVSKHITRQRELVGVRINSLGDAARGDKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRAMAEEHASNSSKDILQDIDNLLEEARNSSSRVVSTVEAHSVEIQNLQENHSSQTSGINTHAENALQSSYNDYEPTGETPVRSEPEVPSKGAIESLRAMPIESLMDEFRENHPYESSKEPKPSLIPRSPLATINN >LPERR08G20230.1 pep chromosome:Lperr_V1.4:8:19815828:19817819:-1 gene:LPERR08G20230 transcript:LPERR08G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNSTKSTYSCDGHTFNFLVDRGFVFLVVADEALGRSIPFVFLERVREDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRELRRKMWLQNLRFKLMVGGAIAALILIIWLMVCRGFKC >LPERR08G20240.1 pep chromosome:Lperr_V1.4:8:19820178:19823302:-1 gene:LPERR08G20240 transcript:LPERR08G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLAPKRSSRPKGNRLDKHEYAELIKRGSTAEDMGAGHAEAAQVQGLGLYKGPRKEEESSSSFNLDPPEMVSAEQADPPPAAKPEREDSEDDRRSKRKREERRGEKDRKRDKHSEGKERRRDKHERRHESDDRSKRHRKDKQKRRHDSDSD >LPERR08G20250.1 pep chromosome:Lperr_V1.4:8:19823568:19826174:1 gene:LPERR08G20250 transcript:LPERR08G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISLVVADAKERNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKSSISWPTSKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >LPERR08G20250.2 pep chromosome:Lperr_V1.4:8:19823568:19826174:1 gene:LPERR08G20250 transcript:LPERR08G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISLVVADAKERNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >LPERR08G20260.1 pep chromosome:Lperr_V1.4:8:19826418:19828841:1 gene:LPERR08G20260 transcript:LPERR08G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSALTSLPARLRSPAPAAASGGMRLMSDGKGRVLSEEERAKESVYIQKMERERQEKLKKKLEQEKAEADKAKPDKKPEGSN >LPERR08G20270.1 pep chromosome:Lperr_V1.4:8:19829531:19831698:-1 gene:LPERR08G20270 transcript:LPERR08G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRVQARLAARLAPRRLLSSGGKVLGEEEKAAENVYIKGPSPGEQGSFTPGADLKAEAGPTAGVSTDKNKNYAVIAGAIGVAGVIAWYLLPKPKKSEEVAN >LPERR08G20280.1 pep chromosome:Lperr_V1.4:8:19832006:19834039:1 gene:LPERR08G20280 transcript:LPERR08G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVAVHSSQQIYTRATNT >LPERR08G20290.1 pep chromosome:Lperr_V1.4:8:19836149:19844114:1 gene:LPERR08G20290 transcript:LPERR08G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKVDCAAPIQWMDSTEGRESNGVVPERNGGSVPAKQQLDGKETLRYANILRSRNKFAEALQLYNNVLEKDETNVEALIGKGICLQTQSLPMEAIACFTEAVKIDPGNACALTYCGMIYKDEGHLVEAAEAYQKARSADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVGKMDAASSMIQKAILANPTYAEAYNNLGVLYRDAGSITLAVQAYERCLQIDPDSRNAGQNRLLALNYIDEGSDDKLYQAHREWGMRFLKLYPQYTSWDNSKVADRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPDTIQKHVEELVRLPESFLCYSPSPEAGPVCPTPAILNGFITFGSFNNLAKITPKVLQVWAKILCAVPNSRLVVKCKPFCCDNIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLERLVAKSEDEYVSLALDLAADVTALQELRMSLRGLMTKSAVCDGDNFTRGLESAYRNMWRRYCDGDAPALKRLELLQEQPCSNNNKQDFDDNQVTKLADMKAQREAAVDGDKQPLLTANATVGEVQQAPIVMNGVSSPVSSSGRVEANGHTSR >LPERR08G20300.1 pep chromosome:Lperr_V1.4:8:19844824:19846723:-1 gene:LPERR08G20300 transcript:LPERR08G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFVELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >LPERR08G20310.1 pep chromosome:Lperr_V1.4:8:19847266:19847873:-1 gene:LPERR08G20310 transcript:LPERR08G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRSDRIVRRTAMIGGATAAYFLLTADYGPDYPNPIRKAMEASPQSIKDLSIFQYGPWKKKNQENSEPAQGKE >LPERR08G20320.1 pep chromosome:Lperr_V1.4:8:19848784:19854975:1 gene:LPERR08G20320 transcript:LPERR08G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALSSPSLPAPASGHRRLAPRIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAARDGVRDAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLTLTLDDFQKVSDKVPFLADLKPSGKYVMEDMHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDIITIDVAKRVIDVELTEAQFEERRRKWTPPPHKVTRGSLWKYMKLVSPASRGCVTDE >LPERR08G20320.2 pep chromosome:Lperr_V1.4:8:19848784:19854975:1 gene:LPERR08G20320 transcript:LPERR08G20320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALSSPSLPAPASGHRRLAPRIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAARDGVRDAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARRSVTKFLSLRTLSLVANMSWRICIRLGEHLQ >LPERR08G20320.3 pep chromosome:Lperr_V1.4:8:19848784:19854975:1 gene:LPERR08G20320 transcript:LPERR08G20320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALSSPSLPAPASGHRRLAPRIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAARDGVRDAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMKVSDKVPFLADLKPSGKYVMEDMHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDIITIDVAKRVIDVELTEAQFEERRRKWTPPPHKVTRGSLWKYMKLVSPASRGCVTDE >LPERR08G20330.1 pep chromosome:Lperr_V1.4:8:19855362:19857144:-1 gene:LPERR08G20330 transcript:LPERR08G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPPKVTIHKITTSLHSVHRILDSILDKAFDDKLDKLYESINNDPRGGLKILASEAHDIHELAKEVQFKFSGYMEMQRKENRRSESMISILMKENQDIRSMLKIAVAEKEAAENSHCVLKGDKDQGRSAILQIAGKGLQKVGFGFIMEVISGESEREGMSSNSATKTSSTVRESEEVVCLASLVENTLESMQNEINDLRQALHKSRSDCDHLQLLAAEQAQKIVKHELHIKDLGERQIFLVHSVEELTEGLKEVEQEAARWREACELEVEAGKVAIKELNQEVTLLTEELRRVKADLEAASSKLQLKEKLAASAMAAQAAADACLKIADNRSAGLQLRIEELTRQIEEEDAHARKERGSAQRRTRYACWSWPRLRVIRASSSAKKWFVDKNGRLPPGTEALLQIRI >LPERR08G20340.1 pep chromosome:Lperr_V1.4:8:19863456:19866264:-1 gene:LPERR08G20340 transcript:LPERR08G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDELVLLLLDVPQRTLLGVDTQVFSVGPKFMGIKMLPPGPHFLYYCSPNRHANENYWILSYYSVIVRKWHAQAERLIKLSEEEEIRYTEAVRRFEFDSQLGPYNLDSFGDWKQLSSYLSQSVIEHLEPIGGEITIAWESS >LPERR08G20340.2 pep chromosome:Lperr_V1.4:8:19857739:19863455:-1 gene:LPERR08G20340 transcript:LPERR08G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPQTDMERRLMDQLKDGKFTKNAPVRSERRGCYYTTVPASIKLTNTSGNELTALNLDKTSLLESVLSKNYQGQEDLLTGSFYAMESIGGDEAAMKLAIYYQLKHGFQCTHDSSSGEDMGNSLFLDEAWFSRDIFLYHLSKTRKLKSLLETTFGWDLDDNMANLIDEDDEIDKSWTKPDSRASTVPDRVTR >LPERR08G20350.1 pep chromosome:Lperr_V1.4:8:19866398:19872789:1 gene:LPERR08G20350 transcript:LPERR08G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPIPSNSGASSRPDRFPRPQGLAISWDSQVLCKRAESCELESEALSVNPTLLPTLEDLLIEIYAMLRPKPDDYEQRHLMVNVFNKIAEEIFGKKKGFPVVEAFGSFTMDLFTTKSDLDLSINFNADFDSQFARKDKISVIRRLAKVLHSHQRNGRCHGVLPVVTAIVPVLKVIDKGTGVECDISVENKDGMSRSMIFRLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSVLLKDGPDFSSIQRNVSLFEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWTFKTWDRGIGNLSVEDFLDRSQNFARAVGKMEMQRICECIRLTVLNLNNFFKGKIEAPKLKTLLFEPPHRDELISNPSLKLGKRKRDSTHGPEKTQKKHKKGKDIGPENSQKKQKKVKHIAPENNQNQQKKVKHVGPENNQKQQKKVKHTVNLGHAASKPTTESHRTFVPKPQVRPVEPINQLAHMPAHLVAPSFAYGLTPQPHLHSSPHSSQQLLGQLQGNFIHYPGIQLQQQLQLMFVPLPPPQPVIPYDFHLAQQIQHNENRVVQRMPYYGAHPYYRR >LPERR08G20350.2 pep chromosome:Lperr_V1.4:8:19866398:19872787:1 gene:LPERR08G20350 transcript:LPERR08G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPIPSNSGASSRPDRFPRPQGLAISWDSQVLCKRAESCELESEALSVNPTLLPTLEDLLIEIYAMLRPKPDDYEQRHLMVNVFNKIAEEIFGKKKGFPVVEAFGSFTMDLFTTKSDLDLSINFNADFDSQFARKDKISVIRRLAKVLHSHQRNGRCHGVLPVVTAIVPVLKVIDKGTGVECDISVENKDGMSRSMIFRLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSVLLKDGPDFSSIQRNVSLFEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWTFKTWDRGIGNLSVEDFLDRSQNFARAVGKMEMQRICECIRLTVLNLNNFFKGKIEAPKLKTLLFEPPHRDELISNPSLKLGKRKRDSTHGPEKTQKKHKKGKDIGPENSQKKQKKVKHIAPENNQNQQKKVKHVGPENNQKQQKKVKHTVNLGHAASKPTTESHRTFVPKPQVRPVEPINQLAHMPAHLVAPSFAYGLTPQPHLHSSPHSSQQLLGQLQGNFIHYPGIQLQQQLQLMFVPLPPPQPVIPYDFHLAQQIQHNENRVVQRMPYYGAHPYYRR >LPERR08G20360.1 pep chromosome:Lperr_V1.4:8:19882175:19884841:1 gene:LPERR08G20360 transcript:LPERR08G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDLVNAGEQPPASKRPAAGDEEDGSREYYCRRGVRHLSDTGITRLPGNYVLPASDRAADEYSPSLIKLPVVDLALLRRGPEQRAAVLRTLDAACREYGFFQVVNHGVDRADVAAMLDVARRFFALPQREREAYMSPDVRAPVRYGTSFNQVNDAVLCWRDFLKLACNLPLVDCVDSWPSSPPDLRAVASGYAAASQRVFMEVMEAALEALGVAGGEVMGDLRKGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDDVAGLQVMHDGDWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVRVNSKRLRVSVASFHSVAADRVVSPAPELIDDEHPRRYMDTDLATFLAYLTSAAGNHKSFLQSRRLY >LPERR08G20370.1 pep chromosome:Lperr_V1.4:8:19891439:19892507:-1 gene:LPERR08G20370 transcript:LPERR08G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAPPASASEGAGDGVGGGSAPLPGWLETLLSTRFFLACGAHPASPRNECNMFCLDCPSSPAFCYYCRSHRHQSHRVIQIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCEICARALLDPFRFCSLGCKLVETKTSGRRGATAAAGGEVVVGGNEEAGGPSKSVAGARPQGRRRKGIPQRAPFGS >LPERR08G20380.1 pep chromosome:Lperr_V1.4:8:19899807:19907443:1 gene:LPERR08G20380 transcript:LPERR08G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSSVALLLLLLYCTATVFVLQIPSAAAAGTADGSEEWGYVQVRPKAHMFWWLYRSPQRVKNRPWPTVLWLQGGPGASGVGYGNFMEIGPLDSELKPRASTWLSKADLLFVDNPVGTGFSYVDGNDRTALASTDAEAATDLTTLLCHLYRSSSGGGKTRLQAGSPLYIVAESYGGKFAVTTALAALKAIHAGLLAANLAGVALGNSWISPEDSVLSWGPLLYQVSRVDENGLTRCDRQAQQITTLLKAKQFVEAERTWQDLESTVAGESNSVNFYNFLKDESLAASAAADLELGIMELKQRQRSLALASLGQSRRRYSSYLSSMVTKEGGFEGIMNTLIRDKLKIIPKNVTWREQSDDVFEALAGDFMKPRINEVDELLNLGVNVTIYSGQLDLICATKGTLDWVQKLKWDGLKNFTSKPRLPLYCNGGEAVGTQAFLKSYKNLKFYWILEAGHMVPIDNPCPALKMIGDITQSPAQ >LPERR08G20380.2 pep chromosome:Lperr_V1.4:8:19899807:19907444:1 gene:LPERR08G20380 transcript:LPERR08G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSSVALLLLLLYCTATVFVLQIPSAAAAGTADGSEEWGYVQVRPKAHMFWWLYRSPQRVKNRPWPTVLWLQGGPGASGVGYGNFMEIGPLDSELKPRASTWLSKADLLFVDNPVGTGFSYVDGNDRTALASTDAEAATDLTTLLCHLYRSSSGGGKTRLQAGSPLYIVAESYGGKFAVTTALAALKAIHAGLLAANLAGVALGNSWISPEDSVLSWGPLLYQVSRVDENGLTRCDRQAQQITTLLKAKQFVEAERTWQDLESTVAGESNSVNFYNFLKDESLAASAAADLELGIMELKQRQRSLALASLGQSRRRYSSYLSSMVTKEGGFEGIMNTLIRDKLKIIPKNVTWREQSDDVFEALAGDFMKPRINEVDELLNLGVNVTIYSGQLDLICATKGTLDWVQKLKWDGLKNFTSKPRLPLYCNGGEAVGTQAFLKSYKNLKFYWILEAGHMVPIDNPCPALKMIGDITQSPAQ >LPERR08G20380.3 pep chromosome:Lperr_V1.4:8:19899807:19907080:1 gene:LPERR08G20380 transcript:LPERR08G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSSVALLLLLLYCTATVFVLQIPSAAAAGTADGSEEWGYVQVRPKAHMFWWLYRSPQRVKNRPWPTVLWLQGGPGASGVGYGNFMEIGPLDSELKPRASTWLSKADLLFVDNPVGTGFSYVDGNDRTALASTDAEAATDLTTLLCHLYRSSSGGGKTRLQAGSPLYIVAESYGGKFAVTTALAALKAIHAGLLAANLAGVALGNSWISPEDSVLSWGPLLYQVSRVDENGLTRCDRQAQQITTLLKAKQFVEAERTWQDLESTVAGESNSVNFYNFLKDESLAASAAADLELGIMELKQRQRSLALASLGQSRRRYSSYLSSMVTKEGGFEGIMNTLIRDKLKIIPKNVTWREQSDDVFEALAGDFMKPRINEVDELLNLGVNVTIYSGQLDLICATKGTLDWVQKLKWDGLKNFTSKPRLPLYCNGGEAVGTQAFLKSYKNLKFYWILEAGHMVPIDNPCPALKMIGDITQSPAQ >LPERR08G20390.1 pep chromosome:Lperr_V1.4:8:19904822:19907458:-1 gene:LPERR08G20390 transcript:LPERR08G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAAAAAAATRRLLAPARRRGRAFWSSAWTAESPPSPGSPSTDKKKKKPPSSEHRLAAAMDAVTDRKLPPELRGRPNAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGLIARWRSSLRKAWANRSEDDRSTWHDDCRLLQEQIRQINDKVFRYNMIVPFGRQMFGLNWDKELDKLRLK >LPERR08G20400.1 pep chromosome:Lperr_V1.4:8:19908028:19908273:1 gene:LPERR08G20400 transcript:LPERR08G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADMERIFKRFDMNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVF >LPERR08G20410.1 pep chromosome:Lperr_V1.4:8:19917964:19921466:1 gene:LPERR08G20410 transcript:LPERR08G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNDGRRVCGLPERVQLHGAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALFLLVPFAYFLEKKDRPQLTLNFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGIAKVAGTLACVAGASVITLYKGPTIFGPGGDKLQSVAAEVSFTAAIAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKNQERARLAKEAATAIADRDAAVAGSGRIGGKISSSSSVTQPLLLPTSDNAV >LPERR08G20420.1 pep chromosome:Lperr_V1.4:8:19923235:19925735:-1 gene:LPERR08G20420 transcript:LPERR08G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTRTRRRAATAGAAAAASPGRNKVWVEPPGKSHHHHQPPPRSPPPLSPSSKRVAVVYYLCRNRHLEHPHFIEVPLASPEGLYLRDVINRLNILRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPSPPTPQPQQQAQSATLLPSSSASTNREDEQCRTPRSGSSGNLSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDDLERNSRMTGVCTFAEDGTSDAEIQECHQRSTEPSPKGPGVVRESPPVCSSDASPGSRVETLESLIRAEASRRSSFRALEEEHLYAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPSYRPRFTQVEFPSPMFSTPLALRHLDNIPCNTRTIGMRIPESEYFSGSLVETKKQEESGKGGTPTLKRSSSYDDDRVESFHEEKEKVIKIEES >LPERR08G20430.1 pep chromosome:Lperr_V1.4:8:19929874:19932348:-1 gene:LPERR08G20430 transcript:LPERR08G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIGNGKKRQLNGPLSQGNHVCLNVSLGTLAVLQMYLLIACQKKFKCSGFLGCPVLTSPSSNAQLDSMRIIFAQLSNLNRMIMRDSLLQAQLHHDLMLGQYQVQFVKHDELENRSCDGGSAEKAEAGESLTFFTFLLNGEFTNALPQDEDLPFVWDSINNQLDQIHQIQDLQHQINEQAAQAAQIAQAQVSQNVNAMQVEIEDNQHGEVQDFMSVEDFSFDDSSLGSDIAQILLVGCTWENKSFLLGHQHHLCLLPVYLLRPALLLQILVEGHKEKGSFWWKDIFRLIPLFRAVSNYSTHMGYNFVLEGHMAYSNSGGTISTTVHLCKTYGFISLSSTADHYS >LPERR08G20440.1 pep chromosome:Lperr_V1.4:8:19933973:19948194:1 gene:LPERR08G20440 transcript:LPERR08G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTWAPRRLVLALLVALVSDAFAAGAGAPKVHRNHGKFTAGPWKQAHATFYGGRDGSDTLAGACGYKDTSKEGYGVQTVAVSTPLFSDGAGCGACYEVRCVDSPDGCKAGVAPVVVTATNICPANPGQSSENGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCAKQGGIRYTITGNKYFNLVMVTNVGGAGDVAGLMVKGNKRVKWTPLKRNWGQEWQTSEDLTGESLTFRVMTGDHRKATSWHVMPRDWQFGVTYQAAKNFNWGVGATVLVVAGVVLAVGGVVIKQATVTTVVAAGGVGCGLRCQRVPVFVQLQGIGRNKIDWSPKDGNTRGKKGKGTKHVQKRPRRRGGQCSLHGDMAIHVTESLDSLLLSALLAYK >LPERR08G20440.2 pep chromosome:Lperr_V1.4:8:19940168:19946981:1 gene:LPERR08G20440 transcript:LPERR08G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSSPYSPAARSPAPLSTRRFSLRRCPRRSTTVRCSLDAAAAAAPKQAQGPPAAVAAEEAPAARKECYGVFCTTYDLRADEKTKSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGISEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >LPERR08G20440.3 pep chromosome:Lperr_V1.4:8:19938509:19948194:1 gene:LPERR08G20440 transcript:LPERR08G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGISEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >LPERR08G20440.4 pep chromosome:Lperr_V1.4:8:19940168:19948194:1 gene:LPERR08G20440 transcript:LPERR08G20440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSSPYSPAARSPAPLSTRRFSLRRCPRRSTTVRCSLDAAAAAAPKQAQGPPAAVAAEEAPAARKECYGVFCTTYDLRADEKTKSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGISEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >LPERR08G20440.5 pep chromosome:Lperr_V1.4:8:19938310:19948194:1 gene:LPERR08G20440 transcript:LPERR08G20440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACDCSASSAYSINTKDEKTKSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGISEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >LPERR08G20450.1 pep chromosome:Lperr_V1.4:8:19944493:19947924:-1 gene:LPERR08G20450 transcript:LPERR08G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSSSSSSAPPAAGAAAAAAPAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKVEPWDLPSRSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRHGPRTVGFKKTLVFHAGRAPKGERTNWVMHEYRLEGEGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDVGLLPVEERDNSDKDNSGDQDISGAMEKGYLQMSDLVQNMSGQNENGTIALPVLDNSNNSNHSEDVDRNSGDILSDQNLGSNFFNYVEPGEQNGFVLNENMLSNASAGDVFNSSSPNDGFLELKDFADVANWENPMVNESTIWPSDGWPWKTPDSVEAVNGAGNEFSPFAGEQTFQPDELEQLLQSLQEDTPMGSGVSDPTHSSVTNLVKPEDDSLMFYDAPFDSTMCDDGFGQLNGYLGSPATNLSGIDIVDDGMPYYDAVDDNLFNDLLGSVQPSVGSSSHAFSGPVLTQEVNNTTYSYSPAQKVLEPNFVVGAPPSARLPEAGSQINYVVLPDSQTKSNSMGNRFVKVLGKRLDSISAPPAFAAEFPSSLRKSLAPISGAHHNTIRLSAEVISIGSLTPASQDKWSLEKDEGMELIHFSAGFEPDPRVHCGCNTITSVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >LPERR08G20460.1 pep chromosome:Lperr_V1.4:8:19953228:19954427:-1 gene:LPERR08G20460 transcript:LPERR08G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGGGGGGGGAISPYLQMQSQHGGGLDGGMVDGGAGSFMGGDQQQPSAPPHCHALLYNLSVLKDRVQQLYPLVNLAVTHNGAAHLDVSAADAIIQEIVAAASSMMYTFQLLCDIAAPPTTTAPQHDAAATAAVVQVKTNDDHGGDHQQLVNDHDDHLMQQQQWHDYNNSSSHHPPPVFRRGETAAATASTDTIIELDAAELLAKFTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPTKLLAKAGGAGGEDAGSGISSPAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGDHRWVCSCGTSFSRKDKLVGHVALFAGHQPVVPLDAAPRGGKRQRSSAAAVAGNNIDGATGIGSMGA >LPERR08G20470.1 pep chromosome:Lperr_V1.4:8:19956943:19958866:-1 gene:LPERR08G20470 transcript:LPERR08G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGASSPAAAVTVTATRSVAPSAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARISGSLSDALAYFYPLAGRIRQDDKDGGSFSIEGQQGAEVIEASADHVSVDELAGEECSDEAQKVLQLLVPYTGVMNLEGLHRPLLAVQVTRLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAAAPSLLPAHNRAMARSVRVGLTLPPSAQAHEKSDPNGPKPPLVARVFSFPSSAVSRAKSAANAALPAGAKPFSSFQSLGAQIWRAVSRARALGPADITVFAVFADCRARLDPPLPAAYFGNLIQAVFTGVPAGMLLGSPPELAAGMLQKAIDEHDAGAIVRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRDGDGAIDVELSLQPEPMQRLEKDEQFMLLRAA >LPERR08G20480.1 pep chromosome:Lperr_V1.4:8:19963850:19964649:-1 gene:LPERR08G20480 transcript:LPERR08G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVLVSSARQLKNVNWRNGDLKPYAVLWIDDGPKCSTRVAIDADDDGGENPTWDDKLTVPLPPSSRLDDAVLYIDVVHANAAAAENGVKPLVGSARLPLRDVLDESGVGGAARVSRSLRLKRPSGRPQGRIDLRLSIRDAARYYDPSPYAQSAAARDPYAAPPPPTYGSGGAYGAAYGAPGVGGYAAPPVGYPTAAAYGGGGEEGEDGDGAAAGVLGGLALAGGASYLEDKFEEGVAEKVDDDFAAGGYDDDY >LPERR08G20490.1 pep chromosome:Lperr_V1.4:8:19966473:19977615:-1 gene:LPERR08G20490 transcript:LPERR08G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAAAPEEDAAAVGSAGDAARMFWEESKRLWTIGTPIAISTLATFSISSVTTMFVGHLGNLPLAGASIALSVFSTFALGFLLGMGSALETLCGQAFGAGKVSMLGVYLQRSWIILIGAALFMVPVYLLAEPLLLLLGQEAAVAHAAGRFTIYILPGAFAMAVNFPAVKFLQAQSKVVILAWVGVGGLLFHLLVTYLAVSVLGLGLPGAAAAYNLSQWAVSLTQVGYIMGWCRDGWRGWSWAAFRDLGGFLRLSLESAVMLCLEIWYFSVITVVTGNLQNAQIAVDSLGICMNFNGWEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVVESLIIGIVCMVLVLALRNNIAVIYTDDVDLQRAVAEIAGLLGVTMVLNSVQPVLSGVAIGGGWQGLVAYINLGCYYVLGIPLGYILGYKFNLGVGGVWGGMLCGVALQTLILLFVVWRTDWKAEYQRLPLSSSTRSSQRKNCSSYRANLRKESSRLSSNRTTCSVATEPPPSATEEPEMDLPKEIFLKDYKKPDYLFDTVDLQFQLGEDKTIVTSKISVSPGIEGTSSPLTLHGRDLKLLSIKVNGNDLKVSEEYTVDSRHLTVSRPPDHTFILEIVTEICPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMSKYTCRIEADKTLYPVLLSNGNLIDQGDLEGGKHYALWEDPFKKPSYLFALVAGQLECLEDSFTTRSDRKVTLKIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRMPAQWRILSALIRISRWTIFILVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQCQEVPPTPGQPVKEPMFIPVAVGLVDSTGKDVPLTSIYSDGMLQTLTSDGQPVLTTVLQFNKKEQEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKPLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRSFIKKELALQLKDDLLLAVTSNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPGTTELALNEYKSATNMTEQFAALAALSQNPGPVRDDSLLDFYNKWQDDYLVVSKWFSLQATSDIPGNVANVQKLLDHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDRMNPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAA >LPERR08G20500.1 pep chromosome:Lperr_V1.4:8:19982120:19982614:1 gene:LPERR08G20500 transcript:LPERR08G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRVRLQRRHAVLAANHVKPSAKNTVPAARMSDPSIPTPDLTSGVGPPPGQGYAMVTTSARESERAPPPPHRTGAAKGRRRSLHTRAPTPQPRPSPCHCHGLLSGRAATTASSARPQHRHGLLVDLAPTSLFHGACAAAAAPHRPGLRIGAHGYRIRPRRCRI >LPERR08G20510.1 pep chromosome:Lperr_V1.4:8:19985335:19985997:-1 gene:LPERR08G20510 transcript:LPERR08G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEEASGGDEEAKDGGAVVTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAAGADVADSIARFARRRQRGVCVLSGAGDVTDVTLRAPGGAVVALRGRLEILSLAGTFLPGPAPPGSTGLTVYLAGGQGQVVGGCVVGTLTAAGPVMVIASTFANATYERLPLEEEDDEAGGGGGQGHVMAPPPPPLMAGDPMFAGGIGAWPHGRHPPPPSY >LPERR08G20520.1 pep chromosome:Lperr_V1.4:8:19990387:19992600:-1 gene:LPERR08G20520 transcript:LPERR08G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRREFRSHRAALFDGIEEGAIRSSAYSSQIHEHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMASMVTSFIVIFFLIYYLTK >LPERR08G20530.1 pep chromosome:Lperr_V1.4:8:19993657:19994469:-1 gene:LPERR08G20530 transcript:LPERR08G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPASDRCEAAVTMSYEAQARLRDPIYGCVSHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQKDSSGNMFTPILQDEQFFLSPTMASNSSVKNENKLYFGNDHFDPTPAQSSQGLEAELWMSDYSNINPCCSVQGNGYHDMEDLQSVAFAYLNQA >LPERR08G20540.1 pep chromosome:Lperr_V1.4:8:19999264:19999938:-1 gene:LPERR08G20540 transcript:LPERR08G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWSSSFLVLLVSIVSILSTAMVLLLCYLLLACSLRPRQSQSQSPPPPSVTTIDLPRRGLDEAIIRRIPTLRYQLNNSNDDKQQAQCAVCLADFREGERLRRLPPCLHIDCIDAWLAAALTCPLCRTHVAVHDAIAAFPAATSETRQPNNDDEPLLSGVHQPMRRSLSLDSCAIVLQQLCCSRDPQGRKEEGNAAAVSVSGRRLRRSFFSFSFSSPSPILPV >LPERR08G20550.1 pep chromosome:Lperr_V1.4:8:20002460:20002864:1 gene:LPERR08G20550 transcript:LPERR08G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGWDDHGKDGGENTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPGEARNYVRGGGSSSSSRQQQQQRQVIELEYLDDEVLQEMLKGGDDQHRSAGSSKRNNY >LPERR08G20560.1 pep chromosome:Lperr_V1.4:8:20015253:20017792:-1 gene:LPERR08G20560 transcript:LPERR08G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMSQGIVSGERSETHKLHNPSPASCFSEEQDGFEHFDGVNRSSAEFIGLDRIVESNYDHSPYHLEEDINVPTQADSHNNTIIMEKIPGYSSCLFYRAAAADSCIWC >LPERR08G20570.1 pep chromosome:Lperr_V1.4:8:20023013:20024623:1 gene:LPERR08G20570 transcript:LPERR08G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQLEVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYHIEGSPSPGTLPPHVSASVNGVAFIGTLSGQLFFGWLGDKLGRKRVYGMTLMLMVLCSLASALSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMTGGLVAIVVSASFRAAFPAPPYAVDPVASTPTQADFVWRVILMLGALPATLTYYWRTKMPETARYTALVANNASQAAADMSKVLHHQVDIIKTRTNNDQESNVSDSKAANFGLFSGEFARRHGFHLLGTSATWFLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEEVFRIARAQTLIALCGTVPGYWFTVALIDVVGRFNIQALGFSMMTLFMLGLAVPYAHWTSPGNHVGFLVLYGLTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDPAKADHGYPPGIGVRNSLFLLAGCNLLGLLFTFLVPESKGKSLEEMSGEAATHHDVPPNAHV >LPERR08G20580.1 pep chromosome:Lperr_V1.4:8:20029831:20033677:-1 gene:LPERR08G20580 transcript:LPERR08G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNRDSLPTSREKHEGERRQEEEEIHNNNNSPSPKPLPPPPPPNNMVSDASKKKAAQKKAAAAAKRGAKSSSASSSSSSSAAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDRVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLKSRAGLSD >LPERR08G20590.1 pep chromosome:Lperr_V1.4:8:20037333:20043395:1 gene:LPERR08G20590 transcript:LPERR08G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKGRVVVLGGDSSSAPELEAFHLPSADQPAHLLHHTHSVPQSPEPAAATESKSPPPPQSQPPPAGGGSDGGEAAKKALPPVALRQLFRFADGVDCVLMGVGTVGALVHGCSLPVFLRFFADMVDSFGSHATSSDTDTMLRLVVKYALYFLVVGAAIWGSSWAEISCWMWTGERQSTRMRIRYLHAALRQDVSFFDTSDVRTSDVIHAINADAVLVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSSASGIAEQAVAQIRIVQSFVGEERAMRAYSAALAVAQRIGYRTGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFWMIDHKPSIDRDGEGGVELDAVTGRLELRDVDFSYPSRPDVPILRGLTLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTGGSITLDGHQLKDLNLRWLRRQMGLVSQEPALFATTIRENLLLGRDGATQEEIEEAARVANAHSFIVKLPDAYHTQVGERGLQLSGGQKQRIAIARAMLRNPGILLLDEATSALDSESEKLVQEALDRFMMGRTTLVIAHRLSTIRKADLVAVLQWGGVSEVGTHDELMARGEDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPFSRRLSDADFTSLGEGLGAPLQPPLAFRSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYSPDGAFMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMFSAVLRNEMAWFDMEENGSARIAARLSMDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEEKMARLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMHAVFEAMDRRTEIEPDDVDAAPVPERPRGEVELKHVDFAYPSRPDVQVFRDLSLRARAGRTLALVGPSGCGKSSVLALLQRFYDPSSGRVLLDGRDIRKFNLRALRRAMALVPQEPILFAASIHDNIAYGREGGATEAEVVEAATAANAHKFISALPEGYATFVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERTVQEALHRSGGRTTILVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARLLHLQRLTPAAHALAPSSSSSSTTAAS >LPERR08G20600.1 pep chromosome:Lperr_V1.4:8:20046361:20048068:-1 gene:LPERR08G20600 transcript:LPERR08G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELLKLADAGKDAADASQQLPVDEDLPGMGQFYCLHCDRYFASESVKEEHYRSKRHKKRIKQMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >LPERR08G20610.1 pep chromosome:Lperr_V1.4:8:20051841:20053700:-1 gene:LPERR08G20610 transcript:LPERR08G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGNSDVALLLERVKPTLQGQQGAQNLQLATWTPSTPLCLWRGLRWSTAACDSGDPSARGNLSLSRDPSLLLLSLRLPASALAGHLPPALAAFSSLRSIYLAANLLQGPIPLELGNAPSLAVLDLAGNRLSGQLPPSIWNLCDNRLSLLRLHGNALTGPIPDPAGPNTTCGALRLLDFAQNHFSGGFPSFLTAFRGLQRLDLSGNRLQGPIPEGLHHLQGPLLNLSYNNFSGQLPPDLAASPPDSFIGNSPELCGPPLGQQCVAKPSGLSPTGVAAVVIGLMAGGVVVASVCIGWAQGRFSRRRRAEEEEIDEEEDGDGKLVVFEGGEQLTVEEVLNATGQVVDKASSSYCTVYKAKLGEGGASIELRLLRECDAAKFQLYSCVRRIGRARHDNLVPLRAFYHGRRGEKLLVYDYFPGHRTLHDLLQGRGLSWARRHKVALGAARALAYVHSAQGEAHGNVRSSNVLVDECLFVARLAEYGLHRLVGVAKADGYSAPELHKSSNGPRPRTDVYAFGILLLELLMGRKASGDLPAVVKAAVLEEAPMMEVLDAEVARGAEEGILLHALKLAMGCCAPVAAARPTMAEVVRQLEDIRPRNSSRPSAIYSPADTSTAAA >LPERR08G20620.1 pep chromosome:Lperr_V1.4:8:20057484:20057939:-1 gene:LPERR08G20620 transcript:LPERR08G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREEGGWRSSAAASTSIIPIPIPTVSDSISPADDSSHSFTPPGEFILPALSLLPIANQSPSSLDWISQISSSIACFAFAPASAISINKVGEVVLCGRRIFFSFFEIHIPLYQVKWTGGPFACQAHKRIHYRISCVTHCMRVDKSGSKKC >LPERR08G20630.1 pep chromosome:Lperr_V1.4:8:20057797:20057997:1 gene:LPERR08G20630 transcript:LPERR08G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGGVNEWEESSAGEMESETVGMGMGMMEVDAAADDRQPPSSLPPIDADFFNSFPDDFDDQDLA >LPERR08G20640.1 pep chromosome:Lperr_V1.4:8:20058030:20069709:-1 gene:LPERR08G20640 transcript:LPERR08G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNWRPTQGSDPATVAAAAGGIDPNAPAPAGGDWRSQLQSEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTNQNPGNAQVIQNQNPTGPVPGLPQGSNPAQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNMSGVNQTATLQNMSGIPQNSMNNGLAQGTSQDIYATQRQIAGRQQQQQQSQNQLIYQQQQMLMKQKLQQNSLIQPHIQQQQSLLQSTQMQSSQQPMMQQISSGLQPGQSIPQTQSMVQSASQGGIQQNQLNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQQTQQPNIPLQPHLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMMNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQQRMHMLQTKAAVQQPQQQLHGQQSSLGLMPPQSQHNQLQQSQQQHLMSQFQAQPNQLQQQLGIPQQPSMQQRLQTSAGMLLQQSNIDQQKQFMQAPRGLQEVSSSTSVDSTAQTGHAGTGVGDWQEETYQMLKNLKDHYLAELNDLYNKISLKLQHVDNMATPQKQTDQYEKMKSFKGMLERIMSTLQMNKGSIQHGLREKIPVYERQIINILNSQKRPRSQGQTQFQQSAGQGPTSNIAQQPSQGLQQHDNHTNQIPQASMQSMSTGLQSSGAAGIQHLPASPATNFGVPTQQNVTNAPQAASNLEVTQGSNYNSLQHGSMSGALQQGSTGSMQGTLNAQQQASGSMLSHNSGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQHTSMVPQTQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRESLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGAGTSKKMKRDTSAMPLNVSSAGSVNDSFKQTYSMGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCNGGKGYR >LPERR08G20640.2 pep chromosome:Lperr_V1.4:8:20059104:20069709:-1 gene:LPERR08G20640 transcript:LPERR08G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNWRPTQGSDPATVAAAAGGIDPNAPAPAGGDWRSQLQSEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTNQNPGNAQVIQNQNPTGPVPGLPQGSNPAQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNMSGVNQTATLQNMSGIPQNSMNNGLAQGTSQDIYATQRQIAGRQQQQQQSQNQLIYQQQQMLMKQKLQQNSLIQPHIQQQQSLLQSTQMQSSQQPMMQQISSGLQPGQSIPQTQSMVQSASQGGIQQNQLNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQQTQQPNIPLQPHLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMMNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQQRMHMLQTKAAVQQPQQQLHGQQSSLGLMPPQSQHNQLQQSQQQHLMSQFQAQPNQLQQQLGIPQQPSMQQRLQTSAGMLLQQSNIDQQKQFMQAPRGLQEVSSSTSVDSTAQTGHAGTGVGDWQEETYQMAIMATQLKNLKDHYLAELNDLYNKISLKLQHVDNMATPQKQTDQYEKMKSFKGMLERIMSTLQMNKGSIQHGLREKIPVYERQIINILNSQKRPRSQGQTQFQQSAGQGPTSNIAQQPSQGLQQHDNHTNQIPQASMQSMSTGLQSSGAAGIQHLPASPATNFGVPTQQNVTNAPQAASNLEVTQGSNYNSLQHGSMSGALQQGSTGSMQGTLNAQQQASGSMLSHNSGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQHTSMVPQTQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRESLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGAGTSKKMKRDTSAMPLNVSSAGSVNDSFKQTYSMGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >LPERR08G20640.3 pep chromosome:Lperr_V1.4:8:20059104:20069709:-1 gene:LPERR08G20640 transcript:LPERR08G20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNWRPTQGSDPATVAAAAGGIDPNAPAPAGGDWRSQLQSEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTNQNPGNAQVIQNQNPTGPVPGLPQGSNPAQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNMSGVNQTATLQNMSGIPQNSMNNGLAQGTSQDIYATQRQIAGRQQQQQQSQNQLIYQQQQMLMKQKLQQNSLIQPHIQQQQSLLQSTQMQSSQQPMMQQISSGLQPGQSIPQTQSMVQSASQGGIQQNQLNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQQTQQPNIPLQPHLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMMNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQQRMHMLQTKAAVQQPQQQLHGQQSSLGLMPPQSQHNQLQQSQQQHLMSQFQAQPNQLQQQLGIPQQPSMQQRLQTSAGMLLQQSNIDQQKQFMQAPRGLQEVSSSTSVDSTAQTGHAGTGVGDWQEETYQMLKNLKDHYLAELNDLYNKISLKLQHVDNMATPQKQTDQYEKMKSFKGMLERIMSTLQMNKGSIQHGLREKIPVYERQIINILNSQKRPRSQGQTQFQQSAGQGPTSNIAQQPSQGLQQHDNHTNQIPQASMQSMSTGLQSSGAAGIQHLPASPATNFGVPTQQNVTNAPQAASNLEVTQGSNYNSLQHGSMSGALQQGSTGSMQGTLNAQQQASGSMLSHNSGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQHTSMVPQTQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRESLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGAGTSKKMKRDTSAMPLNVSSAGSVNDSFKQTYSMGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >LPERR08G20650.1 pep chromosome:Lperr_V1.4:8:20076240:20076881:-1 gene:LPERR08G20650 transcript:LPERR08G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVNNNKGKVVGENCCSSRRKQASGSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNSALDAARAYDSAARALYGDCARLNLTNLATTAAAASACTTSTDDSNDNSTPPPPLQLDDSYISQTTRQDQEEVDFETYVTRLPKAEDFGLDGFHEVAALDDEAGISIWDLSICPADFMATTTAAAAK >LPERR08G20660.1 pep chromosome:Lperr_V1.4:8:20078484:20085233:-1 gene:LPERR08G20660 transcript:LPERR08G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHFEQPYCSRFGSNKQTFDVLEFMTAFYSAWLQELNQGLLCIIQDYKYSCLHSYIIEMYKLRPRFPSSTIWNSTQTKARGEADYSFGWIQMRGEQMMTKEKAYKETANHDIDTTFIITSNHSRYHPLHCGHAVAMDLGASTHPPCYIPLLHVAIDHHLVLPTSRFGKGIHAVDGGPGLWELDVT >LPERR08G20660.2 pep chromosome:Lperr_V1.4:8:20078484:20085182:-1 gene:LPERR08G20660 transcript:LPERR08G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLLARLFGYFVEFMTAFYSAWLQELNQGLLCIIQDYKYSCLHSYIIEMYKLRPRFPSSTIWNSTQTKARGEADYSFGWIQMRGEQMMTKEKAYKETANHDIDTTFIITSNHSRYHPLHCGHAVAMDLGASTHPPCYIPLLHVAIDHHLVLPTSRFGKGIHAVDGGPGLWELDVT >LPERR08G20660.3 pep chromosome:Lperr_V1.4:8:20078484:20085261:-1 gene:LPERR08G20660 transcript:LPERR08G20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEGSIINGCRLVLGGLKQHSEAADGSTQTTGSLQELNQGLLCIIQDYKYSCLHSYIIEMYKLRPRFPSSTIWNSTQTKARGEADYSFGWIQMRGEQMMTKEKAYKETANHDIDTTFIITSNHSRYHPLHCGHAVAMDLGASTHPPCYIPLLHVAIDHHLVLPTSRFGKGIHAVDGGPGLWELDVT >LPERR08G20670.1 pep chromosome:Lperr_V1.4:8:20085267:20087039:-1 gene:LPERR08G20670 transcript:LPERR08G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPPRLLIPKPEPETDAALPPLPVPYPPGPELFHLLRRELGLPRSPPPPKTHSIDGKTTTTKKRGRPTQQEMVRITNLSIGDHLHYRAQVRRARLTFEALRGIYQRQDSSTTRVRNRFDLRASSTMLSNALWMHRDVRIVGAIPGILVGDAFFYRAELCVVGLHTAPQAGIGYIPASIVSEGHPVATSIVSSGGYLDDEDSGDVLVYTGSGGRPRNRVDHSTDQTLERGNLALHYSCHYGIEVRVIRGHACDTTPSRKVYVYDGLYRVVTSTYGPGKSGRDVCKFKLVRLPGQDDLGSKTWLAARELKETMDAKIRPPKYVSLDIAKGKEHFRVPVFNKIDDDRTPLFYEYIARPEFPRQQQQQLVKRQRGCHCADVCGSRCSCERKNRGAPGGTVYNLDGTLFRGRPVVYECGPLCGCPMSCPNRVTQQGMKHRLEVFRSNETGWGVRTLDLIQPGAFVCEYTGDVISLDSYSGKAPPPPVEDGSSVIDPRKFPERWREWGDASAVYPDKGPLFPPFAGARYRLDVSKRRNVACYISHSCTPNVFLQFVLRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >LPERR08G20680.1 pep chromosome:Lperr_V1.4:8:20087248:20090470:1 gene:LPERR08G20680 transcript:LPERR08G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLSLCRLPVAAALNLPFSSSCSMPRLRLPLAARRARSLAARAASSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGPQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDINVNNS >LPERR08G20690.1 pep chromosome:Lperr_V1.4:8:20090973:20092103:1 gene:LPERR08G20690 transcript:LPERR08G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMTLRRDVHRYGMGMVVMAMMVCGGEAANAGAPRAFFVFGDSLVDSGNNNYLFTTARADSPPYGLDYPTHRPTGRFSNGLNVPDIISEYLGADPVLPYLSPHLDGAKLLGGANFASAGVGILNDTGIQFANIIRIEKQLRYFAQYQDRVRWLVGGEATRRLVEGALVLITLGGNDFVNNYYLVPFSARSREFSLPDYVRYIIGEYSKVLRELYDLGARRVLVTGSGPLGCAPAELATRSATGQCDAELQRAAALYNPQLVRMTRDLNAELGADVFVAVNAYRMHMDFVSDPAAYGFATSKVACCGQGPYNGVGLCTARSSICPDRSLYVFWDNFHPTERANRIIVSQFMSGSPDYMHPFNLSTILAMDAAATEP >LPERR08G20700.1 pep chromosome:Lperr_V1.4:8:20092613:20095868:-1 gene:LPERR08G20700 transcript:LPERR08G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRLLYLRLPCRPTSTSSSPSPCSTTTTTPAPGRPNHPIPILRRMSTSCSSAAAAAVVAEGSAARRFWIAASSREAAFAAYTPFLVSLAAGALRLDSFRQYIAQDAHFLHAFARAYEMAEECADDDDDKATITVLRNAILRELNLHASVLQKWGLDPRKEIPSSPATTKYTDFLLATATGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELTVFLKQDENHPYKKWIETYASSDFEGNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSSQTVDQPVVIPLSRYCDPKDKLLIFCDFDLTCTVVDSSAVLAEIAILSHQKASQGGADSSLDRTKSADLRNSWNMLSNQYTEEYEQCIASLLPPEEARSVDYDQLYKSLGVLSEFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKNFYQKIGKTRENLNLDVHILSYCWCAELIRSAFSSVGCLNGLNIHSNEFAFEGSVSTGHINRQMESPLDKVEKFKSIKSDMGATAPLLSVYIGDSIGDLLCLLEADIGIVVGSSITLRRVGKQFGVSFVPLFPGLVEKQRQIEKEESTIFKARSGTLYTVSSWSEIHAFILGNDFS >LPERR08G20710.1 pep chromosome:Lperr_V1.4:8:20096987:20099478:-1 gene:LPERR08G20710 transcript:LPERR08G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRRRWRHRSNAPPLGVLPALAAALLLLLLVVTAPVDAAGAAGVQRHLERMNKPGVRSIESADGDIIDCVPRHKQRALDHPLLANHTVQTPPSHMPASLLVRQQSTKSATARAWQTWHHAGHCPRGTVAVRRTTAADVLRARSLALFGRKDAKQQRTRRRRPMPPDVVTGNGHEHAIAYTSSPEGVYGARATISVWSPAIEEANGFSLSQLWILSGSFNGSDLNSIEAGWQSFMVYCIPVLRVDQAVFFSGRQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGEGAGGLVGYWPAELFTHLSDHANMVEWGGEVVNTHPDGAHTATQMGSGHFASEGFGRAAYFRNLEIVDADNSLSAVPLDAIQTLAEDAGCYDIRKAYDGGWGAHFYYGGPGHNSASCP >LPERR08G20720.1 pep chromosome:Lperr_V1.4:8:20103591:20108773:1 gene:LPERR08G20720 transcript:LPERR08G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMARCMGPSPVQSPPTPLLSPPPRRPPRHRRRRPPMASAASSSNAPKPPVVLGCGGISADYLATVASFPNPDDKIRSLTLKVQGGGNTANALTAAARLGLSPRIISKVANDPQGRNILKELQADGVDTSYIIVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEDLTEANLFAALDGADIVYFDVRLHETALVVAEEASQRKLPILIDAERKRDGLDELLNYASYVVCSAKFPQAWAGVSSTPVALVSMLLRLPNIKFIIVTLGEKGCIMLERSTTDASEAEEVDVESLLESLEQKIDLSSRMPKCIASKSNLRISADGVGSISGRLLLCTAETIPSEELVDTTGAGDAFIGAVLYGLCSGMPAEKMLPFAAQVAACGCRALGARTGLPHRTDPRLAAY >LPERR08G20730.1 pep chromosome:Lperr_V1.4:8:20109504:20120711:1 gene:LPERR08G20730 transcript:LPERR08G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSERAKKALEAMKQMGFPRKQVTSVLKKLLKLYDYNWELIEDECYRTLVDAVLDDAAAVVDDDCAPIPCSASPTRGDDYDDSPLIKRPRIDFGSTHSPQPSASTLSDTSAIVNCNNKGKAPLLQDPKGALVLKEPKPEPQIPKGALVFKEPKPEPQIVIGLAASPRAPSHPHANKAITASSVPDAGGSAAKRVRIYDSGLHAVRGCKNELGSSVQDTQDTPFVELDVASSTMGEVKMSLKCNFDPSNSSVSLDKVFKMVEDKYIHSYKILPPAFSVSKLMNDVCQCVAQLGSVNSGNLQKEAVDTDFPLVKPISCMNAIDGNKAAGGLSVLDSSGTTLQSSTVAWQTKLALSKQRTIHDVSDISKGEERVRISVVNEFGDESSLPFFNYIQKNLVYQNAYVIISIARIGDEDCCADCSGNCLSSSIPCACARASGGEFAYTPEGLIRETFLDQCMSVNHFREKHNKMYCKICPLERAKNHGSPGQCKGHPVKKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTREGKGWGLRTLDDLPKGSFICEYAGEILTSTELCERKVENARNAKHLHQVLLDADWGSERELRDDEALCIDATFYGNVGRFVNHRCCDANLILIPVEVETPDHCYYHLALFTAKKVEAFEELTWVNACMIMALILMVRTALRKHFDACVEADTAVM >LPERR08G20730.2 pep chromosome:Lperr_V1.4:8:20113932:20120711:1 gene:LPERR08G20730 transcript:LPERR08G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSHDSSIDRSTDREIKTTSCSIIHAYAYGLIRQDTTKARKQKKTRYGEGDWRLDHLAGGGGGTPRSAFCWSSMSLSCCSRSISMMSGTTRMMKVVPAIQAAFPVLRSSFFAMVAASRPCAATDDREKFARMPSPSPPPPPRLLREAMLFSSLFPLLFASLLARVSDLTDGVPALFFERFYTRWMASSNSERAKKALEAMKQMGFPRKQVTSVLKKLLKLYDYNWELIEDECYRTLVDAVLDDAAAVVDDDCAPIPCSASPTRGDDYDDSPLIKRPRIDFGSTHSPQPSASTLSDTSAIVNCNNKGKAPLLQDPKGALVLKEPKPEPQIPKGALVFKEPKPEPQIVIGLAASPRAPSHPHANKAITASSVPDAGGSAAKRVRIYDSGLHAVRGCKNELGSSVQDTQDTPFVELDVASSTMGEVKMSLKCNFDPSNSSVSLDKVFKMVEDKYIHSYKILPPAFSVSKLMNDVCQCVAQLGSVNSGNLQKEAVDTDFPLVKPISCMNAIDGNKAAGGLSVLDSSGTTLQSSTVAWQTKLALSKQRTIHDVSDISKGEERVRISVVNEFGDESSLPFFNYIQKNLVYQNAYVIISIARIGDEDCCADCSGNCLSSSIPCACARASGGEFAYTPEGLIRETFLDQCMSVNHFREKHNKMYCKICPLERAKNHGSPGQCKGHPVKKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTREGKGWGLRTLDDLPKGSFICEYAGEILTSTELCERKVENARNAKHLHQVLLDADWGSERELRDDEALCIDATFYGNVGRFVNHRCCDANLILIPVEVETPDHCYYHLALFTAKKVEAFEELTWDYGIDFDGTDCPEKAFRCMCGSRYCRDVTNSRRSGGGGIGEKIQLDRSTTALAVAMAGVSLSGARALPTWSSSVSGGDSHWVRSWAPMSRSGRPTRAPARMGNVNEGKGIFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >LPERR08G20740.1 pep chromosome:Lperr_V1.4:8:20114105:20114383:-1 gene:LPERR08G20740 transcript:LPERR08G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILANFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFIILVVPLIIEMDREQQLNDIELQQNALLGVPPPPPAK >LPERR08G20750.1 pep chromosome:Lperr_V1.4:8:20120511:20122489:-1 gene:LPERR08G20750 transcript:LPERR08G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEGKEGGCLGEVMSDVKQHGMVQRRLIEEVRNSLYYSSAAMAVEESEEDMNSDGEIVASWTTSELVEELVLEKRMEEAVEVAVEQGNSSMVGEWVASMAENPRTPRAEVLRAVALLCKLGEVARANQLLLGFYRATLRRRVEELRMMRRQREVVSSCYKYMKEVVRAVLSTIVEAYSSDVEADVGVGRWAREEMDSCLRLGDLSSSLADPADQLALAVEAARCALCYYGALQDAGGEQLAEYLRELVARFMEEALAMYKSRLAEVVGRLLLPAADGVLLGRFLLSGVLRTPPPQQNEMVSWCLLTTSGRKMATLMQEVVDDVSPLLHLHLRLTSSALLHLLAHLLQHYMLHQLQAAAADDDHMVTVLINCTTLLSLFPLIARRIFETPARAGAGGELDILVMSIKQAAGQVWTSFCHHFIRHTITRLLLPNTPHCTTSIGLADAMPSPAFQVLFLRLRQLDSLYGAILTGEDGTMKKLLQELMETIILCLSDNLDSWIHQPSHVPQPDALLHQIQLDVHFLLQLAQLGGFSSDDFTNNALGLLKKAQEKMPSVEVEQHQDELWAADAARNAMQQHRLTAEIRSSSSSSS >LPERR08G20760.1 pep chromosome:Lperr_V1.4:8:20126679:20129821:1 gene:LPERR08G20760 transcript:LPERR08G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVTKLQRRRLLLIMVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSLVASCWAVFLNLKAARSIATSKKE >LPERR08G20770.1 pep chromosome:Lperr_V1.4:8:20131312:20135452:1 gene:LPERR08G20770 transcript:LPERR08G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMATETRGRRVVVSRSSLSPFTRREEKFTNPIDSLLLSSLPPREREGDRRDEIQIQSFLLLLPCCASTISMRRTATMVRCLPILLLLPLAAALLISSASAAAAPAQGAFVDSASHRYLRDQQEQAISMSLDEVYAAVSVLLGFAPPASLPTQSSSKINELLLPNPFDRPRALFLLQIDGFHAPVESITSEAGSIFKTTIEGLSNSATGLTGKDDLVIIHSDESPAVDSGSDNFDNELTDLANWFGGSYDKIDGKLNIPLESGKSLTLLIAKEADMEFASSLISLRKTIKRGIQVHEDFSGVVSPAELLVCHFTGIKALEEEYGSTEIVKQGTDVVQTAVTKAFDLLRGAYNGKIVGLVVSTKEASPSLVSPTSSLHISRWLEETSQLNITTASVVLVRKSLAWITGIILIVSTLIGVCLLMNMPLTRDTLLYSNVKID >LPERR08G20780.1 pep chromosome:Lperr_V1.4:8:20135570:20136448:1 gene:LPERR08G20780 transcript:LPERR08G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRSRGRWQLLGMQASGFVQEKYKQARLALGDVTPAELLVQEATSSCDGEEVDARTLACIAEAAFDMDDYWRIAGVLRRRMARAGDWKEWRPVYKALVVLEYLLTHGPPPEADLGMEDMAAQLRHLRGFTHVDHTTGFDWGACMRRRCDTLLALLTDADRLRDARRPHVDDSSSPSSASSRTTDSATSWSVASGSPTMFMYRHDKKFDAYTADDDDAVADDWMMHHHLHLQQQQQHHALHSIKTTTDSDDHTWEPNSPRFVRSSGFQSLSQPGRRPATKKLQHQLTMDY >LPERR08G20790.1 pep chromosome:Lperr_V1.4:8:20136878:20139432:-1 gene:LPERR08G20790 transcript:LPERR08G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRDVGNPNPPPGSSAPPSGYFPLPFHLQQQQPPPPMAQMASTYQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPSNSRAAASSSSSDPAAKKKAVPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKIPKKSILHNLCWAVGLLKTAGEITLTAQVAAQGGHAYD >LPERR08G20800.1 pep chromosome:Lperr_V1.4:8:20145917:20158680:-1 gene:LPERR08G20800 transcript:LPERR08G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAGGGGGRILRLEMENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRAYVRLVYQLPPGSDASDGDGDQLHFTRTITGAGGSEYRINGRLVTWDDYNAKLRSLGILVKARNFLGDVESIASKNPKELTALLEQISGSDELRREYDDLEDQKTRAEEKSALVYQEKRTIMMERKQKKAQKEEAERHLNLQQELKQLKTEHLLWQLYTIEKDAEKIEAELEEDKRSLQQVQEENQSSDYELSAKKKEQSMFLKKMTLCEKGIGKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHVDEMRKLQTSLVDVTRAIDELNEQGQNKSEKLQLADDQLQEYHRIKEDAGMRTAKLRDEKEVFDKELNADVEAKKNLEENMQQLRSRENEILSQDRNLHGKLDKIVNSIPKHEAELAHLREEHNKITKERQSSGAKYSMLKQRLEEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEGNNLKEKLDRLASEKSNIEEEINRLEPVKEELESRLDKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQMKDAQALQERKQSLSNQMSKLKYQLEYEQKRDMQAPILKLKETRDSLEKELKSLQERESGAKAEAEQISNQMEELKAEAEDWKSKSDECEKAIDEMKENNGSVAAALAKLDRQVKSKEGKLLQLKSQEREIYEKCELEQLKLPTANDPMDTGSSSQVPILDYSQLSETLLQDMRLSERDKHEVDFKKRIGQKVADIEHTAPNLKALDQYETLQRKEKEVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRDEERDGGGCGFQSIVISLKDSFYDKAEALVGVYRDSELCCSRTLTFDLTKYRES >LPERR08G20800.2 pep chromosome:Lperr_V1.4:8:20145862:20158680:-1 gene:LPERR08G20800 transcript:LPERR08G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAGGGGGRILRLEMENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRAYVRLVYQLPPGSDASDGDGDQLHFTRTITGAGGSEYRINGRLVTWDDYNAKLRSLGILVKARNFLGDVESIASKNPKELTALLEQISGSDELRREYDDLEDQKTRAEEKSALVYQEKRTIMMERKQKKAQKEEAERHLNLQQELKQLKTEHLLWQLYTIEKDAEKIEAELEEDKRSLQQVQEENQSSDYELSAKKKEQSMFLKKMTLCEKGIGKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHVDEMRKLQTSLVDVTRAIDELNEQGQNKSEKLQLADDQLQEYHRIKEDAGMRTAKLRDEKEVFDKELNADVEAKKNLEENMQQLRSRENEILSQDRNLHGKLDKIVNSIPKHEAELAHLREEHNKITKERQSSGAKYSMLKQRLEEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEGNNLKEKLDRLASEKSNIEEEINRLEPVKEELESRLDKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQMKDAQALQERKQSLSNQMSKLKYQLEYEQKRDMQAPILKLKETRDSLEKELKSLQERESGAKAEAEQISNQMEELKAEAEDWKSKSDECEKAIDEMKENNGSVAAALAKLDRQVKSKEGKLLQLKSQEREIYEKCELEQLKLPTANDPMDTGSSSQVPILDYSQLSETLLQDMRLSERDKHEVDFKKRIGQKVADIEHTAPNLKALDQYETLQRKEKEVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRDEERDGGGCGFQSIVISLKDSFYDKAEALLLENPYLRPDEVQRIMSLLTLSAYFLCLISLIF >LPERR09G00010.1 pep chromosome:Lperr_V1.4:9:13413:21380:-1 gene:LPERR09G00010 transcript:LPERR09G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVTRLIRSSSPLAPSRLSAAILLNNNNKAFSTRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDRGFVVASLNRSGKPSISESWADKVIWNQGNLLEPDSLKDIMEGVSAVVSCVGGFGSNSYMYKINGTANINTIKVAAEKGIKRFVYVSAADFGPVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPMQMVLQSAKPLTRLPFVGALFTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >LPERR09G00020.1 pep chromosome:Lperr_V1.4:9:26825:33196:-1 gene:LPERR09G00020 transcript:LPERR09G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILAWAVDVVGGAATDDEADDARAVASAAMTPEQRLRAAELDARAASVRRSIQELRLRVPPPDVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALQREITLQEENAAYEEAISNCRQKIQEKLMESTQLQNNLKEFAQYLSRKLCLQLMEVSEQDLKAQLDTALNEQYASQNKALAAASENTGNALMEAQSLINLKSKDLKEKKEELKSLESNVQALEMERSLVEGESFKNPTPAQREKVLEKQLHSLIEQLTAKQAQAESLIADVHAKEEELERLNNVHRNIQSYTSEVSVARNPIRAGLFSVHEDSDAKSVRRPYQFGVRTEGLKRTSIMVRCNGI >LPERR09G00030.1 pep chromosome:Lperr_V1.4:9:37223:43987:1 gene:LPERR09G00030 transcript:LPERR09G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVKSLRRLGFRRPPHLPPPRSMGSIGRRLSYPPARRDDSVRDTYHGVPVADPYRWLEDPESEETKEFVARQADLADSLLTTAHRENLRAEVTRLFDHPRHGAPFRRGTNLFYFHNSGLQPHSVLYVQDSLDGEPEVLLDPNTLSKDGTVALSTYSLIEDGKYIAYGLSESGSDWVTIRVMNIADKQPLSDKLSWVKFSSISWTHDGKGFFYGRYPAPRESELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKHSFGASVTEDGKYIILGIYEGCDPVNKLYYCEISTLPQGIEGFKETKEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNRNAPKNKLVRVDIKKPETWTDILPEHERDVLESADAVNGNQLLVCYMSDVKHILQIRDLVTGSLLHKLPLEIGSVSEISCRREDTEVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTHFEVKQIFVNSKDGTKIPMFVMSKKDIELDGSHPTLLYGYGGFNISLTPSFSVSRLVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFIACAELLISSGYTSSRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLIKYSPLHNVRRPWEQNFGSSCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSVEDTPQVNPIIGRIDRKSGHGAGRPTKKMIDEVADRYSFMANILGASWTD >LPERR09G00030.2 pep chromosome:Lperr_V1.4:9:37223:43987:1 gene:LPERR09G00030 transcript:LPERR09G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPTLTAESEETKEFVARQADLADSLLTTAHRENLRAEVTRLFDHPRHGAPFRRGTNLFYFHNSGLQPHSVLYVQDSLDGEPEVLLDPNTLSKDGTVALSTYSLIEDGKYIAYGLSESGSDWVTIRVMNIADKQPLSDKLSWVKFSSISWTHDGKGFFYGRYPAPRESELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKHSFGASVTEDGKYIILGIYEGCDPVNKLYYCEISTLPQGIEGFKETKEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNRNAPKNKLVRVDIKKPETWTDILPEHERDVLESADAVNGNQLLVCYMSDVKHILQIRDLVTGSLLHKLPLEIGSVSEISCRREDTEVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTHFEVKQIFVNSKDGTKIPMFVMSKKDIELDGSHPTLLYGYGGFNISLTPSFSVSRLVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFIACAELLISSGYTSSRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLIKYSPLHNVRRPWEQNFGSSCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSVEDTPQVNPIIGRIDRKSGHGAGRPTKKMIDEVADRYSFMANILGASWTD >LPERR09G00040.1 pep chromosome:Lperr_V1.4:9:75188:79311:1 gene:LPERR09G00040 transcript:LPERR09G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGERWAKANPLSLSVPDPALDRWLRDSGYLHLLDDAAADTSGAAAATSSAAAGPTIASGVRTLASVLALNPLARLSAADLAAPTPSWSLAFLGASSYSWPPSPIQARLRVQENVRRYARNYAALSILLFACCLYRMPMALLGMLAILLLWESLRYCRHRCQLTTGLAQFLLHCAQIATALLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRAQPKQS >LPERR09G00060.1 pep chromosome:Lperr_V1.4:9:96863:110694:-1 gene:LPERR09G00060 transcript:LPERR09G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPMRYLPLGRVYSSSAPPLPKKPHAKPPLIVYYRRRPKKPRLHDPTPPPPPPPMQKPPSSLKHELLSLGPAPPALSRDGDDPAPRRCLRRTGPKRQKRQQQEPAAPSAGRRWLELEIAGADPLAFVFWPLDEDWYKGSITGYNATTKKHSVQYDDGESEDLNLTDERIKFSISSEEMKCRNLKFGISNVNKRGYDELLALAVSLHDYQGLDPGDLVWGKLTGHAMWPAVVVDESNVPANRALKPCRQDQSILVQFFGTHDFARIKLKQAVPFLNGLMSSLHLKCKQARFHRSLEEAKEFLCTQLLPESMLQLQKSMENGSSGVDSNKDGDSCDNLSEDKAAQSGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKEHIWPEGYTAFRKFKSVKDPHAVTVYKMEVLRNSDTKARPLFRVISEDGAQVDGSTPNACWKEIYCRLKEKQCNESDRNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSHESNLAKPAVVAKTDAIPSSGCARTEPYNFHGRRGQKQPQVMATASVKRLYVENRPYIVSGFCQNKVGYDATSEPIQSVGSLDVAHHEAVGSVSSMVEKYRSMKATFRRRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGNEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEVQSAKIRVNRRSVFRISPGLDMRESQTALGKSSNHITGFGTGKPSIVSLGQILDFTCHHCWPRARPYMAVRDAAGGEFMPDMVADIGKAERHGEPRASDHGREKRTKKTNTRVNEPDWAK >LPERR09G00060.2 pep chromosome:Lperr_V1.4:9:96863:110694:-1 gene:LPERR09G00060 transcript:LPERR09G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPMRYLPLGRVYSSSAPPLPKKPHAKPPLIVYYRRRPKKPRLHDPTPPPPPPPMQKPPSSLKHELLSLGPAPPALSRDGDDPAPRRCLRRTGPKRQKRQQQEPAAPSAGRRWLELEIAGADPLAFVFWPLDEDWYKGSITGYNATTKKHSVQYDDGESEDLNLTDERIKFSISSEEMKCRNLKFGISNVNKRGYDELLALAVSLHDYQGLDPGDLVWGKLTGHAMWPAVVVDESNVPANRALKPCRQDQSILVQFFGTHDFARIKLKQAVPFLNGLMSSLHLKCKQARFHRSLEEAKEFLCTQLLPESMLQLQKSMENGSSGVDSNKDGDSCDNLSEDKAAQSGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKEHIWPEGYTAFRKFKSVKDPHAVTVYKMEVLRNSDTKARPLFRVISEDGAQVDGSTPNACWKEIYCRLKEKQCNESDRNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSHESNLAKPAVVAKTDAIPSSGCARTEPYNFHGRRGQKQPQVMATASVKRLYVENRPYIVSGFCQNKVGYDATSEPIQSVGSLDVAHHEAVGSVSSMVEKYRSMKATFRRRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEGVYLEFPQGWICGNLKCSFGGQRQYSKKLPEILIQNAMTALGKSSNHITGFGTGKPSIVSLGQILDFTCHHCWPRARPYMAVRDAAGGEFMPDMVADIGKAERHGEPRASDHGREKRTKKTNTRVNEPDWAK >LPERR09G00070.1 pep chromosome:Lperr_V1.4:9:118072:120333:1 gene:LPERR09G00070 transcript:LPERR09G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAKKKKPAAAAAAKSSPAAAEPVANGAAAHEVEEGNLRRAHELKEEGNRLFQSRDYGGALRQYELALRLAPTAHPDRAVFHSNRAACLLQLRPVDHDAVAHECSLALQAQPRFPRALLRRARALEALGRHDLALTDALALLALDPDHHDALHLLNRLRNSNSSSSSSRPSPAALGASAVVAGLGPSLPSRPFPKKPQQSAVPPMSKFSPSPSPKLVPLPNTPPSSANASPGAAAAAADKVAPMVLASSSLSVKDKALMKVTTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFLSSKAVLIKYKDADGDLVTITCSAELRLAESCVDGSVVVQDGAPGSHKLPMLRLHIVEVSPDQEPPMPTEEDRLDQDEELLVKGDDSSPVTLAAEVIDAEVTKQDVENIVTEAEQNSLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQSLFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMSAQLRKAYDWVQDRYALAGNKYEEALNIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSETFKLFDSAEKKMRDATEMWEKVEEQRMAELKEAPSNEKGDVLKKKRKQHSADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSIADWKNNLDASVERFKLAGASESDISAVLKNHFSNTVNECEEKKIMTLDTGISETSESVEDKCIVES >LPERR09G00080.1 pep chromosome:Lperr_V1.4:9:122542:127068:1 gene:LPERR09G00080 transcript:LPERR09G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQEATTCSSSSLVDVVLNAHHPPDDDDTGDAMPPSSLLHGEQEQDGLLVPPLNFAMVDHGVYRSGFPDLSNLPFLHTLRLRSVLCLCPEPYPEPNHHFLRSHGITLFQFGIDGSKELGFGTENRCCLQEPFVNIPEDRIREALKVVLDVKNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRFMELFDISSLKHLPASFSC >LPERR09G00090.1 pep chromosome:Lperr_V1.4:9:129512:131230:-1 gene:LPERR09G00090 transcript:LPERR09G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPASADALAQISQALLPSLLAAAASVKALQARWRALHATLLALQSSLAAAPPSAVSHPLFADLLASLLPPLRSLHALSARCHDPALPGGRLRLQSDLDMAASSLALLLHDLSLLLRSGILALDPPPNAIVLQVPAPAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLLADASAAEIVATDGDVAALLRLLDASSHSALRDRAAAAVAHLATACAASRKVVFDEGGLGPLLRVLDSASAPATQERAVAAIEAITADVGTAWAVAAYGGVPVLINACRPGSASPVVQALAVSALRNVASIEDVRLALVEEGGLPVLVDLLTSGTSDTHRSAALCIWSLASLGDHDTQYQIVQAGALLPLLQALHTSAALDLHDAVLRAIHALAVVPAAARTLCASPLFFAQLTDLMCRGGSILLQQMAADMVAQLAPGVSDDIRRCMAPCICTLVKMMETAKPATVQEYAGRALLALMTLKSNRKELVRDDKSVTRLLHMLDPRNEEIDKKYPVSVVLALAMGGGNGTRKRLADAGVCQHLQRLAEAEVPGAKKALQRISGNRFKSLLSRGWNN >LPERR09G00100.1 pep chromosome:Lperr_V1.4:9:138439:139497:-1 gene:LPERR09G00100 transcript:LPERR09G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLGTTVCPQKAKELAFSATELRRKCDRERYASMSAEQKKAKNKKAREARLQKKECGGSAELASTNVTDTKKFSELLGNETADPLIAPRLLPFTDNSHEAHDMYMENNDVPFSCINKEEDLSATDIPYHREN >LPERR09G00110.1 pep chromosome:Lperr_V1.4:9:160890:171503:-1 gene:LPERR09G00110 transcript:LPERR09G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGAQNQHQVARGVGKKGKEEERERERGWGRSGGGFSFLGMSDSMAAKTGGTLQVHKDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDVVFYQYSVSIKSEDDKVIDGKGIGRKVMNKLLQTYSSELDGKEFAYDGEKCLFTVGPLPQNHFEFTVILEETSSRAAGGSPGHGSPGQGDNKRSKRTHLPKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFKNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNIRDIRDIDWPRAKKMLKNLRVKAMHNNMEFKIIGLSDLPCNRQTFPMKVRNGSSDGQTVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCNMVSLQRYTKVLSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRILSAPTLVVGNSEDCIPNRGRWNYNNKRLLEPVKIERWAIVNFSSRCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQARRCTPVVRVERMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGITTQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIINQTPTLILGMDVSHGSPGRADVPSIAAVVGSRSWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNFELNQIIKAYEYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLNEIGFSPDDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSTGAAVPELPRLHADVCSSMCGRHIES >LPERR09G00110.2 pep chromosome:Lperr_V1.4:9:160890:171503:-1 gene:LPERR09G00110 transcript:LPERR09G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGAQNQHQVARGVGKKGKEEERERERGWGRSGGGFSFLGMSDSMAAKTGGTLQVHKDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDVVFYQYSVSIKSEDDKVIDGKGIGRKVMNKLLQTYSSELDGKEFAYDGEKCLFTVGPLPQNHFEFTVILEETSSRAAGGSPGHGSPGQGDNKRSKRTHLPKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFKNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNIRDIRDIDWPRAKKMLKNLRVKAMHNNMEFKIIGLSDLPCNRQTFPMKVRNGSSDGQTVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCNMVSLQRYTKVLSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRILSAPTLVVGNSEDCIPNRGRWNYNNKRLLEPVKIERWAIVNFSSRCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQARRCTPVVRVERMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGITTQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIINQTPTLILGMDVSHGSPGRADVPSIAAVVGSRSWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNFELNQIIKAYEYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLNEIVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSTGAAVPELPRLHADVCSSMCGRHIES >LPERR09G00120.1 pep chromosome:Lperr_V1.4:9:179140:183121:1 gene:LPERR09G00120 transcript:LPERR09G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLEALDALSDMNGSTPVQGEPSSHANDLIVDDFDALLNEVNDGLCVSRYVANSIMRGSISAVEQEAAHQIASKDAEIALLNEKLQQFKNGGLSLSDGRDRLYEEVYNLRQQLEAISESLMNSEWGLSVSHHNFEGAQDVSKHRGKEKSSKDGIAKANGFKAPNEEVSIDPTFLKHMGKDEVITHFNEKINQMKRQHDSALHEKTEEIFRLKRENLNKEGPNPWHLRNNKEFELMRKKIWGVIAKLDEVLMDNRRTIRIKTDAFPGQQDKIKAVESHSHQLRVASTATKGSHFASIDTDYSNQIRSLESDIEDASIATIIREETEKILVTEYMNEIKMGLHGYEMEFNMNKDICTIIQKEAIAEAVSNINSLSLKYSEKKNCAEAAALRMQEIDKLKLTVDSFSLLMKEKEYLSQIEFDAMKGHMDFLCQELDSLRGKVEKQDSYISEKCREFDDIVRRLEQALQHVHCNEIALNELNGRFRTVSDSLKEVEKQNKVLHAIIEEKENAFSSSISKEKEFTECMRCVLESMRDFEKFVTDQQATIANKVQHNESRFSLLKEQCKLLAKEGNTLRKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >LPERR09G00120.2 pep chromosome:Lperr_V1.4:9:179140:183467:1 gene:LPERR09G00120 transcript:LPERR09G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLEALDALSDMNGSTPVQGEPSSHANDLIVDDFDALLNEVNDGLCVSRYVANSIMRGSISAVEQEAAHQIASKDAEIALLNEKLQQFKNGGLSLSDGRDRLYEEVYNLRQQLEAISESLMNSEWGLSVSHHNFEGAQDVSKHRGKEKSSKDGIAKANGFKAPNEEVSIDPTFLKHMGKDEVITHFNEKINQMKRQHDSALHEKTEEIFRLKRENLNKEGPNPWHLRNNKEFELMRKKIWGVIAKLDEVLMDNRRTIRIKTDAFPGQQDKIKAVESHSHQLRVASTATKGSHFASIDTDYSNQIRSLESDIEDASIATIIREETEKILVTEYMNEIKMGLHGYEMEFNMNKDICTIIQKEAIAEAVSNINSLSLKYSEKKNCAEAAALRMQEIDKLKLTVDSFSLLMKEKEYLSQIEFDAMKGHMDFLCQELDSLRGKVEKQDSYISEKCREFDDIVRRLEQALQHVHCNEIALNELNGRFRTVSDSLKEVEKQNKVLHAIIEEKENAFSSSISKEKEFTECMRCVLESMRDFEKFVTDQQATIANKVQHNESRFSLLKEQCKLLAKEGNTLRKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >LPERR09G00130.1 pep chromosome:Lperr_V1.4:9:184105:188833:-1 gene:LPERR09G00130 transcript:LPERR09G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEKGESPAEGGVILGVDGGTTNTVCVCLPAAMPPPDSPSAVPVLSRAIAGCSNRNSVGGGRGQPWPTVCGEVLGSRIWPQTVRPAVVRAIRRRPLLTLATVRGRHARCRQAWSALCLLFRLIPLSFAFFMWSTLPGELAFELLAQGPVACDRCLVQDPRQEDRANSELLHRLGHPWVPVSSLEALSWCSSLLPSGVNGALDVVSFLKARQEESAALETLEQVMTQALAMANTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSADDGDEVANKILHDSVQELADSVVAVVRRLTLCGEGHGKDKFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWSHHRKGLKLENGS >LPERR09G00130.2 pep chromosome:Lperr_V1.4:9:184105:188833:-1 gene:LPERR09G00130 transcript:LPERR09G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEKGESPAEGGVILGVDGGTTNTVCVCLPAAMPPPDSPSAVPVLSRAIAGCSNRNSVGESAALETLEQVMTQALAMANTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSADDGDEVANKILHDSVQELADSVVAVVRRLTLCGEGHGKDKFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWSHHRKGLKLENGS >LPERR09G00130.3 pep chromosome:Lperr_V1.4:9:184105:187777:-1 gene:LPERR09G00130 transcript:LPERR09G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAALETLEQVMTQALAMANTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSADDGDEVANKILHDSVQELADSVVAVVRRLTLCGEGHGKDKFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWSHHRKGLKLENGS >LPERR09G00130.4 pep chromosome:Lperr_V1.4:9:188466:188833:-1 gene:LPERR09G00130 transcript:LPERR09G00130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEKGESPAEGGVILGVDGGTTNTVCVCLPAAMPPPDSPSAVPVLSRAIAGCSNRNSVGANPFLCRGRKGVEVSHGPRCAARCSAPGSGLRR >LPERR09G00140.1 pep chromosome:Lperr_V1.4:9:190731:194592:-1 gene:LPERR09G00140 transcript:LPERR09G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTLSLSTASPPVRRVAPPALRAFAGTPRFPSLRAAPARRKLTARAVAGDVEDEWGKEPADDGSSATAVADGVPVSSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTHALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGIIASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >LPERR09G00140.2 pep chromosome:Lperr_V1.4:9:190731:194592:-1 gene:LPERR09G00140 transcript:LPERR09G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTLSLSTASPPVRRVAPPALRAFAGTPRFPSLRAAPARRKLTARAVAGDVEDEWGKEPADDGSSATAVADGVPVSSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTHALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFEFLGQNIDLTPFKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >LPERR09G00150.1 pep chromosome:Lperr_V1.4:9:191849:192037:1 gene:LPERR09G00150 transcript:LPERR09G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSWCGQIRAEHHIRSQHLCLCGRVKELSSALVTHAPHSRSMSINSASGVPVFHSSSSTA >LPERR09G00160.1 pep chromosome:Lperr_V1.4:9:197330:202859:1 gene:LPERR09G00160 transcript:LPERR09G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAQRLGLEGAAVLISSRKKKNVDEAVDALRAKGITVVGAVCHVSDADQRKNLIDTAVKNFGHIDIIVSNAAANPSLDSILQMKESVLDKLWDINVKASILLVQDAAAHLRKGSSVIIISSIAGYNPEGALSMYGVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTRFAGFLTTNETVKNELIDRSMLKKLGTVEDMGAAAAFLASDDASYITGETIVVAGGTHSRL >LPERR09G00160.2 pep chromosome:Lperr_V1.4:9:197330:203169:1 gene:LPERR09G00160 transcript:LPERR09G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAQRLGLEGAAVLISSRKKKNVDEAVDALRAKGITVVGAVCHVSDADQRKNLIDTAVKNFGHIDIIVSNAAANPSLDSILQMKESVLDKLWDINVKASILLVQDAAAHLRKGSSVIIISSIAGYNPEGALSMYGVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTRFAGFLTTNETVKNELIDRSMLKKLGTVEDMGAAAAFLASDDASYITGETIVVAGGTHSRL >LPERR09G00170.1 pep chromosome:Lperr_V1.4:9:211779:217080:1 gene:LPERR09G00170 transcript:LPERR09G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYDVKLTEIDGQAVSLFGVFDGHGGSRATEYLKENLFENLLKHPEFLTDTKLAISETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVISKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLRDVVENEVTTKHISLKTVRI >LPERR09G00180.1 pep chromosome:Lperr_V1.4:9:213438:216468:-1 gene:LPERR09G00180 transcript:LPERR09G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLGSSAIGHNHSSGHTYDSNMTGKDKGYRYLATLDCLLNRTKRTSRLIKTSSRITRRGHADVLGGYLIFDDIPKAIASKNKAFQVSIDMFFL >LPERR09G00180.2 pep chromosome:Lperr_V1.4:9:213438:216468:-1 gene:LPERR09G00180 transcript:LPERR09G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLGSSAIGHNHSSGHTYDSNNKENFKADQDIEPKLTTTADVLGGYLIFDDIPKAIASKNKAFQVSIDMFFL >LPERR09G00180.3 pep chromosome:Lperr_V1.4:9:213438:215354:-1 gene:LPERR09G00180 transcript:LPERR09G00180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNISIILEKMTGKDKGYRYLATLDCLLNRTKRTSRLIKTSSRITRRGHADVLGGYLIFDDIPKAIASKNKAFQVSIDMFFL >LPERR09G00180.4 pep chromosome:Lperr_V1.4:9:213438:217172:-1 gene:LPERR09G00180 transcript:LPERR09G00180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLYRTHRPLPPRVPALPPPPNARRPPPVPRPFSLERTHARSVLTLTLSVPLPTPPPPNSAAAGPLPGSPPLAISPLLLPSRRVFSAATTGGGRPRRRGAWPAGPRCRPRDESSRRRRGAGRRCGCQICATGPATTATTTVEDGAATRGVAGWSSSPRVRPSYAELVPLRRFRITPIHRLLANKENFKADQDIEPKLTTTADVLGGYLIFDDIPKAIASKNKAFQVSIDMFFL >LPERR09G00180.5 pep chromosome:Lperr_V1.4:9:213438:215354:-1 gene:LPERR09G00180 transcript:LPERR09G00180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNISIILEKNKENFKADQDIEPKLTTTADVLGGYLIFDDIPKAIASKNKAFQVSIDMFFL >LPERR09G00190.1 pep chromosome:Lperr_V1.4:9:217492:219962:1 gene:LPERR09G00190 transcript:LPERR09G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRILNDALRTMVNAERRGKSTALLQPISRVMFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEDYRIRMLPTRQWGYVGITTPNGVLDHEEAIKQNVGGQVLGYFH >LPERR09G00210.1 pep chromosome:Lperr_V1.4:9:245261:245524:1 gene:LPERR09G00210 transcript:LPERR09G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTPCASCKLLQRQCMPDSVFMPYFPAEKAQQFARVHCVFGAINVSKMLHDVLLALRADVVSSLVYEATVSPRGIPPHHRRRPH >LPERR09G00220.1 pep chromosome:Lperr_V1.4:9:255304:260856:1 gene:LPERR09G00220 transcript:LPERR09G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETAAGEEAGRPASLGTEKQGGGQCSSTVVMRIKAPVHLVWSIVRRFDEPHIFQPFVRGCKIHGSVAVGCVREVDFKSSFPAKSNVEKLEILDDKEHIISIRIIGGDLRLKNYSSILTANPEVIDGQPATLVTKSFVVDVPQGNTADETRHFVEFLMQCNLRSLALVSERLLAQRDLNEPPAQ >LPERR09G00230.1 pep chromosome:Lperr_V1.4:9:264901:271458:1 gene:LPERR09G00230 transcript:LPERR09G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDSSSSSDSAAADAAALGGQVQPQRRAVGAVAHAQDGGAGGYTSGGWARGKRSTMEDFYDVKITEIDGQARLLAYLVYLMVMEDHALLSETYQKTDTDFLESESNAFRDDGSTASTAVLLRHCLYVANVSDSRAVISKSGKAMALSEDHKPNRSDERRRIENAGGVVIWAGTWRVGGVLAMSHAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVSLAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDRG >LPERR09G00230.2 pep chromosome:Lperr_V1.4:9:264901:271458:1 gene:LPERR09G00230 transcript:LPERR09G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDSSSSSDSAAADAAALGGQVQPQRRAVGAVAHAQDGGAGGYTSGGWARGKRSTMEDFYDVKITEIDGQARLLAYLVYLMVMEDHALLSETYQKTDTDFLESESNAFRDDGSTASTAVLVANVSDSRAVISKSGKAMALSEDHKPNRSDERRRIENAGGVVIWAGTWRVGGVLAMSHAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVSLAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDRG >LPERR09G00230.3 pep chromosome:Lperr_V1.4:9:264901:271458:1 gene:LPERR09G00230 transcript:LPERR09G00230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDSSSSSDSAAADAAALGGQVQPQRRAVGAVAHAQDGGAGGYTSGGWARGKRSTMEDFYDVKITEIDGQARLLAYLVYLMVMEDHALLTMALSEDHKPNRSDERRRIENAGGVVIWAGTWRVGGVLAMSHAFGNRLLKPFVVAEPEIQEEHVNGDLECLVLASDGLWDVVENEEAVSLAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHDRG >LPERR09G00240.1 pep chromosome:Lperr_V1.4:9:271961:273135:1 gene:LPERR09G00240 transcript:LPERR09G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWRSRDDPAGAVEVSGGTLAGVVEVKWAAAYVAPHVRPADSVDGSDAPKSRSVVMEERGGHNGDRPS >LPERR09G00250.1 pep chromosome:Lperr_V1.4:9:279155:280882:-1 gene:LPERR09G00250 transcript:LPERR09G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERERERGEDRSLFSPPPADAVARCCCRIAAVAGLHLLLAAGCGVTQGVKTSTHNSSSYGLHGMWRMRGVNVDGHSLGSTGTCNEMSK >LPERR09G00260.1 pep chromosome:Lperr_V1.4:9:299895:301821:-1 gene:LPERR09G00260 transcript:LPERR09G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRKMVNAERRGKATALLQPISGVVVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRSKEIEDYRIRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGFFH >LPERR09G00270.1 pep chromosome:Lperr_V1.4:9:353355:355705:1 gene:LPERR09G00270 transcript:LPERR09G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAGYCPKKVARLVDLVNLPTSLREFAGGHSQMSHLSFFLRVWSHIKNNNLQDPTNRNIVNCDDKLKTILLGRSNVDLSELPMLVKLHFPKFPKS >LPERR09G00280.1 pep chromosome:Lperr_V1.4:9:356798:358490:-1 gene:LPERR09G00280 transcript:LPERR09G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLLVVLAPVAAALASKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGVPTGRFSNGRLATDFISEAFGLPPSIPAYLDANLSIHDLSTGVSFASAATGLDNATADVLRVIPIAQQLRYFKEYKQRLRVAMGESQSEEIIREALYIWSIGTNDFIENYYSQLSTRHGSVGEYEAYLLGLAEAAIGDVHALGGRKMDFTGLTPMGCLPAERVGNRGECNEEYNAVARSFNGKLQEMAGRLNKELHGLRLVYADTYNVLSAVVDTPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCPNANKYVFFDAIHPTEKMYKIIADTVMNTTLHVFL >LPERR09G00290.1 pep chromosome:Lperr_V1.4:9:358560:381787:-1 gene:LPERR09G00290 transcript:LPERR09G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLGYCCIVTLILNALMSCSAGKVPAIIVFGDSTVDAGNNNFILTIAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSSYTIDQFATGVSFASGGTGLDDLTARVASVIPLSQQLEYFKDYIEKLKVAKGEDEANEIITEAIYIFSIGTNDFIINYFNIPLRPAKYTTEEYIAYLVSEADAAVRAIYDLGARKVLFAGLAPIGCLPSSRTLNHDAPGECNEEHTQVAVAFNAALTEAISKLNDDLAGARVAYSDTFTVLSSILSNPSDYGFVNIAQGCCGTGMIETSVLCGLNEHLTCQDDDSYVFFDSVHPSERTYKIVANKIIDTDLNAAKVPAIIVFGDSTVDAGNNNGIPTAFKANFPPYGRDFDGGVATGRFSNGRLVTDLLSEELGLPSSVPAYLDPSYTIHHFATGVSFASAGTGLDPLTAQIASVIPLSQQLEYFKEYKEKLKLAMGEEVAKEIIREALYIFSIGTNDFIINYFLLPLRPAAYTTLEYIAYLIGLTDVAVRDAYKLGARKILFAGLTPLGCLPSARTLNLKSPGECNEEHNHVALMFNAGLTKAMSKLNNDFAGARVVYSDIYGVVSSILSNPSHYGFVNVAQGCCGTGLIEASVLCGLNQLLTCQDDDAYAFFDSVHPSERTYKAYIFGAPTRHLN >LPERR09G00300.1 pep chromosome:Lperr_V1.4:9:386310:387272:-1 gene:LPERR09G00300 transcript:LPERR09G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNGVIRASSREPLLSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFDKFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTNSTRAYYDFSPCPEYRFVVLDAYDFSALGWPCDHPVTAEAMKFLEEKNPNSDKNSPVGLVGVDRRFVMFNGAVGKEQLSWLNDVLQDATARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMRFEL >LPERR09G00310.1 pep chromosome:Lperr_V1.4:9:396244:397386:-1 gene:LPERR09G00310 transcript:LPERR09G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRVRSSPSDGHARSASSGGGEPPPQIDNVAVAAQSPTSALAEEEGVAAESEEEAAGDGAEPDNSRRVTALPSKEAPVQEDPKPASKSNKKNMMMMKKKRPLRAAQSQLEVLPPKSKKKKTKAVLQPAARGKAKKKQHDDIAETPSLRKSQRLAAASSGNTSPPHPNKKKKEITALRRRWNAHDEIMILEALVHHIRNGQMLPQQPGHPFFHAIAQRLQGTTTFNHNDVREKLRSLKRRFDNAAIPPTKDHELHLYQLSSQLWAPHAMDKQSKPLATHQQRSFATDDHKSCAMDDHEERSFDDMCSQFPQLAKEINVLAEDLPAVRKSFARLDSKQAVAIETKLEKLRWFDMKMQYKVKVKMAKIRKELLHHLMELRP >LPERR09G00320.1 pep chromosome:Lperr_V1.4:9:420538:421341:-1 gene:LPERR09G00320 transcript:LPERR09G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAVLLVLLPAVLGDPDDARCLSSLHRSLSDPTGGLHNWTKASFAAPCEGFISQLQGVTCNNGRVYKLSLPALSLAGAIPGELSNCTNLQSLDLSSNAISGQIPPELSSLLNLAVLNLSSNRLSGPIPRALAACAYLNVIDLHSNLLSGQIPDDLALLVRLSTFDVSYNRLSGPIPVLLANRTTSTSRFNASSFVGNKDLYGYPLPPMRGHALSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATMPGEEAKISHLMPDY >LPERR09G00330.1 pep chromosome:Lperr_V1.4:9:428476:436442:1 gene:LPERR09G00330 transcript:LPERR09G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLTKYQFLFSSRATALHPRRRELKLLPFPSYPCSISTSPNTCSQQSHLLVSSAGPREQTLFPAVSLSSSPDGIRENSTQKKGRRRRKGRKRKETAKNEGVCVPSAEEASIRVNTLYESGDPLGKKELGRCVVQWLKQGMQSMATKFASTERQDDGAAFSLDGGSSEGNLGFVMLSQPYLSATPMPMGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLVSDWRSTQSWMLLKELANSAQHRAAARKPKDPIIHSTLGISLDKTRLMQAKIEDFVKKMSDLLHIERDAELEFTQEELNATPMVDGNSKQPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKGNHRLPPTTLSPGDMVCIRTCDNRGEVATSCMQGFIYNLGEDGCCITVTLKSRRGDPTFSKLFGKSVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDIMMLEKNNLADWGKSIIHDDGLLERHSYNFDASQFKAITLGLNKKRPVLIIEGPPGTGKTGLLSYLIAYAVRQGERVLVTAPSNAAVDNIVEKLSDTGLNTVRVGNPARISPSVASRSLGELVNSRLKKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEVIAEVLSNADVVLSTNTGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMHGGLAMSLLERASSLHDELLVTKLTTQYRMHDSIAGWASNEMYDGFLKSSPFVASHLLADYPFIKETWITRCAFLLLDTRLPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSHHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARRHVAVVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYNPPALPSIS >LPERR09G00330.2 pep chromosome:Lperr_V1.4:9:429197:436442:1 gene:LPERR09G00330 transcript:LPERR09G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMATKFASTERQDDGAAFSLDGGSSEGNLGFVMLSQPYLSATPMPMGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLVSDWRSTQSWMLLKELANSAQHRAAARKPKDPIIHSTLGISLDKTRLMQAKIEDFVKKMSDLLHIERDAELEFTQEELNATPMVDGNSKQPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKGNHRLPPTTLSPGDMVCIRTCDNRGEVATSCMQGFIYNLGEDGCCITVTLKSRRGDPTFSKLFGKSVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDIMMLEKNNLADWGKSIIHDDGLLERHSYNFDASQFKAITLGLNKKRPVLIIEGPPGTGKTGLLSYLIAYAVRQGERVLVTAPSNAAVDNIVEKLSDTGLNTVRVGNPARISPSVASRSLGELVNSRLKKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEVIAEVLSNADVVLSTNTGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMHGGLAMSLLERASSLHDELLVTKLTTQYRMHDSIAGWASNEMYDGFLKSSPFVASHLLADYPFIKETWITRCAFLLLDTRLPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSHHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARRHVAVVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYNPPALPSIS >LPERR09G00330.3 pep chromosome:Lperr_V1.4:9:429215:436442:1 gene:LPERR09G00330 transcript:LPERR09G00330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVLSFRFLFSSRATALHPRRRELKLLPFPSYPCSISTSPNTCSQQSHLLVSSAGPREQTLFPAVSLSSSPDGIRENSTQKKGRRRRKGRKRKETAKNEGVCVPSAEEASIRVNTLYESGDPLGKKELGRCVVQWLKQGMQSMATKFASTERQDDGAAFSLDGGSSEGNLGFVMLSQPYLSATPMPMGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLVSDWRSTQSWMLLKELANSAQHRAAARKPKDPIIHSTLGISLDKTRLMQAKIEDFVKKMSDLLHIERDAELEFTQEELNATPMVDGNSKQPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKGNHRLPPTTLSPGDMVCIRTCDNRGEVATSCMQGFIYNLGEDGCCITVTLKSRRGDPTFSKLFGKSVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDIMMLEKNNLADWGKSIIHDDGLLERHSYNFDASQFKAITLGLNKKRPVLIIEGPPGTGKTGLLSYLIAYAVRQGERVLVTAPSNAAVDNIVEKLSDTGLNTVRVGNPARISPSVASRSLGELVNSRLKKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEVIAEVLSNADVVLSTNTGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMHGGLAMSLLERASSLHDELLVTKLTTQYRMHDSIAGWASNEMYDGFLKSSPFVASHLLADYPFIKETWITRCAFLLLDTRLPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSHHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARRHVAVVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYNPPALPSIS >LPERR09G00330.4 pep chromosome:Lperr_V1.4:9:428665:432783:1 gene:LPERR09G00330 transcript:LPERR09G00330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGVAGENVYNTDVQLRDCIGNVALPSPQLCKFLFSSRATALHPRRRELKLLPFPSYPCSISTSPNTCSQQSHLLVSSAGPREQTLFPAVSLSSSPDGIRENSTQKKGRRRRKGRKRKETAKNEGVCVPSAEEASIRVNTLYESGDPLGKKELGRCVVQWLKQGMQSMATKFASTERQDDGAAFSLDGGSSEGNLGFVMLSQPYLSATPMPMGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLVSDWRSTQSWMLLKELANSAQHRAAARKPKDPIIHSTLGISLDKTRLMQAKIEDFVKKMSDLLHIERDAELEFTQEELNATPMVDGNSKQPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGFIYNLGEDGCCITVTLKSRRGDPTFSKLFGKSVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDIMMLEKNNLADWGKSIIHDDGLLERHSYNFDASQFKAITLGLNKKRPVLIIEGPPGTGKTGLLSYLIAYAVRQGERVLVTAPSNAAVDNIVEKLSDTGLNTVRVGNPARISPSVASRSLGELVNSRLKKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEVIAEVLSNADVVLSTNTGAADPLVRRIGCFDLDKQSNPHAGSLSYKEKDAFLPVINASLHLLFYQERLCMVD >LPERR09G00340.1 pep chromosome:Lperr_V1.4:9:451834:453559:1 gene:LPERR09G00340 transcript:LPERR09G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGTDHLCALTLTPSSPTFIHPHSPFHSHPQRKPNATPSTDGRRRSEEPQRGIEQSRAWASELAGRTAGKLAGDRGGARGCGTERGSGTRSDWRACCKQWQSCCPIYPFEKILEQLRNSIACATSYTGLCSTTTPRIAGTDYSPGTSQQNVSI >LPERR09G00350.1 pep chromosome:Lperr_V1.4:9:463961:464651:-1 gene:LPERR09G00350 transcript:LPERR09G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLVTAMFMGVLDLMTATLLVVAARRLTTLDLVASVQLIFGYLLLLVALVLYIHLAAVIPVSLAVSSAEGRVAASALWMAHEGEEEGGRRAGSRRLPRACCGLPGVRYRCRLLLRVADGNSVRFLPSTGCSAAALHGMCGPVRPAPLNSTVRKLAVGSSVDAPGLTEVYRAVRPHVIFQHDRQLVKQRYLITRVSELGVLGLYGKLIQWFIQPMNN >LPERR09G00360.1 pep chromosome:Lperr_V1.4:9:487365:488348:-1 gene:LPERR09G00360 transcript:LPERR09G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAARSSSSSSSPCTTFIAESLILPTRNIRLFAPIFLLIFCHTFAFLAIAAIHVNPLAASLDTHALAAGVLLHPPDEDTTHNVLADSDDDDAIRGHAKRLAVVYVAYLVSRLAVQVVAVVAGRTTYSGDRLTFSELLLRWNSVKERISGPLVTAMFMGVLDLMTATILVVAARLTTTKILGGYLVFVVALGFYAHLSAVIPVSLAVSSAEGRVAAPALWMAWRLMTAKRKEAAVLTLIVCLVPAAVCPVYAIAASLSDNLMFSFYVWLMGIVFGFFLLPVALQLLSTAAATVFYYHCLESQVIVPRVPQKLPVDQLDAADHV >LPERR09G00370.1 pep chromosome:Lperr_V1.4:9:494849:495787:-1 gene:LPERR09G00370 transcript:LPERR09G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQNSNPSFYNFLKEGFLLPSRNKNLFTAVFALVAVSTSLLVVSSDLAVQPLVEEIRLDANALNSTDPNSPEFTKLINEIQDDTRKLMIAVAVYLLFAVVIASAIRIILLFAAVATYSGERHSFGELLGKARSQLKGPVLTLAFVFVLEIAYVALLAAMAGLIGFLIVHKHYVTVLVLSMLVLVGAIFFVYFSVVCNFSVVVSVAELGCHGAGALGRAWRMVKSKKRRLVLYVAAVSVLAALVSLLHNLTMTIWARGSVVAGLLLVLVYAVLMAAVDLFGVCAITAFYYECKEAVVTDQYVRVSTDEQPKA >LPERR09G00380.1 pep chromosome:Lperr_V1.4:9:497247:501726:-1 gene:LPERR09G00380 transcript:LPERR09G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAKTRASFFSCFTFLKEALILPTHNPKLFTPILLLTAFSTFLILATNVAIVQPLGMDVAQLAIKLQNTDPSSAEYRRILEEMKHDVTRIVAIVIPVVLVSLVLGFLKECVAFFAASSTYSGDRYSLPELISKVIRGNLKGPLITMVSGCNSGHMDDVPGRQQQQLNLLFQALLFVIGFLAFLYFNVVGMVSIVVSVADTECRGIRALRQAWRLMTGVRRKEGLVLVIVVYLLSMAISPLNLVAAAYTKKSMALGLCFLAVYCLLSGAEQLFYIAAATVYCVQAMDSKEEAMPCAYDKIPTGCPVSLYNREMVEHDMTPVFVYGGAATLGSVVLGFVKPCLAFFAASSTFAGNRYSLLELHRKAMKGNLKGPLITIAMVTLLQFMVYMGKLPYDVMQRHFKVFSVPRPLLFLCYLASNYLSVVGMVSVGVSVSDKKKNCRGIHALRKAWLLMTRVRRNQGIVLAVVVFLLPKAIDALNLVGVAETKSMALGICLFAVYALLSAGEQLFCFAATTVYCFGASSEAPEGGAPR >LPERR09G00390.1 pep chromosome:Lperr_V1.4:9:557944:565613:1 gene:LPERR09G00390 transcript:LPERR09G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLEHGGVMFKRMKQRPSEQKSLPSEGDFSWHPLRHAAIAAIENAAERDKVMFPSTNSSAKRNSDGNMEYYSVNESAKRLKVDSKDDEKSAHKAYCDDLSERPYLCTGFDIYLVWEPCTMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKVPEAYCNTWSDCSDKC >LPERR09G00390.2 pep chromosome:Lperr_V1.4:9:557944:565613:1 gene:LPERR09G00390 transcript:LPERR09G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQDVKKIVDTYQLSPFFAKAARFPATSKEEWEEQCKLWPTSYHPHHDLDGISGFKESELPSIFECMRTAMQLSEVGNAALIVDPSTMKIIAKASDQMLQNDSLNSVMFKRMKQRPSEQKSLPSEGDFSWHPLRHAAIAAIENAAERDKVMFPSTNSSAKRNSDGNMEYYSVNESAKRLKVDSKDDEKSAHKAYCDDLSERPYLCTGFDIYLVWEPCTMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKVPEAYCNTWSDCSDKC >LPERR09G00390.3 pep chromosome:Lperr_V1.4:9:557944:565613:1 gene:LPERR09G00390 transcript:LPERR09G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLEHGGVMFKRMKQRPSEQKSLPSEGDFSWHPLRHAAIAAIENAAERDKVMFPSTNSSAKRNSDGNMEYYSVNESAKRLKVDSKDDEKSAHKAYCDDLSERPYLCTGFDIYLVWEPCTMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKVPEAYCNTWSDCSDKC >LPERR09G00390.4 pep chromosome:Lperr_V1.4:9:561871:565613:1 gene:LPERR09G00390 transcript:LPERR09G00390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLEHGGVMFKRMKQRPSEQKSLPSEGDFSWHPLRHAAIAAIENAAERDKVMFPSTNSSAKRNSDGNMEYYSVNESAKRLKVDSKDDEKSAHKAYCDDLSERPYLCTGFDIYLVWEPCTMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKVPEAYCNTWSDCSDKC >LPERR09G00400.1 pep chromosome:Lperr_V1.4:9:567011:572660:1 gene:LPERR09G00400 transcript:LPERR09G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFFLFFPLVSSHKVTPSGHRPRVAVSESQSWLKGGCGRLGLIQVPQIFSALFSRLSISRSLSPYNQQFEWEEEEEEEMVHPGKLRREVKEELLDDATARPEPSPPFLKRSRLALHPQQWSTDGASVSNQQSSQHDFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHGGTTSASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICGMKVVSPENEPGILELALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASMHRRHFLQCPPGMMNKHVEKLVNCDPRLYSLSQLNDISLDNPYFESKSSIFEDPEGIKCQDIERKDDGNQLAPQRFTELLPPHSASGRINGEARQQTEAPDNLPRHFPSSVGGTQVIKQDAAAADCERQESIYNWNGIKVPGIGIRRSMSKSEIANHIGNHIYRQMYSGNLSAVHRGDPTSSKVTLDGITRFLLGSSQSQIIDSGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNTSSIPGDNEMQDRKPQPCMIPVAGDGGHNVSLPPKQESFGDLLTHLPRISSFPHFL >LPERR09G00410.1 pep chromosome:Lperr_V1.4:9:585816:586169:1 gene:LPERR09G00410 transcript:LPERR09G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGPGEVLHQRGRMPYGPGTMMLAGLGIFGVLGYLVLYHKARPGTPATEVAKVAVGHGDPAAGRDAQIKSSQDAAAAARHGK >LPERR09G00420.1 pep chromosome:Lperr_V1.4:9:621895:624572:1 gene:LPERR09G00420 transcript:LPERR09G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETAAAAPAPALSGQGRTVCVTGAGGFIASWLVKRLLELGYTVRGTVRNPMDPKNEHLRALEGAGERLVLLRADLLDADSLLAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRNVITAAADTGIKRVVFTSSIGAVYMNPYRDPNKPVDDTYWSDLEYCKTTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQPTVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAESHVRVYECPDAHGRYICAESTLHRADLCRALAKLFPEYPVPTRCKDESATPVKGYLFSNQRLRDLGVEFVPVRQCLYDTVRSLQDKGLLPVLPAATEDDDQSS >LPERR09G00430.1 pep chromosome:Lperr_V1.4:9:645637:655792:1 gene:LPERR09G00430 transcript:LPERR09G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLWRSSADGRATATTLIGNLFAGVLAAVRGGRSAAPNPQPQESAAATPPGGGGRGFDGMERRPEGIEVVDQGAAAGVYLTWEEVWVTAVDSRGKAATILHGVSGCARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMRGQILINGRCQKLAFGTSAYVTQENMLMATLTVREAVYYSAQIQLPDTMTVGEKLARAEETVREMGLAGTLDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITGLAAREGMTVVAVVHQPCSEVFDLFHGLCLLAAGNTIFFGPPSAAAQFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEERLQYMPAVADEAIEILVNSYKSSNTSHVAKQEMRQINEMDRAMIGRNRAGFITKTLVLTRRSFINMYRDIGYYWMRLVIYVFVSVCLGTIFYNVGYGPDSIRARSSMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVATFVISNTLSSTPYLLLVAVVPGAIAYYLTGLQRQIDHFVYFALVLCACTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNNLPKIVWKYPMYYISFHRYSLQGFYKNEFSGLVLQSNQGGQKAISGEEVIAELFQVETGHSKWVDLAVLCGMIVTYRLLFVVIIKILDMVKPMLKGVTFRCHTKCIHGVENLCAPL >LPERR09G00440.1 pep chromosome:Lperr_V1.4:9:678410:681823:-1 gene:LPERR09G00440 transcript:LPERR09G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPRKNEISELGSNDGESSSRNNQHNGEENPVPEVSKDVVLNGQAIELKEEGTRLFQRRDYEEAAIKFVKAIKLLPKDHNDIAFLHCNTAACYMHMNPEDYEHAIEECNLALEASPKYTIALLKRARCFEALDRLDLACNDVQKVLCLEPNNVTALELLESIKERMEEDDILLEQQVVSPEEPKAILAKEKIKRKVSRKFRNSIVEEEVWEMIHEEMQENNETAEEEKCNGNNHMENSVILEENDNEQETHIKHNQESDEKNRDEIKLKDGGQCSRGASNDGQKLQPSSPNMEEMRVNVKHGQDKHQKHLKEIHVRGSHEQEPHSFCSLDKRQKHIDQLQINSNSMQEKHAEKFERYANGNREKHFLDRHIGRGEDKQEKRHASKPTNHARDKHKKHMAENHKDVNRRAMKSVKFVCGDDIRIVVIPENITLMQLMDIARYKYNPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPQKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHHSISECGSSRHGDEKNSFTDDWMVQFARLFKNHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQAAEAKFQEMAALALFNWGNVHMSRAKKRLFLSEDSSQESVLFQVKNAYDWACTEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKILLDKLGLNGHVKDFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >LPERR09G00450.1 pep chromosome:Lperr_V1.4:9:684686:686670:1 gene:LPERR09G00450 transcript:LPERR09G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSRGIRAFMETHLPALKEKKLHLEVVTQLVRGQHPNLKGIYKNHNERVVCVRNFGPEDILLQATRLRNSLGRKVVKLRSRHVTKRPSVQGTWSTELKMS >LPERR09G00460.1 pep chromosome:Lperr_V1.4:9:692936:693899:1 gene:LPERR09G00460 transcript:LPERR09G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGKKAMPQADNKEIAAKDAQDIRRQADEFNSWLARMEAMDSHELKQYKEQNKDMFNSQKKAAMKKIQLKEKKKRKRTVLSPILGAVMKFHRDDDVDPSAAEPTA >LPERR09G00470.1 pep chromosome:Lperr_V1.4:9:696744:706260:-1 gene:LPERR09G00470 transcript:LPERR09G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQRERMLLLRSHRALHLAATEGRIDVLTYLLDDLRLDVNQTNDNGETPLFLSAFFGRTAATRYLLYHGADPMILNKAGSPLHGAARKGHSEIVEMLLSRGTDIVFHPLCGTPLHTAATWGQGSTMKILLDHDADPNKVFNLDDTPLIMAISSKSLDCVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDDFGAFPIEVAALQDHREIVEIVEYDSFALSRSRAVLPEDICGEKIAQLKLQGKEAFRRKEYLLAGQLYTKWPNLAYWPKACYRQGAAFMLLKEYKNACEAFADGLKLDPTNVDIEKALRAAFQAMKNDR >LPERR09G00470.2 pep chromosome:Lperr_V1.4:9:696744:706260:-1 gene:LPERR09G00470 transcript:LPERR09G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQRERMLLLRSHRALHLAATEGRIDVLTYLLDDLRLDVNQTNDNGETPLFLSAFFGRTAATRYLLYHGADPMILNKAGSPLHGAARKGHSEIVEMLLSRGTDIVFHPLCGTPLHTAATWGQGSTMKILLDHDADPNKVFNLDDTPLIMAISSKSLDCVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDDFGAFPIEVAALQDHREIVEMLFPLTSPIPALPDWSIDGIFSHAKTLPEDICGEKIAQLKLQGKEAFRRKEYLLAGQLYTKWPNLAYWPKACYRQGAAFMLLKEYKNACEAFADGLKLDPTNVDIEKALRAAFQAMKNDR >LPERR09G00480.1 pep chromosome:Lperr_V1.4:9:712290:720414:1 gene:LPERR09G00480 transcript:LPERR09G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTKMMGKQQTLLQAAFDGNLRLLRKMARGLDTTGQGEAAVLAAVAGGTNGTRALHMAASGGSMDFSSTSSRISALTSTNSLIKVKHQCTFLQFTGELLLQDIFLIMVLILQWDCEIVELLLSRGVDVDLDSTGGTPLQAAAISGQHSTMKILLEHHAAPNRVFNLDGTALNMSIFSGSLECVKLLIKVGADVNFRDSNGVICVMIAANHATSDITKCLLDAGANPNIPDEFYTTPIEAAAHRGRRDIVELLFPLTKPISTLPNWSVDGIISHVKTFGLKPRDKDLSKRKRAELKLQAREAFERKEYMLAGQHYTNAMQLNPSDGENAILLANRSLCFLRMTCRNGALADANMCRMLRPHWPKACYRQGAAFMLLKDYGKACEVFADGLKLDPTNEDMEKALRDAFEAMKKDRLEQRPLTP >LPERR09G00480.2 pep chromosome:Lperr_V1.4:9:712290:720414:1 gene:LPERR09G00480 transcript:LPERR09G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTKMMGKQQTLLQAAFDGNLRLLRKMARGLDTTGQGEAAVLAAVAGGTNGTRALHMAASGGSMDFSSTSSRISALTSTNSLIKVKHQCTFLQFTGELLLQDIFLIMVLILQWDCEIVELLLSRGVDVDLDSTGGTPLQAAAISGQHSTMKILLEHHAAPNRVFNLDGTALNMSIFSGSLECVKLLIKVGADVNFRDSNGVICVMIAANHATSDITKCLLDAGANPNIPDEFYTTPIEAAAHRGRRDIVELLFPLTKPISTLPNWSVDGIISHVKTFGLKPRDKDLSKRKRAELKLQAREAFERKEYMLAGQHYTNAMQLNPSDGENAILLANRSLCFLRMTCRNGALADANMCRMLRPHWPKACYRQGAAFMLLKLDPTNEDMEKALRDAFEAMKKDRLEQRPLTP >LPERR09G00490.1 pep chromosome:Lperr_V1.4:9:754385:761707:-1 gene:LPERR09G00490 transcript:LPERR09G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLPTLLILLVCSSLALASISEPTTLSWTCGDDQVSILGTSDGGRNLSVNGELVQDPVLGCDKLRSYYQSRCFRCGEQSEVWRGAWRHYCHERSESSNAQNIPRKLLRQPSMNNATIEDDPCENMGVDRNNEDDNDSLERPDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESMASFDVSTSSDKVPPTPHRIPPSPSRFAPSPQIARAGSVNLSVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQHGRILDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNMREILDPLLEDHVDDEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >LPERR09G00500.1 pep chromosome:Lperr_V1.4:9:772324:780497:-1 gene:LPERR09G00500 transcript:LPERR09G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGPHRFSRGGGDGGGGGGGGGGRFHPYRGQSDHSGGGYRGGGGDFGEPGSGPRHRYGSGRGDHSDHDNRSSYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMKQSRGCGFVKFSSREPALAAMNALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNSMAPKGSVTSSADGATFRPQMFPGNGSLSSQTPVPTSSHMGMNPPPMAQGHLGGQQIPPLQKLPGLPQNFPVQLQNAQQGQPLQGSAQQIGQLQVPQSMGPGSFGQNMQLPGQLPASQPLMQQNASVSTVQAPLAVSNSMQAIPGQQHLPSNVAPQMLQQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQHQQQQQSNRNHQQPTQGQSVQSSTPGAPTSIIPSNINTIPQQAASPAVPLTCNWTEHTSPEGFKYYYNSLTRESKWDKPEEYVLYEQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPIQQIPQVQQGQQQMQMKQQELNYSQLQAPGSIDPSRIQQGIQSAQERSWKS >LPERR09G00510.1 pep chromosome:Lperr_V1.4:9:783228:789914:-1 gene:LPERR09G00510 transcript:LPERR09G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPKEAPPPPPPSSEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEADPKVLHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQADSANGTGNSASSVSRGSGIIPLISAANNATTYGDEFDTTIIAFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASKAADIIQYLERSFGVVNATNQNENANTAQQQSAQPKPSAKISTPPDSDSNACAGGSENLSAGNFSDDTFEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSYHTSIWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGIQHLMCGKPLLAARCFHEALPLLCNRSLFWLRFAECSLLALEKGILTSSGATSLNDEIEVDVVGSGKWRHLVINPVNPGHSNSGEEVTSEKHGNLLSLRFARQCLLNAQLLLDPSEKENLIASGTEESNQTSLQGQKGSGQKNVINTDSKPPGPATNANGEQKGMTNLNVTLQSSLALYDDICRKENLKIRQAILGDLAFIELCLENHLRALSIAKSLQQLPECSRMYVFLSHVYAAEALCALNRPKEAADQLTVYLRDGGDFELPYSVENCEKALVEKDSDGEDSVAPVVTKLPSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMGKPQEAIGKLRRCRNLLVHLIILDYAFSASVYVLSICIVSMYVSDECVYVAHQEHITKLIWHPDKHSLFPFRADGSRASVSDQIE >LPERR09G00520.1 pep chromosome:Lperr_V1.4:9:795161:799328:1 gene:LPERR09G00520 transcript:LPERR09G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSPAIFSFVCSISVPVMGFSLLATQTVVKTGILLNHMPRYQLGEVMLSDLLVFGWKKPSANFPFLEMSLSPTVSACAPVRVSFVAEHQGLPMEKRRRLRNM >LPERR09G00530.1 pep chromosome:Lperr_V1.4:9:796088:798538:-1 gene:LPERR09G00530 transcript:LPERR09G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPWCSATNDTLTGAQALTVGDKLISRNGKFALGFFQPKTSKSDNITSPNWYLGIWFNKIPVFTTVWVANRENPITGTEIEQTKLKIAGDGNLAILNHVNQSVIWSTHIANNRTEASINSTNAVLLLNDGNLVIRSPSSNVVLWQSFNYPVDVLLPGAKFGWNKITGLNRLGISRKSLIDPGLGSYTVAFDTKGTKGVVLRRLNPSVVCWSWSSDKSVMLVPIIKSLLDSDPRTKGLISMAYVDNNEEEYYMYNSTDESSYSFVSLDISGQIKLNVWSQANQSWQSPYRQPADSCTPYATCGPFTVCNGNSHPFCGCMEGFSRKSPRDWDLDDRTGGCIRKNPLDCTSKQNRTSSTDMFQPISRVTLPYNPQSIDDATTQSKCAEACLSDCSCTAYAYENSRCSIWHGELLSVNENDGIDNTSEDVLYLRLAAKDLPHLRENKRKPLVGVFTAVSIAAFGLLMLILLLIIWRKKFKYCGGPLPGSQGSSGLVAFRYTDLGQATKNFSEKLGGGGFGSVFKGVLSDQTAIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDVHLFKISANVLNWNTRYQIALGIARGLSYLHQSCRECIIHCDIKPENILLDGSFVPKIADFGLAAVVGRDFSQVLTTFRGTIGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGSRNSPKSETSDNSCVAYFPVQVISMLHEGDVRSLVDTKLHGDFDLEEVERVCRVACWCIQENDIDRPTMGEVVRVLEGLQELDLPPCQDYLQLSHNALVWLQHKNYYLWFSILDVG >LPERR09G00540.1 pep chromosome:Lperr_V1.4:9:823544:824194:1 gene:LPERR09G00540 transcript:LPERR09G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKMHYGAMLLLAVLLCLTVQGHSDACQPSDIQISQVNIRKSNSLDTTFEVDIVNRCSCTISNLHVNTNGFNSGTLVDPSLFRKDGSSYLVNDGKPLDSNGSIRFTYTYDRAFDITPGFWNTDHC >LPERR09G00550.1 pep chromosome:Lperr_V1.4:9:851326:852611:-1 gene:LPERR09G00550 transcript:LPERR09G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFWISSLESERPVGSYHSNVSAQPPRIQAERGKRHTVIGGHGQGGIARWRRRSMPLALRPYSAVEHSRGRVDGSGRQDPSKSISSTVVLPTGMGIWRSVVGQDRWNVIDGGQFVDQPLAPSAVKAVLPEEQIFNSAAVAQGQQAHGYEKRAFLPYLTTTMAMQRAPGEISSGKFGNKEHEEHNNHTVLPSWTPTGHHGSSNSTECVEKLLVPGKLAQREDFVIGTHKQASMTVAAPPPNISEITGYFSARKNCEMMEPKGLQHSVDFSFAKESRMIEFRSLDSSVRQNMRGPYICSECKKSFPSGQALGGHVKSHNSRKRTPAQRDDGRPTGWVASTSLTLVSPNSIAMNGSSNPRTMSVPNQKREVLAAAHINNIRRGSFRLFGNNIVEAPKEEPME >LPERR09G00560.1 pep chromosome:Lperr_V1.4:9:870954:888219:-1 gene:LPERR09G00560 transcript:LPERR09G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLQIELGEHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVILERRITESSSSTVLKDQHGRKVAHRKDDLNEIIEHFNIDVENPCVIMSQDKSREFLHSGNNNDKFKATLLQQVNDLLLAIRELLDNADSIVQELEKSIRPAMRELDELREKIKNMEHIEEIALEIANLKKKLAWSWVYDVDKQIEEQTAKLLKLKERIPACQERIDRKAAIIVDLKKELVEKEENARSLVEKSREVTAMKEKLERDISQAVTLKIELENEHARGTNVLKQWKNRVKQLQTQIHDFQAQYMQYTQEESSKAESDMREIQEKIDSFHSNIARLKEEERGLFETQMGIVKYIEKMGTEIDENRKKITQLKNQIRDLQQRQSDKVATFGGPRVRNLLKSIERHERRFKIPPLGPIGVHVKLASEYWSFAVECALGRLMDAFIVSCHRDSVILRECAKDVNYRNLQIIIYDFSKPRLNIPDHLLPSTPHPTVLSVINSDNPTVLNVLVDQGSAERTVLVRDYEVGKSVAFDSRVQNLKDVYTSDGYKMFSRGSVQTILPPYRKGNAGRLCSSLGEKITEMESEIADIERIISERTGDIKKPNDKREEIDLKIKSLKRKRAEQERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMEVQVDIEQKELLLQKTNLRLTKALQDENDRRDSYKKFIDCVYNEVEPNNALENEIERAKQKLQEAEQGKAYYEGIMETKVLPDIKMAKAECEDLQKLRQESFKKASIICSESEVETMGGVAGSSPEQLSATINKLEMRFHKESSRYTESIADLRALHHEKKQKIEIKQQLYAGFRDKLNSCQKALDMRWTKFQRNAALLKRQLTFNEHLGKKGISGFINVDYKNKLLSVELTMPQDASRDTIRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDIRYLSE >LPERR09G00560.2 pep chromosome:Lperr_V1.4:9:870954:888219:-1 gene:LPERR09G00560 transcript:LPERR09G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLQIELGEHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVILERRITESSSSTVLKDQHGRKVAHRKDDLNEIIEHFNIDVENPCVIMSQDKSREFLHSGNNNDKFKATLLQQVNDLLLAIRELLDNADSIVQELEKSIRPAMRELDELREKIKNMEHIEEIALEIANLKKKLAWSWVYDVDKQIEEQTAKLLKLKERIPACQERIDRKAAIIVDLKKELVEKEENARSLVEKSREVTAMKEKLERDISQAVTLKIELENEHARGTNVLKQWKNRVKQLQTQIHDFQAQYMQYTQEESSKAESDMREIQEKIDSFHSNIARLKEEERGLFETQMGIVKYIEKMGTEKLASEYWSFAVECALGRLMDAFIVSCHRDSVILRECAKDVNYRNLQIIIYDFSKPRLNIPDHLLPSTPHPTVLSVINSDNPTVLNVLVDQGSAERTVLVRDYEVGKSVAFDSRVQNLKDVYTSDGYKMFSRGSVQTILPPYRKGNAGRLCSSLGEKITEMESEIADIERIISERTGDIKKPNDKREEIDLKIKSLKRKRAEQERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMEVQVDIEQKELLLQKTNLRLTKALQDENDRRDSYKKFIDCVYNEVEPNNALENEIERAKQKLQEAEQGKAYYEGIMETKVLPDIKMAKAECEDLQKLRQESFKKASIICSESEVETMGGVAGSSPEQLSATINKLEMRFHKESSRYTESIADLRALHHEKKQKIEIKQQLYAGFRDKLNSCQKALDMRWTKFQRNAALLKRQLTFNEHLGKKGISGFINVDYKNKLLSVELTMPQDASRDTIRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDIRYLSE >LPERR09G00570.1 pep chromosome:Lperr_V1.4:9:894820:899415:1 gene:LPERR09G00570 transcript:LPERR09G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFEELPHSPSSPPSKRARFRGGSSPHRPLADPALVANIRAQLPSVGLEFIEKALEDCENDLDSALKCLLDLHVGSTEYNVDPFFQSPSGMSTELQVPDEGISVGNETAAQIGNAPSADNFPSSSTQWVEILVNEMTSASNVDDAKSRASRVLEVFEKSMISRVGAEAMESFQKVTKQSSVYKEQFEAVAKENTILKKAVAIQHERQKENDEKNQELQQLKQLVVQYQEQVRSLEVNNYALSMHLRQAQQGNSIPGHFHRDIF >LPERR09G00580.1 pep chromosome:Lperr_V1.4:9:906738:911017:1 gene:LPERR09G00580 transcript:LPERR09G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSSNSSASKEKEEEPELHSNDGLDNNNEADTGNSTEVEDRTNLLMLADTSDMFRRMEDTPEMPLWLSALLKTTFWKKCEVHENLDSAHRAEECIFCTHCFKTICPHCTHDQPGHKILKIRRYIFRSVLRVKDMQNLGVDVSYIQTFKCNGHKVVHLRPMKRSEHHRPKPGTPRCSTCGCWLHNTPNLTCSLSCKKKVEISLGDFSGDEASTRVSRARSNYQAQINNAQKKENESPDDMSTSSDVNQESSDNMEQRTRPRKQENPGRSPFF >LPERR09G00590.1 pep chromosome:Lperr_V1.4:9:948151:949571:-1 gene:LPERR09G00590 transcript:LPERR09G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHQIQDKEKELKHDYRMLKEARKQSGVSWDNQRCMIIACDAVWANLIESNDKVKKFRKNKSFPLFDALGELYDRQTAEGSMNFTSIEPPRHATLTQVGDYPERSDSFPDVNWVPQDYEGLTTEVEVEENTMEHENQQTHVGTTSRGRGEKDGNKRKSASRERVDKLVKRNRRNDVFDLMGSYLEMRKEEEAKARVETTKVDECSIRNCIAVVESMEDLSVDEKVKSFGIFNDAHNREIFMSAGSSTRLAWLRTMLF >LPERR09G00600.1 pep chromosome:Lperr_V1.4:9:968861:975168:-1 gene:LPERR09G00600 transcript:LPERR09G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRRGQRRIDAAIDHLSQYGFPRKLIRQTIDKLLSEKLYGRDGWVFLEENSYNIVVERLLEETEEMQQQEDIEKHEGGETSNEPLPENGIQTSEAQAPAAASEVAKVQAVPSELPDDANAVPLPVPPARHITSTRCPCYGWISESESEDELDSGVPAFEQANPPAILHHNMNNGVPSIEEGSTPRETQHGGIHSKRRRSSRWDVPPSY >LPERR09G00610.1 pep chromosome:Lperr_V1.4:9:988530:993303:-1 gene:LPERR09G00610 transcript:LPERR09G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAASSASEGGSPASSAAAAAAAAAAASSFPATSLYVGDLDVSVQDAQLFDVFAQVGGVVSVRVCRDVNTRRSLGYAYVNYTSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGAANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSSGYVKFNNVYVKNLSETTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGEKFEDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFMNPQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRLAGRRAGAGGMQQPMPMGQQQMISRGGRGGYRYPTGRGMPDPALHGVGGGVMPSLYEMGGMPMRDAAAPQSVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLTLNDGVVSS >LPERR09G00620.1 pep chromosome:Lperr_V1.4:9:997608:1000729:-1 gene:LPERR09G00620 transcript:LPERR09G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGTLLRSAAAVRSCAPRHHHQRGSLGRAPSPCGPLRAYAAPPAHNNGVYTVGDFMTKRPNLHVVTPITSVDEALETLVHYKISGFPVIDDTGKLVGVVSDYDLLALDSISGSGLTDTNSSMFPEVDSNWKTFREIQRILSKTNGKVVGDVMTYGPLVVRESTNLDAATRLLLETKYRRLPVVDSTGKLVGMITRGTVVRAALKIKKRAGENA >LPERR09G00630.1 pep chromosome:Lperr_V1.4:9:1004423:1010766:-1 gene:LPERR09G00630 transcript:LPERR09G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSFLLSLHIALSLLLLPCSSQVGNSCSSARDCGTGLYCGNCAATAKTRPSCIRDLAIQPTSIVKDLPFNRYSWLVTHNSFSIIGEPSRTGVQRVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLTENPTEIITIFIEDYVHSPMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIPYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFLQNYFPTIPVESEACKENSVGLPQMVQTCYAAAGNRIPNFIAVNYYMRSDGGGVFDVQDRINGMTLCGCNTIAACQAGAPAGACKDTGAPNQTSSSVNGNVYSGTIEFKTPPSSVASILNTNICSNFAGL >LPERR09G00640.1 pep chromosome:Lperr_V1.4:9:1012357:1013427:1 gene:LPERR09G00640 transcript:LPERR09G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSPLAADDADDYYYAYDAGYRRSSAGGGGKSAKKDKGLLSFLPCFLPCSPGWVDATAHRRLLSSDSSDRDNTDITGDLARLRARYSRLAAGPPLRPRDIPCLLARPDDPPLAVAALSWLGGDLRPSCILLTLLPALFPSSSFPRHALSAAARRLHAREAALDGEVAEYHSTYAIKLLAPRAKDAVAETAAEEMCKMARAARRADKLRWRAVEAAVREVLTPAQAKEFLAAVDDVAAKAARHGARWHARSGSVSVPIDLFDRMRANARAASDDAW >LPERR09G00650.1 pep chromosome:Lperr_V1.4:9:1017543:1017770:-1 gene:LPERR09G00650 transcript:LPERR09G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGVIGHGGHRGLSDMRDVSDRTAAQMDGDCYRKGGGVLLAGLKKRRCAAAVNKLRQSGPVVVAAAGGCQERGG >LPERR09G00660.1 pep chromosome:Lperr_V1.4:9:1026732:1027986:1 gene:LPERR09G00660 transcript:LPERR09G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSIPLLESERPIGSFRFLAPHQPSHGQSKGGKFPNTVGGQRQGAAVLWRSSSLPLHHGVKYGHALVGRGGGMHPYELGSSSSVVPADNWILRSADVQSHCNVIESGRCEDGHGKHVQQPLASSPAMEMLFEERILTSEVVAQKRRLHGYEERSFFPCMETMVVQLPGWTSSGCLGQRGPTRDLEKLPESWKREGSETHIKPQLVPEGLVNDFHKQTFVNVVVPPPNISETFYHPGQLGDHCTIEKHNEMRVPKGLHHNIDISIAMEATKGAFHKSAGSTKLNKKKRLYVCDNCGKEFTNGRALGGHKRHPCSGQRQVGKRNGGKPSTWVAGASIHDSIAPHTFIFPNQEDEVRPLLTAGTPLNGIPRGGFRLFGTNIIETSKEKPME >LPERR09G00670.1 pep chromosome:Lperr_V1.4:9:1039941:1043403:1 gene:LPERR09G00670 transcript:LPERR09G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGRARRGNQRIDAAIDHFVPMGYSVADVRAVVKDLLKVYGKDGWPFLEEGVYRVVQDALFEKQEQEDKLQLQLLQEEEEIMEDQDPLLQLEGAVDDGPLENIMSIEVHNEQMEGEMDDAPLENSMSIVHYRKTIWGFAVRSRRTADTLFPVVVPVHDGIPSEAESFTDPPVLEAILPPPDKAAVTSVPRRPCYGWISESETESESDNEDQPPSGQCEHCKRNRSRYEHSNW >LPERR09G00680.1 pep chromosome:Lperr_V1.4:9:1089633:1094859:1 gene:LPERR09G00680 transcript:LPERR09G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRPKRGERRIDAAIDHFTPMGYSSADIRAVVKQLLGASVYGDDGWPFLEEDSYRVVQEALFEKQEHDEQLQLQLLQQQDDDEQAEEEPQPQLQPLQQIELEEEEGPQMEEQSQLQLLQKEDDVREEEEPQLQQYYHLLHLDDPVMGGLVSLILRRSQTMKNIQKACFVRGGARIA >LPERR09G00690.1 pep chromosome:Lperr_V1.4:9:1097902:1106415:-1 gene:LPERR09G00690 transcript:LPERR09G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVTGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIEKLKTQVEFQLRVSFIEILKEEVRDLLDPAAAATSGKVENGNGHAGKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGVGLDDVQGLRERISMLEQKNEDLCRELYDIRNHGFTDPCEPELQKTGTGFTKGEGLKRSLQSTEPFDVPMIDSVRGNPKDIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGYDTVALKQHFGKKLMELEEEKKTVQQERDRLLAEVESLNSDGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSAGMNGTPPGSHMSEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVSILRHSEARRRETEKQLKQREQTAVTATTSPGNGNGTVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPNKGVPAFNKHHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRARPRPQLLPHRPQKVM >LPERR09G00700.1 pep chromosome:Lperr_V1.4:9:1223229:1225115:-1 gene:LPERR09G00700 transcript:LPERR09G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGSGIETKLQQETEARKRIEQMLQLGSEDKTWISPYGYLEV >LPERR09G00700.2 pep chromosome:Lperr_V1.4:9:1223786:1225115:-1 gene:LPERR09G00700 transcript:LPERR09G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGSGIETKLQQETEARKRIEQMLQVSCNLLYYFCWTS >LPERR09G00710.1 pep chromosome:Lperr_V1.4:9:1224924:1230040:1 gene:LPERR09G00710 transcript:LPERR09G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHAGIERLQWRALVRRAGGRRRWTLGGVLSAGRVGGEDEAPSYCRFIRDPAGIIRTYRCTPITNIDIPALVTASLLGVVPNRARFVSKSGHRRAICTLPVPDLQLKSGVPPTPEEDMWGWILMLGFPLDRINHANVNHAVSGFGHLDYWPQNDPIKGRVLVRVFYKDLDSVPQHIVWHEPHAPNGQSWTIYVYMLDGEFADVMPPDEDLFPPEDVDHQDEGNIWQFSQPNQQGGAQGGDQGNHGWPLWDNAAQDDNIQAQEDPEEPPVPDLVPEQHLDASSLTSFSENSSAGSAMGNSPSPALSVGSNVNQPMVSADVFLANKCSENSLILKDQNVDSEMVDAQVKDYLCQNFPQIMFNDNFIKGPEFWSRFANMHLMSSPVLQLGSSSEQAGAASAIEVYHEPIPIEVVPPSMDQTEPVTPTNQIVPCNFSPSLSAKSKAKSKKLSQPETEETQEIS >LPERR09G00720.1 pep chromosome:Lperr_V1.4:9:1238829:1240415:-1 gene:LPERR09G00720 transcript:LPERR09G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSSSRHRKPTRTPLPPPPAPPLAAAAAAALPAPIEEDGLGEDHTAELPEELLAVVFGLLGSGDRKRCSLVCRRWLSIDAASRLRLALDARAPLHAALPPILARFPAVSKLALKCDRRAESVGDSTLALLADRLGPGLRRLKLRSLRVVTDDGVAVLAAAAVGLRKLSVGSCSFGAKGIEAVLRSCLHLEELSIKRLRGLAESEPIAVSGLRLQSLCLKDLYNGQCFSSLITKSPNLKTLKIIRCSGDWDPVLQEVPNEAVLAELHLEKLQVSDRGLAALSGLEVLYLAKAPEVTDVGLGKLATKSPRLRKLHVDGWKANRIGDRGLSAVAQKCASLQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQGVTPECAERLRASRNGALAVNVDTPGGAGELQDNRSVDESGVLENAGSDTLPDDLDDRIGAADLSCGSSGRPSRWKARMGAFMSRSLSVSMFRRRPRVSCYEL >LPERR09G00730.1 pep chromosome:Lperr_V1.4:9:1247779:1253664:1 gene:LPERR09G00730 transcript:LPERR09G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKEEKERGPNIGEEKAPLFSYSSDPLSSTCAVFGRRSLEEAGNPKTIDVMALAAAICQGATRLLRGGRCHPAPPPSVLSRGLCGLTTSDDEPTSSAPAHAVPSEAQAEILAEILPVVDLVKDILHSGSKILLKSTQCIMPNVPWVVNLNDEKTIVEKVLVHHPFSKDKIGCGVDAIVVDKNPNYKNTRCLFVVRTNGETEDFSYRKCIKEYIKEKYASQADDLIKNHLDQKSWRFSRLRK >LPERR09G00730.2 pep chromosome:Lperr_V1.4:9:1247779:1253664:1 gene:LPERR09G00730 transcript:LPERR09G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKEEKERGPNIGEEKAPLFSYSSDPLSSTCAVFGRRSLEEAGNPKTIDVMALAAAICQGATRLLRGGRCHPAPPPSVLSRGLCGLTTSDDEPTSSAPAHAVPSEAQAEILAEILPVVDLVKDILHSGSPDDEKTIVEKVLVHHPFSKDKIGCGVDAIVVDKNPNYKNTRCLFVVRTNGETEDFSYRKCIKEYIKEKYASQADDLIKNHLDQKSWRFSRLRK >LPERR09G00730.3 pep chromosome:Lperr_V1.4:9:1247779:1253394:1 gene:LPERR09G00730 transcript:LPERR09G00730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKEEKERGPNIGEEKAPLFSYSSDPLSSTCAVFGRRSLEEAGNPKTIDVMALAAAICQGATRLLRGGRCHPAPPPSVLSRGLCGLTTSDDEPTSSAPAHAVPSEAQAEILAEILPVVDLVKDILHSGRTNGETEDFSYRKCIKEYIKEKYASQADDLIKNHLDQKSWRFSRLRK >LPERR09G00740.1 pep chromosome:Lperr_V1.4:9:1270402:1272296:-1 gene:LPERR09G00740 transcript:LPERR09G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVKTSMIRRQLQRPAVVDVWIATYTKRNGTWSVSNGAQILKNLEEASETHRGRIVAAPIPMAEHFALVFGRKPNHSCGIGIGAVNQWAQERYRIHAQAEAADERATDAQKQAAALLEVQRLTEDNMHLRGELQFQHEELNSQKKTVEGTVCGHGTFDGSEAGGKNENDDGSHGSCFISFILYQVHQPTSGFLHPKSHPYMSNRGELLTKTT >LPERR09G00750.1 pep chromosome:Lperr_V1.4:9:1275773:1279510:-1 gene:LPERR09G00750 transcript:LPERR09G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTPQPKDVMDERTPPPKDIIDLTEEDEISIVDQQNTQLGTTQLKDGINRTEEHGVNLVDQEVFYLDNVRSAQIELEMFTAETKLRGRRLFEEEDLAEQMESDDLGGQLENGEHEPNKQNTEVQGRQDDFLNSMRHNLWEYSSQKSMQQIIPATYDAHHSRAWQVAPSCHGTLP >LPERR09G00760.1 pep chromosome:Lperr_V1.4:9:1281722:1294293:-1 gene:LPERR09G00760 transcript:LPERR09G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKTAEFALELEELKPLEREAYQFYSIHSWEAGFSIRLGRNHFTRNRKNRRLIKMGLLDEHSTKNMQEFVCSRADDQHAKESSLKGIVVVGLIANIGEMRVVAWTSKTKSNPSRRFVKCAKRVVCKVWFWEDLIHQYVDDLVDYFIDAKTQLIEESNQTLQVQVDEKTNLLLKLQDDILTAYGQLEEETSKLRQSEEQRQILQSRVTAIERKLLRSRGMAQSYHIDNSEIAPFNSYAGLILWWTQMANEMLKVADRDEEIDDDAEEGQIFLRSESGEVIRPTSRSSVKKVSSLIAKMNQEKRDIIEEIGFGGLLRLPHLTKVDRGFTFWLLSNVDCESRKILVPGRDEVTMDDAEVQRCLGIPRGKRVVCGLGRDNGCVKLDFIQFCIGAEQEQSNSLLAAKSNVEERYEDGMSDIEKSRFEVSFVVFVLGYFLAPTTKCNHGSDSFWGALKNPEEIKDYHRCQYVLDSLIDAARKAQADIQAKRKCSMSLAALCCFRSCSCTTLIWVRSTFHTVRQFTSYQCLQRLTPSLSNSSTLFCLHTTSFLELAFNNVMDDTGKQQISSDPGGSSSVRGNRPVSSSVRGNLNVSDRIEEGRDPALYGFSRFANPTLQIDKDLVSALGEEHAIEFLKHQMIIYKTKGFQREADPIHSSDRLLVGFIVFQPKFPGGRINGFIPGGEFSDQASDWYIQTHPTVIKMSGSIIRRQFIEGGSLYPETCRALIRLFQSEDGPITGVQRWRHFLPPDFTSSDVATVKERHGQPAQDIHAGLLETVNHYFPEWTINKDRWQFIYHTGIGGSDQKKDSGWCMLFFAREFNGRTPQNSINNEIDLYTMSDFLPSSSSIPSCVSIDASSNVSLPGDHKDNTNTSGYAADNETNSSSTDSSYEPNSSSDDSSYESNSSSDDLSYESNTLEHDIRQDETIDIPIEEVGDSDDAPDGTGSYELAHGDSSF >LPERR09G00770.1 pep chromosome:Lperr_V1.4:9:1301234:1302407:1 gene:LPERR09G00770 transcript:LPERR09G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFAMVGPYPLSSSHPGAPCEDLNLSRKDHGHADPYFSTVKEESVKPMHSGP >LPERR09G00780.1 pep chromosome:Lperr_V1.4:9:1338082:1339358:1 gene:LPERR09G00780 transcript:LPERR09G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLFDSLYCPEEHLDLFHDPAGDEAWPEQEQQQPAAAAAPLLDDELPALFEALRGKEKAAGDEDDGDGYGGVSGREAAVGWALRAVARLGFSALTAALAVAYLDRCFLAGGALRLGGEHPWMPRLAAVACVSLAAKVEETRVPMLLDLQLCAADNGDADEAYVFEAKTVRRMELLVLSALQWRMHPVTPLSFLHPLLLHAARLRHCENALLAVMADCRWPRHRPSAWAAAALLATANSWCHDGDLLALIDAPNDEVAECAKILSEEAAAGGIDINKRKRATGLYSPPASPSGVIGASACFSCESSSSSFDSRLAASSPDTPGRRPLKRAIAASTTMDAAHLLADEDCCDAYAG >LPERR09G00790.1 pep chromosome:Lperr_V1.4:9:1374295:1380447:-1 gene:LPERR09G00790 transcript:LPERR09G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGGGASYQRFPRVRIRELRDDFAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMSMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGSEPFDTAAAADQRQLPFLTFPFPFHEGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLDEKRNLVESSPTKVFNIDPNTQQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVFRQKLDAVRLQDDDGDLGELGAHLVGG >LPERR09G00800.1 pep chromosome:Lperr_V1.4:9:1383074:1386830:1 gene:LPERR09G00800 transcript:LPERR09G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPFFLILLLTVTGAMAEPAHPGYASDADDSTCGVAAAVAVPERREEFDGGRIIDISHYYREDMPGWESADGTGEFLRVVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDIDTLDLAILNGPALLVDVPRDKNITANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLGHVLPGIYTLHCLPLRLRGSEGSPARCILIK >LPERR09G00800.2 pep chromosome:Lperr_V1.4:9:1383074:1386830:1 gene:LPERR09G00800 transcript:LPERR09G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPFFLILLLTVTGAMAEPAHPGYASDADDSTCGVAAAVAVPERREEFDGGRIIDISHYYREDMPGWESADGTGEFLRVVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDIDTLDLAILNANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLGHVLPGIYTLHCLPLRLRGSEGSPARCILIK >LPERR09G00810.1 pep chromosome:Lperr_V1.4:9:1390026:1392416:1 gene:LPERR09G00810 transcript:LPERR09G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAARSLLLPLPSIPIPTRIHTTASSPSPAAELEAGDALHALLSTLPPSLPALLPSLTLLSPTLTPHAVSDALLCAALPAASRLRLFLFSALSPRLRSRPHHSHAVSLLLHLSPDADQAMFDALADARAAGLPASSSAFAALVAAHSSAGRHAEAVQAFCRMDEFDCRPTAFVYNTVLKALVDSGVIILALALYNRMVDAGCAPNRATYNVLMDGLCKRGMAGDALKMFDEMLERGIMPNVKIYTILLSSLCNAGRIEEAVKLLGSMKEKGCLPDEVTYNAFLSGLCKVGRVNEAFERLVMLQDGGFALGLKGYSCLIDGLFQARRFDEAFGYYKAMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDIMRNKGFMPDTFCYNTLLKVLCDLGDLERAHALRSEMLQNNLALDSTTQTIMICGLCKQQLVDEAMQIFDEMGELGCSPTVMTYNALIDGFYRVGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVKDSESLRKMVHDMCQSGQVLKAYKLLQGIIHSGVVPDVVTYNTLINGLCKVRNLDGAVRLFKELQLKGISPDEVTYGTLIDGLLKAHRNDDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMRKLSQAINLWLDYLPKKYNLSVENELLANARKKIEDDSLDDGVRELIKIDQEHGSINSNPYTIWLIGLCQVRRSDDILRIIRTLQEFGIDITPACCAHLINYLCWDRNLNAAIDVMLYALSKHIILSQPVGNRLLRWLCISYRRRDAQTLAWRMHLVGYDMDVYLREPTKNLLYSQ >LPERR09G00820.1 pep chromosome:Lperr_V1.4:9:1399109:1401475:-1 gene:LPERR09G00820 transcript:LPERR09G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIPLLLLAAVVMTVPAPASALNQDGVLLLSFKFSLAADPLGSLAGWGYSDDTPCAWNGVVCISFPSPETARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPAELLRAPELRVLSLAGNGITGALSEQIGQLRSLRALNLAGNAFSGSIPANLTILPNLTAVSLANNFFSGELPTGGFPALQVLDVSSNLLNGTLPSDFGSAALRYVNLSSNRLAGAIPPEMATRMPANVTIDLSYNNLTGAIPTVAPFAVQRPTAFAGNAELCGRPLDSLCAFAVADVPPNGSTAKSPPAIAAIPTNPTEALPVGDGEAAGAPPESKGRMRMATIIGIAAGDVAGIGVLLVVFMYVYQVRKKRQNEEAAARQRMGVVFKKPEPDESSPDGGIGRSLSCCLRKKNPGDESDVSTEMVTDTSSSPSKECIKPDEKHPSTESKKKKDGGGAVLVTVDGEAELEMETLLKASAYILGAGGSSIVYKAVLADGVLAVRRIGSEDAGVRRFSELDAHMRAIARIRHGNVLRLRGFYWGPDEMLLIHDFAANGSLANHLGYIKRKQSSSPSPVVLGWSARLRIARGVARGLAFVHEKKFVHGNVRPSNILLDADMEPLLADLGIHRLLLARAGAGAGETAAKSKRSAKSLPDLSPPPGGASPMAAAAACGSGDTAAQYQAPEGLKNTSKANAKWDVYSFGMVLIELVAGRALSSLELCQWSSASAGADEQVFRLADAALRGEMAGREDALATCLRLGFACCAMAPNKRPSMKEVLAAMDRIPSPSSSSSAP >LPERR09G00830.1 pep chromosome:Lperr_V1.4:9:1406435:1420205:-1 gene:LPERR09G00830 transcript:LPERR09G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSCLLAAVRPASPSSSALLRHRHRHALPLSTTRRPLPLTLPPHRLRLSAATSSSPPPEDPDDDYEEIVTLYAPLMKLAHSIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLKIMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFCVGLGALLFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILLSVGSLESAGILRQLANYLDANIPNSDLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDSDFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >LPERR09G00850.1 pep chromosome:Lperr_V1.4:9:1431366:1437449:-1 gene:LPERR09G00850 transcript:LPERR09G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPDAAAAVSSSAEDLAGELSAATISKKQLKKDAKKAEKAGKAAQRQQQQQQTQEADADDPFAANYGDVPVEEIQSKTISGRVWTGIGDLDEAAAGRAVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEFEKAEQAGEKLVRVGQDTRLNFRAIDLRTPANQAIFRIQCQVENKFRDFFLSKNFVGIHSPKLISGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHTPELLTKRATECGIDASTIASYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >LPERR09G00860.1 pep chromosome:Lperr_V1.4:9:1437485:1438550:-1 gene:LPERR09G00860 transcript:LPERR09G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPDATAAVSSSAEDLAGELSVATISKKQLKKDAKKAEKAGKAAQQQAQSSLIRASDP >LPERR09G00870.1 pep chromosome:Lperr_V1.4:9:1452770:1453095:-1 gene:LPERR09G00870 transcript:LPERR09G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNATTTNDDSKSKEEDAPAAAHGGGGEPATVTKTVHTVEVKESAGQDQVLKPTKVVHQIPADQAKETAKQD >LPERR09G00880.1 pep chromosome:Lperr_V1.4:9:1457224:1468156:1 gene:LPERR09G00880 transcript:LPERR09G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGTARSAPPLFGPYLRRIVKKQRISGLGMILPLLYGESASHAALTITSVVFVHFLFAGIVLATLCWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFR >LPERR09G00880.2 pep chromosome:Lperr_V1.4:9:1457224:1467772:1 gene:LPERR09G00880 transcript:LPERR09G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGTARSAPPLFGPYLRRIVKKQRISGLGMILPLLYGESASHAALTITSVVFVHFLFAGIVLATLCWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFR >LPERR09G00880.3 pep chromosome:Lperr_V1.4:9:1457224:1466783:1 gene:LPERR09G00880 transcript:LPERR09G00880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKPRGSSERERKKKKKKREKIQRRDESKQAKKRQTDLRRGCICLPLPVLDPDSRRGSLRRRRCRGDDDYDAADHGIQGPRHRALRAPALRPLPPPHRQGMERVLHMLL >LPERR09G00890.1 pep chromosome:Lperr_V1.4:9:1490502:1491503:1 gene:LPERR09G00890 transcript:LPERR09G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEFWGIWRLTVQLKGVGEVHGAATALEMPGNTTNEMATRERLDQRRRNKANESRSGLRNKASTLFGEGKMSGAHRTWRNKANGSRSGLRKKARVLHVWSADGADS >LPERR09G00900.1 pep chromosome:Lperr_V1.4:9:1553755:1553982:-1 gene:LPERR09G00900 transcript:LPERR09G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHSVVEAASRRGDWLIVGTQQLGKGAGAVPQSGHQWHVAAAKSERRGAIPRAEEMSDACGAQVPSWLRRMIG >LPERR09G00910.1 pep chromosome:Lperr_V1.4:9:1561182:1562003:1 gene:LPERR09G00910 transcript:LPERR09G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRGGGEVDRIKGPWSPEEDTALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKHTNSSDDDHLLLPRPLKRTTSSGSPSGSDLSDSSHHSLPSHMPSSPPHQLLYRPLARAGGVVVPPPPATSLSLSLSLPGLDHQQPQPDPSPSESAVPLPTTPASQMPPPPPFSAEFLAMMQEMIRIEVRNYMSGSGVDPRSPPDAVRAASRIMGMAKIE >LPERR09G00920.1 pep chromosome:Lperr_V1.4:9:1570275:1570886:1 gene:LPERR09G00920 transcript:LPERR09G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCPKAWRCLCASVVMYARSVFPKTTPTPTEEGSSSATTMSTTLPRTSSGATIISRRKKRSHARPLKRRWVGRTSRGNERKRKPVKPTVRGSVKGFARQKLLDPRRYAWENSPDVLSSM >LPERR09G00930.1 pep chromosome:Lperr_V1.4:9:1610317:1612375:-1 gene:LPERR09G00930 transcript:LPERR09G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLVPISPPPKAPSGATSKELMVVDGTGKTSGGVKLREDEEDLEVKLRRIMENIPVRVSNTSGSSAGSGSGDFHQYRQMRRREHDRLARMDADYQKRKEVAEFELRREERVQAAEERTAKKRLKRQKKKQRKKEKRAKTSNGGEEPNRGDSSGGDEDSEDEDKP >LPERR09G00930.2 pep chromosome:Lperr_V1.4:9:1610317:1612354:-1 gene:LPERR09G00930 transcript:LPERR09G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLVPISPPPKAPSGATSKELMVVDGTGKTSGGVKLREDEEDLEVKLRRIMENIPVRVSNTSGSSAGSGSGDFHQYRQMRRREHDRLARMDADYQKRKEVAEFELRREERVQAAEERTAKKRLKRQKKKQRKKEKRAKTSNGGEEPNRGDSSGGDEDSEDEDKP >LPERR09G00940.1 pep chromosome:Lperr_V1.4:9:1613763:1615859:1 gene:LPERR09G00940 transcript:LPERR09G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKDVTESKCFLPMGLQGHPKLFRIVISSCPEFPTSTLFLEEACAKSTPTHARHRHPLSARIALPIRRRLLAHRHNLTDPPARAPSTICRLLTDLPASPSPHPIVTPSPIHRHAPPRPSAIGAAASSPICRRRHHSLANPLPTPFPHTTASDPAAADPSSPRTPFPRADAADPSCTGSCDPLLLHNFHVY >LPERR09G00950.1 pep chromosome:Lperr_V1.4:9:1640045:1641166:-1 gene:LPERR09G00950 transcript:LPERR09G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAASAAAASAPSASAPPSSAGAASSDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKDLDMDDDIEAGIRAVSQANAQAKLDEFRKKTSGGKDADQGSGEEDEDDEEDSDDSSDSDVDPELERIIAERERAKNGRKQSRDEEKTSRHRSSTRGRSKHRRSSKRSDTEDDLEEERSKDKKKKSRRKRCERSEEDSESDSGKKRHRKSRKDRKRSRNHRRSDDSSDEDESGGEERRRHRHHKRRHHHRRDASDGDSGSGGDDSADDRKRSRRRRRHRKSESSGSDGDERHGQGAKYCKEKRGKE >LPERR09G00960.1 pep chromosome:Lperr_V1.4:9:1643179:1647086:1 gene:LPERR09G00960 transcript:LPERR09G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLTLKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYCCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEEQPMNVDEPNGREKHDHYRGRSPTKERDRDRKHERHHRDRDYDRDRDYGRGRERDRDRDCERDRDRDRDRDRDRHRIRDEDYSRDRDRERDRDGRERERRDRDRGRRRSRSRSRSRDRRERDREDGEYRRRRGRGSVSPRGRAEDGGSRDEPKKRKEKKEKKGDGNAPDPTDPEIIEMNKLRASLGLKPLK >LPERR09G00960.2 pep chromosome:Lperr_V1.4:9:1643130:1647086:1 gene:LPERR09G00960 transcript:LPERR09G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLTLKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYCCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEEQPMNVDEPNGREKHDHYRGRSPTKERDRDRKHERHHRDRDYDRDRDYGRGRERDRDRDCERDRDRDRDRDRDRHRIRDEDYSRDRDRERDRDGRERERRDRDRGRRRSRSRSRSRDRRERDREDGEYRRRRGRGSVSPRGRAEDGGSRDEPKKRKEKKEKKGDGNAPDPTDPEIIEMNKLRASLGLKPLK >LPERR09G00970.1 pep chromosome:Lperr_V1.4:9:1648970:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLSRNQLSTSMLHAIFREKTADALADGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.2 pep chromosome:Lperr_V1.4:9:1648970:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLSRNQLSTSMLHAIFREKTADALADGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMVIPDISVAIHRLQSSDVDPYFSDLEKLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.3 pep chromosome:Lperr_V1.4:9:1648970:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.4 pep chromosome:Lperr_V1.4:9:1648968:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLSRNQLSTSMLHAIFREKTADALADGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMVIPDISVAIHRLQSSDVDPYFSDLEKLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.5 pep chromosome:Lperr_V1.4:9:1648970:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMVIPDISVAIHRLQSSDVDPYFSDLEKLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.6 pep chromosome:Lperr_V1.4:9:1648968:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMVIPDISVAIHRLQSSDVDPYFSDLEKLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00970.7 pep chromosome:Lperr_V1.4:9:1648970:1654254:-1 gene:LPERR09G00970 transcript:LPERR09G00970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLRRRAAAALACVAPKPQWLSTAARHGALGGEAGERSKSPWVQLPPFAPFDTAAAARAIARGGGGGGGGNGEGGAVADSTAIKWVRRCCPQLPTSLVQKLFRLRKVKKNVVTAETSSADVSAEQHRLKRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRSLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLSRNQLSTSMLHAIFREKTADALADGTQQVLQRKYVALVIGTPTHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPHEVLGTPIVGDYKYGRQAHDNWMPLPLPRTIDEELLRRRKLPFGLVVGGGSIAEEQPQLHLHCKQMVIPDISVAIHRLQSSDVDPYFSDLEKLNFVAPLPLHMRLSWEILKSVKI >LPERR09G00980.1 pep chromosome:Lperr_V1.4:9:1686671:1690246:1 gene:LPERR09G00980 transcript:LPERR09G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILARPSQSLPAASSDGDEDSSSTDNEVEQQSTTMSLSLRPPPPPPPTRPQGEGEEEEEESSSEGEDLELESSEELVAHGTPTKKSLVVASPPPQNERKVSDSDSDEVEDQESSDSEEAPPVPALQAKKKAPPSSSDDEVDQGSDSEEAPPVPAPQPEKNASPELNGKRKTPPPPEAGESPQRKRKVLQAEVTTPAQDDIHQGRNRGGSTDVEKQFKEKAAFYFHLGKEVSALDEEHPDLFKEAFLKLSDDKASAMDNKIKKLRLTEVTVSMRRQGLEKDVIKLLLDLLKSS >LPERR09G00990.1 pep chromosome:Lperr_V1.4:9:1693147:1699379:-1 gene:LPERR09G00990 transcript:LPERR09G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGEDGLIRPVKRPRYLAESYIRSDEVSCEKVISNVERRFGFQCDDYSHHIVQDGVELFRLQKGSDGSLSPQGLGDMQLTINKLSNEALQSVANIVTHNRVSFKKTRPVMKKIIEDHLPQYLANLGDENDISQLSHILTNPFSYRSDSVNITTPISPKMLSSINQALVGLHDLTIQALVAMRRKLDGLSSTPKFNFVYRVNRKMSLVTAINKQCNEIISKVGENGNLPKNLAKALSVTSLYRKQELKRMDISQAEFFPFSRKAIFLQNDVLNAIWSIQKLKKRDLNLLRPILHQGSKDKMLFNTVRRYLMDCLFECDEGDLPDEALRTISFCNRMSLCSKIELTEQRNDVEVEAVLNVSSSFRALAYHCTGGQTDDDQLINSRSECQSDEELMSLGCDNYSDGNDFVLMESYYKFGHKQQKIDEVCSNSMANPVDVRGLFSCGTGSNMKEPAFHEVVGANEVEISRSSVGLSEICDETASLAHKFVGKILENMLLAENMGNELARCSLDGSTSHVPQQGEKNQKSDIVIKAIENVLPSLSKRLSGGWQAVTQMRDLPFEEQILVGIEVELPVHVPRMDAPSPETIRHQKLT >LPERR09G01010.1 pep chromosome:Lperr_V1.4:9:1746822:1752340:-1 gene:LPERR09G01010 transcript:LPERR09G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGALPVILLLLVVSCCRRISGAFPAADALRRVAPRAGGLCEQLLLPLRYPCTEHTVETKDGFLLSLQHIPYGKNKANDSTGPPVFLQHGLFQGGDTWFINSPEQSLGYILADNGFDVWIGNVRGTRWSKGHTTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDNVSARFVLRAVAMHFDQMLLTMGIHQLNFRSNIGVQIVDSLCDGEHVDCNNLLAAITVIRKGTFAKYDYGLLGNLRHYGLLRPPAFDLSSIPESLPVWMGYGGLDALADVTDVQRTIKELRSTPELLYVGDYGHIDFVMSVKAKNDVYVDLIRFLRENGWHSSY >LPERR09G01010.2 pep chromosome:Lperr_V1.4:9:1746822:1752340:-1 gene:LPERR09G01010 transcript:LPERR09G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGALPVILLLLVVSCCRRISGAFPAADALRRVAPRAGGLCEQLLLPLRYPCTEHTVETKDGFLLSLQHIPYGKNKANDSTGPPVFLQHGLFQGGDTWFINSPEQSLGYILADNGFDVWIGNVRGTRWSKGHTTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDNVSARFVLRAVAMHFDQMLLTMGIHQLNFRRKGTFAKYDYGLLGNLRHYGLLRPPAFDLSSIPESLPVWMGYGGLDALADVTDVQRTIKELRSTPELLYVGDYGHIDFVMSVKAKNDVYVDLIRFLRENGWHSSY >LPERR09G01010.3 pep chromosome:Lperr_V1.4:9:1746822:1752340:-1 gene:LPERR09G01010 transcript:LPERR09G01010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGALPVILLLLVVSCCRRISGAFPAADALRRVAPRAGGLCEQLLLPLRYPCTEHTVETKDGFLLSLQHIPYGKNKANDSTGPPVFLQHGLFQGGDTWFINSPEQSLGYILADNGFDVWIGNVRGTRWSKGHTTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDNVSARFVLRAVAMHFDQGKTVASIHQGLIIIWMIRKGTFAKYDYGLLGNLRHYGLLRPPAFDLSSIPESLPVWMGYGGLDALADVTDVQRTIKELRSTPELLYVGDYGHIDFVMSVKAKNDVYVDLIRFLRENGWHSSY >LPERR09G01020.1 pep chromosome:Lperr_V1.4:9:1761465:1773861:1 gene:LPERR09G01020 transcript:LPERR09G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDGDRFIEMVSAGSLYLSGEWERKYWSCSRGKDRYPYPVGYQAVRHFSGISYTMEIQQGPRGPVFKVTSTQGDSSTGPTPDIAWKNFQKKTAPKVRDWQRNRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVELNLSHPVTSDADSPFTRKVEAAVSDGYEDLPVSLNKTDGSSKRSMRPSQEERTAKRVHYQDISTSADKCNNVLDIAADEGSNKDATGSRCMSSLLEERPCNSKHTLVDENRGESVADSPEQVGLSSSSYLSSQKSDLDPSEREVVMSMMSILLPQAIPLLEKTRRKKKVKHKKNEEYTILTRTASTENPSAAGCQGAAVSTSVCEGTDIITSQTYSHEKSLCEMVKHCCDNGDRMSDGPAFKADGMNAVVADSFEGDEQVLCDNTSKSMGADHHNYDDACSKESYDHSRLPYDSKEDHDGRSECQMRIDDGTNTPDVIYDNEKGQYVLSEALLACLEEEFGEKDNSYPANHNETNVGRTEDKQHFEDSRSGITDDSSISVDVSDKSNFRSGLIDGYAQASAKSRTGVSRDEESLINFLQSPVHSNNAHNNNQKMAGKFDDTEFVDKYVAFESLGCPVDVGTKTSESNHPLGRQNECQFGCRNLNENTVVSIGCGSNICGHVPPKDEHALPEINRLNGPLCRHKETSPRSSNLHLDHMGCYLHPMPVLSIILKTQNNSSLLIYVLCGLLESCERFLYVYNVIPMDQKETAPNFVGYTPLLLSSLERSCLRNLSFERSGLQFTPNGQFLVLLGSYVSLLTKLMAYGTLSCILICEPNYIVTVENGRQLHIWMMAAGWRIISEEHVIPSFSNGGHSILELRRMPKSSTLIIGHDGAGRFFLWDISKRTVLTTFTAPGIIVFQILPEDIILASVTDMERRLQEITVTGVFRKADKDSILSPGKDTAIWILISSASVADYQSDLRAKEHNPRWRLALLANETVFMGSILDPRATAVDVCGNHGFTGTHGGLLYAWELSSGRKLAAAQCFNRGRVSCVAVDAKSGVVAVADDGCQLLLCSQNKVLSNARAEGNMCRRK >LPERR09G01020.2 pep chromosome:Lperr_V1.4:9:1761465:1773861:1 gene:LPERR09G01020 transcript:LPERR09G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDGDRFIEMVSAGSLYLSGEWERKYWSCSRGKDRYPYPVGYQAVRHFSGISYTMEIQQGPRGPVFKVTSTQGDSSTGPTPDIAWKNFQKKTAPKVRDWQRNRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVELNLSHPVTSDADSPFTRKVEAAVSDGYEDLPVSLNKTDGSSKRSMRPSQEERTAKRVHYQDISTSADKCNNVLDIAADEGSNKDATGSRCMSSLLEERPCNSKHTLVDENRGESVADSPEQVGLSSSSYLSSQKSDLDPSEREVVMSMMSILLPQAIPLLEKTRRKKKVKHKKNEEYTILTRTASTENPSAAGCQGAAVSTSVCEGTDIITSQTYSHEKSLCEMVKHCCDNGDRMSDGPAFKADGMNAVVADSFEGDEQVLCDNTSKSMGADHHNYDDACSKESYDHSRLPYDSKEDHDGRSECQMRIDDGTNTPDVIYDNEKGQYVLSEALLACLEEEFGEKDNSYPANHNETNVGRTEDKQHFEDSRSGITDDSSISVDVSDKSNFRSGLIDGYAQASAKSRTGVSRDEESLINFLQSPVHSNNAHNNNQKMAGKFDDTEFVDKYVAFESLGTENHSKYGTKKVNTVTGCPVDVGTKTSESNHPLGRQNECQFGCRNLNENTVVSIGCGSNICGHVPPKDEHALPEINRLNGPLCRHKETSPRSSNLHLDHMGCYLHPMPVLSIILKTQNNSSLLIYVLCGLLESCERFLYVYNVIPMDQKETAPNFVGYTPLLLSSLERSCLRNLSFERSGLQFTPNGQFLVLLGSYVSLLTKLMAYGTLSCILICEPNYIVTVENGRQLHIWMMAAGWRIISEEHVIPSFSNGGHSILELRRMPKSSTLIIGHDGAGRFFLWDISKRTVLTTFTAPGIIVFQILPEDIILASVTDMERRLQEITVTGVFRKADKDSILSPGKDTAIWILISSASVADYQSDLRAKEHNPRWRLALLANETVFMGSILDPRATAVDVCGNHGFTGTHGGLLYAWELSSGRKLAAAQCFNRGRVSCVAVDAKSGVVAVADDGCQLLLCSQNKVLSNARAEGNMCRRK >LPERR09G01020.3 pep chromosome:Lperr_V1.4:9:1761465:1773861:1 gene:LPERR09G01020 transcript:LPERR09G01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDGDRFIEMVSAGSLYLSGEWERKYWSCSRGKDRYPYPVGYQAVRHFSGISYTMEIQQGPRGPVFKVTSTQGDSSTGPTPDIAWKNFQKKTAPKVRDWQRNRSFPQKIDGVEAAVSDGYEDLPVSLNKTDGSSKRSMRPSQEERTAKRVHYQDISTSADKCNNVLDIAADEGSNKDATGSRCMSSLLEERPCNSKHTLVDENRGESVADSPEQVGLSSSSYLSSQKSDLDPSEREVVMSMMSILLPQAIPLLEKTRRKKKVKHKKNEEYTILTRTASTENPSAAGCQAVSTSVCEGTDIITSQTYSHEKSLCEMVKHCCDNGDRMSDGPAFKADGMNAVVADSFEGDEQVLCDNTSKSMGADHHNYDDACSKESYDHSRLPYDSKEDHDGRSECQMRIDDGTNTPDVIYDNEKGQYVLSEALLACLEEEFGEKDNSYPANHNETNVGRTEDKQHFEDSRSGITDDSSISVDVSDKSNFRSGLIDGYAQASAKSRTGVSRDEESLINFLQSPVHSNNAHNNNQKMAGKFDDTEFVDKYVAFESLGTENHSKYGTKKVNTVTGCPVDVGTKTSESNHPLGRQNECQFGCRNLNENTVVSIGCGSNICGHVPPKDEHALPEINRLNGPLCRHKETSPRSSNLHLDHMGCYLHPMPVLSIILKTQNNSSLLIYVLCGLLESCERFLYVYNVIPMDQKETAPNFVGYTPLLLSSLERSCLRNLSFERSGLQFTPNGQFLVLLGSYVSLLTKLMAYGTLSCILICEPNYIVTVENGRQLHIWMMAAGWRIISEEHVIPSFSNGGHSILELRRMPKSSTLIIGHDGAGRFFLWDISKRTVLTTFTAPGIIVFQILPEDIILASVTDMERRLQEITVTGVFRKADKDSILSPGKDTAIWILISSASVADYQSDLRAKEHNPRWRLALLANETVFMGSILDPRATAVDVCGNHGFTGTHGGLLYAWELSSGRKLAAAQCFNRGRVSCVAVDAKSGVVAVADDGCQLLLCSQNKVLSNARAEGNMCRRK >LPERR09G01030.1 pep chromosome:Lperr_V1.4:9:1781242:1781589:1 gene:LPERR09G01030 transcript:LPERR09G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKSSDACGDSFMELDDELCTFWRHTCTASCTRTKHHPIPIMSIMPDLESSRSANLDMATFVFLVQVLESLNST >LPERR09G01030.2 pep chromosome:Lperr_V1.4:9:1779103:1782806:1 gene:LPERR09G01030 transcript:LPERR09G01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWRGMMAINVHIAAVLMVSLVLAATVAAHVDVGEVGEYLQKRSQESRLRNHGGPLHDLVNTATRFHEGLLHRTKSRSTLDVEAHTQKRSTPKAEEASSVESADDHQIVQEQNSIQL >LPERR09G01040.1 pep chromosome:Lperr_V1.4:9:1779956:1791428:-1 gene:LPERR09G01040 transcript:LPERR09G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELSAVGGRPVRVIPLRHPQERSPPSPPSWWSVAVEKARAMGPRDWAEAALPCLSWMRSYRWEEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGSIVDSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSITRSSKIIPLIESIIGGIDQFSWPPFVMGSSFLAILLTMKNLGKSNKRLRFLRASGPLTAVVLGTIFVKVFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAILITGVAILLFGLGIANVCGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQARLAITVTCALAAIVISAVTGLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVSRVYFVILEMSPVTYIDSSAVQALKDLYQEYKSRHIQIAIANPNRQVHLLLSRSGMIDMIGIGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASEDLAVSITTPKVQQRYSFLKKLWKSQDESGSTGYRKSRPKHKAQTQRVDVLKE >LPERR09G01040.2 pep chromosome:Lperr_V1.4:9:1779956:1791428:-1 gene:LPERR09G01040 transcript:LPERR09G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELSAVGGRPVRVIPLRHPQERSPPSPPSWWSVAVEKARAMGPRDWAEAALPCLSWMRSYRWEEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGSIVDSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSITRSSKIIPLIESIIGGIDQFSWPPFVMGSSFLAILLTMKNLGKSNKRLRFLRASGPLTAVVLGTIFVKVFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAILITGVAILLFGLGIANCALAAIVISAVTGLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVSRVYFVILEMSPVTYIDSSAVQALKDLYQEYKSRHIQIAIANPNRQVHLLLSRSGMIDMIGIGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASEDLAVSITTPKVQQRYSFLKKLWKSQDESGSTGYRKSRPKHKAQTQRVDVLKE >LPERR09G01050.1 pep chromosome:Lperr_V1.4:9:1794095:1800716:-1 gene:LPERR09G01050 transcript:LPERR09G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDIWVNDYRFRVLRRLGDAGPAGSSVFLVKEVVAAAAASSDGTDGAGPGAAGLAKKKGVDPSHISADGTYALKKVLIQNDQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGLQNHEAYLLFPVHLDGTLQDINKSMLEKKEYFPTITILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQEEALQLQEWASEHCSAHYRAPELWESPSHTDIDERTDIWSLGCTLYAMMYGKSPFDYELDEAADESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYST >LPERR09G01060.1 pep chromosome:Lperr_V1.4:9:1830045:1831766:1 gene:LPERR09G01060 transcript:LPERR09G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNRQDEGKAAASSQIHPTTTATATTKRCGMNDNGEDGRTQDPFLVQMRCCFLSLVVTGRSWAGDGCKESTDDGCDVAGVATEHRRTRVDLFLAVLTMQGRLPPEPIPPSSRARWPLHHWHAASPIPIRRMLNLASWVWIADAGHGCQQDR >LPERR09G01070.1 pep chromosome:Lperr_V1.4:9:1845482:1850773:1 gene:LPERR09G01070 transcript:LPERR09G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGAVKLREAHKAGSPAFCSVAWGPGGQHLVTACAADVAILIHDAATAGGRGSVSTIRLHKDGVTALAVAPGSGSSSLASGSIDHSVKFYSFPDGVFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGMSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINDALCWSPDGQFIAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCTLCWSPNGRYLVTAGLDRQVLIWDVKSKQDIERQKFNDKICSLAWKPEGNAVALIDVTGKFGIWESVVPSTMKSPTEGAPDLNSNKVPLFDDEEDEEKPTTSGGLEVDFDESLGESGPFNHKRLRRASTYHDHSNGDSEDEDLILQMESRKRIKDTHRDNKGVADKPRGDSATSGRLVTARMQAAFQPGSTQPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKVVAVGDRWVAAITSLNSLHIFTEGGLQMHILSVSGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLTPSSQLSWFGFSENGELSSFDSMGILRVFSAQFGGSWIPIFSSTKARKSEDESHWVVGLDANNIFCILCKSPESHPQVMPKPVLTILELSFPLASSDLGANSLENEFMMRKLHLSQIQKKIEEMAVLGLDTTALDDEAFNMEAALDRCILRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEERMLNDPKNAKTTGVFSNTNTKYSASPALSTEAVPPAKVVQNGNSLKLPTLPKLNPAVQQSPAGSNKVEIEQANSLKEISPKVSSVQTPLSKISTYSEKVALKTMKDKDGASHAVDQNPKGGVDQVGLKTKSVKDCSGIQPQRPVNPFAKSSSSKEQPSSLFDSIKKMKIENEKVDKADSKKVKV >LPERR09G01080.1 pep chromosome:Lperr_V1.4:9:1852185:1852802:-1 gene:LPERR09G01080 transcript:LPERR09G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRSAWTATCSSPYVFSSRTGEWALFDLTADLYHFNNSDAMRAGRFVYWRSNSKKQCKNEEQILLLDIATMGGQSPWRRSQQGSHIGSPTWRITAGCASCPARSNAFSSGSAVTMDGYSTRRSHCWISSPTYLKKLRREEWMKRVRVLTAKAGYVYMEFWSIRKPNLYLLVLNLNTMKLEIFRNDSDEPFRVLHFHSSCVWNL >LPERR09G01090.1 pep chromosome:Lperr_V1.4:9:1853030:1858162:-1 gene:LPERR09G01090 transcript:LPERR09G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAQKANSPIEEATVCERERGKVACVRSEGGSTTKKPMSSTAIVAVGEELLHEILLRLPNMASLLNAALACKHWRRAASDPAILRRFLPPLVGFILTDRGGMPVPHHCPNLLFVRATARKPNLESATADCDIFFQDLPDNDEWRLRGSDGGRLLLSRGRDSLHLAVYDPFARTSVFFRASQSFRCSFHRVRYAIVADDADASFRVVGFLEDGMSAAVFSSGTGKWSLFDFDTMDDWCYRYFESDGMHAGRFVYWRSNTKNVKSVPRILLLDLTTMEWTVTMAPFAAGESYCVADMAEHGGLCLLSSQEQNLELWVRSSSNGGWLRKKKVSLLDQFACLKKLRREEWMKRVRVLAAKAGYVYMEFWSIRKPNSYLLVLNLNTMKLEIFRNDSDEPFRGPAFPFFLRLAPLTAAGLDDANDLHDWSILLSLVDRDAEVLSVEAGGKATFVGVLSTVPDCKRWRRAASDPAIVRRFLPLRRPPLVGFILSDRVDSVPYRCPQSLLCQHHHRQ >LPERR09G01100.1 pep chromosome:Lperr_V1.4:9:1869955:1874302:1 gene:LPERR09G01100 transcript:LPERR09G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHSQIAIPVYQFAAYATTRKIAAIVEWNIEITCHLIRWKVYLRLLPCIVISVLEATATAARRRSPLQQLAISSSLVYGDDGWPFLEEDSYCVVQETLFEKQEHQEQLQLQQQVEKEEEQEEEEPQPQLEPLQQIELEEEAPQMEEQSQLQLLQKKRSLRVICNVLAKILSTTHVPPHPIRYNPIWLFFFCFP >LPERR09G01100.2 pep chromosome:Lperr_V1.4:9:1869955:1872396:1 gene:LPERR09G01100 transcript:LPERR09G01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHSQIAIPVYQFAAYATTRKIAAIVEWNIEITCHLIRWKVYLRLLPCIVISVLEATATAARRRSPLQQLAISSSLVYGDDGWPFLEEDSYCVVQETLFEKQEHQEQLQLQQQVEKEEEQEEEEPQPQLEPLQQIELEEEAPQMEEQSQLQLLQKKRSLRWSPFF >LPERR09G01110.1 pep chromosome:Lperr_V1.4:9:1893712:1895101:1 gene:LPERR09G01110 transcript:LPERR09G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPAEDAYKKLLAEKLLNNGSRILSFRNKPPDPEGMLQQILSETLTSSQTRTSRQRRHIPQSSERTLAAPGIVDNFYLNILDWGSKDVVSIALGNTLYLWNSSDRSTMDLVTIEDDDGPITSVSWSCDGQHIAIGLNSSDIQLWDTSSNRLLRTLHGVHRSRAGSLAWNKNILTTGGLDGNIVNNDVRMRSHIVQMYQGHGSEVCGLRWSGSCWQLLTTGANDNLVHIWDLSMAFSNPSLGHNRWLHRFSDHLAAVKALAWCPFQSNLLASGGGADDRCIKFWNTHTGLCLNSVDTVSQDPLSSWKYPSMVKLAELEGHTSRVLCLAQSPDGYTVASIASDETKVLEYFWNS >LPERR09G01120.1 pep chromosome:Lperr_V1.4:9:1899333:1909259:1 gene:LPERR09G01120 transcript:LPERR09G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPIEDDPPRGGGRKPPAVVRATARVAGTVCSVCTEEIAVADAVARLPCAHWYHAACISPWLGIRSTCPMCRAELPPNDEAAAAGAREKPRAARAGTSASAGVRRDASYDLLAGGGVLSDHTRRAHPHPNPNRGLYIPNTHARTHLLCSLFSINLAVSLLKSISMDGEMDWIAARFLLSAIMGVHPLVAVDDAAADDEHFPVDEGFSPAVVVPAAVAAPEEVAGVVVCAVCTEEVAARQAVVRLPCAHWYHAGCIGPWLRIRTNCPTCRAELPRAPARLARRVAVLPETAGGRLRREASYTMLAGTLPS >LPERR09G01130.1 pep chromosome:Lperr_V1.4:9:1912687:1916844:1 gene:LPERR09G01130 transcript:LPERR09G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIDAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESLDATEKDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >LPERR09G01140.1 pep chromosome:Lperr_V1.4:9:1917594:1921240:-1 gene:LPERR09G01140 transcript:LPERR09G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKSIPLIDISPLVEKIDDPNMANDKDLLQVVRMLDDACREAGFFYVKGHGIAESLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGQFGDLAKPMEGSNLWPKYPSNFDSLLENYINLLRDLSRKIMRGIALALGGPVDAFEGKTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNKSGEWIYAKPLPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGFAKYEKVVYGEHLVQKVLTNFVM >LPERR09G01140.2 pep chromosome:Lperr_V1.4:9:1917594:1921240:-1 gene:LPERR09G01140 transcript:LPERR09G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKSIPLIDISPLVEKIDDPNMANDKDLLQVVRMLDDACREAGFFYVKGHGIAESLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGQFGDLAKPMEGSNLWYGSNFPPLFVLDIISQSTILFDLPKYPSNFDSLLENYINLLRDLSRKIMRGIALALGGPVDAFEGKTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNKSGEWIYAKPLPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGFAKYEKVVYGEHLVQKVLTNFVM >LPERR09G01140.3 pep chromosome:Lperr_V1.4:9:1917594:1921240:-1 gene:LPERR09G01140 transcript:LPERR09G01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKSIPLIDISPLVEKIDDPNMANDKDLLQVVRMLDDACREAGFFYVKGHGIAESLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDIMRGIALALGGPVDAFEGKTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNKSGEWIYAKPLPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGFAKYEKVVYGEHLVQKVLTNFVM >LPERR09G01150.1 pep chromosome:Lperr_V1.4:9:1926867:1937947:-1 gene:LPERR09G01150 transcript:LPERR09G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATALVFSPPSSPSYSSPPHLTFIPTSRRTLTPNMWRRLPARRLVSALLPSASAPLPHPLHRALLLSSAHLAPSSPPHPWRRQFASSSAAVAAEHVSSEEVDEHEVGREHRRDRRSGRGKHSAEFMAAHGFGYYKYAMLRRRQIQIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLDKTKKKSNKDEDRDEEVGDSDIAKEQERLRKKVTDLMKKQKVRQVRNIVKKQDNSQPWGQDAHAKVGSRLIELMIETALIQSPASQSADGPPDIRPAFTHEMRTVAREHQSSRRYGVIKCDPQVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRVPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLGDLVDRADIALPDKPDTEDEDKLKKWRYSLKAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRQLGKSGLHWLKIHLANLYAGGVDKLSYDGRVAFTENHLEDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLSEALRSPSPETTVSHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPQLKFPPLPERGDFDLKDVLESPYFFN >LPERR09G01150.2 pep chromosome:Lperr_V1.4:9:1926867:1937947:-1 gene:LPERR09G01150 transcript:LPERR09G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATALVFSPPSSPSYSSPPHLTFIPTSRRTLTPNMWRRLPARRLVSALLPSASAPLPHPLHRALLLSSAHLAPSSPPHPWRRQFASSSAAVAAEHVSSEEVDEHVIEEIARGEPSNSQAPAPAPAAGQEVGREHRRDRRSGRGKHSAEFMAAHGFGYYKYAMLRRRQIQIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLDKTKKKSNKDEDRDEEVGDSDIAKEQERLRKKVTDLMKKQKVRQVRNIVKKQDNSQPWGQDAHAKVGSRLIELMIETALIQSPASQSADGPPDIRPAFTHEMRTVAREHQSSRRYGVIKCDPQVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRVPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLGDLVDRADIALPDKPDTEDEDKLKKWRYSLKAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRQLGKSGLHWLKIHLANLYAGGVDKLSYDGRVAFTENHLEDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLSEALRSPSPETTVSHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPQLKFPPLPERGDFDLKDVLESPYFFN >LPERR09G01150.3 pep chromosome:Lperr_V1.4:9:1926867:1937947:-1 gene:LPERR09G01150 transcript:LPERR09G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATALVFSPPSSPSYSSPPHLTFIPTSRRTLTPNMWRRLPARRLVSALLPSASAPLPHPLHRALLLSSAHLAPSSPPHPWRRQFASSSAAVAAEHVSSEEVDEHVIEEIARGEPSNSQAPAPAPAAGQEVGREHRRDRRSGRGKHSAEFMAAHGFGYYKYAMLRRRQIQIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLDKTKKKSNKDEDRDEEVGDSDIAKEQERLRKKVTDLMKKQKVRQVRNIVKKQDNSQPWGQDAHAKVGSRLIELMIETALIQSPASQSADGPPDIRPAFTHEMRTVAREHQSSRRYGVIKCDPQVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRVPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLGDLVDRADIALPDKPDTEDEDKLKKWRYSLKAAKKENSERHSQRGRAYPMHPYLNHLGSDLCRGVLEFSEGRQLGKSGLHWLKIHLANLYAGGVDKLSYDGRVAFTENHLEDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLSEALRSPSPETTVSHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPQLKFPPLPERGDFDLKDVLESPYFFN >LPERR09G01150.4 pep chromosome:Lperr_V1.4:9:1927842:1937947:-1 gene:LPERR09G01150 transcript:LPERR09G01150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATALVFSPPSSPSYSSPPHLTFIPTSRRTLTPNMWRRLPARRLVSALLPSASAPLPHPLHRALLLSSAHLAPSSPPHPWRRQFASSSAAVAAEHVSSEEVDEHEVGREHRRDRRSGRGKHSAEFMAAHGFGYYKYAMLRRRQIQIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLDKTKKKSNKDEDRDEEVGDSDIAKEQERLRKKVTDLMKKQKVRQVRNIVKKQDNSQPWGQDAHAKVGSRLIELMIETALIQSPASQSADGPPDIRPAFTHEMRTVAREHQSSRRYGVIKCDPQVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRVPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLGDLVDRADIALPDKPDTEDEDKLKKWRYSLKAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRQLGKSGLHWLKIHLANLYAGGVDKLSYDGRVAFTENHLEDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLSEALRSPSPETTVSHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGLYFIVF >LPERR09G01150.5 pep chromosome:Lperr_V1.4:9:1926867:1937947:-1 gene:LPERR09G01150 transcript:LPERR09G01150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATALVFSPPSSPSYSSPPHLTFIPTSRRTLTPNMWRRLPARRLVSALLPSASAPLPHPLHRALLLSSAHLAPSSPPHPWRRQFASSSAAVAAEHVSSEEVDEHVIEEIARGEPSNSQAPAPAPAAGQEVGREHRRDRRSGRGKHSAEFMAAHGFGYYKYAMLRRRQIQIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLDKTKKKSNKDEDRDEEVGDSDIAKEQERLRKKVTDLMKKQKVRQVRNIVKKQDNSQPWGQDAHAKVGSRLIELMIETALIQSPASQSADGPPDIRPAFTHEMRTVAREHQYDKGAHLFLPSYVMRTHGARQQREAVKRVPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLGDLVDRADIALPDKPDTEDEDKLKKWRYSLKAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRQLGKSGLHWLKIHLANLYAGGVDKLSYDGRVAFTENHLEDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLSEALRSPSPETTVSHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPQLKFPPLPERGDFDLKDVLESPYFFN >LPERR09G01160.1 pep chromosome:Lperr_V1.4:9:1940605:1942749:1 gene:LPERR09G01160 transcript:LPERR09G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRRVCTAALPPPPPPPRSLRQLRLLPQRAISFSCSSSSPSPPPPPEMEEVPYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVKRFADLSSDETSDLWVTAKDVGVRLEQYHKASSLTFAIQVSAYQDGPEAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRSMEEMAHEANEYRALFS >LPERR09G01170.1 pep chromosome:Lperr_V1.4:9:1943302:1946577:-1 gene:LPERR09G01170 transcript:LPERR09G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQHAVVVVAMIVHVMQLGQYVAGGCEGGVAAVMVFGDSTADTGNNNFIQTIARGDYPPYGRDFAGGVSTGRFSNGRLAADFVSEALGLPPAVPPYLDPGHSIHQLASGVSFASAGTGLDNVTAQILSAMTLAQQIDHFRQYKEKLRWAKGEAAAEHIISQALYIFSVGTSDFLQNYLVFPIRSDKFTLPQYEAYLAGAAAKAVRAVHGLGGRRVKFAGLPPLGCLPVERTINHDRPGDCNEMYNMVALSFNARLKRLVRRLNWELPGARVEYVDQYSILSAIIAKPWEYGFENSVQGCCGTGYVETGILCALNDALACDNADKYVFFDAVHPSERTYKIIADAIVNTTSHVFH >LPERR09G01180.1 pep chromosome:Lperr_V1.4:9:1949330:1966422:-1 gene:LPERR09G01180 transcript:LPERR09G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILLRGTPSKVCSLEPSVVNDVIEQWSSVQVDSERVSPQDKYFCYLKGFNCSNSGDDLQRFRLTLSPECLQQDYVIADNTESLHTASPNGMVSIAQHFAVVHLHCMPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLALEFPCDSSDTMMLCSIARCTDSLPVLFRLKFKFSNHDRVFSGDGVGTVLLQILDEYLQLIQIIFGNSDICCTVQVCILASLLEIFSPERWKYDRSAACLMPPLAYSPHIVQYVVKLLKDTKRWTSRVDKDRPAKDVLSYSCNSEVDGLSCHARSKKVPLLKKYTCEEYIQFIFPSEEKWLDDLVHLIFFLHEEGVKSMPILEKPQLSCTKQVALSELESVASHEEEALFGNLFAEARSTGVADSVEQPVSQGSGPSNSQHGPIQLAADLICFMKMFIFSSEWCTAIYIDACRKFHSNHLEQFLSILQCPAFCSDENTATTSLCEVNSLHINTACFELLQLFLISDECPASLREDLVEKVFNAENGMYTYNNYTLALVARAIISGTSAIYNLGRKVFVQFVGYLLEKVNDKSSSMLDFNNFCKTLPCAFHLEILLVAFHSTTGPEKAELINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLQHILLYPSTHPSWLLMRLRSRMRDILLKEGQSRSMNDYLPSFATEIVECMFSGTVKEYATTSSLFPQMIDVTPAHAGFYFDKPALEALGLNFADLGAAISEILGAWKGRKAEVADDLIVERYLFLICWNTLSGIDYNGGYDGLLNPDFADVKFFIAFALSVCDDASSLLDANLPTVIFGFLKFLHSDVLCGSSVLESWDFPRKGAWLTLILALINTGFWEHRTSEKPDIDLHGKQVVHDVEVFGKGLLKYVRENSGHCLSVLSSLLETYLHSFKETYISFLDKGKLSKDHCFPSWLLKHSLFDKSKHHLLFEKAVSNMGVLEPICDLPSRIDRVATKLGEGRKEYFLLKCLLHGFPLNSASNNSAILSCVLVINEIIHTLNGCIKIIGPDFRDLIDVGVISKLLSMVMAVKSDGMFTSIHKLCDSIFMSLIDHKDDLAGYSDLYVLKQLEGYLADINSEDSMDLGVKDLIVSTVVDLVEDLRSKTDVFKFFLGDAEGAPEGASSLFAPVQADMSIFIDVLDKCQSEQVNLKILNLFTDILGDGHCPDLKQKLQHKFLGMEVSCLSSWLEFRMLGYSRKIESASSTTSGPTTLRELAMDFLVRLTCPSSETLAKELQHHLFDSMLLLLDRAFMSCDLQTAKAHFHFIAQLSTEESHFKQLFEKTLALMETMVGNEGLLHTLKFLFTCVESVFGDAGLNKSSLKRLSSKSCGNSFSSGSLVPKQLKNSDSLVLRTNQESNSAVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVPPPVTSSFQPILPYHEDAEHVADSGSDFEDDVSTEADNCIKLSVPQGFSDELPVFLKNLDIEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGDMPMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLFSVSIRGKLAVGEGDKVAIFDVGQIIGQPSAAPITADKTNVKPLSRNIVRFEIVQIVFNPLVEHYLSVAGYEDCQVLTLNNRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKILTDTVSVKDAVSMHKGLSLYFSSTYRLLFVSHHDGTTFMGRLDADSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGTLACLSKFKSNSPVAVSLSSHELSAQNMRHASGSNAPVVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPIGSDSSATLTAEQTKKLGSSILSSRAYSGTKPEFPLDFFEKTTCITCDVKFSSDTTKSSDSESIKQRLSSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPTEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIIAGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEANVLGGSSAGVKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPNFCTDTIDADMELNNLKCRSLLETLFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSFPAITSRIGVGGAASSWVIKEFIAQIHTVSKVAMHRKSNLASFLETHAMLNAWLCIQMKNLESQWHLRFLTFEYCCILTSSRPVSNVLFFGWLFSLAISSRFLQVPFPKQTMIANDDAPDNPAKASASNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCSICPDFDLCETCYEILDADRLPAPHSKDHPMSAIPIELDTFGGDGNEIQFSVDELTDTSVLQAPADRSIQTSPSSIHVLDTSESVDFHVSMTEQRTVSISASKRAINSLLLGRLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDDINISKPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSNMTLPSTNDDHEKNEFASQLIRACSVLRQQSFLNYLMDILQQLVHVFKSSSVNGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSSEKDKSCKVPNTKDLKLDGYQDVLCSYISNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLSDVAASRPRNWQKFCLKHTDLLPFLMNNCYYFSEECIVQTLKLLNLAFYSGKDANHSAQKSEDIGGSTRTSSQSSDSKKKRKGDDCSEGSSEKSCMDMEQAVGVFSCKDGDVLKRFVDTFLLEWNSTTVRHEAKSVLFGLWYHAKSSFKENMLTTLLQKVKYLPMYGQNIIEYTDLMACLLGKANDSTAKQSDTELLNKCLTSDVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFSQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTNALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHANCRKQLVNAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNGLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSESGEKEAGMGKSSLILQPKNDDAVGHSVTNVSTSKTQSEVSGKVPDGSRRGQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFDLLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLDIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPIYLLILNKAHTQEEFIRGSMTRNPYSSVEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSIPQVYEQVWRKHHGQTQHSLSNATTLSAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVREYGGLEIILSMIQGLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTSTEETGAGEQAKKIVLMFLERLCPPDGSKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPFLRDWSEFDQLQKQHEENPKDETLSRNASMQRSAVENFVRVSESLKTSSCGERLKEIIIEKGITKAAVGHLRESFASAGLASFKTSAEWTAGLKLPSIPLILSMLKGLAKGHLPTQKCLDEEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLGEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGGGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKTPKKEWDGATLRNNETLCNCIFPLRGPAVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLVCCSDFLLFPSLCCYYPYKVHFLVSNIS >LPERR09G01190.1 pep chromosome:Lperr_V1.4:9:1998421:2012360:-1 gene:LPERR09G01190 transcript:LPERR09G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRSESMERDGRGEAWRRHGALRHRRPPRGGLWGRITTSCSSSRRKKEKKKKRKSLSIQYICSIVVVGWRRKRGSGLVVRAEMFGQLMTGLESAWNKLCGTNVLTMENIAEPMRDIRRARSCYVSLPIVRSFTESVIEKAVGTDVIRGVKKEQQLVKLMGGEVSDLIFAETGPAVILLAGLQGVGKTTVCAKLANYLKEMGKSCMLIATDIYRPAAIDQLTILGKLVGVRVYSEGTEAKPSQIAKNGLKEAKSKKTDVITVDTAGRLQVDKAMMSELKEVKRALNPTEVLLVVDAMTIQEAASILTKLDGDSRGGAALSIKEVSGKLIKFVGRERMEDLEPFYPDRMAQQILGMGDVLSFVEKAQQVMNQEDAEELQKKILSANFNFNDFLKKTQAIAQMGSFGRIIGMIPAMNKRKGKEPVLLAESCERRIRVAKESGKTERQGNLQCLGKILSTIHVPPHPIRFFTLCSSRHSYGLQERHPPSPATADTSSCASMAFEILEGQAADGPSLNVATQSEHIWVGRAAMGHKTMRKRENTINYQDGPRGGELVKGLVGVVSVCGLQDRARGSFLTSSSFDYVRNVLKDSHGMLKSGDQDFVDRYG >LPERR09G01190.2 pep chromosome:Lperr_V1.4:9:1998421:2012360:-1 gene:LPERR09G01190 transcript:LPERR09G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRSESMERDGRGEAWRRHGALRHRRPPRGGLWGRITTSCSSSRRKKEKKKKRKSLSIQYICSIVVVGWRRKRGSGLVVRAEMFGQLMTGLESAWNKLCGTNVLTMENIAEPMRDIRRARSCYVSLPIVRSFTESVIEKAVGTDVIRGVKKEQQLVKLMGGEVSDLIFAETGPAVILLAGLQGVGKTTVCAKLANYLKEMGKSCMLIATDIYRPAAIDQLTILGKLVGVRVYSEGTEAKPSQIAKNGLKEAKSKKTDVITVDTAGRLQVDKAMMSELKEVKRALNPTEVLLVVDAMTIQEAASILTKLDGDSRGGAALSIKEVSGKLIKFVGRERMEDLEPFYPDRMAQQILGMGDVLSFVEKAQQVMNQEDAEELQKKILSANFNFNDFLKKTQAIAQMGSFGRIIGMIPAMNKRKGKEPVLLAESCERRIRVAKESGKTERQGNLQCLGKILSTIHVPPHPIRFFTLCSSRHSYGLQERHPPSPATADTSSCASMAFEILEGQAADGPSLNVATQSEHIWVGRAAMGHKTMRKRENTINYQDPNSSFDYVRNVLKDSHGMLKSGDQDFVDRYG >LPERR09G01190.3 pep chromosome:Lperr_V1.4:9:1997187:1998417:-1 gene:LPERR09G01190 transcript:LPERR09G01190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITCLLESLISGLVAFCLVSVEGHLDLRHLGLVGGENALSLLDGGRPTTHILRFSSGSHASTVSSR >LPERR09G01190.4 pep chromosome:Lperr_V1.4:9:1997187:1998417:-1 gene:LPERR09G01190 transcript:LPERR09G01190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITCLLESLISGLRKKFSVAFCLVSVEGHLDLRHLGLVGGENALSLLDGGRPTTHILRFSSGSHASTVSSR >LPERR09G01200.1 pep chromosome:Lperr_V1.4:9:2019592:2027300:1 gene:LPERR09G01200 transcript:LPERR09G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFINGHVRLLKHEHSIIAEDDLDLRWQAATGEDVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDEKPPQGGRPGWAALPNPRIGPWLRLMQTIAVDQGLVPEFEITLEATHHGPITNKPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGFEEENTVGSWEGNGEKVLLGIGGGHYVPRHMDIIIKDGVWVGHLLSGYSLPMEVPSQGNGKSSSEVGGMWKHSIKVSYDATKAAFPGGEVIAHLDQKSFKGWQKNAVMSYLQELNIRIGKPNDFI >LPERR09G01200.2 pep chromosome:Lperr_V1.4:9:2019792:2027300:1 gene:LPERR09G01200 transcript:LPERR09G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPVLSPSYLIPSISNPLRCSSLSMAWNSDEGMESFINGHVRLLKHEHSIIAEDDLDLRWQAATGEDVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDEKPPQGGRPGWAALPNPRIGPWLRLMQTIAVDQGLVPEFEITLEATHHGPITNKPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGFEEENTVGSWEGNGEKVLLGIGGGHYVPRHMDIIIKDGVWVGHLLSGYSLPMEVPSQGNGKSSSEVGGMWKHSIKVSYDATKAAFPGGEVIAHLDQKSFKGWQKNAVMSYLQELNIRIGKPNDFI >LPERR09G01210.1 pep chromosome:Lperr_V1.4:9:2042705:2046632:1 gene:LPERR09G01210 transcript:LPERR09G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCINVVVMVVAAAAMAVTAAEGKSYNITKILAAHPEFSKFNEMLSKTRLAYDINRRQTITVLAVDNSAMASLEHFTLPTIRHILSLHILVDYYGSKKLHSLSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADDTAKPSRFVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANTDVFRAVNETKDNGLTLFCPIDAAVAAFMPSYKNLTFKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATVSEAKKDYSYDVQNKGETVTLDTRVVNSAVTATVGDNEPLAVYAVNKFLKPKELYKVVEAPAPAPEPSKKHKAADDSGDDSSDDSGDAKPHKGDAAPAALLAARWVAGVAAALAGLMLLP >LPERR09G01220.1 pep chromosome:Lperr_V1.4:9:2052307:2054059:-1 gene:LPERR09G01220 transcript:LPERR09G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRFDWVILEIDDVQQLRWRSGQRRTKRGVGRRRRRGVRVGMPPLDLMAHRWRANEMNERELSALKAVIKCIEEHKLDEQYPIDLFQKRVIQLEKAKADKRRAVEAAKLV >LPERR09G01230.1 pep chromosome:Lperr_V1.4:9:2064973:2069652:-1 gene:LPERR09G01230 transcript:LPERR09G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIERNLHMIKGDGDSSYAKNSSMQRKAILATKPMVAEALKGVCMDLQPQLMVVADLGCSSGTNTLLFISKVIATISEKTPTDNNIRGCPMEVQFFLNDLPGNDFNHIFQSLEQFEQSTVQDYARRGLHPPPHYIAGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDSNMNKGNIYIWETTPPLVAKLYLDQFEKDFSRFLQLRCKELVPGGQMVLTVLGRKSNDVVHGGGMMNISLELLSQAVRTLVAEGRVEKEKLDSFNLPMYGPSADELKQLVQQNELLDIVDIHVFDLTYNPIDKSELEVGVNAAPDNIQEAIGHNITTGLRAVMEPLLMSHFGESIIDDLFMVFECNVIRHVKSAEHKSSITAISLSLQTKLVAATGDA >LPERR09G01230.2 pep chromosome:Lperr_V1.4:9:2067575:2069652:-1 gene:LPERR09G01230 transcript:LPERR09G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIERNLHMIKGDGDSSYAKNSSMQRKAILATKPMVAEALKGVCMDLQPQLMVVADLGCSSGTNTLLFISKVIATISEKTPTDNNIRGCPMEVQFFLNDLPGNDFNHIFQSLEQFEQSTVQDYARRGLHPPPHYIAGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDSNMNKGNIYIWETTPPLVAKLYLDQFEKDFSRFLQLRCKELVPGGQMVLTVLGRKSNDVVHGGGMMNISLELLSQAVRTLVAEGRVEKEKLDSFNLPMYGPSADELKQLVQQNELLDIVDIHVFDLTYNPIDKSELEVGVNAAPDNIQEAIGHNITTGLRAVMEPLLMSHFGESIIDDLFMVFECNVIRHVKSAEHKSSITAISLSLQTKVAT >LPERR09G01240.1 pep chromosome:Lperr_V1.4:9:2099179:2106428:1 gene:LPERR09G01240 transcript:LPERR09G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGDEDCPDQPEAAVADLLKDLHLTAEEEEIAAFSDDEDVGDCQVEEWSLVGKVLSPMTIHATAILGAMKAAWGNPAGLKIRSIGSKGDNLFVAEFSFKQDLERAVGGSPWVVGKHAVILREYEEHLKPSEIVFDKMEIWVRILDLPLGWMNQHRGERALGLIGEVKKVDVDRDGKASGPFLRGRVAIDVLKPLRRGVLLRTRKEGAADWFDIQYEKLPFYCLSYGVMGQSQIECDKPLVRNPDGRLPYDAKLRVNDFKKKKLQSFVEAAAETFGSGSSSASEQSRGSASKSGDRRTGMGSRSSKAKGKTIGDDDDEVNSPVKPGMKSQEKESASQLSNTNRQLFQPGDGVSSGDDGVRKHHVPQGRQDRLHLRDADDTVKPSRFVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPVPVNLTELLSKKYCKSFAGLLAANADVFRTVNETKDNGLTLFCPVDAVIAAFMPSYKNLTAKAKTVILLYHAVPDYFSLQLLKSNNGMVTTLATASEAKKDYSYDVQNKGETITLDTRVVNSAVTATVGDNEPLAVYAVNKFLKPKELYKVVEAPAPAPEPSKKHKAADDSGDDSADNSGDAKPHKGDAAPAALLAARWVAGVAAALAGLMLLP >LPERR09G01250.1 pep chromosome:Lperr_V1.4:9:2118550:2121984:-1 gene:LPERR09G01250 transcript:LPERR09G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWRLAMETAWKHMRFFNSWLPLVCLLNLHKMSFVSYFPQSVVVVGYLSFVEYLGCPRTCQVCSGTQEGGIQAALRMVEYGRRTIKNMVAEAEMMGGRIQEERRRADKLKACCTA >LPERR09G01260.1 pep chromosome:Lperr_V1.4:9:2122189:2123049:1 gene:LPERR09G01260 transcript:LPERR09G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGVGDGVSARDDGYVNITSHKGDKIDFISEDADETVKPSRFIKSVKEIPCDISTLSVLSSFEAEAPVPLPAPVNLTKLLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDTAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATTSEAKKDYSYDVKNKGETVTLDTRVVNSVVTATVGDNEPLAVNKFLKPKELYKVVEAPAPAPEPSKKHKAADDSGDDSADDSGDAKPHKGDAAPAALVAARWVAGVVAVIAGLILLP >LPERR09G01270.1 pep chromosome:Lperr_V1.4:9:2123964:2129439:-1 gene:LPERR09G01270 transcript:LPERR09G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAALMESTGSKLQQLQRAFAELESKSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKQQEKEFEETVAKSEQMLVQQEAVVVAKELTSLERLQQKRDAALAVIFGKSKLNLSLPVTHPISKSLSNNAVLSGNLGNVAIKWPKPVTEHGADLKDVNTAVKPRSELVVLCEEMNVNGLHKFISDNRKDLASIREEIPVALRRASDPYGLVLASLENFYFGDNLILDGKKDGNLLGVRRTCLMLMESIGQLRTDSTTGFISEGQVLTASIKERAKKIAFEWKCKLDCLDLEASNGNCLEAHAFLQLLATFGISAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLKEVKSVPHVKTGKMSPALQNEMNERELSALKAVIKCIEEHKLDEQYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSTYAPHASFSEKSFYQAPPQRHSYPYERQYVYGAEAHHHPTMINSAPYGISPAHATYYGNGYQVQYQEWKGIPFQFLYFFYFYVFPILRIKRPYILRQR >LPERR09G01280.1 pep chromosome:Lperr_V1.4:9:2134812:2139594:1 gene:LPERR09G01280 transcript:LPERR09G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKQQEKEFEETVAKSEQMLVQQEAVVVTKELTSLERLQQKRDAALAIIFGKSKLNLSMPVIKPISKSGTNCGVLNGNVGSLAVKWPKPETEHGAYLQDENTAVKPRSELVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRRSSDPYGLVLASLDNFYFGDNLILDGKKDGNLLGVRRTCLMLMESLSQLQTDATTGFISEGQVLTESIKEQAKKIACEWKCKLDSLDFEASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFQPVELLKAYLKEVKTVPHVKTGKISPAVQNEMNERELSALKAIIKCIEEHKLDEQYSIDPLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHVTSFSDKSFYQAAPQRHSYPYERQYVYGAEAHHHPTMVSSAAPYGMSPAHTTYYGNAYQVQYQVPYIH >LPERR09G01280.2 pep chromosome:Lperr_V1.4:9:2134812:2139827:1 gene:LPERR09G01280 transcript:LPERR09G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKQQEKEFEETVAKSEQMLVQQEAVVVTKELTSLERLQQKRDAALAIIFGKSKLNLSMPVIKPISKSGTNCGVLNGNVGSLAVKWPKPETEHGAYLQDENTAVKPRSELVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRRSSDPYGLVLASLDNFYFGDNLILDGKKDGNLLGVRRTCLMLMESLSQLQTDATTGFISEGQVLTESIKEQAKKIACEWKCKLDSLDFEASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFQPVELLKAYLKEVKTVPHVKTGKISPAVQNEMNERELSALKAIIKCIEEHKLDEQYSIDPLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHVTSFSDKSFYQAAPQRHSYPYERQYVYGAEAHHHPTMVSSAAPYGMSPAHTTYYGNAYQVQYQVPYIH >LPERR09G01300.1 pep chromosome:Lperr_V1.4:9:2144586:2145341:1 gene:LPERR09G01300 transcript:LPERR09G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLVTNHNDDDDKNGIFFSFEVLLVTGVTEPRLNAFSSSTGEWAEVPCPAADYNYFIGDMMPLVDDGARANGCMYWLVHYWGLQYEEEHLLVLDTRTKEFSTMNLPWGKRAGYDRNIRVMRSGDDGELRIVALMPYRFVLNFWRPGRSRSSKGRWVKEDVVKFISVDGVGKLLIGGTTELCSMPTLGKYRMIDVGEGFVFFKHHDAPWVFALDLKEMKLHKLPSRKKYTGYALPYRMTLSPPLPNFGHH >LPERR09G01310.1 pep chromosome:Lperr_V1.4:9:2149057:2150953:-1 gene:LPERR09G01310 transcript:LPERR09G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTWVKLEQKGEGPGARSSHAIAMVGDTAYAFGGEFTPRVPVDNTMYAFDLTTQRWSPLAAAGDVPPPRVGVTMASVGATVYMFGGREERHKELGELYAFDTATATWTLLSTGGGDDGPPRRSYHSMVADAGAGKVYVFGGCGEGGRLNDLWAYDVGGGRWEAMPSPGESCKPRGGPGLAVAGGGKVWVVYGFSGEELDDVHCYDTLTGAWCAVETTGEKPSPRSVFCGAGIGKHVVVFGGEVDPSDMGHLGAGKFSGEAFALDTETGEWARLGEEEEGQHPGARGWCAFAAGEVDGRRGMLVYGGNSPTNDRLGDIYFFTPPLPSS >LPERR09G01320.1 pep chromosome:Lperr_V1.4:9:2173249:2174385:-1 gene:LPERR09G01320 transcript:LPERR09G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFHPTPTPAQFPLPDTVSRDSPAFFAPAPLDATAAAANDAARISLDFVPHAKRFTIYDVRHGLVLLLPSPPPTNTIPRLLVLDPASRRRALLPSPPRDAVPDDRWRGNGTRRFIGAALLSRAHPSRLCFEAVCLTVDGDRPRLWLASFRDDAGGDGCTWTAQPRSRDVDVTFDPWWFEGRCVHAAGNIYWHICNSSRLLALDPDAMRLSFLPAPSELGDRFRKYRIGEDEDGRLCIVAMVEQEIQVWVRGDAPWSDNGWVVKREVDMPRALDAVPGMPRDTFSRHMINWVSDIDAAGRSGLVPQHLGLWPLLLSPQDTTDQPPRHRQWLGVWAPHLRLHLGMAPRLPRLSRIFTEFPRYYYILTKDYSFIAHAVLF >LPERR09G01330.1 pep chromosome:Lperr_V1.4:9:2184112:2185669:-1 gene:LPERR09G01330 transcript:LPERR09G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRAVFSAATTEGGCRDDGRCQPDPRHRPCDDGVRQPGQSDPALLDRDAPHHGSTPSLHAALPRRRAWLAGAAGQIRAIEPTTTAVSDLGGRIHTAHAICRPLPFSTATCHNRELMKRSGL >LPERR09G01330.2 pep chromosome:Lperr_V1.4:9:2182586:2185614:-1 gene:LPERR09G01330 transcript:LPERR09G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAASQIRATDPATTAFGNLGSRILPFSTVTPLITAARRPSMPHCRDDGPGWPALPARSAPSNLQRRRSATWAAGSTPHTRSAAPCPSRPRHDSDSLSLRAE >LPERR09G01340.1 pep chromosome:Lperr_V1.4:9:2187390:2191671:1 gene:LPERR09G01340 transcript:LPERR09G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTWSGMSLRVSQCVFAGASVVAMASAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >LPERR09G01350.1 pep chromosome:Lperr_V1.4:9:2194325:2198713:-1 gene:LPERR09G01350 transcript:LPERR09G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRARDDDDDRHHRSRSDHHRRRHDADAEDDHRGHHHHKRNDDERRSRRHRDDGGGDNDERRSRRHRRHSLSPSESPPPSAKRDRSSSRAPRDSVERRDSGDRDEPPPSSRKRKGDEADAEGGKRARASVEPPQPPKEERQRRERRRFEDADANGKHGDERGKGDKDNSTHEKRKGEVAVNGDSRSGLVPNFLLFDRTYKLHRLFLSRISVVYLAGVHQLLNAAPVVVPSSDPVTSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTTSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGGSSADTQISKKETQSVSSSSASELTAVAASSLTDSSVSGVPATAGAVCIPGLANIPNLDAVKRAQELAAKMGFRQDPLFAPLINLFPGTSTEITVPQRPAKAPVLRLDAQGREIDEHGNVINMTKPANLSTLKVNINKQKKEAFQIIKPDLESLAKSTVHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIRNQFGEAQAKELKVKQAQLAKAKAEVDVNPNLIEVAPGRPLKQKQKEEIPEIEPWDAKILLSTTYDDFSMDKVNMEKITIYVEHPEPFEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKERDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMEMEIRTAAAEREQAHVDRNIARRLTPSERREKKERKLFDDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGVVVIADGISVVVVEGGKKSIKRYNKLMLNRIEWAAAVGGDDDADEESDKPVNSCALVWQGSVAKPTFNRFTVHTCRSEAAAKKVFADAKVSHYWDLAANFSEDSS >LPERR09G01360.1 pep chromosome:Lperr_V1.4:9:2201061:2207440:-1 gene:LPERR09G01360 transcript:LPERR09G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTVYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQKSHVNNCSLLVPNIKFNVAKVLQALIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >LPERR09G01360.2 pep chromosome:Lperr_V1.4:9:2201061:2207440:-1 gene:LPERR09G01360 transcript:LPERR09G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTVYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >LPERR09G01370.1 pep chromosome:Lperr_V1.4:9:2209386:2210171:-1 gene:LPERR09G01370 transcript:LPERR09G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDMYTITNTALMTYMSAIITVLKQQVYTLKAWCTGVLTFHVVAVLVWQCACWVACTTTEDGHKIGDGLQPHELHGVATSQHDDNARLLVDCVEGVDAMKPTQELLTRVHLRDISWLGMLFSGIKFGWGSLVFMYYNGFVYVMNGAGRMAPSLELESMPKFRKVFADEL >LPERR09G01390.1 pep chromosome:Lperr_V1.4:9:2215734:2220524:1 gene:LPERR09G01390 transcript:LPERR09G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMTSTTSIPFCPSPMPRLRRCAVRAQAGSTEADASDKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAITLEEIKDATANI >LPERR09G01400.1 pep chromosome:Lperr_V1.4:9:2220174:2221496:-1 gene:LPERR09G01400 transcript:LPERR09G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDSSSTAYSMVPLTTAITAVGVVDGGTPPPPSSVEAAGGGVLIVICQADGCLADLNATTTMSMKYFRRHKVCVAHFKAPVVLVTGHRRRFCQRCSKFHELSRFDDSKRICRRGLAALRARYPY >LPERR09G01410.1 pep chromosome:Lperr_V1.4:9:2224565:2227008:-1 gene:LPERR09G01410 transcript:LPERR09G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSLAWSSVVVVVSLLAGASIVHNIYKPDMQSKTPILSGMLGRNGMSNLMHQSIGRTYLEYILTTVVGLLSVKGSIGEGTPRKGVFGLRGTLERWQTGGGQRQAAAPPRNHGVGRGWWAWSAAGKLAANQLGWAGQQAAAGGRERCSEAAAVPLATEGGEKRVGAKEKGRGADLGEVVGGRRHWRGSLEEDAAAGGSRGPSGPNPFACVRGLAVSVQGDASTRLGDKSNGSVLTLSLPLVVSSGSCALLIS >LPERR09G01420.1 pep chromosome:Lperr_V1.4:9:2227047:2228820:-1 gene:LPERR09G01420 transcript:LPERR09G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKSPVRELDPFDCRRRRLRPRAAPPPGPLAAPSPAPPRHRCSAAGPRRRHRRPQLHHRHGRPSSSSRHLADQISSTPHAVLGNKQAICPSLESRVVSV >LPERR09G01430.1 pep chromosome:Lperr_V1.4:9:2234571:2246148:1 gene:LPERR09G01430 transcript:LPERR09G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMPDLSFSAAVSNVKLQKFRTSLSETSLVKRSPMFRAEARSAETEKYGSNGRAIKMVPTTELKRSKSGGGVKPDIVNGSPNGAVNGSTKVAFNGSPKVAVNGSTKPVLNGSVNGTSLVKGSKMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSLRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLGVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRRTSGPELVQEIRKQANSARDTTISMPYRIQRIEDFVGQLESGDLKLRVRSERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIIANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >LPERR09G01430.2 pep chromosome:Lperr_V1.4:9:2234571:2246148:1 gene:LPERR09G01430 transcript:LPERR09G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMPDLSFSAAVSNVKLQKFRTSLSETSLVKRSPMFRAEARSAETEKYGSNGRAIKMVPTTELKRSKSGGGVKPDIVNGSPNGAVNGSTKVAFNGSPKVAVNGSTKPVLNGSVNGTSLVKGSKMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSLRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLGVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRRTSGPELVQEIRKQANSARDTTISMPYRIQRIEDFVGQLESGDLKLRVRSERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIIANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >LPERR09G01430.3 pep chromosome:Lperr_V1.4:9:2234588:2246148:1 gene:LPERR09G01430 transcript:LPERR09G01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMPDLSFSAAVSNVKLQKFRTSLSETSLVKRSPMFRAEARSAETEKYGSNGRAIKMVPTTELKRSKSGGGVKPDIVNGSPNGAVNGSTKVAFNGSPKVAVNGSTKPVLNGSVNGTSLVKGSKMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSLRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLGVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRRTSGPELVQEIRKQANSARDTTISMPYRIQRIEDFVGQLESGDLKLRVRSERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIIANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >LPERR09G01430.4 pep chromosome:Lperr_V1.4:9:2235441:2246148:1 gene:LPERR09G01430 transcript:LPERR09G01430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMPDLSFSAAVSNVKLQKFRTSLSETSLVKRSPMFRAEARSAETEKYGSNGRAIKMVPTTELKRSKSGGGVKPDIVNGSPNGAVNGSTKVAFNGSPKVAVNGSTKPVLNGSVNGTSLVKGSKMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSLRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLGVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRRTSGPELVQEIRKQANSARDTTISMPYRIQRIEDFVGQLESGDLKLRVRSERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIIANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >LPERR09G01440.1 pep chromosome:Lperr_V1.4:9:2277314:2278325:-1 gene:LPERR09G01440 transcript:LPERR09G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDDEKITEDIFSEKVNQWKMRKRMFKELWDTLRTARQGVGASENWLYTKRLRVSFKSLQERNARSKVCLEFILHPKLHLIVKWVFQCHLLLCCYANRFWEPTYADSLNFIARLQPVVSHLYRRLLFTNASFITK >LPERR09G01450.1 pep chromosome:Lperr_V1.4:9:2278339:2280274:-1 gene:LPERR09G01450 transcript:LPERR09G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFRNIPNILVAKHSNGKELEEMNKANTKIQEELAEQKNAIRMSNCQSVRYAVKFGFRRDQVKGRLNCNQVQGMDEKLN >LPERR09G01450.2 pep chromosome:Lperr_V1.4:9:2278339:2280274:-1 gene:LPERR09G01450 transcript:LPERR09G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFRNIPNILVAKHSNGKELEEMNKANTKIQEELAEQKNAIIKFGFRRDQVKGRLNCNQVQGMDEKLN >LPERR09G01450.3 pep chromosome:Lperr_V1.4:9:2278352:2280274:-1 gene:LPERR09G01450 transcript:LPERR09G01450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYRSGSRQLDIRYCYILRSDRKTRINPQSPGNG >LPERR09G01460.1 pep chromosome:Lperr_V1.4:9:2282736:2291573:-1 gene:LPERR09G01460 transcript:LPERR09G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNQGFFWDLGSMVYGDDGWQFLEEDSYRIETLFKKQEHEEQLQLQQLLRQQEEEEEEEQEEEEPQGQDNKTMRKRENTITTKAWRGVADTLLIVLPAVAVEGGGVTGRKPCSQQFCFYCGCSAVQTEASAMQDRPRGGELVKGLVGVVSVYGLQDRARGSFLISSDYVKNLLKDSHGVLKSGDQDFVDRCG >LPERR09G01470.1 pep chromosome:Lperr_V1.4:9:2301112:2305282:1 gene:LPERR09G01470 transcript:LPERR09G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGAINEQLTRYAAAQALLPGAHLHAHLLRTGFLASFRNHLITFYSRCRRPGCARKVFDEIPHPCHVAWSSLVTAYSNNGMPRIAIQAFHAMRAEGVRCNEFALPIVLKCAPDAQLGVQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSEKNAVSWNGLMSAYVKNDRCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGKQVHAMVVRTGYDNDVFTANALVDMYVKMGRIDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFTLSSILKACAGAGAFDLGRQIHGFMIKADADSDDYIGVGLVDMYAKHHFLDDARKVFDWMSHRDLVLWNALISGCSHGGRHGEALSLFCRLIKEGLGVNRTTLAAVLKSTASLESVSATRQVHALAEKIGFLSDSHVVNGLIDSYWKCNCLSDANRVFEECSSDDIISFTSMITALSQCDHGEDAIKLFSEMLRKGLDPDPFVLSSLLNACASLSAFEQGKQVHAHLIKRQFMSDVFAGSALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFHRMFDEGVDPNHITMTSVLCACNHAGLVDEAKRIQLDKRYLAFAGGFSDETQDCEFFSAFVFRTHGP >LPERR09G01480.1 pep chromosome:Lperr_V1.4:9:2307086:2310074:1 gene:LPERR09G01480 transcript:LPERR09G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLAAAAVLVLVLLPTATASPVAFSFPSFSLRNLTLLGGASLRAAAVSLPPPSSRAIFPLPLPFPRNASFSTSFVFASPDSAKPNSTLSFLLLPDDPLSAATDASSSNNRSLPLEVAFDASRSLVSASSGGADVAAGGAAVDMRNGNEVGSWVVYDASRRRLEVFVSHASLRPPAPAISADADGLAARFAEFMFVGFEVTSSSSSGSNGNSSGGDGGFLIQSWSFQTSGMPAVDPASRSSHNVSESVETSPELDVRKDGRRRRRLALGLGIPLPIVFIGAVTVFVVMSLRNWRSGFKEGLGAKAAVGEPRRYTYQNLFSATKGFDPSLVVGSGGFGTVYKAICPRSGVTYAVKRSKQSRESYNEFSSELTIIADLKHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHPCSDAECHVPLSWARRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIERAAPDSMNMVNVVDWVWNLHSKSKLLDAVDPTLNGEYDGGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLPVPRKKPLLVFVPNAPIDLEGIVSECNQSTVSSDLYELKIDIN >LPERR09G01490.1 pep chromosome:Lperr_V1.4:9:2312945:2316290:1 gene:LPERR09G01490 transcript:LPERR09G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDAGRRRGVLKVLVARGTNLAVRDFTSSDPYVIVRLAAMSAKTKVINSCLNPFWNEEMSFSIEEPTGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTEETKLRKVAASVDNCLMCDSFVTYADGEIKLDAKLRLRDVESGELFVTVKWIEADAK >LPERR09G01500.1 pep chromosome:Lperr_V1.4:9:2317454:2321403:1 gene:LPERR09G01500 transcript:LPERR09G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRIDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNNKEALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDVVTSCSSGYLCSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQIQ >LPERR09G01510.1 pep chromosome:Lperr_V1.4:9:2324467:2329687:1 gene:LPERR09G01510 transcript:LPERR09G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGPRDVCIVGAARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPSLVQEVVFGNVLSANLGQAPARQAALGAGIPDTVVCSAVNKVCASGMKATMTAAQLILLGTHDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDAYGDCAMGNCAELCAENHALTREDQDAYAIQSNERGIAAHKSGAFAWEIVPIEVPVGRGRPPVLVDKDEGLDKFDPVKLKKLRPSFKESGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALAHAGLESSHVDYYEINEAFSAVALANQKILGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >LPERR09G01510.2 pep chromosome:Lperr_V1.4:9:2324381:2329673:1 gene:LPERR09G01510 transcript:LPERR09G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGPRDVCIVGAARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPSLVQEVVFGNVLSANLGQAPARQAALGAGIPDTVVCSAVNKVCASGMKATMTAAQLILLGTHDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDAYGDCAMGNCAELCAENHALTREDQDAYAIQSNERGIAAHKSGAFAWEIVPIEVPVGRGRPPVLVDKDEGLDKFDPVKLKKLRPSFKESGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALAHAGLESSHVDYYEINEAFSAVALANQKILGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >LPERR09G01520.1 pep chromosome:Lperr_V1.4:9:2336537:2356605:1 gene:LPERR09G01520 transcript:LPERR09G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTTTPLENVELSLKDFVWEFGKGDFHHWVDLFNHFDSFFESYIKPRKDLQLEDNFLEVDPPFPRESVLQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEESLKTLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPNGCDPVATEIGSTLHFEFYRGADKSDKSQSVDNCHRLEIIHLPNIISFKENDLELLDKLVRDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLYAFTVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRILGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTVFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDIHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVEVGSKKPEESCPMNKGKEVESSMPASDMQPLHSDALISYNRRNLMKALLRTISLATYVPGNSARVDGSEENVLPPCLCTIFRRGKDFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPEAFIDAIMGGVLYNSDAITCIPQCLDALCLNNSGLQLVKDHDALRCFVKIFTSRSYLKALGGDTTGALSSGLDELMRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESDNSVPLAMETDVQGGTSRSELGTSEVGSSGKMVDASLDVTSSSIESYLPECICNVARLIETVLQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSIGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDPVKQSPLLKALSGLEGLLSLCNFLLKGNAFMVTELAFGDAEILRELGKVYIEVTWQISLLSDSKLEKQDMEQDDVPGDASVSNLSERDSDDDTNAASLARHMNPVSVRTSSVSPWNMEQDFISAVRSAASMHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGGSSQSYDTIKKSPDVVVSELLTKLGHTMCSFLSTLVKGLPARRRADSSLTPASRSLVTALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRLCNSAIVNSLYVNGTLKELLTTFEATSQLLWTLPFSVPTTGSDQASSISQKVSHNSWLLDTLQSYCKLLEYFVNSSYLLSPSHNQLLVQPIVTELSINLFPVPSEPESFVRMLQSQVLEAVLPIWNHTMFPECSPSLITSLVSIISHICSGVGALKQSRMNIGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTETSKEDVSNKNSPSIVEDKVVVLLPLDDILAVSTKLLSSGDGMAFSLTDLLVKLCNQNKGENRQRVILYLFERLKRFPADSSIDTCTLYSFARLLALLLSEDSSIREIGAENGVVPHVLNILENLKSRTEKIDQMWNSISALLLILDNMIQYTPTLDLEIPEGAKLSSDASSADCKVNPSLAEKNTETVSSPKCPDVNVFEKILGRSVGYLTDEESQKVLTLCCEFIKKRVPSSVMQAVLQLSARLTKTHTLASQFSENGSLAALLSVPKTCIFPGYETLASAIVRHLIEDPHTLQSAMELEIRQSLLSNRGSHTTRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTSVVLLKEKEKDKEKQKVSTESGSLCNEPVRVTTDIKTVDTVNRCSRSQKKVPTSLSQVIDQLLEIIMNYSSSKKEEHGSDGYYMFSPMDVDEPNTKGKSKVNDGQNLDGDTLSEKSALLSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQLRGGDQVAGHGGLLYHVFHLLSSDRFADMSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIVKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQFQKSDRYAKNRLTGSHEQTHEANENVIHEQGTGNGHGTIDTVQSTGQQVQELSHDDGNNHADEDQPVEQTRLDLVENTAGNSSTDAVEFMREEATEGNLMTTTDAGLAFSAQHQADDEMVEEEDDIGEDGEDEDEDDEDEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHMRLSRGRGDGSGFIDISTEAFHGVGTDNMINLHRPLGLERRRQSGSRTFVDRSRSDGNAFQHPLLSRPMQSRDGIGSVWPSSGTPSRDLHTFSFGTPDLPFYMLDAGLLSETAAPVFGERVVSTAPPPLIDFSVGMESLRIRRGPGDNLWTDDGQPQAGNHAAAVAQALEDHFIIELNAATFLNNATEHTSDAAPEMQPEQTGNDVNAELPSQDGDVTGHVTTDSSVLPASSPQQFATTNQESNQANGGVCPMSVLVSGQSVDVTDVHADATHQTADGVNAIQSTETMYTADRQLSAYPGRDSLSGNLQSNDHAMHDELEIPERAQVSNELTEAPSDPDSSCHALLTSTSAAPELSDAHVDSATVNTDVDMNSIDISDSQVENSASGLDGSVHSVRLDEGDPQEAIQPDQLNVNNEASSTNEIDPTFLEALPEDLRAEVLASQQNRATPTASYTPPVAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGTRRLPADNQTVMDRGVGVTVGRRVISTVSGNAKSKDVEGIPLLDSNALRALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRVTLIGHLLSIVKPEAEGLNRWDCTTAYRLHGCQWNIVYAQPQSANGLPPLVTRRLLEVLTYLASNHPSVAGLLVYFDPSSSNCKHGKETSQEVSQQDIMQKSCEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSTAASKVDYTPSSGQVANTSLDENGTPIETHGQPPNLEQVPIQETSQSKDVVIAASGPKQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASIAVSHRKFFSMELASAAQRLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSVNSQDQAVGQEERSVLLDLNIALEPLWQELSDCISTTEAKLVHNSTSNLHAPLMDAIEVGASSSSSPPLPPGTQRLLPFIESFFVLCERLQTSQAVVSSDSNVTATEVKELAGSSSSPPLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNETCLMVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVIQWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEGFGFG >LPERR09G01530.1 pep chromosome:Lperr_V1.4:9:2359975:2363444:1 gene:LPERR09G01530 transcript:LPERR09G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDLPAKSEQPSGQGLYPAKSNSSKKWFCCMQPTAAES >LPERR09G01540.1 pep chromosome:Lperr_V1.4:9:2373425:2377927:1 gene:LPERR09G01540 transcript:LPERR09G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVIAVVLLLLLPNLAVQAVWQVCDFAGGNYTANGTYHANLQLLSSTLPAIFFATARVDVGGAVPRLYTMAQCTPDMSSSGDCWRCLQDAIGNKSRNEKVPAAAQGARVFGVRCGYRYEVYPFYAGEPMLVGSPGRPLLPKSSEKEQEMDHCLGSSYAISVSWPYILLLVENKAEKTEIKIAGASVRNLIREDTLEHWGMKENNSEFNLFDFSQLSMATRNFADENMIGQGSFGTVYKGELPNRLEIAVKRLDKHSGQGLPEFKNEIQLIAKLQHSNLVSILGCCVQGIYKKSRIINMEETPTHNRRDITSGYMSPEYASKGIFSLKSDVYSFGVLLLEIVCGKRNSASDQYGDFFNLGWQFWKDEKWIELVDPSLVNEGQLLETEKCFKIGLLCVQENAVDRPTMSDIVTMLSSETMSLPEPKQPAYFNLRSTDNMQPSSSSNPSTGNEVTIFFFLRNDEVTISVVEGR >LPERR09G01550.1 pep chromosome:Lperr_V1.4:9:2405705:2406957:1 gene:LPERR09G01550 transcript:LPERR09G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPLPCGKVNTDQVVLNRRYFACPNILNDDFIEPPRRYRFIDWIDRVSPPEDDFRAIIPPEVVSIKYRLVASTGGSIHSEFLNGPSIQSAIVMIVARLQPPLTMQHMGGDTFPANKDDAFTWIDSDVPNYAGEPVTEAETLNEYMRRKNDPKDVCLNCALIINFLMNVIIFYLIEIPLHIKITWQ >LPERR09G01560.1 pep chromosome:Lperr_V1.4:9:2418850:2419440:1 gene:LPERR09G01560 transcript:LPERR09G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVFAFLVKQLSNLWGSSLILLVAWQLFLRPSDVEPKLGASVVRQFELMPAAGSMELRFNITPSLLIKSTHGFYTIRFNHLAAAVFYAGEKLGPVDDELPPFKQKSHTNVVMDMVLAGWLHNASSAVVKRFAEEKVAGRFEAAVVTIRTTLTYKFWPYKADYFYIYECPLSMPAVPRDGGELVLSSPSTCKSVKI >LPERR09G01570.1 pep chromosome:Lperr_V1.4:9:2426767:2427547:1 gene:LPERR09G01570 transcript:LPERR09G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLECCCDRDRRICLFFIGTWILGSIVVALPFILLTPDDTILPAMVNGTTLFELNFDNATATVTYNFTVAFSFHNPNFLSMDFSGVAVTPQYAGGEKLGPETELPTFSLRHKQTAVIPIGIQGRQTLSGKVPAAVARTYTEESGKGYFTLETAVDVPGFHHWYDFVCTLHFPAPPMATSQGAPNLFDGDACIVIKDCRRTRYGYLCFG >LPERR09G01580.1 pep chromosome:Lperr_V1.4:9:2468205:2472402:1 gene:LPERR09G01580 transcript:LPERR09G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCLAVCAIFFLLCLHASLTSSLRLNPTAEDKGNHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHGALWDIGNLSWGHSVSGDLVNWAALDTALDPTSPFDINGCWSGSATILPGGIPAILYTGIDADKNQVQNVAFPKNPSDPLLRQWEKPAYNPIIPLPADVPGDKFRDPSTAWLGHDGLWRIAVSAEVAGVASTLVYKSKDFIRWDRIAAAAPLHASHAAGMVECPDFFPVSHDGGQVVRHVLKVSVMDTLQDYYMVGRYDDATDAFSPSEPERGDDCRSWRRLDYGHVYASKSFHDKRKDRRVVWAWANESDSQADDVARGWSGVQTFPRKAWLDEDGKQLLQWPIEEIETLRRRRFGLRRGTRLDAGALREIAAGGVAGLQGDVEVVFRIPSLEEAERVDDPNSLMDPQKLCGEKGADVPGGVGPFGLIVMASGDLHEHTAVFFRVFKHHDNYKLLMCTDLRKSSTRAGVYKPPYGGFVDMDIEEHKTISLRTLIDHSVMESFGGGGRACITARVYPEHVATSNSHMYVFNNGTDTVKVVKLDAWELATATVNVIGDDGAQQRHSEA >LPERR09G01590.1 pep chromosome:Lperr_V1.4:9:2472968:2473213:1 gene:LPERR09G01590 transcript:LPERR09G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHGSGGGEADPAGRPITSPPGSSGGEAAKALVPPLTRARSPPPWGPPPCWPPVGMEGGGGGEGRVAEEREKGGDRLA >LPERR09G01600.1 pep chromosome:Lperr_V1.4:9:2493532:2494845:-1 gene:LPERR09G01600 transcript:LPERR09G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVITKSSPVLVGPSKKTTSPATHKTIKLSSIDKSLAYHPNTFLIVYDQPIHGRPSDTIKTALSQALDHYYPIAGRLMRDENDLGDLHILCTGEGVEFVAASAKCTLKSTNVLEPSLASNTLLKDLAVFYPIKTGYSNADPLMLFQVTEFVCGGFIIGVTINHVITDGVGFTQFIKAVAEYARGHKSPSVVPVRYQDSFPEISLLPGMGGGGFTPMDKDSIADLVYLDITIPSSLISCIKASDRSKPMTVFDVSAAVLWQCRTRTVMTNPDAPTMLIFPVDIRKHIDAKEGYYGNCLILQVAIATSATVANSDLKDIIKLIQDAKEKLPDLHNGSKDEEMMGVGEVSSTFRYDTTLLISNWRNLGVHEADFGGGKPARVIPYWEDLSRVKCVACVLCPPIKGESGANVISICVKKEHASGFLGELARTSESLGASL >LPERR09G01610.1 pep chromosome:Lperr_V1.4:9:2510201:2511211:1 gene:LPERR09G01610 transcript:LPERR09G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVRVETKERDSISQGAAISSEIKHDEDPIATLPTREGWSTPLTLYNNFWLRSHLLPKCILIKENFKPRHDDIILATSPKSGTTWLKAIVFAIINRSRYGSFANTDHHPLLTQNPHTLVPFMCVKGTDLKQLETMPSPRLLATHLPLSLLPTAVSTMGCRIVYLCREPKDVLISWWHYDNKAAQGSKIDLDTAFAMFSEGCSSFGPFWDHYLQYWKESLQRPGEVVFLRYEEISSDPLKVVRDLALFLGMHFTEEEKRNGADEEVVRLCSFKNLSSLDVNKNGGFGNAEAKIYVRYSSHFRHGKTGDWVNYMTKEMGEKLDCLVDEKFKGSGLKF >LPERR09G01620.1 pep chromosome:Lperr_V1.4:9:2532715:2535828:-1 gene:LPERR09G01620 transcript:LPERR09G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDPKAQSRRKPSESHLFNRAASDYCIQFSPNFTIEDEQPSVNPPTQSRSGHPIEYAAATPESILGANAPLPQSYMQGQIRVSIASRKRKPSTSKRISNAEKQKKQKKEATRCAPPSANLDAYVDIAAEAIDEENTEPAQQTAPPSPHKSPIVKATLSPLQSGDTEIVDYPTPTNTDLPSIDDVEDTVIPDPDEAKETAKDDPFDFNIYKFISDDKEEVLSKPTTEPTVELRTTLLDIADRLNIKIESLVFDSGSIRSRVLEIVNQLPDDLADSLNSVAYLEQYNTRVTRARQRINDQELFNQREKAFASALNTAKDEKISWIKHRRLFLPFPTD >LPERR09G01630.1 pep chromosome:Lperr_V1.4:9:2544643:2547880:-1 gene:LPERR09G01630 transcript:LPERR09G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASSSLPTAAFSPSSLSSSSSSPPRFLRAVTAARAVRCAAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGTDKAVPRNILEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPPRDFIGALTAAYSRNDAPALIAEVKKASPSRGVLREDFNPVEIAQSYEMNGAACLSILTDEKHFQGSFENLETVRNSRVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFKVDTSNTKTLLEKRGDIIREKGIMVVGESGLFTPDDVAYVHNAGVSAILVGESLIKQENPGQAIAGLYGKELLR >LPERR09G01640.1 pep chromosome:Lperr_V1.4:9:2588095:2588705:1 gene:LPERR09G01640 transcript:LPERR09G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLCHRRQAPAGLSLYDDRCQITISDADPWPPRRRLIAVPSGRRPSAPPAEAFPPARSRRQFALLSR >LPERR09G01650.1 pep chromosome:Lperr_V1.4:9:2617712:2621958:-1 gene:LPERR09G01650 transcript:LPERR09G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLLVSPLLSFAVLKVGNALIEQFCRMYGMKDNREKLQRQLLALQAVIANAEQRGAHEPHVRAWLKELTATAYKAVDVLDEFQYEALHRNAVSQEPSSSIKKVTKRIILQKNPILFRYKMSKKLKTILEKIDEIISEMKNFNFIVLEHQPIISRPPTHSCIVKSEIVGRDDAKEDIMNILVDPNREMVNVSVFAIVGMGGAGKTTLAKLVYNEEKVKEHFKLRMWVCVSNDFSAVSVVKSIIEVATGGQSIQSTSNLEVLQARLREILVGRKYLLVLDDVWNEDIYKWDALRILLFSSACLGSVIVVTTRSKKVATIMGTLPHYNLKMLSDEESWKLFRKRAFDDAEEAEQLVEIGRKIVSKCAGLPLALMAIGGLMRTTRDDYEFEKETLVQLWMANDFIPNDEIMDAEDKGEYIFSELCWRSFFQDTTVWGSLYLNKVTYCKMHDLMHDLAKHISGKQCVSTLEVENDQVLHLSVVNQPSSDNLDSILKGFPIIRTCLISKGFATKSENVKNYDSMKTISLRALQLKQIVEIPNIFGYMKHLRCLDLSYGKFGSLPEIISTLYNLQTLNLSGSKISNLPERMRYMISLRHLFINGCPNLKRMACGLGQLKSLRILTNYIVEPDPGRNIGQLNDLNLHEFLWLSGLENVRDKNEAQTANMVSKINLSSLHLTWGSLDNRDGTINEQEVLHGLRPSTDIKNLHITGYSGSDFPIWMKETLAMRNLSALYLVNCHRCTTLPPVWHFPVMKCLHLKRMRSLVYIYHSTEDEEIERLVIFQNLTSFHISSLDNLEGWHDKDNKLVAFPRLDELFITSCPKMRTIPSAPLLRALCVENSREIKLLEISNLSMIASSFITIACESSTDTDAFKPPKNVATMSLEGFDNVIPLQENNMETNQNMTLRDLTITRSNCFFPSGPCKHTQGFWKYFAFLEILYIDDCDALSFWPEQEFRNLNCLKNLHIDACDNFTCTSQMSSSSTESHSGSSNVPPPSIESCKEDILPRLETLIIEECRKLVEIPSCCKSLKTLTLQDCPSIRKEGLNYLKNLHELKELTLDKCTGLNSLPDDLDSLTSLERLIIYNCPLIEAFPEGLQQRLPALQSLKIKAGSVLLGLCRSGGYHRLISSIPDLTIDPI >LPERR09G01660.1 pep chromosome:Lperr_V1.4:9:2636807:2637862:-1 gene:LPERR09G01660 transcript:LPERR09G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPHISPSFRSFSPLRWTEARRATAAARRASGIGWRAVGRLRRWARRVSRPPPGEQQRSARLVATSTGVQQDSEKEDPLRTCIRSR >LPERR09G01670.1 pep chromosome:Lperr_V1.4:9:2659270:2660919:1 gene:LPERR09G01670 transcript:LPERR09G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESKTNANNDHHLIDISPELAGTATVDGAAETKDFAATAALDGAPETKELAGGAVAVDGAPDTKESGAAAAAVDGGGGETKWLRKLTSSSVNTAVLRDLIARTQMLWYLGERSGTILRPRSRRARVDALHAVRAVAIGPFHRRDGWLPFPDDAKLPFLRYLQDQCGLDADRYVASLAADRDRLRDEFADDDVVGDEIAAEILADQDKFLQMMLLDSCFILIVGMMLSKNICESGCVSRAASISREYFILHMAVSQHADDIKLDLLVLENQIPFAAVKLLAASCSNLKLRRSIEELVLGCFDDILPKRASLAGATADAGEFLHVLHLFHWSRVPTTKYCILSTPRKLLRIKRESERLFPCSMELCRSAVWFRKAAAGCGDLDMWFWSATASPVAVMTIPCFQVHEYSAAVLHNMVAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGILSSRRKTDVEVVEFFRELGEETVGARLPDEYAEMVDAVACHRRRKVSWWCGGFVLHFFPSPWVVMSLVAAAAVIVVPSLLQTVYTILSYVKTNA >LPERR09G01680.1 pep chromosome:Lperr_V1.4:9:2667476:2672198:1 gene:LPERR09G01680 transcript:LPERR09G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPFERDESSTKRAPENASLESIRDFISSLPTREGWSQPLVLYKDYWFRPQFLENIFVTQDFYKPCSDDIILATQPKYRVKCFNMFSGGFSPYEYWRASLERPEKVMFLKYEEIQSDPVLVVRKLAGFLGVPFSKQEEIGGIPEIGKLTRRVADWVNHITEDMGKKLDCIVQEKLRGSGLSFLDRVKCFNMFSGGFSPYEYWRASLERPEKVMFLKYEEIQSDPVLVVRKLAGFLGVPFSKQEEIGGIPEIGKLTRRVADWVNHITEDMGKKLDCIVQEKLRGSGLSL >LPERR09G01690.1 pep chromosome:Lperr_V1.4:9:2681803:2682930:-1 gene:LPERR09G01690 transcript:LPERR09G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDLARTVVGIIGNVISFGLFLSPVPTMCKIFKKKDVEEFSPDPYLATFLNCMMWVFYGLPFVHPNSILVVTINGIGLLIEAIYLTIFFVYSNNKIRKKMGYTLLAEALFVAAVALGVLLGEHTHERRSLIVGILCVIFGTIMYGSPLTVMYTVVKNKSVEYMPFLLSLASFLNGACWTSYALIRFDLFMTIPNGLGTLFGLVQLILYAVYYRTTPKKDKNLELPVVSPVKEAKAVTNGATGPVAIIVKQ >LPERR09G01700.1 pep chromosome:Lperr_V1.4:9:2684157:2684438:-1 gene:LPERR09G01700 transcript:LPERR09G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQQKQKLMQPSSKNEPAAIMQQPKQQQEEHAAEMKAPETTQKEEVSVTVVNGGEEDDGSADAGRRCVCSAAGQPAGHFRCVCADTDGGDD >LPERR09G01710.1 pep chromosome:Lperr_V1.4:9:2687223:2691500:-1 gene:LPERR09G01710 transcript:LPERR09G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSASDKQPKQQQRLPLGADADAVADAAKRRRKGAAKKHQAEEESSIPSSLSAKILREALTQQQEESLADSSRDHRPAAAPSAPVSFPVPNRDGEEEDDEDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSNRTLGDIILEKIRQKDAEVSAEGQTPVKLDSSIIELYKGVGEFLRRYTSGKIPKAFKRIPSLECWADVLQLTEPEHWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHSSAALMKLAEMDYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERIMPVIWHQSLLAFVERYKNELEKKDKERLARLLDHQKHYLVTPEIRRELRMSCNRVSVITKPIEEDRWNIPEVPMEE >LPERR09G01710.2 pep chromosome:Lperr_V1.4:9:2687223:2691500:-1 gene:LPERR09G01710 transcript:LPERR09G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSASDKQPKQQQRLPLGADADAVADAAKRRRKGAAKKHQAEEESSIPSSLSAKILREALTQQQEESLADSSRDHRPAAAPSAPVSFPVPNRDGEEEDDEDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSNRTLGDIILEKIRQKDAEVSAEGQTPVKLDSSIIELYKGVGEFLRRYTSGKIPKAFKRIPSLECWADVLQLTEPEHWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHSSAALMKLAEMDYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERIMPVIWHQSLLAFVERYKNELEKKDKERLARLLDHQKHYLVTPEIRRELRMSCNRGAFVSLTLFIRSPVSVITKPIEEDRWNIPEVPMEE >LPERR09G01720.1 pep chromosome:Lperr_V1.4:9:2713052:2723444:-1 gene:LPERR09G01720 transcript:LPERR09G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAEATPERAAAPTIMNPELLMAARNGDMESLKRLLDADAALVPPARPVAAAATADDEESRRQVILEVDRPAAAAAAGMLLLEGVTSEGDSALHVVAAAACGTGEEDGFLKCADAIYGAAGHLLRARNSNGDTPLHRASSAGSVPMVRKLIGFAKAEDGNQQQIIPAGVVVVELLRAQNKRGETALHEAIRSSNEELVAEDAGLARVPGDGTSPIYLAISLRELEFAKKRHDKDDQLSYSGPEGRNALHVAVLIGKGPTKMILGWAKGLAKQGDKKGRTPLHFAASTNRMSMRSMVKVLLEHDISCVYQPDEEGCYPIHVGAALGGLVGFFTVKLMIKFCPDSAGLRDSTGRTFLQVAVDNSDPLGDNPDDSLNEKRCEKEEREKLSGIYKEAAQNLTIGAVLIVTVTFAATFTMPGGYVSSDDDRVSMRGTPTLAGTYTFDAFVIANTLAFLFSGMATFSLMYAGYMPLDFAFREVMGLLHSSVRSVGAAFLTATYVTLAHVAPKVVIAVYVGAGIGLLYINFEVWMLGWMTLALLFRGDLLAALVVGLQTVAVAFWFSWPFAVISVLPLILKGH >LPERR09G01730.1 pep chromosome:Lperr_V1.4:9:2726914:2728138:1 gene:LPERR09G01730 transcript:LPERR09G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSPFWSLFGIIRLVFLFICVPIDYVVVDPEGVGSEFAADAHAVAALGRQEWYDYTEPKGKFVQLSGQGDGLVHILARAKRRPTSTIRTQSCIFVAPAGQKVAEEVPEEAVDP >LPERR09G01750.1 pep chromosome:Lperr_V1.4:9:2741680:2741868:-1 gene:LPERR09G01750 transcript:LPERR09G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAIVTVTGGLLGPVFVLLSRIQPVVDFFRRLCDCLHHPRRRPARPVRAPWKRDAAEEQN >LPERR09G01760.1 pep chromosome:Lperr_V1.4:9:2744240:2749095:-1 gene:LPERR09G01760 transcript:LPERR09G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMELLEEPLKTTFQHVHQGYPRGTLVRFLKAREWNVPKAHKMLMDSLNWRIQNGIDSVLAKPIVPSDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYETLPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALDQDFVGPIKQGSMHVDVPTPDLEEAKIVETIKSELHNFSGANGLSHSFNRIKIEGP >LPERR09G01770.1 pep chromosome:Lperr_V1.4:9:2781847:2784581:-1 gene:LPERR09G01770 transcript:LPERR09G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASKAVPDVVLERKCDKAVATSLEMLQSEQQVSKESKIGAASSGGTMRGDVATPSGVKGQDRDSYSVVDSVKLDGNEDAEKNSMRGSVKDSSASAKCSDRASSLTKVSGSTKVSDHAGDMVGSGKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMIRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNTSYCVQPVCIEPACIQPSCVTTTTCFSPRFFSSKSKKDKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPKSAASTSKKAATPAAEKGSDNYLEFDFF >LPERR09G01780.1 pep chromosome:Lperr_V1.4:9:2816770:2817481:1 gene:LPERR09G01780 transcript:LPERR09G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLVQRVWVRFTSKESGKWKVPLSVNANKEREGTNLCAFYVAESIMSRGQRTYSALSDLEYRRDRVAEEDKHKAIQEALAGFLNNEVLDPKGEHYYDGRLEPASVDYNIDLDDPNFD >LPERR09G01790.1 pep chromosome:Lperr_V1.4:9:2822807:2826108:1 gene:LPERR09G01790 transcript:LPERR09G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFMGLVFAGWIALWSVKAWRDWWPVWLPKLNDHTNSTYFGSQVLGSIYRHLHAQTGYKDSHSSYLVAWKRPVLVRGPQGVVSGIELTFAVMWKIQTAIVGFYCSLAGHLCFAFLFFPITRISSLLPLIGLTSDSSIKYHIWLGHIVMVLFTAHGVGYFVYWASTNQLVKLIKWSKTHIANVPGELALLAGFALWATTLPRIRRRKFELFYYTHQLYIAFVFFYALHVGMSTICQILPGVYLFMVDRYLRFLQSRTKVQLVSARLLPSEGIELNFSKRLRYNPLSTIFINVPSVSGLQWHPFTISSNSNLEPQTLSVIIKKEGSWTQKLYRMLSSNDALNVSVEGPYSPISANFLSYKSLVMISGGSGITPFISIIREFIHQSNTHNIATPNLVLICAFKNSRDLTMLDLLLPVGGNISDLSRLNLRIEAYITRENEAPGDNDQPMIRTICPKHDPSQAPIVAVLGPNSWFYLAMIISSSFIAFLLLLGITQRFYIYPIDKNTGKVYSRSTMTVLQLLFMCVCIIIACTIPFLKVKRENLKEAKQIKALDVLTPTTSPSSWYHCSDKELESLPQESLVKATNVHYGRRPNLKMILLAMEDKDVGVMASGPAGMRHKVAAVCSSGIADNLHFESISFSW >LPERR09G01800.1 pep chromosome:Lperr_V1.4:9:2835798:2836073:1 gene:LPERR09G01800 transcript:LPERR09G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLWEDFNKELARKQPVCPLSPVSMNAKDAWLFDAVDDDIAASGGGEQRRRVYSGSMVRWQRRWSLLLMLRLLKNLFLAKNKTNPRTAPI >LPERR09G01810.1 pep chromosome:Lperr_V1.4:9:2852411:2853920:-1 gene:LPERR09G01810 transcript:LPERR09G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRQRNGARPPHFCKSSGAVSRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >LPERR09G01820.1 pep chromosome:Lperr_V1.4:9:2859263:2861755:-1 gene:LPERR09G01820 transcript:LPERR09G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREATNPTKSSKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >LPERR09G01830.1 pep chromosome:Lperr_V1.4:9:2867828:2868932:-1 gene:LPERR09G01830 transcript:LPERR09G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANHSAAMARRCLSRRLLSSVLLGHFHQPEPVPPVGTRPDKPLTVPAFEPLTASSPRLSLDFVPNLSHFSLYDSHLGLLLLRHRNCDDFNPRLFLVCDPAVCVAVDCDRPRAWVASYRDGEVRWRALPRASRDVAVEFDIHWLEYLPVRAAGSLYWHICYNPCALALDVATLRFSFLRVPAHMFDGVTTTHKCRIGEMPDDGRLCVGSVEKQELMVCVRGTGDRSDNGWVVERHVRIAKALRGVPGLPKDSFLRHYYLWMRDFDAGRTGKVFIGTMGFGIFSYDLNTGELKSLATEDGMQYGHPILPYFSAPANGGSD >LPERR09G01840.1 pep chromosome:Lperr_V1.4:9:2870847:2878795:1 gene:LPERR09G01840 transcript:LPERR09G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATSRCAAVACRRLSRRLLSTATSAPLLGHFHHPTPVPPKGDPPTPYYMAPAAVATFHPLTAASPRLSLLDFLPDAADFELFDSHLGLLLLRRHNHNRNRKGDLGLYLVCDPVSRRSARFPPPPILGGGRIIGAALLSRDADADPGSGLRFDAVCVAVDGDRPRAWVATYRDGECRWRALPRGPRDVAIEFDPYWLENTAVRAAGGLYWHICNNRFALALDMATLQFSFLLAPYAMWRYHKFRIGEMPADGQLCVGSLEDEGFQLWVRGSGRGSDNGWVRERHVRMQEVLDEVPWLPRDIKIRHCNMWLSDIDAGRTGKVFVASFGYGRFSYHLDTGKLECLAMEDGMEYGHPIFPYFSTPGETLVPPPPIAVLTDHDLREILRRLAPADLIRAALACHRWRRAAARCACVTSPPLLGYFFHPVDPPPTMRFSASRARRYPVAFVPVDASSPTLSLDGGDGTKGFSIFDVHLGLVLLLPVFLPFGIPPRILVVDPASRRRVLLPPPPRDLLPEQDDCWRGGDARRHFVGAALLSRAHPSMLCFDALILTVDDKHPRASIASYRDGECSWMSFPRDMGVTVKFDPYWFEGRCVHAAGDIYWHICQSGRVLKLDPATLTFSYLRAPSELLASGANFRIGETPEDGRLAMATVENQEMQFWVRGEAKENDDGWFLRKRMNMRKVFDTMPALPRDKVSRIMSIWLSDIDRGRTGKLFIKTEGYGRYSFHMEAAKLERLITEDGKEYGHPIYAYRLAWPPAFLAPEGGNQAVTTETRLSAAHTPFHFRNFGSPTSDDSFIHTSLLSSLARFKQYDGITHYAVFFGESRFEPGLFHMFDMPW >LPERR09G01850.1 pep chromosome:Lperr_V1.4:9:2885364:2886359:1 gene:LPERR09G01850 transcript:LPERR09G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCMKPQGRKDTMSLPDGWKIEKKKYRPEDPMKPKATSSSDEYIMLQKLFMPSIKMPVSQDDDKSGAGHSCDGQREMLYLESAEDEKSTKKMKNFDLNELPESRGDD >LPERR09G01860.1 pep chromosome:Lperr_V1.4:9:2887131:2888602:1 gene:LPERR09G01860 transcript:LPERR09G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESQDALKYSRLSTFMWRMSPCMKPQGRKETLSLPDGWKIEKKKYRHRTDKFYREKSTGKLCRSIPEIKRYLEQKCTANLKSPLDMDVSSSFSPYLYVNVFDLFMS >LPERR09G01870.1 pep chromosome:Lperr_V1.4:9:2896148:2896874:1 gene:LPERR09G01870 transcript:LPERR09G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQREEKNKKRYEYRARKKVESITVCQSDKSNEKKERKIGNNMNTTCYRARKKAEANNVCGGDATPTNHTNDGITQAETVHSQYIHRDNIDSTYIEFDSGLFEPPIDFDHEGEFP >LPERR09G01880.1 pep chromosome:Lperr_V1.4:9:2911028:2918631:-1 gene:LPERR09G01880 transcript:LPERR09G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPNPPTGLLTAGATRSLVDSVDAFLFDCDGVIWKGDELIEGVSETLDLLRKLGKKLVFVTNNSRKSRRQYSKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFDCLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTSLPELQDASNTIHPDLYTNSVYDLVGLLQQ >LPERR09G01890.1 pep chromosome:Lperr_V1.4:9:2922597:2935021:1 gene:LPERR09G01890 transcript:LPERR09G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIHNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGAFHEVKRKRDKKKESSKESADARWRPGMQGRGGKGGWGNSSRQLSNSTDLTGRNAPAEKEIGLNPNMDKCSSSLPANPNTDTKTSTSISSLSGAQSNGSSDPVASIEKNSLAIGQLQISDSKGISDLEGSDVRLLLIQLAPSIAGECIPALDPVLTQSHEVHSHGETSATKPAFASQLATGEYKVVPNDVSMAPQGTSRPSSSSSKPSGSRPSSSCSSRAQQLSGLQKVVPNKEWKPKSTNKPSHSESVLCDDETVSLSVPLSDSIPKEDITSGVDKRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGVCFEQTASSPKDSESEKCSTPHCGLSQKADEVLDEPTASHQVVSTVEMAAESDLQQLPAEMADNILSQKVDSFSSSPEVAESDQSNDAVASHVHQDSVETTTPYPTSAAVPQLQDQIPSLETSESQACLVQQPNDFSANYYPQLYRPISDFDGRISPLTAPGAAIKYGNLTVVPAQTGQAQEGINPLVLPSVGSTPLATPAPGAVPSSIGIPQQPLHVFRQPVGVHIPHYPPPNFIPYPYLHQLYVPPPHALHYMGNAVFPQPPSTGGMYPPASAAVAPPGKYPTNTYKPGANNATQTHVGNPGPYGTYDSSPSVYTNNAVVTNGTSVENDDISGSQYKETNVYIAGQQSEGPAVWYSGPGRDISGLQPSPYYGLPPQGQHLAFAPPQAGHGTFGGIYHTAQTMAGTAVHPLLQPPQAIAGVGGEMVGSPANGYQQPQRAQMNWPNY >LPERR09G01900.1 pep chromosome:Lperr_V1.4:9:2941195:2942521:1 gene:LPERR09G01900 transcript:LPERR09G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHPHLAPRVAPAAGLRLSATRTRWNGRGGGSRTASSTVEPAARGEESPAAEVVRGFYDGVNRRDLAAVEPLIAEGCVYEDLVFPQPYVGRDQILKLFGGFTGSISPDLRFVIDDISADDSSAVGVTWHLEWKGRAFPFSRGCSFYRLELEEGKREKQQLQIVYGRDCVEPAIKPGETALIIIRAVTWILERFPRLATML >LPERR09G01910.1 pep chromosome:Lperr_V1.4:9:2963744:2968039:-1 gene:LPERR09G01910 transcript:LPERR09G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVVQSVCVTGAGGFVGSWIVKELLLRGYVVRATARDPSAEKYPHLQILEGAKERLSLCYANLMDYSSLRVAFDGCDGVFHVASPVSNDPQLVTVAVEGTKNVINAAADVGVRRVVFTSSYGAVHMNPNRSPDTVMDESCWSNLDFCKQKRDWYSYGKTLAEITAVEQASKRGVELLVVVPPVTTGQMLQPTTNLSSHHFIHYLNGIKKDYPNAVAAYVDVRDVARAHALVYENSEAHGRYLCVGAVLHRSELLRTLRELFPQYPIPTKCEDNTKPMIKPYKFSNQRLKDLGLQFTPVKESL >LPERR09G01920.1 pep chromosome:Lperr_V1.4:9:2990867:2998013:1 gene:LPERR09G01920 transcript:LPERR09G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPSQPSKSSIRNLSYNNLTGSIPEAMSQLSSLTVLDLTGNQLSGSIPSELLKRAHDKSLDLRYDNNPDLCINGSCPPQNGKPKLVVYISVPVATVIVILVLVLFFLLRRKHKGSVHNTVNAHNEPTSQLHRSDSYGYDTRQLENRRFTYKDLQMITNNFQQVLGKGGFGYVYYGVLEEGTPVAIKLRSQSSNQGVKEFLGEAQILTRIHHKNLVCMIGYCKDGEYMALVYEYMSEGTLQEHITGGDHNRRNLTWRERLRIALESAQGLEYLHRGCSPPLIHRDVKSTNILLNTKLEAKIADFGLSKAFNHDSDTHISTNILAGTPGYIDPEYHATMMPTTKSDVYGFGVVLLELVTGKSPILRAPEPISLIHWVQQRLTCGNIESVVDVRMNGAYNINSIWKVAEIALKCTSQTSKQRPTMNDVVSQLQECLDLEHGCVGSDGEISIDHVGKGRELFKMDQLERVPLPTMSSGPSAR >LPERR09G01930.1 pep chromosome:Lperr_V1.4:9:3004795:3017242:1 gene:LPERR09G01930 transcript:LPERR09G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGSCRVAAVLVFTAWIALTAVNRLVRPVPNGCVMTYMYPTYIPISTPRNVSSDRYGIFLYHEGWKQIDFDEHVRNLNGVPVLFVPGNGGSYKQVRSLAAESFRAYQNGRLEPTFYREASSAFLVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDLYKESHVARSKGRVQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVDTVLTLSSPHQYPPIALQPSLGQFFSHVNEEWRNGYKTGLSRTGSAKLLNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMDHQSILWCNQLVVQVAHTLLSMVDPLNGRPFLSPEKRVFVFAKMLQSAVPQSLSWVTPVSGVKSSNLITSGSKDASALQRKDSLSCPPSVQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNCRGHFVFVTNLAPCSGVRIHLWPEKDHSSEQKEIPASKNIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSAFTAARIIGSSYIPEEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKPTGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPAFWDSHQGNERTTVLILADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTRGENPPFGTFLFITIMCYIVANGFTILLILSSKLIIYVAAILHVFIKRRWQSWEDGTQSTIIHNFLNLSFPFQSLKQLVLVYYFSHMPSMRTQPFAASFRSITQKKDLYKSLGDNIILLSENKQDGLEQLLPMDDSPTSVKSFTDSQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTIMHGIFGSPPNVSCISFKLPGRGGRDVGLSFLYLVAGYYSFVSSMALAPYSSLYALAIIGFICFASRIIETRGTTCYSGFFMNENGCAGGIIMSIECPEEVRQLIDPSASTSASDHVQTPRDLLLDAANPLSILG >LPERR09G01930.2 pep chromosome:Lperr_V1.4:9:3004795:3017242:1 gene:LPERR09G01930 transcript:LPERR09G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGSCRVAAVLVFTAWIALTAVNRLVRPVPNGCVMTYMYPTYIPISTPRNVSSDRYGIFLYHEGWKQIDFDEHVRNLNGVPVLFVPGNGGSYKQVRSLAAESFRAYQNGRLEPTFYREASSAFLVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDLYKESHVARSKGRVQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVDTPSLGQFFSHVNEEWRNGYKTGLSRTGSAKLLNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMDHQSILWCNQLVVQVAHTLLSMVDPLNGRPFLSPEKRVFVFAKMLQSAVPQSLSWVTPVSGVKSSNLITSGSKDASALQRKDSLSCPPSVQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNCRGHFVFVTNLAPCSGVRIHLWPEKDHSSEQKEIPASKNIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSAFTAARIIGSSYIPEEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKPTGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPAFWDSHQGNERTTVLILADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTRGENPPFGTFLFITIMCYIVANGFTILLILSSKLIIYVAAILHVFIKRRWQSWEDGTQSTIIHNFLNLSFPFQSLKQLVLVYYFSHMPSMRTQPFAASFRSITQKKDLYKSLGDNIILLSENKQDGLEQLLPMDDSPTSVKSFTDSQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTIMHGIFGSPPNVSCISFKLPGRGGRDVGLSFLYLVAGYYSFVSSMALAPYSSLYALAIIGFICFASRIIETRGTTCYSGFFMNENGCAGGIIMSIECPEEVRQLIDPSASTSASDHVQTPRDLLLDAANPLSILG >LPERR09G01930.3 pep chromosome:Lperr_V1.4:9:3004795:3019031:1 gene:LPERR09G01930 transcript:LPERR09G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGSCRVAAVLVFTAWIALTAVNRLVRPVPNGCVMTYMYPTYIPISTPRNVSSDRYGIFLYHEGWKQIDFDEHVRNLNGVPVLFVPGNGGSYKQVRSLAAESFRAYQNGRLEPTFYREASSAFLVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDLYKESHVARSKGRVQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVDTVLTLSSPHQYPPIALQPSLGQFFSHVNEEWRNGYKTGLSRTGSAKLLNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMDHQSILWCNQLVVQVAHTLLSMVDPLNGRPFLSPEKRVFVFAKMLQSAVPQSLSWVTPVSGVKSSNLITSGSKDASALQRKDSLSCPPSVQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNCRGHFVFVTNLAPCSGVRIHLWPEKDHSSEQKEIPASKNIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSAFTAARIIGSSYIPEEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKPTGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPAFWDSHQGNERTTVLILADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTRGENPPFGTFLFITIMCYIVANGFTILLILSSKLIIYVAAILHVFIKRRWQSWEDGTQSTIIHNFLNLSFPFQSLKQLVLVYYFSHMPSMRTQPFAASFRSITQKKDLYKSLGDNIILLSENKQDGLEQLLPMDDSPTSVKSFTDSQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTIMHGIFGSPPNVSCISFKLPGRGGRDVGLSFLYLVAGYYSFVSSMALAPYSSLYALAIIGFICFASRIIETRAAPVASSCRSSALKKSGSSSTRAPRRRPPITYKPRVIFSSTPRILSLFWARFQWVDLAFGSVYRVLESFC >LPERR09G01940.1 pep chromosome:Lperr_V1.4:9:3024603:3029707:-1 gene:LPERR09G01940 transcript:LPERR09G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKLKICSHYENAATEGTGCNPLYQFPLLLKCGLLQRLCSDTDDDEVPAVPVALHDIPGGEEAFELCAKFCYGISINISAANFVPAALAARFLRMTESVAKGNLVAKLDSFFESCILQGWKDPIVALQAAWRVSGWSESRIVQPCVDAIVEKILMPPSKVTWSYTYTRPGYAKRPHQSVPKDWWTEDVSELDMDVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPLHPASIPNGGAAFADDDAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASFVGASSSTRAQLIRQAGVQLDEAKAADLLIPMPPSSSAADKYDVGAAEAVLEHFLAQFQRAAPGGEERRRMSGAMEKVARVFDEFLRIIAVDGDFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGSGSHSAAVAPDRGSVDAIAARNKDKDDDHLPPRPADHKSDVHGRPHGERAGASMTRSLSASTKTAGAAAAAARTKERSGEESRGSRMRNK >LPERR09G01940.2 pep chromosome:Lperr_V1.4:9:3024603:3029707:-1 gene:LPERR09G01940 transcript:LPERR09G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDDEVPAVPVALHDIPGGEEAFELCAKFCYGISINISAANFVPAALAARFLRMTESVAKGNLVAKLDSFFESCILQGWKDPIVALQAAWRVSGWSESRIVQPCVDAIVEKILMPPSKVTWSYTYTRPGYAKRPHQSVPKDWWTEDVSELDMDVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPLHPASIPNGGAAFADDDAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASFVGASSSTRAQLIRQAGVQLDEAKAADLLIPMPPSSSAADKYDVGAAEAVLEHFLAQFQRAAPGGEERRRMSGAMEKVARVFDEFLRIIAVDGDFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGSGSHSAAVAPDRGSVDAIAARNKDKDDDHLPPRPADHKSDVHGRPHGERAGASMTRSLSASTKTAGAAAAAARTKERSGEESRGSRMRNK >LPERR09G01960.1 pep chromosome:Lperr_V1.4:9:3078482:3082459:1 gene:LPERR09G01960 transcript:LPERR09G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHSSWPPRRPMRRSVAGHFIVACRLCAVEEPLARRPPNLPRGHSPPPRVAEPPPPHSPPVDTAPAPDIFEPMLPCCNGQGLPLWVRATMEDPAEGGKQVGALPGPYRRRNPAGTPPSLARSYGRRSSCRWSACRAATISLGGLMAWVRTGHSDVGWAHDGFRLIISYGTMTHDPATTPTCKPFRRLLHTHQ >LPERR09G01970.1 pep chromosome:Lperr_V1.4:9:3093492:3094121:-1 gene:LPERR09G01970 transcript:LPERR09G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNVPRYPDDDGGGSGCCGVLGWCCCILVLIVAAIAGAAAYAFFIYKPKAPSYSVSNMSVSQFDFNSNDLTLYTKLVSTVRAENPNDVIGISYGDGSHTVVSYRGTPLCSGHLPTFFQGHKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGTLDIREVKVNVHCALVVDSISPKKKPTIKSATYQANVEF >LPERR09G01980.1 pep chromosome:Lperr_V1.4:9:3110325:3110939:1 gene:LPERR09G01980 transcript:LPERR09G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSRCELLRDLESPVLWWAEGGAGGGCGGDLREVEQRRRIWATVTEEAPRRRWRRRRRAVPGLEEELAEEGLEQGREPAAGRWRTGELRIFTRFIQRMFFYCDLN >LPERR09G01990.1 pep chromosome:Lperr_V1.4:9:3128931:3136949:1 gene:LPERR09G01990 transcript:LPERR09G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGNPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKTIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGAAIPSLRSSVAANPSAEETPYRARPLSGGPLSKLQAPRGNFNLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDEKLVEKKLSITRKFPYIEDNNEPHPISDWRSISNSRQDDASSTTTTSMPSWKSELSVTSPETATGGALPDRLTHRQQVSRFGASAFQSGSFAFAGSHDSASTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDASTSSLQSPLSSGFMAKTLPPVNSDHPGATQSSSQWRPSTYTDRISTSSVFSEGLASAFGSPKSKKTGAETKDELISSLLSRQEAAGASSSASLVTNNGVVPPQLPTPGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNQVLEKLEGLTKEVQQLRRENQHLRQQLL >LPERR09G02000.1 pep chromosome:Lperr_V1.4:9:3137373:3142468:1 gene:LPERR09G02000 transcript:LPERR09G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRIFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEANSKVAARCRRATGLELGWFTMKR >LPERR09G02000.2 pep chromosome:Lperr_V1.4:9:3137373:3141977:1 gene:LPERR09G02000 transcript:LPERR09G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRIFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEPIV >LPERR09G02010.1 pep chromosome:Lperr_V1.4:9:3146449:3147137:-1 gene:LPERR09G02010 transcript:LPERR09G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRKEKGEVLHLHLAFTAIAYPGRRREDQGRTSTRSLEITKGRNEDHHRHSIHLLRRLVDPRRIHPGIPRRCLLAARRIREALSCHRANRARVAEERTMAAAEVDAAIVLAALDAVETVHAGNAAALACHHHHHHAAPPSFRWDTARRRRDVACGRCMESMRLRRMLVTLLCFLAYVSAPKPPNFALHTSYTCNF >LPERR09G02020.1 pep chromosome:Lperr_V1.4:9:3158281:3160287:-1 gene:LPERR09G02020 transcript:LPERR09G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPFLLLLVLLGFALVAFCSGQNQYIYHGFAGKNLTIDGTSKITPEGLLELTSDKNDLNGHAFFPTPLHFRRSPNSTVQSFSANFVFGIQSFYTDRSYDGMAFLVAPTNNLSTAWPDGYLGLFNISNRGNSSNHIFAVELDTFQNSEFGDISNSHVGIDINDVQSVNSSFAGFYDDNSGIFRNLTLYSGQAMQVWLEYSEEATQITVTMAPIDTPKPKMPLLSATYDLSTVLTDPVYIGFSAATGPVSTRHIVLGWSFGIGVPAPAIDITKLPKLPRVGTKSRSNVLEIVLPIASGMFIIIVGAIVILLVRRKLLYAELREDWEVDFGPQRFSYKDLFHATQGFKKKNLIGVGGFGKVYKGFLAASKLEVAIKKISRESRQGMKEFITEVVSIGRLRHRNLVPLLGYCRRKNELLLVYNYMSNGSLDRYIHDVENRPILDWAQRFQIIKDVACGLFYLHEKWEKVVIHRDIKASNVLLDAQMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPEMVRTGKASPLTDVFAFGAFLLETTCGQRPVKQDSQGNQLMLVDWVLEHWHVGSLTEAVDMRLRGDYNVEEACLVLKLALVCLHPFPASRPNMRQVMQYLDKDSPQPELAPTHLGFSKLPLMQNKGFNPSVMSYPELMTSIGTFSGLSGGR >LPERR09G02030.1 pep chromosome:Lperr_V1.4:9:3162257:3164275:1 gene:LPERR09G02030 transcript:LPERR09G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPILLLLQILLLGFDLVNFSCGEYAFIYSGFAHNNITLDGAAMVTANGLLDITNGSTRLNGHAFYPIPLRFRNFSTGMVQSFSTYFVFGIQSTYPSQGFTFFIAPSKNLSSALPIQFLGLLNNQNNGDMENQIFAVEFDSIKNIEFQDINNNHVGIDINSLISVNSYPAGFYNDKDGNFNNLIITSSEAMQVWVDYNGDIAQISVTIAPMGMAKPLKPLGRAIHNLSIVLSEMAYVGFSSSAGRDNTRHYILGWSFGLSSLAPSIDITNLPKMPRFGPKPRSMVLEIILPIATAVSIFSTGTIIVLLVRRHLRYSEIREDWEVEFGPHRFSYRDLFHATEGFKNKNILGIGGFGRVYKGFLPVSKLDIAVKRVSHDSKQGMKEFVAEVVSIGRLQHCNIVQLLGYCRRKGELFLVYEYMPNGSLDKYLYGQEDKLILTWSQRFRIIKGIASGLVYLHEEWEKVVIHRDIKASNVLLDAQMNGRLGDFGLARLYDHGVDAQTTRVVGTIGYLAPELASSGKATPLTDVFSFGIFVLEVICGRRPIKEDINGNQIMLVDWVLEHWQKRSLTDTVDIRLQDDYDVGEATMALKLGLLCSHPFADARPKMRQVMQYLEGEVSIPEDMPPHLSFEMLTLMQNEGFDSYVMSYPSSRTNHSVTSHGSLMSALSGGR >LPERR09G02040.1 pep chromosome:Lperr_V1.4:9:3172310:3178264:1 gene:LPERR09G02040 transcript:LPERR09G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVPESQDLPRRYGGRVTAFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASWVTARCGRRPSMIIAGTAILAGSAIGGSAVDISMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVSARITNFFTQKIRQGWGWRVSLAVAAVPGGFLTLGALFLPETPNSLLQQRKDKNRVRVLLTRIRGVHDVEDELEDIAAAINDKCNSSRGLQMIVTQRQYRPQLSASLLSVVVTGFIGTTSTFISMFLVDRFGRRTLFLVGGAQMLVAQLTIGCIMATQLGDHGQVSKTCALVLIFLIAVYVSGFAWSWGPLGWLVPSEIFPLEVRSAGQSITVAVNFLLTTAVAQLFLAMLCRMKAGIFFFFAAWLLAMTTFVYLLLPETKGLPIEQVRKLWAQHWFWRRFVTASNGEQDKLDC >LPERR09G02040.2 pep chromosome:Lperr_V1.4:9:3172455:3178264:1 gene:LPERR09G02040 transcript:LPERR09G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVPESQDLPRRYGGRVTAFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASWVTARCGRRPSMIIAGTAILAGSAIGGSAVDISMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVSARITNFFTQKIRQGWGWRVSLAVAAVPGGFLTLGALFLPETPNSLLQQRKDKNRVRVLLTRIRGVHDVEDELEDIAAAINDKCNSSRGLQMIVTQRQYRPQLSASLLSVVVTGFIGTTSTFISMFLVDRFGRRTLFLVGGAQMLVAQLTIGCIMATQLGDHGQVSKTCALVLIFLIAVYVSGFAWSWGPLGWLVPSEIFPLEVRSAGQSITVAVNFLLTTAVAQLFLAMLCRMKAGIFFFFAAWLLAMTTFVYLLLPETKGLPIEQVRKLWAQHWFWRRFVTASNGEQDKLDC >LPERR09G02050.1 pep chromosome:Lperr_V1.4:9:3182342:3183961:-1 gene:LPERR09G02050 transcript:LPERR09G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSMQGRVRGGSGSSGGRSHDWMEEVNLRITEEADGVHLFLPSYFRRFDAFDNEEHPSIFKPRPRPNQDGAVTAMAPYQRPTSPSQQPLTDPSREQGQLQQAQPTETEDQIVVAAIGPYHQGSAQPPLITYAKKCGIVKYLTQFNLDVINFLGWARNNEERARRCYERESLEISSEEFAKMLLLDGCLLLFAVFLLRPSVREDQRPVDLARDADHGREFNYLSADISFHMKQTRLDLLKLHNQIPFFVLTELHSRLKGTLFNGINHSLEELALSCFQDVHPIGLIHPRMHGHGNSGGDRFPSTVHHLLHLFHWSLVPREKHAVGISSMLPREPESHLPSATELHEESMTVFRKQKKAKGRNLCLDITFESNMLATRGTMRLPSLHIRGYTKAVFRNLISFEQSHLRCGHGVTAYAICMSRLLQTETDAKLLRNNGILPHTQQTDQEIVDFFRQLVDECRDTCVPDDLLKLCNSVAAHHRSMGVRFVKGFVLQCFPKQTVTFFVIFGAIISIATLVHTIHSMYRYYHPFSHLAPVGR >LPERR09G02070.1 pep chromosome:Lperr_V1.4:9:3204756:3207447:-1 gene:LPERR09G02070 transcript:LPERR09G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPEKVKLLLGVLALQCCLAGFHVVSRAAINMGISKIVFTVYRNCIALALLIPFAYFLEKKSRPPITFSLLVQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGMAKVIGTVASIGGATIITLYKGTPLLNFLSSRIMGANIVSQNVVLDWTMGCLFLLGNCIAWSGWMTPVLKIYPARLSMLALTLTFGLVQLLAIAAFWENDIRKWRLHSGEELFTILYAGLVASGLALSLQIWCIDRGGALFTAIFQPVQTVMVAILAAVILGDQLYTGGVIGAVLIVIGLYFVLWGKTEEKKSSNSNQKIQPDYSRHLLSEESSGPTTERSDVP >LPERR09G02080.1 pep chromosome:Lperr_V1.4:9:3224349:3229895:-1 gene:LPERR09G02080 transcript:LPERR09G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTSSASLRLLTKPPKPLLSKPHLLTLCAPVSFQRLVAVRSGASPSPSPSAAASASVNPSQLPPALRDIVALFQSVPDPRTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPEEGSPEGRVRFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKAMEIATGEAPVEEIGGREVVQEVAEPPAVKEKEPEFAAFGAREEEDAVSEVHSHEEEQLEEVPADVIEGNGSLGGGRQERIRECLEWGLSPVELEIKDISHLHKGHAGVAGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKSGLHALSIDAKTPSEV >LPERR09G02090.1 pep chromosome:Lperr_V1.4:9:3237023:3239824:-1 gene:LPERR09G02090 transcript:LPERR09G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKVEMKVTMVDEKKKSKVMQIIAKHSGILSITADREKDKVTVVGDENMDITSLTMELRKQIRRTTIVIDTVTAIDEKKEKEAKEKKEEEEKEKKEKEKKQQEEDSRPKIIYMPYGCLDEPSPSCCQM >LPERR09G02100.1 pep chromosome:Lperr_V1.4:9:3238170:3238547:1 gene:LPERR09G02100 transcript:LPERR09G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGNADPVEESEVGMLVGAPSKRVQVVEVFALVLMLRVSMLYDRAHIAASLRHHDVGGSGGGGRDGTRAAVYSTKLRRLLRAFPTITWGRIGGGHGRSGHRSSTGAAGVARGFHPAVSAGVGEG >LPERR09G02110.1 pep chromosome:Lperr_V1.4:9:3248145:3250058:-1 gene:LPERR09G02110 transcript:LPERR09G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRWGEKESREQKVEIKVPIVDEKKKSKIMQTISKQSGILSMTVDREKNTVTVVGNEDLDVTDLTTVLRKRMRSTHIVIDTVTQVDEKKEKEEKERKKMEEEYYKNYWPSFFYPPHYAVHQTCQTADACCLM >LPERR09G02110.2 pep chromosome:Lperr_V1.4:9:3248145:3248860:-1 gene:LPERR09G02110 transcript:LPERR09G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTISKQSGILSMTVDREKNTVTVVGNEDLDVTDLTTVLRKRMRSTHIVIDTVTQVDEKKEKEEKERKKMEEEYYKNYWPSFFYPPHYAVHQTCQTADACCLM >LPERR09G02120.1 pep chromosome:Lperr_V1.4:9:3298514:3302392:1 gene:LPERR09G02120 transcript:LPERR09G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAKKYDRYMKGMNEELPGVGLKRLKKLLKKCRSDLQSHENDGAAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTTKGHKSHGTLIQQGKDLVTYAIINAVAMRKILKKLLTKGLSCQIHYSKQGQEFKAQAQSLHIEILQSPWLSELMAFYINLRRSKKDKGVMELFGDCSLIFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPHAVHLDELNMLLSYSCPDYWEKRIQMERVERVRLAKEHWESQCRAFLGM >LPERR09G02130.1 pep chromosome:Lperr_V1.4:9:3308239:3309424:-1 gene:LPERR09G02130 transcript:LPERR09G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQKIVLKLLLDGEKKKRKAFKAAVGTNGVTSASMEGDKLIVIGEGVDAIALTTMLRRSIGNVELLTVSNGDDKMKMAGGMGMGMGFGGGGHGGGGMGMGFGGGGHGKEGKEGKEGGGKLVADHGAAPMMQYPAMPPAYQQYNPAPATYPVYPSYPGYSQQEQDPGCSIIVQNQAKVCGWLEEVIMHGQAKMIQRGGWIEVLKV >LPERR09G02140.1 pep chromosome:Lperr_V1.4:9:3320575:3323636:1 gene:LPERR09G02140 transcript:LPERR09G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAFILPALLLLAQGFSAAEAAVGVNWGTLSSHRVPAPVVVDLLRANRIGKVKLFDADPTVLRALAGSGIQVMVGITNGELAAVAGSPAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSLVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPVQNLDNVANHLKLACSMADCTTLSYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGLGMITYLDPSLGECRFLVGIDDSKSSAAASCGCGCGVCCGVWVLFLWTFMYLRMMGSV >LPERR09G02150.1 pep chromosome:Lperr_V1.4:9:3323538:3323964:-1 gene:LPERR09G02150 transcript:LPERR09G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTILALVSWLMLLALFITNTTASLPCRCCWLVQHPKVTCGHACCGENCCPPTPPPPSN >LPERR09G02160.1 pep chromosome:Lperr_V1.4:9:3325410:3327955:-1 gene:LPERR09G02160 transcript:LPERR09G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEYVTTSRELLEKLAAETVSEGISSIAKGNLNEYSDWIEELEVRLASELPAEEKAVEAIRKEESYQAEQFKSPIAVSSGLRRRLTLTGSELEADGLINAKVRNVGQPLRLDAASQDNIEKHRNLQEDLTDEIVELAHQLKDSSLMMNQSVQAAYKLDFHFWMLLCNLPFFFSWI >LPERR09G02170.1 pep chromosome:Lperr_V1.4:9:3331017:3331954:1 gene:LPERR09G02170 transcript:LPERR09G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNILALFIWAMVAVIIAVSVPVAARMEGIHPQGCRCCYFKFRPMIQCAKACCGSDDENCCLVNN >LPERR09G02180.1 pep chromosome:Lperr_V1.4:9:3341629:3348591:1 gene:LPERR09G02180 transcript:LPERR09G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCRIGFDLELEMEASCGVVLAKGGSRGRDIGVKQLTANPVCCINWNRLLADVGEIKEGLGLLDKMVQKVRNGVGLKLIALMKGL >LPERR09G02190.1 pep chromosome:Lperr_V1.4:9:3361961:3363624:1 gene:LPERR09G02190 transcript:LPERR09G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQDLIGGFYSYVRSIDGATTLENVWVTSSRPYLINLTCKKLQMVLHEDKGMDHDCFNLAVRSFVYEDVHMTKTSQGGVSNHFMDLRFWISLGFARGKNYHREPTNDELFMYASSWPDCGYDFSHCKSDIVWLSCVPIYEVMEQWRAKVANI >LPERR09G02200.1 pep chromosome:Lperr_V1.4:9:3376311:3376862:1 gene:LPERR09G02200 transcript:LPERR09G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGETDTSMVESAGESSNSDVGGEKTILLISSENEQFEVPESAARLSRLVTHMIEDDCANGGIRLPNVTAEILVKVVDYCNKHAAVNSDADANDLSTQMELKKFDKEFLDQMKDDEMLMNLILAANFMDIKCLFNMACQWVADMMTGMSKEQIIKRFGRFGIENDLTPEEEEAVREEVAWA >LPERR09G02210.1 pep chromosome:Lperr_V1.4:9:3394862:3397220:1 gene:LPERR09G02210 transcript:LPERR09G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAIALAAMVVLVAVIALGTIAIEFTEEDMATEQSMQKLYERWCSHPEVARNDDNKALRFAIFKQNIRQASHITRWYRQFHDLAGLDFLDPDDASVYVTEEDDLCETTFANCNPDQTGSFLLAHGENAPGCRPWLFEAITTCLIVLCCILTFILVIFASEDDEYRFMVIISNSKLK >LPERR09G02220.1 pep chromosome:Lperr_V1.4:9:3398915:3402751:-1 gene:LPERR09G02220 transcript:LPERR09G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACRDAAATNTSYTNHNPRRRFLSCACKNDPNKDGGCQDILHHCVDQMVQYCNNASTQAFVEALTVLSMRGM >LPERR09G02220.2 pep chromosome:Lperr_V1.4:9:3399768:3402751:-1 gene:LPERR09G02220 transcript:LPERR09G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCACRDAAATNTSYTNHNPRRRFLSCACKNDPNKDGGCQDILHHCVDQMVQYCNNASTQAFVEALTVLRQRFCYYYYTMF >LPERR09G02220.3 pep chromosome:Lperr_V1.4:9:3396592:3402751:-1 gene:LPERR09G02220 transcript:LPERR09G02220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCACRDAAATNTSYTNHNPRRRFLSCACKNDPNKDGGCQDILHHCVDQMVQYCNNASTQAFVEALTVLRNAGSYK >LPERR09G02230.1 pep chromosome:Lperr_V1.4:9:3403191:3404006:1 gene:LPERR09G02230 transcript:LPERR09G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNIFADMTDDEVTELTTCNIEEPSDQGAAAAPLYKSGLANDDIKLDLPVAIDWRQEGKVTRVKRQFTCGSCWAFAAAAAVESLNAIWYDELDDLSPQQLMDCDTSSKACNGGSFIRAFEWIRKNGGLARYDQYPYLARKGNCSTSLVGTVTIDGYTRVPPMSEAELRTAVADGPVAVSVDSSDISFKRYPGGIYKGPCSGRNLTHSLLVVGYNSTSSGDGFWILKNSWGEHWGENGYMLLKRKVNDESFGTCGILQTPAFTVNFHDYNE >LPERR09G02240.1 pep chromosome:Lperr_V1.4:9:3415639:3417508:1 gene:LPERR09G02240 transcript:LPERR09G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENNLGASNLPNETKESTEHSKDSFVGITKNDHIDHRELESSDLQDLGKKNLPSKEEEIAMDTKDVPEEIKPKEPKQEDLENKTTSNIGKRTRQDNSGRKTKGQQYIYLNQSRIYAGPTQYPRGRGPTRGNLPYGFV >LPERR09G02260.1 pep chromosome:Lperr_V1.4:9:3432626:3433201:-1 gene:LPERR09G02260 transcript:LPERR09G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSPWNMSYNSYMSRYAHACACRGLDSAKGLVHMLLYGTRVLTKQGVPETVVVGRWRCEESGGDLPGWRNHRGGGGWPEKGKNDVPTLRVVSGQITLAKPCRGLGELSGEARRLGVALVQRNGGWSYVGASVGRWRERRRTPCARSEDLGKRKEGEG >LPERR09G02270.1 pep chromosome:Lperr_V1.4:9:3433218:3433574:-1 gene:LPERR09G02270 transcript:LPERR09G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKFLFSWGPHVSGSAFAEKPSRSDPIVDEFKNEVPSSSKREQPWLSSVYGLPAANGASKRFEPKRKNVENVLAHP >LPERR09G02280.1 pep chromosome:Lperr_V1.4:9:3461356:3463176:-1 gene:LPERR09G02280 transcript:LPERR09G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASSVGDQEVGRRPTDVFVICASMDVCSNASSILLLRVFIAVKSKVTDNLSKHSSPETQSAPGQPWVRGWDDKRGVDGPKCGNE >LPERR09G02290.1 pep chromosome:Lperr_V1.4:9:3472882:3473335:1 gene:LPERR09G02290 transcript:LPERR09G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENNLGASNLSDKTKESMEQSKDNFVGITKNDHIDHPELESSDLQDLGKKDLPNEKEEIAMDTKDVPEETKPKEPKQEDLENKTTSNIGKRTRQDNSGRKTKVSN >LPERR09G02300.1 pep chromosome:Lperr_V1.4:9:3502306:3503142:1 gene:LPERR09G02300 transcript:LPERR09G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHHLLLRPLLRGLHSTAPAMARPDQHEFSKPSEYLGSWGEPGGDSREAWAWLERLRKGYARDQQQQQEEGIGNGAGGPLLT >LPERR09G02310.1 pep chromosome:Lperr_V1.4:9:3564655:3575036:-1 gene:LPERR09G02310 transcript:LPERR09G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQILTAAADGDIPLVKKLAERLRKSGKGLEQVVEEIKDKRIRRRGPLHLAAWGGKLEMCRFLIKEFLLDIDGVDEEGVTALNFAILGSKSTAVVRLLLDRGADPNKADQNGITPLHNATIQGTYEIAELLLSKGAYVDSVCEKGASLHIAAEDGNVEMMEVLLRHQADPKRTVRLFYTPLTVAIFASSLDCVEQLIKAGADVNAGRPVTPLVVAANDGLTDCIKCLLEAGADANIPDESGRMPVEIAASQGWMECVEILFPHTIPIARFPDWSIDGIIQHAKSGSPKTQDHFPKEYDGSSLKAQGETAFLEKDYANALASYSMAVETNPSNSTLYAKRSLCCLRMGEYDKALDDAYTYRDMEPDLSNSCSEQAAALILLKVNSEFKCEDDIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPESLMGKDTIADLLTSIRNVDMNKKGTVRIEKLD >LPERR09G02320.1 pep chromosome:Lperr_V1.4:9:3616119:3618812:1 gene:LPERR09G02320 transcript:LPERR09G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTDSQLELVKGDTPSTTLPPSPQATVMEICPAAAQVATSSAIIPTVNITQSTTASIAPAATSQATPSPALALMTTVDVPSADKGNQVQGSLAAIEPSAGSDGEKTVSDEIIGWRYGPNPDQVALMDQIEDRKNMTRLIQLMSESSDLVLLRTPTPKMPSCIAGPDPALVEAKKQAEEQVLNLEAELTWLQGENEELIKANDSAEKKLAHAITLNVKSHEQANYYKDKLETLSKKHEDLKKKAAYELSAMRTKHNDEFMKMKAGLEEARRMNAKLYQAAEPILDILHAATAESNTSSLQSVIEHLQSAPARLKKIILESASVAYESDNRPEA >LPERR09G02330.1 pep chromosome:Lperr_V1.4:9:3638934:3641106:-1 gene:LPERR09G02330 transcript:LPERR09G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLYQSLLLSVAAVTVLQLLKLLLMRRSRTPPGPWRLPVIGSMHHLVNVLPHRKLRDLAAVHGPLMMLQLGETPLVVASSKETAREVLKTHDTNFATRPKLLAGKIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMLRVNEIRAAGPSTPVNLSVMFHSVTNSIVSRAAFGKKRKNAAEFMAAIKSGVGLASGFNIPDLFPTWTSVLATVTGMKRSLQGIYKTVDAILEEIIVERKGIRDEKIKNGVENVDENLVDVLIGLQAKGGFGFHLDNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAQIREAFKGKATVTEADLQASNLRYLKMVIREALRLHPPAPLLVPRESIDVCELEGYTIPAKSRVIINAWAIGRDPKYWDDAEEFKPERFDDGTIDFMGTNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPVGVNEVDMKEAPGLGVRRCTPLMLCATLFVPVISCN >LPERR09G02340.1 pep chromosome:Lperr_V1.4:9:3681769:3682294:-1 gene:LPERR09G02340 transcript:LPERR09G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASADVNGGKTLTPLIIAAYNGLADCIKYLLEVGADANIPDESGTMPIEIAATQGWKECVELLFPFTSPITKIANWSIEELFQHMQFLSSKTQVPPIIFHFYFLDGSLIFIIYPKRMLCTISSNISCVIYICCLTLQLFV >LPERR09G02350.1 pep chromosome:Lperr_V1.4:9:3706990:3711349:-1 gene:LPERR09G02350 transcript:LPERR09G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGIQIAKRLQAAGKSAEEEVMAVNAPLTRRGPLHRSAWAGKLVMCKFLVKDLGFDVNAVDSDGRAPLHFAIQGHGGISVVRFLVDRGADLNKADRLVLMSMLVSL >LPERR09G02370.1 pep chromosome:Lperr_V1.4:9:3726012:3731450:1 gene:LPERR09G02370 transcript:LPERR09G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRASVPSYARVLSTQTGFASCKKSASAGPFVSLNQRRFAVRAMSQSGAQGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESTKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >LPERR09G02380.1 pep chromosome:Lperr_V1.4:9:3733080:3733514:1 gene:LPERR09G02380 transcript:LPERR09G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVESAKEAAANVGASAWAGKEKTKAVVQAAVDKARAPDAPAKDAADARKAERIRDVEATKRHAMRANAAAKEHATAATATYHPSSATDGGVAGRAMDEHSIDSGGVAPGDGAMERAPAATSAGVAPGDGYPPASTT >LPERR09G02390.1 pep chromosome:Lperr_V1.4:9:3738655:3746780:-1 gene:LPERR09G02390 transcript:LPERR09G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEDGLICSTSCYKMCGHDDNGEVGWLNMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDSPVETDSEEEIELLDTGQIHPGILFYDESTEEKKGGSAQMEECQPCHAAADVDDDSSLTIPTSQNISSGQLQLEIHLDSTNENSVLPNVILDANVSTDPHQNIISSDRLTETCHSVPSEDTDLKQSDTVDVEEVSSLLMADGEMTSLNEQVMDQTEDMKEDNTVYNNTMSSEQHMNLGLEFEKGDESSDYLYPVVMASFDADPHIWLPPEPVNKEDDTDIVANIIDDCDSSDSWVQSSFNTSFDAKRNKNNREDQLQKAMSEVMNGQFKILVSRFLAAEGLSSDGESDKNWLDTVASLSWNAALLVKPDANVGNAMDPCMYVKVKCIASESCEQSEVIKGFVFKKSAAHKQMRANIKHPKLLLLRGVLGHSSAGLLSMNSMKQENEHLEKTLTDVISKCQPDVILVEKAVSRNVNEFIQKKGVTVVSDMNIHRLERIARCTGCQILELQNVLAKPDLIKQCEFLHFEKFNEEHDITGEGGKRSTKTLLFLEGFPKPLGCTILLKGSTSEELKKIKRVLHYTVFAAYHLILETSFFADQRLFGTGKNATEKDNFLKTDPQLLVPCTVAPHSKFCSDIAQNSDATKLALNILASDGEYVNGNGFVNSERSACMRDPKTETSRGHVDRELNDSDNTQSYSSLPKNGLDGKNCLETVSDGMSTENRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEVKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDITLSGEDQGRIWMWTRCLRCNGKPTQRVLISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGRKVAMFRYSSLEIYSASKPPLTLEFHNPDKREFLEAEPNNVRKKWRLLFSEAENKVQILKSGDFGQALRENTNISVHEELLLEVTRMLTQEKDEVEVYLKEFDLVKSGTSAHETLDLNWLNQLLLLGVYIWDVRLQHILQYSKVNTTSSDSPIQKRTPENVANNYEITSAHEALSLTNLGTERQEENIDTCHSFDSSRVGIILEKEQLNEKSVIQEPDHDVRLSLSSRGEDGGSHGVDKYAHIADNFCLEKSVLLPVKNNELPEQTSGNEMCHSSLPSKCFKVFPNLLNFLSNDARKWVWGSFRHLEKEYKKELQSGYLEKFHLINKYTPSFISLAQIKSQIDMVQFIVGPSGSILSIVEEEASSMIAYALSISEQQGIYSEAAIVKDEVISTQSNLTGDTAVPSAILSPNDSLEKDHNLLRNVSSLSSEESTSGFYDSFLSALKDLHPEFSLNNEKLTLKSKYTVVCIYAKQFYDLRKICCPSEIAYIASISRCKEWNAQGGKSKAFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHLGVSQASVNTTCLAKILGIYQVKEIRNGKETRTNFMVMENLLFGRNIVRRYDLKGALFSRYVLDSKNPEKVLLDQNFIEDMRDMPIYIEGKTKNLMERAIWNDTAFLCRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKIRFRAFMSRNYTLQSVD >LPERR09G02390.2 pep chromosome:Lperr_V1.4:9:3738655:3746780:-1 gene:LPERR09G02390 transcript:LPERR09G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEDGLICSTSCYKMCGHDDNGEVGWLNMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDSPVETDSEEEIELLDTGQIHPGILFYDESTEEKKGGSAQMEECQPCHAAADVDDDSSLTIPTSQNISSGQLQLEIHLDSTNENSVLPNVILDANVSTDPHQNIISSDRLTETCHSVPSEDTDLKQSDTVDVEEVSSLLMADGEMTSLNEQVMDQTEDMKEDNTVYNNTMSSEQHMNLGLEFEKGDESSDYLYPVVMASFDADPHIWLPPEPVNKEDDTDIVANIIDDCDSSDSWVQSSFNTSFDAKRNKNNREDQLQKAMSEVMNGQFKILVSRFLAAEGLSSDGESDKNWLDTVASLSWNAALLVKPDANVGNAMDPCMYVKVKCIASESCEQSEVIKGFVFKKSAAHKQMRANIKHPKLLLLRGVLGHSSAGLLSMNSMKQENEHLEKTLTDVISKCQPDVILVEKAVSRNVNEFIQKKGVTVVSDMNIHRLERIARCTGCQILELQNVLAKPDLIKQCEFLHFEKFNEEHDITGEGGKRSTKTLLFLEGFPKPLGCTILLKGSTSEELKKIKRVLHYTVFAAYHLILETSFFADQRLFGTGKNATEKDNFLKTDPQLLVPCTVAPHSKFCSDIAQNSDATKLALNILASDGEYVNGNGFVNSERSACMRDPKTETSRGHVDRELNDSDNTQSYSSLPVPDPSRNLIGDISSHFAKLTSCDDFVGSTCDSNSNNVILQKNGLDGKNCLETVSDGMSTENRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEVKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDITLSGEDQGRIWMWTRCLRCNGKPTQRVLISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGRKVAMFRYSSLEIYSASKPPLTLEFHNPDKREFLEAEPNNVRKKWRLLFSEAENKVQILKSGDFGQALRENTNISVHEELLLEVTRMLTQEKDEVEVYLKEFDLVKSGTSAHETLDLNWLNQLLLLGVYIWDVRLQHILQYSKVNTTSSDSPIQKRTPENVANNYEITSAHEALSLTNLGTERQEENIDTCHSFDSSRVGIILEKEQLNEKSVIQEPDHDVRLSLSSRGEDGGSHGVDKYAHIADNFCLEKSVLLPVKNNELPEQTSGNEMCHSSLPSKCFKVFPNLLNFLSNDARKWVWGSFRHLEKEYKKELQSGYLEKFHLINKYTPSFISLAQIKSQIDMVQFIVGPSGSILSIVEEEASSMIAYALSISEQQGIYSEAAIVKDEVISTQSNLTGDTAVPSAILSPNDSLEKDHNLLRNVSSLSSEESTSGFYDSFLSALKDLHPEFSLNNEKLTLKSKYTVVCIYAKQFYDLRKICCPSEIAYIASISRCKEWNAQGGKSKAFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHLGVSQASVNTTCLAKILGIYQVKEIRNGKETRTNFMVMENLLFGRNIVRRYDLKGALFSRYVLDSKNPEKVLLDQNFIEDMRDMPIYIEGKTKNLMERAIWNDTAFLCRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKIRFRAFMSRNYTLQSVD >LPERR09G02390.3 pep chromosome:Lperr_V1.4:9:3738169:3746780:-1 gene:LPERR09G02390 transcript:LPERR09G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEDGLICSTSCYKMCGHDDNGEVGWLNMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDSPVETDSEEEIELLDTGQIHPGILFYDESTEEKKGGSAQMEECQPCHAAADVDDDSSLTIPTSQNISSGQLQLEIHLDSTNENSVLPNVILDANVSTDPHQNIISSDRLTETCHSVPSEDTDLKQSDTVDVEEVSSLLMADGEMTSLNEQVMDQTEDMKEDNTVYNNTMSSEQHMNLGLEFEKGDESSDYLYPVVMASFDADPHIWLPPEPVNKEDDTDIVANIIDDCDSSDSWVQSSFNTSFDAKRNKNNREDQLQKAMSEVMNGQFKILVSRFLAAEGLSSDGESDKNWLDTVASLSWNAALLVKPDANVGNAMDPCMYVKVKCIASESCEQSEVIKGFVFKKSAAHKQMRANIKHPKLLLLRGVLGHSSAGLLSMNSMKQENEHLEKTLTDVISKCQPDVILVEKAVSRNVNEFIQKKGVTVVSDMNIHRLERIARCTGCQILELQNVLAKPDLIKQCEFLHFEKFNEEHDITGEGGKRSTKTLLFLEGFPKPLGCTILLKGSTSEELKKIKRVLHYTVFAAYHLILETSFFADQRLFGTGKNATEKDNFLKTDPQLLVPCTVAPHSKFCSDIAQNSDATKLALNILASDGEYVNGNGFVNSERSACMRDPKTETSRGHVDRELNDSDNTQSYSSLPVPDPSRNLIGDISSHFAKLTSCDDFVGSTCDSNSNNVILQKNGLDGKNCLETVSDGMSTENRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEVKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDITLSGEDQGRIWMWTRCLRCNGKPTQRVLISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGRKVAMFRYSSLEIYSASKPPLTLEFHNPDKREFLEAEPNNVRKKWRLLFSEAENKVQILKSGDFGQALRENTNISVHEELLLEVTRMLTQEKDEVEVYLKEFDLVKSGTSAHETLDLNWLNQLLLLGVYIWDVRLQHILQYSKVNTTSSDSPIQKRTPENVANNYEITSAHEALSLTNLGTERQEENIDTCHSFDSSRVGIILEKEQLNEKSVIQEPDHDVRLSLSSRGEDGGSHGVDKYAHIADNFCLEKSVLLPVKNNELPEQTSGNEMCHSSLPSKCFKVFPNLLNFLSNDARKWVWGSFRHLEKEYKKELQSGYLEKFHLINKYTPSFISLAQIKSQIDMVQFIVGPSGSILSIVEEEASSMIAYALSISEQQGIYSEAAIVKDEVISTQSNLTGDTAVPSAILSPNDSLEKDHNLLRNVSSLSSEESTSGFYDSFLSALKDLHPEFSLNNEKLTLKSKYTVVCIYAKQFYDLRKICCPSEIAYIASISRCKEWNAQGGKSKAFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHLGVSQASVNTTCLAKILGIYQVKEIRNGKETRTNFMVMENLLFGRNIVRRYDLKGALFSRYVLDSKNPEKVLLDQNFIEDMRDMPIYIEGKTKNLMERAIWNDTAFLCRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKIRFRAFMSRKNRGKKATLTATAARSRHGNSANRRAGVVQRRDHAMETVPIAEPVLC >LPERR09G02400.1 pep chromosome:Lperr_V1.4:9:3746840:3747810:-1 gene:LPERR09G02400 transcript:LPERR09G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPLANPFFFGCPISRRREEVSPSPSPSRLGGAAPPAIDLGFVWFPPPLLRLRVFVLHARSAVVAATVSSSCVSPSPPVVGASAFKCVTQ >LPERR09G02410.1 pep chromosome:Lperr_V1.4:9:3753418:3754200:1 gene:LPERR09G02410 transcript:LPERR09G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKLRDDGAASPLLRAKLPVPLFSLPAVASLTAGDPSDLRLSLSTAFPSLPSLRLSYTPNRSSPSPPLSLAVVLGSGPGGCPSSSSSAITMAVEVNTAGAVSFSLVLKPSMGDFSIRKWFDSGSAAAAPPASEVTMRSAVPVRGGAAEVRVRWGVRIPAEVSAGGEYGAAALALRRLPFLVLRKVTVARRPAAAAAAIGDEVREEEKTRRENEKLRRELEELHAEKGTSATMSAAAAAAVGRRSSGWRSPPEMAGGR >LPERR09G02420.1 pep chromosome:Lperr_V1.4:9:3758137:3763558:-1 gene:LPERR09G02420 transcript:LPERR09G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPSVLSNPSGLVRIISEFRIIHWKPKDQIEKPDQPISYTTQWAITTLTHKMWAMSSPIAFFDEDGGQNQQQAY >LPERR09G02430.1 pep chromosome:Lperr_V1.4:9:3758252:3768563:1 gene:LPERR09G02430 transcript:LPERR09G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSVVDAYDDLLGVRTWIDDPGTSHAHGSTSGWTPYKNWSFVLKSLLVFSITSNMLAERMTRLFWVDLDEDSMQLFTRYQRLQPCDHHQGP >LPERR09G02430.2 pep chromosome:Lperr_V1.4:9:3758252:3766708:1 gene:LPERR09G02430 transcript:LPERR09G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSVVDAYDDLLGVRTWIDDPGTSHAHGSTSGWTPYKNWSFVLKSLFLLNSHQMVVEPLFYETSFSFSNLRYYT >LPERR09G02430.3 pep chromosome:Lperr_V1.4:9:3758252:3767161:1 gene:LPERR09G02430 transcript:LPERR09G02430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSVVDAYDDLLGVRTWIDDPGTSHAHGSTSGWTPYKNWSFVLKSLFLLNSHQMTYKDPTPGKK >LPERR09G02440.1 pep chromosome:Lperr_V1.4:9:3766792:3772262:-1 gene:LPERR09G02440 transcript:LPERR09G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFYTVLRVEGAALAGARARLPGGGPPQSVDYGGEPSDSSGTADDLPPQYPNSRGIRGSGREKEGLITELRKELRVSDKDHRELLNRVNNDDIICSIREWRSLGGPQAMLPNNPQPIHDMAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQAPPSSSTAKKGVPSGHKGKKTKSGQKVPESPSLKALPGPSARGPHMNRNFSVGRTPFELSEALHINPLINRKVMSRWPEDNSFYEATITDYNPVTDLYALAYDMDTANESWEWVDLKQMGPEDIRWHGDDPGIYQGGRGPPGSGGKKSSSRGGPAPGTGRGRGFPKHAPRKDFPPSQNGVGRRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGNQHQAMALDGGHMVGGADTV >LPERR09G02450.1 pep chromosome:Lperr_V1.4:9:3778400:3782511:-1 gene:LPERR09G02450 transcript:LPERR09G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLSSPRYAPHPSTSTGCDLDLSPLLVLTTPAARHLLNAAFDGDVARVKKWARRLTMSGKGLEAAAVAICDLARHGFLHFAAAMGQEKMCQLLIQHHKHGVNSSDRNGATPLIFAIKGMQSASVVSILLDSGADPNKADRSGLTALHIATEQDSHEIMEELLIRGAYVDPISQYGETPLHMAARNGNSRILKLLLEHNADFEQMARLLYTPLSVALFGRSSDCMKLLVEDGRTPIEIAAFEGSVDRINSGSMMRAVGNAAFWKKDYALASNLHMHDSFVSDEEKERDEKLMAHFHSMLSSLFSVIFPAMMALFVADTEVCAFVKTIMLTIFLMTGAIAIFGIIISTSIAERKWVPVASAITLYITLFSLGLFHFSLGLLYPKIQNLQSNVSFYEDGYSLLNIGVFIGSSTVIIFLWGFIHQTPEEAVTDKTCVIAVVKKDSVNIRK >LPERR09G02460.1 pep chromosome:Lperr_V1.4:9:3785899:3786450:1 gene:LPERR09G02460 transcript:LPERR09G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNDSRHQPVPENSNRVVDRIIFQLEEWAKGNFGGAGVVARNDQGEFLCASAIFLESIPDAVTAEGLACRRALQIASQFGFSKVIFETDSLEITSLVNGAQANRSIHRYLIQDLKRRLQYLPEAKLVWSRRSPNEAAHLMAQEGAAE >LPERR09G02470.1 pep chromosome:Lperr_V1.4:9:3792628:3796094:-1 gene:LPERR09G02470 transcript:LPERR09G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWQALNPSVFSVFPKSSKDKDDGIVALFGAYAHIVGKSLPNPLGFPGETPPASRGQEFFFLTHDASPSSSREKLLLLRPPGPLLRLDAASPLLRLRRHCRTSLLKISVCHHDLKLENTPLDGSTAPRLKICNFDYSKVDVLRWTNYQNGCKVLLAQVQT >LPERR09G02470.2 pep chromosome:Lperr_V1.4:9:3792697:3796094:-1 gene:LPERR09G02470 transcript:LPERR09G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWQALNPSVFSVFPKSSKDKDDGIVALFGAYAHIVGKSLPNPLGFPGETPPASRGQEFFFLTHDASPSSSREKLLLLRPPGPLLRLDAASPLLRLRRHCRTSLLKISGPAAAVAMKAINKQLDL >LPERR09G02480.1 pep chromosome:Lperr_V1.4:9:3797717:3801343:-1 gene:LPERR09G02480 transcript:LPERR09G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQLMPREQPSGLEGRTVDVGNVRVHVREAVAEGGFSCVYLARDAVNLAKQYALKHVVIQDEESLDLVQKEITVMRSLKGHPNVVTLVAHAILDTGARAREALLVMEFCEKSLVAALESRGDGHFDEQQVAIIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGGDGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDARPDITQARALLDCPFTSMNLAPSRPTISPSRENISSTSPEDSSNLRLQGPSKATESKVSMGAFWSTQHAQELAFVDDMGSTFDNKESVHQVSSVQPQQKNHTTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKMKETKTENKPSVQATNFNSFVADFDNVKVNLQNNVSSLNATRRLKEQRLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALATASATKSVKELKENPKNELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKSAHPRSVRTLRASNSNKASSLGQSNTSSGADLFAFGQDSFKVAPSRAVPSKMSNLGNGSQSLNALKAEAKQDAPYQPVGWTGF >LPERR09G02490.1 pep chromosome:Lperr_V1.4:9:3805081:3805737:-1 gene:LPERR09G02490 transcript:LPERR09G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLHVKPFTSSPPPLPLSGDLKLIKIKHLLHAFLLKHGGRLAVALGGAKAMLLDLLNMENGLIARGSIRLRNKIKMKKKKKTKKPWCHGDNDNDGEMVTMRLKLLPPDAVPPLLLPLSSSEIAAVEQFDGELAYFDSSWNTMITVEAEQQLRPITGYLSWPDQDQDQDQGEEDEEEDGKNEIDRLADKFIARCHERFILEKQESYRRFHEMLARSL >LPERR09G02500.1 pep chromosome:Lperr_V1.4:9:3810360:3813918:1 gene:LPERR09G02500 transcript:LPERR09G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNLRNLVDEEVVLKTGNAAGIGLAYGTVWGGIVSMIRDGPQVGSNVKYPELVRIGKVCGNYGASVALLGATYVGIEQSLEKYRKKKDYINGAVAGFAAGATVLGFRVRRLPTAILSGSALALTSVLLDVTGMKD >LPERR09G02510.1 pep chromosome:Lperr_V1.4:9:3814276:3817165:1 gene:LPERR09G02510 transcript:LPERR09G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFSPPRGSCIAVRIRHGARPTGRSNLFLRRKAGGSAIAVRAEVSFVNGDEAKRLVAEEGYTVLDIRDRTQHERAHIKNSAHVPFFIENEDNDIGTIIKRTAHNNFAGLFFGLPFTKLNPEFTKTVKEKFSPESKLLVVCQEGLRSTGAASALEREGFQNLACISSGLQTLKPGTFESVGKSELQNAGKAGLVTIQGKISVVLGTVLISAYLFITLFPDQAEKLFDLAGIKLIVSLSKHFDTPLGESYFHCKVNLSVGGLAGSYHVDKKVRLDV >LPERR09G02520.1 pep chromosome:Lperr_V1.4:9:3817700:3819476:-1 gene:LPERR09G02520 transcript:LPERR09G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTISSSLITPPPLTHRTRTYCRCLLPPAAGLSLWARRRRPAVVVVASSAAVLEAPEEVAARKLYVGNIPRTVTNDELAAMFAEHGTVERAEVMYDKYTNRSRRFGFVTMSTPEEANAAIESLNETEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGEVLSATVSRIPGTSKSKGYGFVTFSSEKEVEAAVSTFNNAELEGQPIRVNKA >LPERR09G02530.1 pep chromosome:Lperr_V1.4:9:3822504:3829642:1 gene:LPERR09G02530 transcript:LPERR09G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGDGASGGTKRKAPGKAAAAAEAAKGKAAGKGKAASKASPAPAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPASRGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQSKILHYMRQMAVITPYAQFLFRFLSDSAEYASSTVFWEMGPDFNGKMTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSQEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREAFYLNSLEGSYKFIDFQSPVFVFRFIP >LPERR09G02540.1 pep chromosome:Lperr_V1.4:9:3833382:3838154:1 gene:LPERR09G02540 transcript:LPERR09G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGELPRIAVVGAGIFARTQYIPRLREIAHLVVLKSIWSRTKESAEAAVELARDFAPEIECRWGDAGLEEIMGDASIAGVAVVLAGQVQVELSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPHKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTVQIERGVDSGKHGYQVLFSSEAGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEWRSSYVEGARDVAVLEAMLESSEKQGALVQVKKF >LPERR09G02550.1 pep chromosome:Lperr_V1.4:9:3845872:3855815:1 gene:LPERR09G02550 transcript:LPERR09G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSREEDHHYNHHPSELPISFRSASPTTMIASSSMSKESSSYDMADFDQASLFSLYLESHDQQSIQEQRQTLNIFPSQPMHIAHPTHEAKSAGVATMLPNGNQFQVLPSPNKRPDQQAGQKISGSPNPSTGPNLPMPNSAKENKSSPIKELKKCGLQKEGSSSGKGATSSDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHAARVQGAMLGTGDQHQGLSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMPDNQLQVFVSGAIAQHEELLSLKGAIARADIFHLLCGVWASPAERCFLWLGGFRPSEAIKVMLKQVEALSEAQLMSIYELQQSAKGTEDALSHAMDALQQSLSDAVAAPDVAGGGFMGHMSLAMTKISTMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVSISDYFHRLRALSTLWVARPRHEDGPAM >LPERR09G02560.1 pep chromosome:Lperr_V1.4:9:3862709:3866236:-1 gene:LPERR09G02560 transcript:LPERR09G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFLRPKNSPAGRRRSSRAASPSPPPLRPTGMSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRYMELVEQREDLKRGREDSDEREAALEELKAVEEHHKKLKEELAAYADSDPAALEAMNDAIEVSHAAANRWTACPPSTQANEILNLTSDNIFTLQQWCSTTFPQAKEQLEHMYREVGSVLLSSFSSL >LPERR09G02560.2 pep chromosome:Lperr_V1.4:9:3862657:3866236:-1 gene:LPERR09G02560 transcript:LPERR09G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFLRPKNSPAGRRRSSRAASPSPPPLRPTGMSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRYMELVEQREDLKRGREDSDEREAALEELKAVEEHHKKLKMMLLRFLMRQLTDGQTTSLLCNNGVQPLSHKQKNNSNTCTERSVLCSYHRFLPCNIFLLFDEPYPNDKTIV >LPERR09G02560.3 pep chromosome:Lperr_V1.4:9:3862657:3866236:-1 gene:LPERR09G02560 transcript:LPERR09G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFLRPKNSPAGRRRSSRAASPSPPPLRPTGMSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRYMELVEQREDLKRGREDSDEREAALEELKAVEEHHKKLKMMLLRFLMRQLTDGQTTSLLCNNGVQPLSHKQKNNSNTCTERSVLCSYHRFLPCNIFLLFDEPYPNDKTIV >LPERR09G02570.1 pep chromosome:Lperr_V1.4:9:3875611:3885336:1 gene:LPERR09G02570 transcript:LPERR09G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPSVTMNLLLLAAASFGSSQALCFLFDREDAQERPIVMPTRSFLELLLRDHVSTSLVNTHQQRDNCEVEGGIDQPSFRPAALPLLQGITVVGDTTLHVVASHGDSDNFLNCASIIYNRDNSLLFGTNKKGDTPLHSLVENGVPIPVWKPLLSRFSNRDYAPGIEGRLSIPIFAPLSSSDCAARAGKCRMVSHLIDQARREDDEKVGHSRLDKFLTKENEQKETALHDAVRIGNNDVVEMLMTADPKLAYLPGEGTSPMYLAILLEKDIIADTLYDKSGGNLSYAGPDGQNALHAAVHRGIENKNVNCNLPNNNAESPWDISERKIPAGFFFDWNPGSMIFQALLYCDARKGIGCLDHFQEQYITRPSPENEAKESEKLTTSTQILGIGSVLIATVTFGVTFAVPGSYRSDDHYNAGTPTLAGRHIFDAFIMANTVAFICSSLATVNLMYSGMAMVDLSLRRRHFNITLYFAHSSVTSLGAAFALGAYVVLAHVAHKTAIAICAMMLVASLWEYTELLNGIAVARALLLRMGNWTFLLFAYSIILTTLLIYWPCVIIFGWAGISRP >LPERR09G02580.1 pep chromosome:Lperr_V1.4:9:3890510:3891817:-1 gene:LPERR09G02580 transcript:LPERR09G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWSHGSTPAPTTTKSTSGSPSSNYLQYGLLDLKPEGARRSRQPDPARTYAQGLQSLGPTRRAPRGVGLRVRQEGHTPQKGFGPPEATWHAPEEGASGGLRGGHIPQKGFGPPETTWHAPEEGTPRGLWGGKGSRPSEATWHVPEEGA >LPERR09G02590.1 pep chromosome:Lperr_V1.4:9:3893973:3898429:1 gene:LPERR09G02590 transcript:LPERR09G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGLFTNDGSFMERFKQMQQQQMQDASKAGAASSTPKATNPKPVIAATSALSKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEEDEEDAGTEREEPSKRQKYAQADAPAAAAAPSGVVAPTLPNDLTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCPDYKYYEFRLAEEERLLAQSTEAEPSKHASSSTTSSRAPSGPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSFSYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQGSSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >LPERR09G02590.2 pep chromosome:Lperr_V1.4:9:3893973:3898429:1 gene:LPERR09G02590 transcript:LPERR09G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGLFTNDGSFMERFKQMQQQQMQDASKAGAASSTPKATNPKPVIAATSALSKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEEDEEDAGTEREEPSKRQKYAQADAPAAAAAPSGVVAPTLPNDLTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCPDYKYYEFRLAEEERLLAQSTEAEPSKHASSSTTSSRAPSGPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSFSYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQGSSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >LPERR09G02600.1 pep chromosome:Lperr_V1.4:9:3898899:3904064:1 gene:LPERR09G02600 transcript:LPERR09G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGGEMGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLQIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLQELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESYNVENAFMTVLTEIYRIVSKKNLVANEESDSSGNSSLLTGTKIVVPGQEPAPPTKATCCMSS >LPERR09G02610.1 pep chromosome:Lperr_V1.4:9:3909695:3914110:1 gene:LPERR09G02610 transcript:LPERR09G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSASGSSSTSAASSSRAASSSSGRRGGRRGQDGFSVDGESGELDGSKNAKVLAALRDAKINYAYESFPWEKKMKDLLPVPSASSFMSLLLLPKSADGSHTKYNPLEDTLARADAWLSSAQAAGVPVRLSSVQTEALLTKISGEMAMSTVNASMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYSPEAGGEMAVEIRLMPGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLGLYRAARRAARLLVVSRVGGERVLPWMVSTAGDVRCFDTVSLSQKLSLHRHAMRPITLHFLMWDRGLAVEDVVAKPPQQQPAAAMLMLMPSPQSPPPSEVEGDHGEEEDAGGKGGNNKEDSSFRFQNIDLLPDSWL >LPERR09G02620.1 pep chromosome:Lperr_V1.4:9:3917813:3929206:-1 gene:LPERR09G02620 transcript:LPERR09G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELRQQTVELGAVVRRAAEESYLSLRELVEKSRAEAEGKGPGGGAYGGRQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMQEGLQQARAPMFDIPSALEIMVTGNYQRLPICIEDIGNQNKLSPDEEKRALQKLDTSVRYKVLVTPRPKEVSNVSVADGIAVLHVDGEFEVLLTLGYRGHLDLWRILHLELLVGDKSGAIKLEERRRFALGDDIERRMAVSDNPFMVLYAILHELCISLAMDTIIRQTNVLRLGRWKDAIRSELVSDSTTGQTGNAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKVSGSAESDSSPFIKIEAGKDMQIKCQHSSFILDPLTDKEANLCLDLCCIDVEKLILRAIACNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVETAKDPMKKTERKGFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSAENILPPSALLDCEEALNKGSITATDAFASLRTRSILHLFAATGSFFGLKVYQKSQGTVKIPNSILHGSDLMVMGFPQCANAYFLLMQLDKDFRPVFHLLETQSDASDKTSTNACTKEAMRFNKIDIGQIQISKSELNANMFDVKLHALQNIGNCDNIMENRLPIQSGIEPLPLLPASSPSFSSIVDEVFEYENGALAPNHCLPQTTSHPGSISVGYQGGSTRANTSIQVGASSAYSGSKNSSGISSYGYLPNNLRHVQSTNAFSSSAVAKSSSIKLPGASSDHELTSLSSPTEHVIADGSKSLQLVPSSKINGSGDVITLGSDGASRKRSLSDLFLNLPSLQGLKPSSPRKRRKISESMESWSSLQAYSSDLQSRTSLTYGNVLAERNNCVPATTYASVLLHVIRHCSLSIKHAQLTAQMDSLAIPYVEEVGLRSTSSNLWLRLPFARDHSWKHICLRLGKAGSMSWDVRINDPHFKELWELSTGSTTTSWGVRVRVANTSEMDSQISFDADGVILTYGNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKQDDKLDDDQPSMDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMSMVHFVVEWENAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALSGAIRPARMPVTVSSGYNSMPKQANNIPTQGPLANGSSSTTMHHTPSANAAAAHLANHNLHNAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPPVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNASAGTLQSAPNTNRVNATTGIAMSRPASGVANHVAANLSRAGNSMLATSGLASGIGGASVRLTPGANLPVQVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNNAQEELVPAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSVSDDITESSLAKSNIKYDRAHSSLEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLRYSFGESIHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMVQKQLQICLQQLRDGPLSAGSTAS >LPERR09G02630.1 pep chromosome:Lperr_V1.4:9:3935919:3939049:-1 gene:LPERR09G02630 transcript:LPERR09G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSQDHFFPFQRSLIPRSSSPHNSIPKREKTPLLSLLPSSYDHNMIHGCGHNHKQEKEEEAMEDVDISLQIALPSSNLNSSSLDLAKNHLHVLGAATAMASQELDSDNRKVEVELEEEQEASDDLCLDYFSVGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPSSLRGTQPAAMLRLPCFCPAAGCRNNVDHSRARPLKDFRTLQTHYKRKHCAKPFACRKCAKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGRDHLERPPPPQQQLP >LPERR09G02640.1 pep chromosome:Lperr_V1.4:9:3977119:3979356:-1 gene:LPERR09G02640 transcript:LPERR09G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAEISTPESSTTKGRATLADITNLSADKTRRKRDRERYVSLTAEKKETRLQKMRDYNHRKEAIPSLTGTPADITTLCKIITVYMLYSYICTPDDVTNLSAIEDRRKRDRDRYASLSADQKEARHQKQCDYYQRKKNACIGTPQPVITPTCLSFTDNTSESFIGQHTQESTDLSGFRGHKKENCAFQSRITPRRLPFTDVGNVPCVISSTSAMSLRTPAVHGDITNLSAVELRRKHTRERYASLTTEQKEARNKKAGENRQRKEASQYANQFDTTNVPGVGCHIQCIQSTIVENGTTVSIVPTKFQENPDTPNTMQETMGNSIFDPTSDGIQVNFHCNDDEDDDDDDETYLNRGLVEPSITYKLFLQMWSMNLTK >LPERR09G02650.1 pep chromosome:Lperr_V1.4:9:3987332:3990914:-1 gene:LPERR09G02650 transcript:LPERR09G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAEAFLINCVNMIVNLLEEHAVMILGVKDDLKKLQAKMELIKAVLDDAEKKKLQHSTINIWLNSLKDVLYDADDIIDLCRTKGRELLKERPSSFRKRKVHCSLPSFLSTTLLRHKIGSKIRKLTDRLTEIENDHLVLTLCHMRPYDQEEITVNVRQTSPLIDLDVVGTEIEDSTRKIVEMIFSCEDNIKIIAAIGMGGIGKTTLAQRVYNHVKIRNFYPTTIWICVSQKFSEVELIQEIIRQARGDYGQAKTKAELLPIMANTIANKCLFLVLDDIWSADRCGCVLVTTRHQDVARGIKAVYIHEVQKLHTRSSLELLWNKAGVNREEDIEILIKTGTEIVQKCDGLPLAIKLIGSLLARKDKNPRQWSNVLRSGIWNMKELPGELKEAWGSLYLSYEDLPPHLKQCFLSLSLFPADYDLAIWDLRALWVAEGFLQPKQQLIAEELAENYYAELVSRSLLQPIVLYADQRRCRMHDLVWSLAQYLSGYESLCGDPRKLDAFTMSKIRRLTVLMDEDVEEEVVLPRSQAKNLPLRTLMLLEDTSIFGRETIFSFPCLRVLILNGKGIENLPSTIGNILHLRMLNLNYTSIASIPMSIGSLKNLQILYLMRCLRLHNLPASITQLHDLRYLGLNEVCTTEAIFEELFPPPSLEKLQIINFHGRNFPGWLVSSCLKSNLPCIEYIHLIGCSSCTQLPPFGQLPQLKYLNIEDAFAIVNIGTEFVGTDGVSIAFPKLKYLTFNGMPNWEEWSMTRNKEAQPLMGLPSMPNLIELHILGCPKLRALPKTLQNITSIQTIGITKAHRLTCIRNFPYLHDQLIIEMSSGIEIISNLPTLNKLIIIDLHALKHIEHLPALRYMELSTSSSDLANDFHLTLKCSNTLMRICVIEGPDWPIIRSFPHVTAYTHDRSALLEYNHETGYYFTNLK >LPERR09G02660.1 pep chromosome:Lperr_V1.4:9:3999594:4007407:-1 gene:LPERR09G02660 transcript:LPERR09G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLGAPGSGKTTLLRTLAGKLNPGLKFEGKVTYNGESSSSSPDHLCAYVSQNDLHHAKMTVRETIDFSSEMLGSSNEYGMQFATRFGGGNLKTNYILKILGLLHCADIIVGDELQRGISGGQKRRTTIGEMLVGPARCLFMDDASTGLDSSTTLEIMTFLRQITQFMELSMVISLLQPSPEILELFDDIILLCEGQIVYHGPQQNVICFFNSIGFTCPSRKNVADFLQEVTSKMDQKQYWRGDENLYQYHSIEKFVKCFQSYKLPQPLLNNNCNKAETKKDRMSVQQDGNHSISKWKIFKASFLREVLLLKRILPVYVFKAIRIICLAFVIATLFLRTEMNHDTVFDGIKYMGALFLGVVVMNTNSMIELIITTRRLPIFYKQRDLLSLPGWALISPIFLISLPISLMETGLWTCLTYYVIGYAPSFIRFLKQGLYRFIATIGRTPVISVILGTEALVATLVLGGFIISKVDGLRQVERILAIYATHVIYVSDAKTVGEAILKVRGLLSDSQWYWVCIGILFGLALVFNILSIFALEKLKSPYKHQISKESKETRYSKYNQIHGGKASIKASFPFQPLSVVFNQINYFVDMPNEMTKHGTTEKRLQLLQEVSGSFRPGVLTALMGVTGAGKTTLLDVLAGRKTEGYMEGTIKIAGYQKKQETFSRISGYCEQNDIHSPYLTVYESLQFSACLRLPSDVKPHQRDMFIEEVMGLVELSGLRTAMVGIPGATGLSPEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVNTGRTVVCTIHQPSIQIFESFDEAIPGVPRIKDGQNPAAWVLEISSQAMEYTIGVDYSEIYRNSSLYRENIALVDELGKPKMSQEDIHFPPRYWPNFKDQCMACLWKQHCSYWKNPELIIVRFVNTFAISVIFGTVFWKVGSSIKVVQDVFNVVGVAYGAALFLGFMNCNSLQPIVVMERVVLYREKASGMYSSMAFVIAQIAIEIPYMLIQTAIFSAIVYPMVGFQFSTAKFFLFVLYMMLTFMDYTLFGMMAVALTPNAEVASLLSFSIFMIWNIFSGFFIPRKAMPTWWRWMYWADPAAWSLYGLVFSQLGDRTELISMLGQPDQPVSQFLEDYLGLQKDSIPLVTTLHIAISVLFILAFCIGIKYLNFQRRVF >LPERR09G02670.1 pep chromosome:Lperr_V1.4:9:4022037:4024367:1 gene:LPERR09G02670 transcript:LPERR09G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLRLVLLLTVLLSSKTAFCYINPGFTTSHKNIINSSYRRTYIVLVEPPLGHAVAEDDDHHRWHESFLPLSDLSDSDDQPQLIHSYSEAASGFAARLTAGELAAMSKKQGFVRAFPDPTLHLMTTHTPEFLGLSRNAAGFWKDSGYGKGVIVGVLDSGIDASHPSFADDGVSPPPARWKGSCSSSARCNNKLIGAKSLYGDDDTSDGAGHGTHTSSTAAGNFVDGAGANATATAAGVAPGAHIAMYKVCNAQGKCSGSALLGGIDAAIKDGVDVLSLSLGSNDAVRFDEDPIAIGAFAAVSRGITVVCAAGNKGPELGTLSNEAPWLLTVAAGTVDRRFSADTRLGDGKLVAGEALHSTTTSTTISNSSSHPLLYSNYQNDCDNVDTTTVNGKIVLCQLSLNTASSQQKAFVDAVKRAGAAGIVLKNPSIFGYTIILRDFGSGVVQVTVADGDSIEKYATSTEKPVATLAAAANNATTSTLLGVRPAPAVAAFSSRGPSVIAPGILKPDILAPGLNILAAWPSSLSKIGFNVISGTSMATPHVSGVAALVKSVHLDWSPAAIKSAILTTSDVVDNTGGPILDERHATASVFLTGAGHVIPARAADPGLVYDMGVADYASYICTVFGENALSIIVRNSSLKCEDLPELPEPQLNYPTITVPLDADTQSSSTVNRTVTNVGPADSTYTANVTVPAGLEVTVRVSPEKLVFSKVGEKKTFTVTVSGKVRKGIQPVAVEASLRWVSGKHVVRSPVVVTVDAVSSTPPATWQLRDGGFS >LPERR09G02680.1 pep chromosome:Lperr_V1.4:9:4040903:4044342:1 gene:LPERR09G02680 transcript:LPERR09G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEGAEIMVATDHGECQQLKDLANTENATPVMASSTTRKSVAECHGAVMHPLLLASVCFGDWKGLNFLLNRGEAQADPCLMPSEEFLARLAVYSSTNLLMHRVSGDDIEEGADSPSFFAESLLQGLTPEGDTALHMAAAHGNLRCASIIFSKDSTLLSKPNYKGDTPLHLAARAGKSEMVFHLIDLAIDFGRCMGIDDEKSVNDLLRKENDHRETALHEAIRIGDNHIVKLLMTNDPMLAIFPKDGTSPLYLSILLEKDTIANTLYNMSKGNILSYSGPAGQNALHAAVLRSKAMTRQLLEWNRNLTTQGDINGSTPLHFASSRAVVSNNWVYPHPSIRCFRVPFPRLKVLKEVIEANGTPLYQPDNCGMFPIHVAATVGERSVIDIFVNKFPSSAGLRDKRGRTFLHVAVENKKGNIVDYACRNRSLTWIWNMQDNDGNTALHLAVEAANLSMFCCLFGNRQVQLNLVNVKGQTPRDMAHNKIRPEIHYDTSTEDMICFALTQAGAMKGIQRHDHFEEKYKDIHQLKLDSGREELEIVKDATQTMGIGSVLIATVAFGATFALPGGYKSDDRPHGGAPTLAGRDHLAS >LPERR09G02680.2 pep chromosome:Lperr_V1.4:9:4040903:4043959:1 gene:LPERR09G02680 transcript:LPERR09G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEGAEIMVATDHGECQQLKDLANTENATPVMASSTTRKSVAECHGAVMHPLLLASVCFGDWKGLNFLLNRGEAQADPCLMPSEEFLARLAVYSSTNLLMHRVSGDDIEEGADSPSFFAESLLQGLTPEGDTALHMAAAHGNLRCASIIFSKDSTLLSKPNYKGDTPLHLAARAGKSEMVFHLIDLAIDFGRCMGIDDEKSVNDLLRKENDHRETALHEAIRIGDNHIVKLLMTNDPMLAIFPKDGTSPLYLSILLEKDTIANTLYNMSKGNILSYSGPAGQNALHAAVLRSKAMTRQLLEWNRNLTTQGDINGSTPLHFASSRAVVSNNWVYPHPSIRCFRVPFPRLKVLKEVIEANGTPLYQPDNCGMFPIHVAATVGERSVIDIFVNKFPSSAGLRDKRGRTFLHVAVENKKGNIVDYACRNRSLTWIWNMQDNDGNTALHLAVEAANLSMFCCLFGNRQVQLNLVNVKGQTPRDMAHNKIRPEIHYDTSTEDMICFALTQAGAMKGIQRHDHFEEKYKDIHQLKLDSGREELEIVKDATQTMGIGSVLIATVAFGATFALPGGYKSDDRPHGGAPTLAGRTLNHWLRWAALSRSLFVRTGPIYTFGFLFPSKIVWTTFIQFWPLIFTFTWSAYGRDHLAS >LPERR09G02680.3 pep chromosome:Lperr_V1.4:9:4040903:4044335:1 gene:LPERR09G02680 transcript:LPERR09G02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEGAEIMVATDHGECQQLKDLANTENATPVMASSTTRKSVAECHGAVMHPLLLASVCFGDWKGLNFLLNRGEAQADPCLMPSEEFLARLAVYSSTNLLMHRVSGDDIEEGADSPSFFAESLLQGLTPEGDTALHMAAAHGNLRCASIIFSKDSTLLSKPNYKGDTPLHLAARAGKSEMVFHLIDLAIDFGRCMGIDDEKSVNDLLRKENDHRETALHEAIRIGDNHIVKLLMTNDPMLAIFPKDGTSPLYLSILLEKDTIANTLYNMSKGNILSYSGPAGQNALHAAVLRSKAMTRQLLEWNRNLTTQGDINGSTPLHFASSRAVVSNNWVYPHPSIRCFRVPFPRLKVLKEVIEANGTPLYQPDNCGMFPIHVAATVGERSVIDIFVNKFPSSAGLRDKRGRTFLHVAVENKKGNIVDYACRNRSLTWIWNMQDNDGNTALHLAVEAANLSMFCCLFGNRQVQLNLVNVKGQTPRDMAHNKIRPEIHYDTSTEDMICFALTQAGAMKGIQRHDHFEEKYKDIHQLKLDSGREELEIVKDATQTMGIGSVLIATVAFGATFALPGGYKSDDRPHGGAPTLAGRYAFDAFMIANTLAFVCSAIATIGLMHSGSPIFNPRSRKIFLQIAFYFMESSITCLISAFALGVYTVLAPVAPRTAVAICAICSVVLVCRTLNHWLRWAALSRSLFVRTGPIYTFGFLFPSKIVWTTFIQFWPLIFTFTWSAYGRDHLAS >LPERR09G02700.1 pep chromosome:Lperr_V1.4:9:4066228:4066703:-1 gene:LPERR09G02700 transcript:LPERR09G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRKCPDDETACGSDAGAAMFIAGCGFFGSEATNNRSSHAAAVETPAEAPVRDVPEEGWAHGCRCRSTFCGGDVHGCGFDYKGVGKEHIAKQKPLVVADKLATRI >LPERR09G02710.1 pep chromosome:Lperr_V1.4:9:4068194:4068490:-1 gene:LPERR09G02710 transcript:LPERR09G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAITVTALCVVLVLSTVGRPPAAAAQTGYCTTACSSACNGSSTCINNAVESCKYNCSITPQYCDQCRNDFMQQCQNGCYNGCMIGCSQGWQHP >LPERR09G02720.1 pep chromosome:Lperr_V1.4:9:4117835:4119189:-1 gene:LPERR09G02720 transcript:LPERR09G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLVRLLLTAAAVGYGQEVAKAPAAPPPPHCGSVRTAIEAHNIIGWKTVSAECAEYIARYITGDRYQRDSDVVINEAIAYAESLKLSGEGKEVWVFDVDDTALSTLPYQGKHGYGAQQYDQSSFLEYVAQGSAPALKATLRLYERLLQLGIKPVFLTDRAEYQRAVTTSNLLQQGYCNWEKLVLQPVTEQISTQAFKTSQRQKLVSAGYIIVGNIGDQWSDILGSPEGCRTFKFPNPMYYVA >LPERR09G02730.1 pep chromosome:Lperr_V1.4:9:4125952:4130214:1 gene:LPERR09G02730 transcript:LPERR09G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVDESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >LPERR09G02740.1 pep chromosome:Lperr_V1.4:9:4131462:4134290:1 gene:LPERR09G02740 transcript:LPERR09G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGARLSRSSTRYGPVGNAAASFSGPVRKWRKAWVPIAAAAATGGGGGAGFAGMMGPMGVSRGNKVVLFRWAPVNGGGAAGGDGDEAGAAAVARKRFVPASGVAQNSTKKSGSTELNLNLGLEDPDDDSDADLSADEQRDSGSNLRSENRLKRKTF >LPERR09G02750.1 pep chromosome:Lperr_V1.4:9:4136803:4139705:-1 gene:LPERR09G02750 transcript:LPERR09G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRLLARASRQCVAAVAASAARRRAGAALSVAAAVEPCLMGAPYMLNQPLRYSTSIFQKFGFSSTSPEQSDKEENGHKDQDNATNEGTEHLDLSKEDLVKLVLEKDGMLKSKDEEINDMKDKVLRSYAEMENVMARTKRDSENSKKYAVQNFSKSLLDVADNLTRASSVVKESFSKIDTSEDSTGAVPLLKTLLEGVDMTDKQLGEVLKKFGVEKFDPLNEKFDPSRHYAIFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPTKKEEEHSEQKLSGD >LPERR09G02760.1 pep chromosome:Lperr_V1.4:9:4140934:4142392:1 gene:LPERR09G02760 transcript:LPERR09G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLILYMLCCDGLCSRNVGLISTKTSRETPLVTTIEEAKTRWDADIVHHCKAASRMLEHQRTCQLQDCTWKIWCGLAKSCTVCQHKIY >LPERR09G02770.1 pep chromosome:Lperr_V1.4:9:4142484:4150484:-1 gene:LPERR09G02770 transcript:LPERR09G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRLSFRPRPLDIHKKLPILKSAREFDDDDPTAAAVAVARAGVLLRQSGPESTAATTTAAEGEGNPAPTKKNAQEIPTPKFDAVDTYERDYTRTFVQQNSYIRGRGVRAEIGEFVEYDLDNEDEDWLEDFNNEWKNLNPEMLEVLLFKLEFLDHKARERAGVITPTFVGPVPVLLQLDAAKELNWNIPMVRGYLKRTLAQALKYLSVGYGVLQAVYNYWKDKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLDQAKALMDALVKREETKREAMECEVNLQRIQMKYKHEAQLVDEGTALLGFQQVSSRFGSSEDDYADSDDTTTEQPYIWPPVFRPRFPDHKLSVIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLVAAVYVIIPQLSNLSFFKDLQIFVKFGHSFSGDFPFKVLLTVLSVSVSALLPK >LPERR09G02780.1 pep chromosome:Lperr_V1.4:9:4161316:4162798:1 gene:LPERR09G02780 transcript:LPERR09G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRSAGRSLLQRQISPARLMEKPRRLYSAGTKPQPDKASQLKRTQQDLKEENKALEERMNSSTGNLNRRLDDLQSRIDRLTAASKEMEAQYQKYDKWSLKIFCLSAVSYVAFLTKMSLYG >LPERR09G02790.1 pep chromosome:Lperr_V1.4:9:4166649:4167947:1 gene:LPERR09G02790 transcript:LPERR09G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLLQSAGRLLLRPIPRAAHEVSLPRRLYSSQATGTSKPQTDMLLQRAQQDLTLEYKALEERMISRVGNLDRGLDALEDTTNGH >LPERR09G02800.1 pep chromosome:Lperr_V1.4:9:4170061:4173173:-1 gene:LPERR09G02800 transcript:LPERR09G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFPSSPRTEMPAAADLPPPPLQLQIPGTTRRPRPRTREVSSRYLSSTPGPAVPSSPRLSTASSTRSRSPTPSPRAHHRPRVATPFANENHPPPPPPPSTAWRRRAVQKLFDEGPTNPRASVSAATAAGTTPRHLPRSTSGPTTARRGYPRMPTPARAASCPTSSAAGDDAASCCSSDTASTTTFTDFSSEAEGIAIPAAPCESPPLLGPATCRGGRLSSELRSSVPESGGVSGRASNPLCYRSLNSALSGCPAPSGKAVNAARPPQPHGAKAAESKKVAVIGGRKVPGKQQEDVHQLRMLENTYMQYRLMNARAATTDKAKTSAAVKSLFGLNEKITGLRESVAEKRAEVERIKREQRLCAVVDAQVPYLDQWSDIEGEHSSCLDGVTSALYNASLRLPVIGNVRAKSEEITEALTSTAQLLEPLSLCVSNFLPKVQEVDDVAAKVAQVVAGERALVEECGNLLYQAHNLQMREYSLRSQLMQLKQQ >LPERR09G02810.1 pep chromosome:Lperr_V1.4:9:4174802:4184103:-1 gene:LPERR09G02810 transcript:LPERR09G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSAAPPAGIGLAGSPPPPSAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIVAAWQEDATSKIYIKRRLRYGFAGEEKDTHKHDDTRAALAADEKRKGRKESSFLFIIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVRSQAAAGANFFMMVFQFLETFVGSMSAEGLGLSGIVSILFTGMVMKHYTYSNLSNNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIVSLTSLFQFPKSSVLAFALFGGMAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLESLDVIGGEDTSIENYDDNNGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTAIDKNFLTPFFTSQTDDDDDFGEQPQNQRRGFYDQ >LPERR09G02810.2 pep chromosome:Lperr_V1.4:9:4174802:4184103:-1 gene:LPERR09G02810 transcript:LPERR09G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSAAPPAGIGLAGSPPPPSAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIVAAWQEDATSKIYIKRRLRYGFAGEEKDTHKHDDTRAALAADEKRKGRKESSFLFIIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVRSQAAAGANFFMMVFQFLETFVGSMSAEGLGLSGIVSILFTGMVMKHYTYSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLESLDVIGGEDTSIENYDDNNGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTAIDKNFLTPFFTSQTDDDDDFGEQPQNQRRGFYDQ >LPERR09G02810.3 pep chromosome:Lperr_V1.4:9:4174802:4184103:-1 gene:LPERR09G02810 transcript:LPERR09G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSAAPPAGIGLAGSPPPPSAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVRSQAAAGANFFMMVFQFLETFVGSMSAEGLGLSGIVSILFTGMVMKHYTYSNLSNNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIVSLTSLFQFPKSSVLAFALFGGMAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLESLDVIGGEDTSIENYDDNNGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTAIDKNFLTPFFTSQTDDDDDFGEQPQNQRRGFYDQ >LPERR09G02810.4 pep chromosome:Lperr_V1.4:9:4174802:4184103:-1 gene:LPERR09G02810 transcript:LPERR09G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSAAPPAGIGLAGSPPPPSAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVRSQAAAGANFFMMVFQFLETFVGSMSAEGLGLSGIVSILFTGMVMKHYTYSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLESLDVIGGEDTSIENYDDNNGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTAIDKNFLTPFFTSQTDDDDDFGEQPQNQRRGFYDQ >LPERR09G02820.1 pep chromosome:Lperr_V1.4:9:4189444:4190085:-1 gene:LPERR09G02820 transcript:LPERR09G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKSTRNHKSWRRRLVADDEDDWEAAFRDFLSRDDDDDDDFESNDFIIAAPPIRNKCVHGHSHATPPPGGDDDTRCHGERSPTARRRRERRSYPYRGIRQRPWGRWASEIRDPVRGVRVWLGTFDTAEDAARAYDAEVRRIHGRKAKTNFPQSPAATDQPPASAATTPTTEEEGSGGDSRILLECCSDELMDSLLAGFDIAAGGDIDMRIWS >LPERR09G02830.1 pep chromosome:Lperr_V1.4:9:4190123:4190341:-1 gene:LPERR09G02830 transcript:LPERR09G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLCLRLRHLASRDPAYDHKISKLMAGLYSRSNSSPSSHPLDHHPLATSTTRANPANAPPPEKSPAEEIE >LPERR09G02840.1 pep chromosome:Lperr_V1.4:9:4234903:4235698:-1 gene:LPERR09G02840 transcript:LPERR09G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGQGDPNDVPAVTLLNKTKTKTKKKRDSDEEYWEAAFQEFLAEDDLLPAALGATTAMPRYSLPAVADDEAGRPRRRRREKKSNEYHGIRKRPWGKWASEIRDPVMGVRLWLGTFDTAEDAARAYDAEARRIHGRNAKTNFPSDEPPAATLCCLLCTVDDLATTPSTSAGCDDHGPIVLECCSDDVMDSLLAGDDGRVLLECCSDDVMDTLLAGFDVSNSNRDRDIWSLVQLC >LPERR09G02850.1 pep chromosome:Lperr_V1.4:9:4254168:4254487:-1 gene:LPERR09G02850 transcript:LPERR09G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLSAPQSSLPADLENLKKHEAELISLLAQTCEAITAKKQEIADHPKLINLKSVSGSDIEDARIMEEADQIYLRAIEAINNFLGQ >LPERR09G02860.1 pep chromosome:Lperr_V1.4:9:4264518:4265951:-1 gene:LPERR09G02860 transcript:LPERR09G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSPWPEQKRGTADSRGAIQRRLYGLLQRSSLSAASSCKVSSGGGRVAWALLIAVLPWLHIGLSELLGWESIKLSNDNPQFASLHSERVVVEQNLKLQ >LPERR09G02860.2 pep chromosome:Lperr_V1.4:9:4264518:4265930:-1 gene:LPERR09G02860 transcript:LPERR09G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSPWPEQKRGTADSRGAIQRRLYGLLQRSSLSAASSCKVSSGGGRVAWALLIAVLPWLHIGLSELLGWESIKLSNDNPQFASLHSERVVVEQNLKLQ >LPERR09G02860.3 pep chromosome:Lperr_V1.4:9:4264518:4265930:-1 gene:LPERR09G02860 transcript:LPERR09G02860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSPWPEQKRGTADSRGAIQRRLYGLLQRSSLSAASSCKVSSGGGRVAWALLIAVLPWLHIGLVGRKLQ >LPERR09G02860.4 pep chromosome:Lperr_V1.4:9:4264518:4265951:-1 gene:LPERR09G02860 transcript:LPERR09G02860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSPWPEQKRGTADSRGAIQRRLYGLLQRSSLSAASSCKVSSGGGRVAWALLIAVLPWLHIGLVGRKLQ >LPERR09G02870.1 pep chromosome:Lperr_V1.4:9:4279735:4280779:1 gene:LPERR09G02870 transcript:LPERR09G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGAVTAVAAAATGRRRALRPAGAATERRGLLRGGRRCGATAAGTGQLLRGDAAVCGCTRSVSNQHKQSLRTVRRAATRRPLPVTAAPTPPSNAAWALLSAHNPCRLAWSAAAADGGIRAHVGTVRPGDEILGGVGGVTKRTDGRRRRGQETEGLHDPWASSPLGPHVSDRVPLTLSYTSRERGKYPEF >LPERR09G02880.1 pep chromosome:Lperr_V1.4:9:4290927:4291919:-1 gene:LPERR09G02880 transcript:LPERR09G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGHLIPKSNDMPAVAQADERPRRVQRRPRRKRPSYAYEYHGIRQRAWGKWASEIRDPVRGVRLWLGTFDTAEDAARAYDAEARRIHGRKAKTNFPSDEPPASTLCCLLCTVDDLATTPSTSGGCDGHGRILLECCSDDVMDSLLAAADDGGRITLECCADDVMDSLLAGFDVSNSIMDRDIWSLGSQKPSSRMSTLANLEQALLCC >LPERR09G02890.1 pep chromosome:Lperr_V1.4:9:4304490:4307112:-1 gene:LPERR09G02890 transcript:LPERR09G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPPLAVSPPHLLLSSSSSSLVPAARRLPPPPPAAAVVRSSVSVSTAVEAPTAAAEPALGESAAAARRRRLILLRHGESAAGGRLTRDHDRPLSKAGRAAAISVSNKLQQMGWLPELVLCSDATRTKETLKILQEHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEYFSYVVDAVPS >LPERR09G02900.1 pep chromosome:Lperr_V1.4:9:4308258:4311184:-1 gene:LPERR09G02900 transcript:LPERR09G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRHGAAPPCALTRAASGGLSRGCIGIGPGAGAGTLLRRRCCFFRPAATGLSYQMKKSRRKPVFALETGGGSSNADGEDFEDDAGFLGRTRLGRLIQAAARELLEKLNSARSNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPVSRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLGS >LPERR09G02910.1 pep chromosome:Lperr_V1.4:9:4380994:4387337:-1 gene:LPERR09G02910 transcript:LPERR09G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNPSDLSSAGGGKDKEVDSQKGPGKRTKGGNLQLKIHPRKDEVEPDGWTIEVTTRPGGRTKDKISADPSGNVQADNVPIGPAMNTEDANFEIKMSHIMMV >LPERR09G02920.1 pep chromosome:Lperr_V1.4:9:4487171:4492443:-1 gene:LPERR09G02920 transcript:LPERR09G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTNGNLATESDQLNRPNPTQPKLGFADSRRGFSLLLRPPPLPTVAAAGVARCISSARQRLSGVAHARAVSRLPSLSLVGDRVSTPSQSARNILMDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.2 pep chromosome:Lperr_V1.4:9:4487171:4492443:-1 gene:LPERR09G02920 transcript:LPERR09G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTNGNLATESDQLNRPNPTQPKLGFADSRRGFSLLLRPPPLPTVAAAGVARCISSARQRLSGVAHARAVSRLPSLSLVGDRVSTPSQSARNILMDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.3 pep chromosome:Lperr_V1.4:9:4487169:4492413:-1 gene:LPERR09G02920 transcript:LPERR09G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.4 pep chromosome:Lperr_V1.4:9:4487171:4492443:-1 gene:LPERR09G02920 transcript:LPERR09G02920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTNGNLATESDQLNRPNPTQPKLGFADSRRGFSLLLRPPPLPTVAAAGVARCISSARQRLSGVAHARAVSRLPSLSLVGDRVSTPSQSARNILMDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKYSPIMPIYHALKGVYRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.5 pep chromosome:Lperr_V1.4:9:4487171:4492443:-1 gene:LPERR09G02920 transcript:LPERR09G02920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTNGNLATESDQLNRPNPTQPKLGFADSRRGFSLLLRPPPLPTVAAAGVARCISSARQRLSGVAHARAVSRLPSLSLVGDRVSTPSQSARNILMDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.6 pep chromosome:Lperr_V1.4:9:4487169:4492413:-1 gene:LPERR09G02920 transcript:LPERR09G02920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02920.7 pep chromosome:Lperr_V1.4:9:4487171:4492443:-1 gene:LPERR09G02920 transcript:LPERR09G02920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTNGNLATESDQLNRPNPTQPKLGFADSRRGFSLLLRPPPLPTVAAAGVARCISSARQRLSGVAHARAVSRLPSLSLVGDRVSTPSQSARNILMDVIQTSDSSHHGIVENSPYRTPYDRYGEDGQIGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKAPVASEEPSTHENHQGTRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNECPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQSWPHSAHREDHQGATCPAENAEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRSERDLKRLRS >LPERR09G02930.1 pep chromosome:Lperr_V1.4:9:4512988:4516914:-1 gene:LPERR09G02930 transcript:LPERR09G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSPPVINDPAAADLPNAGSDEENIDSWSVKAIRRKTTGTGRMSYMRHVPRRSSASSEKPDLTAHKENHWAACSSEKPISVLTQERVLL >LPERR09G02940.1 pep chromosome:Lperr_V1.4:9:4541216:4544609:1 gene:LPERR09G02940 transcript:LPERR09G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHIYKNQRPRYEETARAWTQKYAMG >LPERR09G02950.1 pep chromosome:Lperr_V1.4:9:4548019:4552175:-1 gene:LPERR09G02950 transcript:LPERR09G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSWPSHGRLAAVHGGRGLADAGDCAIAVAGAPDGALASWTAGAGDNTTAAPCSWDGVTCAPPPDGRVVAVDLSGMSLAGELRLDALLALPALQRLNLRGNAFYGNLSHAEPASPPCALVDVDISSNAFNATLPPSFLAPCAGLKSLNASRNALVGGGFPFAPSLRSLDLSRNRLGDAALLNYSIAGCHGVAYLNLSANLFSGRLPEFSAAAAPPSSCSSAVTTLDVSWNHMSGALPAGLVSMAPANMTHLNIAGNNFTGDVSDYDFGACANLTVLDWSYNGLRSTRLPPGLINCRRLQTLDMSGNRLLSGVIPTFLVGFSSLRRLALAGNEFTGTIPVELGQLCGRIVELDLSGNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVTTVVSTIASLRELRLSFNNITGMNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPLLRKLLLPNNFLNGTVPPSLGDCANLESIDLSFNLLVGKIPPEIIRLPKLVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNSFTGSIPKSITKCVNLIWVSLSGNRLTGSMPGGFGKLQKLAILQLNKNQLSGHVPAELGSCNNLIWLDLNSNSFTGTIPSQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFSKNGSMIFLDLSYNGLTGAIPGSLGDMMYLQVLNVGHNELNGTIPDAFQNLKSIGALDLSNNHLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNSGLCGIPLPPCGHNPPWGGRPRVSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEMRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKATVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDGRVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESTEKSM >LPERR09G02960.1 pep chromosome:Lperr_V1.4:9:4597382:4601801:1 gene:LPERR09G02960 transcript:LPERR09G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSAARTVVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDDVVFSVLGQSSIHLSGYYIRSSGRFNAGDDESESYGEDVGKSDTDEEFNASDDSYESDFIDDGEVEVSEDKCRSDSADDDVCSTPDHHKEKGKVERKRRLKKKHPADTSDDNDNDSPNKPSVRRMARSIFDSCSEDEDDMPISVSLAKKDNTKDIDKTNGEPNDDTIKKSNGTKKRKSDAISQDHEPFIDATDANGSLISKQEGKIKKKSKKKDRNQLEVEDGKHSNKIRTLDGGLIVEDLSTGNLDAETASNGSKVYIKYVGTLHDGKIVESNVDEKPYKFKLGMRVGDKRKLTVPPAMGYGSKSVGDVPKNSSIIYEIELVKVKGSKSAS >LPERR09G02970.1 pep chromosome:Lperr_V1.4:9:4603399:4612971:-1 gene:LPERR09G02970 transcript:LPERR09G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPLAAAPRRPRASSATSAREVISQCWRCEINQDQPFGSSLRIGHSQGSLQRHGSKNLLAAAAAISVEQAEASTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLVIVSAMSKVTDMMINLVHKAQSRDNSYMTALDEVFNKHMAAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATDSFADFVVGHGELWSAQMLSYAIKKSGVPCSWMDTREVLVVKPSGSNQVDPDYSESEKRLEKWFSRQPAAIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVYSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSLATIDKLALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGIAGSRTMHLSDIGVDLNQWKELLQKEAKPADLASFVGHLSENHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTQTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDKQRNEAEAAGEVLRYVGVVDVANRKGRVELQSYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >LPERR09G02980.1 pep chromosome:Lperr_V1.4:9:4617246:4620608:-1 gene:LPERR09G02980 transcript:LPERR09G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNISKDSCGLIELSVRMQGKKQKGGGSGSASGFLVPLQLSDDLVKFIGTVTIDATSLRNAYFQSMVPLSHIIITDLFCSLTHLVYHRQN >LPERR09G02980.2 pep chromosome:Lperr_V1.4:9:4618470:4620608:-1 gene:LPERR09G02980 transcript:LPERR09G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNISKDSCGLIELSVRMQGKKQKGGGSGSASGFLVPLQLSDDLVKFIGTGESMLSPSVVVERMWDYVKENKLQVNIYFPP >LPERR09G02980.3 pep chromosome:Lperr_V1.4:9:4619438:4620606:-1 gene:LPERR09G02980 transcript:LPERR09G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding VAVPARPAAGFPPHTANPAHRPAPPIRRQGISRWCRASGRGGRRWTGRLCGSREPAVGLLQLRCLETFPRTGNWVATLDLISCWSCSSVVSEIQECSYQSIVAVGLV >LPERR09G02990.1 pep chromosome:Lperr_V1.4:9:4625829:4627109:-1 gene:LPERR09G02990 transcript:LPERR09G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDACQSVSSSRHPTELELAVKHLNPRAHRIKTNESNFIHEADVYDVDPADLTAKFPPAHATDGVYWYFFSPVRNQAGRRRVRSVKSADNGGCWHSEAGVKAVEDEHKRRVGHRQFFSFTKKGDDGKRYRTGWIMVEIGLDHEPNDVVLCKVYKTPRKPSGVMPSAMMEIHGEKRKMTDDDAELPPQQRRRLLAEEETQEDGSEENSGESSTVPSTLADVADLADDDDVGRLEETTSSDDDDDDCVMLPDPPSQGEVVSTQRETLPNTIGDGTVADDDNCRHQRSAVPDLNIDATMSEEDKHEDHYARNGRQHGSTLGSITKETTSTSNSTMAATERITSTRSIPDECRRRHTLKLHMVNDGMEQQRQQAATPAWPYGGGGRLQWQQGQRAPCFYIVVRPCAAHSSLRYGTTYRCGCRVTGSFSKS >LPERR09G03000.1 pep chromosome:Lperr_V1.4:9:4630221:4640179:-1 gene:LPERR09G03000 transcript:LPERR09G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGFDFGEEEKRRNKRGSEVEEGKKAKSRGDHASISINNQEFMSSDRRPNEQEIEMMQISTMRSCSIRGVMAQGDGVLHIAAGFGHVELANAILERQDAVSLLLLLQGNNRGDRPLNCAVRSGRRCKEMAQLIVDKAKQIGIGEHQYSIVANLLRAKNLDGRTCLHEAVLLGDAQVVEYLVSQDVGLDDLSCQSLVQIVDNEGVSPLYLATTLRRHDIVHELITRIPSCDPGAASHSGPNGKTALHAAVRLSKELSETLVNWNPHLIRIPDQYGSTPLHYLAVGCSGWLSHPFLGYSLICRFITSCCITCPHFTVVSELLLEMDPSSGYCEDSEGSLPIHIAAANGMLGVIGQFIKMSPGCELSSNASGQTILHVSAQRGRYDVVQYIFKTSWSNMILNTRDKDGNTALHLALQKGCSRTFFLLMSRSGVNLSFRNKNGHTPLDLAVLSCKSRLIILPARHEWIRNHLLAAGADFGTYRGDHFYNIPQSGRADSEALSEKVTKSAIVMATCAVLIFNAALGILLNVERSGAESKSIQWRDIGSSAFAISIFAVAGFPIVGVTARIFALIWGLWMLIISSLFILEALSARLALTDSTPGTEVDSIIITNLSFAILVLCYFSYSILASILLYRIGKSTQFYGCVGLVPSHSAGACSSMALKTLSFQPCRFSPSCQSYLFIF >LPERR09G03010.1 pep chromosome:Lperr_V1.4:9:4641254:4643106:-1 gene:LPERR09G03010 transcript:LPERR09G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIEVEPNENLQSPFLVKCHLFFKRSDPNILDELYRLITTMDDPRSIQFKREDHKVFIPVIHCDHWTLYAFNMCDKRLSILDSLPDASEGGEDPFKRHEEIRKKYMDTMELRKEFLACILSIKNNDALMPPNVSEWIGKLPG >LPERR09G03030.1 pep chromosome:Lperr_V1.4:9:4653862:4659537:1 gene:LPERR09G03030 transcript:LPERR09G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSRRAWRVIPRPVLETVLHNHALHPRVPQPLILHGPRGVGKSTLLLRRLLPQWSHPPHATAFVDFLRPETPAPWSLLPDDSDSPAAAALPALRLRLESALEGLAREAVLRGAVGSKDVLAALSRSHGLQAALSRLAAGPIPTASRRGGGGNPVPTLWARAVLAATSSVIGEESAFCIGEGEAANWSMEERAYMQEAMAALRVAKEVLRMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCKDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGPNPRQLSEIYMLKQNADSLGVLHNENIEEIIDTYLAHLQVSVVNPAMESALGMLQKFASDVREGKVPENRLSFGSPWRHPPRGDNPDVSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMAEVGLLYQQRDPSFMRPITRGIERCLARWLVQQRLQLSFQESIAFLWQRVIRGRSYRHLMKEVGYK >LPERR09G03030.2 pep chromosome:Lperr_V1.4:9:4653862:4659537:1 gene:LPERR09G03030 transcript:LPERR09G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSRRAWRVIPRPVLETVLHNHALHPRVPQPLILHGPRGVGKSTLLLRRLLPQWSHPPHATAFVDFLRPETPAPWSLLPDDSDSPAAAALPALRLRLESALEGLAREAVLRGAVGSKDVLAALSRSHGLQAALSRLAAGPIPTASRRGGGGNPVPTLWARAVLAATSSVIGEESAFCIGEGEAANWSMEERAYMQEAMAALRVAKEVLRMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCKDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGPNPRQLSEIYMLKQNADSLGVLHNENIEEIIDTYLAHLQVSVVNPAMESALGMLQKFASDVREGKVPENRLSFGSPWRHPPRGDNPDVSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMAEVGLLYQQRDPSFMRPITRGIERCLARWLVQQRLQLSFQESIAFLWQRVIRGRSYRHLMKEVGYK >LPERR09G03030.3 pep chromosome:Lperr_V1.4:9:4653862:4657434:1 gene:LPERR09G03030 transcript:LPERR09G03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSRRAWRVIPRPVLETVLHNHALHPRVPQPLILHGPRGVGKSTLLLRRLLPQWSHPPHATAFVDFLRPETPAPWSLLPDDSDSPAAAALPALRLRLESALEGLAREAVLRGAVGSKDVLAALSRSHGLQAALSRLAAGPIPTASRRGGGGNPVPTLWARAVLAATSSVIGEESAFCIGEGEAANWSMEERAYMQEAMAALRVAKEVLRMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCKDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGPNPRQLSEIYMLKQNADSLGVLHNENIEEIIDTYLAHLQVSVVNPAMESALGMLQKFASDVREGKVPENRLSFGSPWRHPPRGDNPDVSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMAEVGLLYQQRDPSFMRPITRGIERCLARWLVQQRLQLSFQESIAFLWQRVIRGRSYRHLMKEVGYK >LPERR09G03040.1 pep chromosome:Lperr_V1.4:9:4660515:4664032:1 gene:LPERR09G03040 transcript:LPERR09G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPIQTPPPPPHPKPKPHHRRILLLPAPALLAVAVAILLATTTTSPNPLPRLLRFLLGPNHSLLRRRSNERTIPSPDADAAARPPCVLWMAPFASGGGYCSEAWSYITSLDDHAAAGSNFTLAIAHHGDMESPEFWHGLPEQSKHLAYRLAAARCELSRAVVVCHSEPGAWHPPMYETLPCPPTGYGEAAFVVGRTMFETDGVSPEHVRRCNRMDAVWVPTEFHVSTFVKSGVDPSKVVKVVQAVDVGFFDPAKHSPLPLPIGVLVMVPDDDDDDSRLGIGDSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGVDDVVLYLLINAYHSDTDFGRKIRSFVKESSIEKPTDGWAEIRLIDEHVPQSSLPRLYKAADAFVLPSRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEENGYPLDIDRLVEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGIAKD >LPERR09G03050.1 pep chromosome:Lperr_V1.4:9:4672362:4676026:1 gene:LPERR09G03050 transcript:LPERR09G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITPRVRPSSPPLSLLQHLTTTLHDADRWVPPPPPLPMAMPPPLLLRLHHSPAPPAAGPLLSRRRGQRRRRAQAVRASWQELAGVLVFSAVPFTAVKALANSPLGARLRRRLDDRKAAAAAEADALRAAARRARTTSCWYGEERPRWLGPVPYDYPAHLTGEYPGDYGFDVAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGNINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPIHKNILSSFV >LPERR09G03060.1 pep chromosome:Lperr_V1.4:9:4676858:4691169:1 gene:LPERR09G03060 transcript:LPERR09G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMTESWIEGSKNASTPESVSCLVSRYESLSRRPGKRNIYHLLAQREISPRTKHQAKKLWSGSPECATTSNDLAFWVTDARHDLFSWAESQSLHRWSAKYCPLLPAPRSTIAAAFSPDGRTLASSHGDHTVKIIDCETGKCLRVLIGHRRTPWVVRYHPLHPDIVASGSLDHEVCLWDAKTSRGIGSHFFYKPIASIAFHAKGELLAVASGHKLFIWDYNKRDEASSPSMILRTRRSLRAVQFHPHGAPYLLTAEVNNLDFADSELSHATSSGYSNFPSAVFFAIMNSACCPYSEPRYSSPCLIWPAYVRDDGSICLLRNDWASGSSNVQQPSDSETQQASHMVTPMDVCPGEPGVNNNDDTVSASLSTRIQMHTLSGRNSSRFHSSTAATDLQRFNGRDIAQISDMSSDMPNTEMPVHSRADVPNSMPMDLFTSSTIDAQMFLRDLEASHHHDSTGDAHSWELPFSQGWLMAQNQRGLRSVPFNNEVIGRLPGGTAGTDNLINESLYMYNLDRVGASSSNPITADSLRGLSQHTSDHHLLASVPVGAGSSLQGIQNGQAQVSVVSLGIGSEFATSLFAGDGADLPCTVKLRIWQHKIENPRAVLAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGEHVSQSPVQHDSTGAATSPTRHPLPSRRVMYELRVYSLEMETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGETTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKEGKLRIIQHNVADTASVGLNFLTRGTMLEEPTSTDSDLQMGPT >LPERR09G03070.1 pep chromosome:Lperr_V1.4:9:4690484:4693155:-1 gene:LPERR09G03070 transcript:LPERR09G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGVVDSRKFGVLANWQREYTMETVLTQLKKEMATAQNRKLVQPPEGTFF >LPERR09G03080.1 pep chromosome:Lperr_V1.4:9:4704754:4706328:1 gene:LPERR09G03080 transcript:LPERR09G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAVTGAGVRPEYPGRLTTFVAMASTVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVFAKQNAAAAAETATATNQYCKFDSQILTMFTSSLYLAALASSLAAATVTRVAGRKWSMFSGGVVFLAGCAINGAASNVAMLIAGRVLLGVGIGFANQSVPVYLSEMAPARMRGMLNNGFQMMITVGVLAANLVNYGTAKIAGGWGWRLSLALAAVPAVIMTAGAIFLPETPNSLLERGRHGEARRMLQRIRGEGVEIEDEFSDLVAAGEASRAVASPWRDILRRRNRPPLAMAILIPFFQQLTGINVIMFYAPVLFKTLGFGGEASLMSSVITGGVNMAATVVSLLAVDRLGRRALFLEGGIQMFASQVAVGALIGAKLGWAGTAAIPPAYATAVVVTMCAYVAAFAWSWGPLAWLVPTEVMPLEVRPAGQSITVAVNMVMTFAVAQAFLPLLCRLKFTLFFFFAAWVAVMTVFVALFVPETKGVPIEDMAGVWRGHWYWKRFVDDDDDAGRRGDIEMGHK >LPERR09G03090.1 pep chromosome:Lperr_V1.4:9:4707407:4710320:-1 gene:LPERR09G03090 transcript:LPERR09G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAIGLLRPCGAAPRGLRSNPSPRGFGAGAGVLPPPRGLRVSAVAPRVGFSAPATRRIGLVPASPEHEEEQQRRDVAVAAAAGKSAAAAGEEEGGGLVKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITNVQFAVGTAIALFMWITGILKRPKISGAQLVAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGEMPTPFVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVTLLTEGVKVTPTALQSAGLNLQQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >LPERR09G03100.1 pep chromosome:Lperr_V1.4:9:4712736:4713368:-1 gene:LPERR09G03100 transcript:LPERR09G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHARVALFAAVLAVTLSTTTTAQIITYEAVRAGSAGGGGGVGAGRMLLQAKKECPVNFEEANYTIITSKCKGPQYPPALCCEALKDFACPYTTYINDVQTTCAASMFSYINLYGKYPPGLFANTCKEGEKGLECAPDTPQVKPGEENKASSAAILAVAGGGLLPVVVAAVSAFLMLLVSS >LPERR09G03110.1 pep chromosome:Lperr_V1.4:9:4714684:4715275:-1 gene:LPERR09G03110 transcript:LPERR09G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRSLAAILLLLPILTLSSNPPPSPIESYYATIENNLPAASGMDLICRALGAGFDVYTEYSVVPRGRIPRGGRRVAEILVSVVTPGAGGGGSRRVIGDLPVKRCRRHWLLFSSGCEYPDHPNPYAGRLLRNAFEFFAV >LPERR09G03130.1 pep chromosome:Lperr_V1.4:9:4728399:4729847:1 gene:LPERR09G03130 transcript:LPERR09G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSPWSSHRDELPHVAIFPFMARGHTVPLIHLAGLLRRRSLATVTLFTTPANASFVRRTLEDDDDDVAVVELPFPAGGAVACVEDLDSISSFPAFVESVSALRPPLVSSLAALRRRHRAAGILLVADAILYWAHAAAADIAVPTVEFFATGMFAHVVRDVLVRDNPAAVLLAGGEPEFAVPEFPHVRLTLADIPIPFNDPSPAGPIVEMDAKLANAITGSGGLVVNTFDAMEAQYIQHWDRHHASHRAWPIGPLCLARSPPGQTTGDVTPPSWLKWLDEKEAAGRAVLYVGLGTLISVGNAQLREIASGLEEAGVDFIWAVRPSDADLGEGFEERVEGRGLVMREWVDQWWILRHDCVKGFLTHCGWNAVVEGISAGVPLAVWPMGVDQPLNAVLVVQELRIGVRVLPPKSAATTGHELVGREEIARVVRQLMMMDETETRRKVWVARRQGMSLHWRPRQGRRSPRVVHHGRHWRR >LPERR09G03140.1 pep chromosome:Lperr_V1.4:9:4738444:4744382:1 gene:LPERR09G03140 transcript:LPERR09G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRSLYQPELPPCLQGKAIKVEFGDSTMTIDPTCANMVAQEFPHTFGQPLVHFLKAKKMDAQANNEHPPIRIGVVFSGRQSPGGHNVIWGIYDAMKTQNSQSVLLGFTGGTEGLFANQTLEITDDLLSSYKNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVLIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLMEIVSKICDGVQARAAQEKYHGVLLISEGLIESIPEMYALIQEINILHSNKVPENKIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVIEEMNKRTKEGKYKGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTSKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYAVLREKARSFLLDDFYRTPGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYMEKVKNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFDAELPLFNLN >LPERR09G03140.2 pep chromosome:Lperr_V1.4:9:4738444:4742921:1 gene:LPERR09G03140 transcript:LPERR09G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRSLYQPELPPCLQGKAIKVEFGDSTMTIDPTCANMVAQEFPHTFGQPLVHFLKAKKMDAQANNEHPPIRIGVVFSGRQSPGGHNVIWGIYDAMKTQNSQSVLLGFTGGTEGLFANQTLEITDDLLSSYKNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVLIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLMEIVSKICDGVQARAAQEKYHGVLLISEGLIESIPEMYALIQEINILHSNKVPENKIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVIEEMNKRTKEGKYKGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTSKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYAVLREKARSFLLDDFYRTPGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYMEKLKLIKLISQVKNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFDAELPLFNLN >LPERR09G03140.3 pep chromosome:Lperr_V1.4:9:4738444:4742921:1 gene:LPERR09G03140 transcript:LPERR09G03140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRSLYQPELPPCLQGKAIKVEFGDSTMTIDPTCANMVAQEFPHTFGQPLVHFLKAKKMDAQANNEHPPIRIGVVFSGRQSPGGHNVIWGIYDAMKTQNSQSVLLGFTGGTEGLFANQTLEITDDLLSSYKNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVLIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLMEIVSKICDGVQARAAQEKYHGVLLISEGLIESIPEMYALIQEINILHSNKVPENKIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVIEEMNKRTKEGKYKGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTSKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYAVLREKARSFLLDDFYRTPGGIQFDGSGADVKPITLTLKLIKLISQVKNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFDAELPLFNLN >LPERR09G03140.4 pep chromosome:Lperr_V1.4:9:4738444:4744382:1 gene:LPERR09G03140 transcript:LPERR09G03140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRSLYQPELPPCLQGKAIKVEFGDSTMTIDPTCANMVAQEFPHTFGQPLVHFLKAKKMDAQANNEHPPIRIGVVFSGRQSPGGHNVIWGIYDAMKTQNSQSVLLGFTGGTEGLFANQTLEITDDLLSSYKNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVLIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLMEIVSKICDGVQARAAQEKYHGVLLISEGLIESIPEMYALIQEINILHSNKVPENKIPSQLSPWATALYNYLPPFIRREIDTEQLLAHLVIEEMNKRTKEGKYKGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTSKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYAVLREKARSFLLDDFYRTPGGIQFDGSGADVKPITLTVEDQDYLGDIEILQEYMEKVKNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFDAELPLFNLN >LPERR09G03150.1 pep chromosome:Lperr_V1.4:9:4744266:4748136:-1 gene:LPERR09G03150 transcript:LPERR09G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTASWAPIPAPATATARVPTNAAAFSPGRDLGAARRRRAGTAIRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKEMPYIASMGIYVFSKDVMLQLLRENFPAANDFGSEVIPGATEIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETENDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNVQEASRETDGYFIKSGIVTVIKDALIPSGTVI >LPERR09G03160.1 pep chromosome:Lperr_V1.4:9:4755470:4755762:1 gene:LPERR09G03160 transcript:LPERR09G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATPASGRPIEEEGEAAVMERGKEGGGGRGRGEVGAATAEGGGVRRAEGGRERRRRGEGEGDE >LPERR09G03170.1 pep chromosome:Lperr_V1.4:9:4756103:4762838:1 gene:LPERR09G03170 transcript:LPERR09G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEDDRRRGGGGSSTPGMNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKGQSVELTGENINITYKHGGTYLAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLLDTLHVVGKLNAHTAGCYCIGMDPLDRWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >LPERR09G03180.1 pep chromosome:Lperr_V1.4:9:4763656:4770192:-1 gene:LPERR09G03180 transcript:LPERR09G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGCDGEGMLARLRRAAAKRISLSCASFFSHSAASSPSPPSSSKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLESLSTVNSDNDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNQSDPILRILDDNIASSRVNRRSSIRAARYNDDDPVEPYTMTEHVDPCLRFALIPSPVAAHHHAVGHYPCGHVMPLQQHCQYSSSSMLSPPQIVSPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDNPIRRCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGRDDQFRTGEVAVGFEEKGDNRYCGVRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >LPERR09G03190.1 pep chromosome:Lperr_V1.4:9:4779667:4785954:-1 gene:LPERR09G03190 transcript:LPERR09G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGRGGGGGGGRARFGGGGGGRGDGPSRYSAARDDPPPSARRSSGWGVAPPSRHLWVGGLGAGVDESDLSELFLRCGDVEGISRDPGRSFAFVTFAREEDAVAAVRELQGIQLRGAPIRIEFSKGDKASSSSMDDRYSQHADQRRFTERGRNQQSSPEKSVDRSKRSRPTEPSEVLWIGLPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTITAACRAKETLQGNLFNNPRVSICFSRSDGVSAEFGKGSIDAPYSPHLNPRPVFREQDFEAFPRARPFDSPPRDVYMPSPHFGSKRLSRDPDDVCFSRDNYSRYGPGIEPDPRSNFEPFRMRGLGSERRMSEDPYEQHRRSPSFRGDAPWHNIPFERSQGAIPLEDSWNTRDDSYPFSKKLRTGEAPDPELPEYPFSEFDRGKVGSGYPRRPFYGMPEDDTHPGAYQLAPMHGRNHIEPLRNPTPLVDRQMPWHSQDSFSRQVEVERSTPEHHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLNCTARTSLEMLAKHYYQAASSWVVFFVPENDADMAAYNEFMTYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFESDPEVTSPNRKPETFEKGPLSLASHLNHDVRSREDLDALRRPNQPDIRPHPQVSDYIGSSPGSYTPANANLVPPYKFGNAPYLGSELAQQRPPADSHREIAQDKQQQHPDMLPSRWSDNINNPNPGSGNFNSLAQSAISHRSTDRMPEAYSFSTQGVPKASTSGYAPVAGETSNMSFSHMQPVQQQVVRPQQPPSLPVSLPPEQLAQLATLLAHQNQGKEHVDNLSKQSRFIQNPHGHASMMPHSSSSIPVQNTLPHVLPSAPQLQVHAPPNQGSVTPNPSIMFTSNAPMPSHNPLPLPTNPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSAPQPQVQPAPPSGQQTSQQLSAQEDHNGDPQKRLQATLQLAATLLQQIQQQSKPGGQN >LPERR09G03200.1 pep chromosome:Lperr_V1.4:9:4794744:4800933:1 gene:LPERR09G03200 transcript:LPERR09G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRPDGGEAAGRAGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASGFSISDNDLPELSGGVMCGSTDTLSSSIFHQLSVSPINLHSPEGKPMPSGIEGQMIIQKSPELKRKSC >LPERR09G03210.1 pep chromosome:Lperr_V1.4:9:4805588:4810729:1 gene:LPERR09G03210 transcript:LPERR09G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNNMGSDNGANNNSARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDSGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPATGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNRGLNEATKSPHHDDSLSRHELLTPDSNCQPGSPTISPKHERAAKRQRGN >LPERR09G03210.2 pep chromosome:Lperr_V1.4:9:4805588:4809272:1 gene:LPERR09G03210 transcript:LPERR09G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNNMGSDNGANNNSARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDSGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPATGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNRGLNEATKSPHHDDSLSRHELLTPDSNCQPGSPTISPKHERAAKRQRDNLY >LPERR09G03210.3 pep chromosome:Lperr_V1.4:9:4805588:4809486:1 gene:LPERR09G03210 transcript:LPERR09G03210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNNMGSDNGANNNSARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDSGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPATGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNRGLNEATKSPHHDDSLSRHELLTPDSNCQPGSPTISPKHERAAKRQRGNGTEFSETDFTLPHSIFESSSGSEFQQCSMSYSGN >LPERR09G03220.1 pep chromosome:Lperr_V1.4:9:4811491:4815768:-1 gene:LPERR09G03220 transcript:LPERR09G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLSPSPASPYLLHPLPEHAEVSTFSPPPSPASSYKERIIFGAHPPPPPPPPPPPPPPTRSSWRGRHHRRVSGDDLDAASCSSSSPSPPSDEENPPAPTTPSSLFDFIAGARTNLXXXXAPAAASPATGHLTFYAAAPGSFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALGVVAICVGVGAAVLKRVESLEWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISEKDIMMICDQFQRLDSGNCGKITLSDLLESHHLVTDLSEKKKGKKS >LPERR09G03230.1 pep chromosome:Lperr_V1.4:9:4836929:4841151:-1 gene:LPERR09G03230 transcript:LPERR09G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGNEETTIDIQSLVEELMASCKRGGFASKRSGDKIPWLNEQVDVPDVVCIGPYYRDPLHQTESEKMAMLDGVLPADEQHKAATLTRLVEAVTAVGNDAMDHYPDSARNMMSSREFVQMLVLDGCYILGKFVLPHCLNSSVSLSPATSHSGGVSASASSQISGGSGSPAAKEQGAEEMKNVELVRNVFYLVENQIPFLVLDTIHEVLHGGLSTCTAAVADTLARHLREHLKQFGYSILPAKVDKPWHLLHLLHMHLQPSRGRGVDVDHVGTSGNIVARPSSSPTAVVYRWRAATKYHESGVRFRRQQLEPTTAAGGRTTQSILDVRFDEQKLCLCVPLLTVDDNTCAMLRNLMQLEKHNPEELGTRVTAYCLFMSQIAGTAADVELLVKKGIIVHALRTDSDAAERLAGLCNGITIDLDEPKHSYLHKTRKLLEEKLESRPVRWMTQLRRKHCGTPWLMFGLLVAFLAFSNGILQSVYTALGYYATIHHHA >LPERR09G03240.1 pep chromosome:Lperr_V1.4:9:4846809:4850887:-1 gene:LPERR09G03240 transcript:LPERR09G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGDARGAD >LPERR09G03240.2 pep chromosome:Lperr_V1.4:9:4846856:4850887:-1 gene:LPERR09G03240 transcript:LPERR09G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAFVSFRECSHRAEQQQSQRSHRSIQPSFASPSSAALLCVPSASAPLPPPSPCCRRATKGAAASSLVRSRSRRSLRLDSYAPSFRSDGGSPLLANRNLTANNCSSTQY >LPERR09G03250.1 pep chromosome:Lperr_V1.4:9:4852478:4864760:-1 gene:LPERR09G03250 transcript:LPERR09G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSENYQTTIDVVSSLVDELMVASCPRCDTIKSGCKIPWLNEEDEPGVVCIGPYYHDPLHRNEQEKRLVLHNLLPVDEKQKVDKLRRLFEAVYTIEKEARGHYVDHPWTMDSAEFVQMLVLDGCYILGKFVLPHCCHPAGLSVSDGKGADFTGSPALSDSCSQVSSSIQSAGMGMAAKSNRRGHAMRNVALVRDVFCRLDNQIPFCVLDTIHKVLHGNKMSGSCTLVADTVARQLRELLQNLSYSVVGAKIHKPWHLNHLLHMHFQPVSVDEKPNAAAAAAARVYRWRSATQYHAAGVRFKTRHLDGGGARSILDVELDGLTLRMPSLTVDNNTCTILRNLMNLEQHNPEIGSHVTAYCVFMSQLAGTAHDVELLARKGIIVHALLTDSHVAEMLASLCSGITIDLDEPRHSYLHKTRKALERLYQSRRVHCMTLPRRNPWLVLALFAAIVALLCNLLQAIYIIKTYYRT >LPERR09G03260.1 pep chromosome:Lperr_V1.4:9:4904352:4922336:1 gene:LPERR09G03260 transcript:LPERR09G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPAGLCRISIISNENTRKEYCLAVDNNNNIVLLEQGACNGRELWFIVVVHPAAGFNPALADRTVIIFNRFGYPLLRVDRNDSGSFVVVSSSPGIFQQINNNHEMEWTVRQIDDGGNSNRNANRHNHLIMLLHHRKIFLAMKPLHRQQRASEYAGSKPGWQFIRPLRERCQQSYFTQ >LPERR09G03270.1 pep chromosome:Lperr_V1.4:9:4961267:4962469:1 gene:LPERR09G03270 transcript:LPERR09G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETSQPVSTPTQPLNSASESTPTPIIDLESTSTLPRQQGSTSTRIQSSKITPTQKPRSLVFKTSNNPEVFHLYGKLTQEPIESFGKFTRRVLQALDQVKPVSDQLALSIFYHSLTNRDMIRIWHRYEPKSIKDLMQLANIHGAPGIQYSEPWKEDNLITFMWKSLQERTYLEHRERKEAYKQRREQAKHQQSSVSSEGYQSPLRKKVWQAKQKAPQSALTPNQELAQLLQGVQEPFHVYLRRFNAIMEDKPAVTNNQAMDAFFKGYRDLEFKEDWYKKPPALLEAMLFRADLYAYHYQWLGMRDPSDNDSDSGNSVAYGEGEERQVAFLNESIQFDDLEQSSSASFSPLHGIFMAEVTDVRLTIEQLAQRAADIERQAREIEQAQRQLEEARAEEERR >LPERR09G03280.1 pep chromosome:Lperr_V1.4:9:5004679:5005784:-1 gene:LPERR09G03280 transcript:LPERR09G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPADRELFRISVVPNAYENREYYLVVDNQSMVVLMDLQREQDFANIRGCELWCMVRNANNQGPPEVLLYNVTNMHRPIRLDMGERDLNIRVSNPGEVPTNWRIHQVMNDNPLDNGIRYYIECGHTGLIWKALRTIEHDLTITLVQRPPEDDQQVVVIPDVSMCRWKLVPAPPGAEGGGAPGDHPDDGDEDGRSRSK >LPERR09G03290.1 pep chromosome:Lperr_V1.4:9:5040633:5041749:-1 gene:LPERR09G03290 transcript:LPERR09G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPSVGSNSERTASDEKVVKNSSAKDTLLERIAPLDFLGHDPTLFEAKKQAEEQMQKLQAELKQLKDKNEELTKAKDSAENKLAHSITLNVKSHEQANYYKDKLDTLSKKHEDLKKKAANELSAMKAKHNVEFMKMKAELEEARGMNAELYQATEPILDNLHAATAKSNTSSL >LPERR09G03310.1 pep chromosome:Lperr_V1.4:9:5099952:5101930:-1 gene:LPERR09G03310 transcript:LPERR09G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASACCLLLLVSLLATTAAAANYSMVARHEKWMAENGRKYKDAAEKARRFKVFKANVERIDRFNAAGDKTYRLGANKFTDLTDEEFVSRYTGYSNGSVPSTFGAKKLPGFMYENVSLSEGDSQGVDWRERGAVTNVKDQSTCGCCWAFSAAAAVEGIHQITTNELVSLSEQQLLDCSTQFGNKGCDGGYMQYSFNYILAAGGITTESAYPYQRVQGSTCQFTGEGVAATISGYQEVPLKDEDALAQAVANQPVSVYITAGSLQFKQYMGGVFTGDGCDQNLNHAVTVVGYGVDAAGGGEYWLIKNSWGMQWGEQGYMRLQKGQGACGIVSTLAPAYPGNTYM >LPERR09G03320.1 pep chromosome:Lperr_V1.4:9:5122465:5125145:1 gene:LPERR09G03320 transcript:LPERR09G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTQSSRSEDDLNLQAQMDRKRKRRKESNRESARRSRMRKQQHLDELTAQVSQLKNQSQQLSMALSLTTQNLVEVQAQNSVLQTQKMELQSRLCALTDILMCMNSSTNNISATTIPATTSACDIFGASSWNQPIDLYQYQCF >LPERR09G03330.1 pep chromosome:Lperr_V1.4:9:5141548:5142482:-1 gene:LPERR09G03330 transcript:LPERR09G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHLILSVARRMVLNHGAAPGYCASAPIKGPSLITYLTMEQVKKQRGKLQKVLREQKARLYIIRRCVVMLLCWND >LPERR09G03340.1 pep chromosome:Lperr_V1.4:9:5154167:5154885:-1 gene:LPERR09G03340 transcript:LPERR09G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSCCRLAVVASAAAGEPVRRIRRRRVAPGTPPTQQLKSAAAQQPSVAEVRRAIGLGDDDPSAPRGERQSAFMDLIASTPIGQPEGDAERRLREAAEWVVDTTEDRACQAQKSLLLLCMKIFPLWLLLLSIALGIVKLPFDIPGLDNLLM >LPERR09G03350.1 pep chromosome:Lperr_V1.4:9:5155661:5155978:1 gene:LPERR09G03350 transcript:LPERR09G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARLKLLSWLAKSSSDKLLMLDYSNVKYMDPWLGTSVMCGMDQCTRDLAPSELNTCLHCYIGLIRKFYLKNTSSSIKGYKCYLRFQLSPFDIMLPITSPPPPP >LPERR09G03360.1 pep chromosome:Lperr_V1.4:9:5156051:5156354:1 gene:LPERR09G03360 transcript:LPERR09G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILRQWGNHISRTRLQEMDKDDLFNDKANDFKKSTGPKSFAIATDNFSDDHKLGEEGFGSVYRGIMRDLNIEIVVKRVSKTSKQGMKEFASEV >LPERR09G03370.1 pep chromosome:Lperr_V1.4:9:5156407:5158658:1 gene:LPERR09G03370 transcript:LPERR09G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASCSSSTISCPVAVWRPAHIYSPENSLPWPVRYNIVIGLSATLMYLQHEAEQRVLHRDIKPSNVMLDASYNAQLGNFGLARLIDESRQSYTTGVTSTLGYMDTQCFLAGRASINSDIYSFGVFLLEVVCCWRPAVLQDGGEYAINLVQRVCDMHGGSAGMHNAADTDKDDNTLKLNSMSCTTTYNYTGTGYMKNLNKLLSALSFNAIIGDGFNTIVVSKGTTDQVFGLIMCYADTNMTEFHKCLIGAANRIMQLWPGCRTVNINSKAYILHYSNSLPDTSFVESYIKNMTHMGIARLKLLSWLAKSSSDKPLRLDYGNVTYMDPWLGTSVMYGLPQCMRDLAPSECNRCQHGYVGLIRKFYHKKTDSSVKGYKCYLRFQLSPFDIMLPITSPPPPPRASKRFIVVLTIGG >LPERR09G03380.1 pep chromosome:Lperr_V1.4:9:5158743:5159065:1 gene:LPERR09G03380 transcript:LPERR09G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDDVFNDEANDFEKTSFAIATYNFSDGHKLGEEGFDSSRQRCGSSAGSAIATSFRSFAGATEAASCS >LPERR09G03390.1 pep chromosome:Lperr_V1.4:9:5159069:5159311:1 gene:LPERR09G03390 transcript:LPERR09G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPVAVRCPTHIYSPENSLLWPIRYNIVIGLSAALMYLQHVAEQRVLHRDIKPSKVMLYASYNARLDDFGLARLIDES >LPERR09G03400.1 pep chromosome:Lperr_V1.4:9:5159321:5159566:1 gene:LPERR09G03400 transcript:LPERR09G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIAGTLGYMDAQCFLARRASIDTEIYNFGVFLLEVVCGRRPTVLQDGGEYAIQLVQWVWDMYGDSVGMHNTADILLISG >LPERR09G03410.1 pep chromosome:Lperr_V1.4:9:5161993:5171212:1 gene:LPERR09G03410 transcript:LPERR09G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATAERQLVVAVEGTAALGPYWSTTLTEYVEKIVRSFCAHELAGQKLAGVPPELALVVFHTHGPYSAFVVQRSGWTKDMNVFLSWLSGISFSGGGFNEAAISEGLSEALMILQGSPSNSQNHQNHEVQKHCILVAASNPYPLPTPVYRPLVQSTDHKENNDGAKESCLADAENVAKSFAQCSVSLSVISPKQLPTLKAIYNVGKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLHGNLVSNHPITKMDTTTTSVPVPTSNGNPSVNGPMLARQPNGVVGIPTANIKVEPTTIPPMVSAPAFSHVTPVSNGASQGLSTVQSPPQSLISQETNLANDSVQEHKPLINNPIQQSVRPGGPANVSILNNISQHRSVANMISSGMPSVISSMSGTGQPIGNQQMVQNTAFGSNTSGIPGNSNVAVSSSLGNIQNNMGMSGPPVTQGGPMAGTQLGQGGINTNQNMMSSLGATAMSSGPAMMPTPGMVQQAGVNSLGVTNSSAMNMPIVQQHPNAQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASEALASDWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGQPQMVGTGMGQQQPQMVSTGMGQQQPQMVGAGMGQQFMQGHGRTVQQMMQGKMAPQGPGSMPGAGNMPGGGYLG >LPERR09G03410.2 pep chromosome:Lperr_V1.4:9:5161993:5171212:1 gene:LPERR09G03410 transcript:LPERR09G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATAERQLVVAVEGTAALGPYWSTTLTEYVEKIVRSFCAHELAGQKLAGVPPELALVVFHTHGPYSAFVVQRSGWTKDMNVFLSWLSGISFSGGGFNEAAISEGLSEALMILQGSPSNSQNHQNHEVQKHCILVAASNPYPLPTPVYRPLVQSTDHKENNDGAKESCLADAENVAKSFAQCSVSLSVISPKQLPTLKAIYNVGKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLHGNLVSNHPITKMDTTTTSVPVPTSNGNPSVNGPMLARQPNGVEPTTIPPMVSAPAFSHVTPVSNGASQGLSTVQSPPQSLISQETNLANDSVQEHKPLINNPIQQSVRPGGPANVSILNNISQHRSVANMISSGMPSVISSMSGTGQPIGNQQMVQNTAFGSNTSGIPGNSNVAVSSSLGNIQNNMGMSGPPVTQGGPMAGTQLGQGGINTNQNMMSSLGATAMSSGPAMMPTPGMVQQAGVNSLGVTNSSAMNMPIVQQHPNAQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASEALASDWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGQPQMVGTGMGQQQPQMVSTGMGQQQPQMVGAGMGQQFMQGHGRTVQQMMQGKMAPQGPGSMPGAGNMPGGGYLG >LPERR09G03420.1 pep chromosome:Lperr_V1.4:9:5171007:5184024:-1 gene:LPERR09G03420 transcript:LPERR09G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEKLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSLITISLSGGTNKEQRAQNIFPLYVLLARPTNNISLEGHSPIYRFSRACLLSSFHEFGNKDCTEATFVIPDVKNLTTTRACSLDIILISCGRDEQAFDDNNCSGNHVESSTLQKLEGKCFWGKIPVNLLASSLGNCVSLSLGHTVEMSSTVEMAPSFLEDSFQLQVNISAQEAGARDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTESWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSEKIRHVHPHIVDSGSPEDAQAGSEEDYVQRENGISVAHASVDPAHSVHGNNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRFLINLSMSRFVKSTRTHQVFQPR >LPERR09G03420.2 pep chromosome:Lperr_V1.4:9:5172008:5182673:-1 gene:LPERR09G03420 transcript:LPERR09G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHKYGNLGTAALEVEMLEYLLLLAAGIPADKTSTQKQDTSLITISLSGGTNKEQRAQNIFPLYVLLARPTNNISLEGHSPIYRFSRACLLSSFHEFGNKDCTEATFVIPDVKNLTTTRACSLDIILISCGRDEQAFDDNNCSGNHVESSTLQKLEGKCFWGKIPVNLLASSLGNCVSLSLGHTVEMSSTVEMAPSFLEDSFQLQVNISAQEAGARDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTESWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSEKIRHVHPHIVDSGSPEDAQAGSEEDYVQRENGISVAHASVDPAHSVHGNNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRCWRFFMIKLWNHSLLDARAMNACNRILEGYLNENSDQKKI >LPERR09G03420.3 pep chromosome:Lperr_V1.4:9:5171007:5184024:-1 gene:LPERR09G03420 transcript:LPERR09G03420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEKLAAEESFALYCKPVDLLFFKDASFTRFMQDGRRDCTEATFVIPDVKNLTTTRACSLDIILISCGRDEQAFDDNNCSGNHVESSTLQKLEGKCFWGKIPVNLLASSLGNCVSLSLGHTVEMSSTVEMAPSFLEDSFQLQVNISAQEAGARDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTESWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSEKIRHVHPHIVDSGSPEDAQAGSEEDYVQRENGISVAHASVDPAHSVHGNNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRFLINLSMSRFVKSTRTHQVFQPR >LPERR09G03420.4 pep chromosome:Lperr_V1.4:9:5172008:5182303:-1 gene:LPERR09G03420 transcript:LPERR09G03420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYLLLLAAGIPADKTSTQKQDTSLITISLSGGTNKEQRAQNIFPLYVLLARPTNNISLEGHSPIYRFSRACLLSSFHEFGNKDCTEATFVIPDVKNLTTTRACSLDIILISCGRDEQAFDDNNCSGNHVESSTLQKLEGKCFWGKIPVNLLASSLGNCVSLSLGHTVEMSSTVEMAPSFLEDSFQLQVNISAQEAGARDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTESWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSEKIRHVHPHIVDSGSPEDAQAGSEEDYVQRENGISVAHASVDPAHSVHGNNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRCWRFFMIKLWNHSLLDARAMNACNRILEGYLNENSDQKKI >LPERR09G03420.5 pep chromosome:Lperr_V1.4:9:5183474:5184024:-1 gene:LPERR09G03420 transcript:LPERR09G03420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEKLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSAI >LPERR09G03430.1 pep chromosome:Lperr_V1.4:9:5184064:5185081:-1 gene:LPERR09G03430 transcript:LPERR09G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMETSQIDTTSLATRERIDGQKGINGGQGVQWQSIDKWMTAAERGNGVWGWREVGGVRSVQTVGKGVVDRRGRERSLDSGGDREQQALGVASAPHELPRAAASSLTGKVK >LPERR09G03440.1 pep chromosome:Lperr_V1.4:9:5204454:5209038:1 gene:LPERR09G03440 transcript:LPERR09G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKATSPKNSMTSSTGPKSPVRSGGSSPHKKNSTELRGRKNEPQIFRKGGQDLTTQDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHSEERAIRRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVPKAQLMPAFDKPFHPKRQLSHRSTYH >LPERR09G03440.2 pep chromosome:Lperr_V1.4:9:5204611:5209038:1 gene:LPERR09G03440 transcript:LPERR09G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKATSPKNSMTSSTGPKSPVRSGGSSPHKKNSTELRGRKNEPQIFRKGGQDLTTQDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHSEERAIRRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVPKAQLMPAFDKPFHPKRQLSHRSTYH >LPERR09G03450.1 pep chromosome:Lperr_V1.4:9:5221843:5223151:1 gene:LPERR09G03450 transcript:LPERR09G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQIQYHHHQHHSHIAPPSKHGGGVAGAGEDDDQSMLLLSLWPPGHTHPHHHHAAGAASSSSSSSSISTAAAHATTASSWFNPSNYHGGGGGGGGGGLLFQEQEPNVSISLSIAPPCNGHAGVNAIPPPAATSSGGGMSFSSPVPPHHHHHHHHPPPSPAGGGGQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITTTTTSSSAAAAVASPPASLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAVDDDEDDDDAGVGNDDGDTSDVMHLV >LPERR09G03460.1 pep chromosome:Lperr_V1.4:9:5242775:5251208:-1 gene:LPERR09G03460 transcript:LPERR09G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAKNRKKYENVFDQLIKAIKPPVDFDLPPLLKEWKSNHYVPIKRRNALRMMAFFVPAPRLLNLQYLVTKIANAGCCFLVVRQPTEKCGNGVIAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRLGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKMGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQQVRKKRKTSLENCIGEIVRLWHRRHNMYLAASIYDFNERNGIHTLLFTDATIEEFDLREEDWDFLPDPDEPEEV >LPERR09G03460.2 pep chromosome:Lperr_V1.4:9:5242775:5251208:-1 gene:LPERR09G03460 transcript:LPERR09G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAKNRKKYENVFDQLIKAIKPPVDFDLPPLLKEWKSNHYVPIKRRNALRMMAFFVPAPRLLNLQYLVTKIANAGCCFLVVRQPTEKCGNGVIAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRLGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKMGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQQVRKKRKTSLENCIGEIVRLWHRRHNMYLAASIYDFNERNGIHTDPDEPEEV >LPERR09G03470.1 pep chromosome:Lperr_V1.4:9:5278545:5283602:-1 gene:LPERR09G03470 transcript:LPERR09G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADQLGCYTIKSHGTKVARIHMYDWIILLLLAVIDGLLNIIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNITTGVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDIDAFWPHAYTLQLAEASRNSNTANSFSVRPTEIETVNIPEGHGGIALRDSNRVIDNMESGRRL >LPERR09G03480.1 pep chromosome:Lperr_V1.4:9:5287799:5291245:-1 gene:LPERR09G03480 transcript:LPERR09G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEAETVDSVSDLPVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLRHVRCDDFLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHVNKSGFICHGPLDRDAIGPGARRLPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFYQDSTDTDAFVIGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLAFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDPTWRIGGFIIDDPASELDPIRNVFCCPILFSLWHIRRTWLKNIIKKCSNSEVRREIFMQLGKVVYSIWSEKNPMDALEQLFQDFVDQTTFTQYFKSFWIPKLEMWIDTIRNLPLASQESSGAIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYAEESGSFPEVKAEYVASTSWQRALQIPDNAVIFDEKEPFSAKVASQKDTSQMWTVWNPGSEFSLCECSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATSDGIAQVSGRLPIQWTNKKGRRVAAKRTSPLRVVPYSNGTPQKDFTPKKHRKRKRLC >LPERR09G03480.2 pep chromosome:Lperr_V1.4:9:5288533:5291245:-1 gene:LPERR09G03480 transcript:LPERR09G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEAETVDSVSDLPVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLRHVRCDDFLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHVNKSGFICHGPLDRDAIGPGARRLPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFYQDSTDTDAFVIGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLAFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDPTWRIGGFIIDDPASELDPIRNVFCCPILFSLWHIRRTWLKNIIKKCSNSEVRREIFMQLGKVVYSIWSEKNPMDALEQLFQDFVDQTTFTQYFKSFWIPKLEMWIDTIRNLPLASQESSGAIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYAEESGSFPEVKAEYVASTSWQRALQIPDNAVIFDEKEPFSAKVASQKDTSQMWTVWNPGSEFSLCECSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATSDGIAQVSGRLPIQWTNKKGRRVAAKRTSPLRVVPYSNGTPQKDFTPKKHRKRKRLSTVSR >LPERR09G03490.1 pep chromosome:Lperr_V1.4:9:5293911:5296787:1 gene:LPERR09G03490 transcript:LPERR09G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSGDQRFMAPRRIRADEHEEEDHRGEEWPEPKRVRQTMPSFIPMMRGAIAAENIQKLGVDLEPFFRKAVQEELERSLSKHGHLLYKSPPMLANSVDSSPSLKLAFAKPLLHPIFTNNKLVDIDNNPLQVHLLTMNTTITTTTSHSHHHLGLTATVPPIIKLEVLVLDGDFRRCDDHEDWSSDEFSGAVVRERQGRRPLLVGTLNLTMAGDHGVAVIDDMAFTDNSSWIRSRKFRIGVRATTGSGGEAGMRIREAVSESFMVKDHRGELYKKHFPPKPSDEVWRLKNIRKDGPIHKRLESECVRNVQGFLNLHATNPEMLKKLVVMSDRLWKATLNHAKTCDFGAAMTQVKQCSIETYENWDQLEEDETYENGLMASGNLDQPHDGSLITPDLDSLSPQADEISTSTGPDYKSRNQLDSEDPLAAVTEADAALWSPCMTSDGHGLIIWNSSSPVWDQN >LPERR09G03500.1 pep chromosome:Lperr_V1.4:9:5304222:5304927:1 gene:LPERR09G03500 transcript:LPERR09G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGVGVMETAAMLEAGVGRFRGPSMAALLAEMWAPLAVALAALATLPSLLRRLQVLILRLRSRGKEVISSHISTYYSSGDDGDSSDSDATDDEEDDGEEDEASSSGEEDGIQERRIGYYDGADDDDGDGCFPWGGAVVRTWQELPRRISGGGARLLAPVSSSSSASAAAAVRASAPVVLGWHAAARRRRRRHLAAVPTSPN >LPERR09G03510.1 pep chromosome:Lperr_V1.4:9:5310984:5311436:1 gene:LPERR09G03510 transcript:LPERR09G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSLVAVALLLFTFMTTEANAQVFCRSQFNLANEACSLRTFSGVNPGMPLRQLNDSAVETQSEHRLRDGHDHDSDHDHGSSHGGVHGHSHRADPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVSFECAAAY >LPERR09G03520.1 pep chromosome:Lperr_V1.4:9:5313335:5315520:-1 gene:LPERR09G03520 transcript:LPERR09G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSPPKIAVDLCRLLCCCSMVLTSPRRHRIPLAPSSSELKLGRGAQERKNIPSESPDCNQG >LPERR09G03520.2 pep chromosome:Lperr_V1.4:9:5312364:5315520:-1 gene:LPERR09G03520 transcript:LPERR09G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSPPKIAVDLCRLLCCCSMVLTSPRRHRIPLAPSSSELKLGRGAQERKNIPSESPVVMMVPSSQKIRIFCSDPDATDSDEDDQKDKRLIGEILIHMDSKTSKTVVKTHVQCGTKIAKDSDKEPTSKYRGVRRRSWGKWAAEIRDPLTRSRKWLGTFDSEAAAAAAYDRESEKFRAQLMAMKAQSSLSEGEDLSSSVTMSCVSSSQSCEQKTQAKPQVRKRVSVEINHEIVEQKIQAKPQSCRRVSVEINHEIVDEHLLNFSSIPKDKGIPVDAFLGRIDELPVSNYAGLADEIPPDDFTILADAFPISDFVGMTDELPGDDYIGLADISHLPLPTEDPKFDLDAELNWDGFDFDSLEKDLNLL >LPERR09G03520.3 pep chromosome:Lperr_V1.4:9:5313584:5315520:-1 gene:LPERR09G03520 transcript:LPERR09G03520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSPPKIAVDLCRLLCCCSMVLTSPRRHRIPLAPSSSELKLGRGAQERKNIPSESPGLVWVDYLHIPSSFAT >LPERR09G03520.4 pep chromosome:Lperr_V1.4:9:5312364:5313281:-1 gene:LPERR09G03520 transcript:LPERR09G03520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSQKIRIFCSDPDATDSDEDDQKDKRLIGEILIHMDSKTSKTVVKTHVQCGTKIAKDSDKEPTSKYRGVRRRSWGKWAAEIRDPLTRSRKWLGTFDSEAAAAAAYDRESEKFRAQLMAMKAQSSLSEGEDLSSSVTMSCVSSSQSCEQKTQAKPQVRKRVSVEINHEIVEQKIQAKPQSCRRVSVEINHEIVDEHLLNFSSIPKDKDAFPISDFVGMTDELPGDDYIGLADISHLPLPTEDPKFDLDAELNWDGFDFDSLEKDLNLL >LPERR09G03530.1 pep chromosome:Lperr_V1.4:9:5324282:5324859:-1 gene:LPERR09G03530 transcript:LPERR09G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPAMDEDHEVADTVIHTSMEVPGDVLAASTGDDMPLTNTASKRPPPRVCSSRSSASTSSVTVAAADNIEARHLAGFDGMHISINGVLEPGFADANVTYTNWGHTHHLHGRRRCRRSQVLRHFSRATVPQIPTTYINYVLFKTDEAKRHGWAESIRR >LPERR09G03540.1 pep chromosome:Lperr_V1.4:9:5330131:5334465:-1 gene:LPERR09G03540 transcript:LPERR09G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREQMAPNPGGPSTGGLPGKLCRLVEMADEGVELVNDAELRLARRAGSNKDLDSWLRRLREATQDLDDALADYRSAVERRRLRRPEQDAGTTKSIRHWFSRSSADHEVDYKKMNINTEKLNKKLHVVLQSGRDLGLQPVRLHRQNRISEFPRGFRPQYSIVGDSIEQGKMELINKLTGNQSTSGIIAIFGLGGIGKTTLARKVHDDFLTKSAFSTVVWVDGSESFTVVQLLSAILSSAGGKPGEAESREQIEDMLITILGAKRFLLVLDNVWDHQIHQDFLKVSLQAQQGSRILLTTRDERVVRQMASEDIHKVNELSFPNCWSLLCRSACLDEQDCGTLTDIGITIIRKCNKVPLAIKVMGGFLGTKNPTREEWQEVISVSEGWTLEDVPDGMKKICVPIFLAYYSLPYHLKLCFLYCLQLPEGFVIKRQIITQLWIAEGFIREQDNHNPEDITEQYYKELVLRNLLQPNIGCFDMSRCTVHDCVKSLLQPFTKDNKSTESSEGTKILRSFRTAIVYENPSGDRGLEKVFNWLKNMRSLDLTGTGIRYIPKSLKDLHHLRLLNLSLTQVLELPESIESLSNLQFLILRCCYWLQTLPEKISNLVNLRSLDLEGTTPHIVLPRLSTLEQLTTLHGFIVDHNMVPEKDHRNGWPMEDLKPLNSLRSLQIMNIDRVPDDSRAQEASLESKSRLTHLELCGSSTSDSQVFVPEEEQDRWLSVLRGLQPPQCLEYLKITSYYGSSFPDWISRLPNLQRVVLTDCKLCDSLPALGQLQRLKFLTITGFPKLHIIEWRKGATKPVFPKLEQMELSDMQVMESWDRFKDGDLRSLTKFHLENSPKLRSLPSGLEYCKILTSVKIVGADSLQVIDNLHVLKEMVVQDCRELVKISNLPALQVLVVVDCYRLQDVRGVSCLRHVRVVDRVMKKLPHWLTGQDAFFLKTFTIVGTAELLGTLVPDSEGWSAVRNMDRVYANLPDGTPFLAYNKGKTDFQMVKKAVAPQLEDPSAFVILRKLVGMASQTGLADTVKQYFVPPLAIALVLLLLWTRDIVLTGVFLAFFLAIACVAGFYVIYIQKSSGCCEPEILCSSEPSSPSFWQ >LPERR09G03550.1 pep chromosome:Lperr_V1.4:9:5337843:5348531:1 gene:LPERR09G03550 transcript:LPERR09G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIVSGITKEVITSLKTAAVNEIAKLVCVKKEIKNLTVTFDDIWAQIRGADQTVAHSEATSYRLKLLREYAYEAENIIDLFIIELGKLQESRPHERNASSVCRCCREVGIRYKAANDIQELNKKLEGIKPTLLQELCREDQTGQNTVTTPQHDEFITIGQNIANECDNLLGLLQRGNQASQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSKKLGVWKNERFLGTGETAVQREVLREYLTNARNSRVLLVIDNVWEENGWNEFLGQDFCRSGSTVLLVTTRHECVARTMGIACCHHIKRLSEDDGNIQGVGRRIVQKCSSLPVAVRTIGYHLRGKTREDEWESVYLEDFIAIYPKIRDSIDASYMKLPYHLKRCFLYCSLYPEGFLIEKQCIMQQWIAEGFFSEKQLQEKAERCYQELIDRCLLLPEDEAHGVVGAKMLSLFRSFAIYRSQDENYVGNPCNISGNFKPWRLCVTNGGRVEDIPDDATSLRSLFLFGSPQSNEGALEFIFDKLTSLRVLDLRDTQVDDNHLKKLPKLKQLRYLNLSNTRIRSLPPSIGNLAMLQFLILKNCSLLTSLPSRVGRLKKLRSLDISGTPVLNVIQFKLLELTELNCLQGFIPAISALQNNGLGWKFEELRPLGNLRSLQMIKLDRASSSEDHLGQLNLQEKHNLKELELCCSSANPQNGGRDAEHIKVVFEALKPAQCLISLKIANYYGNQLPSWLSNSHLTVLQQLTLDLDGLPSWDLPPLGQMVNLKFLAITASSILRYGNNRQLRGEPRNGVAFPRLEQLVLGNMENLAPWSVLQECDLPLLRVFHLNGCSQLSSIPPWLHGCSKLTSMKVKNADTLQEIARLPSLKELEVYNSGRLQTVFNMRKLEDLRISDCPGLEVVNGVPSLCSVHVEEQIVLLPQWLQQKSFELRRLEIIGTEELLDRCSSPFAQYGSIIQFAAEHVYAKLVDGSFYFSYNKSTGSFQRSRRCIERLTVDYGLHNDDVPPDTWKKWMMYTLYAILLIASSFIQWVLRSVDKTLQHPE >LPERR09G03550.2 pep chromosome:Lperr_V1.4:9:5338641:5348531:1 gene:LPERR09G03550 transcript:LPERR09G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIVSGITKEVITSLKTAAVNEIAKLVCVKKEIKNLTVTFDDIWAQIRGADQTVAHSEATSYRLKLLREYAYEAENIIDLFIIELGKLQESRPHERNASSVCRCCREVGIRYKAANDIQELNKKLEGIKPTLLQELCREDQTGQNTVTTPQHDEFITIGQNIANECDNLLGLLQRGNQASQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSKKLGVWKNERFLGTGETAVQREVLREYLTNARNSRVLLVIDNVWEENGWNEFLGQDFCRSGSTVLLVTTRHECVARTMGIACCHHIKRLSEDDGNIQGVGRRIVQKCSSLPVAVRTIGYHLRGKTREDEWESVYLEDFIAIYPKIRDSIDASYMKLPYHLKRCFLYCSLYPEGFLIEKQCIMQQWIAEGFFSEKQLQEKAERCYQELIDRCLLLPEDEAHGVVGAKMLSLFRSFAIYRSQDENYVGNPCNISGNFKPWRLCVTNGGRVEDIPDDATSLRSLFLFGSPQSNEGALEFIFDKLTSLRVLDLRDTQVDDNHLKKLPKLKQLRYLNLSNTRIRSLPPSIGNLAMLQFLILKNCSLLTSLPSRVGRLKKLRSLDISGTPVLNVIQFKLLELTELNCLQGFIPAISALQNNGLGWKFEELRPLGNLRSLQMIKLDRASSSEDHLGQLNLQEKHNLKELELCCSSANPQNGGRDAEHIKVVFEALKPAQCLISLKIANYYGNQLPSWLSNSHLTVLQQLTLDLDGLPSWDLPPLGQMVNLKFLAITASSILRYGNNRQLRGEPRNGVAFPRLEQLVLGNMENLAPWSVLQECDLPLLRVFHLNGCSQLSSIPPWLHGCSKLTSMKVKNADTLQEIARLPSLKELEVYNSGRLQTVFNMRKLEDLRISDCPGLEVVNGVPSLCSVHVEEQIVLLPQWLQQKSFELRRLEIIGTEELLDRCSSPFAQYGSIIQFAAEHVYAKLVDGSFYFSYNKSTGSFQRSRRCIERLTVDYGLHNDDVPPDTWKKWMMYTLYAILLIASSFIQWVLRSVDKTLQHPE >LPERR09G03560.1 pep chromosome:Lperr_V1.4:9:5354524:5357673:1 gene:LPERR09G03560 transcript:LPERR09G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLFSYIFGRNPGILSPALPLQSYLSSAELPSMTDHVNDEVAKLDRTVCRITAVLVDADEKKIADETMKLWIFEIKQVTWEAEGILEDYSYELLCNSTVQEEKVTDYTDFPLNPSFQQNILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESSISRCTSSLLDPLEVYGREDEKKRIISFLLDDCLTFKKRMKEHKYETCKGGAIRLISIVAMGGMGNTTLARLVYNDTKVQNHFDILAWVWVSEIFDEVRLTKAVIESLTTKPCDLTELEPLQRQLHKEVKDKKILLVFDDVWNEDPIKWETVKRPFSSVATGSHMIITTRNENVSTISRAKKVIPLGGLQKDDSWTLFYKLSFPDNACRETELGAIGRKIVEKSNGVPLILKTLGAMLSLDTSLEFWNYVLTSALWELDPGWDRILPILKLSYYSLPAVLKRCFTFLAAFPRGHKFDLEDLVRMWCALGFIQEDGVKMMEEIGHSYVHELVRRSFLQNLQLSGSREKVVIVHDLIHDLARSIGAILVGTTPLYSDNKLVPFTLPVAGQFSLRSLSFQSKWRTYLRSCVRNNLRIFFQARVQSQWWYNLEGCLMHSPHLKYLRILDVSSSDQIKLDKSVGVLHHLCYIGMCQREVPEAICKMYKLQTLRNTYPFEMIFLPRNVTALSNLHHLVLPREFPFTIPSGIHRLTKLQSLSTFAVANAGSGAATLDEINTLQGELCIMDLQNITHDRILETKSANLTKKTLTRLELMWNPLPSYKSIPHDEAVLDSLQPHNRIQQLVVSGFRGLNFSPWLGDRSLFCLQELELCKCYYSDRLPPLGQLPNLKQLKLMSLWKLRSIGLEFYGDCKAPFQCLETFILQNLVAWEEWWLPENHEHCVFPRLLMIDIRGSHKLALLPLSNLHGLEAITVSSCSKLESIVGLKERCEVIAGNSAMQAGHTNMLPSLRKVKVTACPSLEEPLISMLRQQKEISFSYWEQSPSTSPTDIADFGKTPWGDSGKVPNVDVDFFPFGTGSSPSSTYTILHI >LPERR09G03570.1 pep chromosome:Lperr_V1.4:9:5359110:5360090:1 gene:LPERR09G03570 transcript:LPERR09G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDGDPTQKFSRLLDNNTVEEEKVNCSSNVNCAVGKAPIMPLEDG >LPERR09G03580.1 pep chromosome:Lperr_V1.4:9:5361183:5365358:1 gene:LPERR09G03580 transcript:LPERR09G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYYDAQQRQLVKVEQRAMEERAAEEIIKNQLQTHFENVQRISDTTTLPFAMHYLRSRIMEELDISHLTERLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDSEQLQEGSDTFSKNGHKDFLATADYLATYGINALIAQMQHAATEILKEKQLKDPMSIDEVLETILQILKQFMGLCENNSWINYLVPENANIYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSSDDFRNIMDRSLKKIASVVIDDLAVQIGGPIPPAGLPLAKLLAKVAQLSLPLLEEPNKNKHIQIIRSMPEVELFYTFLYANMPPET >LPERR09G03580.2 pep chromosome:Lperr_V1.4:9:5361183:5365599:1 gene:LPERR09G03580 transcript:LPERR09G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYYDAQQRQLVKVEQRAMEERAAEEIIKNQLQTHFENVQRISDTTTLPFAMHYLRSRIMEELDISHLTERLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDSEQLQEGSDTFSKNGHKDFLATADYLATYGINALIAQMQHAATEILKEKQLKDPMSIDEVLETILQILKQFMGLCENNSWINYLVPENANIYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSSDDFRNIMDRSLKKIASVVIDDLAVQIGGPIPPAGLPLAKLLAKVAQLSLPLLEEPNKNKHIQIIRSMPEVELFYTFLYANMPPET >LPERR09G03590.1 pep chromosome:Lperr_V1.4:9:5366324:5367803:-1 gene:LPERR09G03590 transcript:LPERR09G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSCSILMAKQYYTTSSLVIGYALCSSLLAIINKYAITKFGYPALLTALQYLTSAGGVWILGKLGFLSHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPTKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVVITTEMVYIKHIVMNLGLNTWGFVLYNNLLSLMMSPIFWFLTGEYKSLFTAIESRGESWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPAGLICLLFTIAGGVLYQQSVTKKGNTAAQREPASEQTEDDKESIELDEEKQSLVPSPGNSNA >LPERR09G03600.1 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDEFLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGAGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.2 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNMDVKAAKKAIIEGFRRTDEFLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGAGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.3 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEELMSSYCKKVLKVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGAGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.4 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNMDVKAAKKAIIEGFRRTDEFLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.5 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNMDVKAAKKAIIEELMSSYCKKVLKVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGAGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.6 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDEFLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.7 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNMDVKAAKKAIIEELMSSYCKKVLKVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03600.8 pep chromosome:Lperr_V1.4:9:5369935:5377211:-1 gene:LPERR09G03600 transcript:LPERR09G03600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGDEEWSSKRLKAVGTAAEKEHTLLSDTSQETNGDEAHRGDASQKDNTVSGNPCVSDEKATTKSDVSGEWEVVLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEELMSSYCKKVLKVVVANAGDAKAVLARSISTDGEGVSQLKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKQ >LPERR09G03610.1 pep chromosome:Lperr_V1.4:9:5383464:5383963:1 gene:LPERR09G03610 transcript:LPERR09G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRTYSALSDLEYRRDWVAEEDKYKAIQEALAGFLNDEVLDPKGEHYYDGRLEPACVDYNIDLDDPNLD >LPERR09G03620.1 pep chromosome:Lperr_V1.4:9:5387288:5397534:1 gene:LPERR09G03620 transcript:LPERR09G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRVVAAKAAPGLNPEAEEFVPWWRRRPTMTTELSADAPEFVYEGYWRAVVLAAVDEYYAEDFVVFGFAEDQPDRGGGGLNPNAPEFVVTRSAGNGISSSNRYRRAAKYFSRQGRAQFSSRVQRAQKEEFVRRTIFVTDIDHTITEDMLAELFGSYCSVVVDCRICGDPTSSLRFAFIEFHDENDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQSEAEKEMCSRTIYCTNIDKSVNRSDLKYFCEEYFGQVSRLRVLGDDHHPTRIAFIEFVEVNGAINALNSSGILASGQHIRVCPSKTPIRTFQSFSSANTMRNGRRSHHRSTD >LPERR09G03630.1 pep chromosome:Lperr_V1.4:9:5400153:5405042:-1 gene:LPERR09G03630 transcript:LPERR09G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGKVERLSSIDAQLRLLVPAKVSEDDKLIEYDALLLDRFLDVLQGLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGNMITSLDAGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRAEDLHLSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIAEEATLTNVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEELRQEWLLSELNGKRPLFGSDLPKTEEVADVLDTFHVIAELPADNFGAYIISMATSPSDVLAVELLQRECRVKTPLRVVPLFEKLADLESAPAALARLFSIGWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLRPLGDKLRANYIETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >LPERR09G03640.1 pep chromosome:Lperr_V1.4:9:5413766:5422531:-1 gene:LPERR09G03640 transcript:LPERR09G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHNHHLSEVALLASSSEDLAAVGAGEREGWLDDPAVLPSLASRARALAVASAARSVLAVVPVDAGGGVTVRPALGPDDGRISAVEWVPVGGEDAEIGDGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPAKILKLNFRERKENAWEDSGSDELSVVFPGVVARFDGADLQNVLQKSFSEVKLHLWKDKPEQDDAEDDSSFARIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSLVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLQTEFRKNLANADWGTASDHSMPQGKQDLTAINKVHLIAILIILFTIGGLSVQWRLWAVNPSWKESCCVLSSSPIAVASIVGDGGDLSVKSTGGSVIGADIALAAGFFFDFFALAPAPPMHRNDERPMAT >LPERR09G03650.1 pep chromosome:Lperr_V1.4:9:5413776:5414207:1 gene:LPERR09G03650 transcript:LPERR09G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSFLCIGGAGAKAKKSKKKPAAKAISAPITDPPVDLTDKSPPSPTMEATAIYDTYTGDDDSTQQDSFHDGFCNDENCTNYRHYGSNYRHYDSNGCNHGGYGFLFQGYSHGDRDHLFGSDDSSMHSRRYIKFDSYRHYDEC >LPERR09G03660.1 pep chromosome:Lperr_V1.4:9:5428826:5431057:-1 gene:LPERR09G03660 transcript:LPERR09G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKLYEVCKVSLSTNGPLSSEAVDSICAVLDNVMPSDVGLENDAQSVRSWRSPRALNMKAIFHSSAAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVFTRPAKIIRDGEMSAPCGTMVIHPTDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCQKADPSGILSNRSKGSEIVWLEEHQPPNKFVIKRDLYTGPPLNL >LPERR09G03660.2 pep chromosome:Lperr_V1.4:9:5428826:5431057:-1 gene:LPERR09G03660 transcript:LPERR09G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKLYEVCKVSLSTNGPLSSEAVDSICAVLDNVMPSDVGLENDAQSVRSWRSPRALNMKAIFHSSAAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWFKKPTCNKVKIRNSRFPTKCTLSIDLSSFVITARPAKIIRDGEMSAPCGTMVIHPTDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCQKADPSGILSNRSKGSEIVWLEEHQPPNKFVIKRDLYTGPPLNL >LPERR09G03660.3 pep chromosome:Lperr_V1.4:9:5428824:5430336:-1 gene:LPERR09G03660 transcript:LPERR09G03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVGLENDAQSVRSWRSPRALNMKAIFHSSAAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVFTRPAKIIRDGEMSAPCGTMVIHPTDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCQKADPSGILSNRSKGSEIVWLEEHQPPNKFVIKRDLYTGPPLNL >LPERR09G03660.4 pep chromosome:Lperr_V1.4:9:5428826:5431057:-1 gene:LPERR09G03660 transcript:LPERR09G03660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKLYEVCKVSLSTNGPLSSEAVDSICAVLARPAKIIRDGEMSAPCGTMVIHPTDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCQKADPSGILSNRSKGSEIVWLEEHQPPNKFVIKRDLYTGPPLNL >LPERR09G03660.5 pep chromosome:Lperr_V1.4:9:5428824:5429224:-1 gene:LPERR09G03660 transcript:LPERR09G03660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPCGTMVIHPTDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCQKADPSGILSNRSKGSEIVWLEEHQPPNKFVIKRDLYTGPPLNL >LPERR09G03670.1 pep chromosome:Lperr_V1.4:9:5508872:5510680:1 gene:LPERR09G03670 transcript:LPERR09G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTGKAATAAGATQGLNGAAGSRGGRSMERGTKIHRCPRPSPEGDFNANKTSCRPWGSGSAPPDVPRNQDRVKKPAPMRHSSSRQDGPILIDSPPRVTTRREEKRRYPADPSWVNSSKAVAVEQTDSSAGSQPIDNSPGDTFSDLGDKFFEGVQSHTQRMGVLLVPPRLSSTDFFSAQAEKWHDYNHAASQSPASCICGGVIVKEEGEATSNLVGDTAHVDLMCNRAPKLEMEITKRLDKLKSAAPRLLLTLVRSIDDAPPSLTLPTDGFVMDRHAATVHRDLYELQELLKKEPRFNQEIIVALRITTASWRANYADPRPGDLVKLISDLEDLEKLLSSPPNQLSFEGKLTASAEREAVLLFQQYESACAEASDELKLFAGDKVNIQAHRRHLEACHTDWLSRAEYHERKARAARANSEAYLGLLKRNQDIIDGHSAAVHALAKKISDMEDGRDQARAAVEDAKRKKEDWALAPPPIVSRVLSYPCNPYFGITPPPQ >LPERR09G03690.1 pep chromosome:Lperr_V1.4:9:5522616:5524706:-1 gene:LPERR09G03690 transcript:LPERR09G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFAGCNAQNKLRNRHKHRSKRRETDLPAGWQKPSPRLASVRRSGSYSDSASLAAAAAAYAVFDTPRGRPHGAPILIYRCSAAAGRPPPEHPRRRGISLAAAGELPSRFRGARAGGGGIRAGGVRPIIELDLGEHLPEHN >LPERR09G03710.1 pep chromosome:Lperr_V1.4:9:5547678:5550522:1 gene:LPERR09G03710 transcript:LPERR09G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENKSLDEALDRVLEKIELMKAKRWQDEKIDRILEKLNEIEANRVKFFEDMSVSIRETTAFLRAGSTPPPMASSPPAPTKCSMVCPNSDITQVMANSSHINEEFALTVDVQLVDGEDKDHDPYIASKDHPEVTPTTCSMKCSSPNAKPDLTVAAVIICATTVTSSKELALSIAHRCPPSVQ >LPERR09G03720.1 pep chromosome:Lperr_V1.4:9:5562742:5563680:-1 gene:LPERR09G03720 transcript:LPERR09G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDYELLLVAVSNGRNEDGVVGISDAEYAAELQLQEVILSSSAMARSVVLEVQEDQHGDGEEEFVVVHADQVAVAHDAETSSSARAVQATGECSCSSSLLFFCKICMEDVAPSDAHRGSHGCAHAFCTGCIAAHIAAKLEELEPAKCPEEGCDAVLDPELSQHIVPDDTFHRWCAALCRAMVLGARHVYCPFADCAEIIADERGDADQPSSAECPACRRLFCTRCGVAWHGGVSCAEYGELAAGDRGKGDLAAVETAKGAGWRRCPRCRFFVDRYEGCVHITCRCGLQFCHRCGNEWASVDHSSCNQPLPP >LPERR09G03740.1 pep chromosome:Lperr_V1.4:9:5626873:5631980:1 gene:LPERR09G03740 transcript:LPERR09G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTLLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGIVPVVALVGLGLFERGFTLVGKCVEIGLPMLILFVALSQYLKHVIVRHVPVLERFSLLICIALVWVYAHILTASGAYKHATLITQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIECAENVSILQTTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTGSREGPAHTKAGWFNDYINSVFSSPPTVALIMAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >LPERR09G03750.1 pep chromosome:Lperr_V1.4:9:5634009:5634601:-1 gene:LPERR09G03750 transcript:LPERR09G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEFKLSLNSNLSDLLYSPDRSLPNAVAFNKAHPLEDLLINSEKTNGIGPREKTMLELLYELSADGLEMLMKKQHMHLNKLPKFEGCLALSRIL >LPERR09G03760.1 pep chromosome:Lperr_V1.4:9:5640532:5644275:-1 gene:LPERR09G03760 transcript:LPERR09G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLNLRICKASAFRPASSLGARTQPISTTTNRKELQRQLSFRIDEGSKVLETAKQGLLDALVDSTFKFCDQPMLPSESNFAPVSEISEAIEILQIEGEIPEDFPEGVYIRNGGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHALYFTKNSSATCSVSYANRYVQSETLEIEKARQKPCFLPAIMGDSAAIIAAYILNYIRFGKVNKDISNTNVFEHAGRVYAVSENHLPQEICIQNLDTGDSWDINGEWDRPFTAHPKVAPGSGELVIFGTDAKRPFLIVGVVSADGTKLKHKVDLKLDRCTLCHDIGVTLKYNIIMDVPLTIDINRLIRCDQLIKFEKDSYARIGVMPRYGNAESVMWFDVEPFCMFHFINCFEEGDEVVIRGFRAADSIIPGPRISQSNYDLLSGPSRYMRDDVLMKKGINEEFFSRLYQWRINIKTKAVSGEYLTGTEFSMEFPMINNHYMGSHHSYAYAQVVDSLTSSYGVDEKVILKYGGLAKLCLEERDNVVTETSEDLIKTEYHWLGKDEFCSGAAFVPRPGGSHEDDGWIISFVHNEGSNKSQASICYYIVSCMHAIFRMNNAPPNFEFRNYNFLLPGEKLYVHIIDAQRFEAAPVAKIILPQRTPYGFHGTFISSNTITRLDHKSGKA >LPERR09G03770.1 pep chromosome:Lperr_V1.4:9:5665700:5686858:1 gene:LPERR09G03770 transcript:LPERR09G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTMNGDGEVTDKSCRRSSGFACCLLSAATSPASFTPFSTQGNADGEMVLDTRIGCGGGGGCVIACIFGFVFILYMFFTIILPPLPILVLRGCEKEFWICLLLTFCGYIPGIIYSFCITKFNLSST >LPERR09G03780.1 pep chromosome:Lperr_V1.4:9:5672724:5676166:-1 gene:LPERR09G03780 transcript:LPERR09G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGPPPPPPDTPMAAAMDAKLMVATSHGDCQQLKDLLNKQASTSSMVMVLASGNNATVPVANNPFPVAINPLLLASACNGSPKGLDFLLDGKVADGDQRALPFKLESQRFGDLIAAYTSSSNRTLPMQPIPPHNDPEALQALTLLEGMTVDGDTALHAVASNGESDDFQSCAKKICEKGRRELLFKPNKNGDTPLHCAARAGNSQMVSHLINLARGDVGGGTTTTINGSSNNTNGSSSRNRVKELLEMENEFKETALHEAVRIGDNGMVELLMDEDPELASFPKDGTSPLFLAILLEEDTIVETLYNKSNRKLSYAGQKGQNALHAAVHRGTGLTKKIIEWNSNLTIGRDEKGSTPLHFAATKYIDVVLAQLGLMKPFAAMVLNYSRGNVCWHVMEANPAALYHADRDGLYPIHVAASVGAVGSISIFVNKSPSCAGLRDTKGRTFLHVAVQRWQIDVIRYACSNKLLSCILNMQDIDGNTALHLAVKVGSLRMFCVLFGNLQVRLNLMNKNGATPLDISWFKIPRGMYSDQNSEAKIHDSLTLAGARNGSCRLDHFKERYAQENHHDEKAESEKVKDSTQTLAIGSVLIATVTFGATFALPGGYKADDHTNGGTPTLAGRYAFDAFMMANTFAFIFAAIATIGLMYSGSPLFNSKSRKAYLLIALYCMETSVTCLITTFAVGVYMVLSPVARKTAIAICTLSPLVVLCKNQEFWIKWALLACPLYNRMGMFWAICNFVWVVVRNVLYDCWPFIFIFGWAAFAWNS >LPERR09G03790.1 pep chromosome:Lperr_V1.4:9:5705615:5709417:1 gene:LPERR09G03790 transcript:LPERR09G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFGGGGAAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPEVYARKNAHLKETDYCKYDNQILTLFTSSLYFAGLVSTFAASHVTKRRGRRASIMVGAVSFFLGGAINAAAANIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPFKIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFLGAIFLPETPNSLVERGKFDEARRVLEKVRGTNKVDAEFEDLKEASEAARKVDGTFRNLLALRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSVFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRRDPKYQGHQHEMALAAAGGDGKSGV >LPERR09G03790.2 pep chromosome:Lperr_V1.4:9:5705529:5709417:1 gene:LPERR09G03790 transcript:LPERR09G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFGGGGAAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPEVYARKNAHLKETDYCKYDNQILTLFTSSLYFAGLVSTFAASHVTKRRGRRASIMVGAVSFFLGGAINAAAANIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPFKIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFLGAIFLPETPNSLVERGKFDEARRVLEKVRGTNKVDAEFEDLKEASEAARKVDGTFRNLLALRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSVFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRRDPKYQGHQHEMALAAAGGDGKSGV >LPERR09G03810.1 pep chromosome:Lperr_V1.4:9:5719621:5723151:-1 gene:LPERR09G03810 transcript:LPERR09G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAASFFSAAAAAAAAARRSPHSHFQLLTRRRCLRLPFSSSSSAAAFSSSAAPPAAAASFGWEDALRVAADGGRGDESDLSGYFQKVDTCNRGMDKKGEFVEFMVEDQILGYIHKGFVKHLRDFHDVFTVASGSNRSNTVERVTLHSSLRTPDERTNAVGNVIKSLGDLIPGIRNELYPITSSFGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKEGEKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNTTSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFIPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGLLWLSKADAKLEER >LPERR09G03810.2 pep chromosome:Lperr_V1.4:9:5719621:5723151:-1 gene:LPERR09G03810 transcript:LPERR09G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAASFFSAAAAAAAAARRSPHSHFQLLTRRRCLRLPFSSSSSAAAFSSSAAPPAAAASFGWEDALRVAADGGRGDESDLSGYFQKVDTCNRGMDKKGEFVEFMVEDQILGYIHKGNRSNTVERVTLHSSLRTPDERTNAVGNLYPITSSFGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKEGEKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNTTSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFIPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGLLWLSKADAKLEER >LPERR09G03820.1 pep chromosome:Lperr_V1.4:9:5725391:5730464:-1 gene:LPERR09G03820 transcript:LPERR09G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAVAAVAGAGASRTVLVTGGAGYIGSHTVLQLLAAGFRVVVVDSLGNSSELAVRRVAALAGDIKARNLSFHKVDIRDKDGLEKVFASTRFDAVVHFAGLKAVGESVKKPLLYYDHNVVGTINLLEVMAAHGVKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCEAYNLGTGKGTSVLEIVNAFERVSGKKIPLVIGPRRAGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGNSH >LPERR09G03830.1 pep chromosome:Lperr_V1.4:9:5734508:5739192:-1 gene:LPERR09G03830 transcript:LPERR09G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGNEKRRFRERDTCSASSASPFPPSTPWPTHRPRVCDDVCEHAINVSHGETASTSTSHQDLHSDSDDPQQDDRPSTSTQTLSPQSSASTSPTAYNTRNSSFPRRDSIYGHGRSIWNSGLWISFELVIYVAQIVAAIVVLFFSRHEHPHAPLFAWIIGYTIGCIASVPLICWRCVHRNRPSEQESEQPPTAYPNLTSSQSSEGRSQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSISSDAEDAPNMYSCVGYAIPFIMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLAKYGDDEELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFTV >LPERR09G03840.1 pep chromosome:Lperr_V1.4:9:5750797:5751579:1 gene:LPERR09G03840 transcript:LPERR09G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEPLTQRYERILPPKAEHLFYCFRIYGCYLADGGDAAVGGMPNFRVVCGMYRNWRESRVGVFTAGGAWSWRDSTDDPLVPCFYGLSRIGRAGGSWYFRKGKTMTVFDGSTAEFSSSSFTLPAIDRVGGFDPVASGHGLYVTEGRDGKPRMLTMVDGILTVFVMRPGGGGELVQWVLEKRIWLLEVTRGLPEVNVLRRGVGFVLLLHRIGTEAGARRYTFALDLETAEAERVQEHEDTPRIGFPCELPWPPTLLACLQS >LPERR09G03850.1 pep chromosome:Lperr_V1.4:9:5755821:5763382:-1 gene:LPERR09G03850 transcript:LPERR09G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRNQAQQRKEAEKAKQLERRVEEMSAPRKEAMVALLLHRDGRHDEAIARAEELAARYPESAVVAHLAGFLHQDATIRAIAAKDMPDADKHRNSAREFYIKAKQLAPNCVEIATRLAKVRSLCFDREAEPEIVRAVAIPFPTDPAENNVQYDLGFGACTSEARVEHVRRQALDLHVRISALASSSAILADVVAVLDLAEREGAPKAIKPAKELAKRYYYSARANLTHAHISVEFALGLEIDKRPFLNRVLDELNTLEHDFKDSLMIALLRVKLMFVLGMYAAMSAEAHRAIDMEKPTDPGREDVDPGSVPGETYEDRVSSVRTEIEHLLQRLVLVAKDYWWGSLTSYKRGRFLSVDLNLLHQHYVNVYDENHEAAKIISDALSFVKKNRSWRFWICPYCVGKKIPDTDSLLQHIRNKHPEGNVWPKLLSILEPKLISDTSQGDYFSEDAIVCQELEDQYVLYFKTIRVSDKTEPRPFLELRESKCTEGIEILEKIKLKLKSVPAETINTEINEACVEIRNLWHYFMEISVLDFRVVILPHAVAFIWNQLLQCISEDKKAVDRSIDAADTDALFPYADEVPDIDEIFSNDDDPHDSKAQKDEVNQKLENIMESCSVDTLIDGNDNKASEVHVRDENYGATVNEKESNSPTEMVEYGSELDATLENLEADLNYDSSEQTSLPSLRKIDNANEDNATEETASIACYRKSIDVLKENNADEDMYFLNVIIQSLWNLRHFRDEYQWELSNFGVAHEGPCIAEKLYEIFSAWEKNEHSKMVRLLTDVKTTLCEIVNDSNMFQKVGRNFASEIMTIILWELHKFHTPMRIGSVIVVLNAPCRYCVCTLGLFGVKLKQIMSCRCGEWFGEEYRFLHELDASSLHSTKINCFEELSILMDSQSNVQRRCIKCSGSVNKIGCFLSEGPQYFTIVLKDWLGNDESQPILFEALFGIVSPLDITLLYKGVTLPHEGVHSATKYRLASVIYYIEHRYVCFARDQDKWLKYDNMTVKTVDSLGELLQLYREINLQPEVLIYEGGIGFNCNSITASFYLY >LPERR09G03850.2 pep chromosome:Lperr_V1.4:9:5756130:5763382:-1 gene:LPERR09G03850 transcript:LPERR09G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRNQAQQRKEAEKAKQLERRVEEMSAPRKEAMVALLLHRDGRHDEAIARAEELAARYPESAVVAHLAGFLHQDATIRAIAAKDMPDADKHRNSAREFYIKAKQLAPNCVEIATRLAKVRSLCFDREAEPEIVRAVAIPFPTDPAENNVQYDLGFGACTSEARVEHVRRQALDLHVRISALASSSAILADVVAVLDLAEREGAPKAIKPAKELAKRYYYSARANLTHAHISVEFALGLEIDKRPFLNRVLDELNTLEHDFKDSLMIALLRVKLMFVLGMYAAMSAEAHRAIDMEKPTDPGREDVDPGSVPGETYEDRVSSVRTEIEHLLQRLVLVAKDYWWGSLTSYKRGRFLSVDLNLLHQHYVNVYDENHEAAKIISDALSFVKKNRSWRFWICPYCVGKKIPDTDSLLQHIRNKHPEGNVWPKLLSILEPKLISDTSQGDYFSEDAIVCQELEDQYVLYFKTIRVSDKTEPRPFLELRESKCTEGIEILEKIKLKLKSVPAETINTEINEACVEIRNLWHYFMEISVLDFRVVILPHAVAFIWNQLLQCISEDKKAVDRSIDAADTDALFPYADEVPDIDEIFSNDDDPHDSKAQKDEVNQKLENIMESCSVDTLIDGNDNKASEVHVRDENYGATVNEKESNSPTEMVEYGSELDATLENLEADLNYDSSEQTSLPSLRKIDNANEDNATEETASIACYRKSIDVLKENNADEDMYFLNVIIQSLWNLRHFRDEYQWELSNFGVAHEGPCIAEKLYEIFSAWEKNEHSKMVRLLTDVKTTLCEIVNDSNMFQKVGRNFASEIMTIILWELHKFHTPMRIGSVIVVLNAPCRYCVCTLGLFGVKLKQIMSCRCGEWFGEEYRFLHELDASSLHSTKINCFEELSILMDSQSNVQRRCIKCSGSVNKIGCFLSEGPQYFTIVLKDWLGNDESQPILFEALFGIVSPLDITLLYKGVTLPHEGVHSATKYRLASVIYYIEHRYVCFARDQDKWLKYDNMTVKTVDSLGELLQLYREINLQPEVLIYEVIK >LPERR09G03860.1 pep chromosome:Lperr_V1.4:9:5768892:5769386:-1 gene:LPERR09G03860 transcript:LPERR09G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVPHYRVITDSGFFCLYCSWNSLTIGNYFCLDPNYFNNWIQHFCSCEVSSGASVPLGLSLLPDMRDEHDCFSLTFLPEPNDNTSWVLVDSHGSILLVPEREREWGDASVYITVCEPSTRRYKTVVVVTLEDKEVICKGVFLVGAGGNKNDRVGVSNFYRGD >LPERR09G03870.1 pep chromosome:Lperr_V1.4:9:5770892:5775390:-1 gene:LPERR09G03870 transcript:LPERR09G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDLPDELLETTFLRVASPICLVHAASTCRRWCRIVADAGFLRLYRSKNTLTIGNYIATHTSYFATWPPGPCSSSPATMTTTMSDRFSLDFLPELQNGSPWGWGLADSHGGLLLLVPHTPYYNLMGHSVSIALCDPLTRCYRKVTPPLEDEPFICLDAFLLCAGADKDGRVTVSANFTVLLVLFHNRSATTTACIFSSTSAYGDEEEDQIMRLVRSEDIGETTGMPGVDFDMQCAGRASGSIYWGTGYGDVVIAFNESTGEFSSLKLPKYTNGQYSYHRWNFRVVAGNGAGTASIIRLVNNDLEVLRQLDSSMEWMVEKTVRISELTIGLPGWNWKDCRVDCIACGIVADAGFLRLYCSRNSLTIGNYIANDPVSSCQARPSPFCMVNILHFWPSGSWPAAVTNVKNRNNDRFSLNFLPEPKDKNSWLLADSHGSLLLFVPQRNYWSLLNPPVSFCVCEPLTRRYRIVVLPYTNGYSDNVGCIDAVLLGVGASVSNFSLLLIFSQFLSRTTAAYIFNAGAAADDNELHLARRIDLGDIVITEGVEKYPGNVMQFAVRVGGFLYWGTMYGTVLVYNESTGEISSLDLPKCTTEIDRWNFRVIGTNDGAGTVLLIRLVKNDLEVLRQIEGSKQWTVEKTLRLSELAIGLPRREDCSFEMTDGEHGGYETKILAVIGRLVVLTPLYEYEMCPFSIDLETMEVKRVYCWVFRRGRSWVFPYEPPWPPALPFRRDIDHASMAPQASDNC >LPERR09G03880.1 pep chromosome:Lperr_V1.4:9:5776900:5779292:-1 gene:LPERR09G03880 transcript:LPERR09G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATVQLVSPGLHVLSNARLDSPWQKAIRLGKSFRELLMKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDEGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >LPERR09G03890.1 pep chromosome:Lperr_V1.4:9:5779165:5780180:1 gene:LPERR09G03890 transcript:LPERR09G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINITNGVKSCMYLLWNSSLLSRRRSWCTGPERKRDQGEEPTESLTLVPTSWGKTACLGQLQRTVVSIFQQLLSASGKTFRPHCLSCSYVDVMFMLKKRVVERFLKPKIIHNQPLFTCLWVAFTKN >LPERR09G03920.1 pep chromosome:Lperr_V1.4:9:5802632:5803114:1 gene:LPERR09G03920 transcript:LPERR09G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGHHRGGGPAAGEHYLRMDRGDDDEHNLEKQSAAVSVTKGVIAATAAGSMLALAGLTLTGTVLALIVATPLLVIFSPVLVPAAFAVSLLVAGFASSGAFGAAAVGILAWMYRYLQSPSGENAPVGADKVEHARARLDAKAHDVRDWAQHGLDQART >LPERR09G03930.1 pep chromosome:Lperr_V1.4:9:5803710:5805063:-1 gene:LPERR09G03930 transcript:LPERR09G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKRHENETNAKNRRKPRVTPARGFDDVPYWILYRILFRLDSALTLIREAAVCTQWRDIIAGYWFLHDFRDQHRSIRTEAYTVGSYHVTENPYYQWPRRRHAGGDRGTAVFIPSTNVDRRHFSLDFIPDAKSWQVVDGISSVVLLAKKKIGWKRLCFPDLMVCEPPHAAPPCSTAASALRVGMWNFTVICVLYERYDGLSDDVGTAVAYEFCCFSHVALPAGLRWSPSSDCDEPPFHVVEPGGDLQPFEDRLAARVVGLFGDELRVFSRWKCDEEWTLVSRVSLSEIARAPSPDRKDDGFSLSGKGAIAIHAGGHVGQVVLWPAQGRWLFTVELDTMEVERKLATDEHAGGLSYKHEVPWPLILRARVD >LPERR09G03940.1 pep chromosome:Lperr_V1.4:9:5808099:5809148:-1 gene:LPERR09G03940 transcript:LPERR09G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRRRGFVPSSPDAFDRRYFSFDFLPFDRPRKLIDSRGSLLLFNHGPWGNNRFDYHQSRGFPDLIVCEPLTRRFQGIVSLSALKWKLFIGAFLVAGENGGGGGISLSNYRVVCVLGDNIGIGGPRACVFFPGSDGGWHMGRLADDDDVVHLHGPTYGRWPSYELAGRAAGKIYWWMNEAGVLALDETTLRFSTMELPQDMSYGPLNFRVINGVDGAGAVRVVTVFGEDFMVYSKIVDTDGSGSEWVTERSLRLSDATSELPGHKATFFEKPAKIVMADDRFVTLAPEEDMNWRFSVDLETMELERDHERNRDARPAYPYTLPPLQAILRGCAGNGPDGTVATAKDR >LPERR09G03950.1 pep chromosome:Lperr_V1.4:9:5810794:5812027:-1 gene:LPERR09G03950 transcript:LPERR09G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGIRRRRHKNKAYKKSKGETTINDLPDDLLDLILLRVDSPLCLVRATFACKRFFRLIADERFGSPAGGRPVVLGHYYDPGLEWSPLHRISLPSGDPPFVPSSLDAFDGLCFSLDFLPFDQPRQLIDSRGSLLLFKQGPWGGMYQHFPDLIVCEPLTCRFQGIAHMPAPIGSMVFGGAYLIAGENTDGGMSMSNYRVFCVVGQLACVFSPGSDGGWRFVPVPDDDDDDGGEFHFPDDSDFAGRVAGKIYWGALKNGQLQVLDESTVTFSTMHLPEHMWWAYNRSNFRVIGGMESGGLSGGGEWVVEKSVRLADTSIGLPGHKAEFFTLPAKIVTAGDGFVTLTTQEDETWVFSVDLETMELEREHARNRYVAEAHPYALPQLPSFLPVSVN >LPERR09G03960.1 pep chromosome:Lperr_V1.4:9:5817921:5819951:-1 gene:LPERR09G03960 transcript:LPERR09G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRKEGIRKAKARSPPTTLDDIPDKLLKHILAGLSSQSSACIVRAAATCRRWRRLIGSGDYTYNLSLITPLHDGGHYTYNLSLITHGSLLLLAKNRRRGRFPELVVCEPVARRYVAIPLIPEKKYSRCLTVFFWNSVSNLSEFALRCVLHEAVDGAAGDVTTVRVYDFARYYWSHHRKYWNRWFPRKGAVDDGVNLRATESSLHFAGRATTCLFFGNEDDGAVLELHMRNHEPKLLQVFLPGNLCGGSYDRSAVRFVDGDQPNDVRLVSVIGGDLKVFLRREGTDVWELEKSLNLQDTTRDMARRKECNFGGGGAAKIVSAGTGYVVLTPAEETWLFSLELAMMEVERKHSRNRYAGEFCPKPQIPVAAVSRSAAAGGVHRRPRGSGAGGAAPPLAVEALMTRALTTEAVSPVARREREGSAALPPSPARAPATYYSGRGDLGGEDGRISAVARAAAEDVVAVAGRCGAAVAVARLGRR >LPERR09G03970.1 pep chromosome:Lperr_V1.4:9:5821648:5823727:-1 gene:LPERR09G03970 transcript:LPERR09G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGNLGAVLVGGDADELGGRISMSNYRVICVLYKQNPQSQAFDVCSFIFDSGNNGRWRVGGKCALTGVLMDLVWFAGQAAGSLYWRAGPYSMLALNECASEFSIVMFPDHMREWSSKSKFRVVNGDGDDNDEVRVVGLSTDHHLRVFSREPISGNWVLKNTVDLSKATLGLPGRKEMYFGCGTIGKFVGGGKGYFLLSPSEEMWFYSVDLKTMVVEREHERNMYGGAAYSCELPWPPVFKACTSDDKYPLPIPWYGKLFRRYLQSYSPLRLAASTRKPVPYFRVTCVLYESHDGVSSCDGDLGTVTASVFYSERWRCGQRRWGSAVKASGLYLPGAESVHFVGRDLHRLCWRMDNNNDDMLVHMNNLFSKVALPEAMKGGSRSSWTAVLYPAYGLSGWIVVFSQSFLAVIAHGSGS >LPERR09G03980.1 pep chromosome:Lperr_V1.4:9:5823800:5824153:-1 gene:LPERR09G03980 transcript:LPERR09G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIPDDVLEVVLLRLQFAACLIRAACVCKRWRRVIVTADIAFLRCFHANHGPDLVGHYHYVGPSVSDAAFVHVSSPVLVQGCHFSLDFVPDTDSWTVIYSCGSLVLLGKRCDLTTD >LPERR09G03990.1 pep chromosome:Lperr_V1.4:9:5828460:5829515:1 gene:LPERR09G03990 transcript:LPERR09G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCDSAVVVGAEAEARARARAGRRRRATGVEGAARWKPTAAGVEEDAAAAATRKRRASGGEAGVVVVAKRHGAASVAGRRREMEDAVSLREAFAAAPPAESELGGRRDFYGVFDGHGCSHVADACKQRMHELVAEEIAPAPPASSSWTAAMERSFARMDAEVIAGGCHAAESGRCRCEAQKCDHVGSTAVVAVVDDTRVVVANCGDSRAVLCRDGAPVPLSTDHKPDRPDELDRIESAGGRVIFWEGARVLGVLAMSRSIGDAYLKPYVSAVPEVTVTERSDGDECLILASDGLWDVVSNEAACEVAQACLRRGRQRWCAEAAALLTKLALARRSSDNISVVVVDLRR >LPERR09G04000.1 pep chromosome:Lperr_V1.4:9:5835170:5838299:-1 gene:LPERR09G04000 transcript:LPERR09G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRRLLLILAVVAVSGVLHAGAAFVGTPAGGDAGALLAVKASLSDPAGALDAWRVRTTGNLCRWPHVDCAGNSTAVAVEGLNLGDLALAGGFPVAVCSLSSLRHLDMSSNDLAGPLPACLAALPALETLNLASNNFSGEVPAAYGSGFPSLAVLNLIQNLLTGAFPGFLANVSSLQELLLAYNSFSPSPLPDNIGDLAALRVLFLANCSLTGNIPLSIGKLQNLVNLDLSSNNLTGEIPRNIGNLSSLVQIELFSNQLSGEIPSGIGRLKKLQQLDISMNQISGEIPEDMFAAPSLESVHMFQNNLTGWLPAMAGAAERLTELMIFANQIEGALPPDLGMNCPLESLDVSDNRIFGPIPATLCAGGKLKQLLLLNNMFDGEIPAELGGCRSLTRVRLPFNRLSGEVPPEFWGLPHVYLLELRGNALSGNVDAAIGRATNLSSLIIDNNRFTGVLPTELGNLTNLAVLLVANNSFSGTVPPSLSSLSQLLALDLSNNSLSGEIPRSLGQLKNLTMLDLSDNHLNGSIPVELGGIHDMNVLDLSHNELSGGVPAELQSLKFGVLNLSYNKLTGHIPSLFRAEQFRESFLGNPGLCYRICSKYDDVYSHRARVQMIVSILVVTAVILLLTVAWYTYKYKMYHKRAAEIDSQNSEWVITSFHKVEFSERDIVNSMTENNLVGKGGSGMVYKAVVRPNGDALAVKKLLAGSMSSKKNDTFEAEVQTLSKVRHRNIVKLFCCLTREASRVLVYEFMPNGSLGDFLHSAKAGILDWPTRYKILVDAAEGLSYLHHDCVPAIIHRDVKSNNILLDADFRAKVADFGVAKCVGDGPATMSIIAGSCGYIAPEYAYTVRITEKSDIYSFGVVMLELVTGKSPMSSDLGGKGLGSWVATNVEKNGVESVLDLKIAGQFKDEMCGVLKIALLCVKHVPNNRPSMRFVVRFLLDIKGEIKPKTLKVAEAAPAIRFGGKE >LPERR09G04010.1 pep chromosome:Lperr_V1.4:9:5853135:5854224:1 gene:LPERR09G04010 transcript:LPERR09G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLDKGSMDLVLVPCGLAIMIGYHLFLLHRILRHPHTTVIGYENHHKLAWVERMMAQTAAGTEESALALGVISDNISAATTLASLCIALGSLIGAWASTSPSPVAGDGDVIVVFYGDGSHATATVKCVALLACFLASFTCFIQSARYYVHASFLMSALAGVAPSPVCDAQRAVLRGGNFWAAGLRALYLATALLMWVFGPVPMLACSVLTVAVLHRLDANSLPLHHHRFTATVTELRPVAAPRSAVARGGRAGHGNTVAFSFHE >LPERR09G04020.1 pep chromosome:Lperr_V1.4:9:5855364:5856944:-1 gene:LPERR09G04020 transcript:LPERR09G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >LPERR09G04030.1 pep chromosome:Lperr_V1.4:9:5860869:5866091:-1 gene:LPERR09G04030 transcript:LPERR09G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRHLRSAARQRSHRLPAAAAGDASEGRLDAEVIRRNKAITAHMRAGRVADAERLFAAMPRRSTSTYNAMLAGYAANGRLPLAVSLFRAIPRPDTFSYNTLLHALAVSSSLADARGLFDEMPVKDSVTYNVMISSHANHGLVSLARHYFELAPEKDAVSWNGMLAAYVRNGRIEEARGLFNSRTEWDAISWNALMAGYVQCGKMSEARELFDTMPARDVVSWNTMVSGYARRGNMVEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNPVSWNAMMAAYVQRRMMDEAKELFDMMPCRNVASWNTMLTGYAQAGMLVEARAVFDTMPKRDAVSWAAMLAAYSQGGCSEQTLWLFVEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMEDAQNAFEEMEEKDVVSWNTMIAGYARHGFGKEALQIFDTMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDVGKMRVMMEERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEKIYAFLEELDMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPPGRPIRVIKNLRRLGRKLSVISRSGTSIPTPHAAPFLLAASCRSRHWTSTLLTANGPPLELLSSGGKVLGSRGRTL >LPERR09G04040.1 pep chromosome:Lperr_V1.4:9:5869029:5871987:1 gene:LPERR09G04040 transcript:LPERR09G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVALLAAARRRPTTPLVYFLTRAPRAGLHEAAASAAQEKGRRRRSSSSRLLGPDIPDTWDPPPRTAARPPSPGSAGVDYESTTTIIDGKSVAEDIRFQIAEEVRQMKNAVGHVPGLAVVLVGDRRDSQSYVRYKIKGCEEVGIKSLLAELPGNCTEDEVVDSVSRFNEDPSVHGILVQLPLPLHMDEERILSAISLEKDVDGFHPMNVGNLALRSRKPLFVPCAAKACLELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITHQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVRVASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATEPHEL >LPERR09G04050.1 pep chromosome:Lperr_V1.4:9:5872388:5875712:-1 gene:LPERR09G04050 transcript:LPERR09G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCALTLPSAFSVSTSSNPPRRFRRSGVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSWKAPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGAMQQHGFARNVNWSIADSEANEGDPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHNYFRASISSVSVKGLKGCKTLNKDPDPKNPLEGQEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLEPKQSWVAEQKIELI >LPERR09G04060.1 pep chromosome:Lperr_V1.4:9:5877635:5878648:-1 gene:LPERR09G04060 transcript:LPERR09G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPPPSSAMPPQPQPFRFWLPYRSNAGSGSSRQQPWPPQPPPPPTPAERAPRTSPAMAMREDVPIQGESSDESDTIPVQSLDSPPRWRRARTPASMPNLELTLSRSPRGGGDGGGGNDTKIAISGFPRSRLFDGDRAPYRREIEDGLKSLAGRDAPAARTDGGGGQGYRVITLAGHNVGASMVLGGGGGRENAPETPAAAANVNSNVQSVNNSSMEASTCNAGDPGVRVDIKNARDESVMVKREEEKPKESPARRRPPLAVAPPTRARRCLRALMMESGSDTEAAPPKPKPGACRFQCVADHAAATAPAATTSNGGGGGGDEGKSGEEGGKENSR >LPERR09G04070.1 pep chromosome:Lperr_V1.4:9:5879337:5887334:-1 gene:LPERR09G04070 transcript:LPERR09G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINSIVGIAHGEVKLCCNFSDDLEGIKDTLVYLESLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKELFEGSSYGQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSQMNSAINFEEKQTTSYRNTDIAIVGRDADLDHLMDVLMQNDAEELSIVPIVGPVGFGKTSLAQLIFSDTRTEAFSFRIWVHVSMGIINLEKIGRDIVSQTTERIEGNMQLQSVKNAVQRVLNKYSCLIVLDSLWGKDEEVNELKQMLLTGRHTESKVIVTTHSNKVAKLISTIPPYKLSALSEDECLTIFSQRAMTGQGDPLFREYGKEIITRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPEDKISPLFPSFKTIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKAIYFLQNDAERSGASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSANKYLRVLDLSGCSVKDIPSPIFQLKQLRYLDVSSLSITVLPVQINSFQKLQMLDLSETELIELPPFISNLKRLNYLNLQGCQKLQRLNNLHFLLDLHYLNLSCCPEVTSFPESLENLTKLRFLNLSGCSSLSTLPIRFLESFASLSSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLSYLKSLNLSYCSDLKLLGSFACLTSLQFLNLSNCSRLEYLPSCFDKLSNLEYLNLSQCLGLKALPMSLPNLKNLQLDVSGCNNCMLQSISLSSRSSQSRQCSEKAEQVRSSSEISEITCEEPAEVEYLRNNPSKDLASISQLDENRSEEPEVVTEPSAKRCMVQQIPGNQLLSPSSHFSSFASSSVPFASSSSDTSTSEHPVSNEEATALTDSQSNEKCNTPIPVKDGLISEDNAPFSVYWAIRGFDELPRPFPNHEPLRMDGWLQKPALGLGSLVQIPDKIQNSLKVHFGRILKKNGFGGGEADMLMMQAQETSSTAAATEVRLDKQLQAWKNNPSWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGSTQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRRNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEVCHPLDPCTLEEYNSCTNGTGRVGSSITLDQLIEPAMLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGLANNSDDKQDVEGKCETNREHLTEECKDIKERWRRRRTGRHGNSLRLTSQG >LPERR09G04070.2 pep chromosome:Lperr_V1.4:9:5879337:5887334:-1 gene:LPERR09G04070 transcript:LPERR09G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINSIVGIAHGEVKLCCNFSDDLEGIKDTLVYLESLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKELFEGSSYGQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSQMNSAINFEEKQTTSYRNTDIAIVGRDADLDHLMDVLMQNDAEELSIVPIVGPVGFGKTSLAQLIFSDTRTEAFSFRIWVHVSMGIINLEKIGRDIVSQTTERIEGNMQLQSVKNAVQRVLNKYSCLIVLDSLWGKDEEVNELKQMLLTGRHTESKVIVTTHSNKVAKLISTIPPYKLSALSEDECLTIFSQRAMTGQGDPLFREYGKEIITRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPEDKISPLFPSFKTIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKAIYFLQNDAERSGASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSANKYLRVLDLSGCSVKDIPSPIFQLKQLRYLDVSSLSITVLPVQINSFQKLQMLDLSETELIELPPFISNLKRLNYLNLQGCQKLQRLNNLHFLLDLHYLNLSCCPEVTSFPESLENLTKLRFLNLSGCSSLSTLPIRFLESFASLSSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLSYLKSLNLSYCSDLKLLGSFACLTSLQFLNLSNCSRLEYLPSCFDKLSNLEYLNLSQCLGLKALPMSLPNLKNLQLDVSGCNNCMLQSISLSSRSSQSRQCSEKAEQVRSSSEISEITCEEPAEVEYLRNNPSKDLASISQLDENRSEEPEVVTEPSAKRCMVQQIPGNQLLSPSSHFSSFASSSVPFASSSSDTSTSEHPVSNEEATALTDSQSNEKCNTPIPVKDGLISEDNAPKPALGLGSLVQIPDKIQNSLKVHFGRILKKNGFGGGEADMLMMQAQETSSTAAATEVRLDKQLQAWKNNPSWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGSTQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRRNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEVCHPLDPCTLEEYNSCTNGTGRVGSSITLDQLIEPAMLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGLANNSDDKQDVEGKCETNREHLTEECKDIKERWRRRRTGRHGNSLRLTSQG >LPERR09G04070.3 pep chromosome:Lperr_V1.4:9:5879337:5887334:-1 gene:LPERR09G04070 transcript:LPERR09G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINSIVGIAHGEVKLCCNFSDDLEGIKDTLVYLESLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKELFEGSSYGQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSQMNSAINFEEKQTTSYRNTDIAIVGRDADLDHLMDVLMQNDAEELSIVPIVGPVGFGKTSLAQLIFSDTRTEAFSFRIWVHVSMGIINLEKIGRDIVSQTTERIEGNMQLQSVKNAVQRVLNKYSCLIVLDSLWGKDEEVNELKQMLLTGRHTESKVIVTTHSNKVAKLISTIPPYKLSALSEDECLTIFSQRAMTGQGDPLFREYGKEIITRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPEDKISPLFPSFKTIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKAIYFLQNDAERSGASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSANKYLRVLDLSGCSVKDIPSPIFQLKQLRYLDVSSLSITVLPVQINSFQKLQMLDLSETELIELPPFISNLKRLNYLNLQGCQKLQRLNNLHFLLDLHYLNLSCCPEVTSFPESLENLTKLRFLNLSGCSSLSTLPIRFLESFASLSSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLSYLKSLNLSYCSDLKLLGSFACLTSLQFLNLSNCSRLEYLPSCFDKLSNLEYLNLSQCLGLKALPMSLPNLKNLQLDVSGCNNCMLQSISLSSRSSQSRQCSEKAEQVRSSSEISEITCEEPAEVEYLRNNPSKDLASISQLDENRSEEPEVVTEPSAKRCMVQQIPGNQLLSPSSHFSSFASSSVPFASSSSDTSTSEHPVSNEEATALTDSQSNEKCNTPIPVKDGLISEDNAPFSVYWAIRGFDELPRPFPNHEPLRMDGWLQKPALGLGSLVQIPDKIQNSLKVHFGRILKKNGFGGGEADMLMMQAQETSSTAAATEVRLDKQLQAWKNNPSWSDEPPEIKEVISRKVVLDEGSTQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRRNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEVCHPLDPCTLEEYNSCTNGTGRVGSSITLDQLIEPAMLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGLANNSDDKQDVEGKCETNREHLTEECKDIKERWRRRRTGRHGNSLRLTSQG >LPERR09G04080.1 pep chromosome:Lperr_V1.4:9:5891825:5899461:1 gene:LPERR09G04080 transcript:LPERR09G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPPRAARPPSAAVDGCPAMGPKSTAAPLPLPHPYRHSCRLSGPLGSAHEGCEAPKLPSPPGGARGRAALHATVVAAGEFSSAWTAEELSVFLFLASSPPNNRIPKVQKTCLMATAGAAVDRLLRRLASDGGRLELPSSIDEDMAHVRRTLARLQDVLQTVEGNYFKMSTEVQEWMRKIKHIASGIEDMLDEFEDSSDAGSQRDGSFITEATSSCSSTPFFCHPSRPQRIRALKRKLDLSTKDTAVFSLLQHSIPDLNKSNEQEVLFHGNEIIGRDTDKENIKSLLLNDLEKVSIIPIVGLAGLGKTALAKLIFHDQGEGWNFDQRIWVHLDRKLDLNKIANDIISQVNQLGDTTMNQIQNNLQLKKSCLQEVLCDQSSLIVLDGLFSTEENQLLELKDMLRGTKKGTKIIVTTSSEISAELIHTVPPYKLGPLSEADCWTIFCQRAFDDGRENIRLTEIAKQIVKRCEGIPVVAYSLGSMVRNKDEDAWLYARDKELWELPKIFPNGFEVLASFSEMYLCMPSPLKSCFAYLSTIPRGTIIDREKLIEQWIALDMVGSKHGTLPAYVQGEMFIQKLLSMYFLQVRNKLSVTRIRDTNYSKELRIHNLVHDFAMYVARDDLIILDGGEKATSLRKNISAFYGVVKNDTGQSALRKGLLSSARAVHFKNCKAEKLLVEAFSVLNHLRVLDLSGCCIVELPDFISNLRHLRYLDVSYSRILSLSSQLTSLSNLEVLDLSETSLEFLPSSIGSFEKLKYLNLQGCDKLVNLPPFLCDLKRLENLNLSYCYGITELPTNVWKLHELRFLDLSSCTDLQELPYLFGKLGSLEDLNMSKCSKLAQLPESLGDLFYLRSLNLSSCSGLRMLPESLKNLTNLEYINLSNIGESIDFNQIQQLRHILKKSIFSGDIGGSQIQTCELAADSADIKKQITKDYSTNMHGIITLPPKGSTEQRSGENSEQLLSAAYREDSSSTDVSAYVTTVESSLMGGLRRPTRLDVPTNTMASQVGLAQMPSYKDKAGSHLILAGAQTPETELVVHKSVRWNDKIEYSCPRNSKTTRSASLVRLTDLSTPKKSYGKMHSMPALAYSSIPKKSYGGTYLMRARAIASSYRNRRYSPQRWSQHVGHEGPDEDELVVVPPFGEWDESPTLRKSDLRYEKIFARLTEEKISCQRQKPQQDVLAGLPSLRQTDTRLR >LPERR09G04080.2 pep chromosome:Lperr_V1.4:9:5891825:5898867:1 gene:LPERR09G04080 transcript:LPERR09G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPPRAARPPSAAVDGCPAMGPKSTAAPLPLPHPYRHSCRLSGPLGSAHEGCEAPKLPSPPGGARGRAALHATVVAAGEFSSAWTAEELSVFLFLASSPPNNRIPKVQKTCLMATAGAAVDRLLRRLASDGGRLELPSSIDEDMAHVRRTLARLQDVLQTVEGNYFKMSTEVQEWMRKIKHIASGIEDMLDEFEDSSDAGSQRDGSFITEATSSCSSTPFFCHPSRPQRIRALKRKLDLSTKDTAVFSLLQHSIPDLNKSNEQEVLFHGNEIIGRDTDKENIKSLLLNDLEKVSIIPIVGLAGLGKTALAKLIFHDQGEGWNFDQRIWVHLDRKLDLNKIANDIISQVNQLGDTTMNQIQNNLQLKKSCLQEVLCDQSSLIVLDGLFSTEENQLLELKDMLRGTKKGTKIIVTTSSEISAELIHTVPPYKLGPLSEADCWTIFCQRAFDDGRENIRLTEIAKQIVKRCEGIPVVAYSLGSMVRNKDEDAWLYARDKELWELPKIFPNGFEVLASFSEMYLCMPSPLKSCFAYLSTIPRGTIIDREKLIEQWIALDMVGSKHGTLPAYVQGEMFIQKLLSMYFLQVRNKLSVTRIRDTNYSKELRIHNLVHDFAMYVARDDLIILDGGEKATSLRKNISAFYGVVKNDTGQSALRKGLLSSARAVHFKNCKAEKLLVEAFSVLNHLRVLDLSGCCIVELPDFISNLRHLRYLDVSYSRILSLSSQLTSLSNLEVLDLSETSLEFLPSSIGSFEKLKYLNLQGCDKLVNLPPFLCDLKRLENLNLSYCYGITELPTNVWKLHELRFLDLSSCTDLQELPYLFGKLGSLEDLNMSKCSKLAQLPESLGDLFYLRSLNLSSCSGLRMLPESLKNLTNLEYINLSNIGESIDFNQIQQLRHILKKSIFSGDIGGSQIQTCELAADSADIKKQITKDYSTNMHGIITLPPKGSTEQRSGENSEQLLSAAYREDSSSTDVSAYVTTVESSLMGGLRRPTRLDVPTNTMASQVGLAQMPSYKDKAGSHLILAGAQTPETELVVHKSVRWNDKIEYSCPRNSKTTRSASLVRLTDLSTPKKSYGKMHSMPALAYSSIPKKSYGGTYLMRARAIASSYRNRRYSPQRWSQHVGHEGPDEDELVVVPPFGEWDESPTLRKSDLRYEKIFARLTEEKISCQRQKPQQV >LPERR09G04090.1 pep chromosome:Lperr_V1.4:9:5901132:5902749:1 gene:LPERR09G04090 transcript:LPERR09G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSAGNSHPKGPRVREKNQQGTGAGGAGLRGGAGAEAWWCGIGPTTRRRGLDIRSTARFSVHAAVTTRNGDRRALAFGRSGPPMADLAEGAAGQLGDGRRGRGCRMEGGGRTSTSAPPVAALAAWVLGPLPHEAATRRLALTPHEATARRLGPPPPVALR >LPERR09G04100.1 pep chromosome:Lperr_V1.4:9:5904352:5916666:-1 gene:LPERR09G04100 transcript:LPERR09G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELIFIYVGRYSQVTETGTTDPAYDDATILGFLRQISADGDSLLHVLAASGGNQNYRECAMMINEMDETLVSECNSNGETALDCAAKAGHINMVLHLLHLERKRDRLLSDAVREKDIIKKTNGQWETQLHKAVRQRDAGELRILKQEDGDLARIPDIHGTSPLYLAISLGYSDMFEEIISTFRDVLCYDGPNGQNALHAAVLRSADLTMSVLRMYGDLRTKADYSGSTPLHFAASVGVPGTTSSLLDRDINSVWKKADNKGLCPIHIAASVGVMDPIYSLVRINSSLRDAKGRTLLHIAVENGKCNVVKFICREPTPIYKDILNMKDNDGNTALHLAVRKRDKSIFGHLLGNRDVELNHVNMDGYTPLDLASKIKVEHPFSPPQNPTEWMIRALAHSGAQFSPRRRDEFIDASNSEKKQEHGTKLAESTESVLVASALIATLTFAAAFTLPGSYKTDKLKAGTPVLGSLYGFKVFLVANIFAFYFSVAATFSLAEYGNRGNVDPLVRCAYAQRAVWLFHVALKSIIIAFALGVSVVMWEVSLSAIIIVSLATSALVLYGNVPLAHDFRLLRIMYCRFGFSRSWNLHPSTSSHLGWTSWRLTNFIATLGWNLVKLFWAYGLIFVNPTEWMIRALAHSGAHFSPYRHHELVHAKNFEEMETHGKNLSRSIERTQAAAALIDCKGLIATLTFAAAFTMPGSYRTSPKEGTPALGAHYFFKVFLVADIFAFFCTVAAIISLAEYGNRGTVDPLVRCKYAQRSVWFFHVALKSIVLQSAGAEEKRAGP >LPERR09G04110.1 pep chromosome:Lperr_V1.4:9:5904390:5906643:1 gene:LPERR09G04110 transcript:LPERR09G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHGGSFLRFLQDPGAGPSFLQTGTQPGAVPPPIPFPLFCTQPPPLPAAAPTGPVRAPTRSSTGRRRRVEAVHEAGTRMYYSTEEDLRLLPPLVDELRPPVDPLLPQLDELPPQVDELPPQVDKLLLPDPVADYPRWRPSSTSLSPPHHPRMAEGSGTDVPRICRGADADGSRWGFADADGRRG >LPERR09G04120.1 pep chromosome:Lperr_V1.4:9:5936382:5938431:-1 gene:LPERR09G04120 transcript:LPERR09G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVDWSGSTPLHFVASVGVEGATSLLLGRDKSGIWRKDNMGMYPIHIASSVGVMDAIYSLVNTDQSCATQLDANGRTLLHIAIENGKYNVVRFICKEPIPIFNDILNMKDNDGNTALHLAVQKRDQTIFSYLLRNRNVELNHFNIEGFTPLDLARRIKMENPFTSPQNPTEWMIRALCYSGAHFSPRRRDDVLTHSNGYGKKDAHGKTLAESNESVLVASALIATLTFAAAFAVPGSYKTDKPKEGTPELGDFYGFKVFLVADIFAFYFAVAATFSLAEYGNRGTVDPLVRCAYARLAVWLFHVALKSIIIAFALGVSIVMWDISLSTTAIIAIVTTIFMLFGNVPLGHDLRLLLLMYHRFGFSRPWSLYPSTSANLGWTSWSLRSFSTTLVWNVVQLCWVYTLIFAVAGIAQVKLKI >LPERR09G04130.1 pep chromosome:Lperr_V1.4:9:5942939:5943409:-1 gene:LPERR09G04130 transcript:LPERR09G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGRLSAENAVKERQIIKKTNGNWETELHRAVRQQRDAGEFERLKQEDGGLARVPDIHGMPPLYLAISLGYRDVTDNLISFFGDDLSYDGPDGQNVLHAAALRSKVIY >LPERR09G04140.1 pep chromosome:Lperr_V1.4:9:5946981:5948877:-1 gene:LPERR09G04140 transcript:LPERR09G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRGRGLGSAALPRRGSGWAASRWTYSNEGTGPFIELYTVWLVLALTGPLIVPGVLSASHDIAFLFTAWPVHFLSFAPCSKVIMRWLCWQQKEKAVALMRASMLGFAANSALALLKVIQQNYTPLLHHVLSSSLLEMSWKLKFATRAGKPFNRQFHITWFECLLDQRALYMTMCVERALLEQL >LPERR09G04150.1 pep chromosome:Lperr_V1.4:9:5953692:5960714:1 gene:LPERR09G04150 transcript:LPERR09G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTPSSAAPPRPVRVAMTSRVGHGRRVEAVAEVGTRMYYSTEEDLRLNKRAMNIISPRLGYQIGYKTLCGSKATLFWLSSPLLIHSTVAHRLNIVTTKLDFVADNFAIFLKRNSFAVSSLHQSANPKHEKYKGMVGFDGAAIIGRDTEKHDLKDLLSQSYPDNLSILPIVGLPGLGKTSLARLVFEDKEEGWDFDLRIWMHVEGDFDIDKFAVCIISEANKSMKVRFSHILKSDYQCYLGLKQYIEEILYSNSCSIVLDNLSLENKNSMHLHNLKYVLGVAKHKCTRFLVTTSSEEIAEMVHTIPSYKLGCLSEDDCWTLFSNKAFGSRDATVDSWHMEIGKEIVKRCMGMPILAQSLGSMVHNQDMETWLAARNDGLWELVERHSIEMKVFSSLMFKSCFLYLSIFPRGSDIDKDELIRQWIALDMIESKILPASLLGEMFIETLISVSFLQIINISLVTMEKYKNRTVVLRMHNLVYDFLRYIAADDLFTLDYGRSLNVCVRNLPFRYAVLTNYSPQATTHREMITKSKAAKAIVFRNCEATMPIADIFSILRYSRLIDLSGCPLEELPTSVGNLKHLRYLNISCFRIRELPNEMCCLRSLEYLNLSKTAIRRLPTFFGTFDQLKHFNLHGCGKLQNLPQNLGDLKSLEHLDLSCCSEIGELPVSICRLHELQFLNLSSCCKIELLPHQFGNLSRLESLNLEGCSSLKQLPESFGGFSRLCR >LPERR09G04160.1 pep chromosome:Lperr_V1.4:9:5961484:5963541:1 gene:LPERR09G04160 transcript:LPERR09G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHAHLPLAVVLAVLLARSAASPSSSSNAAVSPSPAPSPTLPLRPMMQQQRGVSEMPAATATANPFTAKAAFVRYWNRKVHANRPHPAFLFAKLSPLSAPDAAAFSALAAAGQLGSRIRAFCAAASLLCPITPPSKSSTSPSPSEEGPSGDGSSAPFKNYQNGNFSSYGNHGGGGTDQFAVYTSGQSGSNNGGGGGGGDVFRRYGKGSQGRNDSFTSYETEGNVGNASFASYNGGATGGVGGFVSYAGETNTAAVSFTNYDHTGNGRSRAFTAYTQDANTGDESFAAYGREANGAGESFTAYGNHSNSIATGFTNYGDKANGEADAFSSYGANGNVPANTFKSYAAGSNAAVEEFKIYRDDANVGNDSFVSYAANANAAAAGFESYGKSVNPGSVAFKGYGLGENANHRIGFTRYSGENTTFKSYSNDGVEFKEYQNMSRMQVSKLETTEAITAARRLMPRWSPEPGKFFRESDLVAGNRMPMPDIADKTPARAFLPRDIAAKIPFDAAAVSELFGAAEGTAMRRVVTSTVAECARPPSRGETKRCATSAEDMVDFAVEMLGGDNVAVRATESTAGGGGDVRIGRVAGVAGGNVTRSVSCHQSLFPYLVYYCHSVPSVRVYEADILAVDSNQKINHGVAICHLDTSDWSPNHGAFIALGGKPGENEVCHWIFQGDMTWTVAN >LPERR09G04170.1 pep chromosome:Lperr_V1.4:9:5969851:5971302:-1 gene:LPERR09G04170 transcript:LPERR09G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAPLNSENHGFPHLAIFPFMAKGHTIPLIQLANYLRHHHLATITFFTTPANATFVRDRLSSGAGDDDGVIAVVELDFPSAVESAEGLTSMASFVAFAESASLLQPKFEASVAAMRPPASIIVADAFLYWTNDSAARLGIPKVSFLGTSAFAHVMRELIVRHDPFSLLRPRDVIDDDGSMPATFSMPEFPQVELRFDELMVVFKDSSAFEAMMELDGKMGKTIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWPIGPLCVEQPASVPGPTRPSWMKWLDMKAAAGQSVLYVALGTLSAIPDLQLKEVADGLERSKVHFIWAMRPQDVDLSPEFEEQIKDRSILVREWVNQSQILQHESVGGFLTHCGWNSVLESVTAGVPLAVWPMNFDQPFNARLLVDELKIALMVWTSDSIMRDLVPSEEISRVVRELMLGEVGAEATNNVSKLSTLAQIAMEKGGSSWEAVREMINELCATNVHSKR >LPERR09G04180.1 pep chromosome:Lperr_V1.4:9:5980666:5982138:-1 gene:LPERR09G04180 transcript:LPERR09G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPLTSENHGSIPHIAIFPFMAKGHTIPLIQLVNYLRHHHLATVTFFTTPGNAAFVRDGLSSSGAGAGDDAVAVVELEFPTDVPGIPPGVESAEGLSSMASFFAFTDAVSLLRPQFEASVAAMRPPASFIVADAFLYWVNDSAARLGVPKASFFGISAFAQVMREMRIRHDPCGTVLRHGDVDGDGNPATFTVPEFPHIKVTFEDLMAPFGEPSAIGMMMELDRRLGKAIEESHGLILNSFHGLEAPYIKFWNEHVRPRAWAVGPLCIAQPVSKPGAARPSWMEWLDQKVAAGRPVLYIALGTLAAIPEMQLKEVADGLEKAEVDFIWAVRPKNIDLGLGFEERIKDRGLVVREWVDQPAILQHKSVQGFLSHCGWNSVLESVAGGVPLAVWPMIADQPFNARFLVDELKIAIRVSTNDKTLRGMVPSEEISTVVRELMHGEVGIEAAKRVKELSALAKEAMDEGGSSWIAVKEMVDELCTINDVNGK >LPERR09G04190.1 pep chromosome:Lperr_V1.4:9:5986363:5989065:-1 gene:LPERR09G04190 transcript:LPERR09G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKHLVAAACRCGRQKEGGQLIPLPPAMIENQAAESSTEVSGESFSSAGSVLQLDGITPIEQNHSALHMIATHQLCFECTKSMHDNIKSQPTDSRFGGDTTCHYAARAGNLTTLCHLITLEGRYGYGEMKKVLEKQNERGETILHEAVRRADRNMVEYLMYYQTDLASILSDGTSPLYLAVSLGYHDIAEMIYNGSQQLSYSGPNGQNALHASVLRSEEMTNKLLKWQKELAEQKDQHGSTPLHLAVSVEDRSSIKICWFPFYRPLEVPIHELLEASKSPAFEPDQSGSFPIHIAASMGVQKAISVLLKKCPDCAGLRDAKGKTFLHVAVERKRLNVVKFACRNTDLSWILNMQDSDGNTALHLVIQAGDLGIFGCLMGNQQVCLNLANNSGLTPLDLAESKIPPQFSIQWTALNLIHETIKCAKAKHGNIRRDLLKKKHSCQRDVENESERLTKLAQIVIIGSVLIATVTFAAAFTLPGGFRQDDHANGGTPILAGSYTLHAFIIANTIAFVYSSLATFSLIYSAMPFVDLSIRRAYFRSSVGVVACSLRTLAVSFVLAVYTVIAPVDHITALVVCLSASVVISFGHLNIVQTLGLAWALHGRMGFRLSGMLLLRVMMQLALAYWSYPLIFGLPAYLRSHRIGQHQP >LPERR09G04200.1 pep chromosome:Lperr_V1.4:9:5997576:6011672:1 gene:LPERR09G04200 transcript:LPERR09G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFLFVKFDYPLGELQNVSWFQFLPIEPDPSTVSERSSKAEQKDALNNIVLSAHIHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNSEEVAAALCQALRNSLERRAQPTIEFVFAATEEAVFVHVVISARYMRNLCSDDIEKVLTHSPRSIGEGLPVIVAPSGMLGRLVGCCPSDLVKQVYSSKLSAATLPGFTQPTICQLRGQSYYVEVALGFPAAPTDKVPESENNQIKKELDSVKDPQLSVDEHQKLECADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMSLQGCMGNSLWEGWPFWNFSPSSYFRNSTYLGSSRGLGVNSNFLRLRRQKNSNYSSMASSISSVSSTSNGSEHAVAAEGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEITEVSSRAGKESVDNNQGANGQGRTWGWDEEGVVMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLAIPGSDCGDATFSDSPSTAMDIPEQKLSPVGLSSLEVFNHQTMAPIHDVVSKVQEPQKDIASPTGSQSVVLSSGRSSYLNRAEALLTYAPEYAAVDISFGETPTSLFTNPYQPRSIKPGSSSSNSRVYSYDTAQSFQMESGEDKPEKSVRLASGNLSRDIGSSSLYTVVQVGKKECDKGLSNTDILSGKEESSKPISGEALFDSSLVTQRKSDGMFNAGYFVLSMKTALATEIECIRFQAAMCRIRHTVLSLRSKASAELKSALPSLMHTDVSNKLDLIPKYDIKRKENIPARLSIDVDNEVYDRSQLENVGVWRPVGTPKGTTPLDSFSAKTYTGPSQGLPAKRQPIVDLLNAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSDSCVISLLQSDIKAALKTAFVSMDGPLLVTDWCRGRSNAAESASTGDAYSFQHPTGDIRESSIGGDSLSPPQSSHAISNDRGISELEHHRGYHRVRPTIAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTIYEVCKLGTHSAQNCGGQMELSPGKYLSSGLVLIECPVQVKIGNGHSSSTSATYECLQTLSKNWTVKSFIASLTRVIKDIKLNSSILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPEREKKSFLYSQVAKALNCNASVDESSASNVVMLSGFSVPKLVLQIVTIETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAIGTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMPGSTLSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKILDVCVQDDRKYAFEPLFILAEPGSVDYNVGMESSKSGVDASGSGMYSSITGGGSDSGASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDNLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRMGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGISSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGVGQSGNKKQILVEQAGMDSSKGEGNQSSSGPLSYLEGFTPVKSVGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLAKEDSPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRSFNQEATGRDHEMEMHHVLEAVAAELHSLSWMTVSPVYTERRSALPFHCDMVLRLRRLLHYADGHLSQSTEKDVT >LPERR09G04210.1 pep chromosome:Lperr_V1.4:9:6015435:6022426:-1 gene:LPERR09G04210 transcript:LPERR09G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSEKEALSQLEEYRGLPVEAIASSSNDIRHETGEPSGTSSGEVEAPAPALDSYEWYLCQRKKASQNNGQNRVVMHPQLLVNVTCNYCNEQEEITSVSSIPPSTMMADLAVREQSLTNGHAKEKQMLEACQTDQHQICFKCTKLMYNNTKARLIETHVQRDTPFHFVARGGNLRKLCHLITLLGEECDYDYGEVKKVLEIQNNRGQTVLHEAVRRADKDMLDMLMWVDLQLLRVPSDGTSPFYLAVSLGFQDIAKLMYTTSRGELSYYGPNKQNVLHASVLRSEAMTKELFSWNSKKTENVPVLTEQSLQSVQQSTMFSSAVLAEQGDEDGSTPLHLAVSVEDHSSVNICWFPFYRKLNVPICDLLEASKSAALQPDKTGSFPKHIAASMGFQKAISVLLKKCPDCSGLRDTKGKTFLHVAVERKRRNVVKFACKNTALSWILNMQDSEGNTALHLAIQAGDLGIFGCLMGNQQICLNLTNNNGLTPLDLTKSKIPPEFSYKWTTLNLMYETLKCAKAECGNIHRDLFQNKHTCQANIENESEKLTKLAQVAIIGSVLIATVTFAAAFTLPGGYRQDDHSNGGTPTLVGSYTFYAFVIANTLAFVYSSLATFGLIYSAMPFVDLSIRRAYFRSLLSVLLHAQGSKSPAIAAAIAGDSVSFALAVYTMIAPVDRRTALFVCVSTAVVMAFGHLNVVQTLGLAWALQCRMGFRLSGMLLLRVMMQLAFAYWSYPLIFGLPVYLRSHRIGEHQP >LPERR09G04220.1 pep chromosome:Lperr_V1.4:9:6029640:6030069:-1 gene:LPERR09G04220 transcript:LPERR09G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSASSAAARNIARRRKSRLPLILCPRCENKMVVELAATTEANRGHIFYTCTDHMKDGLGCNFWFWEEGYLRYLTRHGLIGDDEIEQPYAGLKQSVRQEQTNLAVGAICLK >LPERR09G04230.1 pep chromosome:Lperr_V1.4:9:6030842:6033963:-1 gene:LPERR09G04230 transcript:LPERR09G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGLLSKGKSAQEATSRAITDSLSVVFVLSTYRVVVMATGEKRYAGKDMHVTVLSIKLTW >LPERR09G04240.1 pep chromosome:Lperr_V1.4:9:6031111:6031791:1 gene:LPERR09G04240 transcript:LPERR09G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFEGTKHIFDELTEDAMKLMDNGELFNDQETIKQEADSPKDKTTALLLDMEPGLSTASTPTTITISKKDDGDFDMFGGDDDKTDVNHGSDANAIVSSSNPELVPHDESDNGSVG >LPERR09G04250.1 pep chromosome:Lperr_V1.4:9:6035370:6038804:1 gene:LPERR09G04250 transcript:LPERR09G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNTEQPARSTNEAAHEPTSIKPAVPTTMNPELPMAARLGDSKKLKNLLDEQHQAPLRPVAQQPPPPSAASTTAPQEVVVEVDRRRAAAPSAAVNALLAGVTSEGDSVLHVVAACGENKDFLDCADTIYGAASHRLHARNSAGDTPLHHAAMAGSVAMVRHLIDKAKARSDGNDDQRSTRVALAVELLRAQNKQGGACRSTSSSLWMRGWLVSQTMAPRRSTWPSLCDTKKLHEKDEQLSYSGPEGRNALHVSVLAGKGPTQMILQWKEGLAKQGDQNGRTPLHFAASMNRPLIQETLDTITLLLKEDQSCAYKPDNKGFYPIHVAAELGGIVGFFTVRLMIKLCPDSASLRDSMGWTFLHVAINNRCKSVVALADLARVSPGA >LPERR09G04250.2 pep chromosome:Lperr_V1.4:9:6035100:6038076:1 gene:LPERR09G04250 transcript:LPERR09G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQDKNGNTALHRAVHVCDILVFFLLLINSQVVLHMKNNKGQTPLDLARLKTHLNGQIYPVSNTTCPPYGDSPDDSSRENRIQKMDRKALSKIYRNFSIGAVLIVTVTFAATFTMPGGYVSSDDDRVAIRGTPVLAGTYFFDAFVIANTLAFILSGLATFSLMYAGYTPLDFAFRETCALLALELLHRSVRCVGIAFVVGTYVMLASVAPRVVAAVFVVSAMGLLYISFEVWTLALMTLALLIRGEISAAVKVGLQSVGVALWSCWPFAVILILPAIMKGH >LPERR09G04250.3 pep chromosome:Lperr_V1.4:9:6035370:6037734:1 gene:LPERR09G04250 transcript:LPERR09G04250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNTEQPARSTNEAAHEPTSIKPAVPTTMNPELPMAARLGDSKKLKNLLDEQHQAPLRPVAQQPPPPSAASTTAPQEVVVEVDRRRAAAPSAAVNALLAGVTSEGDSVLHVVAACGENKDFLDCADTIYGAASHRLHARNSAGDTPLHHAAMAGSVAMVRHLIDKAKARSDGNDDQRSTRVALAVELLRAQNKQGGACRSTSSSLWMRGWLVSQTMAPRRSTWPSLCDTKKLHEKDEQLSYSGPEGRNALHVSVLAGKGPTQMILQWKEGLAKQGDQNGRTPLHFAASMNRPLIQETLDTITLLLKEDQSCAYKPDNKGFYPIHVAAELGGIVGFFTVRLMIKLCPDSASLRDSMGWTFLHVAINNRCKSVVALADLARVSPGA >LPERR09G04250.4 pep chromosome:Lperr_V1.4:9:6037075:6038076:1 gene:LPERR09G04250 transcript:LPERR09G04250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKNGNTALHRAVHVCDILVFFLLLINSQVVLHMKNNKGQTPLDLAQSTVFDVCVRVTPPALHTATVQTTARGKTASRRWIGRHCQRYTESDQNFSIGAVLIVTVTFAATFTMPGGYVSSDDDRVAIRGTPVLAGTYFFDAFVIANTLAFILSGLATFSLMYAGYTPLDFAFRETCALLALELLHRSVRCVGIAFVVGTYVMLASVAPRVVAAVFVVSAMGLLYISFEVWTLALMTLALLIRGEISAAVKVGLQSVGVALWSCWPFAVILILPAIMKGH >LPERR09G04260.1 pep chromosome:Lperr_V1.4:9:6043616:6055320:-1 gene:LPERR09G04260 transcript:LPERR09G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSRQTPNLQREANDHGVMQLPHPELLMAACRGDHERLKHLLSTALPSPSDEVVIHVGGRSDDDTEGARSAPSVTPAEAVTSVWDSVLHVVASSGEELKFLESATAICGMARHLDAGNKKGDTPLHCAARAGKVKMVAHLLTLARSGIVGDTAAKAVARRQNDKGETALHDAVRLGSMELVGVLLSADQELARVVPPDGDSPLYLAVSMGDRDMARHLHEHDKALSYAGPDGKNALHAAVLQGKEMTALILEWNMDLIKQADRVDGSTPLHLAVSWGYHDVISLLLDADPSVAYQPDHRGSFPIHIAALDGSVKTVSILLDKSDCAELRDAHGRSFIHVAILEENQSIVEYACKKQKLVPAVMNMQDEDGDTALHLAVQLGNLLIFNLLMERSHVNLNLKNKSTYKDIPVVERCRRQIRQSPMGYLLRTTQEDPRHEGRRTEDYPRQQSIGVGSALIATVAFTAAFTLPGGYKADGTPALASKYAFDVFIFANSLAMICAGLSIISLVYAGMFTDDIRGRMFSLVFSASLTVSAFRSVGAAFAFGMYVMLSPVSRATAIAACAIMPLALVDVVWFFWVVVHCEVVLIKRLGLARTWWRLPRTILATLLTQFWTYLVIAGVVLYFKMRKTTTMPQTTNKNSDPNDGEHPRPELAELFMAASHGDRDRLKRLLTKAAPASQSPVTLPPSEVVVHVEEVDSILQTVGVVNDESNKPSAVVTPAEVVTAGWDSILHVVASSGDELDFLECAKEIHANASHLLDASNKKGDTPLHCAARAGRVKMVAHLLRLAGGDAAARAVARRQNKKGETALHEAVRLGSGEMVHVLMSADPELARVVPADGDSPLYLAVSLGRRDIALQLHHRDEKLSYAGPDGKNALHAAVLRKGKEMTVMILKWNKDLTKQADRSDGSTPLHLAASWGNAEVIRLLLDANPSTAYQPDRHGSFPIHVAAFDNNAKAVSLFLDKRKYDKSKYRNLKGCAELRDAKGWSFLHIAVHEENQSIVTYACKLGKLTPAVLNMQDHDGNTALHIAVEVGNIWIFNLLMQLRDVELNLANGNGETPIDLAWIKKPVGVHHGLTPRINIYNLLQDADAEEGNHRWDLFHKKHEHVRKLDEKEEAKKITESTQTIGIAAVLIATVAFAAAFAPPGDYDNNGAPNLARNNYAFDVFIIANTLAFICAGLSIVSLMYAGVAAVDIRTRQIAFVISVTFMTSSARSLGAAFAFGMYVVLAPVARTTAIAACAITGFALLDVAWSVWVVGAGEVTLLKRLGIVRAWWRLPRAIVAALLTQFWPYIVITVVVLYSKIRKVH >LPERR09G04270.1 pep chromosome:Lperr_V1.4:9:6059028:6062338:1 gene:LPERR09G04270 transcript:LPERR09G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKTTTQTTDMESDPNDGEHPRPELTELFMAARHGNRDRLKRLLTKVAPASQSPVASPPSEVVVHVEEVDSIQQTVGVVNDESNKPSAVVTPAEAVTAGWDSILHVVASSGDKPDFLECAKEIHANASHLLDAGNKKGDTPLHCAARAGRVKMVAHLLRLAGGDAAARAVARRQNKKGETALHEAVRLGSGEMVHVLMSADPELARVVPADGDSPLYLAVSLGRRDIARQLHQRDEKLSYAGPDGKNALHAAVLRKGKEMTKMILEWNKNLTKQAGRSNGSTPLHLAASWGYHEVISLLLDADCSAAYQQDRRGSFPIHAAAFDDHVKAVSILLEERKNRNLKDCAELRNARGQSFLHIAVLEENQSVVAYACKLGKLMPAVLNMQDDDGNTALHLAIEAGNLWIFNLLMEIRDVELNLANRKGETPLDLACIMKPIGVHHELSPRVNIYKLLQVAEAEEGNHRWDLFHEQHGKKLDEKEEAKKITESTQTIGIGSVLIATVAFATVFAPPGGYRADDHKPGGAPTLAGRYAFDVFIIANTLAFICAGLSLISLMHAGVATVDIRTRQISFVYSIMLLASSARSLAAAFAFGMYVVLAPIARTTAIAACAITGLALLDVAWYMSVVAAREVMLLKRLGIARTWWRLPKAIVATLLTQFWPYFVIIVVVLYSKIRKVH >LPERR09G04280.1 pep chromosome:Lperr_V1.4:9:6065177:6067758:-1 gene:LPERR09G04280 transcript:LPERR09G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSNTPFQSFAGLSEKFTSSRHHREAEQNDNLTRREYTSAEFTDALCWIDQGVFSCKVILHDFTMRASITEPSYITSRSDGICSYFVSSVLAGGWKYTGDRASTEEEAKENAARVAVRSLLATKNNYMLESIRSNKPTGATIQGEQSSQTAAHPVVTFTPTITNYISCAPHYVMQVPHEQMEWHHPGTGHTPILSREQLRHPAAHMPFLPHEQMQWSRAAKQMPQMQWRDPAAHRPFLRPEQILQLNAAVGHTPSEMMQMCQLPQSISSTSSELQNGLYSDNTAQDDDMIVEVGSYEEATTLSGTKRKVDESEEPESKQARTSQAASIVTIILRTIHSHHMVCRS >LPERR09G04290.1 pep chromosome:Lperr_V1.4:9:6071479:6072198:1 gene:LPERR09G04290 transcript:LPERR09G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCASRGLVWSSLAVRSPRNTPCSTFVLPFRCRLVAEKLRSNHPASVGHPVATAGEEIVGPDGSKRWWFEDPSSMLSFSYSST >LPERR09G04300.1 pep chromosome:Lperr_V1.4:9:6074587:6075291:1 gene:LPERR09G04300 transcript:LPERR09G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTEFPINNQPSSLPVAARALLIFSVQPLPPPTSFFYSYTQYQSIKVSSVVFTSFPPNSLTNTSYHTMSNHFFPIAVLVAAITAFCSATTAAADTPDCPYPCLPPPTSGGVINSYPPPPPSGSSGSGGASGGGGLFSGSYPPPPPGGFQMTPPGGVMPGGAFAPPFGGGFPTGPAPPPPNPILPWFPWYYQHNNPITGSTTSAAAVAGWSSTASVVTVVLLSVFLVGDRVRVL >LPERR09G04310.1 pep chromosome:Lperr_V1.4:9:6080664:6109885:-1 gene:LPERR09G04310 transcript:LPERR09G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGGVREVNMRRLSSDEQRALLQRAAEVGDDHARFLSKFKERVDRVGIKLPTIEVRYENLNVEAESYVGSRGLPNIFNTYANIFEGMANALHITPKKKQKISILHNLSGIIKPHRSSWIRKNITANGLGWDTPIDYKGTITYNGHTMDEFVPQRSAAYVSQHDLHLAELTVRETVSFSAKCQGVGHHYDLLMELLRREKEANIKPDPEIDIYLKAATTGDQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFHIVNSIRHTIRILGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRDHVLEFFKSVGFKCPERKGVADFLQEVTSRKDQKQYWIHGDNTYRYVPVMEIAEAFQSFHVGQAIRRELAVQFDNSKIHPAALKTSKYGVNMKELLKANIDREILLMKRNSFVYIFKALQLIMVAINAMTVFIRTNMHRDSIENGKIYMGAQFYGMIMIMFNGLAEMGLAIAKLPIFFKQRDLLFYPAWTYSLPSWIIRTPISFLNTTVWVFLTYYVIGFDPNIERFFRQYLVLFAISEATSGLFRFIPALTRHPVIASTMGSYCILICMLSSGFILPRDNVKKWWIWGYWISPLMYGQNALAVNEFLGHSWNKVVPGLRETLGRLVLQSSGFSPRAKWFWINVEDDNNSEANSIHATGNFSPDKKGMILPFVPLSITFEDIRYSVDMPEALKAQGMAEPRLELLNNINGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTNGYIEGNITISGYPKKQRTFARVSGYCEQNDIHSPNVTIYESLLFSAWLRLPVEVDSATRKMFINEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRVIRNTVDTGRTIVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPIGQHSCELIKYFEAIEGVSNIKHGYNPSTWMMEVTSTLQEQVTGEEQNFDKGVKQTSRRFKRLIVPNSIFTTLSYTMFGLPLEATSIILEKSYVYCHEVLLYRCKCIVVWNNVLGYWPKKAQSTSLVQCHGFDNSSSVQPVVSIERTIFYRERASHMYSSLPYALGQVVIELPYIFLQTLIYGVLVYAMIGYEWTCAKFIWYMFFMYFTLSYYTFYGMMAVGLAPNYAMSTIVSTTFYTMWNLFSGFLIPRTRIPVWWRWYYWICPVAWTLNGLVTSQFGDVTDKFDNALLRRALSHSGIGMDDAGEIHAFGGSLRREGSSTWSSSRAGDVYFSRGSSSAAAARDVEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLDPAERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLRITPNRKQKISVLHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGSVPSGLKVSGALTYNGHTMEEFEPRRSAAYVSQHDLHMGELTVRETINFSAKCQGIGHRYDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFRCPERKGIADFLQEVTSRKDQRQYWIHGDETYKYIPVKEFAEAFQSFHVGQAIKNELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMAFIAMTVFIRTNMHHDSITNGGIYMGALFFAILIIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSIPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLVMNETSSGLFRFIAGLARHQVVASTMGSFCILIFLLLGGFVMSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNSLYTICLTFLDPFDSNQPTISEETLKIKQANLTGDVLEASSRGRVTTITNAADESNDEATSNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGTITISGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEVDSATRKMFIDEVMELVELFPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTAQEQITGVNFSEVYKNSDLYMRNKSMIKELSSPPEGSSDLSFPTEYSQTFITQCLACLWKQNMSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRNNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAVKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVVVASFSVLFAFLFGLSIKIFNFQKR >LPERR09G04310.2 pep chromosome:Lperr_V1.4:9:6080664:6109885:-1 gene:LPERR09G04310 transcript:LPERR09G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGGVREVNMRRLSSDEQRALLQRAAEVGDDHARFLSKFKERVDRVGIKLPTIEVRYENLNVEAESYVGSRGLPNIFNTYANIFEGMANALHITPKKKQKISILHNLSGIIKPHRSSWIRKNITANGLGWDTPIDYKGTITYNGHTMDEFVPQRSAAYVSQHDLHLAELTVRETVSFSAKCQGVGHHYDLLMELLRREKEANIKPDPEIDIYLKAATTGDQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFHIVNSIRHTIRILGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRDHVLEFFKSVGFKCPERKGVADFLQEVTSRKDQKQYWIHGDNTYRYVPVMEIAEAFQSFHVGQAIRRELAVQFDNSKIHPAALKTSKYGVNMKELLKANIDREILLMKRNSFVYIFKALQLIMVAINAMTVFIRTNMHRDSIENGKIYMGAQFYGMIMIMFNGLAEMGLAIAKLPIFFKQRDLLFYPAWTYSLPSWIIRTPISFLNTTVWVFLTYYVIGFDPNIERFFRQYLVLFAISEATSGLFRFIPALTRHPVIASTMGSYCILICMLSSGFILPRDNVKKWWIWGYWISPLMYGQNALAVNEFLGHSWNKVVPGLRETLGRLVLQSSGFSPRAKWFWINVEDDNNSEANSIHATGNFSPDKKGMILPFVPLSITFEDIRYSVDMPEALKAQGMAEPRLELLNNINGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTNGYIEGNITISGYPKKQRTFARVSGYCEQNDIHSPNVTIYESLLFSAWLRLPVEVDSATRKMFINEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRVIRNTVDTGRTIVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPIGQHSCELIKYFEAIEGVSNIKHGYNPSTWMMEVTSTLQEQVTGEEQNFDKGVKQTSRRFKRLIVPNSIFTTLSYTMFGLPLEATSIILEKSYVYCHEVLLYRCKCIVVWNNVLGYWPKKAQSTSLVQCHGFDNSSSVQPVVSIERTIFYRERASHMYSSLPYALGQVVIELPYIFLQTLIYGVLVYAMIGYEWTCAKFIWYMFFMYFTLSYYTFYGMMAVGLAPNYAMSTIVSTTFYTMWNLFSGFLIPRTRIPVWWRWYYWICPVAWTLNGLVTSQFGDVTDKFDNALLRRALSHSGIGMDDAGEIHAFGGSLRREGSSTWSSSRAGDVYFSRGSSSAAAARDVEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLDPAERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLRITPNRKQKISVLHNCYLIAAMFRMTLLLGPPGAGKTSLLLALAGSVPSGLKVSGALTYNGHTMEEFEPRRSAAYVSQHDLHMGELTVRETINFSAKCQGIGHRYDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFRCPERKGIADFLQEVTSRKDQRQYWIHGDETYKYIPVKEFAEAFQSFHVGQAIKNELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMAFIAMTVFIRTNMHHDSITNGGIYMGALFFAILIIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSIPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLVMNETSSGLFRFIAGLARHQVVASTMGSFCILIFLLLGGFVMSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNSLYTICLTFLDPFDSNQPTISEETLKIKQANLTGDVLEASSRGRVTTITNAADESNDEATSNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGTITISGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEVDSATRKMFIDEVMELVELFPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTAQEQITGVNFSEVYKNSDLYMRNKSMIKELSSPPEGSSDLSFPTEYSQTFITQCLACLWKQNMSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRNNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAVKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVVVASFSVLFAFLFGLSIKIFNFQKR >LPERR09G04320.1 pep chromosome:Lperr_V1.4:9:6125907:6138396:-1 gene:LPERR09G04320 transcript:LPERR09G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSGRRSLHRQGSSAWSTSEAGGVFSRSSSTREDDEEDLRWAALEKLPTYDRARTALLPMPDGGGVREVNVGRLGADEQRALLQRVAGVGDDHARFLSKFKERVDRVGIKLPTVEVRYENLDVEAESYVGSRGLPTIFNTYANIFEGLANALHITPKKKQKISILHNVSGIVKPHRSSWLRENITSNDLGWDTPIDYKAYVSQHDVHLAELTVRETVSFSARCQGVGHHYDLLMELLRREKEENIKPDPEIDIYFKAATTGDQKAEVVTNHILKIMGLDICAETIVGNNMMRGVSGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFHIVNSIRQTIHSLGGTAVIALLQPAPETFELFDDIILLSDGHAVYNGPRDYVLDFFESVGFKCPERKGVADFLQEVTSRKDQKQYWMHDDNSYRYVPVTEIAEAFQSFHVGQAIRRELAVQLDKSKIHAAALKTSKYGVNMKELLKANIDREILLMKRNSFTYIFRALQLIMVAINAMTVFIRTNMHRDSIENGRIYMGAQYYAMIMVMFNGLAEMGLAIAMLPIFFKQRDLLFYPAWTYSLPSWIIKTPINIINTMVWVFLTYYVIGFDPNIERFFRQFLVLFAISEVTTGLFRFIPALTRHPVIASTTGSFFILICMLSSGFLLPRGNVKKWWTWGYWISPLMYAQNALSVNEFLGHSWNKIMHGSSETVGRLVLESYGYFPEAKWFWIVTKNNQPAISQESLEIKQINLTGDVLKTSSRDVEDDNNGESITMHAAGNSSPSRKGMILPFVPLSITFEDIRYSVDMPKALKKQGMSDARLELLKDINGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTNGYIDGNITISGYPKKQSTFARVVGYCEQNDIHSPNVTVYESLLFSAWLRLPVEIDYVTRKMFLVEVMELVELFPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVNTGRTIVCTIHQPSIDIFESFDEAIEGVNKIKQGYNPSTWMLEVTSAVQEQITGVDFTHVYKNSELYRRNKNIIKELSTPPEGSSDLSFRTQYPQPFITQCLACLWKQHLSYWRNPPYIAVKYVFTVIHSLVFGTMFWGIGRKRQNQQALFSAMGSMYSACLTIGLQSSTSIQPVVSVERTVFYRERASHMYSPLPYALGQVIIELPYIFLQTLIYGVLMYAMIGYEWTWAKFIWFMFFMYFTLLYYTFYGMMSVGLTPNYAMSTVVSTTFYTMWNLFSGFLIPHTRIPVWWKWYYWICPVAWTLNGLVTSQFGDVTDKFDNGVRVSEFVESYFGYHYDRLWVAAMVVVSFAILFAFLFGLSLKLLNFQKR >LPERR09G04330.1 pep chromosome:Lperr_V1.4:9:6174452:6176997:1 gene:LPERR09G04330 transcript:LPERR09G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGRLVVTELSHIKELVKQLEVHLGGGSPDLCKHLTSQIFTVTERSIGIIRSCQFDGHHRKRAAGDLESATPSPLSDVSDLPFKATKKRKASMEKKRQQIRVSSTGGVENPPIDDGHSWRKYGQKEILGAKHPRGYYRCTHRNSQGCLATKQVQRTDEDATLFDVIYHGDHTCNHQKTAAVAGKPQPEMDTNAARNRLHDLSSGLKVKTELPRQDSWNAMPFCLSSPVSGLAPPERHPFSAPSTPENYCLLGGGVPSASPATSDSNHLSAVAGATPFPVAGDAEWRGAEFQEVVSALVAATATVAAPPPAMDADECFEFDPDFTIDISSFFA >LPERR09G04340.1 pep chromosome:Lperr_V1.4:9:6177461:6178939:-1 gene:LPERR09G04340 transcript:LPERR09G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVVISLIMLLVLGAFFVITRPNNNGKGKETQSPNSGKKSRSYTMKEISTHNKRKDCWIIINDKVYDVTSYVEEHPGGDEILNNAGGDSTEGFLGPQHGPRVFDIIEDFCIGKLKN >LPERR09G04350.1 pep chromosome:Lperr_V1.4:9:6185968:6187259:-1 gene:LPERR09G04350 transcript:LPERR09G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLTLLVPIVYHVWLRRAIRLTPLRTATGINSATRHLWVTNMIKDNAKNGVLVVQSLRNVIMGSTLMGTTAILFCTGIAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALLLIFLVAFLSHSLAIGFLNEGTFLLNTSSTLLSFNSGDGDGVLSLPSVGDYIEEVLERGFNLNFVGNRVFFAGIPLLMWIFGPLLAFLSSLVMIPILYNLDMVNVNSDRWRRGGGGGCANGKSVDKNGGAIDCTLV >LPERR09G04360.1 pep chromosome:Lperr_V1.4:9:6193543:6197482:-1 gene:LPERR09G04360 transcript:LPERR09G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWRRDTGADLWWLRARLQTWSAGRPAGQLCSLANIMFSQAAAMAPSSSHHLLFTPLCLCTMLLLIHVHHATSLTFRFDFSKPASYCTPSAEISCAGDAFYRTPMLELTKDDITEGNYRSIGRMWYSQPVPLWNKTTGVVASFLTTFSFQIKPAVMEHSADGMAFFLGHYPSGIPHRSYGGNLGLFNSSTNKNTTGTARIVAVEFDTYWNEEWEKDGNHVGIDVNSIISVASISPDKNLTSGTTMTAEISYNNNTEILAVTLWINETSYHVNTSIDMRRCLPEEVAVGFSAATGSSIEVHRVLSWSFNSTLTWMDSSILSPGPAPVSPEIVSTKPIISPGPAPVPPGMVRSQPQGKLRGTVAISVAVSFVLVCAFIGFLLRRRLAWKKSNEISVGDCQTELDKIEFVKGVGPRRYNYSELAAATDNFSEEKKLGRGGFGHVYQGCLQIDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSSMGLLIVYDLVPEGSLDNHIYKNARVLTWAERYNIIIGLGSALRYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLIDHGTKSQTTKVVRGTAGYIDPELINTRRPSTESDIYSFGIVLLEIVSGRHPVEEPDDSDELFVLSRWVWDLYSKNTIAKAVDERVGCSNGDERQMERVLAVGLWCAHPDRRERPSMAQAMHVLQSEEARLPALRPQLYKAVPFLAMGEHGFSDLWVGTITSSSTGGQHSAATGRTAHSEPMKL >LPERR09G04370.1 pep chromosome:Lperr_V1.4:9:6198351:6199643:1 gene:LPERR09G04370 transcript:LPERR09G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGPADRKLVNVVGIPPCQIIPCSDNRNFTIKGRFSVIDRLPVGLTRAQATCAIDEAYRCEVSFKSMNKPRGNVVAVMALVHGPSHGIQNVFVDLALLDNTRSLVTRPLMKKRYTAVGSSKRGCGLVVAKDFLEANCVKDDVVVAICTVVILPTSHPPSDESLCRRLAAMSSAQDLTDVCFDVDGEKFHAHRLVMAAQSEKFRAMLFDSNNNETILCEDTLCAGITTDTVNSTLALTDKRPYPKLRASCIEFLSETPIYNVASTDVYTELVQNYPGVLTEIRDYKKRPRLSLKLTPSTDTKIELKEIIEESSKRPRLLCPKPTPSTDTKDENQGELTENGESSKKPRLCPKPVIPSTDVKVEKN >LPERR09G04380.1 pep chromosome:Lperr_V1.4:9:6205174:6207603:-1 gene:LPERR09G04380 transcript:LPERR09G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLRNRTRQILSPSRSWRLPGLVAGFVGPWTVDVAWPIQVHRRSQSSIQRVKIRTETNILLRRLSHGEREVETAGAAFLSGERARRVEGGEPGGSSHRRCSAPVAAVGSHRMWCPAPRRCCSCRSGGVGEESLGFGVLVVGMGELLPSSEQCLAASTGAVAD >LPERR09G04390.1 pep chromosome:Lperr_V1.4:9:6207794:6211302:1 gene:LPERR09G04390 transcript:LPERR09G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRLLPILLRPRGSPTRALSTAAASAAPAAAEEEAVTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGMMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRSDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICREKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASMLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIQPMSGIG >LPERR09G04400.1 pep chromosome:Lperr_V1.4:9:6213068:6214453:1 gene:LPERR09G04400 transcript:LPERR09G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTTMPPSPTASAAAAVRMITTDELRKHATAGDLWISISGDVYDVTAWVPHHPGGETPLVTLAGQDATDAFAAYHPPSARPLLRKFLVGRLADYSVSPASADFRRLLAQLTAAGLFDRVGPTPKVQVAGMLLLLSAALYLVLACASAWAHLAAGGLIGFIWIQSGWMGHDSGHHRITGHAVLDRVLQILSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSMFYERTLVFDAASKFLISYQHWTFYPVMCFARINLLVQSALFLLMSKRKIPQRGLEIAGVAAFWIWYPMLVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDVLCAPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPFVRDLCKKHGLPYAAASFWGANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >LPERR09G04410.1 pep chromosome:Lperr_V1.4:9:6222315:6234468:1 gene:LPERR09G04410 transcript:LPERR09G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTPAEYQSYQEFAPSSSSLLSDEAPSSQPSAPPSIPAPAPAPAPTPSPPSYQPPAPASPTAQPPVPVILSPQGAPETPPHAQPPITPDQPKTNTPTKTIGMAVGPAVVVCVVAAVLLWLWWQKRRKNSHNNDSESDGHHGNSDLERAEVAIKKFSMDSTSQGRREFEAEVRIITRLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYDANRLFTWSERYKIIMGLGAALCYLHQEWEQCVVHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWKATTSVFGTAGYIDPEFVITGKPSTQSDVYSFGIVLLEIVCAKPPVVLLEDEPSFVLLKWVWNLYSQNSILDAADERLRGGGATIDEWQMERVLVVGLWCAHPDLRERPSIARAMNVLQSDDARLPDLSRQIYRSKCSQPPIDVAVGGYYSGVTDGTFSGNGVLTSDTTTTRSSGSFSSPRPTTMAVPLAVLLSLCYLLTLCNHIPFVTSVSFDLNFSDSTTSPCGATLQCDLDAFFRPGMIDLTKADRTGNINDSIGLIWYKPEAVPLWDKATGELASFTTSFSFQIMIDEMSTDYKPGDGMTFFLTQYPPAPRNQTYSGGGSLGVFHFLNPLPPQYRTVAVEFDIFQNPEWNDSEYQHVGIDVNSLQSVASTDVNNLTTGSTMRATVSYDNSTMLLLVDLNVNATLSYQVISTVDLRNVLPEEVAVGFSATTGFSAELHQVLSWSFTSTLQPRAPAPPPAYGPAPQSPPIPHTKTEPSKKLLVMILAPVVIACAVSGLILWLWMKKPRRKLRDEGATNGSDSEEKHEDGDELERGVIGPKRFQYRDLVDATGDFVEEGKLGRGGFGQVYRGLLRSDDHPDGVPVAIKVFSSDSSCQGRREFEAEVRIISQLRHRNLVRLLGWCDSRKGLLLVYELVPEGSLDKHIYNTERILTWPERFKIILGLGSALTYLHQEWEQCIVHGDIKPSNIMLDSSYNTKLGDFGLARLADHGTGPRTTNLIQGTAGYIDPDFVNTRQRSTQSDVYSFGVVLLEIVAGRQPVVHHEGVPSFMLLKWVWGLYRQNATVNAADERLQGNDELSDRQMERTLVVGLWCAHPEPGQRPSIVQAMHALQSEDVKLPELSLEMYMAPPPNLAMGGGYSGGYSSNFSSSLPSSVTSGTTRLLPIYIYPLQRKIPQKGLAFWIWYPMVVFCLPNWWERVAFVVASFFQIEPHLFPRLPRCHLRKVAPFVRDLCKKHGLPYAAASFWDANVLTWKTLRAAALQGRKATSGAAPKNLARAALPSSDTGGDNSAASHLLHRPSSASPPLDPWPAKPGGGRDGGGGAFLIRFGARRGELMWVAAVWLRRGEPERPHGETASLRKRVDAAADSISTGKERRRHGNGGMLAHTVKGLLR >LPERR09G04420.1 pep chromosome:Lperr_V1.4:9:6243550:6256661:1 gene:LPERR09G04420 transcript:LPERR09G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPAEDPSYRYVILGSSPPAPAPTAAPIQQTPATGSTPAPAPPTQPDNQSPPPPPIPPSQPSELEAPPPPVEPPPPPPEPVVGAPSPQGTPKTPPPDDTALRTPDQITTSSPAKIIAMAVAPTVVVFVVAAVLLWLWWQKRRKNSASVRVPHNSDSESDGHHGNSDLEKAVTAGGPRRYQYQELAAATGDFAEEKKLGQGGFGHLHGWCDSRKGLLLVYEFVPGGSLEKHIYDTNRYKIIMGLGAALRYLHQEWEKCVLHGDIKPSNIMVDLSCNTKLGDFGLARLVDHGKAWQATTSVFGTAGYIDPEFVITRKPSIESDVYSFGIVLLEIICAKPPIVLLEDKPSFVLLKWVWSLYSQNTILDAVDERLRGGGMIDEWQMERVLVVGLWCAHPDLKERPSITRAINMLQSDDARLPYLSPQLYKSECSPPPIDIAIGSDYGNTTHGTFSGTGIPMSATTTTTCSSVSFPESGGGGGGCLLLCVNRFASVLAALILRSRAKRINFSSVEVRNTNAIDIESNGDRSNNAELEIVVAAAGPRRYNYDELSAATGDFAEEEKLGQGGFGSVYRGRLPGGGQQPPSRRLPMDWGSCLLRALPDRSRGANYTLLLVAERTSSLAVNFVVDGPVRTGLSSLSSEMSPLVCNQNRSLGHGASRFIVLIVTRPSGKGVASCRYILPWNIDEQEELLDSSGVMAMSGTLPERRVRIHRSSLTLSSSNRVLPKTRRLLSGSHGHILSRKQQRVAIYRPGHRTRQIRESMVVAGLSLLGLICAIMAGVLCCTRQRKRVRQIAAAMVVELDEFEDDKPILKPEQYRGVMMWPKRFRYSEMAAATRNFAEETKIGRGGFGPVYRGYLNDEQRYVAIKVLTEGEQSQQGMREFQAELTVMSQVRHRNIVQLLGWCDCRRGLFLVYELMPEGSLDKHLYDTNRLLSWPNRYNIAIGLGSALQYLHQDCNRCVVHGDIKPANVMLDTSLNPKLGDFGLARLLEHGAEPDTTQVIAGTVGYIDPEFLNSHIPSAESDVYSFGVVLLEIASGRRPASSRRQDTSLTLLDFVRRMYDRGTVVEAADGRLNGEFDKQQMERMLVTGLWCACHDPTYRPSVTQAVKALRSEGADLRQLPVITPVTRAVERSLVEQAYGDQSEDFSMTDPSTTYLTSRDPTWIQIVN >LPERR09G04430.1 pep chromosome:Lperr_V1.4:9:6260317:6260814:-1 gene:LPERR09G04430 transcript:LPERR09G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIDPEFVNSHRLSTESDVYSFVVVLLEIACGRRLVSSSRRPKYDAPTLLNFVRGMYDRGMVMEAANGRLNGEFNERQMERVLVTGLWCTCHDATCRPSIAQAMEALRSEGAELPMINPATHAAEHSMVECAYGDLSDEFSVVTPTTAYLTSRDSTYLLVHAEE >LPERR09G04440.1 pep chromosome:Lperr_V1.4:9:6265371:6266204:-1 gene:LPERR09G04440 transcript:LPERR09G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTVGLHLHARILLFSVLYITTCHAANYTRPAVGCHRADLSISSVDRGFVSDRHEEEEDTMLLLLGPSPRRPRHRVVYGERTRPIDQGDQSSNYQFTATTGVFRPVIIHNDGQNAASESGGRIVTDSDLAHEGCCSPSRFRQLRHLFAHSLPAATSSRGHRIWPPQWQWSGKGKGRGRGDFSRYISDPLDSTSMRLGATGFVRVWRWINDGSNLHPRRRRTHLDRGDGLLLL >LPERR09G04440.2 pep chromosome:Lperr_V1.4:9:6265371:6266204:-1 gene:LPERR09G04440 transcript:LPERR09G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTVGLHLHARILLFSVLYITTCHAANYTRPAVGCHRADLSISSVDRGFVSDRHEEEEDTMLLLLGPSPRRPRHRVVYGERTRPIDQGGNYTVHIVVIAERPYLDVNFAFDPPAVYNISSSANQSSNYQFTATTGVFRPVIIHNDGQNAASESGGRIVTDSDLAHEGCCSPSRFRQLRHLFAHSLPAATSSRGHRIWPPQWQWSGKGKGRGRGDFSRYISDPLDSTSMRLGATGFVRVWRWINDGSNLHPRRRRTHLDRGDGLLLL >LPERR09G04450.1 pep chromosome:Lperr_V1.4:9:6271001:6297943:-1 gene:LPERR09G04450 transcript:LPERR09G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNVEEPPSSSMAANAPPVSAAAILMVATDGGECQQLEDVIAKEDTTTMLVTMAASAAAMALKNGEEERPSPSIGAMDTKVLIARSPGDCELLKDALNKEDALHAETMLVVMASKKQDIVKQSTATMNPQLENYSPDVLERESTSNGSITTQNDHLVHHEDSVQQMAQQASSGAAAEEEEGDSNHSPSLLRQDLEGVTVEGNTALHVVAACGDDDSYLSCANKIYEHAPHLLLEQNNNGDTPLHCAVKAGKSEMVYRLIDLAQREDSSASSSSSSSRLKELLRKENYSKETALHDAIRTGNKGVLEKLLELDSELARFPMDGTGTSPLYIAVFLNRLDIAKTLLVKSNGNLSYSGPEGQNALYAAVCCGKVMTEMLLPYNKSLMKQADQYGTTPLHFAASFLLRESVFYFAVSFLWRGSTERWMSSSSVIPLLEGNQIQLYQPNYKGYYPIHVAASSGAVETVRYFIRKWPGIAGLRDCKGRTFLHVAVEWGKYSIVRNTRLTPSMARILNMQDNDGNTAMHIVVQNGNKSIFCTLLCSRNINLDILNKKGQTPLDIAYSKIPAEFLYGWNPETLILRALILCNASFGCRRQFPFQKQDIPQQHKADEEKESQKLTNSTQILGIGSVLIVTVTFGAMFAIPGGYKADDHYNGGTPTLAGRYIFDAFIMANVIAFICSVLATINLMYSGMPMVVLPLRRRHFNISIFLAVSSVTSLAAAFALGMYLVLAPVARMTGVAICVMMMIASICIFTEPLHALSLANALFVRMGNRAFPIIVRVFLRKILVTCWPCVIIFGWAAISTNSSTPPPIAPKPKLTVATNHGEICQQLKDLIKKEDTTTMVVALVSSSKEATAAAMAFKINGEEGGSRGDRDANKVMIETSPGDCDLTKDTLKKEDVATMFSDVLKDTLNKEHAATTTMLVMVSKTKDIAKPTASMNPLLLSLASRGDCDGLNDYIMNIVPTDPDGGKGGSPGDGSNTAITTTQQQADSADQSGRWRLGLDGVTVEGDKALHVVASCGDGTNYLRSASIIYRKAQHLLLAQNNKGDTPLHCAVRARRAQMVSCLIDLAKTEDNSGSSSRLKELLRKENYSKEAALQEAVRIGNKGIITKLLEYDSELARFPMDGTGKTVKMLLNWNKDLTKQADQNGSTPLHFAASLRSRSVKLWIDFAASLRSRSVKLWMSHSPLIPVLEANPTQMYQPDYEGLYPIHVAASSGAKQAIMFFIKERPEIAGFRDSKGRTFLHVAAVRDMSPIVKYVCRTPSLAWILNLQDNDGNTTMHVALQHVAIYNFCALLRNREVNLNIPNNKGQTPLAALLSNIPAGISYMWNPETMMFGALKVCNASMGCREDHFQELKQKESDEATQSEKMTNSTQTLSIAAVLIVTVTFGSIFAIPGGYIADDNYNGGTPTFARKHMFGAFILANTIAFILSGLATISLMYSGVPMVSLPLRLEHFNKSVFLAMGSVASLGVTFTLGMCLVLAPVARWTATAICVMMVIAGLYSIGVALHWHHTVPIYLAIRARRKYMKNMNTNDSLISH >LPERR09G04460.1 pep chromosome:Lperr_V1.4:9:6283891:6286099:1 gene:LPERR09G04460 transcript:LPERR09G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFPLPSASVPAPALASSAIAQAGRQPTSIPLYVFPPASILIFFLPRGARQRTNRRLLPPSSKQEAVTVLPLSALSGFTFRCKNQDLGLMEVKELGVVAKAPIILKQPFLKEENSHME >LPERR09G04470.1 pep chromosome:Lperr_V1.4:9:6311387:6312730:-1 gene:LPERR09G04470 transcript:LPERR09G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERRRRNRRRAGKKPITAATTTSIDDLPDHLLEAILLRLGPSSATLIRAAYACKRWRRVVTVAGFLPTFRAVHGPRHRVAGHYHAIDAYYAPSSTLPGGETSVFVPSPSIAGVDSRHFSLDFLPKSNGDDGEDFSWEIADSRGSLLLLSKKEKSSRGFSDLIVCEPVTRRYQGILSPTDLTGDYQLLGVFLLDGDDDGDVSMSSFRIICALYQSHWFINANVRLGEGLACIFSSGGGGGWRLPETAVAAYDFQLPERFEAMSYIGRANGCFYWGIDDDEDGSMLVLDGDTTEFSFVTFPESIRENYDSRSIRIIAGDDGAMRVIRVIGNDLKVFMQLDDGGDLGEWVVERMVRLPEATRGLQGYEERFFEQNEAMIVTADAGRVLLTPGVEKTWLFSVEMETMVVESQLERNKYAGVAYSYELPLRRALKAADTSTTNDNRRRRR >LPERR09G04480.1 pep chromosome:Lperr_V1.4:9:6314995:6316359:-1 gene:LPERR09G04480 transcript:LPERR09G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERRRCNRRDNRTPRTNVVEARATATSMRDVPDHLLEEILLRLASTVCLVRATYACKRWRRVVATSAAFRALHGARYLAGHYHTYDDASSSTGRNFVFVPSSSLAGSDSRRLSNLDFLPECEISHSWDLADCRGSLLLLSKNNKKKTTTRNGSRCCFTFPDLIVCDPLIRRYQRIPCPAGLAGYQCLGVFLLDGGEGDIGIGMSNFRIICVLYHCYAMNNDVNVHLGEPLACVFSSGDGGGGWRIPHTTSAGDIVLPGRTIDATSFVGRANGRLYWGIDDDDGAMLVLDETTVQLSLITFPEIIRENYDKRTFRIVAGDDGGMRVIRVISNHLKVFMQLAGSGDGDGDGDGEWVVEKLVWLPEATRGLEGYDEWYFQQGEEAMIVAANAAYVLLTPAVEDTWLFSVELETMRVECQQERNKFAGVAYPYELSWPSSLQAADSDQNISERRQC >LPERR09G04490.1 pep chromosome:Lperr_V1.4:9:6317902:6318413:-1 gene:LPERR09G04490 transcript:LPERR09G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKVVKARATAAHDNGAAMVSIHDIPYHLLEDSRGRELEDILLHLVSAACLVCAAYAC >LPERR09G04500.1 pep chromosome:Lperr_V1.4:9:6320009:6321367:-1 gene:LPERR09G04500 transcript:LPERR09G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKAACSSNNSSSSKKAPARPAPPTTVHDIPDKLLELILINLTSSLHLVRAAATCRRWRRIITHTNFLIGITRPTPHPLAGHYHRRLHPPPPQPNNPKHHHIKFIPSSPDQLPFTGSLDFLPADSGGISRWEIIDSCGSLLLLSKKKSGSRTRRGLFPDLVVCEPVTRRYKAIPRMEGMEHHHCVAVFLLDADHSIATFRLTCVLYQPYFGVSEDVGTVKVCVYREDVWNWTRYMKPINDFEPPRLFEWYVVTEMRPGWKRRIHLHGRDSLRFLGHAEGYVFWAIKEHDDSLLVLDDYMNNFDIFSLSDGVRGSEVQAIVDVNGDDHDNVRIVTLEGDILRVVNWMYKFDVILLEKSLNLVEATCKLQGYKEGYFCSGAKVVTVSTSAAILMPVEETWMVSVDLETMEVVECKYASVAYPCELPWPPTLRACPVRCNRWGSGRCSHICVC >LPERR09G04510.1 pep chromosome:Lperr_V1.4:9:6322131:6323373:1 gene:LPERR09G04510 transcript:LPERR09G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGCFRSSAMKVVTASVGSVVLTPMEETTWMFLFSVNLETMKEGDDLRVFTTWQHGYGEWVLEKSFQLAAATTVLEAFTEVVMARVGLIILAPWTKWMLSVNLETLEVASHGCCKLVFCRFSFN >LPERR09G04520.1 pep chromosome:Lperr_V1.4:9:6328454:6335468:1 gene:LPERR09G04520 transcript:LPERR09G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITTTLNEDTEPSIPPGFGPFSALALRGIQNDAKPADTPTSVQALQSIRKDSEECQSSSAVSRSDTPCSTSGNQTFRKSLRNRPLIDYSHFEHISDEDSDVEIVEKGVSSTRRRQQLPKGVLRGCTECSDCQKVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKTSKKSKRGGGMKRRKLSEPEENSAIGHTHIGIQQNPERFGFEPGPEFTLESFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGAPGKDAANLESAMRKHLPDLFEEQPDLLHNLVTQFSPTLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKNICGADSTICKALKARIETELAQRKTLGIPSQSRKMDAEFDSVDRECALCYYDLHLSASGCPCCPEKYVCLVHAKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVPSFSSNGQKDEGNKILKIDSPQTNNACPSAEQVKSENISPSKEPCIRNELSCPTNSDTNRPQYNGGLGGHKGSVLGLSVSSSQPFSSNGASRPIGASIASMKIVQGLVASKGCIQASSRTGDSRSLLSEHHNRSPTMIHDGTNMKSSLQSSNSSHRLMSSDYTATQYHSSNDKLLVTPGTKDSAMTLKGSSQVHTVSSQQFVRTGPGTQSASHEALRPCPPFTRGSAHPGLGGRLQQPNDGRLQQPNFRNQQPNDGCLQRTSESLPGVEARAGGHPIVMAKLVLEIHNRNGGAQKGPRIANVVHRFKCSVEPLEIGVALSGMLWSSSQAIFPKGNIRELSR >LPERR09G04530.1 pep chromosome:Lperr_V1.4:9:6336879:6338524:1 gene:LPERR09G04530 transcript:LPERR09G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKDKTRKATAKMPKGSLSPMTTLGDLPDKLIELILLHLTSPLWLVRAAATCKRWRCVISTTNFICHIDRLLRQLVGGYYNYPRSLSSARPNSSSLAFVPSSSAVALGVDSRRHFSLDFLPGGSLSWEIIDSNGSLILLAKTSSTRRGHRRSFFPNLVVCELVTRRYRLIPRMEEMKYQHCLGVFLHEYNTCVIDMWGRANDNMSRYRVIVVYMEYNGVCDGLGTVRACVYNPNWSSRWYGSWRQRSHLTSWYRVKPSRNMAKCGIYLWGSDSCWAEFEVLRIPDIVRESGLRAIVDGSGGDNDGELRVKSIQLEMATVGIEGFKEGYFGNGALKVITASVGSVVLASVEETAWMFSVDLETMEAAKCKNIHRNSLKWF >LPERR09G04540.1 pep chromosome:Lperr_V1.4:9:6339070:6344887:1 gene:LPERR09G04540 transcript:LPERR09G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGGDLLAGGEPIRPPRLEDAGLEDCALPPESIAEAFSLAAMAVSSRLTHLSLSSDEEDDGLVGVGGDRGSGGADEVVVVGGGGEGGDEVVVGGRGDEEDRVVVVGEEKDGKFGSENGCVDGIREGIDELGSGEDDGEKGKDVDDEEEVVVAVEKAILVEDFARDILDSG >LPERR09G04550.1 pep chromosome:Lperr_V1.4:9:6347671:6355625:1 gene:LPERR09G04550 transcript:LPERR09G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWWHAIAALAVACAAAAATDGNSYHHVWPPMEFGWQIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIVGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVVFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIVKRETAKRLEQASEEPEYAPIPTGPGAATEAKMPSDEAPSLMKNIYWKEFGLLTCVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMNGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIYKIQQHEYMGFENLCKYDA >LPERR09G04550.2 pep chromosome:Lperr_V1.4:9:6347662:6355160:1 gene:LPERR09G04550 transcript:LPERR09G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWWHAIAALAVACAAAAAVAADRGFSVTGAGAGAAAVVTTPEEMGLLRKVANFLWQTDGNSYHHVWPPMEFGWQIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIVGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVVFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIVKRETAKRLEQASEEPEYAPIPTGPGAATEAKMPSDEAPSLMKNIYWKEFGLLTCVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMNGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIYKIQQHEYMGFENLCKYDA >LPERR09G04560.1 pep chromosome:Lperr_V1.4:9:6355092:6360851:-1 gene:LPERR09G04560 transcript:LPERR09G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNASAGAEAASPNPKSPSFSRLRHQGEREITMGCAGSTPTKGDAAEAGATKNIRKPKPWKHPQPITMSQLSKMREEFWDTAPHYGGQKEIWDALRVAAESEEALAKAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIQDN >LPERR09G04560.2 pep chromosome:Lperr_V1.4:9:6355092:6360851:-1 gene:LPERR09G04560 transcript:LPERR09G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNASAGAEAASPNPKSPSFSRLRHQGEREITMGCAGSTPTKGDAAEAGATKNIRKPKPWKHPQPITMSQLSKMREEFWDTAPHYGGQKAESEEALAKAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIQDN >LPERR09G04560.3 pep chromosome:Lperr_V1.4:9:6355092:6360851:-1 gene:LPERR09G04560 transcript:LPERR09G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGATKNIRKPKPWKHPQPITMSQLSKMREEFWDTAPHYGGQKEIWDALRVAAESEEALAKAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIQDN >LPERR09G04570.1 pep chromosome:Lperr_V1.4:9:6365252:6375318:1 gene:LPERR09G04570 transcript:LPERR09G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLPCGWRLLFLVVLGLLAEVHGSGAKAASLPRLFPAEVRTLRRIAEKLGISRWNFSVDPCNSTSDDGFGGTLNCDCSFYNHTFCHVTSLSLEGQNFTGELPPDFADFPNLLQLDLNRSLFHGGVPDQWARMKLQGLSLMGNNLSGPFPMVLTKITTLTNLSIEGNNFYGPIPSEIGHLMQMEKLILSANEFSGPLPAALALLTNLTDLRISDLRGRGSVFPDLSGLVSMKTIILRNCSISGSIPSYIGNMKNLKHLDLTFNKLTGEIPASFANMGGVDYMDISFNNFTMGSSDRTSLHINCGDKEAIINGTKYEADTEPKGASLLYLSPGSNWAFSSTGNFMDNNINDDNYIATSASKLTMPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYYSLGKRRFNVFIQGRMVLEDFDIEQSAGGAAATVIKTFTTNVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFQVPLAVEPPKIGSGKRLSRISQAFLVGVPIIAIFTAFFAGFYWIKWRRKSSTHKDLRAFDLQTGSFTLRQIKAATSNFDVANKIGEGGFGSVYKGLLSDGIIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGHQLLLVYEYMENNCLARALFVEQYRLSLDWPTRHKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEGDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLYERGNLLELVDPDLGSDYSTEEALVMLNVALLCTNAAPTLRPKMSKVVSLLDGHTPLQPFLSDLTLAANSMSSSGLRRNFWQNTSESQSMTAQASYRNTNESSSSLDIDGSLRP >LPERR09G04580.1 pep chromosome:Lperr_V1.4:9:6366128:6376649:-1 gene:LPERR09G04580 transcript:LPERR09G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLLFLKPFDVYPPRPLSASSSSPTSAPPPPLLRVSNPKVLSYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTSPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRKRSTTGESSHLINCRSSGLRVATSTGSTAAMLSAGGFVMPISSRELQFMIREPISPRDADKPLLHGLVKQDQHILVVWYNQDGAVYFDGSHVMHSIQHGDTLEISSDAPILKISKASDMAEGMVVEGAVTIQSASKAIVTGGVAWINGEVPT >LPERR09G04590.1 pep chromosome:Lperr_V1.4:9:6378012:6384490:1 gene:LPERR09G04590 transcript:LPERR09G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEPAPAEVKIADGEVNLFQENESKAAKEREEAVLFGSDKSTAVANGAVNAADLAPPKDAKEDWPEARKTHSFFFVKIRSLEDPKLKEKLDQAEKDFQKKIQARSQIFDAIKAKKNERFGIISELKPLSAENKQYNEAVSEKLKEIEPLRNRLGKFRDENNAVRAQGAGICSSIEELDQTIKRLHDRISHESISLDEEKRLIKQISQLKKTRPQVIAASANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIEAEIASLQEDLTAATARKDKAYESLTQLRHARDAYNNSFHQNRLLLSKARDLASRSELAEVQELHKTQVDKIMAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAAPAEQEPIQLKMPAKQAKEVPAPQADAAPKDEPRAKAAAKSAKAKSSLDADDDYEVESPKEKPKPKEVDLAKLKEIKRQEEIEKNRLALERKKKQAEKQAAKAAARAQKEAERKLQREEMRARRRAEAADTEEPTESDNRSDGAAGAQAEDDSAPASAPVRREQNQNVRHSRNVVTRSKAPLPKAILKRKKAHSYWSWAGPAAAVAAMLVALLAVLGYYQYYLPASASN >LPERR09G04600.1 pep chromosome:Lperr_V1.4:9:6386432:6387187:1 gene:LPERR09G04600 transcript:LPERR09G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRTATTSPFGEGRITMRKTAAKPKPSASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >LPERR09G04610.1 pep chromosome:Lperr_V1.4:9:6389449:6392068:-1 gene:LPERR09G04610 transcript:LPERR09G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEALRMVFSREFWRMAILWTISLLYSYILLFFFRRGAPVPRRRRRRPRSDDNDGDDTGLGGRRPICVVTGATSGLGKAASAALAREGYHVVLAGRSSQLLSETVQEIRSRQPDAHVEAFQVDLTSFKSIKKFEISLNQWIQDSNMEHSIQLLINNAGMLAKSQRITEDSFDEMMQANYIGPFVLTNTLLPLLKNSSTPSRVVNLTSFTHRCVCLLMFSYELHRQLHLSPGVSVMAADPGVVETGIMRELPPCLSWFALSVLRVLNLLQQPDTGVGAVLDAAFALPEASGKYFFGGKGRTISSSQLSYDIEVAKKLWAESLAVFNELQIGESELRTS >LPERR09G04610.2 pep chromosome:Lperr_V1.4:9:6389449:6392068:-1 gene:LPERR09G04610 transcript:LPERR09G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEALRMVFSREFWRMAILWTISLLYSYILLFFFRRGAPVPRRRRRRPRSDDNDGDDTGLGGRRPICVVTGATSGLGKAASAALAREGYHVTVQEIRSRQPDAHVEAFQVDLTSFKSIKKFEISLNQWIQDSNMEHSIQLLINNAGMLAKSQRITEDSFDEMMQANYIGPFVLTNTLLPLLKNSSTPSRVVNLTSFTHRCVCLLMFSYELHRQLHLSPGVSVMAADPGVVETGIMRELPPCLSWFALSVLRVLNLLQQPDTGVGAVLDAAFALPEASGKYFFGGKGRTISSSQLSYDIEVAKKLWAESLAVFNELQIGESELRTS >LPERR09G04610.3 pep chromosome:Lperr_V1.4:9:6389449:6392068:-1 gene:LPERR09G04610 transcript:LPERR09G04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEALRMVFSREFWRMAILWTISLLYSYILLFFFRRGAPVPRRRRRRPRSDDNDGDDTGLGGRRPICVVTGATSGLGKAASAALAREGYHVVLAGRSSQLLSEVSFGALARCVMLSSTIFIIVVIFAKQTVQEIRSRQPDAHVEAFQVDLTSFKSIKKFEISLNQWIQDSNMEHSIQLLINNAGMLAKSQRITEDSFDEMMQANYIGPFVLTNTLLPLLKNSSTPSRVVNLTSFTHRCVCLLMFSYELHRQLHLSPGVSVMAADPGVVETGIMRELPPCLSWFALSVLRVLNLLQQPDTGVGAVLDAAFALPEASGKYFFGGKGRTISSSQLSYDIEVAKKLWAESLAVFNELQIGESELRTS >LPERR09G04610.4 pep chromosome:Lperr_V1.4:9:6389449:6392068:-1 gene:LPERR09G04610 transcript:LPERR09G04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEALRMVFSREFWRMAILWTISLLYSYILLFFFRRGAPVPRRRRRRPRSDDNDGDDTGLGGRRPICVVTGATSGLGKAASAALAREGYHVTVQEIRSRQPDAHVEAFQVDLTSFKSIKKFEISLNQWIQDSNMEHSIQLLINNAGMLAKSQRITEDSFDEMMQANYIGPFVLTNTLLPLLKNSSTPSRVVNLTSFTHRCGVVETGIMRELPPCLSWFALSVLRVLNLLQQPDTGVGAVLDAAFALPEASGKYFFGGKGRTISSSQLSYDIEVAKKLWAESLAVFNELQIGESELRTS >LPERR09G04620.1 pep chromosome:Lperr_V1.4:9:6394034:6399215:-1 gene:LPERR09G04620 transcript:LPERR09G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSTTSSSNGGGGLPSISVSGGGGGGISSPFPDLGVSLTAAELREAAYEVLVASSRTTGGKPLTYIPQSAAAASPASPASASSVNSSSTSSSPALFQRSLTSFSTSKMKKALGLKSSASSKGGSGSSISSPGSGGGGSKAAQRRPATVGELMRVQMQVSEPADARIRRGLLRIAASQLGRRAEAMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPLVPLNKSDASAQRLRQIIRGAYDRPLETGKNSESMQVLRSACMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNNDGTVVDEIDEVMELLKKTWVILGINQMLHNLCFAWALFNHFVMYGQDDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISNEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVERNLKQETWNPGANRENIAPSSVEMLRVVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLYVSKAKSGCGTRNTFMPQLPPLTRCEVGSNLLFKKKEKPQNPQYRGSQNGTANGADPLALPQLCVRLNTLQFMRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYVGDVASSRVEILLRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKSLKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSAAKNRLPLPPTTGHWSPNEANTVLRVLCYRYDETATRFLKKTYNLPKKI >LPERR09G04630.1 pep chromosome:Lperr_V1.4:9:6408102:6413171:-1 gene:LPERR09G04630 transcript:LPERR09G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSGVRFMPAEISRMEKLIKNKKERVLDENFCQKLAEEFNCSPARVGSKALHAVQVQEWLRHKFPASTVIPPPLPTRSEEKALASQASALVSEEKTPSSEENALAVDTSISNDEGEVSPDLPIDKLPETEDMEFEARSAKDFAWYDVATFLAYRKLSSGEFEVRVRFQGYGAEEDEWINVRKAIRLQSIPLESSECRLIRKGDLVLCFKESNDDALHFDAHVLEVQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKYA >LPERR09G04640.1 pep chromosome:Lperr_V1.4:9:6416706:6422517:1 gene:LPERR09G04640 transcript:LPERR09G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDSAAAAADPKKRRRVGFSGIDAGVEANECMKVFIDSLVVTNLPLPFDAARNPDEVGSENSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISTISFHAYADISFEETSDGGKGITDLKPVLQNIFGENLVEKDEFLKTFSEECEYISNVVTDGKVINNDASIDQDSAVEIVRVELQGAAAFLYCRLVPLVLLLVEGSTPIDITEHGWEMLLVVKKAAQATSSPKFLVLGFAAVHHFYHYPDSTRLRISQILVLPPYQGEGHGLRLLDTINSIAESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALSSMVSSLKGTNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGTNGKRLLQMPSNFESETCFAVYWTQEGLDADDQTVELQPEDLKTQEQQLNEVVDSQMEEIIEVAKNVTSRGKDKLGVSC >LPERR09G04650.1 pep chromosome:Lperr_V1.4:9:6423178:6426651:-1 gene:LPERR09G04650 transcript:LPERR09G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPTSEPSVGPTPRLVKLIPSTSSLRLLQLYLSRFHALLRSRGGEGEASTRLGVGVGAGEMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >LPERR09G04660.1 pep chromosome:Lperr_V1.4:9:6438368:6449372:-1 gene:LPERR09G04660 transcript:LPERR09G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRFAVLVVLAAAHGAVGQTGFLSIDCGLELDNTTSTTRGYKDPDEGIFYVADGGYVDGGENHRVAADQEKGHFRSDLTVRSFPSGVRNCYALPTVAGTKYLVRVVAIYGNYDGKNSSSSIQFELHLGVNYWDTVRADGDEVYEAMFVAWAGWLGTGVPRQHRRRHAVRVVPDDPYDRYWWQMRSDPLWMNKSTGSTIKQNSKFAVPSHVMQTAVEGVSNGTIIKVTRQDKTANKFMIFAHFADFQDSELRRFNVSINDIEPSLQISPPYLSTGTASNTEWYSTKNGMYTITLVATSASKLPPMLNAFEIYTLIPNDNPMTFPKDFDIIMSIKLEYGIRKDWTGDPCFPAAWEGAKCSNSSGNTRRIISLDLSYSNLHGAISNNFTLFTAFGSDRDTCNKSIHDINTSPPKSKENRAAILAISVVVPVMAIAFLALAYLIWRQKRKRNLSADVVPRETELEIAPAHRKNHGEALQKVETRRFTYKGLEKLTNKFEQFIGQGGFGLVYYGRLEDRTEVAVKMRSELSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMSQGTLYDHLRGNNGVRETLNWRTRIRVAVEAAQGLDYLHKGCSPPIIHRDVKTQNILLGQNLQAKIADFGLSKTYLSDTQTHISVSPAGSAGFMDPEYYHTGRLTESSDIYSFGVVLLEIVTGESPILPGLGHIVQRVKKKIEAGNIGLVADAQLRGAYDVHSMWKVVDTALACTADVGAQRPTMAAVVIQLKESLALEEAHTDSGFHGSTGILSETTMSTSTFGPSAR >LPERR09G04660.2 pep chromosome:Lperr_V1.4:9:6438368:6443744:-1 gene:LPERR09G04660 transcript:LPERR09G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDIIMSIKLEYGIRKDWTGDPCFPAAWEGAKCSNSSGNTRRIISLDLSYSNLHGAISNNFTLFTAFGSDRDTCNKSIHDINTSPPKSKENRAAILAISVVVPVMAIAFLALAYLIWRQKRKRNLSADVVPRETELEIAPAHRKNHGEALQKVETRRFTYKGLEKLTNKFEQFIGQGGFGLVYYGRLEDRTEVAVKMRSELSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMSQGTLYDHLRGNNGVRETLNWRTRIRVAVEAAQGLDYLHKGCSPPIIHRDVKTQNILLGQNLQAKIADFGLSKTYLSDTQTHISVSPAGSAGFMDPEYYHTGRLTESSDIYSFGVVLLEIVTGESPILPGLGHIVQRVKKKIEAGNIGLVADAQLRGAYDVHSMWKVVDTALACTADVGAQRPTMAAVVIQLKESLALEEAHTDSGFHGSTGILSETTMSTSTFGPSAR >LPERR09G04660.3 pep chromosome:Lperr_V1.4:9:6444777:6449372:-1 gene:LPERR09G04660 transcript:LPERR09G04660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRFAVLVVLAAAHGAVGQTGFLSIDCGLELDNTTSTTRGYKDPDEGIFYVADGGYVDGGENHRVAADQEKGHFRSDLTVRSFPSGVRNCYALPTVAGTKYLVRVVAIYGNYDGKNSSSSIQFELHLGVNYWDTVRADGDEVYEAMFVAWAGWLGTGVPRQHRRRHAVLNLRTLGSELYDPALSANKSMCLFDRRNMGSNITIIRYIYINLIPIYEYACNLSVPDDPYDRYWWQMRSDPLWMNKSTGSTIKQNSKFAVPSHVMQTAVEGVSNGTIIKVTRQDKTANKFMIFAHFADFQDSELRRFNVSINDIEPSLQISPPYLSTGTASNTEWYSTKNGMYTITLVATSASKLPPMLNAFEIYTLIPNDNPMTFETN >LPERR09G04660.4 pep chromosome:Lperr_V1.4:9:6444777:6449372:-1 gene:LPERR09G04660 transcript:LPERR09G04660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRFAVLVVLAAAHGAVGQTGFLSIDCGLELDNTTSTTRGYKDPDEGIFYVADGGYVDGGENHRVAADQEKGHFRSDLTVRSFPSGVRNCYALPTVAGTKYLVRVVAIYGNYDGKNSSSSIQFELHLGVNYWDTVRADGDEVYEAMFVAWAGWLGTGVPRQHRRRHAVRVVPDDPYDRYWWQMRSDPLWMNKSTGSTIKQNSKFAVPSHVMQTAVEGVSNGTIIKVTRQDKTANKFMIFAHFADFQDSELRRFNVSINDIEPSLQISPPYLSTGTASNTEWYSTKNGMYTITLVATSASKLPPMLNAFEIYTLIPNDNPMTFETN >LPERR09G04670.1 pep chromosome:Lperr_V1.4:9:6472962:6481022:1 gene:LPERR09G04670 transcript:LPERR09G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRFQLVSMALFVLFTTILLTAARQAVGQAAASPPAGFLSIDCGLEANYSGYKDSKTGIVYVSDEPYIDSGENHRIANEKSSTKTTDLLTLRSFPSGLRNCYTLPTHSGSKYLIRLSFVYGNYDGKDSSSTLKFDLQLGPYPWVTVDKPSDQVYEAVFVAWARWTPVCLVNVGAGTPFVSTVELRLLDDALYPAVMGNQSMSLYTRRKMGNSSDITRYPDDTYDRYWWQMWYFDPLWKNISTVSNIKQSSSFAVPSLVMQTAIVAVDNKTVLNITWEDKTPSGHDLKLFLHFADFQNSQLRQFNTSLNDGEPYQYSPPYLTADALYNVGWLSATDGKYSISLVPTAASKLPPMINALEVYSLISHDSPTTFPVDYETIMAIKLEYGIKKNWMGDPLRDEGSFDSDANMCNKPVIVLSPAGKNARATTIAISVVVPVIAIVVLVLVLFIWKQKRKHNPSTKEPPRVQPELPSAPEIRKQHEGVLQKVENRQFTYNELEKFTNKFERPIGRGGFGPVYYGRLEDNTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEMDHLALVYEYMAQGNLCDHLRGLDYLHKGCSPPIIHRDVKTANILLGQNLQAKIADFGLSKTYHSDTQTHISVTAAGTAGRLTESSDVYSFGIVLLEIATGESPIIPGQGHIVQRVKKKIATGNISLIADTRLGGSYEVSSMWKVVDTALLCTADVGTQRPTMAAVVVQLKESMALEEAYADSGFKGSTSTLSDTTTSMSTYGPTAR >LPERR09G04680.1 pep chromosome:Lperr_V1.4:9:6510289:6517973:1 gene:LPERR09G04680 transcript:LPERR09G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMAMYGNYDGKDSASTLQFDLYLGVHYWVTVHADSAIINEALFVAWASWVPVCLVRTSPGSTPYNDDPYDRWWLPMPSKPTWRNISTESPIELSSTYAVPLPVIQTAVEAVSNNTTLSIIWQDRSHKNEYKAYLHFADFQNTELRQFNIYVHNKFKVDLYSPSYLAPSVVISNPGWYKSDDIDGTYNITLKATATSKLPPMINGLEAYTRIYHVNPQTDLSNSNLHGPISNNFTLLTMLQSLNLSGNQLNGPIPDAFCKGSFIFSFDSEKDTCNPPNPINKSKKAVIVTISVLVPVMAIGALVAAYLIWRTKRKPNVSSNDPPREQEIEIAPASRKEHEDALQKVENRRFTYMQLEKLTNKFHKLIGQGGFGLVYYGRLEDGIEVAVKIRSETSSHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEKDKLALVYEYMAQGSLYDRLRGNNDVRETLSWRTRVGIVVEAAQGLDYLHKGCNLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSETQTHISVIPAGSAGYMDPEYYHTGRLNESSDVYSFGVVLLETVSGEPPILPGQGHITERVKKKIATGNISLVADARLGGAYDVSSVWKVVDIALLCTADIGAQRPTMAAVIVQLKESLALEQARADSGFGGSTSRVSDSMFSTSTFYPSAR >LPERR09G04680.2 pep chromosome:Lperr_V1.4:9:6510289:6517594:1 gene:LPERR09G04680 transcript:LPERR09G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMAMYGNYDGKDSASTLQFDLYLGVHYWVTVHADSAIINEALFVAWASWVPVCLVRTSPGSTPYNDDPYDRWWLPMPSKPTWRNISTESPIELSSTYAVPLPVIQTAVEAVSNNTTLSIIWQDRSHKNEYKAYLHFADFQNTELRQFNIYVHNKFKVDLYSPSYLAPSVVISNPGWYKSDDIDGTYNITLKATATSKLPPMINGLEAYTRIYHVNPQTDLSNSNLHGPISNNFTLLTMLQSLNLSGNQLNGPIPDAFCKGSFIFSFDSEKDTCNPPNPINKSKKAVIVTISVLVPVMAIGALVAAYLIWRTKRKPNVSSNDPPREQEIEIAPASRKEHEDALQKVENRRFTYMQLEKLTNKFHKLIGQGGFGLVYYGRLEDGIEVAVKIRSETSSHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEKDKLALVYEYMAQGSLYDRLRGNNDVRETLSWRTRVGIVVEAAQETVSGEPPILPGQGHITERVKKKIATGNISLVADARLGGAYDVSSVWKVVDIALLCTADIGAQRPTMAAVIVQLKESLALEQARADSGFGGSTSRVSDSMFSTSTFYPSAR >LPERR09G04690.1 pep chromosome:Lperr_V1.4:9:6522804:6525673:-1 gene:LPERR09G04690 transcript:LPERR09G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSRWRLRLRVEERKRKAPSSLGPASASMEKKIKGKSCRPWVPPLTAPPPSRCSPPSTAIAPGSTPGEK >LPERR09G04700.1 pep chromosome:Lperr_V1.4:9:6529007:6543378:-1 gene:LPERR09G04700 transcript:LPERR09G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILSFFAVFVLAAAVPAAGQEGFLNIDCGLEAKHGGYKDPQTGLHFVSDEPYIDGAGEIRRVSPEEARRRPRYMRTLRAFPSGVHNCYMLPTVAGEKYLFRVEFVYGNYDGRNSSSVQFDLYFGVNLWDTVNRAVRQEDEAVFVAPASWLPVCLANTNVTTPFVSYMQLIRLPREVYPIINGPGQSMSRYIRDSMRPVATGITRTPHQYRLTRDRKIKEYPLLETGSLPCALSSLETDSVSSSVSISCLLAAVRCKETSKPTYPDDIYDRFWWPMDLESTWANLSTTLTIRQEPIFKVPETVLQAAITLVGNQTNLTLTYWDDDTDKRQYVVFLHFADFQNTQIRKFNAYINDLLLRPTDMQSLFSPPYGADACVFTSDWYRADDDLYRITLVGSDQSVLPPMLNAFEIYRSMLHDNPMTFPQDLDAIMAIKLQYGIKKNWMGDPCFPTETAWHGVKCRNSAGNSSRIISLDLSFSNLQGSISSNFTLLTALKYLNLTGNQLNGPVPDSLCKRNAGSFIFRYDHDRNICNATVTSPSSSRKRTTVLAISLVAPVLALAIFVLSYMVWKSRRKANISTRHFNREPRLKKGQQSNKYNENHLQTTETVQFTYKELEKFTDKFQRLIGKGGFGPVYYGCLEDGTEVAVKIRSESASRGLDQFLAEVQSLTKVHHRNLVSLVGHCWEKNHLALVYEYMPRGSLRAHLRGESAIGGTLSWAIRMRILLEAAQGLNYLHRGCSLPIIHRDVKTNNILLGRTLHAKIADLGLSKTFLTDTQSHMTTTAGGTIGYIDPKPMMAAVVAQLKECLALEEARADRLVTTVQDRHVRAIPVADSVASVSTFDLSGR >LPERR09G04700.2 pep chromosome:Lperr_V1.4:9:6529007:6543378:-1 gene:LPERR09G04700 transcript:LPERR09G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILSFFAVFVLAAAVPAAGQEGFLNIDCGLEAKHGGYKDPQTGLHFVSDEPYIDGAGEIRRVSPEEARRRPRYMRTLRAFPSGVHNCYMLPTVAGEKYLFRVEFVYGNYDGRNSSSVQFDLYFGVNLWDTVNRAVRQEDEAVFVAPASWLPVCLANTNVTTPFVSYMQLIRLPREVYPIINGPGQSMSRYIRDSMRPVATGITRTPHQYRLTRDRKIKEYPLLETGSLPCALSSLETDSVSSSVSISCLLAAVRCKETSKPTYPDDIYDRFWWPMDLESTWANLSTTLTIRQEPIFKVPETVLQAAITLVGNQTNLTLTYWDDDTDKRQYVVFLHFADFQNTQIRKFNAYINDLLLRPTDMQSLFSPPYGADACVFTSDWYRADDDLYRITLVGSDQSVLPPMLNAFEIYRSMLHDNPMTFPQDLDAIMAIKLQYGIKKNWMGDPCFPTETAWHGVKCRNSAGNSSRIISLDLSFSNLQGSISSNFTLLTALKYLNLTGNQLNGPVPDSLCKRNAGSFIFRYDHDRNICNATVTSPSSSRKRTTVLAISLVAPVLALAIFVLSYMVWKSRRKANISTRHFNREPRLKKGQQSNKYNENHLQTTETVQFTYKELEKFTDKFQRLIGKGGFGPVYYGCLEDGTEVAVKIRSESASRGLDQFLAEVQSLTKVHHRNLVSLVGHCWEKNHLALFQYKGESAIGGTLSWAIRMRILLEAAQGLNYLHRGCSLPIIHRDVKTNNILLGRTLHAKIADLGLSKTFLTDTQSHMTTTAGGTIGYIDPKPMMAAVVAQLKECLALEEARADRLVTTVQDRHVRAIPVADSVASVSTFDLSGR >LPERR09G04700.3 pep chromosome:Lperr_V1.4:9:6529007:6543378:-1 gene:LPERR09G04700 transcript:LPERR09G04700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILSFFAVFVLAAAVPAAGQEGFLNIDCGLEAKHGGYKDPQTGLHFVSDEPYIDGAGEIRRVSPEEARRRPRYMRTLRAFPSGVHNCYMLPTVAGEKYLFRVEFVYGNYDGRNSSSVQFDLYFGVNLWDTVNRAVRQEDEAVFVAPASWLPVCLANTNVTTPFVSYMQLIRLPREVYPIINGPGQSMSRYIRDSMRPVATGITRTPHQYRLTRDRKIKEYPLLETGSLPCALSSLETDSVSSSVSISCLLAAVRCKETSKPTYPDDIYDRFWWPMDLESTWANLSTTLTIRQEPIFKVPETVLQAAITLVGNQTNLTLTYWDDDTDKRQYVVFLHFADFQNTQIRKFNAYINDLLLRPTDMQSLFSPPYGADACVFTSDWYRADDDLYRITLVGSDQSVLPPMLNAFEIYRSMLHDNPMTFPQDLDAIMAIKLQYGIKKNWMGDPCFPTETAWHGVKCRNSAGNSSRIISLDLSFSNLQGSISSNFTLLTALKYLYDHDRNICNATVTSPSSSRKRTTVLAISLVAPVLALAIFVLSYMVWKSRRKANISTRHFNREPRLKKGQQSNKYNENHLQTTETVQFTYKELEKFTDKFQRLIGKGGFGPVYYGCLEDGTEVAVKIRSESASRGLDQFLAEVQSLTKVHHRNLVSLVGHCWEKNHLALVYEYMPRGSLRAHLRGESAIGGTLSWAIRMRILLEAAQGLNYLHRGCSLPIIHRDVKTNNILLGRTLHAKIADLGLSKTFLTDTQSHMTTTAGGTIGYIDPKPMMAAVVAQLKECLALEEARADRLVTTVQDRHVRAIPVADSVASVSTFDLSGR >LPERR09G04700.4 pep chromosome:Lperr_V1.4:9:6529007:6543378:-1 gene:LPERR09G04700 transcript:LPERR09G04700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILSFFAVFVLAAAVPAAGQEGFLNIDCGLEAKHGGYKDPQTGLHFVSDEPYIDGAGEIRRVSPEEARRRPRYMRTLRAFPSGVHNCYMLPTVAGEKYLFRVEFVYGNYDGRNSSSVQFDLYFGVNLWDTVNRAVRQEDEAVFVAPASWLPVCLANTNVTTPFVSYMQLIRLPREVYPIINGPGQSMSRYIRDSMRPVATGITRTPHQYRLTRDRKIKEYPLLETGSLPCALSSLETDSVSSSVSISCLLAAVRCKETSKPTYPDDIYDRFWWPMDLESTWANLSTTLTIRQEPIFKVPETVLQAAITLVGNQTNLTLTYWDDDTDKRQYVVFLHFADFQNTQIRKFNAYINDLLLRPTDMQSLFSPPYGADACVFTSDWYRADDDLYRITLVGSDQSVLPPMLNAFEIYRSMLHDNPMTFPQDLDAIMAIKLQYGIKKNWMGDPCFPTETAWHGVKCRNSAGNSSRIISLDLSFSNLQGSISSNFTLLTALKYLYDHDRNICNATVTSPSSSRKRTTVLAISLVAPVLALAIFVLSYMVWKSRRKANISTRHFNREPRLKKGQQSNKYNENHLQTTETVQFTYKELEKFTDKFQRLIGKGGFGPVYYGCLEDGTEVAVKIRSESASRGLDQFLAEVQSLTKVHHRNLVSLVGHCWEKNHLALFQYKGESAIGGTLSWAIRMRILLEAAQGLNYLHRGCSLPIIHRDVKTNNILLGRTLHAKIADLGLSKTFLTDTQSHMTTTAGGTIGYIDPKPMMAAVVAQLKECLALEEARADRLVTTVQDRHVRAIPVADSVASVSTFDLSGR >LPERR09G04710.1 pep chromosome:Lperr_V1.4:9:6555652:6566248:-1 gene:LPERR09G04710 transcript:LPERR09G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALFVVLTLQVAIPAASQEGFLNIDCGLEANNSGYTDPQTGLFFVSDEPYVDGGEVSRLSPEDTTIGSQPRYAHTVRTFPSGTRNCYMLPTVQGTKYLLRVGFIYGSYEYLMISNSLSAAQFELHLGVEFWTPVDRVKAMEQEINEAVLMAPASWLPVCLLSTGSGTPFVSYMQVIQLPEKLYPPMEPDETLSNLATTSTIELEDTFAVPMPVLQTAITAAGNMTKLNITTWHDSSLTEYMVFLHFADFQNSQFRQFDADMNDNQLGTKNSISAYHPSYLAAGCVFSSNWLRANDGDYIITLVGTAVSVLPPMLNAFEIYKRIPHKNLMTFHVDFDTIMAIKLEYGIKKNWIGDPCFHSWDGVECSDSSGNNTRIISLDLSNCNLHGVISNRNLSGNQLNGPIPDSLCKTKAGLAIYRYGSDGNICNATVALSPSRKRATILVVSVVAVVLVVFTIFVLAYLVWRAKTKPNISIHDINEELRLKYEIQRRQYHENHLQTIGTRRFSYKDLEKFTSKFKQFVGKGGFGPVYYGCLDDGTEVAVKIRSKSASSQGLDQFLAEIRSLTKVHHRNLVVLIGYCWEKDHLALVYEYMSRGNLHDHLKGQNDVGETLNWATRLRIVLESAQGLNYLHKGCDLPIIHRDVKSNNILLGQNLQAKIADLGLCKTFLTDTQTHMTATPAGTIEVATGESPTLPGQDHIVQRVKQKITSGDINSVADVRLGGAYDICSMWKVVNTAISCTIDDASERPMMASVVAELMECLALEEARMGRPGRVSQLDRLCPVMSSPGQADDSMASVSTFDLSAR >LPERR09G04710.2 pep chromosome:Lperr_V1.4:9:6555652:6566248:-1 gene:LPERR09G04710 transcript:LPERR09G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALFVVLTLQVAIPAASQEGFLNIDCGLEANNSGYTDPQTGLFFVSDEPYVDGGEVSRLSPEDTTIGSQPRYAHTVRTFPSGTRNCYMLPTVQGTKYLLRVGFIYGSYEYLMISNSLSAAQFELHLGVEFWTPVDRVKAMEQEINEAVLMAPASWLPVCLLSTGSGTPFVSYMQVIQLPEKLYPPVSSPDQTMSRYKRYSMGPATGITRYPDDKYDRFWWQMEPDETLSNLATTSTIELEDTFAVPMPVLQTAITAAGNMTKLNITTWHDSSLTEYMVFLHFADFQNSQFRQFDADMNDNQLGTKNSISAYHPSYLAAGCVFSSNWLRANDGDYIITLVGTAVSVLPPMLNAFEIYKRIPHKNLMTFHVDFDTIMAIKLEYGIKKNWIGDPCFHSWDGVECSDSSGNNTRIISLDLSNCNLHGVISNRNLSGNQLNGPIPDSLCKTKAGLAIYRYGSDGNICNATVALSPSRKRATILVVSVVAVVLVVFTIFVLAYLVWRAKTKPNISIHDINEELRLKYEIQRRQYHENHLQTIGTRRFSYKDLEKFTSKFKQFVGKGGFGPVYYGCLDDGTEVAVKIRSKSASSQGLDQFLAEIRSLTKVHHRNLVVLIGYCWEKDHLALVYEYMSRGNLHDHLKGQNDVGETLNWATRLRIVLESAQGLNYLHKGCDLPIIHRDVKSNNILLGQNLQAKIADLGLCKTFLTDTQTHMTATPAGTIGYIDPQYHRTCRLTERIDVYSFGVVLLEVATGESPTLPGQDHIVQRVKQKITSGDINSVADVRLGGAYDICSMWKVVNTAISCTIDDASERPMMASVVAELMECLALEEARMGRPGRVSQLDRLCPVMSSPGQADDSMASVSTFDLSAR >LPERR09G04710.3 pep chromosome:Lperr_V1.4:9:6555652:6563845:-1 gene:LPERR09G04710 transcript:LPERR09G04710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFLNIDCGLEANNSGYTDPQTGLFFVSDEPYVDGGEVSRLSPEDTTIGSQPRYAHTVRTFPSGTRNCYMLPTVQGTKYLLRVGFIYGSYEYLMISNSLSAAQFELHLGVEFWTPVDRVKAMEQEINEAVLMAPASWLPVCLLSTGSGTPFVSYMQVIQLPEKLYPPVSSPDQTMSRYKRYSMGPATGITRYPDDKYDRFWWQMEPDETLSNLATTSTIELEDTFAVPMPVLQTAITAAGNMTKLNITTWHDSSLTEYMVFLHFADFQNSQFRQFDADMNDNQLGTKNSISAYHPSYLAAGCVFSSNWLRANDGDYIITLVGTAVSVLPPMLNAFEIYKRIPHKNLMTFHVDFDTIMAIKLEYGIKKNWIGDPCFHSWDGVECSDSSGNNTRIISLDLSNCNLHGVISNRNLSGNQLNGPIPDSLCKTKAGLAIYRYGSDGNICNATVALSPSRKRATILVVSVVAVVLVVFTIFVLAYLVWRAKTKPNISIHDINEELRLKYEIQRRQYHENHLQTIGTRRFSYKDLEKFTSKFKQFVGKGGFGPVYYGCLDDGTEVAVKIRSKSASSQGLDQFLAEIRSLTKVHHRNLVVLIGYCWEKDHLALVYEYMSRGNLHDHLKGQNDVGETLNWATRLRIVLESAQGLNYLHKGCDLPIIHRDVKSNNILLGQNLQAKIADLGLCKTFLTDTQTHMTATPAGTIGYIDPQYHRTCRLTERIDVYSFGVVLLEVATGESPTLPGQDHIVQRVKQKITSGDINSVADVRLGGAYDICSMWKVVNTAISCTIDDASERPMMASVVAELMECLALEEARMGRPGRVSQLDRLCPVMSSPGQADDSMASVSTFDLSAR >LPERR09G04710.4 pep chromosome:Lperr_V1.4:9:6555652:6566248:-1 gene:LPERR09G04710 transcript:LPERR09G04710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALFVVLTLQVAIPAASQEGFLNIDCGLEANNSGYTDPQTGLFFVSDEPYVDGGEVSRLSPEDTTIGSQPRYAHTVRTFPSGTRNCYMLPTVQGTKYLLRVGFIYGSYEYLMISNSLSAAQFELHLGVEFWTPVDRVKAMEQEINEAVLMAPASWLPVCLLSTGSGTPFVSYMQVIQLPEKLYPPMEPDETLSNLATTSTIELEDTFAVPMPVLQTAITAAGNMTKLNITTWHDSSLTEYMVFLHFADFQNSQFRQFDADMNDNQLGTKNSISAYHPSYLAAGCVFSSNWLRANDGDYIITLVGTAVSVLPPMLNAFEIYKRIPHKNLMTFHVDFDTIMAIKLEYGIKKNWIVSIHDINEELRLKYEIQRRQYHENHLQTIGTRRFSYKDLEKFTSKFKQFVGKGGFGPVYYGCLDDGTEVAVKIRSKSASSQGLDQFLAEIRSLTKVHHRNLVVLIGYCWEKDHLALVYEYMSRGNLHDHLKGQNDVGETLNWATRLRIVLESAQGLNYLHKGCDLPIIHRDVKSNNILLGQNLQAKIADLGLCKTFLTDTQTHMTATPAGTIEVATGESPTLPGQDHIVQRVKQKITSGDINSVADVRLGGAYDICSMWKVVNTAISCTIDDASERPMMASVVAELMECLALEEARMGRPGRVSQLDRLCPVMSSPGQADDSMASVSTFDLSAR >LPERR09G04710.5 pep chromosome:Lperr_V1.4:9:6555652:6566248:-1 gene:LPERR09G04710 transcript:LPERR09G04710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALFVVLTLQVAIPAASQEGFLNIDCGLEANNSGYTDPQTGLFFVSDEPYVDGGEVSRLSPEDTTIGSQPRYAHTVRTFPSGTRNCYMLPTVQGTKYLLRVGFIYGSYEYLMISNSLSAAQFELHLGVEFWTPVDRVKAMEQEINEAVLMAPASWLPVCLLSTGSGTPFVSYMQVIQLPEKLYPPVSSPDQTMSRYKRYSMGPATGITRYPDDKYDRFWWQMEPDETLSNLATTSTIELEDTFAVPMPVLQTAITAAGNMTKLNITTWHDSSLTEYMVFLHFADFQNSQFRQFDADMNDNQLGTKNSISAYHPSYLAAGCVFSSNWLRANDGDYIITLVGTAVSVLPPMLNAFEIYKRIPHKNLMTFHVDFDTIMAIKLEYGIKKNWIVSIHDINEELRLKYEIQRRQYHENHLQTIGTRRFSYKDLEKFTSKFKQFVGKGGFGPVYYGCLDDGTEVAVKIRSKSASSQGLDQFLAEIRSLTKVHHRNLVVLIGYCWEKDHLALVYEYMSRGNLHDHLKGQNDVGETLNWATRLRIVLESAQGLNYLHKGCDLPIIHRDVKSNNILLGQNLQAKIADLGLCKTFLTDTQTHMTATPAGTIGYIDPQYHRTCRLTERIDVYSFGVVLLEVATGESPTLPGQDHIVQRVKQKITSGDINSVADVRLGGAYDICSMWKVVNTAISCTIDDASERPMMASVVAELMECLALEEARMGRPGRVSQLDRLCPVMSSPGQADDSMASVSTFDLSAR >LPERR09G04720.1 pep chromosome:Lperr_V1.4:9:6574029:6577005:1 gene:LPERR09G04720 transcript:LPERR09G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHETGVFKREIITEDAAMAFVNSYQIPDRYNRADEVQVGAVVGNDKVTNEGYGLPVVDMARLLDPEHREKEIAWLGSACRDWGFFQLINHGVDEVVIEQMKGNTLQFFELPLEDKNSVAARPYSIEGFGHHFNRLSTDKLEWAESMLLNLQPTNERKIEFWPSKPPTFRDSIENYSKEMWNLATQLLTFMASDLGVDQETLLAAFRGKRQSSSLHRYPPCRHPEKVMGAAPHTDGLALTILLHVDDTPGLQISKDGLWHPVQPLPGAFVINIGEILEVLTNGSYKSVLHRVLVDAERGRATIVVFHDASIGGVVKPLPELGEARYRSIERPEFSKGFLRALSHGKERFLDTLKI >LPERR09G04730.1 pep chromosome:Lperr_V1.4:9:6582804:6591447:1 gene:LPERR09G04730 transcript:LPERR09G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFALMFLLAAVHPMLLLHDYVHGSEPDNQGFEFVSLNCGLRDPPYRDEVTNISYVSDDAYITTGEKHDVSSGYSSLYKSDLTLRSFPSGDWNCYTIPSPARGRKYLVRARFLHGNYDGRGNTRVMFDLYIGLDYWFTVYITNAAEKYTAEAITVTVASSLSVCLRNTGHGTPFISSLELRPMHSEMYPVVKVNRSLGVATRINMGASNLLRCPFSTTSTESNAISDSSLATESGASTVFSVSIVQQLDKLQH >LPERR09G04730.2 pep chromosome:Lperr_V1.4:9:6582804:6589645:1 gene:LPERR09G04730 transcript:LPERR09G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFALMFLLAAVHPMLLLHDYVHGSEPDNQGFEFVSLNCGLRDPPYRDEVTNISYVSDDAYITTGEKHDVSSGYSSLYKSDLTLRSFPSGDWNCYTIPSPARGRKYLVRARFLHGNYDGRGNTRVMFDLYIGLDYWFTVYITNAAEKYTAEAITVTVASSLSVCLRNTGHGTPFISSLELRPMHSEMYPVVKVNRSLGVATRINMGASNLLRSCLAIAESQRRIMEC >LPERR09G04740.1 pep chromosome:Lperr_V1.4:9:6622381:6628981:1 gene:LPERR09G04740 transcript:LPERR09G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHGNYDGTEHNLVRSPLVFDVYMGLHFWDRISVNNKAKTYIAEVIVVAEVNSISVCLMDIGKGTPFVSSLEMRPMKSSLYPASMRNQSIALQERHSRGTNSLVRYPDDPYDRLWWPWPGSSGLLNISTNETIKRYQDDIFEVPSKVLQTAVTSPATSIPLNFSWTAPTIWPEAVPGYYVNIHYTEFQKPQGHQFNTYYNGYLWTTYNPVIPPYLLAGYKYSTSQYTSDNSGFYNITLAAANTSILPPILGAFEIHYLVQHDSILTSPEDVDAMISMKTEYQVKKNWMGNPCLPESYTWIGLKCQSDGVMSRVISLNLSYNDLNGPVPDSLVNLPYLLTLFDTTNGDPCNRKSPKKKSTTVITAAIVASAILVAFLISAFIVCYFCRKQARNMLLRCADVPGTTSKEDREDNNIHLSDGRQFTFKELTEMTNNFSMCIGEGGFGPVFHGRLKEGCSSPTSTIGKGMEEFLAEVESLTTVHHRDKNHLALIYEYMPNGSLYDHIRGLVICKLHVGFYYAMPLLKKIVENMQLPKHCDGVIEHELHLKLHKSHNILLGFDMVAKISDFGLSRSYLNAAQSHISVSAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEVVTGEPPIISTAFHIVQRVKEKVAMGNIEGIVDPRFGVEYDPNSIWKVVDIALLCTKEASQERPTMSTVVAQLKDALALEEARAGGSIGDISQGGANFDLSISSLLSER >LPERR09G04740.2 pep chromosome:Lperr_V1.4:9:6622381:6628981:1 gene:LPERR09G04740 transcript:LPERR09G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHGNYDGTEHNLVRSPLVFDVYMGLHFWDRISVNNKAKTYIAEVIVVAEVNSISVCLMDIGKGTPFVSSLEMRPMKSSLYPASMRNQSIALQERHSRGTNSLVRYPDDPYDRLWWPWPGSSGLLNISTNETIKRYQDDIFEVPSKVLQTAVTSPATSIPLNFSWTAPTIWPEAVPGYYVNIHYTEFQKPQGHQFNTYYNGYLWTTYNPVIPPYLLAGYKYSTSQYTSDNSGFYNITLAAANTSILPPILGAFEIHYLVQHDSILTSPEDVDAMISMKTEYQVKKNWMGNPCLPESYTWIGLKCQSDGVMSRVISLNLSYNDLNGPVPDSLVNLPYLLTLFDTTNGDPCNRKSPKKKSTTVITAAIVASAILVAFLISAFIVCYFCRKQARNMLLRCADVPGTTSKEDREDNNIHLSDGRQFTFKELTEMTNNFSMCIGEGGFGPVFHGRLKEGCSSPTSTIGKGMEEFLAELNDCAPSVSCFLVGYCSDKNHLALIYEYMPNGSLYDHIRGKHAIAQTLRWCDRARIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGFDMVAKISDFGLSRSYLNAAQSHISVSAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEVVTGEPPIISTAFHIVQRVKEKVAMGNIEGIVDPRFGVEYDPNSIWKVVDIALLCTKEASQERPTMSTVVAQLKDALALEEARAGGSIGDISQGGANFDLSISSLLSER >LPERR09G04740.3 pep chromosome:Lperr_V1.4:9:6622381:6628981:1 gene:LPERR09G04740 transcript:LPERR09G04740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHGNYDGTEHNLVRSPLVFDVYMGLHFWDRISVNNKAKTYIAEVIVVAEVNSISVCLMDIGKGTPFVSSLEMRPMKSSLYPASMRNQSIALQERHSRGTNSLVRYPDDPYDRLWWPWPGSSGLLNISTNETIKRYQDDIFEVPSKVLQTAVTSPATSIPLNFSWTAPTIWPEAVPGYYVNIHYTEFQKPQGHQFNTYYNGYLWTTYNPVIPPYLLAGYKYSTSQYTSDNSGFYNITLAAANTSILPPILGAFEIHYLVQHDSILTSPEDVDAMISMKTEYQVKKNWMGNPCLPESYTWIGLKCQSDGVMSRVISLNLSYNDLNGPVPDSLVNLPYLLTLFDTTNGDPCNRKSPKKKSTTVITAAIVASAILVAFLISAFIVCYFCRKQGTTSKEDREDNNIHLSDGRQFTFKELTEMTNNFSMCIGEGGFGPVFHGRLKEGCSSPTSTIGKGMEEFLAELNDCAPSVSCFLVGYCSDKNHLALIYEYMPNGSLYDHIRGKHAIAQTLRWCDRARIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGFDMVAKISDFGLSRSYLNAAQSHISVSAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEVVTGEPPIISTAFHIVQRVKEKVAMGNIEGIVDPRFGVEYDPNSIWKVVDIALLCTKEASQERPTMSTVVAQLKDALALEEARAGGSIGDISQGGANFDLSISSLLSER >LPERR09G04740.4 pep chromosome:Lperr_V1.4:9:6596020:6622622:1 gene:LPERR09G04740 transcript:LPERR09G04740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNYMQPTYSTFGASSLYNISLVASNASVLPPMLNAIEVYYMIPHNESTTSPGDVDAVMAIKSQYHVSKNWMGDPCLPKEYMWNGLQCRPDGVESKIISLDLSGNHLDGTIPEALCTKGSLNLRYDTNNGDPCNGKSPKKNISVLTVAIVTPVAAVLLPTEIPNSGTHVDIKGHILMSKDHEFTYEDLVKITRKFSECIGEGGFGPVYHGQLQSTEGSIHVAVKMCSRKSVHGQGIREFLAEVDSLKTVHHKHLVMLIGYCTNKNHLALIYEYMPNGNMHAKISDFGLSKSYNNEAQTHISVTAAGTTGYIDPEYYFSSRLTVKSDVFSFGVVLLEILTGEPPILPGVGHVVKHVEQKVSNGDISEVVDPRLKDAYDIGSVWKVVDIALLCTKDVSDERPTMIEVVDQLKDALTLEEIGKFLAFPSCKATATSRKTSNCGLPSGSSYLDEKTGLNYISDDGYIYTGENNNISQEYNGEELLKTGLNLRSFPTGVETATPCLLQPLAKSI >LPERR09G04740.5 pep chromosome:Lperr_V1.4:9:6596020:6628981:1 gene:LPERR09G04740 transcript:LPERR09G04740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNYMQPTYSTFGASSLYNISLVASNASVLPPMLNAIEVYYMIPHNESTTSPGDVDAVMAIKSQYHVSKNWMGDPCLPKEYMWNGLQCRPDGVESKIISLDLSGNHLDGTIPEALCTKGSLNLRYDTNNGDPCNGKSPKKNISVLTVAIVTPVAAVLLPTEIPNSGTHVDIKGHILMSKDHEFTYEDLVKITRKFSECIGEGGFGPVYHGQLQSTEGSIHVAVKMCSRKSVHGQGIREFLAEVDSLKTVHHKHLVMLIGYCTNKNHLALIYEYMPNGSLDYLHTGCVLPIIHRDLKSHNILLGFDMVAKISDFGLSRSYLNAAQSHISVSAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEVVTGEPPIISTAFHIVQRVKEKVAMGNIEGIVDPRFGVEYDPNSIWKVVDIALLCTKEASQERPTMSTVVAQLKDALALEEARAGGSIGDISQGGANFDLSISSLLSER >LPERR09G04750.1 pep chromosome:Lperr_V1.4:9:6635687:6637932:1 gene:LPERR09G04750 transcript:LPERR09G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLFPEFAPLHYDLSEEQWSELLRELEEEKEEMMKLVLARFLKRKSNAIKKKQKPDNIDLSANEEAKAMFDFSRTERPIFLPYEFCAKEDDEHHDQQPTVGQGSESGEHEDDGASNHEDKEKVLESHQRTTQDIIQVTKSPSLSNTSAKSNSPKKEKHAGSMRSCSLRKQCWVPKSRGPAKISPVHGSGGSLSKKIWVPKSKNRNKDSVVQQLVSMLGETSSAKFVRQNTPKSSGGNNSKLGVLKTIQLGLPIPKIEKQPRHPLGLSNWQKRKLEKLSAQELKKRSMAWVPKRRIQFQGKDDANAEGVAKTKESKQTCGELLSQRFAPDLHRPYVSYIPSMTMSRKTIFGYVLLSIIFLFLSLDVISIFIL >LPERR09G04760.1 pep chromosome:Lperr_V1.4:9:6639757:6640154:-1 gene:LPERR09G04760 transcript:LPERR09G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTRHRRRVALAVCRHTAVVSRAVKRLPEEIRWLGWGTLRPWGHGPRPLEPTGRRVTDDNGNCEDSIAFGMQIGHVATAKNVVLNPCLASMESNGVSESPMMVRNSTIEGEIQRFLMQKCHSVVRDEID >LPERR09G04770.1 pep chromosome:Lperr_V1.4:9:6654059:6690000:-1 gene:LPERR09G04770 transcript:LPERR09G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFAAFVLVAVAPAVCQPGFLTIDCGLEANYSGGYINADYGLDFVPDSPYINAGENRRVASEYVNGTIRSDLTLRIFPDGVRNCYTLPTVAGKKYLVRVVAFYGNYDGNNSASTLQFDLHLGVNYWDTVYANDDYDVYEALFVAWDDRAAVCLINTGQGTPFASSVELRTLADSLYPDHVNTNQSMAMYPTDPYDRFWWGEDTNPMWENFNTTLDIRQDSSFEVPVPILQKAVTVAGNGTMMNVTWEDTRLRYFSVFLHFADFESQARKFTVAINSEPPKNYTLPYRTAFCVYSTSWYWNTDGQYNVSLVATDDSKLPPMFNAYEIYTLITHDTPTTYSKDVNAIMAIQLEYAIKKNWMGDPCFPEQYKWDGVKCKSTSDNIQRIIYIDLSNSNLHGAISRNFSSLTALENLTGNQLNGPVPDSLCKLTAGGSYESGRDMCNNTTGASKNRTTILAISVIAPVLVVLGVIFIGYLIWRARRKLNVSNNPPREFQLTNPPESEKYDWDHLQKVENRQFTYEELKNFTNNFQRSIGKGGFGCVYHGCLEDNTEVAVKICSEKSSHGFNEFLAEVQSLRKVHHKNLVYLVGYCSEKDHLALVYEYMSRGNLFDLLRGRNDIVQTLKWHDRVRIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYLHAAQSHISATTAGTPGYIDPALLVTGEPPIIPTTVHIVQRVKEKVFMGNIEAIVDPQCGNEYDANSIWKVVDIALLCTKEASDERPAMSTVIAQLKDALALEEARASGSTSDISQGAATQAVAQPGFLSVDCGLEAEYSGYKDSKTGIVYVSDERYVDSGESHRVASGQDNGRKRSDITVRSFPTGVRNCYTLPTVAGKKYMVRVIAFYGNYDGKNSSSQYDLYLGVNYWTTVSAKIGSEVYEAMFMAWASWAPVCLINTGDGTPFVSTVELRLLADGLYKEVMANQSMARFDRRSMGSSVPITRYQDDPYDRYWYQMVDASWADLSTMLAIDTESTTAVPTSVLQTAVTPTKNNTVLLVTTWEDPTASRYISSKFREFDASPDVNQVVYNYTPPYMSTGTIYTSWFRADDGRYNISLAATAKSALPPMLNAFEVYFLINHDMPMTFPTDFDAIMTIKLEYDVKKNWMGDPCFPPKFAWDGVKCRNMSGNIMRIISLDLSNSNLHGPISNNFTLLTALENLNQLNGPIPDSLCKNNGGQFIFRYVMIRDCVMSQTKSELMVFWKYSYGSGGDMCNKTVSLSSSRKRMAILAISVVVPVLLVAVLILAYLIWRVRRKPNIPELRDYPASITNHLDHLHDTENRLFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNREVAVKIRSEYSRQGLHQFLAEVKNLTKVHQRNLVSLVGSCWEKEHLALVYEYMSAGSLSDHLRGKIDVGETLNWATRLRIALEAAQGLDCLHKGCNLPIIHRDVKTNNILLDQNLKSKLADFGLSKTYISDTQTHVSTNTAAGTPGYIDPMYQLTGKLTESSDVYSFGVVLLEVATGLPPVLPDHDHTHVTEYVKNNITSGNISSVADARLKESYDISSMRKVVDTAMLCTEYNVSRRPTMSSVIVQLKQSLALEEARVGRGVGPILAGDSVDHVVSKNGP >LPERR09G04770.2 pep chromosome:Lperr_V1.4:9:6654059:6690000:-1 gene:LPERR09G04770 transcript:LPERR09G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFAAFVLVAVAPAVCQPGFLTIDCGLEANYSGGYINADYGLDFVPDSPYINAGENRRVASEYVNGTIRSDLTLRIFPDGVRNCYTLPTVAGKKYLVRVVAFYGNYDGNNSASTLQFDLHLGVNYWDTVYANDDYDVYEALFVAWDDRAAVCLINTGQGTPFASSVELRTLADSLYPDHVNTNQSMAMYPTDPYDRFWWGEDTNPMWENFNTTLDIRQDSSFEVPVPILQKAVTVAGNGTMMNVTWEDTRLRYFSVFLHFADFESQARKFTVAINSEPPKNYTLPYRTAFCVYSTSWYWNTDGQYNVSLVATDDSKLPPMFNAYEIYTLITHDTPTTYSKDVNAIMAIQLEYAIKKNWMGDPCFPEQYKWDGVKCKSTSDNIQRIIYIDLSNSNLHGAISRNFSSLTALDYESGRDMCNNTTGASKNRTTILAISVIAPVLVVLGVIFIGYLIWRARRKLNVSNNPPREFQLTNPPESEKYDWDHLQKVENRQFTYEELKNFTNNFQRSIGKGGFGCVYHGCLEDNTEVAVKICSEKSSHGFNEFLAEVQSLRKVHHKNLVYLVGYCSEKDHLALVYEYMSRGNLFDLLRGRNDIVQTLKWHDRVRIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYLHAAQSHISATTAGTPGYIDPALLVTGEPPIIPTTVHIVQRVKEKVFMGNIEAIVDPQCGNEYDANSIWKVVDIALLCTKEASDERPAMSTVIAQLKDALALEEARASGSTSDISQGAATQAVAQPGFLSVDCGLEAEYSGYKDSKTGIVYVSDERYVDSGESHRVASGQDNGRKRSDITVRSFPTGVRNCYTLPTVAGKKYMVRVIAFYGNYDGKNSSSQYDLYLGVNYWTTVSAKIGSEVYEAMFMAWASWAPVCLINTGDGTPFVSTVELRLLADGLYKEVMANQSMARFDRRSMGSSVPITRYQDDPYDRYWYQMVDASWADLSTMLAIDTESTTAVPTSVLQTAVTPTKNNTVLLVTTWEDPTASRYISSKFREFDASPDVNQVVYNYTPPYMSTGTIYTSWFRADDGRYNISLAATAKSALPPMLNAFEVYFLINHDMPMTFPTDFDAIMTIKLEYDVKKNWMGDPCFPPKFAWDGVKCRNMSGNIMRIISLDLSNSNLHGPISNNFTLLTALENLNQLNGPIPDSLCKNNGGQFIFRYVMIRDCVMSQTKSELMVFWKYSYGSGGDMCNKTVSLSSSRKRMAILAISVVVPVLLVAVLILAYLIWRVRRKPNIPELRDYPASITNHLDHLHDTENRLFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNREVAVKIRSEYSRQGLHQFLAEVKNLTKVHQRNLVSLVGSCWEKEHLALVYEYMSAGSLSDHLRGKIDVGETLNWATRLRIALEAAQGLDCLHKGCNLPIIHRDVKTNNILLDQNLKSKLADFGLSKTYISDTQTHVSTNTAAGTPGYIDPMYQLTGKLTESSDVYSFGVVLLEVATGLPPVLPDHDHTHVTEYVKNNITSGNISSVADARLKESYDISSMRKVVDTAMLCTEYNVSRRPTMSSVIVQLKQSLALEEARVGRGVGPILAGDSVDHVVSKNGP >LPERR09G04770.3 pep chromosome:Lperr_V1.4:9:6654059:6690000:-1 gene:LPERR09G04770 transcript:LPERR09G04770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFAAFVLVAVAPAVCQPGFLTIDCGLEANYSGGYINADYGLDFVPDSPYINAGENRRVASEYVNGTIRSDLTLRIFPDGVRNCYTLPTVAGKKYLVRVVAFYGNYDGNNSASTLQFDLHLGVNYWDTVYANDDYDVYEALFVAWDDRAAVCLINTGQGTPFASSVELRTLADSLYPDHVNTNQSMAMYPTDPYDRFWWGEDTNPMWENFNTTLDIRQDSSFEVPVPILQKAVTVAGNGTMMNVTWEDTRLRYFSVFLHFADFESQARKFTVAINSEPPKNYTLPYRTAFCVYSTSWYWNTDGQYNVSLVATDDSKLPPMFNAYEIYTLITHDTPTTYSKDVNAIMAIQLEYAIKKNWMGDPCFPEQYKWDGVKCKSTSDNIQRIIYIYESGRDMCNNTTGASKNRTTILAISVIAPVLVVLGVIFIGYLIWRARRKLNVSNNPPREFQLTNPPESEKYDWDHLQKVENRQFTYEELKNFTNNFQRSIGKGGFGCVYHGCLEDNTEVAVKICSEKSSHGFNEFLAEVQSLRKVHHKNLVYLVGYCSEKDHLALVYEYMSRGNLFDLLRGRNDIVQTLKWHDRVRIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYLHAAQSHISATTAGTPGYIDPAYCLSGRLTTGSDVFSFGVVLLELVTGEPPIIPTTVHIVQRVKEKVFMGNIEAIVDPQCGNEYDANSIWKVVDIALLCTKEASDERPAMSTVIAQLKDALALEEARASGSTSDISQGAATQAVAQPGFLSVDCGLEAEYSGYKDSKTGIVYVSDERYVDSGESHRVASGQDNGRKRSDITVRSFPTGVRNCYTLPTVAGKKYMVRVIAFYGNYDGKNSSSQYDLYLGVNYWTTVSAKIGSEVYEAMFMAWASWAPVCLINTGDGTPFVSTVELRLLADGLYKEVMANQSMARFDRRSMGSSVPITRYQDDPYDRYWYQMVDASWADLSTMLAIDTESTTAVPTSVLQTAVTPTKNNTVLLVTTWEDPTASRYISSKFREFDASPDVNQVVYNYTPPYMSTGTIYTSWFRADDGRYNISLAATAKSALPPMLNAFEVYFLINHDMPMTFPTDFDAIMTIKLEYDVKKNWMGDPCFPPKFAWDGVKCRNMSGNIMRIISLDLSNSNLHGPISNNFTLLTALENLNQLNGPIPDSLCKNNGGQFIFRYVMIRDCVMSQTKSELMVFWKYSYGSGGDMCNKTVSLSSSRKRMAILAISVVVPVLLVAVLILAYLIWRVRRKPNIPELRDYPASITNHLDHLHDTENRLFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNREVAVKIRSEYSRQGLHQFLAEVKNLTKVHQRNLVSLVGSCWEKEHLALVYEYMSAGSLSDHLRGKIDVGETLNWATRLRIALEAAQGLDCLHKGCNLPIIHRDVKTNNILLDQNLKSKLADFGLSKTYISDTQTHVSTNTAAGTPGYIDPMYQLTGKLTESSDVYSFGVVLLEVATGLPPVLPDHDHTHVTEYVKNNITSGNISSVADARLKESYDISSMRKVVDTAMLCTEYNVSRRPTMSSVIVQLKQSLALEEARVGRGVGPILAGDSVDHVVSKNGP >LPERR09G04770.4 pep chromosome:Lperr_V1.4:9:6654059:6690000:-1 gene:LPERR09G04770 transcript:LPERR09G04770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFAAFVLVAVAPAVCQPGFLTIDCGLEANYSGGYINADYGLDFVPDSPYINAGENRRVASEYVNGTIRSDLTLRIFPDGVRNCYTLPTVAGKKYLVRVVAFYGNYDGNNSASTLQFDLHLGVNYWDTVYANDDYDVYEALFVAWDDRAAVCLINTGQGTPFASSVELRTLADSLYPDHVNTNQSMAMYPTDPYDRFWWGEDTNPMWENFNTTLDIRQDSSFEVPVPILQKAVTVAGNGTMMNVTWEDTRLRYFSVFLHFADFESQARKFTVAINSEPPKNYTLPYRTAFCVYSTSWYWNTDGQYNVSLVATDDSKLPPMFNAYEIYTLITHDTPTTYSKDVNAIMAIQLEYAIKKNWMGDPCFPEQYKWDGVKCKSTSDNIQRIIYIYESGRDMCNNTTGASKNRTTILAISVIAPVLVVLGVIFIGYLIWRARRKLNVSNNPPREFQLTNPPESEKYDWDHLQKVENRQFTYEELKNFTNNFQRSIGKGGFGCVYHGCLEDNTEVAVKICSEKSSHGFNEFLAEVQSLRKVHHKNLVYLVGYCSEKDHLALVYEYMSRGNLFDLLRGRNDIVQTLKWHDRVRIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYLHAAQSHISATTAGTPGYIDPALLVTGEPPIIPTTVHIVQRVKEKVFMGNIEAIVDPQCGNEYDANSIWKVVDIALLCTKEASDERPAMSTVIAQLKDALALEEARASGSTSDISQGAATQAVAQPGFLSVDCGLEAEYSGYKDSKTGIVYVSDERYVDSGESHRVASGQDNGRKRSDITVRSFPTGVRNCYTLPTVAGKKYMVRVIAFYGNYDGKNSSSQYDLYLGVNYWTTVSAKIGSEVYEAMFMAWASWAPVCLINTGDGTPFVSTVELRLLADGLYKEVMANQSMARFDRRSMGSSVPITRYQDDPYDRYWYQMVDASWADLSTMLAIDTESTTAVPTSVLQTAVTPTKNNTVLLVTTWEDPTASRYISSKFREFDASPDVNQVVYNYTPPYMSTGTIYTSWFRADDGRYNISLAATAKSALPPMLNAFEVYFLINHDMPMTFPTDFDAIMTIKLEYDVKKNWMGDPCFPPKFAWDGVKCRNMSGNIMRIISLDLSNSNLHGPISNNFTLLTALENLNQLNGPIPDSLCKNNGGQFIFRYVMIRDCVMSQTKSELMVFWKYSYGSGGDMCNKTVSLSSSRKRMAILAISVVVPVLLVAVLILAYLIWRVRRKPNIPELRDYPASITNHLDHLHDTENRLFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNREVAVKIRSEYSRQGLHQFLAEVKNLTKVHQRNLVSLVGSCWEKEHLALVYEYMSAGSLSDHLRGKIDVGETLNWATRLRIALEAAQGLDCLHKGCNLPIIHRDVKTNNILLDQNLKSKLADFGLSKTYISDTQTHVSTNTAAGTPGYIDPMYQLTGKLTESSDVYSFGVVLLEVATGLPPVLPDHDHTHVTEYVKNNITSGNISSVADARLKESYDISSMRKVVDTAMLCTEYNVSRRPTMSSVIVQLKQSLALEEARVGRGVGPILAGDSVDHVVSKNGP >LPERR09G04770.5 pep chromosome:Lperr_V1.4:9:6654059:6690000:-1 gene:LPERR09G04770 transcript:LPERR09G04770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFAAFVLVAVAPAVCQPGFLTIDCGLEANYSGGYINADYGLDFVPDSPYINAGENRRVASEYVNGTIRSDLTLRIFPDGVRNCYTLPTVAGKKYLVRVVAFYGNYDGNNSASTLQFDLHLGVNYWDTVYANDDYDVYEALFVAWDDRAAVCLINTGQGTPFASSVELRTLADSLYPDHVNTNQSMAMYPTDPYDRFWWGEDTNPMWENFNTTLDIRQDSSFEVPVPILQKAVTVAGNGTMMNVTWEDTRLRYFSVFLHFADFESQARKFTVAINSEPPKNYTLPYRTAFCVYSTSWYWNTDGQYNVSLVATDDSKLPPMFNAYEIYTLITHDTPTTYSKDVNAIMAIQLEYAIKKNWMGDPCFPEQYKWDGVKCKSTSDNIQRIIYIYESGRDMCNNTTGASKNRTTILAISVIAPVLVVLGVIFIGYLIWRARRKLNVSNNPPREFQLTNPPESEKYDWDHLQKVENRQFTYEELKNFTNNFQRSIGKGGFGCVYHGCLEDNTEVAVKICSEKSSHGFNEFLAEVQSLRKVHHKNLVYLVGYCSEKDHLALVYEYMSRGNLFDLLRGRNDIVQTLKWHDRVRIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYLHAAQSHISATTAGTPGYIDPALLVTGEPPIIPTTVHIVQRVKEKVFMGNIEAIVDPQCGNEYDANSIWKVVDIALLCTKEASDERPAMSTVIAQLKDALALEEARASGSTSDISQGAATQAVAQPGFLSVDCGLEAEYSGYKDSKTGIVYVSDERYVDSGESHRVASGQDNGRKRSDITVRSFPTGVRNCYTLPTVAGKKYMVRVIAFYGNYDGKNSSSQYDLYLGVNYWTTVSAKIGSEVYEAMFMAWASWAPVCLINTGDGTPFVSTVELRLLADGLYKEVMANQSMARFDRRSMGSSVPITRYQDDPYDRYWYQMVDASWADLSTMLAIDTESTTAVPTSVLQTAVTPTKNNTVLLVTTWEDPTASRYISSKFREFDASPDVNQVVYNYTPPYMSTGTIYTSWFRADDGRYNISLAATAKSALPPMLNAFEVYFLINHDMPMTFPTDFDAIMTIKLEYDVKKNWMGDPCFPPKFAWDGVKCRNMSGNIMRIISLDLSNSNLHGPISNNFTLLTALENLNQLNGPIPDSLCKNNGGQFIFRYVMIRDCVMSQTKSELMVFWKYSYGSGGDMCNKTVSLSSSRKRMAILAISVVVPVLLVAVLILAYLIWRVRRKPNIPELRDYPASITNHLDHLHDTENRLFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNREVAVKIRSEYSRQGLHQFLAEVKNLTKVHQRNLVSLVGSCWEKEHLALVYEYMSAGSLSDHLRGKIDVGETLNWATRLRIALEAAQGLDCLHKGCNLPIIHRDVKTNNILLDQNLKSKLADFGLSKTYISDTQTHVSTNTAAGTPESSDVYSFGVVLLEVATGLPPVLPDHDHTHVTEYVKNNITSGNISSVADARLKESYDISSMRKVVDTAMLCTEYNVSRRPTMSSVIVQLKQSLALEEARVGRGVGPILAGDSVDHVVSKNGP >LPERR09G04780.1 pep chromosome:Lperr_V1.4:9:6710699:6711393:1 gene:LPERR09G04780 transcript:LPERR09G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSDAAFSCKPVTSSVLSTACQSYGCAVSVTGSMQVM >LPERR09G04790.1 pep chromosome:Lperr_V1.4:9:6738182:6740448:1 gene:LPERR09G04790 transcript:LPERR09G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWWKTLAMLLLLFSDQSAASFPPPIFSHSRRQLAAATTTACSYAWACGAGLRRCSPRWPPPLRLTAPAFSPIASRRAYLRLRCRRPWERRICRRRRLPGLGRPDQAASAPGQPDLAAAAAVAAPGGSTAATARRWQREEAAVRRRRLPPAALTGPWRSDRAAAAPWRLGAGLLRRRLGTLASPLSLLQPPPREGERERESKHIGREGVDIAFEMRLAH >LPERR09G04800.1 pep chromosome:Lperr_V1.4:9:6741839:6749307:-1 gene:LPERR09G04800 transcript:LPERR09G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAQIDFYPDVNLVKYNYTPPYLSSGTVYTSWFRADGGRYNISLVATAKSALPPMLNAFEVYYLINHDKPMTFPKDYMLLLSLSCDLSNSNLQGPISNNFALLTALENLNLSGNQLNGPIPDSLCKYSGGQFVFSYGSGGDMCNKTISPSSSRNRTAILALSVVGPVLLVTILILAYLIWRVRRKPNISTHTPPQVPELRNSPASITNHLDNLQHTENRQFTYEELKKLTNNFQRLIGRGGFGSVYYGRLENNSEVVVKIRSEYSRQGLHQFLAEVKNLTKVHHKNLVSLVGKVDVGDTLNWATRLRVSLEAAQGLDYLHKGCNLPIIHRDVKTNNILLDKNLKSKLADFGLSRTYISDTQTHISTNTATGTPGYIDPEYQLTGKLTESSDVYSFCVVLLEVATGLPPVLPNHDHTHVTQYVKNNITFGNISLVADARLKESYDISSLWKVVDTAMLCTAYDVSRRPTMSAVVVQLKESLALEEAREEEHWGNPSRRLCGSCGVQKWAIRKMKNCSWFSTISLQLFHVY >LPERR09G04810.1 pep chromosome:Lperr_V1.4:9:6750515:6752701:-1 gene:LPERR09G04810 transcript:LPERR09G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEQQQVIPPPGLAPGPPRPLALCSGQANSALEPQGAITSRKPTHFMAVPPNHKVAADNQVGGLRPDLTVRSFPTGVRNCYSLPTVAGTKYLVRVIAFYGNYDGKNSSSALQYDLYLGVNYWITVTAVIGSEVYEAIFVAWASWAPVCLINTGGGTPFISTVELRPLADGIYQEVMANQSMSKFDRRGSEPLAKTVAVAPRRPSA >LPERR09G04820.1 pep chromosome:Lperr_V1.4:9:6761182:6762076:1 gene:LPERR09G04820 transcript:LPERR09G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCHAWAGPNGRAIGPRAIWPSIPPAGHRAKFSTQIDRPPPIVDDDESKMRMIDLLIRVDAICQKYDNTTPTSTATTATISRGSTPPSTTRSTLPSRLGRPRLKSEKAAKEGNRAAAVALNADVRRTKARLLEEVVKLRKLAAKMVKGLSPEEAELRRQAIWSRHCHIGSNQSLKVAAAAAADQNGRGNVRQSNTRDGD >LPERR09G04830.1 pep chromosome:Lperr_V1.4:9:6762632:6773805:-1 gene:LPERR09G04830 transcript:LPERR09G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPFFVAVVLGGVASVVVGQQPGFLSIDCGLEANFSGYPDADNGGIFFVSDEPYISSGENHRVAAGQETGRLRPDTTVRSFPSGMRNCYSLPTVAGAKYLVRVVSFYGNYDGKNISSTLQFDLHIGANYWDTVYGDGDEVHEAMFVAWASWAPVCLVNTGQGIPFVSSVELRPLDDEMYPHVMANQSMRMYLRRSLGPTNAYVTREEEIGAAEVGNAEADGCCRARMAWVGARMQFPDDQYDRYWWEMTSNPLWANLSTSSNVQQESSFKLPSAVLRKAITVAGNGTMLNITWEDQTLRQFMAFAHFSDFQNSKLRQFNVYFNTDQPFPYTAPYLADGCVYSSHWYRAIDGKFNITVAATAKSVLPPMFNAFEIYTLITHDSRTTFSKDFDAIMAIKLEYKVEKNWMGDPCFPTKFAWDGVKCRTTSDNISRIISINLSGNQLNGPIPDSLCTMNAGSFIFRLPMHNECKGFSFCVIIRHMTIELDFYTKLNPTILCNFSYDSNQDMCNKTSPSSSRNRAATLAISIAAPVLVVAVLGVAYLIWRLKRKPNISAENPPMGPGPTNAPGNEKYHWDHLQKNENRQFTYEELEKFTDNFQQLIGEGGFGRVYHGCLEGSTEVAVKMLSGTSSTGLNGFLAEVESLTKVHHKNLVSLVGYCSEKAHLALVYEYMARGNLFDHLRGKAAGGETLNWAIRVRVLLDAAQGLDYLHKGCNRSIIHRDVKTSNILLGQSLQAKIADFGLSRTYLSDTQSHMSATVAGSMGYIDPEYYQTGWITESSDVYSFGVVLLEVATGELPILQGHGHIVQRVKQYVAVGDIRSIADERLSGNYDVNSMWKVVEIALMCTESVAARRPSMATVVAQLKESLALEEAREDGGLHANSAGDAVAMLPTTFGPSAR >LPERR09G04830.2 pep chromosome:Lperr_V1.4:9:6762632:6773805:-1 gene:LPERR09G04830 transcript:LPERR09G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPFFVAVVLGGVASVVVGQQPGFLSIDCGLEANFSGYPDADNGGIFFVSDEPYISSGENHRVAAGQETGRLRPDTTVRSFPSGMRNCYSLPTVAGAKYLVRVVSFYGNYDGKNISSTLQFDLHIGANYWDTVYGDGDEVHEAMFVAWASWAPVCLVNTGQGIPFVSSVELRPLDDEMYPHVMANQSMRMYLRRSLGPTNAYVTREEEIGAAEVGNAEADGCCRARMAWVGARMQFPDDQYDRYWWEMTSNPLWANLSTSSNVQQESSFKLPSAVLRKAITVAGNGTMLNITWEDQTLRQFMAFAHFSDFQNSKLRQFNVYFNTDQPFPYTAPYLADGCVYSSHWYRAIDGKFNITVAATAKSVLPPMFNAFEIYTLITHDSRTTFSKDFDAIMAIKLEYKVEKNWMGDPCFPTKFAWDGVKCRTTSDNISRIISINLSGNQLNGPIPDSLCTMNAGSFIFSYDSNQDMCNKTSPSSSRNRAATLAISIAAPVLVVAVLGVAYLIWRLKRKPNISAENPPMGPGPTNAPGNEKYHWDHLQKNENRQFTYEELEKFTDNFQQLIGEGGFGRVYHGCLEGSTEVAVKMLSGTSSTGLNGFLAEVESLTKVHHKNLVSLVGYCSEKAHLALVYEYMARGNLFDHLRGKAAGGETLNWAIRVRVLLDAAQGLDYLHKGCNRSIIHRDVKTSNILLGQSLQAKIADFGLSRTYLSDTQSHMSATVAGSMGYIDPEYYQTGWITESSDVYSFGVVLLEVATGELPILQGHGHIVQRVKQYVAVGDIRSIADERLSGNYDVNSMWKVVEIALMCTESVAARRPSMATVVAQLKESLALEEAREDGGLHANSAGDAVAMLPTTFGPSAR >LPERR09G04840.1 pep chromosome:Lperr_V1.4:9:6768425:6769536:1 gene:LPERR09G04840 transcript:LPERR09G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARQHPSASAFPTSAAPISSSLHFWARNLEWRSWGCAAGEGKVEVGGGEWRIMAGTAGAGSRGGGGGGNSRGKGMAGATGVAILQAQLACSSPVSHHHQQWPESGSISALLRQDMAATARSRGLLAAGFVGKEGAIAGSGGGGMHC >LPERR09G04850.1 pep chromosome:Lperr_V1.4:9:6788222:6788458:-1 gene:LPERR09G04850 transcript:LPERR09G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPTISAAHLRYKRRCIDRVEVAREKLDKEKQLAQAVLSRFGYDDSFRLLYDRIADTFAVLLKSDVEHLRTGENTN >LPERR09G04860.1 pep chromosome:Lperr_V1.4:9:6788980:6790733:1 gene:LPERR09G04860 transcript:LPERR09G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAICKKYDKYDADKHRNDAAAAGDPFSRLYAAVDHEIDAAIEKSERAAREGNRAAAVALNTDVRRTKARLLEEVVKLRKLAAKKVKGLSPEEAELRGDLVSALPHRIKSIPDGGGGGGAADWNGGGNVRPGMKFDSSGLEFISEDLDTLKNLAEDMNEELDRQVPLMDEIDNKVDKANADFRKTNVRLKETFRSTRNFIIDIILICVILGIAAYLYE >LPERR09G04870.1 pep chromosome:Lperr_V1.4:9:6792736:6797545:-1 gene:LPERR09G04870 transcript:LPERR09G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPMWLNLSTSLNVQPESSFRLPSAVLGKAITVAGNGTMLNITWEDQTLHQFMVFAHFADFQNSKFRQFNVYFNTDQPFPYTAPYLADGCVYSSHWYRAIDGKFNITVSATAKSVLPPMLNAIEIYTLITHDSRTTFSKDFDAIMAIKFEYNVKKNWMGDPCFPTDFAWDGVKCRTIGDNISRIISIDLSNSNLHGVISSNFTLLKELEYLGPEPTNEKYHWDHLQKNENRQFTYEELEKFTDNFQQLIGEGGFGRVYHGCLEDSTEVAVKMLSGTSSSGLNGFLAEVESLTKVHHKNLVSLVGYCSEKAHLALVYEYMSKGNLFDHLRGKDCGGEILNWAMRVQILLDAAQGLNYLHKSCSRTIIHRDVKTSNILLGQSLQAKIADFGLSRTYLSDAQSHMSATVAGSMGYIDPEYYQTGWITESNDVYSFGVVLLEVATGELPILQGHGHIVQRVKQRVALGDIRSIADERLSGNYDINSMWKVVEIALMCTESVAARRPSMETVVVQLKESLAMEEAREDGGLYANSAGDAIAMLSTAFGPTAR >LPERR09G04880.1 pep chromosome:Lperr_V1.4:9:6801238:6801750:1 gene:LPERR09G04880 transcript:LPERR09G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCGYYVCEMMRIIRRFTTNSNKVRTFKSSLAYIHIKNNYSFFGYDKVCFNGSCIMYRGMPNIWTRTL >LPERR09G04890.1 pep chromosome:Lperr_V1.4:9:6806214:6806830:-1 gene:LPERR09G04890 transcript:LPERR09G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVSIDCGLEATNISGYVDINYGIVYVSDEPYIDSGENHKVAAGQESGRQRPIATLRSFPSGRRNCYSLPTDAGAKYLVRVVTFYGNYDGRNSSSTLRFDLHLGANYWDTVYNSGRDEAREAIFVAWASWAPVCLVNTGQGTPFVSSVELRPLGRELYPHVMANQSMRTYTRYSLGPTKADVTRYVCHP >LPERR09G04900.1 pep chromosome:Lperr_V1.4:9:6817688:6819529:1 gene:LPERR09G04900 transcript:LPERR09G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAICKKYDKYDADKHRNDATAGDPFSRLYAAVDNEIDAAIEKSEKAAREGNRAAAVALNADVRRTKARLLEEVVKLRKLAAKKVKGLSPEEAELRGDLVSALPHRIKSIPDGGGGGGGGGAADQNSGGNVRQGIKFDSSEIMTDDLENVNAQDEGLEFISEGLDTLKNLAEDMNEELDRQVPLMDEIDNKFRSTRNFIIDIILICVILGIAAYLYE >LPERR09G04910.1 pep chromosome:Lperr_V1.4:9:6820209:6823328:-1 gene:LPERR09G04910 transcript:LPERR09G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRNNRDSNGGLAEATASRLRFEDPDDNEEVGMEVEDNDAAAAAAAAGCGAEEEEEVIGSDKTSADYYFDSYSHFGREFLCHQEMLKDVVRTKSYQNVITQNSFLFKDKVVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKSNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVTPNKNNPRDIDIKLSYTLKGHRCQVSRTQHYKMR >LPERR09G04910.2 pep chromosome:Lperr_V1.4:9:6820209:6823328:-1 gene:LPERR09G04910 transcript:LPERR09G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRNNRDSNGGLAEATASRLRFEDPDDNEEVGMEVEDNDAAAAAAAAGCGAEEEEEVIGSDKTSADYYFDSYSHFDVVRTKSYQNVITQNSFLFKDKVVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKSNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVTPNKNNPRDIDIKLSYTLKGHRCQVSRTQHYKMR >LPERR09G04920.1 pep chromosome:Lperr_V1.4:9:6824655:6828708:1 gene:LPERR09G04920 transcript:LPERR09G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEASKNAATAATSSSPLFSFSNPNSSFGFGFGASSGPPPPPPPPAVEVLLSEESPVVTGELEAVVVDDSLSIYKGRASTSDVFGVRNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKEDRLLVGGKRVLEIGCGHGLPGIFAGLKGASLVHFQDFNAEVLRCLTIPNVKANLLKESSQKKFTSGSVGFFAGDWSEIDSLLLRGDADLDKSTDNHENNNAYNGYDIILMAETVYAISSLTNLYKLIKKCLRYPGGVVYMAGKKHYFGVGGGTRQFVRLVTEDGAMQSNLLAEVADGSSNDAGISCRTASFFHYQRLECQDDGGPPRSSRWQWLQALNGKPTSPCFFHVKKLKWSRISSVLLPRKLAEFSSKIRHVRSTMDATDICPTIIFASQWGLPVLSRPLLAGNKARNHYHGKSF >LPERR09G04930.1 pep chromosome:Lperr_V1.4:9:6831394:6837145:1 gene:LPERR09G04930 transcript:LPERR09G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPTRQSPRSPPNQTQRAPNPTPRAPFPPTHTPLLIPPSGPTGPHPSATRPPQPSRRARKRKRRPHVKPPLLRVDASSPPLPLLARGESFSPPRFLEAARPRVSRARFALVGLCGWRWCGWIWGEKREMAGGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGGQKLSFQAGERHAMEKLYEWILKQHENGLRLTVADIASHIQHEIQYGVDNASASPRSQHASQSTQPTVPIPNTMSQQPSPNLFALGNTGLVQSKNSVVFSNALSSPVRRSLQPFHLEQGGDAGYFANGVNREQNSTASNDSSMDMHSDSPAHDSY >LPERR09G04940.1 pep chromosome:Lperr_V1.4:9:6840577:6844569:-1 gene:LPERR09G04940 transcript:LPERR09G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMSSAAAAMGASLRSLPTTTLIPLLTLTLLLLSITTHLLLRLLRQRPVYLLNYSCHLPDVDRKVNLEVCEYFGQRCRHYSDDTADFMRLIYRKSGLGQETYAPPFIFSGEFQKTQAFAVQEAEEGLFATVASLLAKCDVAAREIGVVVVACSMFSPSPSLSSMIVRRFGMSPETKAYSFAGMGCSAGTVGIDLAARALRVRRGAGGGGYALVVVTENTSLNWYFGENKHMLVTNCIFRVGSAAALVTDVAARRRDAKYELVRTLRTHHGADDAAFNAAVQMEDEEGNVGVALTKDLVRVAGNGLRQHIATLAPHVLPVSELLRYVWRVAGAYVAGNPKAAAAIVPDFQRAFEHMCIHSGGKAVIDTVAKLMGFGPSVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRKGDRLWMLAFGTGFKACSNVWRALRDSAPDADNPWNECIHRYPAALPPPSTRRANPAGVAGGGGGGGGGGGGGDGVGVKGDNKLG >LPERR09G04950.1 pep chromosome:Lperr_V1.4:9:6857138:6862462:1 gene:LPERR09G04950 transcript:LPERR09G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSASKRGSPASFSTQAGALLRKNLCFQESRRCSTLFAFDPLIWPCCPRHKRNLKTNIGITIFPILVCVLLVVLQNVINSELDKPQHQCGCGCAETDSDGTTCLREECGIQHSTLEQVWTCEVRSPPRWPALIQVPWGTFRAVETESQPFGDLPDPMCRDIWSCDVTVLITGEYQTFAESVSARLFPSIYPVLNVTDDQMFPAFSSSLVTDYLDFLSRIVVGSDTSPWFTLLLEPAVFSGKTLYLVQPQCQPYTFETSPYNTGGIPFPIETVCIEPRMLWRESASVINNELFKGYVQRGGETNEFLAGYDFLNTTEYNLNINVWYNSTYNDNTAYSFISTLRVPRLANAVSNAYLKFIRGSGMDMLLEYVKEMPKVETKFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFLALSIALAFLVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGVSGMTWGSLKDPINGMCDIFIIMTVEWVALLMLAFYLDQVSPVGGAVRKRPLFFFRCLQKKHTASLQKPSFVQRDSKVIVDVEKPDVAQEREVVEQLLVDRNANQAIICHNLKKIYPGKDGNPDKLAVRGLFLALPKGQCFGMLGPNGAGKTSFISMMIGLVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVDDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELRARYGGAYIFTMTTSPDQEQEVEQLVHNLSPRANKIYHLSGTQKFELPKQEVKIAEVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQALSDIDNN >LPERR09G04950.2 pep chromosome:Lperr_V1.4:9:6857138:6862462:1 gene:LPERR09G04950 transcript:LPERR09G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSASKRGSPASFSTQAGALLRKNLCFQESRRCSTLFAFDPLIWPCCPRHKRNLKTNIGITIFPILVCVLLVVLQNVINSELDKPQHQCGCGCAETDSDGTTCLREECGIQHSTLEQVWTCEVRSPPRWPALIQVPWGTFRAVETESQPFGDLPDPMCRDIWSCDVTVLITGEYQTFAESVSARLFPSIYPVLNVTDDQMFPAFSSSLVTDYLDFLSRIVVPQCQPYTFETSPYNTGGIPFPIGYDFLNTTEYNLNINVWYNSTYNDNTAYSFISTLRVPRLANAVSNAYLKFIRGSGMDMLLEYVKEMPKVETKFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFLALSIALAFLVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGVSGMTWGSLKDPINGMCDIFIIMTVEWVALLMLAFYLDQVSPVGGAVRKRPLFFFRCLQKKHTASLQKPSFVQRDSKVIVDVEKPDVAQEREVVEQLLVDRNANQAIICHNLKKIYPGKDGNPDKLAVRGLFLALPKGQCFGMLGPNGAGKTSFISMMIGLVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVDDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELRARYGGAYIFTMTTSPDQEQEVEQLVHNLSPRANKIYHLSGTQKFELPKQEVKIAEVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQALSDIDNN >LPERR09G04960.1 pep chromosome:Lperr_V1.4:9:6865026:6870457:1 gene:LPERR09G04960 transcript:LPERR09G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERERWGWCRRSRSRSGSGSGGEGGSRRGRRLPGKGGGGGVEEEDATVATGCCIRLWPIGSCPPPPRSKVDSSTGRASAHGAEKSTESGSRNQPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEVLKPLINLKDMASSSYFYQTMQAERMAHSSSMNGRNHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >LPERR09G04960.2 pep chromosome:Lperr_V1.4:9:6865026:6870939:1 gene:LPERR09G04960 transcript:LPERR09G04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERERWGWCRRSRSRSGSGSGGEGGSRRGRRLPGKGGGGGVEEEDATVATGCCIRLWPIGSCPPPPRSKVDSSTGRASAHGAEKSTESGSRNQPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEVLKPLINLKDMASSSYFYQTMQAERMAHSSSMNGRNHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >LPERR09G04970.1 pep chromosome:Lperr_V1.4:9:6872288:6877261:1 gene:LPERR09G04970 transcript:LPERR09G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLGCVQIDQSFVAIKENFGKYNEVLEPGCHFVPWCIGQQIAGHLSLRVKQLDVRCETKTKDNVFVNVVASVQYRALSDKASDAFYKLSNTKEQIQSYVFDAARLRVAATDKAEAEKILQIKKAEGEAESKYLAGVGVARQRQAIVDGLRDSVLAFSENVPGTTAKDIVDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDVAAQIRDGLLQANAQH >LPERR09G04970.2 pep chromosome:Lperr_V1.4:9:6872137:6877261:1 gene:LPERR09G04970 transcript:LPERR09G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLGCVQIDQSFVAIKENFGKYNEVLEPGCHFVPWCIGQQIAGHLSLRVKQLDVRCETKTKDNVFVNVVASVQYRALSDKASDAFYKLSNTKEQIQSYVFDAARLRVAATDKAEAEKILQIKKAEGEAESKYLAGVGVARQRQAIVDGLRDSVLAFSENVPGTTAKDIVDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDVAAQIRDGLLQANAQH >LPERR09G04980.1 pep chromosome:Lperr_V1.4:9:6882403:6887539:1 gene:LPERR09G04980 transcript:LPERR09G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVITTVTVDELTPGLKSILSFAVPDQRSGKFELQYLHDYAGVSASIGLTANPVVNLSTVFGSKALAVGADVSLDTASGNLTKYNAGLSFTNDDLIASLNLNNKGDSLTASYYHVVQHSTTAVGAELTHSFSSNENTLTFGTQHTLDPLTVVKARFNNSGKFSALLQHEWRPKSAWTISAEVDTKAIEKSSKVGLAVALKP >LPERR09G04990.1 pep chromosome:Lperr_V1.4:9:6891867:6898315:1 gene:LPERR09G04990 transcript:LPERR09G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPPPAASGIIRIEVPVRQRGDAVEWLHAQGAMPRCFFSARAAPLPEWPDLAVAVAGGGGGGNGNGAGGGGGGGEPVSVAGVGSAVFFRGTEPFSLRDWHAIKRFLPRDCPLIRAYGTIRFDATSDHSVEWEEFGSFYFVVPQVEFNELEDSSVLATTIAWDDSLSWTWHNAVKDLQSTLQKISSSPIKVNNSTLQTTIVNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSTKEDIEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARIRNEGDEKFLTVECMLDLLVGLEELKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQCQEQHICLQEAENMGTESTLDPLIGLEVLKVKFLLGLDQHYLEMGWKNGKVISTVTIKS >LPERR09G04990.2 pep chromosome:Lperr_V1.4:9:6891867:6898315:1 gene:LPERR09G04990 transcript:LPERR09G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPPPAASGIIRIEVPVRQRGDAVEWLHAQGAMPRCFFSARAAPLPEWPDLAVAVAGGGGGGNGNGAGGGGGGGEPVSVAGVGSAVFFRGTEPFSLRDWHAIKRFLPRDCPLIRAYGTIRFDATSDHSVEWEEFGSFYFVVPQVEFNELEDSSVLATTIAWDDSLSWTWHNAVKDLQSTLQKISSSPIKVNNSTLQTTIVNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSTKEDIEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARIRNEGDEKFLTVECMLDLLVGLEELKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQCQEQHICLQEAENMGTESTLDPLIGLEVLKVKFLLGLDQHYLEMGWKNGKVISTVTIKS >LPERR09G04990.3 pep chromosome:Lperr_V1.4:9:6891867:6895934:1 gene:LPERR09G04990 transcript:LPERR09G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPPPAASGIIRIEVPVRQRGDAVEWLHAQGAMPRCFFSARAAPLPEWPDLAVAVAGGGGGGNGNGAGGGGGGGEPVSVAGVGSAVFFRGTEPFSLRDWHAIKRFLPRDCPLIRAYGTIRFDATSDHSVEWEEFGSFYFVVPQVEFNELEDSSVLATTIAWDDSLSWTWHNAVKDLQSTLQKISSSPIKVNNSTLQTTIVNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSTKEDIEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARIRNEGDEKFLTVECMLDLLVGLEELKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQCQEQHICLQEAENMGTVI >LPERR09G05000.1 pep chromosome:Lperr_V1.4:9:6897873:6900497:-1 gene:LPERR09G05000 transcript:LPERR09G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSSSSSTPSPAAASSAAAAACSDLRAAYHECFNRWYAEKFAKGQWQKDDCVGEWNKYRACLEEHLEDKHLRKILLESETSAHYAQFDADSSSRNGGTSTRAQNSLKLLLFMAKKSKNNSTKRASASSSSSSSAAADGDRSPWLRLTAFAVLTFHSAFSSYLARDDSRLVALVVVGYLLMLVLLFYGRLVQPVPQKRD >LPERR09G05010.1 pep chromosome:Lperr_V1.4:9:6914779:6918809:1 gene:LPERR09G05010 transcript:LPERR09G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPLNSRRYAWIHSVILHLTPYHEGMIRIVVDPLKPKIIVDPQKPPTAESYTSPHKAEGDDQNSSGSYETVPRQNSPPRQLADTQGSTNGGTDQSDDNASIINAVLRENYLLHLVAESGDNEDYKKCATVILKKDFELISALDNKNETALDRATRAGHIKMASHLIHIALGTSVDVKKEMFSLHLMNHGRELSQKGENIIQMSPLYLAVSMGYSDIVDKLILTFGNTLPHYGPEGQNVLHAAAIRSVGDLYKSSPLHFAASVGVEGVTKLLIASLKKQKVEQQPDNEGMYPIHIASSVGAMDAINSLIDIDNLSSAAQGNSEGKTFLHIAVENRKRDVVEFVCREPRAKFLGILMGRVRPRQIFKDIVNMKDKDGNTALHLAVKKRDRTMFSYLLGNKYVELNYVNNEGYTPLDLASKIKTDHPFASRQNPTEWMIRALAHSGAHFSPQKQTHGDSLSRSTESVLVASVLIASLTFAAAFTMPGSYKTRSPKEGTPALGERFAFKAFLVADMFAFFFAVAATFSLAEYGTRGNVDPLSIIVAFALGVSVVMWDISVSATVIVGVLTSIFVLYGHVAIGHDLRLLRVMYHRFGLSFSCRLHPSTSAHLAWKSWWHKSIVVALLWDLVKLLWAYAFIYGLAKVAQGKQKE >LPERR09G05020.1 pep chromosome:Lperr_V1.4:9:6923098:6945757:1 gene:LPERR09G05020 transcript:LPERR09G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAAALLLRLLVVAAAAAEMGYGGGGGRKLGRHDGGGASTECVNLKPAPSPSPAAMAPATEDSPDQFRGQARLPRFAAPRRYELRLRPDLDACVFTGAASVAVDVSAPTRFLVLNAADLAVDRASIRFEDLEPTGVSVFEEDEILVLEFEGELPLGVGMLAMDFNGTLNDQMRGFYRSKYVYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPVANETIIGPIKTVHYEESPLMSTYLVAIVVGLLDYVEGVTKEGNKVRVYTQVGKSNQGKFALDVGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVALLFDKQSSSASSKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDTFFPEWNIWTQFLDSTTSALKLDSLTESHPIEVEIHHANEVDEIFDAISYDKGASVIRMLQSYLGAEIFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMSTWTKQQGYPVINVKLKGHDVELEQDQFLLDGSAGAGIWIVPITLGCCSHDKQKRFLLKHKHDNIMDIDSQCHGRQKGGDFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSMACKQTLSSLLHLLYSYREEADYSVLSHINSVTSSVAKISVDATPDLVGDIKQFFIKLLLPPAEKLGWDPKDSESHLDTMLRPVLLVALVQLGHDKTICEGVRRFEIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTDRCGYDALLKVYKESAEGEEKLNVLGTLSSCKDKDIVLESLNLMFTHEVRNQDAYRLLGGIRVEARETAWSWLKENWDRISETFSASSLIADFIKSIVPLFASKEKEAEISKFFATRTKPGFERTVKQSLEKVLINSRWIEGIRDEPELAQTFRGQARLPRFAAPRRYELRLRPDLDARAFSGAASVAVEVSAPTRFLVLNAGADLAVDRASIRFRGVAPAGVSVFEEDQIVVLEFDGDLPLGEGVLAMDFNGTLNDQMRGFYRSTYEYKGETQNMAVTQFESVDARRCFPCWDEPAFKAKFKLTLEVPSDLIALSNMPIASETIAGPIKTVHYEESPIMSTYLVAIVIGLFDYVEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEYFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQTSSASTKQTIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWFLDSTTSALKLDSLAESHPIEVEIHHASEIDAIFDSISYDKGASVIRMLQSYLGAARFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTIWTKKQGYPVISVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGSYSHDTQKRFLLKDKHDELKGIYSQCDDQQNDGNFWIKLNIDETGFYRVKYDDEITAALRNALQMKKLSLMDKIGVLEDAHALSIACKQTLSSLLHLLYACRDEADFSILSHINSVTSSVAKISVDATPDLAADIKQLFIKLLLPPAKKLGWDPKASESHLDTMLRPLLLIALVQLGHDNTISEGVRRFQIFFGDRNTSLLPPDTRQAAYLSVMHNGINYSGGKVACLRSAIKMHIMSFEENWDRISKTFFASVILSDYIKSIVTLFNSKEKEAEISEFFATRTKPGFERALKQSLENVRIDARWIDGIRSEPGLAQTVHELLNKI >LPERR09G05030.1 pep chromosome:Lperr_V1.4:9:6926138:6927246:-1 gene:LPERR09G05030 transcript:LPERR09G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYLAQQKSSRSNKGRLRRSARSATTRRPGATRAAGRRVGERPTGHRRAWRRRGRTTTGRCSHVFLVVKLDAFKWRKYWRWSRFLWKRLTGLVLLLLVQVELEEKYHQVEGAGEGEGRVWLNGLGPE >LPERR09G05040.1 pep chromosome:Lperr_V1.4:9:6948546:6953544:1 gene:LPERR09G05040 transcript:LPERR09G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWGGIFRVFLGLGAGGGLIGFDMWICRVFLIVVVGLDSVMSGVEPPPPPALAPSPLLAGMARLLARGIKPRKVSAKRSWPPDCGRFHAPAPPPPAPVAGGDGINGVDVVVVPNQRGVEEVVAAVSPIRSEGPPPPLVIAGDDDGEKGRGGDGEKGVCSVAEGREEEVLVSSSAACNGTIPHAPSQPEAERMDVEYQGGGRETGESQLPDDRDALLSDGPVMGIVFDVMPLALAAPISCDDNVSNGCAENVGDVASLFVDRRGGNGGCEPAGKEVATDQIGRELESRVGVQLERTEEDVLDSCRKKRWLMSVLNPPPKRRAVSAIRRFPPECGRVASTLARSGAEELPLEVTPISVATGGISMEDLARTPIVPGLDHSSVSRDAKTIEDDECSKVENRTHEFQVATNVALDDFEGAITCPNDVITKTSPRHGFVGRVNGKGSPQEKKLVAQKAIGDGKMTRKCEGRLQEGTLETSKRDLVDVKAKHKILKTNMQDNARSSRDNRMKRKASSTLRGTSHSNMNLKQRDIARKVDATDKSKGVVNRLIEEPESGGHATPNRIIENDDGDFVDDRVIVQALMALDRCPWTQGRKSIGSVSQSRTPKHELRKKVGRPRKKLKDASPRKELSLEVASCKRIKHEASENKEDSSSEDGGNSKDSSLEDEDNSKEPVHEGKALVVREGRNQLCVTLPPGAPSGTDPRSKIRNILQKFQAACRKLMQVEERHIGSIGRIDIVAANALKQNGFTKPGPIVGNVAGVEVGDEFHFRIELAIVGLHRPYQAGIDSSKVNGMLVALSIVASGGYHDELSSSDELIYTGSGGKAVGNKAAEDQKLERGNLALKNCIETKTPVRVIHGFKNHGKGEASHSKSKQISTYIYDGLYMVVDCWQEGPKGLMVFKYRLQRCPNQPELALHIIKATRKSKVREGVCVPDISNGSERIPIPAINTIDDMKPAPIKYTAEVIYPHSYAKEPPKGCDCTNGCSDSNRCACAVKNAGEIPFNFSGAIVEAKPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGKKGWGVRSLSSISSGSFVCEYTGEVLQDNGDEHIENDEYLFDVGHNYHDKVWEECKSGIWGLDTPEDKEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDKKIPHIMFFASENIPPLQELTYHYNYSVGKLEDKNGAEKVKPCFCGSLDCSGRLY >LPERR09G05050.1 pep chromosome:Lperr_V1.4:9:6958894:6963910:1 gene:LPERR09G05050 transcript:LPERR09G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFPPLPHARLLSPKPPFPSSPRPSRPPCLCRATAATGGRAGVSSATPSTSSPEKSPALEGTADGKRSGRKRRGSVKPSFEKQALRRWSVRAPSQRASFPWQQQQGKPGGESGGGGDQDSGWNGSSTLQSIVDYFDFDFESNSMDGDFGACAGGNGEDGGEVSEERDDGPRPEPSYLIGSRPLSAPWMHGDEGRVKNQLVSDEEEVDSVRDDVSDDELGLVDGVDEDDDDLGSDEEETISGSSDGEFSEDFAAPVADSSSLMDSVLDRVSSGGGFDRSTRQSSVNSVLNTLRNSMEEGSRNAAFEGSQSGGFDRGTRRSSVNSIVKTMRSSMDESSRNAAIEWRETEDFVQELGPVLLPWEREGDKEAGSGGDRPRRHSNTELAERTIPEHELQRLRDVALRMKERMRVGPGGVTQVLVESIHQKWKVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSIVLYRGMNYNLQCVQSYTKTTEFNFNTEVSGSDSVVHIQTEQKFQKSGADGLNHSAYIAHSSKKTTETFDIDSFLDQLGPRYKDWSGRGPVPVDADLLPGVIPGYKTPFRVLPYGVKSKLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVREVLVEKQKQAITWQDEEELARLKASASITDIPKALKNPLVAGTLAETREAKSRWGDATNDELRKKEKNRMVLAKHTSLLRNLKRKLILAKTKVTKAEKALAKVQEFLNPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKILVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLTRRKALTRSIELQRREALNHHISNLRDKIWKLNSQLVCMKAAGEKPDAELLQTVEDDLSKDDDRIEDEGEEAYLQTYISDDEEDSEDDQNEYI >LPERR09G05060.1 pep chromosome:Lperr_V1.4:9:6964082:6972492:1 gene:LPERR09G05060 transcript:LPERR09G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAEADPRRWSATYTKQVKQKRKAYQDGALVLHRASGHLVLLDDAGVTVECRVLRAGEDVSPGASLAFPHHLVDVGYPEPHPTSSSAVSSASRPVHRGGARGARPGAVNSRPPRAFANPTTKEGGSKDESVGSSFQEWVALYTTQLTQKAKKFHDGVVRLVHVGSHAKQIVLLDEESEVLAVRYLKSGESVESGKKCHFPNYLIEICEAKSEKKGVQSNSSEEPMVQRRPKSEENTIDKMGSGPTSKSLKFLSPQKFHDLEESTGSSKPETAKIGVVDVGSSGSIIGSTDFKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHAKQIVLLDEEGGVLGSRYLKSGESVESGMKCQLPNYLIEVCEIRKQQNESKHPSQEAFRHIGPENGENTTDKTDDKSKSPRFVSPLKFNHFKKSRLQGSIGCNRPLIANSIHTNMDDTLKFHGKTAVCFFSDIQKDNKQGKTECAASDDSQKIGKSNSERVNGPLGFCDLQDGKSGCSTSFIRKEVGKSTFGNMDDSLRTASQILSIMRPPSEIKYTQSVRASQVHSSASFGSDIARDADHNKTDSNMSVINSSNRTVGGNWNSGLSHCAMQLRTSMQSFLNLETLQRKNSVITHHQNELSGNAHPTYDHQAIMSQATFDNLELDTVDIPAFDMSNAKKYRQVSQSNNQTGESNDSAPPMHTTIPGLPRDKCETAHQASANNRLADEKCGRYPFLSASDLSLIDDRPSFDLGF >LPERR09G05070.1 pep chromosome:Lperr_V1.4:9:6974303:6978724:1 gene:LPERR09G05070 transcript:LPERR09G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCLVQEDAKRAPKLGCCPPSVQHETNNGNPTNPQDCHIPNFMPLNWNAVNSNLPKDTQWWLQLQPNFGCQNALASEDLNYMCSDVGLKKVDSFAPVSKLEDTNPKKTADPFEPPWMVSTAFMKQTYETGFEELKSLSAYSEMTVKCRGSATGYLHDDKEHMEFKTFDPLYPKKPQVACYEMDAPWQENKKSRPWWQVADADGLASLVAEREMQNIEKNELPRPTQRAHGSNLNSHESKDDYGPYSGKESHPAQYDTMLCSYSISGTNETNSSDSGGWQQHQRNDAHGSTQDSYTSDGRTPGSKPTYRNAAERSQLLDALRHSQTRAREAEMAAKKAFDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIATMFPELPWIMLKEKAPPGQEWRDGTRKKGRKPNKDGHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >LPERR09G05080.1 pep chromosome:Lperr_V1.4:9:6978631:6981931:-1 gene:LPERR09G05080 transcript:LPERR09G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAASGTDARGWDEASYRRGILRERDLSCRTLFRAVFFDDPDASSSDDPDVLLAAASSDGSLSSFSLSSCISSSSSSPHAARQDAATVVPLVDPVCIVQAHVGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAVNKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAIRGANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCYAPVQDLLFDKNQILAVGAEPLLSRFTINGTLLSQIKCAPQSAFSVSIHSSGMAAIAGYGGLVDVISQYGSHLCTFGCRSLDK >LPERR09G05090.1 pep chromosome:Lperr_V1.4:9:6983464:6984507:-1 gene:LPERR09G05090 transcript:LPERR09G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDSVHDKETHGKSNDITNRTSVDKVKAPNLLERAKEEVEALVGAVHEKMEHHSSPRGNSGDLHKDSKEDSKVTTNKMETHKNETHGTSDDINADTPVEKVKGPNVFERAKEEIEAIVEAFHPKKGSDK >LPERR09G05100.1 pep chromosome:Lperr_V1.4:9:6985562:6996496:1 gene:LPERR09G05100 transcript:LPERR09G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAIAALLRAAHVLPAPRASSIRGLAAATGALPLARATAAVIAPSSSSSFPSLSCLSSARCFSSSISCNAGAVSPSSAEQGKQREQSELIFLGTGTSEGIPRVSCLTNPSKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTANILIDAGKFFYHSALQWFPAFGLRTIDAVIITHSHADAIGGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFAVHNLEVIPLPVWHGQGYRSLGFRFGQVCYISDVSDIPEETYKLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIKPRKTLFTGMMHLMDHEKVNNELAKLMDTEGLDIQLSYDGLRIPVWL >LPERR09G05100.2 pep chromosome:Lperr_V1.4:9:6985562:6996496:1 gene:LPERR09G05100 transcript:LPERR09G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAIAALLRAAHVLPAPRASSIRGLAAATGALPLARATAAVIAPSSSSSFPSLSCLSSARCFSSSISCNAGAVSPSSAEQGKQREQSELIFLGTGTSEGIPRVSCLTNPSKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTANILIDAGKFFYHSALQWFPAFGLRTIDAVIITHSHADAIGGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFAVHNLEVIPLPVWHGQGYRSLGFRFGQVCYISDVSDIPEETYKLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIKPRKTLFTGMMHLMDHEKVNNELAKLMDTEGLDIQLSYDGLRIPVWL >LPERR09G05110.1 pep chromosome:Lperr_V1.4:9:6990025:6994601:-1 gene:LPERR09G05110 transcript:LPERR09G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRVLFIFQAIVCLCFFSLSQGNQQFSLRNLPPLQKASSFAAMRPETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYLENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNESFPWVEDKIVRWPKIAPWQAALKDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLHASVNRIVFNSQRGQLKPRATGVQFTDENGRLHQALLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLKSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSSESIHCHHGIMSAEIGQLSTIPPKQRSLEKAQEYAHTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVRNNPAVTFNYFSHPQDLTRCVYGIKTIERILRTNRFSELSANNDGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >LPERR09G05120.1 pep chromosome:Lperr_V1.4:9:7001719:7006688:1 gene:LPERR09G05120 transcript:LPERR09G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASPSPATSPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQLFSPEAGDGDGGGEVAEYLEGRAAVPIPTYFIGDYGPAAPRLLAKAAAGARGFFPGGIEICPNLFWLRGSARFTLHGLSVVYLSGRQGPGGPGCYSQDDVDALRALAEEPGIILDPHGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASIMSSADIHAGPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNHLCFKFTSSGSCPRGSKCNFRHDEEAREHYNRNVCFDFLNKGKCGKGPECRFAHSLSDEGAVRDAKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALPKGPLVPDHVLVIPVEHCSSTLMMPVEAEAELGRYKDALDKYFEKQGKTAVYFEWVSKQSRHANLQVVPVPLSKAASVKKIFHLAAQRLGFEFSVVNPDGDANHGRELLRSEYDSKSSLFYVELPEGSVLSHLIDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQIVDDFKQGFREFDPAE >LPERR09G05130.1 pep chromosome:Lperr_V1.4:9:7013708:7014628:1 gene:LPERR09G05130 transcript:LPERR09G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTKSNSISSSTTTTTNSSPNPPRNAAARVGIGGGGGGQSQACAACKYQRRKCNADCPLARYFPADDQRRFQNAHRLFGVSNIQKTLRRTPPELHADAMQALTFEADARAADPVGGAARLVKELDLQLKAVYSELLSVHHQIMLHQAAAAAAAAASENSLPPGMIFSGAGENEEDAMVDSFYVDQQVAEQYLVKDEVQPCLYYETAAAGDEGSSHAWTSGDNGGGENSTPMVLSDQLRQHYQIDQAAQVFDVKPEVTMVGHVDGGVVVGQTETKVAAAKAGSPSSSSAEAAAAARCQLELGRSL >LPERR09G05140.1 pep chromosome:Lperr_V1.4:9:7018809:7019459:1 gene:LPERR09G05140 transcript:LPERR09G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWLWPLPAAWLLPGSTAAWFVVLNAVVLAIALLSSRARESLSPRSSFSIFSFPSSSLAAFQPDADPQTPSPRNPPFPAPGTPSSPPYAAAIAGAAKQHTSVPAPETETPPAQQPEREEDYEEEEDYGEEEEGNSMTMEETYAMVMAAKDRPEAAMEEEVRTSEVDAKAEEYMDEKRRQRLDSIFNYTQMLKQRAAAGRRQPQQQPAPAAPSGG >LPERR09G05150.1 pep chromosome:Lperr_V1.4:9:7021005:7024087:1 gene:LPERR09G05150 transcript:LPERR09G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSADPSLPGFFSVPSPKSPDYRARLAPVTADDTRISASLKTGHLRNDDPPSPPPELEKVVVCARCHSLRHYGVVKRPEAEPLLPDFDFVAAVGPRLASPTGARSLVLLLADASDFDGSFPRAVARLVAAAGESHCSNWKHGAPGNTTRALLVAKLFDTPGLLHGHQLTSRLNREEQKLVRVSKEMRPRTYRIKTGQSVHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTENAATMADDHFGLQLQPPIGQERVNELGTWVRKQFKVSGNSWDVNTKDIAIAGLGWFGIGISREFYGVSIGVNPIIAGCWEPGKIHVFQSQKLLQIWRLLRLYLTISEVLSSCFRR >LPERR09G05160.1 pep chromosome:Lperr_V1.4:9:7032004:7034027:1 gene:LPERR09G05160 transcript:LPERR09G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGSSHRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYSLVAHPYAAPAYDKKAPPGHVRRVDAVMPVAAAHTSGEERLATMFSDENPNACSIM >LPERR09G05170.1 pep chromosome:Lperr_V1.4:9:7036841:7043731:1 gene:LPERR09G05170 transcript:LPERR09G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGRVDHEGINVVGFEVPTSPDSSYNNPIPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >LPERR09G05170.2 pep chromosome:Lperr_V1.4:9:7036841:7045000:1 gene:LPERR09G05170 transcript:LPERR09G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRKLMIAARSCVSCWCSRLRRIISCLRHASSRAIRSASRFSNPSKNSCCFSSCLSRTCFMKPSKKPISSLLSSPRGLLLPIWNSSVIIVSSSDILSDSTFDSNLGNELLLTLSRLIGCDWGISNLLVEAMDSDDSNTGLLTGTPCCCLLVWWSLVRL >LPERR09G05170.3 pep chromosome:Lperr_V1.4:9:7037227:7043731:1 gene:LPERR09G05170 transcript:LPERR09G05170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGRVDHEGINVVGFEVPTSPDSSYNNPIPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >LPERR09G05170.4 pep chromosome:Lperr_V1.4:9:7039401:7045000:1 gene:LPERR09G05170 transcript:LPERR09G05170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRKLMIAARSCVSCWCSRLRRIISCLRHASSRAIRSASRFSNPSKNSCCFSSCLSRTCFMKPSKKPISSLLSSPRGLLLPIWNSSVIIVSSSDILSDSTFDSNLGNELLLTLSRLIGCDWGISNLLVEAMDSDDSNTGLLTGTPCCCLLVWWSLVRL >LPERR09G05180.1 pep chromosome:Lperr_V1.4:9:7040989:7045532:-1 gene:LPERR09G05180 transcript:LPERR09G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKTNTRYGELSKQWSREELMALLKIRLDMDDEFRWICVKDPLWEEISRALAWLGYKRSAKTCKKRFEDVVKFYKRTKDHHTSRQQQGVPVRSPVLLSSLSMASTSKLEIPQSQPMSLDNVSSSSFPKLESKVESDKISEEDTMITDEFHIGKSNPRGDDSKELMGFFEGFMKQVLERQEEKQQLFLEGLEKREAERMAREEAWRRQEMIRLSREHQQLTQERAAIISFLHRIGQTPSGVIPPTTQTFPWLQQPVPAVPSPKPENMETMQHDEASVNLHPSNSLTDEPPLNCAIPGTVESSTTQHPTACSVHIHRQYTPNSMQNSNMQQIHDSPSFASWMPDEFTADSLQNSTVQKIHDPRTLQYSASFASWMPDENSPSLNSCRQDEWFALETTIHDMYDLIELAECWLHKDAIANLLQEAKDTVCCTEDFLDKLSYYKLQEKAGFNANKSSCPEFSDIEMTEIHGNLSHLREQMGNLGLHDMQPQHFIFESFSQKQHRFTYKETIIGRQEELQVLMDSLILDKNSPTGGQVTEVPDSGRATQKNLSVLTIIGDGGIGKTALAHTSFHHQRVQDHFDLLVWISVSDGFDDKKLIKRLACSVAESEMNSDDLSCLQKVLTNGLIHHSMRLLLVLDDLQEDVCQEYYRGWERFLVPLKCARQGSTILVTTRSMKVAEHISTARLQLADLPEEISWHFFSMHAFDSPISDSDQAVECIGRTIAARLNGSPLGSKIIGCLLNLKVDAAYWKSILENIGLEYIDQLVRRSFFQIFPTSSGSRYVYVMQGLLYETAQEISINECFLIKDSSDLLRIPPKVRHLSILHFSGLSSSDLESLHKYKTLRSVVCISIDSNVLTTCVLETWFSHLTNIRMLRFISCQLAELPGNVCDLILLRYLDISSCKFEALPDSIWCLHKLEILDAQQCGFHGVPKDIVKLVNLRKLRLKDDLINQLGHVPGIEKLVYLQEMPYYAVGDTPGRGIQELKNLNDLRGALEVSRLHNVTSKEQAAKANLDKKIHLNTLVLSWHESTRPGKVNADQEMEVLEGLCPSPSMKNLEVRFYMGFGFHPSWLLNGKNDEPTSSRLESLSINSCPNITRLFITGAASTSTSSRGSSLEFKSLTKLCITWCRKLRNLDNLLEPEILPEIRMIQISNCEELESLPANRFSEFTHLEDLEVSHCWSLSWARGFTLPSSLKSLKLEACGELVDYKLSCCLRELPALATLELQFCSGMESIDAKVWSGMPSLRSLKIFCCQELSSFGGAESISKVEKVDIRYCPKLTELEQPFQRG >LPERR09G05190.1 pep chromosome:Lperr_V1.4:9:7045582:7046340:-1 gene:LPERR09G05190 transcript:LPERR09G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRPQYNLGPIYLIFPLSYLGRPPCSCGRIALHQPRSEVARLVIARQGRPSFSFDFCCGNPVVVMLPTKP >LPERR09G05200.1 pep chromosome:Lperr_V1.4:9:7057138:7060512:1 gene:LPERR09G05200 transcript:LPERR09G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDSGLWPNAPRARIFSSRVRSTAPTNHSQFLTGGTVAITVPNLRISDGELIYPKPKRIEANQLIACDSAGRARPMNLFLSATSVISDANNWFEFIGTAFSAVSELLLQWRRKQEYLQGQSECRQLKWKDDEKMKQLRYCMLGLPDLIKHAEWLSYVKCDKEVTELLSELKIRLYDAYDLLEEFRYHHHQLQLGSDAQGVAEQLQNDFLQNITDGSIVRDILDDLSCLRSTLGSAIDRRVPQQFGKLLRPAMSAFYDSSKVRSLQKEVDELLDLLEVKICSEPACKRRIVGGTSSGCKSSKAASSASTRAPCNRSQEISDNVIVSAIAGIGGVGKTTLARQVFNDERVKRYFDLRIWISVSDDFDVKRLTKEFIQSALKNSMQSDNLYSLQQVLTQGIVKSKFLLVLDDVWDDVYANQDNRWDNFLEPLKSSQEGSAILLTTRSQRVADMVNENRQFQLEGLPPTIFNEFFEACAFGANYHRVNSELNPIGKMIIPQLKRCPLAAETLGRLLKPMLEKEHWSWVAGRELWELKQEKYDILPVLRLSYLYLPRHLRSCFLFCSMYPKGHRFDKDTLVNNWIAAGLVEPCKGRKLESDAYQYFEELVHRSLLHKEASGESSNTMYIMHELMYDMAQLVSDNECFIVKCSEDLQKIPEDVRHLSIIGSSDLNETNLKKLCEYKKLRSIVCHGVNSDIITPAANHWFEVLTKIRMLSFLSCKLNYLPESIGNLKFLRYLNIGECTFQEFPLSFWQLQNLLIVDAQKCHVQHIPEDFNQQRGKLLRSKLANRIANEPGNFVF >LPERR09G05200.2 pep chromosome:Lperr_V1.4:9:7057138:7060744:1 gene:LPERR09G05200 transcript:LPERR09G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLSATSVISDANNWFEFIGTAFSAVSELLLQWRRKQEYLQGQSECRQLKWKDDEKMKQLRYCMLGLPDLIKHAEWLSYVKCDKEVTELLSELKIRLYDAYDLLEEFRYHHHQLQLGSDAQGVAEQLQNDFLQNITDGSIVRDILDDLSCLRSTLGSAIDRRVPQQFGKLLRPAMSAFYDSSKVRSLQKEVDELLDLLEVKICSEPACKRRIVGGTSSGCKSSKAASSASTRAPCNRSQEISDNVIVSAIAGIGGVGKTTLARQVFNDERVKRYFDLRIWISVSDDFDVKRLTKEFIQSALKNSMQSDNLYSLQQVLTQGIVKSKFLLVLDDVWDDVYANQDNRWDNFLEPLKSSQEGSAILLTTRSQRVADMVNENRQFQLEGLPPTIFNEFFEACAFGANYHRVNSELNPIGKMIIPQLKRCPLAAETLGRLLKPMLEKEHWSWVAGRELWELKQEKYDILPVLRLSYLYLPRHLRSCFLFCSMYPKGHRFDKDTLVNNWIAAGLVEPCKGRKLESDAYQYFEELVHRSLLHKEASGESSNTMYIMHELMYDMAQLVSDNECFIVKCSEDLQKIPEDVRHLSIIGSSDLNETNLKKLCEYKKLRSIVCHGVNSDIITPAANHWFEVLTKIRMLSFLSCKLNYLPESIGNLKFLRYLNIGECTFQEFPLSFWQLQNLLIVDAQKCHVQHIPEDFNQQRGKLLRSKLANRIANEPGNFVF >LPERR09G05210.1 pep chromosome:Lperr_V1.4:9:7061910:7069142:1 gene:LPERR09G05210 transcript:LPERR09G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESILLSLKSQHHQTQATNNHVPENEDEAQEHQLVPSHLQHILLSLKMWATNLATSTTPNVTTAIVAASIESNCCDVTFHSLEDVILDDATVILRGDWCFHPLLSCVEVATTVVAIASVAAS >LPERR09G05220.1 pep chromosome:Lperr_V1.4:9:7063854:7068101:-1 gene:LPERR09G05220 transcript:LPERR09G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSGSIRVGHASRGHACRRIARGRTDAQPLHHTTQEMRSTVQPTTQEKSTVQQMEPWLVHKLPVKGTSKPSQKSVVNQLVPANNIYQYMDNNERQMFHRTLSRIRELVKLAGWWVHNDAIARLLQEAKDLVYSAEDFLDCLNDLVYSDEGFLDHLNYFAEEFFNFSNRPMEIQQKINHLIEQTKHLRLHDKRQRFIDESLDTKVDLFADEQTIVGRERDLRKLIQLLGLQGSSSVHDHEQVSCTTTVPDLNRGRPENVSVLPIAGSGGVGKTTLAQHIFKNEKRVQDHFDQLIWMCVSDGFDHERCLTDGFIHPSKKFFLVLDDVQEDVCKEEYNGWKSFLAPFKSARSGSTILVTTRSLKIAEHLGTMKHIVLDGLPEESLWELFRMHVFGSDNSNCSQELEGIGRSIVRRLNGSSIDAKILGKLLSLKFDAIYWKKILESELWYLPRQEMIGSNPALLMSYQYMPSHLRQCFSFCSLYPKGYNFEAEILVNCWVAVALVAPDGDMLAADIGHLYFQQLVGRSFLHRVTSSKYAMHGLLYDMAQQILSNKCFVLKGRDDISRIPHKVRHVSILGHSGLSSNDLERLSLYGTLRFHGVPKGITMLVNLRKVRLKGDLMNQLGCVPWIGQLVFLQEMPYYAVDDTPGRRFEELKNMNHLRGALEISGLHNVTCKEQAAEGDLDKKIYLNTLTILWHDSISPDKHNSSQEMEVLEGLLPSPSINHLEVRFYMGSGFHPSWLLHGEEHEPTSSKLESLSINSCPNISSLFIIEIGGSSSRGRSTVFRSLTKLCITWCRKLRSLDNLLRPELLPEIKVIRISNCEELASLPTDQLSEFTRLEDLEMSHCWSLSWERGLTLPRSLKSLKLGTCGEITDSAISCGLCELPSLATLDLQFCSGVESIGAEVWSGMSSLWSLKIFCCQELSSIGGAGSIAKVEKVDIRHCPKLRELERPFQRG >LPERR09G05230.1 pep chromosome:Lperr_V1.4:9:7072669:7074494:-1 gene:LPERR09G05230 transcript:LPERR09G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDESSSSPATKKAKKPSVPVTPAGPAEVIALSPKTLLATNQFVCEVCSKRFKQEQNLQMHRRAHNLPWSLKRKEVNPARRQVYVCPEPTCVHHDPAACALTDLSAIRKHFRSKHGGKNRHYYDKVYRT >LPERR09G05240.1 pep chromosome:Lperr_V1.4:9:7076216:7080350:1 gene:LPERR09G05240 transcript:LPERR09G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLTANSLVSDANQWFEFLSTAFSGAGELLSRWRERRRGEAERRQQMWKEEDAKMKQLHYCMLDLPDLINHAEWLSFVKDDKEVAKLLPKIKSRLYDAYELLEEFNHHRFQIGLNPCESEEQVGDDFLQSIADGNIVRGILDYLSYLRSAIGSVADRRVRSEQDKFGKLLRPAMSAFYDRSMVQSLKKEVDEVLYLLEVKKISCTVLLRLHENGEIRGELLQAAKGPRQETSDDNVIVVGIAGIGGVGKTTLAQQVFNDERVDKFFDLKIWISVSDDFDVKRLTKEILQSALENSMQSNNLCSLQQVLTQGIVKSRFLLVLDDVWDDLYANQDNRWQNFLEPLKTAQQGSAILLTTRSQRVADLVNENRQFQLEGLPPKIFSKLFKACAFGNDSCQVNTELKSIGKMIIPQLKRYPLAAETLGRLLKLRLDKKYWNWVSERELWELKQEKYDILPVLRLSYMYLPRHLRSCFLFYSMYPKGHQFDKDALVNNWIAAGLVESCKGDKLESDAYQYFEELLHRSLLHKEASAESSKYVMHELMHDMAQLVSENDCFIIKCSEDIQKIPEDVRHLSIIGGSDLSETNLRKLCEYKKLRSIVCHGVNSDIVTPAAKHWFELLEFLY >LPERR09G05250.1 pep chromosome:Lperr_V1.4:9:7083101:7087051:-1 gene:LPERR09G05250 transcript:LPERR09G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSRSLAAPPLGVGAPRRLLCSLFLATTTLTILATAATVRQDWEISYQFTSPDCVRKLAVTINGQTPGPTIRATQGDTIVISVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDVFVYKFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVPRGQAEPFVYERDHTVLLNDWWHRSTYEQAAGLAAVPMVWVGEPQALLINGRGRFVNCSASAPTMVEGCNVAHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIEGHAMTVVEADGHYVKPFVVKNLNIYSGETYSVLITANQDPNRNYWLASNVVSRRPGTVTATAILSYYGGRSSPNANPPTTPPVGLPWNDTAARVRQSLATVSHPAHIIPPPAKPDRAILLLNTQNKIDGKIKWALNNVSFTLPHTPYLVALKSGLLGAFDERPPPETYDHQRYDVFSPPANPNTTSSDGLYRLRFGSVVDVVLQNANMLGANSSETHPWHLHGHDFWVLGYGYGRFDPAVHPATYNLKDPIMKNTVAVHPFGWTALRFKADNPGVWAFHCHIEAHFFMGMGVVFEEGVERVGELPKEIMGCGKTRGGGH >LPERR09G05260.1 pep chromosome:Lperr_V1.4:9:7111581:7114312:-1 gene:LPERR09G05260 transcript:LPERR09G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACVLLDRTVFFRDHPLEPEPPVGGRAAAAAAAGVVGSTTAAASSDSDPLRPETREENIGQYLRAMKPDLRVFDPPKAMLSVDTRKTRVISADQENCPETLLRSRFLISFNASHQGSKYHQLMKKVRQHDEIYLS >LPERR09G05260.2 pep chromosome:Lperr_V1.4:9:7111581:7114312:-1 gene:LPERR09G05260 transcript:LPERR09G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACVLLDRTVFFRDHPLEPEPPVGGRAAAAAAAGVVGSTTAAASSDSDPLRPETREENIGQYLRAMKPDLRVFDPPKVSCLTLVRPLSSNQPNYGRHLASGLVAAASDNLVVIYAGEYRPAASYHGWYLLVDAASSSLSTIPGIPYMECSSSTSGGIGTVVMARQGGGSFRSEWGYKEGNLPAQVVYPLTVYKSFSVQSRNLLCWADLVHGLLLCDLGRYEVDSSDLGMSFVPLPDSCPLSKKWRSNPRDIRTMACVDGTIKFLTIDGVREGRPISLITYTLHLDGPSSPTWTQDTVLRLDDLWADDTFISLGLPQITPLFPNLSTQEHDVVYLCIPGDVDLVEGCRVTRVKAMLSVDTRKTRVISADQENCPETLLRSRFLISFNASHQGSKYHQLMKKVRQHDEIYLS >LPERR09G05270.1 pep chromosome:Lperr_V1.4:9:7132038:7132535:1 gene:LPERR09G05270 transcript:LPERR09G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRAFPPTCHLLFPKPRTLLAGVELCPRGLLAGVEVQQDVHGRRSSKEEQIDTATLGAAAMAAPSQTLGCGVGAAAVTAAAAGLFFGRGDHSTAPARGVGLAPPPRSRGRGGGLVAATLPVTAPFSIDGTFPSSSSASMVFPFPSSPSAVRFDAAGDDSSPGSW >LPERR09G05280.1 pep chromosome:Lperr_V1.4:9:7161935:7163352:-1 gene:LPERR09G05280 transcript:LPERR09G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLMQLLRFLVFFLSIFAVAAGAGEEMHDGIVVGPSFIVCRPSCVVAGVTTDDGDALARSSLDLVGHKSSKVAGGVLRWAGHNNHGDAVLLPDPVYGDEKPSARSPTSSMRDGEPRGRGGDNTFNTNCDDRRWRAHPPAVDAVASLRFVDPVVDALHQDIPKHISFNHFPMLHRIATDRTAQPSTRDTVLIALMIMTVRFGLSDQKKKIRRRGSRAAAATTTLILVMLLSVAALPNGAAAARPLHDGGGGHVQAAAPPPAMVTKSFASSGRSSCTNDPNTMETGPCVHH >LPERR09G05290.1 pep chromosome:Lperr_V1.4:9:7167602:7167841:1 gene:LPERR09G05290 transcript:LPERR09G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRIIVVVTLVGWICIAALIDKDNNTLKLNSMSCSTTDNYTGSGHMKNLDKLLSALSANAIVSDGFNNIVVSKGTAD >LPERR09G05300.1 pep chromosome:Lperr_V1.4:9:7167857:7171032:1 gene:LPERR09G05300 transcript:LPERR09G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYADTNLTEFHKCLAGTTDRIMQLCPGSRAVNINFNVCLLRYSNVQVFTKLMYDTNLAAAWYQFAKSYVKNVTHKDIARLKLLSWLSQNSSDKLLRLDYGNVIYMDLCLGMPMIYGMDQCTRDLAPRACNRCLHGYIGLIRKFYLKNTSSSVKGYKCYLWFQLLPFHIMMLTSLPPPPPRASKGFIIILTIGGCVSFLVGKHISWTRLQEMDKDDLFNDEANDFEKSTGPRSSANATANFSDDHKLCEEGFGSGYTGIMRDSNIEIAVKRVSNTSKQGMKQFALEVRIISRFCHRNLVPLIGRVSLTGGNRTVTAPYLRCSSSMSSCSVVVQRPAHIYSPENSLPWPVRYNIVIGFSVALVYLQHKAEQHVLHRDIKPSNVILDVSVQRPVGDFGLSRLIDESRQLYTTGIADTLGYMDAQCFLAGMASIDSDIYIFGVFLLEDMCVLQDGGEYAIQLVQWVWDMHDDNTRMHNIADSLLLIVQKYSVSRNFQRGCESDEDDNTLKLNSMSCSTTNNYSGSGYMKNLDKLLSALSANAIVGDGFNTTVVSKGTAD >LPERR09G05310.1 pep chromosome:Lperr_V1.4:9:7171273:7172465:1 gene:LPERR09G05310 transcript:LPERR09G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARLKLLSWLAMNSGDKSLRLDYDNVIYMDLWLGTSMMYGMAQCTRDLAPTDYNRCLHVYIDLIRKFYLKNIINSIKGYKCYLRFQLSPFDIMLSTSSPPPPPRASKRFIVILTVGGCVSFLVVLCLSMWLILHRRGKHISRTRLQEMDNDVLFNDEENDFEKSTAPRSFAIATDNFSDDHKLDEEGFGSVYRGIMRDSNIKIVVKRVSKTLKKGMREFTPEVWIISWRYHTHLVPLIGWCHGGSELPKNSLPWPVKYNIVIGLSVALMYLQHEAEQRVLHRKIKLSNVMLDMLYNARLGDFGLTRLIDESRQLYTTGIAGTLGRAIDSDIYSFGVFLLVAIDGRYRPLSTINITKIKEN >LPERR09G05310.2 pep chromosome:Lperr_V1.4:9:7171048:7171267:1 gene:LPERR09G05310 transcript:LPERR09G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYADTNMTEFHKCLARAADRIMQLCPGSRTVNINFNACLLRYSNVSSPNSRMTPIWPLPSTSLRRAMSRT >LPERR09G05320.1 pep chromosome:Lperr_V1.4:9:7173924:7174592:-1 gene:LPERR09G05320 transcript:LPERR09G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDFWVSPFGQRCRIALLEKGISYEYSEQSLAQKSDLLLRSNPIHKKIPVLLHAGRPICESLIILEYIDETWSDKSPLLPFDNPYARSCARFWADYVDKKLFDSQTRLWKLKAGEADHEQAKRDMVETLQTLEKELGEKDYFGGDVFGFLDVVIVPFVAWFYAYERFGGFSVEEVCPRLVAWAKRCRERESVSKTISDPEKVYEFALYLKDKFGAK >LPERR09G05330.1 pep chromosome:Lperr_V1.4:9:7177172:7179351:-1 gene:LPERR09G05330 transcript:LPERR09G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPLRFLFPFPFLRVNGGGCRGACGAGEYGAQLPYPRDQQLHPWRQPFFAQTPPPHYQRPETNTASPSFRAVIAAPPWWKEGSALERVEAAMAARKALVPAGERAGYDGISSDTDGAQGMRTSSLGVRGKRRKLDGTGAQSLLSKKARRQLEKTIDPSTLSLREDKLQEYFATWMAHCRQLSVERALDLMIGYYRLEATFNQKNQMKKSFTEYPALGLLNYSVRSMGALVLCSLLKLSIPESMKPGISATIDDVIRRTVEYFESNGVTGPESISLKRLHDCEEWVTSQFSSEQFSGLGHGTFLEFWKQIIIISLLT >LPERR09G05340.1 pep chromosome:Lperr_V1.4:9:7183092:7184854:1 gene:LPERR09G05340 transcript:LPERR09G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKGSKNNNPMRRTTSMTEFSPPDVLAAVVEEEEEDLEAMMTPGGGGGHSGGAGQDWLASFGGGGGGPGQDWLAAYRARAAPARAGIRRNSADYCAVETASFLRSCGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWKDKCGVTSASPAPATARGRSRKGATNGGTVAAA >LPERR09G05350.1 pep chromosome:Lperr_V1.4:9:7197320:7197856:1 gene:LPERR09G05350 transcript:LPERR09G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVEVRCGAAAVFGHGSSRRRPASGHCRMIIRAAATTVSSWGGGACSVSDYYKVLSLERERPEEVGAEEIRRAYRRLALRYHPDACANDPSRRAESTRLFLELRRAYETLSDPALRVRYDAALVRRRRAGDAAAAEAEAEAMARDVWEAQLRTLRARSDERRHGAGRSRRGRWFEM >LPERR09G05360.1 pep chromosome:Lperr_V1.4:9:7199648:7200462:1 gene:LPERR09G05360 transcript:LPERR09G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDFAKRYEADCRHLNQFLSGNAPLSNTRPILEIFTARSNQEMKQICRAYSSMYHQDLLQLLSQQKTTFARVAYLRASEPCVRDADIARDAMFGRRVDGDVLIEVICTRTSGEVALIKQAYQARYSSSLERDVASRTSGSLNEVSIHRSIS >LPERR09G05370.1 pep chromosome:Lperr_V1.4:9:7201145:7201815:1 gene:LPERR09G05370 transcript:LPERR09G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGSGRQMISSLITIFLHQSGDLVKRIPGMGPTKSQDATQRCSRNPNFDSASFVPKS >LPERR09G05380.1 pep chromosome:Lperr_V1.4:9:7201867:7202069:1 gene:LPERR09G05380 transcript:LPERR09G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLEEMVLDAATASGTTTDVSKGPGGVIGATRTMTIHIVHQLLPRLEFRLPDELSLFEI >LPERR09G05390.1 pep chromosome:Lperr_V1.4:9:7202165:7202515:1 gene:LPERR09G05390 transcript:LPERR09G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVYKAEKEGCLYSTMVALSIAGGGNDCVTLCVYRRGRCVAVAQHGDALWVRVEVGWEYMEPSEYDRGSFYMACYDGTVLRVSIPLSALVIHGSVSSSLGRMG >LPERR09G05400.1 pep chromosome:Lperr_V1.4:9:7208209:7213434:1 gene:LPERR09G05400 transcript:LPERR09G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKQDPNTALLICKDRLRHIEQAIDSRYALSAAQLAYEQSLRSVGTALKQFVEAHKDDDDIEKSPHSSCAIVSPLPPHCSDINHMKSQTSTSVTVIINANQASSVQKEQSITTSYPTPRQPEFCSSWEFFDPTIVNENDASDTSGNNENFSLKNLDLSNPNERELASSIGNKSEIVEVGEVFGSPIWKQVNKNDNLPDLQNTDSNETQMYGTHLPNDSSLKDVQMHAIGGQNSNVLSENSKTEASHVDNVNLPKQSYSEMEDDSSIDFLSCVKDLEHQFTGAAVTCHEVCRMLETKKIRLTISSQTTDPEMHVKKVITWNRSLSSRPSASKNPPTPAQADNEFSDLCSDFVEEFCMISGSHASSLDRLQAWERKLYNELKGIESLKKIYDKKCVELRHQIERDASATQVDKTRVIVKELYSRLSVGTAALYSISKITERLRDEELQPQLLELLKGLTRMWAMMHEIHQIQQTIVSLSDINFVLRPPPSKPSKEPLVNLINEMGLLYSSLTNWINTYKSYVEGLHSWLQKCVAPRHDHSSETELTISPRQHLAPPMFVILEDLSSGIASLSLPAKEARDSIKNIVSDLKKMYKHQAEGNKSNSGSKLASLQARLGTMFDRLSKFSAAMSAMSESVNNAGEAACEAYAVGRSG >LPERR09G05410.1 pep chromosome:Lperr_V1.4:9:7228280:7229118:1 gene:LPERR09G05410 transcript:LPERR09G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIRDASGSPPPVYSQFSPTSPFSFPYFQAQPELSPAQMHYIQARLHLQRQAAAQSGPLGPRSQPMKVAVSSSSSPAAATPPRLQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNAKKSSSSAAISASASASASASTPTSNCSSPSSDDASSSVESADSSPAATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLATN >LPERR09G05420.1 pep chromosome:Lperr_V1.4:9:7243297:7244204:-1 gene:LPERR09G05420 transcript:LPERR09G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGFFRFFPWTGTAGKWPRRGRLLLGWFCMEYTLLLFTGKRWPERRSPPTQILLLCIDLIRIYPRQIKPSGRVYSYYNEAKLA >LPERR09G05430.1 pep chromosome:Lperr_V1.4:9:7247096:7249449:1 gene:LPERR09G05430 transcript:LPERR09G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVAAAAAATATLFPPYPPPRAGIAVRRKCLQAAAAAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDDERAAWMARHPSALGEFEQVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVYDFVKLAELYYAGSHGMDIKGPSPSTTCRHGGKAKKGVLFQPASEFLPMIEQVHQRLEHEMSSIPGAKVENNKFCVSVHFRCVDEKSWGALAERVRRVVREFPKLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGLGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWKRLSRARLRL >LPERR09G05430.2 pep chromosome:Lperr_V1.4:9:7247096:7250095:1 gene:LPERR09G05430 transcript:LPERR09G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVAAAAAATATLFPPYPPPRAGIAVRRKCLQAAAAAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDDERAAWMARHPSALGEFEQVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVYDFVKLAELYYAGSHGMDIKGPSPSTTCRHGGKAKKGVLFQPASEFLPMIEQVHQRLEHEMSSIPGAKVENNKFCVSVHFRCVDEKSWGALAERVRRVVREFPKLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGLGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWKRLSRARLRL >LPERR09G05440.1 pep chromosome:Lperr_V1.4:9:7259198:7261072:-1 gene:LPERR09G05440 transcript:LPERR09G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGVGRTPSLRVGRTQEYKMGRETQLLAAEDDDGGSSTPVSLFVLCGDRFEAARLFRSGGLSVRMARVEGYPVSMASCVVGDHHWMLSRDALVARLDALVFVFEMPGFFYAVVVPPDAGGDRKCATLAEIFLRFCSYHDLSTTHQGEDETGDLTNQNSNPWVRAHARIQRLKKGPTSQPATAGDADGIASQLERAVRTSAVVKLLSRSLLAGAIQPARHLTIALAGAASGAAALPTKSIVSDLLEAIETSRTSPRRDAARRAGNGGWWWLNVEGVMLLLRVIQAVRGRKLPAAAAAKRPRGEASDAAAGLRGGGIIGGGGGAARRWCGGRAKKMGNTVGACGSS >LPERR09G05450.1 pep chromosome:Lperr_V1.4:9:7274036:7275127:1 gene:LPERR09G05450 transcript:LPERR09G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAAGAGGGGGKVAMATTTAATDFLVCFPARQHLALMPKPICSPSRTTVDKAVAARRRQLQLPPPARRGRGSSPLFRGSKAAKNGAGEVVEEPQSPKVTCVGQIKVARPKKQRKVVAGKHGKGVAGAGEGDGEGRSWITVVEEIERLRQHEQRKKKDKVSWLEAIGIRRDAIPFLGGALRSLRLKVRCFGSFHGAVESSTDDEDDDDDDDGEEHESAGAAASVFSKWLMVLEGSEETPEHDSGDDDDEDEEEPDQQVDDELCSNAPPANALLLMRCRSAPAKGIPRRRVEDQPPPAGDGEAVEEKGSAAAGGDGKEEERDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLARSRSWKR >LPERR09G05460.1 pep chromosome:Lperr_V1.4:9:7275219:7281748:-1 gene:LPERR09G05460 transcript:LPERR09G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWASRRPGFLSWTRSRTNPTKPTSDPTRHHPKQPKQNPPLSHFLSSPPSRFPSQFPVTSLGFPLLKSTALRFRLAAVCPIMLAARALKLSMLRRLGATAARAAERLPPCRPRVFPARSNHSRGYSSEGSSKYNRPMRHFAEENETNSQPLIYYIVPSALLAFAGLVTFVHYNDEKRAVTQEAQQSSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLIYFGYTSCPDVGPAEVQKMADAVKLLESKYGTKITPLFVTIDPQRDSPAQLKAYLSEFDPRIIGLTGTINAVRQIAQEYRVFFKKVDDVGQDYLVESSHNMYLLDPCLETARCFGTEYKASDLAEAITMEIQKASKSSTNYLSCPSWTQATCCHYACRVTKLDIKRRTNNN >LPERR09G05470.1 pep chromosome:Lperr_V1.4:9:7283873:7284760:-1 gene:LPERR09G05470 transcript:LPERR09G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTLPRLLASAKDGGIHNFPSLRGHPAARANAREAAENQSALAAKGASKPTTTVKEFRVYRWNPDSTNRRPHLQSYRVDLATCDGPMVLDALQKIKSEHDTTLAFRRSCREGICGSCSMCIDGVNTVACLKPIDTDTSSPTVITPLPHMFVVKDLVVDLTSFYQQYKSVEPWLKRKTRTEAAQGREYAQSPEERRRLDGLYECILCACCSTACPSYWWNSEEFLGPAALLHAYRWVSDSRDEFGRERVQALAEGWDRLYRCRMIKSCTATCPKSLDPAAAIAAMESLHQLGKP >LPERR09G05480.1 pep chromosome:Lperr_V1.4:9:7295642:7296920:1 gene:LPERR09G05480 transcript:LPERR09G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGGSLQSSSGGDDEFDSRGGVGGVDSSPLSALLRPSPSSSSAAAFSLHGSMYGGFQELGSLPPHQQQQGVSPWSAPQFAAAAPSSSPRVPPGSGAGRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFVAGVGAPAASLRTRFDHLFPSPASAALRDPASSLPPYLLRPFAQKLPTASSPFPRFASAAATTSTPSSSNIGVASNANATTTTSTAAGVATAATSAAAAGVDTFHHLTPSALLRMQQDATNSSGYLSSFPNVLASSSSQPMFGGFPRLHDASPSPSFSEFLGGGIGLADGGGLISSETLHHHHHHGGDELSGVVASGSGGYGSCKLNYAGASSSSSQAAASADKPPDGAAAAAGRPSRSEGLDPWICTSE >LPERR09G05490.1 pep chromosome:Lperr_V1.4:9:7301871:7303821:1 gene:LPERR09G05490 transcript:LPERR09G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHELAIDLKSGSFVLCADGTITLTMLSCDHEQGLIRCRCENSALLGERKNVNISGVTVDLLTITEKDKDETLSWFEVCLVNMPSRFYSCPSSEAPNPFGSLESLASLAVQIANMSKASLILVLTWGRTTARLVVKCITSIPVLSVRVIPILSTATLKAFDFESTDEAINSAIHYAKKLGVMFSSQDSDYRGL >LPERR09G05500.1 pep chromosome:Lperr_V1.4:9:7321929:7334340:1 gene:LPERR09G05500 transcript:LPERR09G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFTQVAVARGLNGVGLALVTPAIQSLVADCSDDNTRGSAFGWLQLTGNIGSVLGGLFSLMIASTTIMGIAGWRIAFHIVALISVIVGALVRLFAVDPHFCNIQEGDQLLHKSPWEEMKDLIIEARAVVKIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNMTGLLTTTFALASSLGGLLGGKMGDYLAVRHPNSGRIVLSQISSASAIPLAALLLLGLPDDSSSGFVHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHAYGYNPISYGAGVSNVGRDKSNAAALAKALYTAIAIPMLLCCFIYSLLYSTYPRDRERARMDSLIASELQQIELERCHRAGGYAGRKDATVIDVEYSAEESGDDDEKALIHYHAEQSGSFSIKTIRIVMGQQPEAEPERERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPTELGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVAISDTFLQVHGRNRRGAVRGGSVDGRRIVAHPYAAAAPRLRRRWGDLSAGRGPVARPAFPRTARAPASISASALLVKRRWRREAIARGLNGIGLALVVPSIQSLVADSSDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVFGIDGWRVAFHLVAIISVFVGILNWFFAVDPHFPMSNAGSCDRPASKQSARQVVEEMIKEAKLVVQIPTFQIFVAQGVSGSFPWSALSFTSMWLELIGFSHRDTAFLMTTFWVATSFGGLLGGKMGDFLALRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNMPIRAEIVPEKSRTSIYALDMCFESILPSFAPPIVGILAQRVYGYRPDDKGKSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQYLIESELQQMEQGSSCFEGGDKELPWIAGAADILMPAGEERFLTGDDDDGGEG >LPERR09G05500.2 pep chromosome:Lperr_V1.4:9:7321929:7334340:1 gene:LPERR09G05500 transcript:LPERR09G05500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFTQVAVARGLNGVGLALVTPAIQSLVADCSDDNTRGSAFGWLQLTGNIGSVLGGLFSLMIASTTIMVDPHFCNIQEGDQLLHKSPWEEMKDLIIEARAVVKIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNMTGLLTTTFALASSLGGLLGGKMGDYLAVRHPNSGRIVLSQISSASAIPLAALLLLGLPDDSSSGFVHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHAYGYNPISYGAGVSNVGRDKSNAAALAKALYTAIAIPMLLCCFIYSLLYSTYPRDRERARMDSLIASELQQIELERCHRAGGYAGRKDATVIDVEYSAEESGDDDEKALIHYHAEQSGSFSIKTIRIVMGQQPEAEPERERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPTELGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVAISDTFLQVAIARGLNGIGLALVVPSIQSLVADSSDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVFGIDGWRVAFHLVAIISVFVGILNWFFAVDPHFPMSNAGSCDRPASKQSARQVVEEMIKEAKLVVQIPTFQIFVAQGVSGSFPWSALSFTSMWLELIGFSHRDTAFLMTTFWVATSFGGLLGGKMGDFLALRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNMPIRAEIVPEKSRTSIYALDMCFESILPSFAPPIVGILAQRVYGYRPDDKGKSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQYLIESELQQMEQGSSCFEGGDKELPWIAGAADILMPAGEERFLTGDDDDGGEG >LPERR09G05500.3 pep chromosome:Lperr_V1.4:9:7321929:7334340:1 gene:LPERR09G05500 transcript:LPERR09G05500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFTQVAVARGLNGVGLALVTPAIQSLVADCSDDNTRGSAFGWLQLTGNIGSVLGGLFSLMIASTTIMGIAGWRIAFHIVALISVIVGALVRLFAVDPHFCNIQEGDQLLHKSPWEEMKDLIIEARAVVKIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNMTGLLTTTFALASSLGGLLGGKMGDYLAVRHPNSGRIVLSQISSASAIPLAALLLLGLPDDSSSGFVHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHAYGYNPISYGAGVSNVGRDKSNAAALAKALYTAIAIPMLLCCFIYSLLYSTYPRDRERARMDSLIASELQQIELERCHRAGGYAGRKDATVIDVEYSAEESGDDDEKALIHYHAEQSGSFSIKTIRIVMGQQPEAEPERERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPTELGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVAISDTFLQVAIARGLNGIGLALVVPSIQSLVADSSDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVFGIDGWRVAFHLVAIISVFVGILNWFFAVDPHFPMSNAGSCDRPASKQSARQVVEEMIKEAKLVVQIPTFQIFVAQGVSGSFPWSALSFTSMWLELIGFSHRDTAFLMTTFWVATSFGGLLGGKMGDFLALRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNMPIRAEIVPEKSRTSIYALDMCFESILPSFAPPIVGILAQRVYGYRPDDKGKSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQYLIESELQQMEQGSSCFEGGDKELPWIAGAADILMPAGEERFLTGDDDDGGEG >LPERR09G05500.4 pep chromosome:Lperr_V1.4:9:7333988:7340843:1 gene:LPERR09G05500 transcript:LPERR09G05500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEAIACIPPDGPRGGEMGGPAAAWEKRRTLLLMNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPLAAYTAVRHNRAHVIAVGAFLWAAATFLVAVSGIFIQRPQWHRPRAGHPVDSVAGRRLHRRRQPRHAGTAFGWLQLTSSIGSIIGGFSALLLASTTVLGADRWRVAFHLVAVAALVWLFAVDPHFSSPNPAAISPAAKRRRSAWEEAREMISEARDIFVAQGVSGSFAWSALSFMSMWLELVGFSHGETAALTTVFAVATSLGGLVGGMMGDALAAVYPDAGRIVLSQISAGSAVLLLALPDDPSSGIAHCLVLLVMGLIISWNAAATNNPIFAEIVPERSRTSIYALDRSFESILASFAPPVVGLVSQHVYGFKPAGVNGGERDRENAASLAKALYSAIAIPMAICSGIYLLLYRTYPRDRDRARAAAAAACDLEMQQHTKKKQRRRRRQSATLLLAYAALAMERADATLLPAVYREIGVALQASPSALGSIALSRSVVQAACYPLAAYLATRHDRLAVVALGAFLWAAATLLIAVSATFHQMAVAAALNGVGLALQIPAIYAFVADSVDGTSRGMAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAAGAAVGVSIRCFAAAAGGNATAATATANATKTVRHQMEEFAREAKSVLRVPSFQVIVAQGLTGSFPWSALSFTAMWLELVGFTHGETAALMAVFKLSTSLGALVGGKMGDALSRRFRDTGRVVLAQVSSGSAVPLAAVLLLALPIGGGDGENNPPPSAVRHGAALFALGIMASWNPSSTNGPILAEIVPARSRTSVYALDRTCEAVLASFAPPVVGVLAERVYGYEPPACHAGAGAVDVETERRNAASLARALYTAIAVPMVLCCLIYSFLYCTYPKDREMAARAEAAAAAAARDEGGDRLAGGEGSDIEDEGEDDERKLLPH >LPERR09G05500.5 pep chromosome:Lperr_V1.4:9:7330871:7334340:1 gene:LPERR09G05500 transcript:LPERR09G05500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQKQQQAEAEMVEVDVERDRRRTLLLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVAVSDTFLQVAIARGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVFGIDGWRIAFHLVAIISVFVGVLNWFFAVDPHFPTSNARTCDTPVSKQSAWEVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKDTAFLMTIFWVASSFGGLLGGKMGDFLALRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGVVLFIMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGILAQRVYGYRPDDKGKSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMGQESSCLEEGDCRFQVLDSVNDGERATIEVTSDVKDLAETEKDTAKLLANRGS >LPERR09G05510.1 pep chromosome:Lperr_V1.4:9:7341839:7342349:-1 gene:LPERR09G05510 transcript:LPERR09G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLVVLVVAAAASPASAKDYTVGESSGWRTGVDYTAWANGKAFVVGDTLLFQHNGVHSVEEVSAADHGSCSASNPLRSYKDGTAVVALTRAGIHYFICGTTGHCAAGMKLAVTVTTAGTTKTNTSAGTA >LPERR09G05520.1 pep chromosome:Lperr_V1.4:9:7345176:7346183:1 gene:LPERR09G05520 transcript:LPERR09G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAVMVVVIVLFFHIAVASPAVVSRKAKLLMDDDKAAKLATKRSPELMAKTKKPSESVTVGVIKPEESGKKTAKSAAEVEAALAAEDGAEGLISEFRDLPARLQETLVPDLARLSSTSRAYLSAANAGIADGVRPLLGGRWAPVAAAVASASCLLLLAALLRRVVLLLRRRRRRAPLLLMAQSYLAVYFATLAAAAAATGLEPLRLFHATSPAAYAWTQAAQSLAYVAYLVLQMVDLVAAFSSSDGGGEGEEEAVVATRALSLAQMMVGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLAAGVGEVWKKS >LPERR09G05530.1 pep chromosome:Lperr_V1.4:9:7348260:7353588:1 gene:LPERR09G05530 transcript:LPERR09G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLLSCDLRLDQAITMGANLNAKPTAPVVVSAESDELPPHTAAAHGQRGYCHYHATTASATPDTALFDPDNFVRLAMRQGPGGVSGVISCIKTTWTRSNGNALDAQAQNQSITMKLSTEEIIDSIVKELKLLDIDKKDTPDVKPDAKNEMVRDLIKQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRVQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNAAVHKLELENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDITECKTKITLVDRELSEVNKAIRNMEMKIREDAKAKEENLALAEQERSRRESAKANAERRLEEIRQKSEVESRCFKDDIRRLEDELARLQKSMGVNQPTVPSTHPPGVTDRNKARAQKQPNQRPSSASNKLQAASQKTNRRRDCVICKREEACVIFLQCAHQVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >LPERR09G05540.1 pep chromosome:Lperr_V1.4:9:7354317:7358296:1 gene:LPERR09G05540 transcript:LPERR09G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTGKGKEVVVVTSEAAEDDDDRRISEVENDEDKMFSELTQQMEVLRLLGLGNEPSRRREEEEDDVASTSSSEPAANLRHRVVAPAMMMPGGIWADTSAAAPSPCWTPRPRHGGGGDTRRDHNHGISGGGRADLAPAAALPPFAGQRTARRRGNHLVGGGEGEKIVAYLAANEEMVLHTLFHAPLNEAHLVADTIVDYAVDIMDNVHGQRLLTCVLNNCCFELHEAIVARITQHRDRSDGVVRMIKTCRSRKSCQLVRDAIVPWVMRSSKMQNLVLVDAMVENCIEIACHPNGLLFLQNCLECVALEEKYKIFTQVSINSLYLAKHRSGNYIVQDVLELGDMPHLEIIASCFKTHYVDLAQQKYSSRVVEKCLRVFGELEQGLIICELIVDLDNFRDLVTDEVANYVISTALLTCTEPIRDILANAILSLQNVNQRHPHCLKIFDILSKLGYTEQLLK >LPERR09G05550.1 pep chromosome:Lperr_V1.4:9:7360210:7366431:1 gene:LPERR09G05550 transcript:LPERR09G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGTKRPLPTDAGASAGDDDDDGALPGERKPRFPKGKKAKYRDPAAAAAAEGIDGLINPELAAERRARRRHRKEDDDQQGAASDVRGFEVRYEDGTNLVDDGIRLEPFNLEQEREEGYFDENGNFVEFARSNDIKDAWLDSVEVDPKYAEKIQKKKEKEKQEEFEDLSSDDIGKIKRRIANMLEPGETIIQALKRLKGTSSDKRGKMSEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEPEEDIFADSPKDKTTALLLDMEPGPSAASTSTTTTTSKEDDGDFDMFGDDDDKTDANRESDGNAIGSGSNPEQVPHDANETSEGDNGSVGSDYIYDPTSGYYYSSSTGYYYDSTSGCYCSASTGTWYSYDEQSGEYKEIQSEQPGTGNDTLGNGIKE >LPERR09G05560.1 pep chromosome:Lperr_V1.4:9:7369208:7369492:1 gene:LPERR09G05560 transcript:LPERR09G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDYEHWSSPEEEETTAAAPEHLHAIDLHSIDAFLEEAVPEDMVAAAREEEEERLRRGGRPRSREDGVKEMLRLWAKSVVKKAVETVAINQQ >LPERR09G05570.1 pep chromosome:Lperr_V1.4:9:7372917:7377173:1 gene:LPERR09G05570 transcript:LPERR09G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVEHLLGLSSAPVDWEAEAYPGYGDFAVLPFLVAFFPAVRFLLDRFVFEVLARRLVLGKGYDKLDETDESRKKINKFKESAWKFVYFLTGELLSLSVTYNEPWFKNTRYFWVGPGEQIWPDQKIKLKLKAVYMYSAGFYTYSIFALLFWETRRSDFGVSIFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVVSFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKIYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRVGDDVRSDSEGEEDHED >LPERR09G05580.1 pep chromosome:Lperr_V1.4:9:7375733:7379190:-1 gene:LPERR09G05580 transcript:LPERR09G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADASRGRHPSHASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKSQQGDWNHEAYLLFPVYMDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSARPARKEIRSRSEALRLQEWAAEHCSAPYRAPELWDCPSLADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNGQLKWPAGPSPPYPDALHQFVTWMLQPQPAMRPHIDDIILHNFH >LPERR09G05590.1 pep chromosome:Lperr_V1.4:9:7382933:7384921:-1 gene:LPERR09G05590 transcript:LPERR09G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVRSPGGGGGNAGSRLVVDITLRRCRGRRCRESPVLMRGSMGGKKAKTEEEKKDSLLLCSDVLTEVLQRLPARMLASYRFVCKSWMTELTDPHFVHEHLKRNQQKLLLFANDKANNRSLTMVLADDTGSTYQLTRPMTSKGLFVHNSCNGLLCLGDSTGAVELLNPTTGESATLPMPTYTAGSSQFASGNWHCLGFCPSTKEYKVVHFYLGANIDSSNVCCEIFTIGDNSWRQIGSFHGAPTDRGVHVNGVVHYLTKFRYIPSSRINCLNLENESFDVMMLPQRKSYGGHCSLAELEGKLCLLVVEGGPENPPRTMDILMFDSDAETIWTPKYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCFDPTSSSGELKMACPESDFPFSTHTFVESIVPLRKNYFVKQIQ >LPERR09G05590.2 pep chromosome:Lperr_V1.4:9:7382933:7384358:-1 gene:LPERR09G05590 transcript:LPERR09G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDITLRRCRGRRCRESPVLMRGSMGGKKAKTEEEKKDSLLLCSDVLTEVLQRLPARMLASYRFVCKSWMTELTDPHFVHEHLKRNQQKLLLFANDKANNRSLTMVLADDTGSTYQLTRPMTSKGLFVHNSCNGLLCLGDSTGAVELLNPTTGESATLPMPTYTAGSSQFASGNWHCLGFCPSTKEYKVVHFYLGANIDSSNVCCEIFTIGDNSWRQIGSFHGAPTDRGVHVNGVVHYLTKFRYIPSSRINCLNLENESFDVMMLPQRKSYGGHCSLAELEGKLCLLVVEGGPENPPRTMDILMFDSDAETIWTPKYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCFDPTSSSGELKMACPESDFPFSTHTFVESIVPLRKNYFVKQIQ >LPERR09G05600.1 pep chromosome:Lperr_V1.4:9:7389531:7391260:-1 gene:LPERR09G05600 transcript:LPERR09G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEAQQ >LPERR09G05610.1 pep chromosome:Lperr_V1.4:9:7396541:7396786:1 gene:LPERR09G05610 transcript:LPERR09G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATCGRRRRKIRDGTGGRVKCHREGKEGCTATRTCMEVHSARTMGQQVAHFLSAVDEVVLGWILPDLELVVACGGIWGAR >LPERR09G05620.1 pep chromosome:Lperr_V1.4:9:7421341:7426024:1 gene:LPERR09G05620 transcript:LPERR09G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSAVMSLSCSSPAAASAVAKLLLLVAVITTTTMTTSAGAGAGDEPTYETKSIDGSLAVMTLPAPVTGPESLAFDRHGDGPYTGASDGRILRWRGRSLGWTEFAYNSRHKSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLMRVSAAGGLAEVVATEAAGEPFNFLNGLDVDQRTGDVYFTDSSTTFRRSQYLLVVAMGDETGRLLRYDVRRRRVTVLHSGLPYPNGVAVSEDGTHVVVAHTGLSELRRYWVRGPRAGKSETFVEVPGYPDNVRRDGHGGYWVALSREADSDDMAPMVAVRVTTTGKKGGAVTEALAGFSFVTVSEVAERNGTVWVGSVDTPYAGVALGR >LPERR09G05630.1 pep chromosome:Lperr_V1.4:9:7440184:7442840:1 gene:LPERR09G05630 transcript:LPERR09G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPILMEAAMWLLLVLILILLPSTATPAAAAAAGHGEETMKSIYAGPKVLPVRLGRPAFGPESLAFDHRGGGPYTGVSNGRILRWRSDRRRPGWTEFAHNYKHATVEECGEEKKKAVEEESVCGRPLGLQFYRRTGEMYIADAYLGLMRVGRRGRMAEVVATEAVGVPFNFVNGVDVDQETGDVYFTDSSTTFRRSDYLLVVLSGDATGRLLRYSPATGNVTVLKSGLSFPNGVALSRDATHLLVAETASCRLLRHWLRGPRAGHTDLLADLPGYPDNVRLAAAAGEESYWVALNRDKDWAVNGTTTPASVAAVRVVVDGGGGGGGGGRVAVALRGFEAAATVSEVVERNGSLWFGSVDTPYVGLLRLDGLV >LPERR09G05640.1 pep chromosome:Lperr_V1.4:9:7443570:7449085:-1 gene:LPERR09G05640 transcript:LPERR09G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLNAVRNINEVIAPKLVGIDVRNQNDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELCNVRYAGEAFRSP >LPERR09G05650.1 pep chromosome:Lperr_V1.4:9:7457062:7458192:1 gene:LPERR09G05650 transcript:LPERR09G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYSEGEWVRTTTPPPYNGTSCGGGTIKPGQDCISHGRPDTGYLQWRWRPRRCDLPPFSPADFLHAARGRHVAFVGDSLARNQCESLVCLLTSEFPADLIRGSNDGGADRDGNEARKFRRWVFPTHDVTVSVFWSPFLVNGTEKSAAVGGIDHNRLYLDQPDERWAAEVGEIDVVVLSAGHWFLHPAMYYEHGEFIGCHNCPEPNRTDTGFFSVFRLAVRNSLREIITRSTKSQSPKSKLAVVTTFSPAHFEGEWDARNACARAEPYAAGEKEVGFMDREMWKSEAEEVAAAAADAAARRARVRVEALQVTAMAAMRADAHPGPYMSAFPFAAGERERVPNDCVHWCLPGAIDTWNEILLQMVKRWREGDESSSR >LPERR09G05660.1 pep chromosome:Lperr_V1.4:9:7458964:7463308:-1 gene:LPERR09G05660 transcript:LPERR09G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASSPAAKHGPHRRRLTPAPPSPPPPPNPRPTPPPRAFPPRPRLPPRPTPNLPLLPGLPSPHLRLRLRHPLPLPHPLRRPPRDPRPALRAPAQGRRRRCPRFVPPRPLGPPLRRRRRCAEGAHR >LPERR09G05670.1 pep chromosome:Lperr_V1.4:9:7464524:7464832:-1 gene:LPERR09G05670 transcript:LPERR09G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAALVASRRPAPAAASAAEERSELESEEGGEAYMSIRLEEIVIVKNDAYDAAARVAVGVSAVAMEEKKGVCGDDIADAASPAAAARGAWTTVTRIVGFD >LPERR09G05680.1 pep chromosome:Lperr_V1.4:9:7465581:7468384:-1 gene:LPERR09G05680 transcript:LPERR09G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIVSSWNKRRRSKSLDQLNPWVYKPAELWQMKEQGPAAAALPPPAKKRSSCSMVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLRDGQIVAIKKMDLPTAKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRLDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGLPQEQNLIVRIQQVAGDRKRLRKVVDRDMAKGSYTVESVSMFAALAARCVCFESSGRPSMADCVKELQFILYANMKI >LPERR09G05690.1 pep chromosome:Lperr_V1.4:9:7474614:7477393:1 gene:LPERR09G05690 transcript:LPERR09G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSSSAAAAGRSSAPPPPPVYMDDFALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKMFKKGSSP >LPERR09G05700.1 pep chromosome:Lperr_V1.4:9:7477926:7486087:-1 gene:LPERR09G05700 transcript:LPERR09G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPEHGAAAAPRRLTVGDLVRIRRPTTGAASLISSPSLSTSTAPPPRKKPKFPPAAPNPPPRSTAPFAPIPHRVLLSGTLSLPAASSPVACRSHCLSLSDSPFAADAASVCCYLLDFDPAAVGREIHVLAWNYLPSVHRDDGVGVLEVIRWCLAEATPALGSGFMRTIPLDCADADELDSGTYGHVFGVVRSCRGTPPEADEQHKFEVEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRMVSVGTKGSYTMLVSTRKTMLRWCPSYPAVVELDGSSGNCGGVYAGVVTGIYMQGLLIELDETVWLLLDDQHLAPSHSLRVGAVISVKNGRAIRLKFAWTRIVLLGTCIKTSITINSFSLVDSKCYIKAENRGLLGKFVDSFELPIRFWMLILISCFKQKFTKLFSEKEILGSKNVVPFANFICKGESFWILKMLKIWNGTEVMDKNQRCCPFLCDGISYPGTGKKIISSSDLGSVLVGRIKSSSLPGTLQLVDATGCIDVVIPDLPPNINNYKVVLQGHMAYLDHYDVTDPLSCKAAFQHFSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINTCGKLFHLLKLTHIYPENNTFQHKNLLGHSLYAEAVILPYDFKFTERGECSEHAESFRMSCTYSLGNSKVSMAKSCDIPCTLSFGTTKLYGSLVSIYYCGSVGERDHISRILLEFKDGMFNYQSLRIGGYFLLECPTESLNYSMKGCGCLQGGKISLGSQSRFWTLAITFNGNTNIKPANGDESIGVSSVKMDESFSRKAVHSEIKLVRTWNDFHQYCDFQLNIYCEAKREKMEKYNYICHVFNELCSYSNEVQSVSSFIKTRVPKRPSRSSNLQNDKLVQGDLISLQGKVDNIHPYGCKKEKNMVGNKKYNICIHVTDETHMVRLCGYVSKHGYPVGLGPGASVTFHRALLTHKHELFLTPQTYIEMSSISLAALNEECVVTPLISDCLKDGSLTRVPSCLLFSSQKHFAESRAIQFQCRVVTIHVLVLENILKDSQPSETRRGTINIKVLLAGFIVDDGSSLCCCWADDARAELLLRLQEVAIPHASVASKFSKDGVNIKQTVGSFLESMLKKHKRIIARNCGIPPGISCHDLELSSALNKVLSCLEEKLMKFIILNACWKGTLNVIASALNANTLNGFNLDLPDLHPVRNMPNFWVNQAFQVDPLDEARRMLGMSRRLN >LPERR09G05700.2 pep chromosome:Lperr_V1.4:9:7477926:7486087:-1 gene:LPERR09G05700 transcript:LPERR09G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPEHGAAAAPRRLTVGDLVRIRRPTTGAASLISSPSLSTSTAPPPRKKPKFPPAAPNPPPRSTAPFAPIPHRVLLSGTLSLPAASSPVACRSHCLSLSDSPFAADAASVCCYLLDFDPAAVGREIHVLAWNYLPSVHRDDGVGVLEVIRWCLAEATPALGSGFMRTIPLDCADADELDSGTYGHVFGVVRSVSVVFSMPRASHKSDVGGGDPSVGFIAEIMCCACRKCRGTPPEADEQHKFEVEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRMVSVGTKGSYTMLVSTRKTMLRWCPSYPAVVELDGSSGNCGGVYAGVVTGIYMQGLLIELDETVWLLLDDQHLAPSHSLRVGAVISVKNGRAIRLKFAWTRIVLLGTCIKTSITINSFSLVDSKCYIKAENRGLLGKFVDSFELPIRFWMLILISCFKQKFTKLFSEKEILGSKNVVPFANFICKGESFWILKMLKIWNGTEVMDKNQRCCPFLCDGISYPGTGKKIISSSDLGSVLVGRIKSSSLPGTLQLVDATGCIDVVIPDLPPNINNYKVVLQGHMAYLDHYDVTDPLSCKAAFQHFSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINTCGKLFHLLKLTHIYPENNTFQHKNLLGHSLYAEAVILPYDFKFTERGECSEHAESFRMSCTYSLGNSKVSMAKSCDIPCTLSFGTTKLYGSLVSIYYCGSVGERDHISRILLEFKDGMFNYQSLRIGGYFLLECPTESLNYSMKGCGCLQGGKISLGSQSRFWTLAITFNGNTNIKPANGDESIGVSSVKMDESFSRKAVHSEIKLVRTWNDFHQYCDFQLNIYCEAKREKMEKYNYICHVFNELCSYSNEVQSVSSFIKTRVPKRPSRSSNLQNDKLVQGDLISLQGKVDNIHPYGCKKEKNMVGNKKYNICIHVTDETHMVRLCGYVSKHGYPVGLGPGASVTFHRALLTHKHELFLTPQTYIEMSSISLAALNEECVVTPLISDCLKDGSLTRVPSCLLFSSQKHFAESRAIQFQCRVVTIHVLVLENILKDSQPSETRRGTINIKVLLAGFIVDDGSSLCCCWADDARAELLLRLQEVAIPHASVASKFSKDGVNIKQTVGSFLESMLKKHKRIIARNCGIPPGISCHDLELSSALNKVLSCLEEKLMKFIILNACWKGTLNVIASALNANTLNGFNLDLPDLHPVRNMPNFWVNQAFQVDPLDEARRMLGMSRRLN >LPERR09G05700.3 pep chromosome:Lperr_V1.4:9:7477926:7486087:-1 gene:LPERR09G05700 transcript:LPERR09G05700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPEHGAAAAPRRLTVGDLVRIRRPTTGAASLISSPSLSTSTAPPPRKKPKFPPAAPNPPPRSTAPFAPIPHRVLLSGTLSLPAASSPVACRSHCLSLSDSPFAADAASVCCYLLDFDPAAVGREIHVLAWNYLPSVHRDDGVGVLEVIRWCLAEATPALGSGFMRTIPLDCADADELDSGTYGHVFGVVRSVSVVFSMPRASHKSDVGGGDPSVGFIAEIMCCACRKCRGTPPEADEQHKFEVEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRMVSVGTKGSYTMLVSTRKTMLRWCPSYPAVVELDGSSGNCGGVYAGVVTGIYMQGLLIELDETVWLLLDDQHLAPSHSLRVGAVISVKNGRAIRLKFAWTRIVLLGTCIKTSITINSFSLVDSKCYIKAENRGLLGKFVDSFELPIRFWMLILISCFKQKFTKLFSEKEILGSKNVVPFANFICKGESFWILKMLKIWNGTESSSLPGTLQLVDATGCIDVVIPDLPPNINNYKVVLQGHMAYLDHYDVTDPLSCKAAFQHFSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINTCGKLFHLLKLTHIYPENNTFQHKNLLGHSLYAEAVILPYDFKFTERGECSEHAESFRMSCTYSLGNSKVSMAKSCDIPCTLSFGTTKLYGSLVSIYYCGSVGERDHISRILLEFKDGMFNYQSLRIGGYFLLECPTESLNYSMKGCGCLQGGKISLGSQSRFWTLAITFNGNTNIKPANGDESIGVSSVKMDESFSRKAVHSEIKLVRTWNDFHQYCDFQLNIYCEAKREKMEKYNYICHVFNELCSYSNEVQSVSSFIKTRVPKRPSRSSNLQNDKLVQGDLISLQGKVDNIHPYGCKKEKNMVGNKKYNICIHVTDETHMVRLCGYVSKHGYPVGLGPGASVTFHRALLTHKHELFLTPQTYIEMSSISLAALNEECVVTPLISDCLKDGSLTRVPSCLLFSSQKHFAESRAIQFQCRVVTIHVLVLENILKDSQPSETRRGTINIKVLLAGFIVDDGSSLCCCWADDARAELLLRLQEVAIPHASVASKFSKDGVNIKQTVGSFLESMLKKHKRIIARNCGIPPGISCHDLELSSALNKVLSCLEEKLMKFIILNACWKGTLNVIASALNANTLNGFNLDLPDLHPVRNMPNFWVNQAFQVDPLDEARRMLGMSRRLN >LPERR09G05700.4 pep chromosome:Lperr_V1.4:9:7477926:7486087:-1 gene:LPERR09G05700 transcript:LPERR09G05700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPEHGAAAAPRRLTVGDLVRIRRPTTGAASLISSPSLSTSTAPPPRKKPKFPPAAPNPPPRSTAPFAPIPHRVLLSGTLSLPAASSPVACRSHCLSLSDSPFAADAASVCCYLLDFDPAAVGREIHVLAWNYLPSVHRDDGVGVLEVIRWCLAEATPALGSGFMRTIPLDCADADELDSGTYGHVFGVVRSVSVVFSMPRASHKSDVGGGDPSVGFIAEIMCCACRKCRGTPPEADEQHKFEVEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRMVSVGTKGSYTMLVSTRKTMLRWCPSYPAVVELDGSSGNCGGVYAGVVTGIYMQGLLIELDETVWLLLDDQHLAPSHSLRVGAVISVKNGRAIRLKFAWTRIVLLGTCIKTSITINSFSLVDSKCYIKAENRGLLGKFVDSFELPIRFWMLILISCFKQKFTKLFSEKEILGSKNSSSLPGTLQLVDATGCIDVVIPDLPPNINNYKVVLQGHMAYLDHYDVTDPLSCKAAFQHFSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINTCGKLFHLLKLTHIYPENNTFQHKNLLGHSLYAEAVILPYDFKFTERGECSEHAESFRMSCTYSLGNSKVSMAKSCDIPCTLSFGTTKLYGSLVSIYYCGSVGERDHISRILLEFKDGMFNYQSLRIGGYFLLECPTESLNYSMKGCGCLQGGKISLGSQSRFWTLAITFNGNTNIKPANGDESIGVSSVKMDESFSRKAVHSEIKLVRTWNDFHQYCDFQLNIYCEAKREKMEKYNYICHVFNELCSYSNEVQSVSSFIKTRVPKRPSRSSNLQNDKLVQGDLISLQGKVDNIHPYGCKKEKNMVGNKKYNICIHVTDETHMVRLCGYVSKHGYPVGLGPGASVTFHRALLTHKHELFLTPQTYIEMSSISLAALNEECVVTPLISDCLKDGSLTRVPSCLLFSSQKHFAESRAIQFQCRVVTIHVLVLENILKDSQPSETRRGTINIKVLLAGFIVDDGSSLCCCWADDARAELLLRLQEVAIPHASVASKFSKDGVNIKQTVGSFLESMLKKHKRIIARNCGIPPGISCHDLELSSALNKVLSCLEEKLMKFIILNACWKGTLNVIASALNANTLNGFNLDLPDLHPVRNMPNFWVNQAFQVDPLDEARRMLGMSRRLN >LPERR09G05700.5 pep chromosome:Lperr_V1.4:9:7477926:7486087:-1 gene:LPERR09G05700 transcript:LPERR09G05700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPEHGAAAAPRRLTVGDLVRIRRPTTGAASLISSPSLSTSTAPPPRKKPKFPPAAPNPPPRSTAPFAPIPHRVLLSGTLSLPAASSPVACRSHCLSLSDSPFAADAASVCCYLLDFDPAAVGREIHVLAWNYLPSVHRDDGVGVLEVIRWCLAEATPALGSGFMRTIPLDCADADELDSGTYGHVFGVVRSVSVVFSMPRASHKSDVGGGDPSVGFIAEIMCCACRKCRGTPPEADEQHKFEVEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRMVSVGTKGSYTMLVSTRKTMLRWCPSYPAVVELDGSSGNCGGVYAGVVTGIYMQGLLIELDETVWLLLDDQHLAPSHSLRVGAVISVKNGRAIRLKFAWTRIVLLGTCIKTSITINSFSLVDSKCYIKAENRGLLGKFKFTKLFSEKEILGSKNSSSLPGTLQLVDATGCIDVVIPDLPPNINNYKVVLQGHMAYLDHYDVTDPLSCKAAFQHFSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINTCGKLFHLLKLTHIYPENNTFQHKNLLGHSLYAEAVILPYDFKFTERGECSEHAESFRMSCTYSLGNSKVSMAKSCDIPCTLSFGTTKLYGSLVSIYYCGSVGERDHISRILLEFKDGMFNYQSLRIGGYFLLECPTESLNYSMKGCGCLQGGKISLGSQSRFWTLAITFNGNTNIKPANGDESIGVSSVKMDESFSRKAVHSEIKLVRTWNDFHQYCDFQLNIYCEAKREKMEKYNYICHVFNELCSYSNEVQSVSSFIKTRVPKRPSRSSNLQNDKLVQGDLISLQGKVDNIHPYGCKKEKNMVGNKKYNICIHVTDETHMVRLCGYVSKHGYPVGLGPGASVTFHRALLTHKHELFLTPQTYIEMSSISLAALNEECVVTPLISDCLKDGSLTRVPSCLLFSSQKHFAESRAIQFQCRVVTIHVLVLENILKDSQPSETRRGTINIKVLLAGFIVDDGSSLCCCWADDARAELLLRLQEVAIPHASVASKFSKDGVNIKQTVGSFLESMLKKHKRIIARNCGIPPGISCHDLELSSALNKVLSCLEEKLMKFIILNACWKGTLNVIASALNANTLNGFNLDLPDLHPVRNMPNFWVNQAFQVDPLDEARRMLGMSRRLN >LPERR09G05710.1 pep chromosome:Lperr_V1.4:9:7488170:7488987:1 gene:LPERR09G05710 transcript:LPERR09G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMHRDEEVDYYPSRHSPPTPVPPRPVVGRRQKVTIHKQDDFKQPGERYGSWAPDRQERFVRRFADALAHPKVSPELRAIWIDLISKCDESCGMKVANSLNVKPSM >LPERR09G05730.1 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIIDKEGANPERVMQELSQIGLMPEAWGGDIPMIQISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMYDDGGMLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARADAMRIERISAKAGEGKVTLSSIAASVSSGKQVGIDTHELNVILKVDFQGSIEAIRQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05730.2 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKEGANPERVMQELSQIGLMPEAWGGDIPMIQISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMYDDGGMLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARADAMRIERISAKAGEGKVTLSSIAASVSSGKQVGIDTHELNVILKVDFQGSIEAIRQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05730.3 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMYDDGGMLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARADAMRIERISAKAGEGKVTLSSIAASVSSGKQVGIDTHELNVILKVDFQGSIEAIRQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05730.4 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKEGANPERVMQELSQIGLMPEAWGGDIPMIQISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05730.5 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIIDKEGANPERVMQELSQIGLMPEAWGGDIPMIQISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05730.6 pep chromosome:Lperr_V1.4:9:7496534:7502963:-1 gene:LPERR09G05730 transcript:LPERR09G05730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLINEKGVQFSSSSSSSRVSVSVKPDDENDLLLKPPQKPVLRPNGLPSPERSMNAAASPSSGGGRPVLEDRDKVRESLDEVLEKAEKLNASTSGNGNGDGSSSGGLRRQNGAYKPDNSSATAAASAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSTVSAAKPSIPPPTQPGPQLLSKPSVAPPPRRPVKPDISKEKKGPILIDKFASSNRPVVDPAVAAALLEPVKPVRGPPTKVRDDRRKKLSTPAGTRRRQTNDDRLADEDTADVPISGVPVRKGRRWNKAKRRAARLQLEASLVEEPVRVEILEVGEEGMLIEDLAYELAIGESEILRFLSVRGVMLDNVQRLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYHVLVPVYGKPQTCVFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKISALSGEGVDELLETAVLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNRGDIVVCGEAFGKIRAMQAIQVLPQENVSLRVLLQAPGDVSVSDIDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEDEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCKVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASMTAALKDAGVQL >LPERR09G05740.1 pep chromosome:Lperr_V1.4:9:7520951:7524068:1 gene:LPERR09G05740 transcript:LPERR09G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALNRFTQWLWPANSARSTATHELPTAGLTSAAFPDFPSGFREPDTVTFATAGARRRRRHGRRRSSSSRDEEEARVDKEFDMVIVPSDGGGGCLSGSESDDSDWAIGWQEKLSPELQTDGDPDSCFAVLVRCYRHGQPEPAARPAGHFLAAGGLSDGRNFV >LPERR09G05750.1 pep chromosome:Lperr_V1.4:9:7526907:7527149:-1 gene:LPERR09G05750 transcript:LPERR09G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAKTRWRRRTTRRLRSAAVERRVRELRRLVPGAEAAPADRLLAHAAGYIAELRDRVVLLRGLAEMIVAGGGRRRRR >LPERR09G05760.1 pep chromosome:Lperr_V1.4:9:7534132:7537525:-1 gene:LPERR09G05760 transcript:LPERR09G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITSYGSVSPPRCGHSATIVKERLFLFGGSAGAGPVMGNLWALKGVMEEGHQAVRYFGFSSYDALAFRITLMMLSVGMH >LPERR09G05760.2 pep chromosome:Lperr_V1.4:9:7534134:7536679:-1 gene:LPERR09G05760 transcript:LPERR09G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITSYGSVSPPRCGHSATIVKERLFLFGGSAGAGPVMGNLWALKGVMEEGHQAVRYFGFSSYDALAFRITLMMLSVGMH >LPERR09G05760.3 pep chromosome:Lperr_V1.4:9:7534765:7536679:-1 gene:LPERR09G05760 transcript:LPERR09G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITSYGSVSPPRCGHSATIVKERLFLFGGSAGAGPVMGNLWALKGVMEEGHQAVRYFGFSSYDALAFRITLMMLSGEQCIITM >LPERR09G05770.1 pep chromosome:Lperr_V1.4:9:7545797:7548608:1 gene:LPERR09G05770 transcript:LPERR09G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWKLLTAAMLVTTTLLSFSPFNTDAAAAAATAGARESLINFLRTLSGDADASDRAVQQLRWSASVAPCDATSPWRNVNCTIDGRVKRIVLERMSLAGTINASLLCSAAGAGDALHVLSVKDNAIHGGLPADIAKCSSLASLYLAGNNLSGPLPPSLGELRDLTVLDVSRNNFSGEIPDGLSKVGLRKFLASGNHFAGEIPAFDLANFVEFDVSNNNLTGEIPPDAGKFGAGNFSGNADGMCGEPLFAPCPSPPASSGGRREEHGVVVYLGYILLAAGVVAFVLYVLCCSKNRSRKRRIAGAYESSKPTTSSATTPTKSVPYSQPMPEEKSAAVVVTAARAQPSPSLVVLQRSAAEVAAKGMRLEELLKSPAELLGRGRFGSAYKVVVGGAGGGAAALVVKRVKDAAAVEEEGEFRRRMERVGKARHPSVMPPLAFYCAMQEKLVVYEFMSNGSLAKLLHVHGSTESSQITLDWPARLHIAAKVADGMAFMHDALLDSGDLSFSSSAGDASSFVGGAIAHGNLKSSNILFTATMDPCISEYGITAAAAGLRADVRAYGVLLLELLTGKPAAASEDDGAELARWVTSVIREEWTAEVFDRRMLSSGDAVASEQRMVRLLQVAMRCVDDAAAPPTMREVAGMVNAIGDDGEDDRSVSLEA >LPERR09G05780.1 pep chromosome:Lperr_V1.4:9:7553997:7555037:1 gene:LPERR09G05780 transcript:LPERR09G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWREGRILGPLDDGAAEAPSSPSSPAAAAARVTPAVLFITVVLAVVLLASGLLHVLRRLFLKSNRANARADAVQQLFGGGLNDDGVPGGGCGAGLDQAAIEALPAFTYGELLAGAGNAKRQFDCAVCLCEFAGDDRLRLLPLCGHAFHAGCIDTWLRSSSTCPLCRAALSPRALAALAAAAASQPDDIEEQKVDDAAVAPDESSAAATASSSVVLSVRLGRFKNAAGGDGGGGENASSRIDARRCYSMGASQYVLDDENLLISVHWRPGDGIAAASRANVAVADIKPAAGKKVFDRGDSFSMSKIWQWRGGERRLRVLHADASPPADDGLPWATTTTRTRQESDS >LPERR09G05790.1 pep chromosome:Lperr_V1.4:9:7560970:7563798:-1 gene:LPERR09G05790 transcript:LPERR09G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSSSITTNPDWETSNDDDSVGPASCSLRKIIVSNFLPLNCNKDETTGQWSFSMDDNQLLVQLKDGFPKGSEVIYVGSLKVEVDPGEQDQLSQKLFREHRCIPTFLPADLQQQFYHRFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLVPTFLRKKLHRIKVGFFLHSPFPSSEIYRTLPSKRGHIGIEYFGRTVSLKILAVGVHVDRLESMLRLPATISKVREIENKYKGKMVMLGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSNGKDVEEAINEAISVAERINIKYGSADYKPVILINYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSTHTSTLIVSEFVGCSPSLSGAFRVNPWSTEDVADALYKATDLTQSEKKLRHDKHYRYVSTHDVAYWARSFSQDLERACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSTELISILTSLCNDPKNCVFIVSGRDRTTLSEWFAPCEKLGIAAEHGFFTRWNKEAEWEANSPAQDCEWKHIAEPIMDVYKETTDGSSIERKESALVWHFQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIVVDMVIQTLVNNENAPDFLMCIGNDRSDEDMFESINEATSRYVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAISSRRDVTSQSQTTFRDILEVVS >LPERR09G05790.2 pep chromosome:Lperr_V1.4:9:7560970:7563797:-1 gene:LPERR09G05790 transcript:LPERR09G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSSSITTNPDWETSNDDDSVGPASCSLRKIIVSNFLPLNCNKDETTGQWSFSMDDNQLLVQLKDGFPKGSEVIYVGSLKVEVDPGEQDQLSQKLFREHRCIPTFLPADLQQQFYHRFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLVPTFLRKKLHRIKVGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVDRLESMLRLPATISKVREIENKYKGKMVMLGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSNGKDVEEAINEAISVAERINIKYGSADYKPVILINYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSTHTSTLIVSEFVGCSPSLSGAFRVNPWSTEDVADALYKATDLTQSEKKLRHDKHYRYVSTHDVAYWARSFSQDLERACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSTELISILTSLCNDPKNCVFIVSGRDRTTLSEWFAPCEKLGIAAEHGFFTRWNKEAEWEANSPAQDCEWKHIAEPIMDVYKETTDGSSIERKESALVWHFQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIVVDMVIQTLVNNENAPDFLMCIGNDRSDEDMFESINEATSRYVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAISSRRDVTSQSQTTFRDILEVVS >LPERR09G05800.1 pep chromosome:Lperr_V1.4:9:7576601:7580924:-1 gene:LPERR09G05800 transcript:LPERR09G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSGTFRRRLGKRPKRVDSLDVESMSVRGAHGHSTKDISLLSTIAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPINSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPSPELERALSVKECLEKKPLFKNILLFLVLMGTSMRFGTGNVGFMFAPILALWFLNLGSIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLILAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIVVATFRSTNDIANAYDIQNISGIAEVGVMMVSTALVTLVMLLIWQTNLFLALCFPIIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDNILDLGSTLGTLRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEEHTFFEHLLVESLEKFLRKEAQEMALEAHTMAVERDDVSVVSDIPSSPAEAGDLHVPLLSDQRQGDGTHTFINEGNAPLLPTSSIAEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >LPERR09G05810.1 pep chromosome:Lperr_V1.4:9:7583987:7584697:1 gene:LPERR09G05810 transcript:LPERR09G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVGQIPQVETLRSVLFSVSRSLERLGEAPPTKSFWTRRYLTKLQRSLDIGWQSLSLMERTFSRLEANLVDKAEYLAFVAKQDYSPNEVVTMKKEDDKRRANRTLALCRNFNGLCRANVNALDAMDRAIKDRLANVPGAGENLQETTRVASEAMGMLAGQLRPTVLMINAVEDIPSILENALEFCPYKILSIKTCADSPFLILGCGAFSFRRRLNSLQEKMTCAAHNGYMRLKE >LPERR09G05820.1 pep chromosome:Lperr_V1.4:9:7595971:7598094:1 gene:LPERR09G05820 transcript:LPERR09G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSKPKRRRLLSLPAVYPCEDITPAPLFASLLSLAADVAGRRVADADAFPILRRGVRRVVRIAGILLAFLEELHDATTSSIPASAVLALTELHVAMQKLRFLLTDVSRRGARLWVLVNAAAVASELRLVLGSFAAALDAMPSSVVEAASVETRELARLASEQAWRAAVRPDAADDRAARSVRSIVEQFRDHGGVAPDSDDVRRVLRRVRVGSWSDCSEEIAFLESELQNRLDAGDENSSDVVVINTLIAFMVYCRVVLFDHIDANKSQSSPATAPAAKCPDWIRPDALQCPITLDIMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGERLLTGDVVPNAALRGIVERMLLSNGVTLPDSTRHGAAANANASASAAACGAARLAVAHVVAQLSRGSTEERRKATAEARKLSKHSAFYRGCLVAANAVPWLLCLLSSTDAAVQDNAVASLLNLSKLPDGRAAIAEVGGVGLVVDVINVGVKPEARQNAAAILFYLSSSAQSAEEIGRIPEAIPTLVQLIRDGAYRGRKNGMVSLYGLLQCGANHGRAVAAGAVSALAAVMSSAGEHHRDDLAGDSVALLARIAEQPSGALAVLSQPGLVARLAEALAAAPSSSRSAKDHGVSLLASLCRHGGGKVVAMLGRMPLLMSSLYSLVADGSPQTSKKARALLNEIHRHYEMVPPPPTAPAPAASASSDGGDRVVRVL >LPERR09G05830.1 pep chromosome:Lperr_V1.4:9:7601310:7601825:1 gene:LPERR09G05830 transcript:LPERR09G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAKPTAASPCSRAAHDDVRLPHVAIFPFMSRGHTISLIHLACLLRRLRLAAVTLFTTPNNAPFVRRELEDDDDVAVLELPFPVNHHGMECVEALDSVSSLPAFVEATSLLRPRLEAEEEKGVGGEAARNMAALAVKAREAVGEGGSSWKMLEEMISALCLPADQCLD >LPERR09G05840.1 pep chromosome:Lperr_V1.4:9:7602328:7603806:-1 gene:LPERR09G05840 transcript:LPERR09G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKKRPARHRTRATPSSSSSSDVEAAKRVVSDLRDACATPATTLDAVAAAMVGEMDAGLAAEGGSSKVKMIVTFVDALPNGGEEGSFYALDLGGTNFRVLRVRLAGGGGVAERVAREVPIPHRLMSGDDATSENLFDFIASALAEFVADEEGRDGDGGDGERMRELGFTFSFPVRQTSIASGKLIRWTKAFAVDDAVGEDVVAALQAAMSKHGLHNMRVSALINDTVGTLATGSYYDDDTVAAVILGTGTNAAYIESTNAIAKLSSPPAELGNSSNTMVINTEWGGFDSPFLPTTEYDQALDAESLNPGEQTYEKLISGLYLGDIVRRVLLKISTKSSLLGGGDTDLLTTRFVLTTPDVSSMHHDETPDLAIVADKLAEKLNIRGTSLETRRMVVEICDIVATRSARLAAAGIVGILRKIGRGGAGDERRSVVAVDGGLFEHYAKFRQCMESTLEEMLGEEAAARMFIKLANDGSGLGAALVAAAYSQS >LPERR09G05850.1 pep chromosome:Lperr_V1.4:9:7605295:7606737:-1 gene:LPERR09G05850 transcript:LPERR09G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASHNVQLPHVAVFPFMARGHTIPMIHLACLLRRRRLATVTFLTTPGNAPFVRRQLDDDDDVAVVELPFPDDHRHGGAVECVEALDSLSSFPAFVDAASALRPRLEAWLAAATTRPGLLVADALLHWAHDAAADLGVPAVASFGSSMFAHVMRDVILRDNPAAALLAGDGGGEEKKAFAVPEFPHVRLTLADIPFPFDDPSLAGPVIEMDAKIGNAIAGSRGLIVNTFDAMEGHYIEHWDSHHVGHRAWPIGPLCLARSPRDVEPLGWMRWLDEKAAAGRAVMYVALGTMMAVKAAQLREMARGLEDAGVDFIWAVRPSDADLGVGFEERVEGRGMVVREWVDQWRILRHASVKGFLSHCGWNSVMESIAAGVPLAVWPMGAEQPLNAVLAVDELRIGIRVRVATTGDGVVASEEITRVARALMVVDRDEKGADGEAARNVAALAAKAREAVAEGGSSWKTLEEMVSALCLPADPSN >LPERR09G05860.1 pep chromosome:Lperr_V1.4:9:7608885:7611360:-1 gene:LPERR09G05860 transcript:LPERR09G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLLNFSSAGAGAGVGGGGGEMLLFGGHVVDEMDLVDELYEYGGVELEGRNGRKSRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKAKQLELDFGRLRAAHDQLLAGRDALAADNQSLRSQVILLTEKLQANGKSPSSSPAPTVQTAVPTTHSTALEAKIPKFHLEEVYAAGGGSTITGGGAMPAPPALHHANGREASDSPESYFAGARSPPSSSEDDGGDEPSSSVLLPNTVFIGASFEEAPPNSWEWFWN >LPERR09G05870.1 pep chromosome:Lperr_V1.4:9:7620726:7622936:-1 gene:LPERR09G05870 transcript:LPERR09G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKKKLGRTLSRFLSKPPFNLSPPKPSPPPPPPPPSIQPPPAPPAMPHGHGHRPFPGGRDAFPQAATTVVPDPGSFFSPALLSSPLPTNSFFQNFVLKNGDQPEYIHPYSIRSAAAALTLCYPTRNHSPSFVIQTFVEDLTVSAAAAAGMRHRVAAFDDLSVTLDVSPSLRAFLVRGSPFVTVATADAAGAVDIAVSSVHAFIEVSPCDDALTKWRLRMNSGQTFLLYASAPIRLAQSGGVTTRLSAPAFSGVIRVAYLPESSMESVLDQFSRCFPTAGEASLNRPFCVEYTWRKQGWGDLLMLANPLHLKLLSDDCSVRVLDDFRYRSIDGDLVGVVGDSWILRTDPISPTWHSMRGISDGGVGEIAAALCKDVDALASSPITTTSSYFYGKAIARAARFALIAEEVGCTDVIPAVQQFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMQQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSIGATLTAFEMLAARTWWHVREGDNIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDIGFAKELVDWTMPALSREGVGEGWKGFVYALEGIYDKESALQKTRTLTGHDDGNSLSNLLWWLHSRGSADDGVMGFSRCCWYRQYCH >LPERR09G05880.1 pep chromosome:Lperr_V1.4:9:7623254:7623924:-1 gene:LPERR09G05880 transcript:LPERR09G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRVASKARHRRQGKAILFLCSSPPPVCQPYDTGQHSCYAFLASCCCQKQEGRKQRATDARDAES >LPERR09G05890.1 pep chromosome:Lperr_V1.4:9:7625238:7627988:-1 gene:LPERR09G05890 transcript:LPERR09G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDHLFPLLTLVKPQLKAFTGKIAPSLDGDFLLSLQICGPVKSWRYGVGQSYITRTSFGRCYDVMDKRMQPLVPVNYFIEELQAAQGNSSTATPNFMTTGEFAKIISVSEIEAWAIKNSEV >LPERR09G05890.2 pep chromosome:Lperr_V1.4:9:7626367:7627988:-1 gene:LPERR09G05890 transcript:LPERR09G05890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDHLFPLLTLVKPQLKAFTGKIAPSLDGDFLLSLQICGPVKSWRYGVGQSYITRTSFGRCYDVMDKRMQPLVPVNYFIEELQAAQGNSSTATPNFMTTGEFAKIISVSEIEG >LPERR09G05900.1 pep chromosome:Lperr_V1.4:9:7628030:7630992:-1 gene:LPERR09G05900 transcript:LPERR09G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRPARTRPNAAAPSILNLPPGAAAASILNLSPGAAAATSAYPNPQTLASLAPSALCPSSVFRCRSVRPTVDSTSQRQSPLPAVRASSSRPHATC >LPERR09G05900.2 pep chromosome:Lperr_V1.4:9:7628090:7630992:-1 gene:LPERR09G05900 transcript:LPERR09G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRPARTRPNAAAPSILNLPPGAAAASILNLSPGAAAATSAYPNPQTLASLAPSALCPSSVFRCRSVRPTVDSTSQRQSPLPAVRF >LPERR09G05910.1 pep chromosome:Lperr_V1.4:9:7634108:7638317:1 gene:LPERR09G05910 transcript:LPERR09G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAAAHRPLGAITVDDMAAALGAAEGAAAMHTALRRAVEVRGGDGAAAVWGELTRGVLRPSVPFAVHRMLYYGCYAGFPSATPPAWTPDREEAVLTNVGRVLEARGREFLGEAYKDPIASFGDFHKFSIENPEVYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAGNCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRIKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMAIVIPARGSTPIKGLRADDLSWEDFLGRVNHAKAECYTVVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIHRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSNIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNSGNPLPQDSTGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTADGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDTILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNMALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >LPERR09G05910.2 pep chromosome:Lperr_V1.4:9:7634108:7638317:1 gene:LPERR09G05910 transcript:LPERR09G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAAAHRPLGAITVDDMAAALGAAEGAAAMHTALRRAVEVRGGDGAAAVWGELTRGVLRPSVPFAVHRMLYYGCYAGFPSATPPAWTPDREEAVLTNVGRVLEARGREFLGEAYKDPIASFGDFHKFSIENPEVYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAGNCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRIKISEAKAIFTQDYILRDDKELPLYRAECYTVVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIHRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSNIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNSGNPLPQDSTGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTADGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDTILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNMALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >LPERR09G05920.1 pep chromosome:Lperr_V1.4:9:7638693:7641391:1 gene:LPERR09G05920 transcript:LPERR09G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAALLLARPLPRPHHRCLHGTRGGVALPPPRRLARGPRCSMSLSLGGSGAGAGGDRGFSYEHVAVFPRYRMRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYERIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIMGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >LPERR09G05930.1 pep chromosome:Lperr_V1.4:9:7652149:7652550:-1 gene:LPERR09G05930 transcript:LPERR09G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDHLFPLLTLVKPQLKAFAGKIAPSLDGDFLLTLQICGPVKSWRYGVGQSYITRTSFGI >LPERR09G05940.1 pep chromosome:Lperr_V1.4:9:7666836:7667048:-1 gene:LPERR09G05940 transcript:LPERR09G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNELSTVIAPSGMWATAAWSMITPDFTHSLMLLPPSPLMMLWLTADASVDEMAMMTMTMCFVGARST >LPERR09G05950.1 pep chromosome:Lperr_V1.4:9:7668460:7673826:1 gene:LPERR09G05950 transcript:LPERR09G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTAAAHMPLGAITVDDIAAALGAVEGAAAMHAALRRAVDARGGDGPAAVWGELTRAVLRPGVPFAVHRMLYYGCYAGFPSATPPAGPPTGEHTSLVMNHNHMIALSEIHNQNAQGNKQKKAREEAVLTNVGRVLEARGREFLGEAYKDPIASFDEFHKFSIENPEVYWKTVFEEIGIAFSVEPSCILRKNDAYPGGEWLPGAVLNAAGNCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVALEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRIKISEAKAIFTQDYILQDDKELPLYRADSYTAVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWLTNLGWMMGPWLVYASLLNGASMALYNGSSNSSGFAKFVQDAKVTILGLVPSIVRSWKSTDCTAGFDWSNIRACYKPVIEYCGGTEIGGGFVAGFLLQPQALSTFSTPAMGCNMFILDNSGNPLPQDSTGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTADGYCRAHGRTDDTMNLGGIKVSSIEIERICNKVNDTILETAAIGVPPLEGGPQQLAI >LPERR09G05960.1 pep chromosome:Lperr_V1.4:9:7674937:7676097:-1 gene:LPERR09G05960 transcript:LPERR09G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHLPLPPDAAGMGGSGGGARRSLERRRRRRPDLAAVTPGRPDLVALIPTAASGRPTFEWQRRRSAATSGGDVGDDDHARGFPEFTICICVSVVLGKPMPT >LPERR09G05960.2 pep chromosome:Lperr_V1.4:9:7675341:7676097:-1 gene:LPERR09G05960 transcript:LPERR09G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHLPLPPDAAGMGGSGGGARRSLERRRRRRPDLAAVTPGRPDLVALIPTAASGRPTFEWQRRRSAATSGGDVGDDDHARGFPEFTVSVSY >LPERR09G05970.1 pep chromosome:Lperr_V1.4:9:7682117:7688299:-1 gene:LPERR09G05970 transcript:LPERR09G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGAPCEADAKDEEETSRQTMPPNVNGVGPLASECQLEGEPTTIVDTKAFDGIIDLEGQDQVDVAPAPTIDRNNVSRVTIDLEEGQVEDMDLSDDDIVVVKHQQLDASIQSGTSVAAVQTLNGFSVELDKSNGFENVSQESKTTRGVKRSRVESTEPTVCVNYSNLTRESKRKLMELMQQWSEWHSRKQHTFMKSGEEVLECGEETYYPALHVGSEKSCAVSFWVDNQAKESVALDDDSVPLYDREFTLGSTPLGDSSNNERAEKEDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNLKRNQSNVNRGQNRYYQKTPGKFDDLRAGVLGPETRECLGIGEDDPPPWLHRMRELGYPPGYLDVADDEDKPSGITIFGDEDRKEEYEEGELPDHGEPSPQLKKTVEFPGINGPIPEKGDRWLWDSTPPQYSGRYHSSDSRERDRGPPGADRYSSRYHSYDYEPTSPSHGRSHQDRGRQTSSGYENLPSDDAAWTPHAYSSRQYSSQYSTSSETSSRQSRDRHDRHHHHRRPCIYMMMAGQTDTVKSAAYGRNLSFDA >LPERR09G05970.2 pep chromosome:Lperr_V1.4:9:7682117:7688299:-1 gene:LPERR09G05970 transcript:LPERR09G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGAPCEADAKDEEETSRQTMPPNVNGVGPLASECQLEGEPTTIVDTKAFDGIIDLEGQDQVDVAPAPTIDRNNVSRVTIDLEEGQVEDMDLSDDDIVVVKHQQLDASIQSGTSVAAVQTLNGFSVELDKSNGFENGKQKKAYGVDATMKSGEEVLECGEETYYPALHVGSEKSCAVSFWVDNQAKESVALDDDSVPLYDREFTLGSTPLGDSSNNERAEKEDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNLKRNQSNVNRGQNRYYQKTPGKFDDLRAGVLGPETRECLGIGEDDPPPWLHRMRELGYPPGYLDVADDEDKPSGITIFGDEDRKEEYEEGELPDHGEPSPQLKKTVEFPGINGPIPEKGDRWLWDSTPPQYSGRYHSSDSRERDRGPPGADRYSSRYHSYDYEPTSPSHGRSHQDRGRQTSSGYENLPSDDAAWTPHAYSSRQYSSQYSTSSETSSRQSRDRHDRHHHHRRPCIYMMMAGQTDTVKSAAYGRNLSFDA >LPERR09G05980.1 pep chromosome:Lperr_V1.4:9:7688381:7690523:-1 gene:LPERR09G05980 transcript:LPERR09G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEYERLPADSGEISMDSGGCRSICSGGDREQALGVASAPHRIDLVSSRSRLAPASRCSLRILPHRL >LPERR09G05990.1 pep chromosome:Lperr_V1.4:9:7693971:7694744:-1 gene:LPERR09G05990 transcript:LPERR09G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAVSRLIVPPVNCAERPLHDAGAAATHPFLELLDIDTTFNEPPPKKAAAPPRKARTEIDSRRGRQIRQRLPRHARRPRPRPPRRGAQVRQQHPRRSTPPRCGCTSATRGRSPATSPRARRHEALFTKHDQYLVYVAECKATIAAGALLPHEIAASAMNGDDEDNVSATTSATASLSAGVQDDQDGVRVQGDRLRRCGAADGVLEPAGLDVHGEWLLQEPPQALAAERRRGELGGGHGRGHRQRGVPEARGV >LPERR09G06000.1 pep chromosome:Lperr_V1.4:9:7703291:7703671:1 gene:LPERR09G06000 transcript:LPERR09G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTTSPAAAYPMLVDRYGIGGSNPESTTTTAARVFSLPASKLLDVTLPEEMTRDGNTFLETPQGLLLVTSSPSSKTFLLDPRDGSIAADLPSLDETDLPEHRRCVLSDRSPTPGCGVLVFDLV >LPERR09G06010.1 pep chromosome:Lperr_V1.4:9:7713953:7718283:1 gene:LPERR09G06010 transcript:LPERR09G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDDKAKVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADPHEKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVKLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGIGILIDSFFGTANGTGVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGNFANVEMSEPINISSLLSACGLSFLQFCNLNSFRTKFILGFAFFMGISIPQYFNEYTAVAGYGPVHTGARWFNDMINVPFRSKPFVAGLVAYFLDNTIETHNSAVRKDRGYHWWDKSRSYKKDARSEEFYSLPLNLNKFFPAA >LPERR09G06010.2 pep chromosome:Lperr_V1.4:9:7713953:7718283:1 gene:LPERR09G06010 transcript:LPERR09G06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDDKAKVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADPHEKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVKLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGIGILIDSFFGTANGTGVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGACGLSFLQFCNLNSFRTKFILGFAFFMGISIPQYFNEYTAVAGYGPVHTGARWFNDMINVPFRSKPFVAGLVAYFLDNTIETHNSAVRKDRGYHWWDKSRSYKKDARSEEFYSLPLNLNKFFPAA >LPERR09G06020.1 pep chromosome:Lperr_V1.4:9:7732898:7735701:1 gene:LPERR09G06020 transcript:LPERR09G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYWATTAADVMRTNPGHYVALVILRISAADGKNSSAGDASAAAGDGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGGGGPLLAAAAADDDDDTGCDDDHQVTLPAFLQFPLFSPVKNPPFKKKQSSNVLADAGGEEGDEGPTSPELRRGGGGGGGGGGGGRERPALAAVAAEHL >LPERR09G06050.1 pep chromosome:Lperr_V1.4:9:7758990:7761768:-1 gene:LPERR09G06050 transcript:LPERR09G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAGMPPVDDASYLLCAEDAGAAFFDAAISTCTTEDDDECCSGAGEEYSAASIAELIGGEGEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPQGQGWAMQLLAVACLSLAAKMEETLVECTRYVFEPQTICRMELLVLTVLNWRLRSVTPFTFIDFFACKVDPRGKHTRYLIARATEMVLATIHDIEFLDHCPSSMAAAAVMCATSETPSLTSVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLTCNSSSPPPPKRRKRSPPST >LPERR09G06050.2 pep chromosome:Lperr_V1.4:9:7758990:7761768:-1 gene:LPERR09G06050 transcript:LPERR09G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAGMPPVDDASYLLCAEDAGAAFFDAAISTCTTEDDDECCSGAGEEYSAASIAELIGGEGEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPQGQGWAMQLLAVACLSLAAKMEETLVECTRYVFEPQTICRMELLVLTVLNWRLRSVTPFTFIDFFACKVDPRGKHTRYLIARATEMVLATIHDVCWVIMCCSTFLMQCCKCNSDIEFLDHCPSSMAAAAVMCATSETPSLTSVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLTCNSSSPPPPKRRKRSPPST >LPERR09G06060.1 pep chromosome:Lperr_V1.4:9:7764215:7765930:-1 gene:LPERR09G06060 transcript:LPERR09G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFWAAAATVNPPLLTLFSSSSPPSSSRFHPASSTWRAVPTCHLRSRRPWPAELVCRRAKSAAYDDYKFPDPIPEFAAQETNKFKEHMMWRLEEKKDDYFGEHVEEIVDVCTEARTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIVWAEKNIDKDWKAWTGEY >LPERR09G06070.1 pep chromosome:Lperr_V1.4:9:7767080:7770222:-1 gene:LPERR09G06070 transcript:LPERR09G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTFLSSLRSRLRSPQAQAPPPPPLPHLQTPRRGFHVELSAREKSLLEEDTALKRFKSYKKSVKRVSKVGDFLSFAVLSACLYEIVALDVISKRKMH >LPERR09G06080.1 pep chromosome:Lperr_V1.4:9:7780989:7785855:-1 gene:LPERR09G06080 transcript:LPERR09G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGAGGGGGGFGDLFDSVRRSIAFRTSTAAAAPEPPGPLGGGGGIGVRISSCLRKSRGMGLLGLVSKSPSPPRRLLPPAPQFSGCGGGEENPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNSATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQEPELRSTASDLLRHPFVTGESEKLQPFNRVSQQETCVNELPAHDASSGLGLNHSVNWATISSDKSSKIKPLWEGSCDEDDICEFADKDDCPAVGSSYNPMSEPFDDWESKFDASPEQSSRQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQSPLYEEFYNTVNAGNCQVADHTSKGIFSNSPKLPPRGKSPTSKIRGGAATASTCDNLSNTRPESCSNQLSEGTVQSSRILREIASPQLDEFGNKTHSDIKDSPSVSFAERQRKWKEELDQELERERVMRLASCGKTPSPNRVPSGKRERNPAH >LPERR09G06080.2 pep chromosome:Lperr_V1.4:9:7780989:7785855:-1 gene:LPERR09G06080 transcript:LPERR09G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGAGGGGGGFGDLFDSVRRSIAFRTSTAAAAPEPPGPLGGGGGIGVRISSCLRKSRGMGLLGLVSKSPSPPRRLLPPAPQFSGCGGGEENPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNSATREKAQVNSFHPAPSVLLEKGKTLMLLLTYGVLYGFGFFGQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQEPELRSTASDLLRHPFVTGESEKLQPFNRVSQQETCVNELPAHDASSGLGLNHSVNWATISSDKSSKIKPLWEGSCDEDDICEFADKDDCPAVGSSYNPMSEPFDDWESKFDASPEQSSRQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQSPLYEEFYNTVNAGNCQVADHTSKGIFSNSPKLPPRGKSPTSKIRGGAATASTCDNLSNTRPESCSNQLSEGTVQSSRILREIASPQLDEFGNKTHSDIKDSPSVSFAERQRKWKEELDQELERERVMRLASCGKTPSPNRVPSGKRERNPAH >LPERR09G06080.3 pep chromosome:Lperr_V1.4:9:7780676:7785855:-1 gene:LPERR09G06080 transcript:LPERR09G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGAGGGGGGFGDLFDSVRRSIAFRTSTAAAAPEPPGPLGGGGGIGVRISSCLRKSRGMGLLGLVSKSPSPPRRLLPPAPQFSGCGGGEENPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNSATREKAQVNSFHPAPSVLLEKGKTLMLLLTYGVLYGFGFFGQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQEPELRSTASDLLRHPFVTGESEKLQPFNRVSQQETCVNELPAHDASSGLGLNHSVNWATISSDKSSKIKPLWEGSCDEDDICEFADKDDCPAVGSSYNPMSEPFDDWESKFDASPEQSSRQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQSPLYEEFYNTVNAGNCQVADHTSKGIFSNSPKLPPRGKSPTSKIRGGAATASTCDNLSNTRPESCSNQLSEGTVQSSRILREIASPQLDEFGNKTHSDIKDSPSVSFAERQRKWKEELDQELERERAA >LPERR09G06090.1 pep chromosome:Lperr_V1.4:9:7788227:7788772:1 gene:LPERR09G06090 transcript:LPERR09G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCSHTHLAAFVVVSAAMLLPAIRLAAVEPEPLHLHLNMHDMVTGPDATAAEVVNGKENPSRLGKIVVMDDPMTEDLDIDSPAVGRAQGFYVFAALNAPALLFSMNLVLVSAAAGPYNRSTVAVMGRDDFTRLQVRELPVVGDTGRFRMARGYALVRTARQHGKNAVLEIDLFVHASQSV >LPERR09G06100.1 pep chromosome:Lperr_V1.4:9:7790814:7791587:1 gene:LPERR09G06100 transcript:LPERR09G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVHTETRETFLWELAELEEHVSSRCLLSHSPKNPSRVVLVVNPSMPVLWYCRPGSARWFRHTYEDDADTGSPYSSLMGGMSWLVVMGGKFYTLRSSDDGHLVVSLEFSPGPKFAVLAKTNNEQGEGEAGWFSHVFGLLLESDGELFLVCRYYLMEPRRMPCKINVYKLDLSERAEMVKVSTLGERSFFMDNWSTDGVSMQAKQVGLKENYIYFIDFGDKGLYVHDLGRGTTALYDHVPDLEDGIGITPKLVVTPW >LPERR09G06110.1 pep chromosome:Lperr_V1.4:9:7792453:7796484:1 gene:LPERR09G06110 transcript:LPERR09G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLRHAPPALLRLGSLPRLAPSPPPAAVRRRLLLLLAPTQHPVTPWRLPSRPRALATAADAAETDGDSAGDGFFSEESTSWRSLGAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSNSFSVEDGSSQDITPGCPNIALVLCPNVMLCEQVVRMANSLVDESGEPLKCAAAVCGPKGWPAVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDNNEYHEDSDSETESVELSADDEENEDGLVQDRPVKVENAHVGARKKDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVDALLDAVKYGLKSEVHDPKLGPNRTMVFTNTVDAANSVSDILQRVGVPCILYHRESSLEERANNLQSFRENGGILVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEESAQPVEKAFSRKRSFRNKLKKQALQKSTALLS >LPERR09G06120.1 pep chromosome:Lperr_V1.4:9:7796967:7797992:1 gene:LPERR09G06120 transcript:LPERR09G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAIESVSNLAPLLLFNRHHDNGTSPSGKANDADEATSSSLFLYSIPKGQPLTCTSAVAAVLGDAHHRYWVTPQGWLLVLNRGSRNTFLFNPLTLQMINLPRDDNGSLLHLSSTEEISSKCLLSQTPTDPACVVLLVDLTDTVFHYCYTSGTQWVEHVYRTQIQTDRSNSEVAGLMGSLTAIGGNNNRFHAYLFDDSVTLDFSPDHHHHHPTLTLAPLDIFPWPPGHGTLDHVFVELRGEWLCLSFCHPLFTQKVAKVVVHRVDVEKGAWVKVDALGDDAVIFASVARRLGLEGNRVYFVASDDKALYLHDMERGSTVMHDPAPHVEDDLVPEFLMAPI >LPERR09G06130.1 pep chromosome:Lperr_V1.4:9:7799874:7801048:1 gene:LPERR09G06130 transcript:LPERR09G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRRDPCSPSSLVLDANLVTGAVYELPPVDCAEFDFVVYDSVGKRMFGIRATCHLQVGCVIESKDGAWYNWDITELDIDGPWFRASPVTNPVLHDGLLYLLGEDGRLAVYDPSKHGEGFHVLDKPRSFGIDCKADSYLFESDQAELMAVLIGNRGTPVHVVKFVEQKMEWEKVESLQGLVLFTGTLTTMMRKTKLRSLQNKVLFPMLHEWPETIHVDVVVRDDEMAFVPRSNHTKAIQKNNTSYGKNMWSYEFGKTEVKKFWGMERVDYSIWVDFMNN >LPERR09G06140.1 pep chromosome:Lperr_V1.4:9:7804555:7805751:1 gene:LPERR09G06140 transcript:LPERR09G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGESAANSAPPRLGR >LPERR09G06150.1 pep chromosome:Lperr_V1.4:9:7806203:7806475:1 gene:LPERR09G06150 transcript:LPERR09G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLPDVVPDPIKTPPWNRRAPCRTSPRLTSLSANHHTTVGSKAVVTGMPESVRMLFGHSISIGAAVGACRHPGKHMRCHSRIQNKKA >LPERR09G06160.1 pep chromosome:Lperr_V1.4:9:7824256:7825488:-1 gene:LPERR09G06160 transcript:LPERR09G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRFLTNLETLGSNVKIVTYLSPIKNKIFLPRFYNWPETVHVDLVNREGELAFVPKSPTSSSTTYIDTSSTHILSYELEDGAATKEYRGTERVDYSIWVDFIGN >LPERR09G06170.1 pep chromosome:Lperr_V1.4:9:7830247:7830672:1 gene:LPERR09G06170 transcript:LPERR09G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGHNRPCQLDRRLAPLLLFGNGRSGEDHGTFVYSLPRNQLVHLPSEPGSVSDTLRGHRVCTTSQGWMLMARRLSPETFLWDPFTGSRISLPPDHDGTMLTEGRHRLCLLSRRRPTDPGCVVLVVDLDETVLWYCRLITS >LPERR09G06180.1 pep chromosome:Lperr_V1.4:9:7836895:7837923:-1 gene:LPERR09G06180 transcript:LPERR09G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDDASQLDPKLAPLLLFYHNNSTFLYSMPTRTLLPAGSGDDISDMLRRHLCWTTPQGWLLMARPATPDTLLWDPFTRRGFVLPPDTDGDVFHRRGRHLICLLSRSRPTDPGCVVLVVDLTEPVLWYCRPSGDGGGGGGGGRDRNWVKHEYLQPGTLHHELRDIVHSSMGSITAINGKFYVDVINKSYVVVLEFSPEPVFTLIDGVDSKDRIGRGYTRHSKIYVESDGELYCVYICHPIRCDRIVARVVVYKLDVMAKGSTWVKASSLGGRAIIVLPGRFVVASFNAVEAGLEANCIYYWLEGQKALYVYNMERGTTAVYNPGADLPNHLSPVLVVMPIR >LPERR09G06190.1 pep chromosome:Lperr_V1.4:9:7840020:7840577:-1 gene:LPERR09G06190 transcript:LPERR09G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAQCDREDCNQLDFLPFDCDGCGKTFCTDHRAYRDHGCARAADQGRTVVVCEACGDAIERRPGDGDEAAVLEAHARSSRCDPARKRKPRCPVPRCKETLTFSNTNRCKGCGVKVCLKHRFPADHGCAGVSSAAGAAAAARRAGQCGRDVQKESGSGGAGGWKLPRSVRNMKVF >LPERR09G06200.1 pep chromosome:Lperr_V1.4:9:7845777:7847258:-1 gene:LPERR09G06200 transcript:LPERR09G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVILPSGQTYERACLQACAELAFLPPGMGSLSDAMIPNSALKAAIGTWCVRSGRPTPATPSGVAVREAVLRAMPMGAAAKSVRTTTRRAALASSSNSSYSSPASTSSYTSSSEMVPVEEDEVGVKEATTMNKEVEVAAVDPLEDVVVAKVMDAEEEEDVVLAIAGLREATRESAERRRALCTPRMLAALRRVLLIPRHASARVDATAALVNLTLEPANKVRIVRAGAVPPLVEVLRSNTSPAEAREHAAGALFGLALSDDNRAAIGVLGAVPPLLELLTSAAHPLPARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASSAAERTPIRRLSLMVICNVAACNEGRAALMDAGAVTAVTSILSHDDAVAELDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAGMECAGAGAAPGVVRRDMARKTLRAMRSEADGDAAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELDSSSCGNTAEF >LPERR09G06210.1 pep chromosome:Lperr_V1.4:9:7854298:7857922:-1 gene:LPERR09G06210 transcript:LPERR09G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIGDYLSRVADSIASSDGAALASLLAVSSSAPAPLSDALSALPDFPRLAADRYPDLSDLLPPLLRAIHSHSLRRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALQIRLLAEKADRELAMSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKADQKLTYALMHCNYQYESNLRRILKFLIPVKLSIGVLPRITLLERYNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >LPERR09G06220.1 pep chromosome:Lperr_V1.4:9:7860489:7865787:1 gene:LPERR09G06220 transcript:LPERR09G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVDSYTIKGTTKVVRVGDCVLMRPSDSDNAPYVGRVEKLETDGKGGVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGPKRPSNSYPASPNSDTKVEPKRRKR >LPERR09G06230.1 pep chromosome:Lperr_V1.4:9:7864687:7870810:-1 gene:LPERR09G06230 transcript:LPERR09G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAADQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGFAGAGGGGCDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHHFVNQARDVRRSSIGVSGPTDAADDMQLQTEREELYSTIKSNRLSEDLVETSRERSIRKACETLAADMIDMIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSVLLQSVITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTTDTAGSKKMLTGNTSQNMTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAAMLTALSPNTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVAERWLPELKNAVQEAQRCFEDCRRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSTAGDD >LPERR09G06240.1 pep chromosome:Lperr_V1.4:9:7874623:7875045:1 gene:LPERR09G06240 transcript:LPERR09G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSVVSNGGEEGSVLANAGVGAARVGGGGGGGGKVVQVLQRSFGEVQGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNNNTAVSSPSAAAAAAAKASKRPRASE >LPERR09G06250.1 pep chromosome:Lperr_V1.4:9:7877596:7882180:1 gene:LPERR09G06250 transcript:LPERR09G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGKAQAKASPPAAMAAAPRRRHGGAALPLLLVVLLLVLSSGLPRGAAMGLGGLGLKVPFSPGDVLPILPRQVSWPVMNTLHSAVDLLPSFVAAVSPGAPPSDAAWSGACFAQNEAALELTPGDRNGTELGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEEGEVWVAESGFENEKGEEIIAIVPWDEWWAAALKDQSNPQIALLPLHPDVRARFNESAAWDYARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLETEGLDLHEIIVETERRGMSFDHLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPYAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTETDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >LPERR09G06250.2 pep chromosome:Lperr_V1.4:9:7877822:7882180:1 gene:LPERR09G06250 transcript:LPERR09G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRRRHGGAALPLLLVVLLLVLSSGLPRGAAMGLGGLGLKVPFSPGDVLPILPRQVSWPVMNTLHSAVDLLPSFVAAVSPGAPPSDAAWSGACFAQNEAALELTPGDRNGTELGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEEGEVWVAESGFENEKGEEIIAIVPWDEWWAAALKDQSNPQIALLPLHPDVRARFNESAAWDYARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLETEGLDLHEIIVETERRGMSFDHLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPYAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTETDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >LPERR09G06250.3 pep chromosome:Lperr_V1.4:9:7877538:7882180:1 gene:LPERR09G06250 transcript:LPERR09G06250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRRRHGGAALPLLLVVLLLVLSSGLPRGAAMGLGGLGLKVPFSPGDVLPILPRQVSWPVMNTLHSAVDLLPSFVAAVSPGAPPSDAAWSGACFAQNEAALELTPGDRNGTELGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEEGEVWVAESGFENEKGEEIIAIVPWDEWWAAALKDQSNPQIALLPLHPDVRARFNESAAWDYARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLETEGLDLHEIIVETERRGMSFDHLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPYAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTETDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >LPERR09G06260.1 pep chromosome:Lperr_V1.4:9:7882534:7887440:1 gene:LPERR09G06260 transcript:LPERR09G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHYGFVIAVVVPATAHEGEGSKLLLPNNGHGQYYHQTTPILPINSVLKRKKKIQTLHLHTGAESTVNTPPPPTSKLAATPLKTMPAAAALSDDDRLVVAHCAALSFPTSPPSSSSFQVHHASHPYPCAAFAFPPSWSAGGGGWAASASPGAGAFGDAKVDPSLFPSLRSVGSGVPARANAAFLAAFRALLDGSPLQSEVSRAVAEEKRIIFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNHAVRREGWSQCILNFVVPLDIIPRIPLTPLASVTEGIQAVLDWLSPHTPNFSPSGVPLIITQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFMTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLCDAAERSLSAHWQYEPIKQSMTQEIVCVDYLGVISSTLPGRQMSSTTVGGLDVSKEAMLSLSAAGQWEKQRETNQAKIDGANCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVSRLELAGLWDEIVEMLRKRELPDGFEGRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLENDAHGWFLSGCLGKDIFLSRSSFVMWWKTLPEKHRSASCIAKLVPW >LPERR09G06270.1 pep chromosome:Lperr_V1.4:9:7887116:7887937:-1 gene:LPERR09G06270 transcript:LPERR09G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDWSALPLGQRLQWSGHPSFALTCSQWRSAVSPFYPAWITPLLLSTSRVGVTNLRYYSPYYHKNFEVASDGCDTARGAKICCATGQHLARALALPTVLLDADLVTGTVLRRGCLGSTRLARSGLATPAKNNDGGEWDEWTNTKIDHNGPRLTLSPVTNPVIRGGLIYLLGEQGRLAVYNPYKHEEGFEILDKPVSFGFEYHDSYLVESDQDELMVVLVGRRGTPVYVIKLNEQTMEWEKVEGFCKDGRCLPAHSLR >LPERR09G06280.1 pep chromosome:Lperr_V1.4:9:7891418:7892382:1 gene:LPERR09G06280 transcript:LPERR09G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDEHREASDEDDDGISEDDETDISNDDNTADEAKEVNDTLLMYNISTKQLLANNRLDDLKDHFYWIKPQEVDVYKLDIPRRAWVKVDTLGNRAFFVDTIECFGASVNAKEVCMKENCIYFVRRRDKGLYVHSMERGTTTAINPGADLLDNVTAQILMPAS >LPERR09G06290.1 pep chromosome:Lperr_V1.4:9:7927874:7939617:1 gene:LPERR09G06290 transcript:LPERR09G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECSTPVVAARWAYGGGVGESDEDWGVEMEKGRGGGGRGRKRRGGAAPRGRGRGRGRGRPPTKRRRLLMEESPEPEPASAASASEPSEVVAAAEEEEEKAAEIAGEGMGNAPLPGADEEAEEDLPPTSPSSGGGGGRRKQGRERGGKSCHQCKRVKPRPEEMIRCTRCGIKVYCAACINNKYPEMKEAEVRDECPFCRGICSCTRCNPNVKSDGPKSTNIRRCIGLEKWKVKPAASDMLDVEADEVDIETKRKYANYLLHYLVPHLTKLNKDQMSEREKEAKIQKLELSQLSIEQAACRSDERELREGKLMGSCKEELFSYPNRGSDYMHGGDPVLECINYRETALSSDQSKDTEWRVVSDIIYCPPAKFGGCGNHHPLQLRQIFSEDWLHKLEADALQMYKQFEPSDIISRDACECSCASDHLSSRKAASRENSTDNYIYCPSSDNGKPDDLTHFQKHWVKGEPIVVQQVLKKMSCLSWEPPDMWSKVHGTSTSPEMKKVKAIDCLSCCEVEICTQHFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKFINSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDSIKRLKRRHTVQSEKECFRNAATDGNYTSHKICEDVNGLSSTKNSEVDDGGALWDIFRREDVPKLKSYLNKHSKEFRHIYCSPVEKVHNPVHDETFYLTKEHKRKLKEEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVNKMIIYAIDRTVRTLKEGCPSPVD >LPERR09G06290.2 pep chromosome:Lperr_V1.4:9:7927874:7939617:1 gene:LPERR09G06290 transcript:LPERR09G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECSTPVVAARWAYGGGVGESDEDWGVEMEKGRGGGGRGRKRRGGAAPRGRGRGRGRGRPPTKRRRLLMEESPEPEPASAASASEPSEVVAAAEEEEEKAAEIAGEGMGNAPLPGADEEAEEDLPPTSPSSGGGGGRRKQGRERGGKSCHQCKRVKPRPEEMIRCTRCGIKVYCAACINNKYPEMKEAEVRDECPFCRGICSCTRCNPNVKSDGPKSTNIRRCIGLEKWKVKPAASGLRSIVDTEVVRTNATNRIEANGRINNESAMSDKVDMLDVEADEVDIETKRKYANYLLHYLVPHLTKLNKDQMSEREKEAKIQKLELSQLSIEQAACRSDERELREGKLMGSCKEELFSYPNRGSDYMHGGDPVLECINYRETALSSDQSKDTEWRVVSDIIYCPPAKFGGCGNHHPLQLRQIFSEDWLHKLEADALQMYKQFEPSDIISRDACECSCASDHLSSRKAASRENSTDNYIYCPSSDNGKPDDLTHFQKHWVKGEPIVVQQVLKKMSCLSWEPPDMWSKVHGTSTSPEMKKVKAIDCLSCCEKVIFSVHAIQVEICTQHFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKFINSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDSIKRLKRRHTVQSEKECFRNAATDGNYTSHKICEDVNGLSSTKNSEVDDGGALWDIFRREDVPKLKSYLNKHSKEFRHIYCSPVEKVHNPVHDETFYLTKEHKRKLKEEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVNKMIIYAIDRTVRTLKEGCPSPVD >LPERR09G06290.3 pep chromosome:Lperr_V1.4:9:7927874:7939617:1 gene:LPERR09G06290 transcript:LPERR09G06290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECSTPVVAARWAYGGGVGESDEDWGVEMEKGRGGGGRGRKRRGGAAPRGRGRGRGRGRPPTKRRRLLMEESPEPEPASAASASEPSEVVAAAEEEEEKAAEIAGEGMGNAPLPGADEEAEEDLPPTSPSSGGGGGRRKQGRERGGKSCHQCKRVKPRPEEMIRCTRCGIKVYCAACINNKYPEMKEAEVRDECPFCRGICSCTRCNPNVKSDGPKSTNIRRCIGLEKWKVKPAASGLRSIVDTEVVRTNATNRIEANGRINNESAMSDKVDMLDVEADEVDIETKRKYANYLLHYLVPHLTKLNKDQMSEREKEAKIQKLELSQLSIEQAACRSDERELREGKLMGSCKEELFSYPNRGSDYMHGGDPVLECINYRETALSSDQSKDTEWRVVSDIIYCPPAKFGGCGNHHPLQLRQIFSEDWLHKLEADALQMYKQFEPSDIISRDACECSCASDHLSSRKAASRENSTDNYIYCPSSDNGKPDDLTHFQKHWVKGEPIVVQQVLKKMSCLSWEPPDMWSKVHGTSTSPEMKKVKAIDCLSCCEVEICTQHFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKFINSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDSIKRLKRRHTVQSEKECFRNAATDGNYTSHKICEDVNGLSSTKNSEVDDGGALWDIFRREDVPKLKSYLNKHSKEFRHIYCSPVEKVHNPVHDETFYLTKEHKRKLKEEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVNKMIIYAIDRTVRTLKEGCPSPVD >LPERR09G06290.4 pep chromosome:Lperr_V1.4:9:7927874:7934818:1 gene:LPERR09G06290 transcript:LPERR09G06290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECSTPVVAARWAYGGGVGESDEDWGVEMEKGRGGGGRGRKRRGGAAPRGRGRGRGRGRPPTKRRRLLMEESPEPEPASAASASEPSEVVAAAEEEEEKAAEIAGEGMGNAPLPGADEEAEEDLPPTSPSSGGGGGRRKQGRERGGKSCHQCKRVKPRPEEMIRCTRCGIKVYCAACINNKYPEMKEAEVRDECPFCRGICSCTRCNPNVKSDGPKSTNIRRCIGLEKWKVKPAASGLRSIVDTEVVRTNATNRIEANGRINNESAMSDKVDMLDVEADEVDIETKRKYANYLLHYLVPHLTKLNKDQMSEREKEAKIQKLELSQLSIEQAACRSDERELREGKLMGSCKEELFSYPNRGSDYMHGGDPVLECINYRETALSSDQSKDTEWRVVSDIIYCPPAKFGGCGNHHPLQLRQIFSEDWLHKLEADALQMYKQFEPSDIISRDACECSCASDHLSSRKAASRENSTDNYIYCPSSDNGKPDDLTHFQKHWVKGEPIVVQQVLKKMSCLSWEPPDMWSKVHGTSTSPEMKKVKAIDCLSCCEKVIFSVHAIQVEICTQHFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKFINSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDSIKRLKRRHTVQSEKECFRNAATDGNYTSHKICEDVNGLSSTKNSEVDDGGALWDIFRREDVPKLKSYLNKHSKEFRHIYCSPVEKVHNPVHDETFYLTKEHKRKLKEEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEF >LPERR09G06290.5 pep chromosome:Lperr_V1.4:9:7927874:7934818:1 gene:LPERR09G06290 transcript:LPERR09G06290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECSTPVVAARWAYGGGVGESDEDWGVEMEKGRGGGGRGRKRRGGAAPRGRGRGRGRGRPPTKRRRLLMEESPEPEPASAASASEPSEVVAAAEEEEEKAAEIAGEGMGNAPLPGADEEAEEDLPPTSPSSGGGGGRRKQGRERGGKSCHQCKRVKPRPEEMIRCTRCGIKVYCAACINNKYPEMKEAEVRDECPFCRGICSCTRCNPNVKSDGPKSTNIRRCIGLEKWKVKPAASGLRSIVDTEVVRTNATNRIEANGRINNESAMSDKVDMLDVEADEVDIETKRKYANYLLHYLVPHLTKLNKDQMSEREKEAKIQKLELSQLSIEQAACRSDERELREGKLMGSCKEELFSYPNRGSDYMHGGDPVLECINYRETALSSDQSKDTEWRVVSDIIYCPPAKFGGCGNHHPLQLRQIFSEDWLHKLEADALQMYKQFEPSDIISRDACECSCASDHLSSRKAASRENSTDNYIYCPSSDNGKPDDLTHFQKHWVKGEPIVVQQVLKKMSCLSWEPPDMWSKVHGTSTSPEMKKVKAIDCLSCCEVEICTQHFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKFINSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDSIKRLKRRHTVQSEKECFRNAATDGNYTSHKICEDVNGLSSTKNSEVDDGGALWDIFRREDVPKLKSYLNKHSKEFRHIYCSPVEKVHNPVHDETFYLTKEHKRKLKEEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEF >LPERR09G06300.1 pep chromosome:Lperr_V1.4:9:7942136:7949460:-1 gene:LPERR09G06300 transcript:LPERR09G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREISVCSPVENASRAVRRARGVRGGPHRIWWTARCGDALRYVRARGARISFLAHLEKLLYTLRSALPRYLACLYALFTRANRQAQSPRSLNPHKNNKRDESQQTERCLALGETKQNKTKAERVCAVQAARRAPDGSRPIARAPPRHGATGRAMSRGRTRLQPSAAALVLLPLLLLSPVHHDVSASAAAERDEQHPPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFSDDISSSGQWSHTLDAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDKENPGSDLAGETAAALAAASIVFRRTNPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVENAEPFGGVGWAMTEFSWDVKYAGLQVLAAKLLLDGEPSAARHRGVLEKYKEKAEHYLCACLGRNNNNDSNVERSPGGMLYVRQWNNLQYASSAAFLLTAYSQYLGHHNHHLLRCPAGDATPSEMLALARAQADYILGRNPLGLSYMVGYGRRFPVRVHHRGASIVSHKEDARFIGCMQGFDDWFGRGRPNPNVLVGAIVGGPSRTDEFRDDRGNYMQTEACTYNTAPMVAVFARLHRLTAAEVEAEDGSPEECRRQGAAVGLSAECR >LPERR09G06300.2 pep chromosome:Lperr_V1.4:9:7943967:7949460:-1 gene:LPERR09G06300 transcript:LPERR09G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREISVCSPVENASRAVRRARGVRGGPHRIWWTARCGDALRYVRARGARISFLAHLEKLLYTLRSALPRYLACLYALFTRANRQAQSPRSLNPHKNNKRDESQQTERCLALGETKQNKTKAERVCAVQAARRAPDGSRPIARAPPRHGATGRAMSRGRTRLQPSAAALVLLPLLLLSPVHHDVSASAAAERDEQHPPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFSDDISSSGQWSHTLDAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDKENPGSDLAGETAAALAAASIVFRRTNPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVENAEPFGGVGWAMTEFSWDVKYAGLQVLAAKKS >LPERR09G06300.3 pep chromosome:Lperr_V1.4:9:7942136:7943389:-1 gene:LPERR09G06300 transcript:LPERR09G06300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLDGEPSAARHRGVLEKYKEKAEHYLCACLGRNNNNDSNVERSPGGMLYVRQWNNLQYASSAAFLLTAYSQYLGHHNHHLLRCPAGDATPSEMLALARAQADYILGRNPLGLSYMVGYGRRFPVRVHHRGASIVSHKEDARFIGCMQGFDDWFGRGRPNPNVLVGAIVGGPSRTDEFRDDRGNYMQTEACTYNTAPMVAVFARLHRLTAAEVEAEDGSPEECRRQGAAVGLSAECR >LPERR09G06310.1 pep chromosome:Lperr_V1.4:9:7965337:7971212:1 gene:LPERR09G06310 transcript:LPERR09G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLAALLALPLPASLPAAPRARGRGSRERAALRALPRLAALWQLPRLAAVESTPPPPSSPAPLPESAGPGFDAVIGGGGGGDGGGGDDLGWVRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSAALVDNFGCKRTLQIDSVPLILGALLSAQADSLDEMLLGRFLVGIGIGVAPTKYRGSLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVVGMQFAVESPRWLAKVGRLDDARKVVERLWGPSEVEKSMEEIQSVVANDDSQASWSELLDEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASNLMDKQGRKKLLTGSYIGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKFGVGAVYAGFGGVSLLSAFFAYNFIVETKGRSLEEIEMSLSPAAPVKRD >LPERR09G06310.2 pep chromosome:Lperr_V1.4:9:7965337:7970431:1 gene:LPERR09G06310 transcript:LPERR09G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLAALLALPLPASLPAAPRARGRGSRERAALRALPRLAALWQLPRLAAVESTPPPPSSPAPLPESAGPGFDAVIGGGGGGDGGGGDDLGWVRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSAALVDNFGCKRTLQIDSVPLILGALLSAQADSLDEMLLGRFLVGIGIGVAPTKYRGSLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVVGMQFAVESPRWLAKVGRLDDARKVVERLWGPSEVEKSMEEIQSVVANDDSQASWSELLDEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASNLMDKQGRKKLLTGSYIGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKFGVGAVYAGFGGVSLLSAFFAYNFIVETKGRSLEEIEMSLSPAAPVKRD >LPERR09G06320.1 pep chromosome:Lperr_V1.4:9:7976541:7978675:-1 gene:LPERR09G06320 transcript:LPERR09G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLVTGKLSHHQKRCGHRSISEISDVVDETKRPLDGQNDILRYRVFTSTWNVGGMTPSGDMDLEDWLDSRANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSMIGEALNKKREGAVLHQEITNNSAMKRSVQEEHFRCIMSKQMVGIFMSVWVRSNLRPYIHHMNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAVDILTRTRFPDGATQELPKKILGHDEVVLLGDLNYRISLEETETRLLVEDKNWSILLENDQLLLEFSTGRHFEGWQEGQIMFSPTYKYHPNSDQYYWCFDGVPGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRALFHAECEIRGDADCACGCIALSSTSE >LPERR09G06330.1 pep chromosome:Lperr_V1.4:9:7983250:7987748:-1 gene:LPERR09G06330 transcript:LPERR09G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRTRPPWRRRLCLAVPPASEMAAAEEEEEDEVEEMGGRKAVELDSAARREIAIRRLQEEAGAGGGSRREFAVFETARGDTLFTQSWTPVAADRVRGIVVLLHGLNEHSGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAIGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAALDPCVEVHVEGVILTSPAIHVQPAHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITNPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLTSRLNVLQRW >LPERR09G06340.1 pep chromosome:Lperr_V1.4:9:7995022:8000674:1 gene:LPERR09G06340 transcript:LPERR09G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPVLTNPRQDAIDLHKAFKGPVSGSKGTLVSVTAAGYGWYLYRNPCVMYRNPSLPYLRLQNPMCDVYDENPKKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRVFTERSWAHLASVASAYHHMYDRSLEKVVKSETSGNFEVALLTILRCAENPAKYFAKVLRKSMKGMGTNDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAINSETSGNYRTFLLSLVGSH >LPERR09G06350.1 pep chromosome:Lperr_V1.4:9:8000254:8001759:-1 gene:LPERR09G06350 transcript:LPERR09G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNLVCFSGELTVTGYDTTAVAHSDVQSHLDCMYARHVRMVTSSDAAAAARANGEASSSSGEEENATASIAAAVLLRDAARGLAAWTRQGGAARALLVASVSPFHLPTPRAEIVVGSVALAALTGLVVVVFVLVAATTSAAAVSVLVCLPLLAAAYIGALSVVVFVVAATTAATLIAITIATGKIHQHSRLLLSSAWCMPYTFFFYKKKVHFAVGKQLLV >LPERR09G06360.1 pep chromosome:Lperr_V1.4:9:8001626:8001805:1 gene:LPERR09G06360 transcript:LPERR09G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTSTYMQSKWLCTSECATAVVSYPVTVSSPEKQTKFRASMLSFDFARTAVEPHA >LPERR09G06370.1 pep chromosome:Lperr_V1.4:9:8037370:8043524:1 gene:LPERR09G06370 transcript:LPERR09G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWVDGVDRALSLAAAGAAAGGGRSWRWSRRVPAAMAAPPIRGIPIYNGPGGFPFLPPSAGGLGVDAVAGHHHQKLGFYNSYHHHHPATWPSSLGSTSPSPLLAPPPPTAGDAFLSTTPSAAAAAAAHHHHHRMLSASGRLNGMLSVSDTLRSYTTSAGGLAGGLHHHLHGGAPPFGLASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEDFTGGGQAASGGGDSMCLRGAAPFAEHGRSASEGAASSVGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSNLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >LPERR09G06380.1 pep chromosome:Lperr_V1.4:9:8051565:8053049:-1 gene:LPERR09G06380 transcript:LPERR09G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSSSSSSATTPALLLLLLAAALHGGDATPPPVKLAGGARHVAFDEGYTQMFGDGNLALLRHGRRVHLTLDESTGAGFASQDVFLHGFFSAAIKLPSDYAAGVVVAFYLSNGDTYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPSDEFHHYSILWTPHRIIFYVDETPIREVVRTAEMGAAFPAKPMAVYATIWDGSAWATLGGRYRVNYKYAPFVAEFADFVLHGCAVDPSSSAAAVEHSITAAAAARGYEEAAVLSLSTAANRAAMAAFRRGHMSYSYCHDRRRYPVALSECAAGGGGDLRRVFGPDGMRYDGRHRRPRRSGRDASS >LPERR09G06390.1 pep chromosome:Lperr_V1.4:9:8079506:8080282:-1 gene:LPERR09G06390 transcript:LPERR09G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSPLMPGSVLPKSKIRKREEDWRADWFYTAVGDHPRLGLLTGSPVTQENWVERPRIGREFDGVMERLDHLRQAGLSSHAVFRDFMSQRISPLQARERPAWFYFGVPTACGRSWGRSTIWTRTPLGW >LPERR09G06400.1 pep chromosome:Lperr_V1.4:9:8088284:8090554:1 gene:LPERR09G06400 transcript:LPERR09G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAGTIALAYIVGGLVPLLPYMFVPSADRAMATSVAVTLAALLFFGYIKGRFTGNRPFISAIQTTVIGALASAAAFGMAKAVQAI >LPERR09G06410.1 pep chromosome:Lperr_V1.4:9:8093670:8097538:1 gene:LPERR09G06410 transcript:LPERR09G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSLLRSAARAARRRSIRPHLPAPEDSRLLSSASCRRQSGQSLARRHLSSDDCGGRMDSDKKLYSMDDLLEYKRQFDKNKEEIFGDADISEHEGKEEDLYERMRSIDDKLRRCLDEQEHLLQEIQVQMDSYKKYDQVKHFLVAIPSFVCIGLILDKMHMFG >LPERR09G06420.1 pep chromosome:Lperr_V1.4:9:8107693:8114912:1 gene:LPERR09G06420 transcript:LPERR09G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVYVGGLRDEVPAAEHDEVAHQLFDRFRCVPTFLPSDLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRSLWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGIHLEQLRSVLDLPETGVKVSELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVQEVQDESYAMVKRINEAFGQPGYEPVILIDQPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDRILGLSSSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTMRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLCRDQNNMVFLVSTKKRSTLEEWFSPCDNLGLVAEHGYFFRLRRDAEWETCVPATDCSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIQENNLLPDFVLCIGDDRSDEDMFEVITTAAQDNRLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMQSVMPPPVNAADTALR >LPERR09G06430.1 pep chromosome:Lperr_V1.4:9:8112603:8114804:-1 gene:LPERR09G06430 transcript:LPERR09G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCLHRPLVSKLCLGFAVLLTVLIVIMSLEEAPVLTIFSSTPEKLKVLSQGFLQQDHQHLEDNTARQNRNCNYAKGKWVADKKRPLYSGSECKQWLSKMWACRMMQRADFSYENFRWQPHECEMPEFSGPNILKRLRHKTLAFVGDSLGRQQFQSMMCMATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELEPLNTTDSVTTYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPRMKVFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVENAVNGTRVKILDITAISQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >LPERR09G06440.1 pep chromosome:Lperr_V1.4:9:8120005:8126083:1 gene:LPERR09G06440 transcript:LPERR09G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVVKIGGKRVMFDCGMHMGHHDSRRYPEFERLLAGGGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYDGPVYMTYPTKALAPLMLEDYRKVMVNHRGEEEQYSYEDILRCMKKVIPLDLKQTIQVDKNLLIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAHIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTVQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCYYPANNETVSIPTTQNLKINATEKFIMSCCTGETKNNLQKHGRAFGGNIPEGCSTEGEVEGILLMEKSKIPKILCEDELLHSLGMEKHLVHFEPLHSSGIEEVKHTDGSAVQQGSLGCE >LPERR09G06440.2 pep chromosome:Lperr_V1.4:9:8118452:8126083:1 gene:LPERR09G06440 transcript:LPERR09G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGMHMGHHDSRRYPEFERLLAGGGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYDGPVYMTYPTKALAPLMLEDYRKVMVNHRGEEEQYSYEDILRCMKKVIPLDLKQTIQVDKNLLIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAHIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTVQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCYYPANNETVSIPTTQNLKINATEKFIMSCCTGETKNNLQKHGRAFGGNIPEGCSTEGEVEGILLMEKSKIPKILCEDELLHSLGMEKHLVHFEPLHSSGIEEVKHTDGSAVQQGSLGCE >LPERR09G06450.1 pep chromosome:Lperr_V1.4:9:8119842:8120273:-1 gene:LPERR09G06450 transcript:LPERR09G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDVIHSGGCHCGRVRWSAWAPASVVAWICNCSNCSMRGNTHFVVPAAKFALAAGAGDSLTTYTFGTHTAKHTFCKLCGITSFYTPRSNPDGVALTVACVDPGTLEHVEYRHADGRNWEKWFAGSDISEFSKPREAPAAE >LPERR09G06460.1 pep chromosome:Lperr_V1.4:9:8126090:8127342:-1 gene:LPERR09G06460 transcript:LPERR09G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRSATCELRRSIRRRSCPPRLFSPKILEGSRLLSIDAAGKAQSCNQNKASSTTTTPNAAQFQLHLENLLRSGCDLSIESIEKHFSKDQIMEFTRYLRKMRKQKERDYLSTLEKYSDTLEERSSLLRQIKDLLESGNRRSAQKYRLVKNGHY >LPERR09G06470.1 pep chromosome:Lperr_V1.4:9:8149358:8150446:1 gene:LPERR09G06470 transcript:LPERR09G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYQYTLFDDLGRHYFIDCLIKGSIDFIFGNDRSFYEVCTTQIFDEVYFDSLNSVAPGDGIAICAQGREYAADDTSFAFVNCRITGSGQILLGRAWRPYSRVVFAHTDMPGVIVPEASNYWDDKQRDAYVFLLQEYQECTRISKKVTRISVELFHRFLLQVFNYNPIPDFQSSGCPPSPRGVCLPCRLSPHRRCAVMHTRDDMLLLIAL >LPERR09G06480.1 pep chromosome:Lperr_V1.4:9:8176555:8176795:-1 gene:LPERR09G06480 transcript:LPERR09G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKVLLLFAASLNADVAAARRQPPVPAAQADHVPSPPDLVSISKASSGASNCTHGPNNPPGGPCPPNAP >LPERR09G06490.1 pep chromosome:Lperr_V1.4:9:8183945:8184577:-1 gene:LPERR09G06490 transcript:LPERR09G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEIAKLRSMIPEDDDCSSCKTLLAEIDLLKSRNDSCTLGVGTNTSASAACSNCYELKVNLGMLEIELKEWKEKFEHDRIRSCENCPILASENDELKNKFGLLKIRNNLLEHVTSKRPIETCCSNCANLEAELKDAKHAVSSIASHDSCASCISQRLDLTSVKKEFAKRDESLAILEADLVASKECVKRDETIAEREKTYLQQSLERFT >LPERR09G06500.1 pep chromosome:Lperr_V1.4:9:8190504:8190740:1 gene:LPERR09G06500 transcript:LPERR09G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAIKVIMTFFAVAAIVFSATAVTAAARPVHGGGWTNNDDGIVVAAVRKLAESVPSCCTNGGAPGTCPPGVTCPP >LPERR09G06510.1 pep chromosome:Lperr_V1.4:9:8201884:8202192:1 gene:LPERR09G06510 transcript:LPERR09G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAVKMAAIFMVALTIGQLMVEALPQPAGRRLLEVDGEEEEGLDDGLLLMEEAAFLTCPRHCRRSKTPACRCCRKGSGCHLVVGMCKCPHSFVVVPLDD >LPERR09G06520.1 pep chromosome:Lperr_V1.4:9:8205615:8208398:-1 gene:LPERR09G06520 transcript:LPERR09G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKKKKKEEKASSRARALGRLALALSPAQSIDPIQPPSPADPAIPTADLAAGPSPASAPQHRVDPAVARGRESPSPSDPAVFATDPRRSVVACVGTATPAGSLCCCRRDVDDSRSQSGAAPATVAGRSKHPRRPFRAVVIINIVPATTGSSPKLRGWEQGKESNVFTLDNIRRSLIKLVTCYSSATTVILIIQYI >LPERR09G06530.1 pep chromosome:Lperr_V1.4:9:8211212:8215782:-1 gene:LPERR09G06530 transcript:LPERR09G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHCHMHTTLLSLPSSSTIGGRPSRIISRFLFFSPSHSNKLHLRRSSAAAAAKNGSSPAARNVRRVTAAEQGMEQAGKAAVGWAARDDSGVLSPYNFSRRAPKDNDVTIKILYCGICHTDLHIIKNDWRNAMYPVVPGHEIVGVVTDVGAGVTKFKSGDTVGVGYFVTSCRSCELCGKGYENYCSKMVTTCNGVDHDQVNAGAAATMGGFSDSIVVDEHYVLRVPPTLPLSKAAPLLCAGVTVYSPMVIHGLNTPGKHIGVVGLGGLGHVAVKFAKAFGTKVTVISTSPAKRDEAIGHLGADAFLVSRDDGEMNAAAGTMDGIIDTVSAWHPVAPLLALLKPMGQMVFVGGPTRPLELPAYAIVPGGKGIAGNCVGGVRDCQAMLDFAGEHGITAEVEVVKMDYVNTAFERLEKNDVRYRFVVDVAGSGLGSGDGDGGDAKITVAPKKKQSKELSPMEHGTAAFGWAAKDTSGHLSPYNFKRRVQKDDDVTIKVLFCGICHTDLHIIKNEWGNALYPVVPGHEIVGVVTDVGAAVTKFNAGDTVGVGYFVDSCRSCDSCEKGNQNYCPTLVITSNGVDYSGDTTRGGFSDSIVVNHDYVIRVPASLPPSGAAPLLCAGVTVYTPMVEHGLDGPGKHLGVVGLGGLGHLAVKFGKAFGMKVTVISSSPAKRDEAMGRLGADAFLVSRDVEAMKAAAGTMDGVIDTVSGAHAIVPLLALLRPKGQMVVVGAPSEPLLLPAVAIIDGGKRMAGNGVGSVGECQRMMDFAGEHGIAADVEVVAMGDVNEAVDRLERNDVRYRFVVDVAGTLHASAAAAAAAAS >LPERR09G06540.1 pep chromosome:Lperr_V1.4:9:8219202:8220827:-1 gene:LPERR09G06540 transcript:LPERR09G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKAPSSSLLRFAGAAFRQLFPRVSSIQPCSLRKSQQWDETNLCAFYVVESIMSRGQRTYSALSDLEYRRDWVAEEDKHKAIQEVLAGFLNDEVLDPKGEHCYDGRLVPASVDYNIDVDDPNFD >LPERR09G06550.1 pep chromosome:Lperr_V1.4:9:8228903:8230834:-1 gene:LPERR09G06550 transcript:LPERR09G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVLVVIVALCLAAGVAAAQDIAGDTAALLAFRDAVGRRLPWPSSASSSSSPCGWRGVRCDAAAARVVALQLPGASLVGTVPTGTVGNLTALRTLSLRSNALSGEIPADIGNCGELRAVYLHDNRLSGEIPEGIFSLRLLQRLDLSGNRVGGSVSPEFSKLRRLATLYLQNNSFNGTLPGDLDLPNIQLFNVSYNEKLTGAVPDSLAGRIPASAFSGTGLCGGPLTPCTNPSPPSPLPSPPISPPPAVSQHSKSSKLSGGAIAGIAVGGGAALLVALAVIVLLCFKRRRRKDYNDADENASPPVWVTVARTTTEKQSQSETRRSTAASGGGGKKLVFVGGEPEAPYDLETLLHASAEVVGKGWLGTTYRATLDAGVAVAVKRLRDAPIPEREFRDRVAALASLRHVSLAPIRAFFYSRGEKLLVSDFVGAASLSSLLHTNRARLSFTSRARVALAAARGVAFIHRAAASAVSCHGNIKSSNVVVNASHDGAFLTDYGLVQLIAAAGVPLKRVTGYRAPELTEPTREADVYSFGVLMLEMLTGRAPANAVAGMDGVDLPNWVRSVVEEEWTAEVFDAAIADEMGHAGEEMVRMLRLAVECTDQRPERRPAMDDVAARIERIVESAVRNRNADVDDFESVSDS >LPERR09G06560.1 pep chromosome:Lperr_V1.4:9:8234398:8234631:1 gene:LPERR09G06560 transcript:LPERR09G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAVKDNKEQQDGVHLVHSQVRRIKKEEEEARMLLLKLQLLETRPDGGRCPAMILQASRSLSPLRRAGGAIPVGE >LPERR09G06570.1 pep chromosome:Lperr_V1.4:9:8237470:8238774:1 gene:LPERR09G06570 transcript:LPERR09G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSPALGWAARDTSGHLSPYSFKRRVQKDDDVTIKVLFCGICHTDLHIIKNEWGNALYPVVPGHEIVGVVTDVGAAVTKFNAGDTVGVGYFVDSCRSCDSCEKGNENYCPTLVITSNGVDYSGDTTRGGFSDSIVVNDDYVIRVPASLPPSGAAPLLCAGVTVYTPMRMMDFAGEHGIAADVEVVAMGDVNEAVDRLERNDVRYRFVVDVAGTLHASAAAAAQKAHPNLGFGYYLRRNLAHTFHDS >LPERR09G06590.1 pep chromosome:Lperr_V1.4:9:8260185:8263861:1 gene:LPERR09G06590 transcript:LPERR09G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHHRREMARATGEPAAAHRHHRAERAAAGDPPPTTAAHRTERHRAEAALPIPPNRRTTTSHNHRTDAGEIPPPRRTTERKESLESLLDATDAKRGGGVPGAGVKVTRFPGQGLEFKNLSYSVMKKTKKDGVKTKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKTISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGIDIIHKPPLLFLDEPTSGLDSTSAHSVVEKVRDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPSTLPTHLAGFGRPVPDGENSIEYLLDVIKEYDESTSGLEPLVAYQRDGTKPDGIAKTPVPKTPRTPHQKSVQFRQIQLKSNQFSLASAMTPHANGNTFSNFESSYNVDGGVDDNDDFDNSLERKSQTPMHAGGPASGYQPRLASQFYKDFSVWVFHGVTGSTPHRRPTWTPARTPARTPVSSFQRSRVATATPPLHNNQHLPPPSPQVPVFKPEEPTYHEYELDLEPPLDAPEVNAGQPKFANPWLREVVVLSWRTALNVVRTPELFLSREVVLTVMALILSTMFHHLNGGDIPAVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVVSSLLVYLPFFAVQGLTFAVITKFMLKLQSSLLYFWAILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTLIPVGWRWLHYISAIKYPFEALLVNEFKGGRCYAGTRAELSPGPLGGFKPSHLQQELNVSDTACLLIGQDVLTMLDITIDNIWVDVAILLAWGVLYRLVFYVVLRFYSKNERK >LPERR09G06600.1 pep chromosome:Lperr_V1.4:9:8260301:8262091:-1 gene:LPERR09G06600 transcript:LPERR09G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGFLKPSRLPLAMRPARASRKVDLPAPLGPRIAVTWPRSAWPEMSFSSPCPGNLVTFTPAPGTPPPRFASVASRRLSRLSFLSVVRRGGGISPASVRWLWDVVVLRLGGIGNAASARCRSVR >LPERR09G06600.2 pep chromosome:Lperr_V1.4:9:8260301:8262091:-1 gene:LPERR09G06600 transcript:LPERR09G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGFLKPSRLPLAMRPARASRKVDLPAPLGPRIAVTWPRSAWPEMSFSRFLNSSPCPGNLVTFTPAPGTPPPRFASVASRRLSRLSFLSVVRRGGGISPASVRWLWDVVVLRLGGIGNAASARCRSVR >LPERR09G06600.3 pep chromosome:Lperr_V1.4:9:8260301:8262245:-1 gene:LPERR09G06600 transcript:LPERR09G06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPSRLPLAMRPARASRKVDLPAPLGPRIAVTWPRSAWPEMSFSRYTSFFVFTPSFFVFFITLYDRFLNSSPCPGNLVTFTPAPGTPPPRFASVASRRLSRLSFLSVVRRGGGISPASVRWLWDVVVLRLGGIGNAASARCRSVR >LPERR09G06600.4 pep chromosome:Lperr_V1.4:9:8260301:8262091:-1 gene:LPERR09G06600 transcript:LPERR09G06600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGFLKPSRLPLAMRPARASRKVDLPAPLGPRIAVTWPRSAWPEMSFSRYTSFFVFTPSFFVFFITLYDRFLNSSPCPGNLVTFTPAPGTPPPRFASVASRRLSRLSFLSVVRRGGGISPASVRWLWDVVVLRLGGIGNAASARCRSVR >LPERR09G06610.1 pep chromosome:Lperr_V1.4:9:8263102:8270729:-1 gene:LPERR09G06610 transcript:LPERR09G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLAAIGKDEQQHGEERKESGYFGGGGGRGQAMDWSFANRAAGAPALMSFRSAAAAPPSASREETRELVFPQFSPFDGAKMHHASHLLATQKSFGAESHGITQYAAAAVHGAHRGQPPPPHVVNGARVIPASSPFNPNNPMFRVQSSPNLPNVIVGGGGAFKQPPYAMSNAVAGSTVGVYGTRDVPKAKTAQLTIFYAGSVNVFNNVLPEKAQELMFLASRGSLPTAPNTVARMPEAPVFTPAKVTVPEVSPAKPMLHQKTQLVSSPMLAISRPIPIVSQAASLPRSTSSSNVDSTIPKSSGPLVVPSTSLPPSAQTETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPATKSPLESSDTIGSSNDKSSCTDITLSSNRDESLSLSQPRTISLCEESPRTKPEYYLKKVADMATAGTSGFEDEIIESDIELEGEVVVPDNDPPQKMGDPSVEISDEKRKLDEAIEHLTEAILLNPTSAIAYATRAGAFMKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAHDLHMAAKLDYDEEIRAELKKVEPNVLKIEEHRKKYERLRKERDMKKAELEKQRKHAEEVSAASAALKDGDVISIHSSSELETKLKAASILSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAHRWNVSSVPSFFFVRNGKEIDKVVEPQDNIEDKAIEHTPSKQDGNINPDVVNGDVKHGEHILAYQQACRVTDIQLLLEMAGLEPSKWARRELGPRAGVAPATLELVHQQRLKWVLDRRDVVKPPPSHWDEGSLEEEAAGEEEERRGGNDDGVAGDVARHERRDEHDVGVCGDEGGEEDCPEVEEAGLQFEHEFGDDGEGETLHGEEGEVDEEGGDDVG >LPERR09G06610.2 pep chromosome:Lperr_V1.4:9:8264526:8270729:-1 gene:LPERR09G06610 transcript:LPERR09G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLAAIGKDEQQHGEERKESGYFGGGGGRGQAMDWSFANRAAGAPALMSFRSAAAAPPSASREETRELVFPQFSPFDGAKMHHASHLLATQKSFGAESHGITQYAAAAVHGAHRGQPPPPHVVNGARVIPASSPFNPNNPMFRVQSSPNLPNVIVGGGGAFKQPPYAMSNAVAGSTVGVYGTRDVPKAKTAQLTIFYAGSVNVFNNVLPEKAQELMFLASRGSLPTAPNTVARMPEAPVFTPAKVTVPEVSPAKPMLHQKTQLVSSPMLAISRPIPIVSQAASLPRSTSSSNVDSTIPKSSGPLVVPSTSLPPSAQTETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPATKSPLESSDTIGSSNDKSSCTDITLSSNRDESLSLSQPRTISLCEESPRTKPEYYLKKVADMATAGTSGFEDEIIESDIELEGEVVVPDNDPPQKMGDPSVEISDEKRKLDEAIEHLTEAILLNPTSAIAYATRAGAFMKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAHDLHMAAKLDYDEEIRAELKKVEPNVLKIEEHRKKYERLRKERDMKKAELEKQRKHAEEVSAASAALKDGDVISIHSSSELETKLKAASILSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAHRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKLAQHGSS >LPERR09G06610.3 pep chromosome:Lperr_V1.4:9:8263102:8264292:-1 gene:LPERR09G06610 transcript:LPERR09G06610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRSCESEPQDNIEDKAIEHTPSKQDGNINPDVVNGDVKHGEHILAYQQACRVTDIQLLLEMAGLEPSKWARRELGPRAGVAPATLELVHQQRLKWVLDRRDVVKPPPSHWDEGSLEEEAAGEEEERRGGNDDGVAGDVARHERRDEHDVGVCGDEGGEEDCPEVEEAGLQFEHEFGDDGEGETLHGEEGEVDEEGGDDVG >LPERR09G06630.1 pep chromosome:Lperr_V1.4:9:8300710:8307216:1 gene:LPERR09G06630 transcript:LPERR09G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSQSKSVPNHAPPNRQNAANDGVLNTPESDVMDSEYQSSHVQGGSNGSGGNYGQKTKGSRKNSRSNGISSSGKSDERASKHQSVDSNCDISNSGENELSSSTPKVRRDSKKSSRRGSGKNSSIEQTAMPVFAEKVLERTRCIACMAASIFRASVLYIMEESKLLMERNRPAITTFMAIVHKGCDYVLGKIGYAYPICRAWIFNAGKLMLLLLAVWFNCNIRGFDSLLRLGTNSLLAVVWCSTLSIFAMIGLKKMLLFMGICGAVVVFVGLGFAVLVIALAAVLILWLYGSFWTTSVVIILGGVSFFLKHERFALLVTCLYSMYCAKCYVGWLGLLLSLNLSFISSDVLVQFLKNNVDDNKSTGPSRNSEQNSGRSGFFGESQQSSADSSQSGYGQPSDRGPGDPSTSGAEKELTSEEEVSRLLTCTDHYSALGFSRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRPSEGVDEGPFGLSRRIVCKKCGDFHLWIYTGRAKAQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGLLQKPDLPHAYVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQNSGKGSTSAQRGGGAPNGANMDGGIDEEFFEWLQNAVQSGMFEAFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >LPERR09G06630.2 pep chromosome:Lperr_V1.4:9:8300570:8307216:1 gene:LPERR09G06630 transcript:LPERR09G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSQSKSVPNHAPPNRQNAANDGVLNTPESDVMDSEYQSSHVQGGSNGSGGNYGQKTKGSRKNSRSNGISSSGKSDERASKHQSVDSNCDISNSGENELSSSTPKVRRDSKKSSRRGSGKNSSIEQTAMPVFAEKVLERTRCIACMAASIFRASVLYIMEESKLLMERNRPAITTFMAIVHKGCDYVLGKIGYAYPICRAWIFNAGKLMLLLLAVWFNCNIRGFDSLLRLGTNSLLAVVWCSTLSIFAMIGLKKMLLFMGICGAVVVFVGLGFAVLVIALAAVLILWLYGSFWTTSVVIILGGVSFFLKHERFALLVTCLYSMYCAKCYVGWLGLLLSLNLSFISSDVLVQFLKNNVDDNKSTGPSRNSEQNSGRSGFFGESQQSSADSSQSGYGQPSDRGPGDPSTSGAEKELTSEEEVSRLLTCTDHYSALGFSRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPFGLSRRIVCKKCGDFHLWIYTGRAKAQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGLLQKPDLPHAYVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQNSGKGSTSAQRGGGAPNGANMDGGIDEEFFEWLQNAVQSGMFEAFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >LPERR09G06630.3 pep chromosome:Lperr_V1.4:9:8300686:8307216:1 gene:LPERR09G06630 transcript:LPERR09G06630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSQSKSVPNHAPPNRQNAANDGVLNTPESDVMDSEYQSSHVQGGSNGSGGNYGQKTKGSRKNSRSNGISSSGKSDERASKHQSVDSNCDISNSGENELSSSTPKVRRDSKKSSRRGSGKNSSIEQTAMPVFAEKVLERTRCIACMAASIFRASVLYIMEESKLLMERNRPAITTFMAIVHKGCDYVLGKIGYAYPICRAWIFNAGKLMLLLLAVWFNCNIRGFDSLLRLGTNSLLAVVWCSTLSIFAMIGLKKMLLFMGICGAVVVFVGLGFAVLVIALAAVLILWLYGSFWTTSVVIILGGVSFFLKHERFALLVTCLYSMYCAKCYVGWLGLLLSLNLSFISSDVLVQFLKNNVDDNKSTGPSRNSEQNSGRSGFFGESQQSSADSSQSGYGQPSDRGPGDPSTSGAEKELTSEEEVSRLLTCTDHYSALGFSRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRPSEGVDEGPFGLSRRIVCKKCGDFHLWIYTGRAKAQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGLLQKPDLPHAYVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQNSGKGSTSAQRGGGAPNGANMDGGIDEEFFEWLQNAVQSGMFEAFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >LPERR09G06630.4 pep chromosome:Lperr_V1.4:9:8302071:8307216:1 gene:LPERR09G06630 transcript:LPERR09G06630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSQSKSVPNHAPPNRQNAANDGVLNTPESDVMDSEYQSSHVQGGSNGSGGNYGQKTKGSRKNSRSNGISSSGKSDERASKHQSVDSNCDISNSGENELSSSTPKVRRDSKKSSRRGSGKNSSIEQTAMPVFAEKVLERTRCIACMAASIFRASVLYIMEESKLLMERNRPAITTFMAIVHKGCDYVLGKIGYAYPICRAWIFNAGKLMLLLLAVWFNCNIRGFDSLLRLGTNSLLAVVWCSTLSIFAMIGLKKMLLFMGICGAVVVFVGLGFAVLVIALAAVLILWLYGSFWTTSVVIILGGVSFFLKHERFALLVTCLYSMYCAKCYVGWLGLLLSLNLSFISSDVLVQFLKNNVDDNKSTGPSRNSEQNSGRSGFFGESQQSSADSSQSGYGQPSDRGPGDPSTSGAEKELTSEEEVSRLLTCTDHYSALGFSRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRPSEGVDEGPFGLSRRIVCKKCGDFHLWIYTGRAKAQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGLLQKPDLPHAYVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQNSGKGSTSAQRGGGAPNGANMDGGIDEEFFEWLQNAVQSGMFEAFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >LPERR09G06640.1 pep chromosome:Lperr_V1.4:9:8308013:8311011:-1 gene:LPERR09G06640 transcript:LPERR09G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDLMRKVTSGSSSSSSSFKGTAHVLGSSSSRPSNPNPSTRPLAAPKRRESPAPRPSASSPPPPPSTGFAPYAPLITTSRRAAAAAETEEGAAAAAAAFECPSCGEAFPTEHAVSDHLDGCLGSAGGAKPRAAAYMAGDPPASAVELVKKLLGNLLADPGNEKFRKVRLGNPRIKEAVADREGGLDLLEAVGFRVADDGGELFAVMDEVPGDARLGGIRQAVLLLERARPSTTTPQTQADAKGSCPNGVNERKEVVKPVDRQIRVFFSVPGSSVVENDLPDSFYSLSNEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQALIRIQFPDGVILQGLFLPVEPISSLYEFAASALKQPSLEFDLICPAGPKTRVISPFPRPGERARTLQDEDLVPSARLNFRPKETDSIVFTGLLSELLEASEPFTSAYSVPLCKTDI >LPERR09G06650.1 pep chromosome:Lperr_V1.4:9:8314122:8314892:-1 gene:LPERR09G06650 transcript:LPERR09G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNEEDPSTMAATEADPKPAAAAADLPSYPEMILEAIEALDDKNGSNKTAISQYIEAKHEGLPPAHSSLLTAHLARMKQTGELAFSKNNYFRGDDPTLPPKRGRGRPPKAKDAATAPPAPVPAAAPAPAPDTVSSPRGRGRPPKPKDPVAEAVAKATVGMPRARGRPPKKAKVDQEAPIGAPPPPPAPAAASSEASAAAAAPVKRGRGRPPKVRPAAEPAAA >LPERR09G06660.1 pep chromosome:Lperr_V1.4:9:8316250:8322782:-1 gene:LPERR09G06660 transcript:LPERR09G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMEKVRSIVAYHAPPSHKAGESPPRRLESRGGGEARPRSPESPTPSEARSGAMGDDASCPTPAPHCRDIRRVDEEADEPRVQFFAQGTYFSHDFSDSDSSVSVANSMYRSVTPSPSESPTVRQHDASDHGATTMSDSDDARDHVGASMASWCEVDHKSSRIVDFCDDIWYPPPPEDASDDIESKLCAFDDEDDEYGDSSSLFAPNCFSADKFAGVDGVADGSHVENVQNDLLRHFQALVAQLLTGEDISLARDKDSKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSESNFVRGIVCSKNVKHKRMVSEHRNATLLILGGALEYQKVSNKLTSLGTILEQENEYLKMIVGKIEARRPNVLLVEKSVSSYAQELFAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDIFKVEKVLESPTEHADKKSAKTLMFFEGCMKRLGCTVLLRGACRDELKKIKRVMQLAVFAAYHLSLETSFLADEGATFPRVPSASSVGAPEAQSNAEHLYARSANHGSPVSPRAEEKYSHHASISQIFYGISELPTSLPLDGESQGIVSKCREAESPVNHNKGDNLFHLQRNDLGSISSVTQYYDPRNSLQSPAAVKAHEHGISARILEEVHNLENCGSPISLDDFQVGDVHDQNKISGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPLCKEHSESHVRCYMHQHGSLTISVRRLISQKLPGEHDGRIWMWHRCTRCKREDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHVTANRIASCGHSLQRDCLHFYGYGNMVAAFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVMVFGEMESLHAEVYGFLSNAEKSLINMDESLKIGIERQIIEMKDLLIMERNEYEVALLLPFIKESTYSMKSSIDILELNRLRRGLLLDAYIWDCRLCNVSSIKIEGHISRIETPNVANHQNTRRKELESDLHKTITKHGEMHQQPSTCTRCSSGKLRKSLLSREGNYVGNETILPEIDLPVGMADGFQHDVGGLDLVFSKFDVREKGQHLSKDFIKTGSVERLPSDASILSDKIDIAWSGSCELHENPPDSMTKTNEIPSFMKPIPPIRIHSFGSIFRLHQREQTGLLPSSLYLSLKSGDSFTDLTSLVKDPLTNMRRAFSHSPRERGNLNVLNRLPTYIRSAAHMVSDGARLLLPHIDCEGGVVVAVYDDEPTSIVSYAMTSQEYIEHVRHKIDTHSSFHHPANCAMASSNQFEESLLSQEDSEFKGRHFSFSFDDEAFSADKTKFSVTCYFARQFAALRKKCCPSDIDYIRALSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFVEFAPHYFRHLTESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEALHTNPIFLGSKAKQQMDRAVWNDTSFLASLDVMDYSLLVGVDEKKNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNEAPTVISPIQYKKRFRKAMSKYFLAVPDDWSS >LPERR09G06670.1 pep chromosome:Lperr_V1.4:9:8340144:8343308:-1 gene:LPERR09G06670 transcript:LPERR09G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATSRYKTPATAAAALRGHPPGHRLLESGDVRRLGVGEDGAVRLRMRLPKAEVARLMEESRDAAEAAERIMKLCVARDQGGGGGVMVAGAPAASSGLVSAMSSGRKTSVMKKEKRTSKRNCRDLEHEKPLLHGFLSLPSNS >LPERR09G06680.1 pep chromosome:Lperr_V1.4:9:8366754:8368947:-1 gene:LPERR09G06680 transcript:LPERR09G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAALMAAAVATPVVALLLLLRAAWVTLSCYWLTPMRIRRAMAAQGVLGPPPRPLVGNLRDVAALVAASTADDMHSLSHDIVRRLMPHYVLWSQSYGKMFVYMYGSEPRLCMTDTALIKEFLSSKYAHSTGKSWLQRQGTRHFIGGGLLMANGARWSHQRHVVAPAFMADKLKARHPSNTLCSSIDILLSPPLLASSFVNLSSIYAQGRVGHMVECTRKAVGELREAAARRRGGEVEIGEHMTRLTGDIISRTEFNTSYDTGKRIFHLLEDLQRLTARSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSGEIADEGRTSAATYGRGLLAMLLSEMEKKKEDAGAGAGEFSYDAQLVIDECKTFFFAGHETSALLLTWTIMLLATNPTWQDKARAEVALVCGDQPPSADHLSKLTVLQMIIQESLRLYPPATLLPRMAFEDIELTGGVGGGGGIRLPRGISVWIPVLAIHHDEGIWGEDANEFRPERFAPGARRPSSTTAAAAGAARFLPFAAGPRNCVGQAYALVEAKVVLAMLLSSFRFAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >LPERR09G06690.1 pep chromosome:Lperr_V1.4:9:8392152:8393242:1 gene:LPERR09G06690 transcript:LPERR09G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLSGRAPPWSICHLRSSRGLGRRRRPLGFPSPPASTVVAGAVTTPSFPDIVLVSFLESHYTSCMHLPAQIEPGNVHAPAPGLPPPPTPSPATIPDNVGHGVTTIRSASNPCTFSLFTSTSKIHSIAGAATVNLAGRRASPPAVAADRHLRPLHVSLAISPSFARALPSLSVPRRALPCRRSPATSAALPPASSALPRAGQVSH >LPERR09G06700.1 pep chromosome:Lperr_V1.4:9:8400235:8407524:1 gene:LPERR09G06700 transcript:LPERR09G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISIKTLKGSTFQIEADPAQKVADVKRVIETTQGQHIYPAEQQMLIHQGKVLKDETTLEENKVLENSFLVIMLRQSKGSSSSAPATSKAPSNQAPPTQTVPAAPASQAPVAPATTVPATVSAPAPTATASPAPAVAVSSEADNYGQAASNLVAGSNLEATIQSILEMGGGTWDRDTVLRALRAAFNNPERAVEYLYSGIPEQMDIATPPPSTQSANPVQASQTAQPAAPSVPSSGPNANPLDLFPRALPNASTNAAGAGNLDALRNNAQFRTLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGDEEENMLDQFADAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGP >LPERR09G06710.1 pep chromosome:Lperr_V1.4:9:8405641:8410208:-1 gene:LPERR09G06710 transcript:LPERR09G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVADPDLEDPNPDVWELFSHYDGLYFRGALADAGFSVQWSSQSSSPPPRMVGHFGSCNFGRPSNTITLSEPVLKYRSSADTKNALLHQMIHAILFVKHHTKNRDHGPMFRAWMTAINSCSADDHQRPPDGYNITTRHDFSPDNSTRSINGFLWKCEYCGDTLVRASNIGAPSDACCIENVDNYSTCGNMLCQWHNHKMDCGGTYKKTGKATPAEVQNNGQGTKRCPTDMQMSKSQRAKQEPKSPDSDGFLENAAVMKPKGKGKVLALVGGDNVKLIGSNSSKKGVKRQRPEETQDADAMLAAPLKNLKLGLDLVPYRKPRSPSVVDCNNAKSSGGSASRKESKRHMPENIQKSSVLPTFYQRKIKSREYLVVPVKNEPLSLVNCSNGKSAGSNLSKKLSKQHEPEEVQKSCVQPASPTRKLKQDFVASVKTEIPSRASRSNAKVLGDSFLSAGKQHEPADIQKRRQNEISSTKAGTQLKIKDTQKTIYLPASPQTKLKQSVLQRQTRRSKTRESANEKFAVISAWLNYYESEGSSGSTEPLVNKRTERRRKTKNRITYTRSRKRNVGGSTSLKSQPSEDVSSRAKVAAPCLDIVASTPQQVLNQSAGYQSQSPAPPLAIVPFDTANEMVPLQTVDPTITSVGVIDISDDD >LPERR09G06720.1 pep chromosome:Lperr_V1.4:9:8411854:8416617:1 gene:LPERR09G06720 transcript:LPERR09G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELSSPTRKRGRDEEEDEEVVDAEKRLRGEEAEGAALLGLANYEDVEEDDDAARGGANGQHEEEEDEDEEEDVRRSLERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVRNLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFISWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHRKHIVDKKEDGDEQNGDTGSDVVAETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKGNEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >LPERR09G06720.2 pep chromosome:Lperr_V1.4:9:8412016:8416617:1 gene:LPERR09G06720 transcript:LPERR09G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELSSPTRKRGRDEEEDEEVVDAEKRLRGEEAEGAALLGLANYEDVEEDDDAARGGANGQHEEEVPVAAAEEDEEDVRMAPVVAAEEDEDEEEDVRRSLERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVRNLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFISWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHRKHIVDKKEDGDEQNGDTGSDVVAETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKGNEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >LPERR09G06730.1 pep chromosome:Lperr_V1.4:9:8418932:8423748:1 gene:LPERR09G06730 transcript:LPERR09G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARSLFDEMVRRDVVTWNSMISGYARHGDMEGARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKQRDWRQCLGLFDVMMEEGDTLPNEKTFVSVLTACANLGDLEKGRWVHGLVRERWDKLVPDILLLTALLTMYAKCGVMETAREIFNSMSEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPSPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYGIEPKSEHFGCMMDLLGRAGLLEQSEDLIENLQGKVSSALWGILMSSSQTQNNTKLGEFVGKKLIEMKPTEVGPYILLSNIYAAEGRWDDVEKVRKVMEEKGVEKNAGLSLVGSTGDLHFITEGGGSAPQNDVMLPMLGEMGLHMKQPSEDPNLRKRSSTVP >LPERR09G06730.2 pep chromosome:Lperr_V1.4:9:8418427:8423748:1 gene:LPERR09G06730 transcript:LPERR09G06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRDIVSYNSMVAGYVAGGDMDGARSLFDEMVRRDVVTWNSMISGYARHGDMEGARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKQRDWRQCLGLFDVMMEEGDTLPNEKTFVSVLTACANLGDLEKGRWVHGLVRERWDKLVPDILLLTALLTMYAKCGVMETAREIFNSMSEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPSPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYGIEPKSEHFGCMMDLLGRAGLLEQSEDLIENLQGKVSSALWGILMSSSQTQNNTKLGEFVGKKLIEMKPTEVGPYILLSNIYAAEGRWDDVEKVRKVMEEKGVEKNAGLSLVGSTGDLHFITEGGGSAPQNDVMLPMLGEMGLHMKQPSEDPNLRKRSSTNYPIAKGEK >LPERR09G06740.1 pep chromosome:Lperr_V1.4:9:8424518:8433102:-1 gene:LPERR09G06740 transcript:LPERR09G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSNGRSPLVRKQSQITAFFSSSPSPSPSPTPTAPKSKPKPSPLNPRPARKPPSPPKPPSPRPEEKEKEKDAAVVGRRLRVYWPLDDAWYEGRVEAYDGESRRHRVRYDDGEVEEVDLAKERYEWAAAVAAAPADEGVTPPPRPPARKLRRLRRMSDAATTAAKSSPDVVDGGGEEEEETGGDSTEDEDWKKDAVVEDDSDEMVELDDDDDDDEVVAVKTRKGKTKNTLSMSAPTPKLAFGLGSGSIGGSGSIGGSMLSKKRRKVDAGALDCAKKFSFEPANTAGNVELKLPMSCGQREQPLENAHAALTGEIAERFGQRQAEKFKFLGEGRKDAKGRRPGNPGYDPRTLFLPPQFLNSLTGGQMGKFYEFYEMDAHVVAKELDLQYMKGEQPHCGFPEKNFEVNLEKLAKKGYRVLVVEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKNQDGHTIGVCIVDVSTSKFIVGQFQDDPERHGLCSILSEIRPAEIIKPAKMLSPETEKALKSNTRDPLINNLLPSLEFWDAEKTIHEIKQYYCSSDTPVASSQNNFAYLPDLLNELIEAGDKTYALSALGGSLFYLRQALLDEKLLPCAVFERLTCSGLTNPIRKHMILDAAALENLEILENSNGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYDGQAILQRQSAIATFKGSGHECAVQFRKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDVSKRLLHQFTAALRGCQQMFQACSSISMLTSTEGSLLNNLLSPGKGLPHVSSILDHLRDAFDWSEADRIGRIIPHKGCDPEYDAPCVAIEEIESSLKKYLKEQRRLLNDSTVKYVNVGKDVYLIEVPESLGGSVPRNYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQKLIELFIEHHSKWRQLVSVVAELDVLISLAIASEFFEGPTCCPFIKESYGPDDTPTLHARNLGHPTIRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDQIMAGQSTFLVELMETASVLSSATTNSLVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENEDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLQRASEKSSDFEASYGKRQRITTNKLGCAQDDKFAAIKDLFRVVKAMHHREEQATSLSMLHDVQKRAKVQVIGE >LPERR09G06750.1 pep chromosome:Lperr_V1.4:9:8436399:8448208:1 gene:LPERR09G06750 transcript:LPERR09G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHAGGRRGASARPLQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHRRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIININRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADISGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSKLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISDSSNLLRDIPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIAYSPKQHMFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSVKGRDAAFLGPDDNQYAVLEEDRTSLNLFNLKAVATKEALENNAAVLEENTFADNTANPTEHQGPLQFTFESEVDRIFSAPLESSMLYVISGKHIGLAKLLQGYRLSTDNGQSITTKTEGKKFIKLKPNESVLQVHWQTTLRGPVVGILTTQRVMIASADLDILSSSSTKYDRGLPSYRSMLWVGPALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILTKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTSMKSIPQWELAGEVMPYMKTTDAGIPSVIADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSDNARTASSESAQKNVANAGGDSVGDTLARQLGAQIASADEQAKAAEEFKKTLYGVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPITRTRSLSGTPQEFSQAPMQLPGPAPPGPAMPNAAVDLFGNALVEPQAPSGATGPVIGGMGVTAGPIPEDFFQNTIPSQQLAAQLPPPGIILSRIAQPAPGMNAVRPVHNQNMMANVGLPDGGVPPQAPLQQAQFPQQPGMPMDSIGLPDGGIPPQSQPLPSHPQAIPPQPHGFQPAVPAVSQPIDLSALEGQGQGKQAPRPPAPTAVRPGQVPRGAPASECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALAAPGCVVCGMGSIKRSDALAGPVPSPFG >LPERR09G06760.1 pep chromosome:Lperr_V1.4:9:8450225:8451618:1 gene:LPERR09G06760 transcript:LPERR09G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGGQQCMKEVELGNRNVQLSSAHHVFDKMPNPLEVYEEDILLVMNEEKVTRGEAIHLLQEELMNAHRRFEEKLDRLLGAFGVTGDMACKNSEEISVSISEPATTTEAATSLSPMASPPPTPTKYLTECLNSDITCEVSGIDEEHPLVVTLEPGDGENKVCAHYIGTHDNSMPVPTMCSMLSLNVNVVLDQAMVVFLTTTSVFEIVSASVASGGYFLPWKNVDMKPYTPMLIMCSNITIYEEKWL >LPERR09G06770.1 pep chromosome:Lperr_V1.4:9:8464618:8468912:1 gene:LPERR09G06770 transcript:LPERR09G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGRSTGGQGHERGQSGGGGGRPTTSRRWARIEVDPMLGDKGQHNIVNYYLRRRGQATSGGVRGGDGERELAVVGMRQGKGRVTYMVHEPFLQSLPATPLVAAVVSGVERLRWQSRREVVDWLNLLISEDPLPILTIYSNVWPSYLASIVKLTVTSFTGPTNASYITDVTCDEITIGEDAQLANVSTKKESSTSDVGNGSGDFKWLGPASHSERGKCYPSFWRRGFTIMVHDFVYILVQHRKTVVAYVEELYEDNHAKNMVRVRWFYTHEGAGIQLAPGFNDREILLSNTWQDIRVECIDGLASVLNANHFEKFQTSASNTNWDPYLCIQQIENDKNVKPFDIAHLQGYSKQGIFRAISDTSLVAAHSDASGNNKNKPRLSEIEQKWKQDARNVQAIVNAPPCIALPIESGSDLLNSAQEQYLERYFSPGCRVECLCQDSGIRGCWFIGSVIRRRQDRIWVSYQHLQDPEIPGANLEEWLRVTRPDNSDSLGIRLSGRLRVRPHNVLEKEKPSTIGVGTIVDGWLYDGWWEGIVVNVDGTGKLQVFLPGDYWLITLPMDSHLQFMLQIIACFYLAAMLMEFLCSYNFAGEEKMVLFHRDELRPSLEWIDSEWKALENREDIALRIPSAEDLGTQVITPQYVPTREDFKNTIRKLEQNLQSKNGGEKIRKPAAEKGGRSSITEKTILDLNLSADDQGASNFKYVTTPISEEMRPDHKRPQVDLTNVLKSDSLKWTERKARGSFGPRAGRSSTNSQGKVKEHSPSADHCEFSG >LPERR09G06780.1 pep chromosome:Lperr_V1.4:9:8475069:8487508:1 gene:LPERR09G06780 transcript:LPERR09G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPHPLPPITSDDVSIGEDVELSNVSTQMDSSTVRNDPREFKWLGPASHSRKGKSYRSFWRRGFKIMVHDFVYIMVQHKKTVVAYLEELYQDNHANNMVRVRWFYSLNDAGIQLAPGVNDREIVLSNTRQDIRVECIAGLTSVLNAEHFKTFQTSANNVNNNWEPYLCIRQIGNDKNVKIFDIAVLPGYLEQEIFSTISDASPLIVHSSTSDGNKNKPSSSEGGQKRSEANNDKTVENPTAGDAANAKAILNVPGPTEMASNLLNSTQEQYLNQYFSPGCHIECLCQDSSLKGCWFIGSVIRRRRDRIRVRYQHLQDPEIPGANLEEWLQVTRPANPDSLGIRLSGRLVVRPHNVLERKNPSTIGVGAIVDGWLHDGWWEGIVVKVDAAGKLQVFLPGEKKMVLFRRDELRYSLEWIASEWKAFENKKDIARRIPSVQDLRTRASTPQEVPTGDDFKNTIRKLEQELQLRNGGEGSSKLAVEKGGSSSVSEKTIPDLNWSVDDQGSSKFNYVGTSVFEEIRPDEKRPQVDLTNVLKSDGLKWTERKARGSFGPRDGSGGSSNQGQIQEHNPWNIMSRILKKEKSLTTSSSPRKTSSFFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHMIDRKHGRVSVIGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDDGGGGGGGHGP >LPERR09G06790.1 pep chromosome:Lperr_V1.4:9:8487786:8490166:-1 gene:LPERR09G06790 transcript:LPERR09G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASLSLSVSTAPPCRARGAAAVVARCRRRRRMGVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEDLAVAKARAQSLPKSPALESPPPPPPPPPAAPAVAKGAATPHAKKLAKQHRVDLSTVVGTGPHGRVTGADVETAAGIKPKPKGPKAAPPPPRPHHPAPPPPAVLPPVPGATVVSFTAMQAAVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLLPDEYSSGTFSLSNLGMFGVDRFDAILPPGQGAIMAIGGSRPTVVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >LPERR09G06800.1 pep chromosome:Lperr_V1.4:9:8494122:8499848:1 gene:LPERR09G06800 transcript:LPERR09G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRDLLDLLAHPFFSSRRGGASDRRRREEEEADLEAIAAREQRGFKYEVLSAATRNFSEKQKLGQGGFGPVYRGRLGDGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGADDKLLVYEYVPNESLDKILFSSPTPRNSHSGSSSDGERQRRRRRDELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVLLLEIVSGLKNSSFIPPPDSDADNLLDHAWRLYRKGKSMELLDPAVKETAVMEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGGTGRGGSQYSVGSTSGSPSTSATTTASHATMSAASNAMTTTTSSTHTHTLRSQGIPSKSHREEEEEEEEIQEVK >LPERR09G06800.2 pep chromosome:Lperr_V1.4:9:8497889:8499848:1 gene:LPERR09G06800 transcript:LPERR09G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLLPCKPGAKGKREKETGAGSSSDGERQRRRRRDELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVLLLEIVSGLKNSSFIPPPDSDADNLLDHAWRLYRKGKSMELLDPAVKETAVMEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGGTGRGGSQYSVGSTSGSPSTSATTTASHATMSAASNAMTTTTSSTHTHTLRSQGIPSKSHREEEEEEEEIQEVK >LPERR09G06800.3 pep chromosome:Lperr_V1.4:9:8494122:8495960:1 gene:LPERR09G06800 transcript:LPERR09G06800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRDLLDLLAHPFFSSRRGGASDRRRREEEEADLEAIAAREQRGFKYEVLSAATRNFSEKQKLGQGGFGPVYRGRLGDGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGADDKLLVYEYVPNESLDKILFSSPTPRNSHFVDKYNNASTRRLTSLLLGLWKETYPEAVS >LPERR09G06810.1 pep chromosome:Lperr_V1.4:9:8501180:8501548:-1 gene:LPERR09G06810 transcript:LPERR09G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPSVKTATVLLLCILVAAGILNTAASAADAATSMCPDHVADNDATLLLAQLRELDDEDARLAVELAAGGDGAGGDLCPGNCQKCLVKCAASCVADIVTPPAFVACFLKCAVLNTCFLKK >LPERR09G06820.1 pep chromosome:Lperr_V1.4:9:8503090:8505788:1 gene:LPERR09G06820 transcript:LPERR09G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRAAAAGGGRKRKDPSFPHLTAACKRRLTATTAVSSPATETAAEEDKSGWASLPTDIARLVGGRVLAGDVVGYIAFRGVCSWWRACTPSPRDPTLLDRRLRPRAYVALCDGDGVRPDANANRQIIITFLHTRTARCLRVRLPEEARHHRIVGFTDGLIILLHKRTTAVRVLHPFTLVAVDLPSLAPIFHQVVRNRNSLLDMNAAVCASAGAVKSIAVVVCFPYTPGVVLSSEPGHSGWEVIHRDMDLMNTLPFHGRLYGFRRFTRQIVQVYPPKPINPVVAHVPDKFGDPVSCSYKLVDSFGHMLLVVHYLSPRHIEAKELWQRCAFAIFEVDVNSSWKLRPVRSIGNLALFICKDRCLSVSAKDLPSISRNSVYHCVTAKSCRFILSKRPIVRAANKIVPSP >LPERR09G06830.1 pep chromosome:Lperr_V1.4:9:8510353:8510670:-1 gene:LPERR09G06830 transcript:LPERR09G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVIKMAVVSCLLVMAIGQLAYAARLDHDAVMLRMKELEEDLALAEEIALLDDGGAGAVGASCCDSKCKTCLGKCGLKCFPKGLTGFPTCFITCVFTTDKCFG >LPERR09G06840.1 pep chromosome:Lperr_V1.4:9:8538481:8553559:1 gene:LPERR09G06840 transcript:LPERR09G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDEQKPDGGAGGGATVLHQKLCLSIDMEKRLIYGYTEIKVQAENDTFALHADNMTIKNVLVDGQAAEFEYFPHWKNADDQQIWSSVSCSKTAADAACSQYISYLNSEAAPNLIISSERSSKLITEPYYEENGEKHEDNSEKHHENGENHHENGECEENGRKQAQLSDDQVVNGCNDSAEKEKVEEETEDNEKEKEQLMEIDQKEKEEKEKEENEEEEEEEVKEKEEKKVVEKEKENEKEENEEENGNEKDKDKENETEIEKVKRSFVNVKNTKLVHIDYILEKAETGLHFIGNILHSNNQIRRAHCWFPCIDSPTQRCPFDLEFTVSTNLVAVSNGDLLYQVLNKEDPPRKTYVYRLSTPVSAQWISLVVGPFEVLPDSNDISVSHICYEDYLAAPFPFGLYKQVFLPPEMTVSSTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAHALAKQWFGIYTSAEEPTDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKANCIVCEFDVSGATALSSPSSSSDLFGTQTIGSYGKIRSLKAVSVLQMLEKHMGPEPFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNLVELAVSRGCTAKADPCADSRMNGDIREGDAGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGPDENIDASNQENRASMDAPLLWIRVDPEMEYLAEIHFHQPVLMWINQLEKDKDVISQSQAIAVLEKSPQLSFSVINALNNFLFDTKAYWRVRVEAAYALAITASEDTQLTGLHHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAIALVRSADKCSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSISLDRICELIAPFRNMDKPWKVRMEASRVLIDLEFHHKGLDAALLLFLKYANEERSLRGGTKLAVHVLRLCQANIESHDNNQIQLPTLVGLLCLFADKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKDVTPPPVVQEISSDQHTKADSSVPQQSKPQEPSTSTPSVQEVLPTSGPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRGHSHGRNENEIGPCSSMSVDAPMTEAPGPINVSNHNIEEQNSCHDRESRMSASISNVKLLDKHEVSKELQCTADSRVDALPKDHFSPVVNEQEVVKDHMSPVINGQEVVKDQFSLVVNGQEVVEKTCSQLEVVSTSYDGNQAPDSMNGLETKEKKRKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKRQKEGEGVSSSEQQNIARPSGSQGTLSGKPSGSQGTLSARPPAPMRTADPQVPSVGTPVVSNVAAPPVDTTRTVTTTKIRIKVKPLTKMS >LPERR09G06840.2 pep chromosome:Lperr_V1.4:9:8538481:8553559:1 gene:LPERR09G06840 transcript:LPERR09G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDEQKPDGGAGGGATVLHQKLCLSIDMEKRLIYGYTEIKVQAENDTFALHADNMTIKNVLVDGQAAEFEYFPHWKNADDQQIWSSVSCSKTAADAACSQYISYLNSEAAPNLIISSERSSKLITEPYYEENGEKHEDNSEKHHENGENHHENGECEENGRKQAQLSDDQVVNGCNDSAEKEKVEEETEDNEKEKEQLMEIDQKEKEEKEKEENEEEEEEEVKEKEEKKVVEKEKENEKEENEEENGNEKDKDKENETEIEKVKRSFVNVKNTKLVHIDYILEKAETGLHFIGNILHSNNQIRRAHCWFPCIDSPTQRCPFDLEFTVSTNLVAVSNGDLLYQVLNKEDPPRKTYVYRLSTPVSAQWISLVVGPFEVLPDSNDISVSHICYEDYLAAPFPFGLYKQVFLPPEMTVSSTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAHALAKQWFGIYTSAEEPTDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKANCIVCEFDVSGATALSSPSSSSDLFGTQTIGSYGKIRSLKAVSVLQMLEKHMGPEPFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNLVELAVSRGCTAKADPCADSRMNGDIREGDAGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGPDENIDASNQENRASMDAPLLWIRVDPEMEYLAEIHFHQPVLMWINQLEKDKDVISQSQAIAVLEKSPQLSFSVINALNNFLFDTKAYWRVRVEAAYALAITASEDTQLTGLHHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAIALVRSADKCSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSISLDRICELIAPFRNMDKPWKVRMEASRVLIDLEFHHKGLDAALLLFLKYANEERSLRVGLLCLFADKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKDVTPPPVVQEISSDQHTKADSSVPQQSKPQEPSTSTPSVQEVLPTSGPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRGHSHGRNENEIGPCSSMSVDAPMTEAPGPINVSNHNIEEQNSCHDRESRMSASISNVKLLDKHEVSKELQCTADSRVDALPKDHFSPVVNEQEVVKDHMSPVINGQEVVKDQFSLVVNGQEVVEKTCSQLEVVSTSYDGNQAPDSMNGLETKEKKRKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKRQKEGEGVSSSEQQNIARPSGSQGTLSGKPSGSQGTLSARPPAPMRTADPQVPSVGTPVVSNVAAPPVDTTRTVTTTKIRIKVKPLTKMS >LPERR09G06840.3 pep chromosome:Lperr_V1.4:9:8538481:8553559:1 gene:LPERR09G06840 transcript:LPERR09G06840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDEQKPDGGAGGGATVLHQKLCLSIDMEKRLIYGYTEIKVQAENDTFALHADNMTIKNVLVDGQAAEFEYFPHWKNADDQQIWSSVSCSKTAADAACSQYISYLNSEAAPNLIISSERSSKLITEPYYEENGEKHEDNSEKHHENGENHHENGECEENGRKQAQLSDDQVVNGCNDSAEKEKVEEETEDNEKEKEQLMEIDQKEKEEKEKEENEEEEEEEVKEKEEKKVVVQEEENTEENETEKEKEKEKENENENEKEKEKENEKEENEEENGNEKDKDKENETEIEKVKRSFVNVKNTKLVHIDYILEKAETGLHFIGNILHSNNQIRRAHCWFPCIDSPTQRCPFDLEFTVSTNLVAVSNGDLLYQVLNKEDPPRKTYVYRLSTPVSAQWISLVVGPFEVLPDSNDISVSHICYEDYLAAPFPFGLYKQVFLPPEMTVSSTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAHALAKQWFGIYTSAEEPTDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKANCIVCEFDVSGATALSSPSSSSDLFGTQTIGSYGKIRSLKAVSVLQMLEKHMGPEPFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNLVELAVSRGCTAKADPCADSRMNGDIREGDAGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGPDENIDASNQENRASMDAPLLWIRVDPEMEYLAEIHFHQPVLMWINQLEKDKDVISQSQAIAVLEKSPQLSFSVINALNNFLFDTKAYWRVRVEAAYALAITASEDTQLTGLHHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAIALVRSADKCSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSISLDRICELIAPFRNMDKPWKVRMEASRVLIDLEFHHKGLDAALLLFLKYANEERSLRGGTKLAVHVLRLCQANIESHDNNQIQLPTLVGLLCLFADKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKDVTPPPVVQEISSDQHTKADSSVPQQSKPQEPSTSTPSVQEVLPTSGPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRGHSHGRNENEIGPCSSMSVDAPMTEAPGPINVSNHNIEEQNSCHDRESRMSASISNVKLLDKHEVSKELQCTADSRVDALPKDHFSPVVNEQEVVKDHMSPVINGQEVVKDQFSLVVNGQEVVEKTCSQLEVVSTSYDGNQAPDSMNGLETKEKKRKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKRQKEGEGVSSSEQQNIARPSGSQGTLSGKPSGSQGTLSARPPAPMRTADPQVPSVGTPVVSNVAAPPVDTTRTVTTTKIRIKVKPLTKMS >LPERR09G06840.4 pep chromosome:Lperr_V1.4:9:8538481:8553559:1 gene:LPERR09G06840 transcript:LPERR09G06840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDEQKPDGGAGGGATVLHQKLCLSIDMEKRLIYGYTEIKVQAENDTFALHADNMTIKNVLVDGQAAEFEYFPHWKNADDQQIWSSVSCSKTAADAACSQYISYLNSEAAPNLIISSERSSKLITEPYYEENGEKHEDNSEKHHENGENHHENGECEENGRKQAQLSDDQVVNGCNDSAEKEKVEEETEDNEKEKEQLMEIDQKEKEEKEKEENEEEEEEEVKEKEEKKVVVQEEENTEENETEKEKEKEKENENENEKEKEKENEKEENEEENGNEKDKDKENETEIEKVKRSFVNVKNTKLVHIDYILEKAETGLHFIGNILHSNNQIRRAHCWFPCIDSPTQRCPFDLEFTVSTNLVAVSNGDLLYQVLNKEDPPRKTYVYRLSTPVSAQWISLVVGPFEVLPDSNDISVSHICYEDYLAAPFPFGLYKQVFLPPEMTVSSTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAHALAKQWFGIYTSAEEPTDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKANCIVCEFDVSGATALSSPSSSSDLFGTQTIGSYGKIRSLKAVSVLQMLEKHMGPEPFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNLVELAVSRGCTAKADPCADSRMNGDIREGDAGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGPDENIDASNQENRASMDAPLLWIRVDPEMEYLAEIHFHQPVLMWINQLEKDKDVISQSQAIAVLEKSPQLSFSVINALNNFLFDTKAYWRVRVEAAYALAITASEDTQLTGLHHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAIALVRSADKCSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSISLDRICELIAPFRNMDKPWKVRMEASRVLIDLEFHHKGLDAALLLFLKYANEERSLRVGLLCLFADKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKDVTPPPVVQEISSDQHTKADSSVPQQSKPQEPSTSTPSVQEVLPTSGPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRGHSHGRNENEIGPCSSMSVDAPMTEAPGPINVSNHNIEEQNSCHDRESRMSASISNVKLLDKHEVSKELQCTADSRVDALPKDHFSPVVNEQEVVKDHMSPVINGQEVVKDQFSLVVNGQEVVEKTCSQLEVVSTSYDGNQAPDSMNGLETKEKKRKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKRQKEGEGVSSSEQQNIARPSGSQGTLSGKPSGSQGTLSARPPAPMRTADPQVPSVGTPVVSNVAAPPVDTTRTVTTTKIRIKVKPLTKMS >LPERR09G06850.1 pep chromosome:Lperr_V1.4:9:8554590:8563063:1 gene:LPERR09G06850 transcript:LPERR09G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAGGAATSSGGGGSPSERRGVPVTWRRVWRAAQEAAAHALLLCFTALLSLKLDALFRGSWWVIFTPLWLFHVVIARCRFSLPAPSSPQGFQMASCHSIVATPLLLAFELLLCVYLEGHGESFIDLKLVFLPLLALEIITLVDNFRMCGSLMPGHGETMTDEAIWERLPDIGGPILIIPIVIFQVLLCMRLEGTPSNARFFPLRAVFLPILLLQLTAVCFAIWIFFKRLVTKLRDKNISNGYISLSSKIDELFMMMQHGSRLIAWWSIDEDSNEEQAHLFYANNTGYSTFCSYPPEMVKEMPKKVLVKEFCFHAAIMFCAKLALISVGYAQYVE >LPERR09G06850.2 pep chromosome:Lperr_V1.4:9:8554590:8563063:1 gene:LPERR09G06850 transcript:LPERR09G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAGGAATSSGGGGSPSERRGVPVTWRRVWRAAQEAAAHALLLCFTALLSLKLDALFRGSWWVIFTPLWLFHVVIARCRFSLPAPSSPQGFQMASCHSIVATPLLLAFELLLCVYLEGHGESFIDLKLVFLPLLALEIITLVDNFRMCGSLMPGHGETMTDEAIWERLPDIGGPILIIPIVIFQVLLCMRLEGTPSNARFFPLRAVFLPILLLQLTAVCFAIWIFFKRLVTKLRDKNISNGYISLSSKIDELFMMMQHGSRLIAWWSIDEDSNEEQAHLFYANNTGYSTFCSYPPEMVKEMPKKVLVKEFCFHAAIMFCAKLALISVGYAQYVE >LPERR09G06850.3 pep chromosome:Lperr_V1.4:9:8554590:8563063:1 gene:LPERR09G06850 transcript:LPERR09G06850.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAGGAATSSGGGGSPSERRGVPVTWRRVWRAAQEAAAHALLLCFTALLSLKLDALFRGSWWVIFTPLWLFHVVIARCRFSLPAPSSPQGFQMASCHSIVATPLLLAFELLLCVYLEGHGESFIDLKLVFLPLLALEIITLVDNFRMCGSLMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGFPSALVFLSAQDGLVQWLIAWWSIDEDSNEEQAHLFYANNTGYSTFCSYPPEMVKEMPKKVLVKEFCFHAAIMFCAKLALISVGYAQYVE >LPERR09G06850.4 pep chromosome:Lperr_V1.4:9:8554590:8563063:1 gene:LPERR09G06850 transcript:LPERR09G06850.4 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAGGAATSSGGGGSPSERRGVPVTWRRVWRAAQEAAAHALLLCFTALLSLKLDALFRGSWWVIFTPLWLFHVVIARCRFSLPAPSSPQGFQMASCHSIVATPLLLAFELLLCVYLEGHGESFIDLKLVFLPLLALEIITLVDNFRMCGSLMPGHGETMTDEAIWERLPDIGGPILIIPIVIFQVLLCMRLEGTPSNARFFPLRAVFLPILLLQLTAVCFAIWIFFKRLVTKLRDKNISNGYISLSSKIDELFMMMQHGSRLIAWWSIDEDSNEEQAHLFYANNTGYSTFCSYPPEMVKEMPKKVLVKEERILCRICFERDICIVLLPCRHYVLCDSEATCYRCTWKKAIMLLSAQFYLPRIKCKREVLVLSNDEDNYWLPVSIHFYAVYWKDHLCRTRIWRTRSTFVATAFRFFGHIAMICHALWPAMIYLWRAFCLTSHGHPIPYLYTGAKCLPRSCQVHACSG >LPERR09G06860.1 pep chromosome:Lperr_V1.4:9:8565787:8566131:1 gene:LPERR09G06860 transcript:LPERR09G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILACSRAVDLAREMGTEKLIIESDSMEVIRLISQKKIDRSEYGLASEEVKRKLRGFRQIMLQWTRRSANAVAHRLAQEGLASGGYSTWLSAPPSFILNVLDEDYRNSSVII >LPERR09G06870.1 pep chromosome:Lperr_V1.4:9:8574374:8577731:1 gene:LPERR09G06870 transcript:LPERR09G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLPNPHHFFISQEAANSHQQQHPNRTTAAAAAVFPIMPIPPPPPPPHLDHHHHQQFDHFFSGGHVGSHFNNTETLEAVLLRPPRAPDPAAVPAPPPRNGGGRGRKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLAADNSSSSDHHHQIGAGSDKGGGGGDPQEKAAAHAQQLEEDQLGCELGRVVRPAPVLGEYCYYDLAEMMSNNGGGGGGGDDDGDGDYDDDGDFLDDI >LPERR09G06880.1 pep chromosome:Lperr_V1.4:9:8590273:8592499:-1 gene:LPERR09G06880 transcript:LPERR09G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGHEAAAAQLMPWFGTAASAFVADPAAAGFGFGFEAAVATAPVSRQQRGGVAAAEEEGLGGGGGKAVVSGLLGSLQAELGRVTAREMMEAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTTAIAAAGNHHDDVDVDDEDGMCRATSQQLLPTEADELAVDAAVDGEGRLVVRASLCCEDRPDLIPDIARALAALRLRARRAEITTLGGRVRSVLLITADEHVGRGDDDVEEDDDDDEDECTASHRRHECIATVQEALRGVMDRRAAASSDTSTSSGAALAAGGGGSIKRQRMNYGGQDHQCSV >LPERR09G06890.1 pep chromosome:Lperr_V1.4:9:8599115:8600619:-1 gene:LPERR09G06890 transcript:LPERR09G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSASNCPDKPTPPLPYSWTRAGLPSPIQTKTNQIVAYPDKEAPRANGHLPRKPKSLPRPPPPMDTTAPWRLLPPPTCSTPPRRHFPSSPPRPLLRRHSATTSTSNKQTALLCLLRDDTSDPVTTADDESSPLNKLAVALQCGAIWAAVEAPAALATVTGEEDIDILGILPSVAALAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIEKYK >LPERR09G06900.1 pep chromosome:Lperr_V1.4:9:8603513:8605455:1 gene:LPERR09G06900 transcript:LPERR09G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGDNKASPGVLDGLYGVELGRRPSASGGAGDEAVRTTVVEYSVASDRNKLQRLPIRRLWRHRPSFLKPVHCSISCGDKHAGETIANVVTSLPFIILGMQTPRRATFIYVLDELKHSAVCEFTSRSRNSFKFVPFLQRRNQKISSVGRLYYDCHHYIDMSKTSCLSRALRNENPRILMAASTLLLPFQPLMVCFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFRETPYIHAAWHLAAAIGIGTCNKLLE >LPERR09G06900.2 pep chromosome:Lperr_V1.4:9:8603513:8605455:1 gene:LPERR09G06900 transcript:LPERR09G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGDNKASPGVLDGLYGVELGRRPSASGGAGDEAVRTTVVEYSVASDRNKLQRLPIRRLWRHRPSFLKPVHCSISCGDKHAGETIANCLSRALRNENPRILMAASTLLLPFQPLMVCFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFRETPYIHAAWHLAAAIGIGTCNKLLE >LPERR09G06910.1 pep chromosome:Lperr_V1.4:9:8606166:8607827:-1 gene:LPERR09G06910 transcript:LPERR09G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDGDDRRRPPPPPPRHPPTFPPHIPYSRSLQQRLYLLAQHRRRRIPPWGAAAAARRALDQLHAQVILNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAATTADCSSSSATSPSSSSSSTTLANLVLRAAASSRAPPHRLVALFSRLVSRHGFRPNAFSFSTLLAALADTGAAAPAALPHGRALHARAVACGVAVSSGHVMTSLLDLYAAAGNLGEARRVFDKMPGRTVAAWNCMLAAYVRCREMDAALWFFNEMPGRDSVTWTTVIAGCANAGRAAEAIELFWRMRNANVKDDAVTMVSLLTACAEQGDLRLGRWVHARVELEGREWRTVLLDNALINMYVKCGAVEDAHRLFQGMPRRGTVSWNTMISGLAIHGRAEEALDLFHRMLERPDGATLLAVLLACNHAGRIDDGRQYFQGMERFYGITPGIQHYGCMVDILCRGKQLREALELVETMPLQPNDAVWGALLSGCKREGNLELAAEVIEKLIGLQPDRAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSKVNQYQSSMLVHSMLA >LPERR09G06920.1 pep chromosome:Lperr_V1.4:9:8608931:8610989:-1 gene:LPERR09G06920 transcript:LPERR09G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPHLLSGHPHPPLRLRLRLPSPRLTTRPLRRLLPRAAGATLGTSSATDAAMQEFRRWVSSHGADAGAAPGAVAEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDLGRAISGGGGAGGELRPWVAVALLLIRESARGADSPWAPYLAILPRETDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIISANKELFPGTVTFDDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDDITSKESSWEIKGKGLFGRDVVFSLRTPVDVKSGEQYDLEKSNAELALDYGFTEPNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPSQMLPYLRLLCLGGTDAFLLEALFRNAVWDHLELPVSRDNEEAMCRVIRNACKSALGAYQTTVEEDEELLKSGNLQPRLQIAIEIRVGEKKVLQQIDGIFKQREEELDDIEYYQERRLKDIGLVGDNGEIIFWES >LPERR09G06930.1 pep chromosome:Lperr_V1.4:9:8611804:8613773:-1 gene:LPERR09G06930 transcript:LPERR09G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKAKDAKGKGKAAAGSSGGGDDAGGGKGGKGKGGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHFILCEGRKN >LPERR09G06940.1 pep chromosome:Lperr_V1.4:9:8617405:8618455:-1 gene:LPERR09G06940 transcript:LPERR09G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDCHASPSPLPPGFRFRPTDGELVAHYLTRKTADAAFTSAAIRDADIYAVEPWDLLPPLPPPPRQSAAAEERCGYFFCARRLRWPSGVRTSRATAAGYWKSTGRDKAVVVLDAAGGGGREVGVKKTLVFYRGRAPRGEKTSWVMHEYRLLHDGGAGDGVVSSSPTTATTLTGGARSEWVICRVFDRKTTTSGNNNGKDQHHLRPCDDHHLGSSPAPAFCDDGSGHARSSFTSANNAMAPRDHLININMGDGYEEELLMNYSSSAFHLPELLEYESFLFDL >LPERR09G06950.1 pep chromosome:Lperr_V1.4:9:8626724:8629596:-1 gene:LPERR09G06950 transcript:LPERR09G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPPARGPALAAAAAAVVLLPTIFPAIFTPLGHAFPSLFSEWNAPKPMHESLLNEALQRTISNDQKRELWSPLPYQGWKPCLKSSSVHGLPSEPTGYIQVFLDGGLNQQRMGICDAVAVAKVLNATLVIPHLEVNPVWKDTSSFEEIFDVDHFINALKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADIQRLRCEVNFRALVFLPHIISLGEILVKRLRSPVQGNSGKLIQQVGDGTNQDGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNMGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELANVEGKASVLAALDYYISMQSDIFISASPGNMHNALMAHRTFENLKTIRPNMALLGRIFVNKSMEWSEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >LPERR09G06950.2 pep chromosome:Lperr_V1.4:9:8626724:8629596:-1 gene:LPERR09G06950 transcript:LPERR09G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPPARGPALAAAAAAVVLLPTIFPAIFTPLGHAFPSLFSEWNAPKPMHESLLNEALQRTIGYLRNPLDTFKYFLMICDAVAVAKVLNATLVIPHLEVNPVWKDTSSFEEIFDVDHFINALKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADIQRLRCEVNFRALVFLPHIISLGEILVKRLRSPVQGNSGKLIQQVGDGTNQDGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNMGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELANVEGKASVLAALDYYISMQSDIFISASPGNMHNALMAHRTFENLKTIRPNMALLGRIFVNKSMEWSEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >LPERR09G06960.1 pep chromosome:Lperr_V1.4:9:8631350:8631877:-1 gene:LPERR09G06960 transcript:LPERR09G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAPSTSSYSFQFSLAHAVFTISINIVFVWLSALIKSSSSSTSPTSSSRRRVAAPALEERGAGAAAAVDLDAVLGLMGGAGEENSVGFEEAAALFEEEEATVEEAAAAFRVFDRNGDGFIDAGELRSVLMSLGFAGVSAAAAECQRMIDAYDADKDGRIDFQEFLKFMEKTA >LPERR09G06970.1 pep chromosome:Lperr_V1.4:9:8636944:8637320:-1 gene:LPERR09G06970 transcript:LPERR09G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLPILLLAALLALSFSQGVVMVEARNVQLLKPVRRSLKQQAEAGAGMVSTVADYSEPKPNTNPRGGVLPPADPTSPPAH >LPERR09G06980.1 pep chromosome:Lperr_V1.4:9:8645316:8647321:1 gene:LPERR09G06980 transcript:LPERR09G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIGWIANYSLVVYLYILPRVMKSTLRSRTYWIDYSFIFLIRAYSFCWVGRKIRLTKCIKIVRRKSSVTNHDIMVPSWNERETYLIQKNRGKGKITSERTCPP >LPERR09G06990.1 pep chromosome:Lperr_V1.4:9:8651717:8657068:1 gene:LPERR09G06990 transcript:LPERR09G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDALMAPERFEATGAAKNSSGEAAVQPPATVHTFLAVGESMVPEEEPLLQMVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRRRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPATPQHEPQP >LPERR09G06990.2 pep chromosome:Lperr_V1.4:9:8653034:8657068:1 gene:LPERR09G06990 transcript:LPERR09G06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDALMAPERFEATGAAKNSSGEAAVQPPATVHTFLAVGESMVPEEEPLLQMVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRRRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPATPQHEPQP >LPERR09G07000.1 pep chromosome:Lperr_V1.4:9:8658739:8661433:-1 gene:LPERR09G07000 transcript:LPERR09G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASTSGEWLKGALQELRDRLGSALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLLRRGYIDSSRGNESLQSSNLQPYVKPSADAGPTQTKKQTRTQKDAGASSSQSSKTQPENAEQRIASKKGSKKKGAKVISLAEAAKGSIVFKQGKPCLCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEVSAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKERSVLKKQQEEAQEAAEKDKGKVVVTFDLVGRKVIVNKDDATVLESENRILRPPEEKEQSHRIQPSPSIRDQPVFIETGPVKPKTDRVRQSKRLAKNGLCLEVTGRLQHDDKDLQSVLGGKMKKGDHLAYSSFGQPREGNDFDCSQDFD >LPERR09G07010.1 pep chromosome:Lperr_V1.4:9:8661570:8665798:1 gene:LPERR09G07010 transcript:LPERR09G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAMSLHLLRPLPCRALLLSKQRKPFPSPRTVRVAAAVRAQQRWLPSPEAGRWKRRGGFACESFNSGNKLPPPSSENSDEWPILRRWDVPWEWQTVVLTMLGCGVSFVLTGLVEQSVLTYVGFKAVEATVDQKAEILFLGQLSVTAVVLGVVFGITNTFRPLPDDIFRYDIKEPFKLQDGWLLWAGTGLFGAIISIALVGVAMNYLNGEPPERDTDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQK >LPERR09G07020.1 pep chromosome:Lperr_V1.4:9:8666953:8668770:1 gene:LPERR09G07020 transcript:LPERR09G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIWTRGCTTDDELVNFYLRRKDQQKPLSIELIKSPDIYKYDTWDLPVFASSGGKEWYFYCKRDRKYQNSLRPTRVTGAGFWKATGTDSKLSICFGEFLLLSRSCNTDNSVEQSAVPAMAAAEARQFTCDGSSCKVINFQCSPSLTHQSDKDNHSCPVTLLFETQTLLTLSVATSPLLRITPGITNGIYEASSNIAFGQAESCNGYEVEWVIP >LPERR09G07030.1 pep chromosome:Lperr_V1.4:9:8670148:8672574:-1 gene:LPERR09G07030 transcript:LPERR09G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLHSPPLAPAHLRALSASASAPRTDARHLFGEMPRPPDRLARLPCELASFARARAAGYAPSQFAYCNALAACARAGAGHALAEQVYCAAWKDGLSGNAYVCTGMVDLLAKSGRLRDALRAFEDGDQSSAVCWNAVISGAVRSGEDGIAVEMFRRMVWGSCEPDSFTYSGTLSACTAGAELGIGQAVHGLVIRRDPEYDVFIGTALVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQEDEPASAMLLLKEMAQNGVGINKYTATSILLACSQMSMVREASQIHGMIMKTELYLDYVVKEALISTYTNIGSIELSEKLFEEAGTVSNRSIWSAFISGVSNHSFLKSVQLLKRMFHQGLRPNDKCYASIFSSVNSIEFGRQLHSSAIKDGFIHGILVGSALSTMYSRCDNMQDSYNVFKEMQERDGISWTSMVAGFATHGHSFEAFVTFKNMILDGFKPDHVSLTVILSACNRPEGLLKGKEVHGHVLRVYGETSFINDCFISMYSKCQVVQAARRIFDATPCKDQVVFSSMISGYATNDYSEEAISLFQLMVATGFRIDGSICSSILSLCADIARPFYFKPLHGYAIKEGILSDLSVGSSLVKVYSRSGNMDDSRKVFDEISVPDLVAWTAIIDGYSQHGSSLNALEMFDLMICLGVRPDTVVLVSVLSACSRNGLVEQGLNYFNSMRTAYGVEPELRHYCCIVDLLGRSGRLAEAKKIVDSMPMKPDFMIWSTLLAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKDPGWSMV >LPERR09G07040.1 pep chromosome:Lperr_V1.4:9:8675532:8679596:-1 gene:LPERR09G07040 transcript:LPERR09G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEVGGGGGAPVAAAAGGSNGFIHAVVRCYSSPLFFWLLTIALVAAIHLVSTFFSPSSREEEEDKETDRNATRRRSGGFAAGEERAAAEEETARRNDDKILEMMRSFSFMHTSEEEFMEGLDTYDRVVAGMSPEAVVPPVAPPTTTFSFRFQHQLPEILRETAVVSGEIPVQVFEEHEPERKISVESVAMAKRERELEAEVAMVEEEEEQRRDVVEAAAASPRIVATTHNYRFLTERDFRGFVKEPEAITVRVEESFVPSPELEALAPAPAAARPEERRVVDVSPRCGFLTEDDFRPVSAASQEKRTPPPPPPPSSQGRRPAASSPSAGRTSFASEFSGFGGDSDSESTASDGYSVKDLAVDSDSDWFLSEKDFPSSAAAAHDAGGNLRGFYKAKVLKALEALDESASNLERSFQDSTTTVSPGSVRQPSPDIIGDGDGSAKYPEDMWSRSPSPDVEYKEDDQKGIAGEEAEVRNMDEEEEVRFDMSDDDDDERSPSQVAISDEKRSPRYSERDFACTDGHELISDVWKEIVNANDQSLESAHEQKRGPEPTEEEFAGTENHPAETIYDDHKAIVSTMDDPSYAVISDDKSIPETPEREFSENDHPYGLVSDAKINSEPTKEETERPNDRQGNATRHVTFSVAEKGKDLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQLRGLQQSTNSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVISSEELEEIMEECMHVFWEFIRSDKVETTSVLKGLSSTHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEGNLNQNLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIALVDRRIHREASFLLFPC >LPERR09G07040.2 pep chromosome:Lperr_V1.4:9:8675532:8679596:-1 gene:LPERR09G07040 transcript:LPERR09G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEVGGGGGAPVAAAAGGSNGFIHAVVRCYSSPLFFWLLTIALVAAIHLVSTFFSPSSREEEEDKETDRNATRRRSGGFAAGEERAAAEEETARRNDDKILEMMRSFSFMHTSEEEFMEGLDTYDRVVAGMSPEAVVPPVAPPTTTFSFRFQHQLPEILRETAVVSGEIPVQVFEEHEPERKISVESVAMAKRERELEAEVAMVEEEEEQRRDVVEAAAASPRIVATTHNYRFLTERDFRGFVKEPEAITVRVEESFVPSPELEALAPAPAAARPEERRVVDVSPRCGFLTEDDFRPVSAASQEKRTPPPPPPPSSQGRRPAASSPSAGRTSFASEFSGFGGDSDSESTASDGYSVKDLAVDSDSDWFLSEKDFPSSAAAAHDAGGNLRGFYKAKVLKALEALDESASNLERSFQDSTTTVSPGSVRQPSPDIIGDGDGSAKYPEDMWSRSPSPDVEYKEDDQKGIAGEEAEVRNMDEEEEVRFDMSDDDDDERSPVSSSGSSKKTAAAAAAPAHESDSEDENGLDHSEKETITINDHSYDAISDVKRSPEVIFDRELDDVSSRHVAVLDARMNTETSEREVVGMNVHSPEAISDDREELGDTIDQSQVAISDEKRSPRYSERDFACTDGHELISDVWKEIVNANDQSLESAHEQKRGPEPTEEEFAGTENHPAETIYDDHKAIVSTMDDPSYAVISDDKSIPETPEREFSENDHPYGLVSDAKINSEPTKEETERPNDRQGNATRHVTFSVAEKGKDLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQLRGLQQSTNSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVISSEELEEIMEECMHVFWEFIRSDKVETTSVLKGLSSTHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEGNLNQNLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIALVDRRIHREASFLLFPC >LPERR09G07050.1 pep chromosome:Lperr_V1.4:9:8686383:8695007:1 gene:LPERR09G07050 transcript:LPERR09G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAAAAAAVVSPAVAVRSGAAPLAARFPSRRRFAVRAVASPPASKPAAAATPSKTGKWQWTFEDKPINIYYEEHEHENSDNVKNILMIPTISDVSTVEEWRVVAKDIVARKRESGYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLTSPNSPVANTDGELVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTKRKGARYVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVVSTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEYPSSVADELYSFLKDSFSSS >LPERR09G07050.2 pep chromosome:Lperr_V1.4:9:8686383:8694391:1 gene:LPERR09G07050 transcript:LPERR09G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAAAAAAVVSPAVAVRSGAAPLAARFPSRRRFAVRAVASPPASKPAAAATPSKTGKWQWTFEDKPINIYYEEHEHENSDNVKNILMIPTISDVSTVEEWRVVAKDIVARKRESGYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLTSPNSPVANTDGELVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTKRKGARYVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVVSTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEYPSSVADELYSFLKDSFSSS >LPERR09G07050.3 pep chromosome:Lperr_V1.4:9:8686383:8693153:1 gene:LPERR09G07050 transcript:LPERR09G07050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAAAAAAVVSPAVAVRSGAAPLAARFPSRRRFAVRAVASPPASKPAAAATPSKTGKWQWTFEDKPINIYYEEHEHENSDNVKNILMIPTISDVSTVEEWRVVAKDIVARKRESGYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLTSPNSPVANTDGELVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTKRKGARYVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVVSTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEYPSSVADELYSFLKDSFSSS >LPERR09G07050.4 pep chromosome:Lperr_V1.4:9:8686383:8691084:1 gene:LPERR09G07050 transcript:LPERR09G07050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAAAAAAVVSPAVAVRSGAAPLAARFPSRRRFAVRAVASPPASKPAAAATPSKTGKWQWTFEDKPINIYYEEHEHENSDNVKNILMIPTISDVSTVEEWRVVAKDIVARKRESGYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLTSPNSPVANTDGELVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTKRKGARYVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVVSTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEYPSSVADELYSFLKDSFSSS >LPERR09G07060.1 pep chromosome:Lperr_V1.4:9:8714334:8716124:1 gene:LPERR09G07060 transcript:LPERR09G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKIQAAGGGEDGGGSVKAASAPKGQWERRLQTDIHTARQALRDALSLDASPSPSPAKPTAAAATATPAGSASAAYASSADNIARLLQGWMRPGSGGGGGGGAKGPEASGSTSTTTNQQPQCSGEGAASASASQSGGAGGNAAAAKTPEGSTETSKMAGGGGNAPAFSMLESWLLDDGGMGHGEVGLMDVVPLGDPSEFF >LPERR09G07070.1 pep chromosome:Lperr_V1.4:9:8740949:8741251:1 gene:LPERR09G07070 transcript:LPERR09G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAATTGGHAVDGCREFIAAAAEGTAAALKCAACGCHRSFHRRVQVYEVAWDYDCADDDVDTLSSSSSSDD >LPERR09G07080.1 pep chromosome:Lperr_V1.4:9:8758872:8759933:-1 gene:LPERR09G07080 transcript:LPERR09G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMDVKYKPLVFPNGAVKKAVKPAAAVAPAAVGETVYRECLKNHAASLGGHALDGCGEFMPSPAANAADPTSLKCAACGCHRNFHRRLPEGSPPPPALPAPPPPPPPPPAMLHRGEETPPEMRLPGVVVDGDESDSDSDGSEYDDDRSLSPPPPPHHQAYYPSAAVAPHMLLSLGSTGQVAAAAAGRLPPQQMVSPATAPAMGGGGMPRKRFRTKFTPEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSSSAAAHLQPSAAAAVINTTPLPPPHTNHTSPPPPPTAAAAAPVADFNINGTATAAAAAVQENGGGSSPQSA >LPERR09G07090.1 pep chromosome:Lperr_V1.4:9:8774177:8778039:1 gene:LPERR09G07090 transcript:LPERR09G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWFCCWCDGEIKAMWDINKMMEDGEDPQRCVSSLLLFCSAKGSPAAFFIAGFVSPLPEVQTEVEKKRERVILVANREEARRYVNCFLPRRGDQIGVEARTVLKFITYLIAIDDIAQGKRPVCHLSLLRFLLHRDSVEWQFLRIRAGMILEDLILKTPEFSHLLRLPRYPLNPQSTIPLWFSCRRRHQRKIIGRIPASLLAHRFLANKRCASPRKELDSCSKGIPGKKRSISSANEGIPGAPVLPCIGKNYGSANAVTEHLSQEDCYSESVASAEHPPTTGAFCPMKLVLLDL >LPERR09G07100.1 pep chromosome:Lperr_V1.4:9:8789210:8791572:-1 gene:LPERR09G07100 transcript:LPERR09G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVDVEEVEVEVSVDSSSPGSADLSAAAADAAVRAGANACVETWGAAAAAALVDVRSSSPPPVKVFDIEHVKDLVVNKKWEEVEDYIKNTLSDNTDNSVEDFAKQHPSLFLILREEKIRQLVEADQATEAEDFYNHYVLPLKACTGMFVPTNIEAWIDSLEPSKPPNPVRGYEKDLMDYNNLYFPKSVGRKEPKNPLHQFVDITNATFDDGVVRRITKCLACGWNIPGLWKIKSHFERTGRIKQCPKVTNNVLSRIKGVAMSRNSSTSSSSLAKRKREISFDQMVKEKAELIPVLCAELGNLMKQYVHVEEQLHLKEEILKIDGVGSSILANMKHDVMKGVLNEDWKSPSDDFFGRLVKDLDDLHEMCYKLSKLAKSHANEGVDSFLATIVKLESEVGAPLRSARLT >LPERR09G07110.1 pep chromosome:Lperr_V1.4:9:8808319:8812553:1 gene:LPERR09G07110 transcript:LPERR09G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGSSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTVLPNLSFDTHHSKKASSSDLVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKDKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKANYKGWQRTKKDLEAEQNKIEEIADLLCWEKVSEKGEMAIWRKRLNTESCPSRQDESNVQMCDSTNADDVWYKKMKPCVTPIPDVNDASEVSGGAIKPFPSRLNAVPPRIANGLIPGVSSQAFQKDNRMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVMPTISKVSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRILRPEGAVIMRDDVDVLTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >LPERR09G07110.2 pep chromosome:Lperr_V1.4:9:8809008:8812553:1 gene:LPERR09G07110 transcript:LPERR09G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGSSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTVLPNLSFDTHHSKKASSSDLVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKDKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKANYKGWQRTKKDLEAEQNKIEEIADLLCWEKVSEKGEMAIWRKRLNTESCPSRQDESNVQMCDSTNADDVWYKKMKPCVTPIPDVNDASEVSGGAIKPFPSRLNAVPPRIANGLIPGVSSQAFQKDNRMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVMPTISKVSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRILRPEGAVIMRDDVDVLTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >LPERR09G07120.1 pep chromosome:Lperr_V1.4:9:8812138:8816513:-1 gene:LPERR09G07120 transcript:LPERR09G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGMAVAFKASTNSSIPQQHSLHSTKYQCQYGFTHLNERKSSRSPMVLCRAVPGRPDLDFSDPSWKKKYQEDWNRRFSLPHITDIYDMKPRLTTFSLKKNRTDGGDSSTDMWNGYVNKDDRALLKVIKYASPTSAGAECVDPDWPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIPFGYRGFFEKGLKEMPLSRKVVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVVLSDFGVHMQQKIKKHFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITAPKRVNRNSRMWHRCLTSTGQPDFH >LPERR09G07130.1 pep chromosome:Lperr_V1.4:9:8818752:8819406:1 gene:LPERR09G07130 transcript:LPERR09G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPETWIAVHHFFRHLSPGFRPIDVATACGGPDRDAPCAVMASVQLCELGEMVGYRWRKLDQETHTFDGIVVVGVDEVS >LPERR09G07140.1 pep chromosome:Lperr_V1.4:9:8822552:8827653:1 gene:LPERR09G07140 transcript:LPERR09G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMTALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVYRKKNDAGQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNHGRRASIVCGGLSFLAGAALNAAAANLPMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHITPWGWRLSLGLAALPATLMTIGGILLPETPNSLIERGRVEEGRRVLERIRGTGDVDAEFTDMAEASELANSIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTVIVAVILGVKFGTDKELSKSYSIAVVLVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGWAAADGSDDPRK >LPERR09G07140.2 pep chromosome:Lperr_V1.4:9:8822552:8827653:1 gene:LPERR09G07140 transcript:LPERR09G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMTALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVYRKKNDAGQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNHGRRASIVCGGLSFLAGAALNAAAANLPMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHITPWGWRLSLGLAALPATLMTIGGILLPETPNSLIERGRVEEGRRVLERIRGTGDVDAEFTDMAEASELANSIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTVIVAVILGVKFGTDKELSKSYSIAVVLVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGWAAADGSDDPRK >LPERR09G07140.3 pep chromosome:Lperr_V1.4:9:8825548:8827653:1 gene:LPERR09G07140 transcript:LPERR09G07140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLKKFFPVVYRKKNDAGQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNHGRRASIVCGGLSFLAGAALNAAAANLPMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHITPWGWRLSLGLAALPATLMTIGGILLPETPNSLIERGRVEEGRRVLERIRGTGDVDAEFTDMAEASELANSIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTVIVAVILGVKFGTDKELSKSYSIAVVLVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGWAAADGSDDPRK >LPERR09G07150.1 pep chromosome:Lperr_V1.4:9:8829194:8829853:-1 gene:LPERR09G07150 transcript:LPERR09G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLTLQPTDCLRQGGGVHASSAFRLPRQRGCAAAAAARNPSPPPCASKLARPPVSARRGRANGRARAGAPRKPQETRAMENVVILKRGELIAPEIIPALPVSTPAADPCGVAAAAPKAEPVAEAEQCIAQAENAAPAAAAEQCVTPVEIPQTAAATAASSTRRATATKARTGRFSFGGPSFVIHPPDPSELPIPAFLLNSRGRKSPLGCASTASASA >LPERR09G07160.1 pep chromosome:Lperr_V1.4:9:8830110:8834674:1 gene:LPERR09G07160 transcript:LPERR09G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLQPKCGTNPVNTWELEEEVGLLCKRKRAKFSDSTIPQQPGIESTTAMEKVEEETAALSLGDSASKEDVHVANGCVEQSNGGAKHANGTADNEPAWIRLHKICSAIRWDKPSYDFEEQGPSHLKLFTCKVTIHVDTVTNTIVECISEPKRFKKAAQEHAAQGALWYLKIVGHSK >LPERR09G07160.2 pep chromosome:Lperr_V1.4:9:8830110:8834182:1 gene:LPERR09G07160 transcript:LPERR09G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLQPKCGTNPVNTWELEEEVQPGIESTTAMEKVEEETAALSLGDSASKEDVHVANGCVEQSNGGAKHANGTADNEPAWIRLHKICSAIRWDKPSYDFEEQGPSHLKLFTCKVTIHVDTVTNTIVECISEPKRFKKAAQEHAAQGALWYLKIVGHSK >LPERR09G07160.3 pep chromosome:Lperr_V1.4:9:8830134:8834182:1 gene:LPERR09G07160 transcript:LPERR09G07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLQPKCGTNPVNTWELEEEVGLLCKRKRAKFSDSTIPQQPGIESTTAMEKVEEETAALSLGDSASKEDVHVANGCVEQSNGGAKHANGTADNEPAWIRLHKICSAIRWDKPSYDFEEQGPSHLKLFTCKVTIHVDTVTNTIVECISEPKRFKKAAQEHAAQGALWYLKIVGHSK >LPERR09G07170.1 pep chromosome:Lperr_V1.4:9:8844811:8846115:1 gene:LPERR09G07170 transcript:LPERR09G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAIPACFRGGAGGGFAGGAAGQSGGAAGPSLATSVYETRLGLAALSWSRAALGLSLRVVLRVAGGGAAAGSTSSAASDYGCYDEGPEYYGEEEEEATVAVRIRPWLLWRRRGSKRFNLKDRRVDLAWDLTRARFASPGSPEPSSGYFVAVVVDGEMALVAGDMAEEAYRKTKARHPQAPDAVLISRREHVSMRDGGHGRGHRTFVNVRGKEREISVDLVSRSHGKEKADKDKERDKSAAAAADVGMSVSVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPNRDTSPGDASAVIAPPQPAHAVFIFRFDLADTGGEEPDSAEAKEKELIENAGKGDGGGAWAGYLGRWERGDWSESSSNGENRRKRGQARRLAKESSSSSASVASSSASWASGSTVMDWASPEETELQRGHGFSLLVYAWKC >LPERR09G07180.1 pep chromosome:Lperr_V1.4:9:8848685:8851872:-1 gene:LPERR09G07180 transcript:LPERR09G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMIVYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDREKFDVDTGDSADQALLREQAAISRSSGQMDHVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILVSIRRKKSMDTIILSLVASVCAFLVLIYWLSKQCAYPFSRSMTSNTD >LPERR09G07190.1 pep chromosome:Lperr_V1.4:9:8855559:8856401:1 gene:LPERR09G07190 transcript:LPERR09G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAEKPDGVEIREVWEENLEAEFKVIREIVDDFPYVAMDTEFPGVVCRPLGTFKSAADFNYATLKANVDMLKLIQLGLTFSNEHGGLPTLGPEGRPCVWQFNFRGFDPRTDVAASDSIDLLRRSGIDFDRHTAHGANARRFAELLMSSGVVMNSEVRWVTFHSGYDFGYLLKLLTGTYLPDTITGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGTEGGETTSAAH >LPERR09G07200.1 pep chromosome:Lperr_V1.4:9:8863141:8866100:1 gene:LPERR09G07200 transcript:LPERR09G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCAHGDVGGGFRLWPIFSAASLRRKLLEVLTCGGGGGSCRSRSAYRSPQQRPRPRSDRLAELLRAEPSECGDEVVDDADAAAKKVAALEELKVVVGALQSGDGYGEMCRVEAAMVVRRKAKDDAGAREMLAALGAIPPLVAMLDEEQEDELVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAELAGGELVEALVANFLCLSALDANKPIIGSSGAAAFLVGAFESASSASSSTARHDALRALLNLSIAPANAPHLLAAGIAPSLVAAVSDGDGDAAERALAALCNVVAACPEGRRAVSRAHDAVPILVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMSESGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAGGIVVATMSAPQERGGGGDNGGEHDDDAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSCCSLLFSCLLMEPTCLDAVFVVDRGIELEMLFPFP >LPERR09G07200.2 pep chromosome:Lperr_V1.4:9:8863141:8865014:1 gene:LPERR09G07200 transcript:LPERR09G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCAHGDVGGGFRLWPIFSAASLRRKLLEVLTCGGGGGSCRSRSAYRSPQQRPRPRSDRLAELLRAEPSECGDEVVDDADAAAKKVAALEELKVVVGALQSGDGYGEMCRVEAAMVVRRKAKDDAGAREMLAALGAIPPLVAMLDEEQEDELVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAELAGGELVEALVANFLCLSALDANKPIIGSSGAAAFLVGAFESASSASSSTARHDALRALLNLSIAPANAPHLLAAGIAPSLVAAVSDGDGDAAERALAALCNVVAACPEGRRAVSRAHDAVPILVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMSESGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAGGIVVATMSAPQERGGGGDNGGEHDDDAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSSENLKALTASSTSKSLPF >LPERR09G07210.1 pep chromosome:Lperr_V1.4:9:8888200:8891238:-1 gene:LPERR09G07210 transcript:LPERR09G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAAAGGAGQISLDDLRNGHAANAGGGGGVHDDFLDQMLSSLPPSAWPDLAAAAAKAAEDDAAAEGMHHHHQQFGGPPTPYDESAMLASRLRQHQISGGGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLHGMGRSPASGGGGGGDGGGGLLLPLSLGSGGGGSGGDVQALLKAATANSAGGGDDGVYGGFAGSLQQQQQHFQPHPQTTAPTMPTQSFGGGGGAAQAQAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGGAPAAAASDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVAAQHIPAGLAAAAAHHQQLAMRGHHHLLPGLNPDGSVPPSPSMSVLTAQSAMANGGGGGGGDGEGGSQPKDAASVSKP >LPERR09G07220.1 pep chromosome:Lperr_V1.4:9:8896870:8902670:1 gene:LPERR09G07220 transcript:LPERR09G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRHLLSFRRRRPSAPRFLHLHRAQSSSALPASPPPFAGDTAARLAAAVHGSAASRDFAHAIRLTKSLVRASTPPSPSRQRPGTASAAAAAFAALASTSASPAPALGVLVIALSQMALVDEALSVFGRLRELPALPSCNAILDGLVKAGKFARVWELFDEMLRRGMVPSVVTYNTLINACRHQGDMVKAWEVWDQMVARRIDPNVVTYTTMICALCEENCIAEAEKLFIAMRETGLRPNAYTYNALMSSHFKKDNVERALVFYYDLLKRGFVPNDVIFTTLIDGFCQANRMTEAKNTFLDMPRYEVAPTVPVYNSLICGAFRSGNAQDALALFEEMNHKGLSPDEFTCSIVVRGLCDGGQVQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALATCQRMSELGVEPNVVTYSSLIDGHSKKGKMEIAMAIYTEMVAKGVQPNVLTYTALIHGHAKNGDMDAAFWLQKEMEEEGISTNTITVSVLVDGLCRENRVQDAVSFIMKYSGQMNSDENPSIPNSVTYMTLIYGLYIDGQYNEACRFFFCMRESGMVPDRFTYTLVIRGLCILGYVLNAMMLYADMIKIGVKPTRCAMVCPEIWLYVLFEKLGDGKSCRTASDGSELFEPPIRPSGLCFLEA >LPERR09G07230.1 pep chromosome:Lperr_V1.4:9:8901224:8902310:-1 gene:LPERR09G07230 transcript:LPERR09G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAWRGGVGCAPVCLDLCVGRSPVREPSPATARHELLDRLSSCGGGDSTMMTNEAKILEAKVTQMSEENRRLTEMITRLYGTQIARNGIDSTNSPSPPPRPVSPSLTTGKKRGRESMDTANSCDANNNNNNQKITGADAESFGVDDGTACRRIKVSRVCRRIDPSDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSADDSSVLVATYEGEHNHPHPSPRAGDLPSPAAAASLPCSISINSSGPTITLDLTKNGGGVQAATAAADMKEVCREVASPEFRSALVEQMASALTSDPKFTGALAAAIMQKLPEF >LPERR09G07240.1 pep chromosome:Lperr_V1.4:9:8915215:8917468:-1 gene:LPERR09G07240 transcript:LPERR09G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGDGDGSSPADSGNAAASPGLLPLFIRSSPVEDLEEKLRRVTEENRRLTGALDAILAGHHHAVGAAPSPQSATTKASSVSTSCAARDDATAAVASTHPAAPTLAAEARPKVRTVRVRADACDNDAINMAEIVKDGYQWRKYGQKVTRDNPYPRAYFRCAFSPSCPVKKKVQRSAEDRSILVATYEGEHNHTMLTQTTKFIDNGCMSHHVGSSLPCSISINSSGRTFTLDMTNQGSGSSIEAVSGQLVMVSPESRRLLVDEVVQMLKNDTEFVEALTNAVATRVEDKSTRPDMLI >LPERR09G07250.1 pep chromosome:Lperr_V1.4:9:8933246:8934610:-1 gene:LPERR09G07250 transcript:LPERR09G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAREEEAAADQERKLVLGRYELGRMLGQGTFAKVYYARDLKSGESVAIKVIDKARLRRTEGMVEQLRREISIMRLVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVSRGRLTEEHARRYFQQLIAAVSFCHGRGVAHRDLKPENLLLDEEGKLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGAAADIWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQIPPWVSGDARRLIGRLLVVDPAKRITVPEIMRMAWFRKGFVPPIPTSPVSPPKKWDDVDIDGEEAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAAAVVEKLEAVGRAMGYSVTRGKGWKLRMEAKAEGANGRLAVTAEVLEVAADVAVVEFAHDAGDELEFNKFCAVDVRPRLADIVWAWQGDGPAVADVAVAAVECPPA >LPERR09G07260.1 pep chromosome:Lperr_V1.4:9:8946040:8947189:1 gene:LPERR09G07260 transcript:LPERR09G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVARVEGKGGILQGKYELGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMEEQIKREIAVMKMVSHPNIVELHEVMATRSKVYLSLELVRGGELFDRIARDGRVCDGVARRYFAQLVSAVDFCHGRGVFHRDLKPENLLLDEVGNLKVADFGLSALSCHARGDGLLHTACGTPAYVAPEVLARNGYDGAKADIWSCGVILYVLLAGALPFRDENLVSMYRKMRRGDFCFPAWVTSDARRLIRMLLDPNPATRATVAGILDSPWFRKAAPVATPAPVYTLGNADDDEPPEELNAFHLISLSEGFDLSPLFEQDYHAVASPAGAAGGGRHLQRGAVVVDVRKDGGDDTEYRSFCSEELRPTLEDIVWSPAGAT >LPERR09G07280.1 pep chromosome:Lperr_V1.4:9:8955617:8956213:-1 gene:LPERR09G07280 transcript:LPERR09G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRSLEFVEVPDSVDATDSVEGLESEMALDSVEVMPDSVDAADSIEGLESEMALDSVEVPDSVKVRPDSVEVPEFVEVVPDSVDALDTVNAADSVKVVQCPRCGTFHAGGIFGEACFQARRHARRCARCGLLHEDYDLTARFLHDMEKFECEFYISDVEKLQMDGNTILLPEHVIKKLDQIYSMKKLEDAKMKQEQ >LPERR09G07290.1 pep chromosome:Lperr_V1.4:9:8969556:8970648:1 gene:LPERR09G07290 transcript:LPERR09G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEQVTTAAREVLTHGITCFSWRVCPCHVCAFQGLGVSWGRRRVGGLINTDLCSSPQDVSAQNCANLSLVAVLISW >LPERR09G07300.1 pep chromosome:Lperr_V1.4:9:8978980:8981910:-1 gene:LPERR09G07300 transcript:LPERR09G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDDAAAAAQPEPELQQQLPPGHGQTVCVTGAGGYIASWLVKLLLEKGYTVKGTNAHLKALDGAGERLVLCKADLLDYDSIRAAVDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEYCKKTKNWYCYGKAVAEQAACKVSEEHGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSANKYANAVQAYVDVRDVVAAHVRVFEAPGASGRYLCAERVLHREDVVHILAKLFPECSDEVNPRKQPYKMSNKKLQDLGLQFIPVSDSLYETVKSLQVKGHLPVISKEIPAELNGVPA >LPERR09G07300.2 pep chromosome:Lperr_V1.4:9:8978980:8981910:-1 gene:LPERR09G07300 transcript:LPERR09G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDDAAAAAQPEPELQQQLPPGHGQTVCVTGAGGYIASWLVKLLLEKGYTVKGTNAHLKALDGAGERLVLCKADLLDYDSIRAAVDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEYCKKTKNWYCYGKAVAEQAACKVSEEHGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSANKYANAVQAYVDVRDVVAAHVRVFEAPGASGRYLCAERVLHREDVVHILAKLFPDYAASLLKSSMLVLRCSDEVNPRKQPYKMSNKKLQDLGLQFIPVSDSLYETVKSLQVKGHLPVISKEIPAELNGVPA >LPERR09G07310.1 pep chromosome:Lperr_V1.4:9:9003547:9008504:1 gene:LPERR09G07310 transcript:LPERR09G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAGNLKFVISSCRHAFCVSCIGHYVTAKVGENTADVIGCPDPVCRDGVVEPESCRGVVPSEVIDRWGILQCEVAIVERRLHCPFSDCSEPLLADGDGGDGVVVAEAECPSCHRLFCARCMVAPWHDGVGCEEFQELGEDERGRDDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRCGYCFCYAYLIPILKRPPSRPYPGTDRTESSLPTAAEHHSSPAMETSAAGAGAAAGGAHLIYISSDEEDETRALFADSYSPEEIQIQEAILLSLDSSRAADYAAHHSSASCSASPSASASSSHPSATAAASFSEDPDRKGKRKLSSEEDGASESTRRRRKRGRFKCSICMEKVQMSEQFLVSFCAHAFCKGCIGRYVAAKVSENAAVIGCPDPECEEGFIEIDQCRDIIPTELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGTEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKKLAGKKKWQRCPNCKVFVSKIDGCLQIKCRNGSQIQVSVPSVY >LPERR09G07310.2 pep chromosome:Lperr_V1.4:9:8988404:9003575:1 gene:LPERR09G07310 transcript:LPERR09G07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIMAENSFVAAKLKEVCRIADDLILAEDLQLEEIIRYSAHSAGSTNCAVCGQPIVSVDASWKPDYCDHVMCITCFGQLTSDSHAAELPKCPVVSCQSSPDVVVLVDIDNLVSTEATGSRKGKELTHGTSLQERSECSSASAAGTSANNEFYCTICMETVDAIERFTIAGCAHAFCIACLRQYITAKVEENVLSIGCPDPGCKSGGPLHPEDCRDVIPARLFQRWGDALCDSALSSLKFYCPFRDCSALLIDDPRDGEEVIKEAECPHCSRMFCAQCKVPWHDGATCDEYQKLGKDERGRDDLLLRRVAQESKWQRCPKCKMYVERVAGCVFIICRCGHCFCYLCASPMARDNHHCKNCKRTCQISLPKRPPSPVPRPYRGTDRTQSAPTAAAASEHQSSPAMEISTAGSATAARDADLIYISSDDEDDTRAHFADPYSPKEIQIQEAILLSLDSSRAANHATHHPSASPSSSSRPSAASTPGEPSTLPIPNRKGKRKRFSPDDAVFIVDIDSLVATEEIGSRGKGKEPAHVVSIHERGECSRRAAATFPDDVIVVVDIDEEESGSGKGKKNPAHGGECSGAAAAGTNTNNEFYCTICMETVDTIERFPIAGCEHAFCVGCLRQYIAAKVEENILSIGCPDPGCDTGGPLHPEDCRDVIPPPLFHRWGDALCDSALSSSLKLYCPFRDCSALLFDDAGDDDGEEVTTEAECPHCTRTFCAQCKVPWHDGATCDEYQKLGNDERGRDDLLLRKMAQERKWQRCPNCKMYVERVTGCTFMICRCRYLSEIMLFSARQEMIIQDTSDDDSINHLILIGQESKTPFSAAADHGESSSSSSPPPPPPPTTTTTIAADF >LPERR09G07320.1 pep chromosome:Lperr_V1.4:9:8998717:8999446:-1 gene:LPERR09G07320 transcript:LPERR09G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDTIERFPIAGCEHAFCVGCLRQYIAAKVEENILSIGCPDPGCDTGGPLHPEDCRDVIPPPLFHRWGDALCDSALSSSLKLYCPFRDCSALLFDDAGDDDGEEVTTEAECPHCTRTFCAQCKVPWHDGATCDEYQKLGNDERGRDDLLLRKMAQERKWQRCPNCKMCGHCFCYVCASPMDRRNHMCNNCKRIR >LPERR09G07330.1 pep chromosome:Lperr_V1.4:9:9009442:9014696:1 gene:LPERR09G07330 transcript:LPERR09G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVALKIAALCMLILCSIGSQVMIVRASSTAKLVENVVGSSPRSSASPAPATVATSATPARQNCLILCAIKCLMDHELAAELRLAGDDSVGDVCSPACQTCLIVCAIKCVLKPNPTACYADCIVTDKCFTL >LPERR09G07340.1 pep chromosome:Lperr_V1.4:9:9018801:9019094:1 gene:LPERR09G07340 transcript:LPERR09G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGSQMVIIAASASREAPRDGDAAATALLRELMEHEVAVELGLAGDDVDSISADICSPACQTCMNACLIKCLSKPYCFEDCIITDECFTLITAVTV >LPERR09G07350.1 pep chromosome:Lperr_V1.4:9:9021397:9024622:-1 gene:LPERR09G07350 transcript:LPERR09G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSGALAEMTWPTILSKRETFREVFMDFDPLLVAKLSEKKILGPCSPARSLLSEHRLRTIIENAQEVLKVIEEFGSFDNYCWSFLNSKPMIGRFRNPREVPLKTPKADAISQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFDECCSCSEDPTAMDGIDNHNKSMVKDQEMNMMCGLVECVSLEPSRARATTVISIS >LPERR09G07360.1 pep chromosome:Lperr_V1.4:9:9027242:9030115:-1 gene:LPERR09G07360 transcript:LPERR09G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNLKAETMGLMDRRSALEAEMDAIIAALSAPGGPGITGGLVDAEGFPMPDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSTKLSRNEQSIPTSSGTTASLHSGLSQNDPMEEDAVTGLPFAIIDELTDDSPAAVDGLQLWDEIVKFGNVEAGDRLQERLVSEACSNEDCQVSLVIIRQGSSLNLTVTPRKWHGRGLLGCHFRIL >LPERR09G07370.1 pep chromosome:Lperr_V1.4:9:9030278:9032941:1 gene:LPERR09G07370 transcript:LPERR09G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARAREGLSSFLPLEDSRVRRRRRREMGNTVSGTTEASNSTKKTEQAPSPDTKPKKKMCCACPDTKKLRDECIVQHGEDACGKWIEAHLQCLRAEGV >LPERR09G07370.2 pep chromosome:Lperr_V1.4:9:9030278:9032591:1 gene:LPERR09G07370 transcript:LPERR09G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARAREGLSSFLPLEDSRVRRRRRREMGNTVSGTTEASNSTKKTEQAPSPDTKPKKKMCCACPDTKKLRDECIVQHGEDACGKWIEAHLQCLRAEEDHATISCMDHREKVNPTGNNFVN >LPERR09G07390.1 pep chromosome:Lperr_V1.4:9:9035949:9040835:-1 gene:LPERR09G07390 transcript:LPERR09G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQCDGDDVRYVISDLATDVVVHVSEVKFYLHKFPLLSKSSKLQRLVIKATEEGTDEVHINGFPGGATAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRTSMAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVNDLLLPAASPTDGAHDVKLVHNLVQRFVARTAMSHNGGFIEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLPTFVELATAVPEAARPVHDSLYSAVDAYLKVHPNISKADKKKICSLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALNDSVGHACAKPIIQDQSDICERRIPRHPNSLSKQATSLSAREVEHRNSMHRGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >LPERR09G07400.1 pep chromosome:Lperr_V1.4:9:9055758:9059093:-1 gene:LPERR09G07400 transcript:LPERR09G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGDGGGGSGGGARDVDALEGVRSIVLKPSESLDEGRLTRIAGADFNDAGLGLSGLLASLASTGFQASNLGDAIDVVNQMLEWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPFFDQMLQEQSTENVWTPSKVIARLGKEINDESSYLYWAYRINEWGSHSCNPKEDRDHRSWWSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFTRKLHGAKQTY >LPERR09G07400.2 pep chromosome:Lperr_V1.4:9:9055758:9059093:-1 gene:LPERR09G07400 transcript:LPERR09G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGDGGGGSGGGARDVDALEGVRSIVLKPSESLDEGRLTRIAGADFNDAGLGLSGLLASLASTGFQASNLGDAIDVVNQMLEWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPFFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFTRKLHGAKQTY >LPERR09G07410.1 pep chromosome:Lperr_V1.4:9:9060327:9071536:-1 gene:LPERR09G07410 transcript:LPERR09G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRARPLSAEDARSSPWRVSGNAIALTTQPSTRFEFDRIFGEDCCTADVYGVRTKHIVDSAVGGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVRDLFKTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDDSDAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGTEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLGSELEKERIALELEEERKAKEQRDKRLIEQAKKIENLSSMVLNSERDDRTTIPSKNKRRLTWCPGLLSRQFDGQVLETVEEDTLSSNVRHGRNMEMPRHFEDLIEENCESNIEHCPAACSSGDLSTEDDLLPDSHALLHVTSRRKPNMTKEPDQVQLMGLAGEPMIPPNTNNGKYTPQCQENTKPCINGLSARESEAILVIKQLEDQIKLLELEKTLIQSNLDDVLELATQQKASFSEKYDELQQNALVAQEQAKIANEKLSSLSTAGKSKQEVAYEFLTGIFMETEGIAVQMDQSTRSVDNALSFIEELYQNLCMMAESIIEVKHSVCGDITQFSSVIRDYKNISNCLQKKISELEMEKKLLEEQSLDQKNELQRLNSSLESCEKAMEDCNMQNELEKESILSELLTLQKEVMFLSSSSLMKEKESIRKELDKTKTKLKETENKLKNSIQEKIKLEGEKAEAHREVKKLQSQRTLLERDLRKRDSLTVDKRNEMNVKTKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTSISEKEEALSKVELLTLAVDDLENRLHSAESETSSLVEEIDVLTKKLDTSESISKELEASVSSLSREKEDMGMQLTDILLEMESERSTWAAKEKVYLEAKQKLDICNENNGKISEDLVKVRQELVCCREQYSILEGEMMRFSKIGTDERICCRETCEESKQLVMKESRVDNDVNENLIQAEAIINELSSRISIMEAKMKNDASAYNKEKTKFRMQIRSMQSELDAHRGRLKQSVDEMKLMDTKYQEASTYLKKQLSNYCREVLSLREQLKESQVTAT >LPERR09G07420.1 pep chromosome:Lperr_V1.4:9:9072229:9075270:-1 gene:LPERR09G07420 transcript:LPERR09G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREAGGCGGGTVVYLHGVLELTVFEADELHNAIHARIMKAADNLESSLRVYGLGHRIYVDVDVGAARVARTREVDNPVWNQSFRLHCAYPAAPVAFTVKSQHLVGAGVIGAAHVPATRVANGDPVEGWLDLVGGEHGHLTHAPRLRVRVRFVPVESDPFWDTGVRLPAFSGVTPAFFPERKGCRVTLYQNAHLSGEFDPGVILAAGGGGRRYAPARLWEDMYAAIRDARRFVYVAGWSVNTAITLVRGGGGDGVTLGEMLKRKADEGVSVLVMTWQDMTSVSFLGNAGLMNTHDEETRRFFEGTNVRCFLCPRHAAASLSVAQRVEVATEFTHHQKTVTLDAADATNGERRHVVSFIGGIDLCDGRYDDEKHTLFGGLDTTYRHDFLQNNLKHATLRHGGPREPWHDVHCRLEGPAAWDVLTNFEQRWRKQSPPELTGCLLHNLTPSEFPNPVVEHDESWNVQVFRSIDDASVVGFPSDPTAASAMGLTSRKDSTIDRSVHAGYVEAIRRARRFIYVENQYFLGGCASWADDRDAGCDNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDAVQAILRWNRLTVEMMYGIVMDAIDDAGLRGVAHPCDYLNFFCLGNREAPRAGEYSPPESPEVGSDYWRAQVNRRFPIYVHAKLMIVDDEYVMVGSANLNERSLAGDRDSEIAQGSYQPAHCGRRARGLVHAFRMSLWHEHLMGVANEGVFVEAESVECVRAVRRAAEATWEAYTRDRVEDLPGHLLPFPIAVSEFGEVDDLTADGCFPDTAAPVKGRKSLPAILTT >LPERR09G07430.1 pep chromosome:Lperr_V1.4:9:9078533:9079527:1 gene:LPERR09G07430 transcript:LPERR09G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTEMKRRRLESINQRKLSLLAEVKLWVSDRLDLFLITIAYLYLQNDEIADCQGHEDRLPSERFDQAGIDEDNIAADVNLLAFRDTGNSPVSDDKRSVSWQDRVALKV >LPERR09G07440.1 pep chromosome:Lperr_V1.4:9:9080204:9081475:-1 gene:LPERR09G07440 transcript:LPERR09G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFATAHGVADAPPHGGDPMVVVWEIMAGKLAKIERAIALRNASPLPRTFAAATAGAVKTSSNETTAPKKQPPSEKCEPCLPTLIGTVCQEQFTCQSKSATSEVMDEQKELMAFCDVCKLQCNSKKMLSGHRNGKKHQAKFEKIFEASSNGSSSKGATSEVMDEHKVCSLNCTSYRMLGDHLYGKKHLKEEALLAFCDVCKLQCSSDHREGKKHRAKLEKIFEPNLYA >LPERR09G07450.1 pep chromosome:Lperr_V1.4:9:9084670:9084903:-1 gene:LPERR09G07450 transcript:LPERR09G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRDALLSQLQQDRLRQEIIAAELAKIERAIALRNASPSPTLTPTPMTYAAAVKTAAKEKE >LPERR09G07460.1 pep chromosome:Lperr_V1.4:9:9090460:9092390:-1 gene:LPERR09G07460 transcript:LPERR09G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANDGVRLEQIRGAGDPMVVVRDALRSQLQQDRLRQDIIALELAKIERAIALRNASASPSLTPKSQAAAKKTAAIETTQPMKPSVQKPMSPSAWSCAVCKVQTTSERNLRDHCGGQKHQAKVEELEKRTKAMAGQKAKTTAKPKAHAARWSCSICQVTCTGEWDFDVHLKGQKHQANTQALLEQSKKKSSGNSEAHKAKLQASNVSNRAEKEAATWICLVCDAHCTCESDLANHLMGKRHQLNVQAMNTSDGRSSDCSSSRSVTSEMMNEQDALYFCTICSLKCSCEKMLADHLTGKKHLKQEADLLFCEFCKLQCNSEKMLVHHRTGKKHQAKLDKILQAKLNA >LPERR09G07470.1 pep chromosome:Lperr_V1.4:9:9094689:9097041:-1 gene:LPERR09G07470 transcript:LPERR09G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRRGQSSQPPDGDDDGGGGGRRFPDSTPPNGREPSPAPAPPPARGDDVVAAALWRQKEELLWELHKVTVRREMLLCDLFETERAMASRFAAARHHPPGAPVTTTPPPPPPPPVQWRQRDVLPAGRDYAWRGAPRSGEQETPWWRRSPSAVGTPVYPHVERSPSSPLSRGKPADDGERQEHGGSSGSPAISPPVLPAPNVEQSTPFSGKESAAAASVVGIGANADAKQELLGHGVTPGGEHVVRPKKEEGEIAVDGHATQLMGESTVRKSSDEHPKPKPVESITRGLSDERVLQQCQDKLADQEIAASDEQKRVVLNDELTPESRSSGVKRQLATETSPAKKPRSLENYNGPVSFLNCGVCNVKLTSPQELIAHRASLLHRSNLAPLQSGNKDTTGMSTTMNTEAVKHQAEKKIEKPHSSEWNNSSAYNYQGKSTSESGFHSQKQLHLGGRNEEEACRRASATDREDAADLGRKNSRVYICEICDVRCHSEKVMESHLSGKRHRENILRCSNFVAV >LPERR09G07480.1 pep chromosome:Lperr_V1.4:9:9099008:9102880:-1 gene:LPERR09G07480 transcript:LPERR09G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPRHALWLSNLDLAVPKTHTPLVYYYPAPTPSDAAAAEEFFAPERLREALAKALVPFYPLAGRLAAGEGGRLEIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFATSGEPPCVLAMIQVTFLKCGGVAVGTGIHHVTMDGAGAIQFIQTWTALSRGEDAAEACPSPPPSHDRTLLRARSPPHVPFDHPVYSPAYLNGLPRPFVTRVYAIPPKLLAAIKSSCAAGVSTYCAVTAHLWRAMCVARALPHDAESRLRVPANIRQRLRPPLPSRYFGNAIVRDLVTVSVRDVLAPMSLGGVAERIKRAVSRVDDAFVRSVIDFLEVESEKGSQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPGLVAPAQMFGSGTAYVTQVPVKDDGSGGGVSVLFALEPEYLQCFEKAFYGIE >LPERR09G07490.1 pep chromosome:Lperr_V1.4:9:9112613:9117607:1 gene:LPERR09G07490 transcript:LPERR09G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDDDEEDIDDLEHEFNIDDEKQKQMQQEEGGMQQNSHITEAMLHGKMSYGRGGPDDGDGNATPLPPIITGARSVPVSGEFPISNSHGGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKELSWKERMDDWKSKQGIVSGGAAADPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLAFFLRYRVLHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWFPIDRETYLDRLSLRYEREGEPSMLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQAGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEPMADGVIDGGDDGVDGWNLCDRSGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLVINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIIWSVLLASIFSLLWVRIDPFTVKAKGPDVRQCGINC >LPERR09G07500.1 pep chromosome:Lperr_V1.4:9:9118451:9122088:-1 gene:LPERR09G07500 transcript:LPERR09G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIAVAIFMDALKSDVKKTAIVNETLRPPLVPSEKHNASPVNRGRDVASRYKNGLSAHSTATTTRRCTSPSPGRTFATESTPEQKRAQSADRRRPSTPSTPSSRVLTPSSPASRSVTPVRNTVKEGLKNSRRITSTRNPDGLWPAMRNLSSSFQSESVVTPSNKKDNVVSGRSLDRTKGQASVLAERKRSPLRRRNIGEQCENAQPSEDQPKRVIEQHRWPAMHSGRVTNNILSRSIDVSDKASRSVPSTNISRGLSPRKMHASEGTGKGINKSLDEVARRLAIHAGGTDDKVDSGRDVYSQSTEKYKSVSHPSRAVTLPVPVLHRSSSPSKSLSVTSSISRSFQSPSRTRPSTPSRSQSAGSIQSGGVAPPIISYMVDARKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTISFQKATAESIIYNVWRNILNLRDAVNTRRIMVQCLQQELKLYGILKEQIDYLEEWPALEKENSISLFRATEALKASTLRLPVTSGAKTDVVALKNAVSSVVDVMQGLGSAVRCMLPKVEDRTYFVEELAVIAGQEKAMLDECRELLAVASKLQVQESSMRTHLTQLRPGLPHMI >LPERR09G07510.1 pep chromosome:Lperr_V1.4:9:9131119:9134856:1 gene:LPERR09G07510 transcript:LPERR09G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKRSRTPLLLLLLLLHLVALAVAAVAAIDERDRPAGAAIVARRRRRLQVDLIQEKATLLALKRGFTLPSPNPLANWDESNADVCGGFTGVSCDKRGQHVVGLQLPNMSISGAIPPALGELSHLRYLDLSDNHISGDLPPFIGNLTELRGLYLSTNQLNGVIPSSFGNLRQLRDLDISTNQLNGIIPISFGNLSSLVNLDLSGNLLAGEIPAELSNIRTLQTMDLGQNHLTGGIPSSLAELNHMYYISLERNTLSGSIPAAIFTNCTKMGVVDLGENNFSGEIPGDASSNFPNTFAVLNLYSNSLTGKLPRWLANCTILYLLDVENNSLVDELPTAIISGKKNLRYLHLSNNEQFTSDDGNTNLEPFFAAVSSCTNILEIEAGALGIGGRLPGRLGSLLPPNISHLNLELNAIEGPIPADMGDVINITLMNLSSNLLNGSIPASICRLRRLQQLDLSNNSLAGELPPCFGNATSLGQLDVSNNALTGTIPSSIGSLNLLLYLSLRNNQLSGQIPASLGQCSGMLRLDLSGNRFTGEIPDEVAGIAQIQSLNLSRNLLGGRLPRGIARLLLAEAIDLSWNNLTGAIFPEIGGCAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSGNFLTGEIPVTLTKCATLTYLNLSYNDLAGVVPTAGVFARFTWMSYVGNPRLCGAVVRRRCGIRHRRWYQSRKFLIVMCICAAVLAFAATIICAVSIRKIRERVTAVREEFTTGRRRRRGGGGGGGGSSPVMKYKFPRITYRELVEATEDFSPDRLVGTGSYGRVYRGTLRDGAMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGDELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVVGGVPNAGVDVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPTDDMFDAGLSLHKWVKSHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGEMLELGILCTQESATARPTMMDAADDLDRLKRYIGGETTATFASSLGFSSTTFEDIDD >LPERR09G07520.1 pep chromosome:Lperr_V1.4:9:9137622:9140501:1 gene:LPERR09G07520 transcript:LPERR09G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRICTPGGAPDPRRSNLAAGSAVQLGPDLVGFSSWVLPISAGFAVDRRHPAGGVATCHGLLCAESGRRRIYPRASSVSGVVSSQEDGSSGESTVCVSDPPDDASFSGKMSSDLHRDMVGGLSSIARSFGGKKKGMKFRRRVQGGNKLARRSTPRRANGKSGEDRRIFLSDDDISAILSSVTDESSIDECNAVLIRLEKHNDKTALGFFEWMKVNGKLKGNAEAYHLALQAIAWKEDWETARQLLHEMVADSGCTLDARAFNGLIYVCAKRRLVDWGTKWFHMMLEREVQPNVSTIGMLMGLYQRTRNLLEAEFAFAKMRNCSIKCINAYSAMITLYTRSGLFAKSEEVINMMNYDEVVPDVENWLVRLNAYSQQGKMEEAELVLKSMVDEGIALDVVAYNTLITGYGKVSDMQKAMKVLNRLRRAGLAPDETTYRSMIEGFGRADKYKESILYYRKLRKSGFKPNASNFYTMINLLARHDDSEGAAEILEDMRAAGCQCSSIVTVLVRAYGSVGRMDKVLQILQACFYKKILFDATSCSILVTAFVQNSLIEEAMCVLCEKKWKDYDFEDNLYHILICSCKEAGCYDDAVRIYNQMPKSATYPNLRIYCSMIDVFSTMGRFTDAETLYLELKASSCVLDMIAYSVIVRMYIKAGRPEDACVALEDMKKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKCQVELDEAMYNCIINCCGRALPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAALFNRAEKVFLMARKQGLADIISYNTIIAAYAKNGDFRSMTYFVQRMQEAGFPVSLEAYNCMLDAYGKSGQLEEFDAVLQKMKMARCDFDHYTYNIMINIYGRRGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAKMPEDAVKLMQEMRIKGINADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVASTRA >LPERR09G07530.1 pep chromosome:Lperr_V1.4:9:9142085:9148128:1 gene:LPERR09G07530 transcript:LPERR09G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDQEERIAGASAGDGGGGWERAVMEPVRWVGMLCQELGGTFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQFLSVFFYIPWVLKPLWGILTDVFPVRGYRRRPYFLFAGVLGTTSAAIVAMVNGLPMTSAVLSFVGISTAVAIADVTIDACIAKNGIDKPALVPDMQSLCAFSSSLGALIGYATSGMFVHHLGPQGALSVMAFPPAMLVFLGFFIYELKMYQHNVKDKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKEPPNPGFSQEFVGMVHAIGAVASMVGVLIYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLVGVPDAVFVTLEECCSRVIGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSVGMLAAKAGGAAVLRGLRVTRTDFGRLWLAVLVRNLLRLSTLAAITLVPTADQTDVLLPRELVDGRSPVADAGDDEERLQLTMLTDHVDNEEENA >LPERR09G07540.1 pep chromosome:Lperr_V1.4:9:9149916:9151988:1 gene:LPERR09G07540 transcript:LPERR09G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGFSATVLLLLLLVVEGGGGVDCMEVVRSRRALQRKHHLRSRAESGATILELRHHSSGKKSREDEDDDVAGFLSSDAARVSSLQRRVAGWSTEEDDDKASVPVSSGARLRTLNYVAAVGLGGGGGDDATVIVDTASELTWVQCAPCASCHDQQDPLFDPASSPSYAVLPCNSSSCDALRLAAATGSPSCDASSSDSSCSYTLSYRDGSYSQGVLARDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSKLSLISQTMDQFGGFFSYCLPLKESGSSGSLVLGDDTTVYRNSTPIVYTSMVSDPLQGPFYFVNLTGIAVGGQEVESSGFSDGKVIVDSGTIITTLVPSVYNAVKAEFLSQFAEYPRAPGYSILDTCFNLTGFREVQIPSLKFVFDGNVEVEVDSSGVLFSSDSSQVCLALASLKSDYETSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI >LPERR09G07550.1 pep chromosome:Lperr_V1.4:9:9155038:9160233:-1 gene:LPERR09G07550 transcript:LPERR09G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGGGHRASAEAIKAAFIQEFGDDYQGKIDRERDWIQFKLAIAVPLAYLWEIFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWRMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATAKALGDALYDEVLGEPIGQILVICGRNKKLTNRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPEQIAKIVADWFGPRSDELKLMSQNALKLARPDAVFKIVHDLHELVRQKCFVPRYAYAT >LPERR09G07550.2 pep chromosome:Lperr_V1.4:9:9155038:9160233:-1 gene:LPERR09G07550 transcript:LPERR09G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGGGHRASAEAIKAAFIQEFGDDYQIFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWRMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATAKALGDALYDEVLGEPIGQILVICGRNKKLTNRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPEQIAKIVADWFGPRSDELKLMSQNALKLARPDAVFKIVHDLHELVRQKCFVPRYAYAT >LPERR09G07560.1 pep chromosome:Lperr_V1.4:9:9162147:9166696:1 gene:LPERR09G07560 transcript:LPERR09G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMACGSRAAGAAAFPSPRGAPPPPPPGRFRRLAPPPPARRLGLGIRRLPAPMASTIDSPGSSSDFAKRMERAWLISKQPRPIPCSSCQSAGHVECKWCTGTGFFILGNNMLALQPVLIAKELGFVPNGLKTLLSTNEKRKSTIIQQQTDTITSQHVETYNAAACTPMIDERPINPSFTFAVLTVLALADFTFENKAILLDEGPRYSLAECPKIESQAHIIVYPKPC >LPERR09G07560.2 pep chromosome:Lperr_V1.4:9:9162147:9166696:1 gene:LPERR09G07560 transcript:LPERR09G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMACGSRAAGAAAFPSPRGAPPPPPPGRFRRLAPPPPARRLGLGIRRLPAPMASTIDSPGSSSDFAKRMERAWLISKQPRPIPCSSCQSAGHVECKWCTGTGFFILGNNMLALQPVLIAKELGFVPNGLKTLLSTNEKRKSTIILLDEGPRYSLAECPKIESQAHIIVYPKPC >LPERR09G07570.1 pep chromosome:Lperr_V1.4:9:9168720:9173981:1 gene:LPERR09G07570 transcript:LPERR09G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKISTNNTSNTTGGGAVATAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGTVVAASIVVAGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEDELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGASDGVAGAGAAQNPHPMLGDLSAQDLPAIDLDAMASTFQHEVHGDAAVSQLIPLPARNSLGHTPTTSALSLLLQSPKFKEMIERTSAAEITNFSSSSSSSSTTTSSSSPSPSPVQAAKDDGASPQCSFPEDIQTYFGCSAAEDAATGVGYTDVDGLFFGDLAAYASPAFHFELDL >LPERR09G07570.2 pep chromosome:Lperr_V1.4:9:9168720:9173981:1 gene:LPERR09G07570 transcript:LPERR09G07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKISTNNTSNTTGGGAVATAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEDELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGASDGVAGAGAAQNPHPMLGDLSAQDLPAIDLDAMASTFQHEVHGDAAVSQLIPLPARNSLGHTPTTSALSLLLQSPKFKEMIERTSAAEITNFSSSSSSSSTTTSSSSPSPSPVQAAKDDGASPQCSFPEDIQTYFGCSAAEDAATGVGYTDVDGLFFGDLAAYASPAFHFELDL >LPERR09G07570.3 pep chromosome:Lperr_V1.4:9:9173243:9173439:1 gene:LPERR09G07570 transcript:LPERR09G07570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGWPVGPTATVEYSYRRLIDFFNWENDQKKKTEERAKEQRNRQKGRQAMMQDGVVK >LPERR09G07580.1 pep chromosome:Lperr_V1.4:9:9180348:9183070:1 gene:LPERR09G07580 transcript:LPERR09G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVAAEGGRRKKYALLLAARDSEYVRKVYGGYLEVFVRAFGDSDGDGDGGEDWDVFRVVDGEFPGDEELDGYDGFVISGSPHDAYSDELWILRLCLLVCDLVAMRKRLLGICFGHQVICRALGGHVGKARGGWDIGIREVAISETLPPYMYLNGVLQRRLSAATCYAKITEIHQDEVWELPAGAEVLASSSKTGVEMFCFGDRVLGIQGHPEYTSDILLNLVYRLSTAGSITVSMAMAEGVRRQLETTGPDREFWIELCKSFLKTEEE >LPERR09G07590.1 pep chromosome:Lperr_V1.4:9:9184540:9185745:-1 gene:LPERR09G07590 transcript:LPERR09G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADSWGSAPASPIGFEGYEKRIEITFSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSQLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVFSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTVEMCMTGLDAKKAAVFFKNSTDGSCSSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGIYGPAESTIHVTPEEGFSYASYEAMNFNPSSLVYNDLIKKVLACFCPSDFSVAVTIFGGQGFAKSWAKDAEVDSYMCDDLVEQVLPGRGLLMYQSFTAVAPGSVSPRSTLDGWNGDGVEMVGRRKKWVSAGKERRQPRKMQMPEKLAVPQPQVAS >LPERR09G07600.1 pep chromosome:Lperr_V1.4:9:9185918:9187373:-1 gene:LPERR09G07600 transcript:LPERR09G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHKGTFVDVLMESKGGKNKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >LPERR09G07610.1 pep chromosome:Lperr_V1.4:9:9194700:9202428:1 gene:LPERR09G07610 transcript:LPERR09G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAARLRLLSSGFLSPAASRMRHSSSRHGFRTLSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYTEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRNFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADTVQHFVDAKLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKKLFLLTNSPFYFVNGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYNGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIDIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNSERRQCRSAMRDLFNSSFGATFLTDTGRESAFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKAC >LPERR09G07610.2 pep chromosome:Lperr_V1.4:9:9194700:9202428:1 gene:LPERR09G07610 transcript:LPERR09G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAARLRLLSSGFLSPAASRMRHSSSRHGFRTLSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYTEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRNFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADTVQHFVDAKLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKKLFLLTNSPFYFVNGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRGPSKAGWRTAAVIRELEDEIDIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNSERRQCRSAMRDLFNSSFGATFLTDTGRESAFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKAC >LPERR09G07620.1 pep chromosome:Lperr_V1.4:9:9201003:9209209:-1 gene:LPERR09G07620 transcript:LPERR09G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVMVKEMVLDQDTVRGMVKVMVKGMALALDMARGIVKAMVMVMDLVTVKVIAQDMANDQDQAMAKVMVKVQLSTSLPALAFNWNNSMATFHVGDTVAIMFRALDLFVGDDTTIVVVHGDGERQERQQQLLTVHTDNDENCYNIMFVPLHANDSVMLISEEWFGIAESPLQFVVNASNVHPLASLVSWTRSDDMAAPHRMDGGRFRKRKATANDCKEAAAEDTGR >LPERR09G07630.1 pep chromosome:Lperr_V1.4:9:9209864:9213002:1 gene:LPERR09G07630 transcript:LPERR09G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQVNGGFEKLLLLAERVALDPTLDPALEPANDPALEPELEPAEDDALELAEDPAVEPLPDFDPELESELELELLALLPPPPNPTPTPAPSPIPRPPPAELEPEPETDPEADPKPGLDPEPDTDAEPDRELELEPLPPPPRPMPIPTPRPMPPEPDPDPKPEPKPDPKLEPEPAPEPEPDPEPEPEPPTPKPIPTPIPSPPRASDATATTTTAKAMALE >LPERR09G07640.1 pep chromosome:Lperr_V1.4:9:9214701:9215177:1 gene:LPERR09G07640 transcript:LPERR09G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRGSVIAALLLVAFLSVLSSGRVESRRVARMGLDISLGGGQGIGLGIGLGLGIGAGTGGVSASGLVPAPARWLGPGLPLDRGLGRSLLEGLALRLGQVLDRTLGQVDLEQVPQLGLGLDRVEGKGMAKVVVVDLVQDLGMARVEDMGVGQAMDMV >LPERR09G07650.1 pep chromosome:Lperr_V1.4:9:9219872:9222579:1 gene:LPERR09G07650 transcript:LPERR09G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNLIGILNALTFVLSLPILIAGIWLRTRADGTECERYLSAPFIAIGVFLLVVSIAGLVGACCRVNCLLWFYLVAMFILIVVTFCFTIFTFVITNKGAGEAVSGRGYKEYKLGDYSNWLQKRVENDKYWNKFRSCLQDSKVCKKLQEKKLDQSHFFQADLSPLESGCCKPPTSCNFTYVGGTDWTPVTTTSLDTDCQAWKNDGTVLCYGCNSCKAGVVATFKRHWRRAAVISIAFLVILIIVYCVGCCAFRNNRRDNRGAYRGGWKQGGGYA >LPERR09G07660.1 pep chromosome:Lperr_V1.4:9:9224719:9226543:-1 gene:LPERR09G07660 transcript:LPERR09G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVGGGRGGGGWTERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRPHMAVGPRAFALLFAASLASATGQYLYFQGLQLASPSMARATTNLAPGITFAIAALIGLEKVDLRSARSLAKIAGTVVCLGGAMAMAFFKGPKLLGALLVAATADDDWVKGGIYLIANAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLEIWKLTSIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGATAIIIGLYVVLWGKADDAKSERLTIQSNDSKRILEPECTGVKVECGTDLSVPLLSGNTNANT >LPERR09G07670.1 pep chromosome:Lperr_V1.4:9:9226745:9233628:-1 gene:LPERR09G07670 transcript:LPERR09G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEWKPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTLVLVPITLMANKDRTAKLKETRSLGTTGLFLVFVAALLGATANQNLSYQGLHLGSSSMATAMVNLIPAITFLMAASVRQERVNIRERGTIAKISGTIVCVGGAMAMTFFKGQKLLNYTLDDLNMLLHSPASSKWVLGALCLVMSSSCWSLWLILQVPICKSYVDPLSLSAWTCFFSTLQCATLAIFLVPDLNAWKIHSLFELSGYLFAGSFGSGVCFYLQSWCISVRGPLYSAMFTPVCTVVTTVAAASFLQEELHIGSLLGAAAVITGLYVVLWGKADDMKRGSEPAMACSDSCCDVEHTAAEPLLADASRQNNPGDMPAPCHGDA >LPERR09G07680.1 pep chromosome:Lperr_V1.4:9:9233650:9248110:-1 gene:LPERR09G07680 transcript:LPERR09G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLEEYKPCAAMVATQCIYAAMALWAKAVFTRGMSTMVFVVYRQAIATVFLVPIAIIANRGKTKEKRMGMTGFSLVFVASLVGATINQFVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLERVDIKKVRSLAKIFGTVVCVGGAMAMAFFKGPRLLNSSSLVNLNLFLHSSASSKWVIGALFLICSSCCWSLWLILQVPICKSYVDPLTLSAWMCFMSTLQSAVLAFFLLPDLDAWRIHSLFDLGCCLFAGAFGSGVTFYLQSWSISVRGPLYSAMFNPLCTVVTTVVAAAFLHEVLHIGSLFGATAVVAGLYIVLWGKGGDGVGSKSDSVPEEHAHDVEKAAMRSDSQLDVGEGITEPLLLTAGDCNPAENFMSFLCVYGPNHMVGAGRMGTVAKRWMPCAAMVVAQCIYAAMTLWAKAVFRRGVSPAIFVVYRQAIGALVLIPIAIIAANRATLSQNLLYQGLHLGSSQERVNIREHGTIAKISGTVICVGGAMAMAFFKGPKLLNYMFSDINMPSRNWMLGALCLVASSSCWSLWLISQVPMCKSYADPLTLSTLTCFFSALQCAALAAFLVPDLNAWKIHSLFELSCYIFSGAFGSGVNFYLQSWCISVRGPLYSAMFTPVCTVLATVVAAAIHQEELHVGSLLGAAAVIAGLYVVLWGKADDMKRASEPTKTTATWSDSPRDDVERTAAEPLLGDASSDRTTLD >LPERR09G07680.2 pep chromosome:Lperr_V1.4:9:9233650:9248110:-1 gene:LPERR09G07680 transcript:LPERR09G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLEEYKPCAAMVATQCIYAAMALWAKAVFTRGMSTMVFVVYRQAIATVFLVPIAIIANRGKTKEKRMGMTGFSLVFVASLVGATINQFVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLERVDIKKVRSLAKIFGTVVCVGGAMAMAFFKGPRLLNSSSLVNLNLFLHSSASSKWVIGALFLICSSCCWSLWLILQVPICKSYVDPLTLSAWMCFMSTLQSAVLAFFLLPDLDAWRIHSLFDLGCCLFAGAFGSGVTFYLQSWSISVRGPLYSAMFNPLCTVVTTVVAAAFLHEVLHIGSLFGATAVVAGLYIVLWGKGGDGVGSKSDSVPEEHAHDVEKAAMRSDSQLDVGEGITEPLLLTAGDCNPAENFMSFLCVYGPNHMVGAGRMGTVAKRWMPCAAMVVAQCIYAAMTLWAKAVFRRGVSPAIFVVYRQAIGALVLIPIAIIAANRATLSQNLLYQGLHLGSSQERVNIREHGTIAKISGTVICVGGAMAMAFFKGPKLLNYMFSDINMPSRNWMLGALCLVATLAAFLVPDLNAWKIHSLFELSCYIFSGAFGSGVNFYLQSWCISVRGPLYSAMFTPVCTVLATVVAAAIHQEELHVGSLLGAAAVIAGLYVVLWGKADDMKRASEPTKTTATWSDSPRDDVERTAAEPLLGDASSDRTTLD >LPERR09G07690.1 pep chromosome:Lperr_V1.4:9:9251995:9254603:1 gene:LPERR09G07690 transcript:LPERR09G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLLRRIHGKEWSVWRIHNNDATARGSATSTAQSASSDDTASSNPLPEKLPLHSRFVLGAAKRALRSSILNQKEYVLDQRSRAN >LPERR09G07700.1 pep chromosome:Lperr_V1.4:9:9267625:9272604:1 gene:LPERR09G07700 transcript:LPERR09G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWENLGAYKYVLYAPLVGKAVAGRAWERSSPDHWILLLLLLFGARAFTYQLWSSFSNMLFATRRRRIVRDGVDFHQIDKEWDWDNFLILQVHMAAAAMYAFPSSLRHLPAWDATGLAVAAAVHVIATEPLFYAAHRAFHRRGFLFSTYHSLHHSAKVPQPFTAGLATPLEQLVMGALVALPLAAACAAGHGSVGLAFVYVLGFDHLRAMGHCNVEVFPYHTIHHTKKESNFCLFMPLFDLIGGTLDAQSWELQRKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASRPFSVQLFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINKQIELAILRADKMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCRKKVRVMMLTLSTERFQKIQREAAAEDQQFLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPILGFRRDCTYGKLAAMHLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >LPERR09G07700.2 pep chromosome:Lperr_V1.4:9:9267621:9272604:1 gene:LPERR09G07700 transcript:LPERR09G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWENLGAYKYVLYAPLVGKAVAGRAWERSSPDHWILLLLLLFGARAFTYQLWSSFSNMLFATRRRRIVRDGVDFHQIDKEWDWDNFLILQVHMAAAAMYAFPSSLRHLPAWDATGLAVAAAVHVIATEPLFYAAHRAFHRRGFLFSTYHSLHHSAKVPQPFTAGLATPLEQLVMGALVALPLAAACAAGHGSVGLAFVYVLGFDHLRAMGHCNVEVFPYHTIHHTKKESNFCLFMPLFDLIGGTLDAQSWELQRKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASRPFSVQLFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINKQIELAILRADKMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCRKKVRVMMLTLSTERFQKIQREAAAEDQQFLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPILGFRRDCTYGKLAAMHLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >LPERR09G07700.3 pep chromosome:Lperr_V1.4:9:9267618:9272604:1 gene:LPERR09G07700 transcript:LPERR09G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPRYVLYAPLVGKAVAGRAWERSSPDHWILLLLLLFGARAFTYQLWSSFSNMLFATRRRRIVRDGVDFHQIDKEWDWDNFLILQVHMAAAAMYAFPSSLRHLPAWDATGLAVAAAVHVIATEPLFYAAHRAFHRRGFLFSTYHSLHHSAKVPQPFTAGLATPLEQLVMGALVALPLAAACAAGHGSVGLAFVYVLGFDHLRAMGHCNVEVFPYHTIHHTKKESNFCLFMPLFDLIGGTLDAQSWELQRKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASRPFSVQLFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINKQIELAILRADKMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCRKKVRVMMLTLSTERFQKIQREAAAEDQQFLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPILGFRRDCTYGKLAAMHLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >LPERR09G07710.1 pep chromosome:Lperr_V1.4:9:9276254:9276487:-1 gene:LPERR09G07710 transcript:LPERR09G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSMFTVGKTKGVASSVHRKPFQHMVQRRLRELKKIVPDAHEGDIDVLLQQTAEYICILELKVTILRRLAAIYGV >LPERR09G07720.1 pep chromosome:Lperr_V1.4:9:9277718:9278007:1 gene:LPERR09G07720 transcript:LPERR09G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVEFVAAT >LPERR09G07730.1 pep chromosome:Lperr_V1.4:9:9288296:9292206:-1 gene:LPERR09G07730 transcript:LPERR09G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLWGKDGRRGSPVVVTMGNPNYSVVEIDGPDAAAAAAMAMDKGGRGKTPKQLTWVLLLRAHRAAGYLASLAAAVGRRLRRSSSSGGGVAGGRGRLMYRFIKGFLVLSLLALAVELAAYWKGWPELRVPEMGEIESWAQSAYIAWMSFRADYIRRLIEFLSKSCIFLFVIQSMDRLILCLGCFWIKVRKIKQRIEGDPFREGSGYQYPMVLVQIPMCNEKEVYEQSISAVCQLDWPREKFLIQVLDDSSDESIQMLIKEEVSKWSYQGVNIVYRHRVLRTGYKAGNLMSAMSCDYVKDYEFVAIFDADFQPSPDFLKKTIPHFQGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLLDIITAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSLRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGNSYEWIVTKKSGRSSESDVLITVEKGTKDFSLPQLQKQISEGEMIELKMQNERQEKAPLVTKKANKSLCLGLLGLFSMARSFKLTPLKISTSSTPTMSVLPLKTSAISLNFAAASGDEIAAWRS >LPERR09G07730.2 pep chromosome:Lperr_V1.4:9:9289833:9292206:-1 gene:LPERR09G07730 transcript:LPERR09G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLWGKDGRRGSPVVVTMGNPNYSVVEIDGPDAAAAAAMAMDKGGRGKTPKQLTWVLLLRAHRAAGYLASLAAAVGRRLRRSSSSGGGVAGGRGRLMYRFIKGFLVLSLLALAVELAAYWKGWPELRVPEMGEIESWAQSAYIAWMSFRADYIRRLIEFLSKSCIFLFVIQSMDRLILCLGCFWIKVRKIKQRIEGDPFREGSGYQYPMVLVQIPMCNEKEVYEQSISAVCQLDWPREKFLIQVLDDSSDESIQMLIKEEVSKWSYQGVNIVYRHRVLRTGYKAGNLMSAMSCDYVKDYEFVAIFDADFQPSPDFLKKTIPHFQGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLLDIITAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSLRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGNSYEWIVTKKSGRSSESDVLITVEKGTKDFSLPQLQKQISEGEMIELKMQNERQEKAPLVTKKANKVYKKELVLSLLLLTAATRSLLSAQGIHFYFLLFQGISFLFVGLDLIGEQID >LPERR09G07740.1 pep chromosome:Lperr_V1.4:9:9299953:9301492:-1 gene:LPERR09G07740 transcript:LPERR09G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQVQTSAFIMLRLVFFFLCFCVLYYYHSKSKRKNPAIPLCWPLLGMVPEALANRHHLHDWMTSLLAASHLNFRSVGPTNSNMQMFFTCDPTNVRHIYTSNFSNYPKGSNFTEIFEDALGDGIFNADGDSWRLQRAKAQLVMHNPRFRAFVSRCSRDKVEKALLPLFAHLARTGEACDLQDLFMRLTFETNNDAGLRNRRHRVPCAQLTGGAYRTCDGQWRLGIGSERRMAQALRTLDMFLYETIAKRRADKVEKGIEDSEDLLSAYLKDDNNESTNKFIRDTTLTRISASRDTTGVALSWLFYLLAKNPRVISKILEELESIKSTTTPDGMVTFDPDELRTLVYLHAAVCESLRLYPSVPLNHRGVIVADVLPSEHKVRHGDEIVISMYAMGRMEAVWGSDCREFRPERWISDDGKLQYVPSYKFMPFSSGPRTCLGKDMAFVQLKTVAAAVVNNFEIEAVPGHIVKPKLSIVLYMKRGFMVRVKTRQVLN >LPERR09G07750.1 pep chromosome:Lperr_V1.4:9:9331007:9334459:1 gene:LPERR09G07750 transcript:LPERR09G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVAAAATLAALAAAAGVLSSQLYKQKCRRLAERIRELEASLAAATEKAAAERRGRVRVQQSLRSALSEQERSSDGDNRKNLSKSPKSFPMASIGVVQSCFSTRNGTPRQPLVVPLARATVMLDPSRVPAAALEGLADYSHCWIIYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDGVKAAAIPNWLEVDGALSVESIQFSEHFISALSDCWMHVQKQSLYASADEFRALVKEVLSWDIRSLSQRIRPHEVTIKDVTDNGISKTDIGCSNDEDHQLVDPSTSVVYHLHLEGIDVSYRIVQDSNILVENAALLSTAVNQHRYSYLTWKEKWAGRAADGKMVESLDP >LPERR09G07760.1 pep chromosome:Lperr_V1.4:9:9336677:9342961:1 gene:LPERR09G07760 transcript:LPERR09G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGRMPPAPKEPTLRRCDEVDNQLLAKWLSEEDGRRSVIAGLWNEIKELVDLFLSFKVRWVHREANRGAHV >LPERR09G07770.1 pep chromosome:Lperr_V1.4:9:9346472:9368825:-1 gene:LPERR09G07770 transcript:LPERR09G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYTGSIVWLLEFLGNNTNVQEPIPRKIGIVIFFSLFGDSYTANLATMLTVEQLKPTINRYRNGSFIKDLLEDLHFDTSKIKAYNSRDEFYNVLSKGTKNGGIAAFVHEIPYINTRMVEPFYKTAGLGYSERANKKTTFLTQAFPKGSPLLGDMSKAILNITEGDTIMQIEKKWIGYQNDCKSVDSVVGPVSDPDKLSIDNFKGLFILTGVVSTSSLIIAIMIYLYEKNKSMAKIQIDQSGDDLEENQKLQEGNEGGRAEENNQLRETGHIGQQIKTGEKEMHNGILQISGVRHNDSIFILRERNHGARVAPVSSSSPRVIMIVLLISLVISSSLASSAAAQSASGGSVHIGVILDLGTLVGKIARTSVLLAVDDFYAAHPNYRTRLVLHNFNVQAIIGPQKSSQASFLSDLGNISQVPIISFTATSPGLYSDSLPYFIRATLDDSAQVNSIASLIKAYGWRDVVPIYEDTIYGRGIIPYLVDALQGIDSRIPYRSVIPLSASNDQIAEELYKLKTMPSRVFVVHMSSALASLLFTKAKEVGMMDKGYVWIMTDGITNIIDSLNPSVVEAMNGALGIKFYVSTSAELDIFTKRWNRRFQLDNPYDPPLQLSVFGLWGYDTIWTVAQAAEKTWATNITTLQKQIFRKNLTGLGALESSGNDPDFLKAILQNKFKGLSGYFDLSNRQLQTSTFEIINVDGKGWRQIAFWTTENGISKQFNPTRLVTKNSVLVSDLRVTWPGGSKEIPKGWEIPSGGNKLKVGVHKSGYPEFMNYSKDPTTGEIKATGLAIDVFEEATRRVPYALPFEYVASDVADRTSRSYEESSSYDDFVYQVYLKKYDLAIGDITIRYNRSFYVDFTLPYTESGVAMVVPVKEATRKNALIFLKPLSLGMWLCSLAFFFGTGGVVWLLEHLDILIVWLFFFLVLTSSYTASLASMLTVQQLNPTVTDIHDLLRNGDYVGYHRGSYVQGFLEGLGFDKSKIKAYDTPDDFRKALSSGSDNGGIAALVHEVPYIKLFLAKHCEDYTMIGPIYKTAGFGFAFPKGSPLLGDISKAILNITEGDTIIQIEKKWIGDKNNCNNVGAITSSGSITLGSFWGLFLFIGSVSVCCLFIGLIIYFKERHQRPDLDVEMRQPGNEHGENAHVVNPEAPYDLVLCWGQSCSQSFHRP >LPERR09G07770.2 pep chromosome:Lperr_V1.4:9:9337906:9346463:-1 gene:LPERR09G07770 transcript:LPERR09G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPHHTILFLLLLVHFGVAQNANKTGVDGFPVGVILDLQSMVGKIARTSILMALDDFYAAHRNYSTKVVLHIRDSESNNVQAASAAIDLLENHNVQLIIGPQKSSQASFVSDLGNSSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREIVPIYEDTDYGRGIIPYLVDALQDIDAHVPYRSVIPLSATSEEISEELYKLMTMQTRVFIVHMSSTLAAPLFTKAKEVGMMSKGFVWIMTDGITNIINSMNTSVVEAMNGALGIQFYVNESGLNSFSIRWDRRFRIDNPNDPPLKLNIFGLWGYDTIWAVAQAVEKVGVSNRPLFQKLSVARNSTSLQNMGTSVYGPELLKIVLKNNFSGVSGYFDVSDRQLQASTFRIINIVGKVNLGTSTTNHASSVSGLNPVIWPGKSTEIPKGWEIPGSGKKLQVGVHKSAYKEYMTNERDPVTGAIKASGFSVDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVQQVYLKKYDVAIGDITIRYSRMAYVDFTLPYTESGVAMIVPSKGRVDKTWIFLQPLSRGMWIATTLMFVYTGSVVWLLEFLGNKRNVREPIPRKIGIVIFFSLFGDNERVERVLSRIVLIVWVFFLLILSSGYTANLATMLTVEQLKPTINSIDELRKSGVNVGYHNGSFVKNLLEDLNFNTSKVKAYDTPDDFYDALQKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGFGYAFPKGSPLLGDISKAILNITEGDTIMQLENKWIGYQNDCKSGDSAVGSVSDPDKLSVDSFKGLLILTGVASTSSLIIAIMIYFYEKYKSMTSMQPDQNGEGLEENHKPQEVNEGDKIEENNQTGEGIDQYGQQQEETGGREMGNINLQTSSVRGNGSIFIWRERNLGARVAPISSSSRF >LPERR09G07780.1 pep chromosome:Lperr_V1.4:9:9361719:9366609:1 gene:LPERR09G07780 transcript:LPERR09G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWVHVKLKVNASGKELTRSRLPRSSPPGTKPGPPALSHRRLRPSRCWPQTRSPVAKKGASEPDRLTVLLSVAPVSIVAKFAV >LPERR09G07790.1 pep chromosome:Lperr_V1.4:9:9368848:9394525:-1 gene:LPERR09G07790 transcript:LPERR09G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAERAALFFLLLSLTVAQNITESGTGTLNVGVILHLKSLVGKMARTSILMAVEDFYAVHRGFKTKLVLHIRDSNGDDIQAASEAATIKLIALLFHYKAIDLLENYNVKVIVGPQKSSEATFVSDIGNKSHVPVISFTATNPTLSSINVPYFVRGTLSDVAQVNTIAALIKAYGWREVVPVYEDTDYGRGIIPYLADALQEFGASMPYRSAISIPANTEQVERELYKLMTMQTRVYVVHMSVSIASILFTKAKELGMMSKDYAWILTDGITNIVNSLSPSILQEMNGAIGVRFYVPASKELHDFTKRWNKRFEQDYPNDPPPQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNSTCLGALGISTVGTKLLDSILNSKFRGLSGEFDLRNRQLDYSTFQIINVVGSRTKEIGFWAAKYGIFRQLNENKTTNMNSMPDLNPVMWPGEVYTVPKGWQIPTNGKKLRVGVRISGYPELMKVETNPVTNEISASGYAIDVFEEVLKRLPYAIPYEYVTFDNGGVNSGSYNDFVYQVHLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNMWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFADVLTKGSQNGGISAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVNDFSRAILSITEGDSIIHIEKKWIGDQHACQNDGTIIGSSSLNFNSFSGLFLVTGVASTSALLIALMMFLYKNKHKVRNSISRVQTPKRYRAEHINEPNEEEVIGSNQVQNLQLTVPDDSDEYTCQQEGEISIELSPASGIQTSPDFASHAMAAAAAAAASKQNHSMGVERATERAAIFFLFLSLSVAQNITKNEAGTLNVGVILHLRSLVGKMARTSILMAVEDFYAVHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVSAIVGPQKSSEAAFVSKIGNKSQVPVISFTATNPTLSSNNIPYFLRGTLSDVAQVNVIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQELGAFMPYRSAISESTTTDQLERELYKLMTMQTRVYIVHMSSDIASILFTKAKDLGMMSTDYAWILTDGISNTVNSLSPSILEQMNGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSTFGIWGYDTIWTLAQAAEKVTMANVMFQKQKDTKNSTSLGTLGISTIGPTLLESILHIKFRGLSGKFYLRNRQLEFSTFQIINVVGSRPKEIGFWTAKHGIFRQMDENKTTNINSMPDLKRVMWPGEVYTVPKGWEIPTNGKKLRVGVRTSGYPELMKVERDPATNEITASGYAIDVFEEALKRLSYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVHHFAGERVDNILSRLVVIVWVFVLLVITSSYTANLSSILTVQQLQPTVTDVHELLKNGEYVGYHDGSYLSNLLEGLGFDRTKMRAYDNSDDFADALAKGSQNGGISAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAIVNITEGDSIIHIENKWIGNQHACQNDGTITDSSSLNFNSFSGLFLVTGVASTSALLIALMMSIYKNKHRIRNRIRRYKTQKEYETEQIQEQNQETTLIDSNQVQNLQLTVPDDSDEYTCQQEGRISIELTLPSGIQTTQNATKTAVEFPVGVILDLQTLVGKIARTSLLMALDDFYSVHENYSTKIVLHIRDARGNNVQAASAALDLLENHNVQIIIGPQKSSQASFLSDLGNRSQVPVMSFTATSPSLYSASFPYFIRATLNDSAEVQSIACLIKAYGWRRVVPIYEDTDCGRGIIPYLIDALQEIDTSVPYRSVIPLSATSEEISKELYKLMTMQTRVFIVHMSYNLVASVFTKAKEVGMMNKGFVWIMTDGISNIIDSMDTSVVQAMNGALGIQFYVNKSEFDSFTTGWNRRFQIDNPNEPPFKPSIFGLWGYETIWAVAQAAEKVGVSNRTSFQKPSTARNSTSLEIMETSVYGPELLKVILKNKFTGKSGNFDLSDRQLQVSAFRIINVFGNGSKDIGFWTEENGISRQLNLGNSTVKYSSSVSDLDTVTWPGKSTEIPKGWEIPGIGKKLQVGVHKSAYEEYMTNEKDPITGATRANGLSIDIFEEAVNRLPYALPYEYVAFDTNRDTSTGSYDNFVYQVYLKKYDVTIGDITIFFFFLRGGDITIRYNRISYVDFTVPYTESGVAMVVPAKGSINKTWIFLQP >LPERR09G07800.1 pep chromosome:Lperr_V1.4:9:9377863:9382525:1 gene:LPERR09G07800 transcript:LPERR09G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPFRRSGGGALEGSRWDACRATQPWQEREISPRVAGCRDPCSPMGGFSITPKKNIFKILHYDKA >LPERR09G07810.1 pep chromosome:Lperr_V1.4:9:9396806:9403075:1 gene:LPERR09G07810 transcript:LPERR09G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTPNPLFLLIFLRLCLHLATSSAQPLTVSVGLIIDAGSPVGKIADTTIPMALDDFYTEFPQSPTRVRLHRRDSRGDVVAAASAALELMKGRDGVRAILGPQSSVESAFVADLATRAEIPVVSFSATSPSVSPGDGKRLFFARAAASDAAQAPAIAALARRFGWRRVVPVYQDDDYGSTFVPFLVDALAGGDGGGVEVPYRCALPESATREAVAAEMYRMESMQTRAFVLHARPALAARVLDAAAAAGMMGEGFAWVITDGLTSLLAAAIDAPSQGVIGLSPYIPTTTPRLRDIKRRWARRFTDEHHAGDGDMGSYAVWAYDAAWAIATAANKLTAGDLSPPGLVNGTNGGATDFAGLGKSSSGKKFLELITNTTFDGLGGKFQLVDGELVVEAFRVVNIMDDGKARSIGVWTKNGGLTRHLGDGDGGEIAPVIWPGESTVVPRGWVVPTSGRRLRVAVPGSVNPGYRSIVHLDVDPATNWTTAGGFVVEVFEAAVRLLPYALPVEYVKAESMPYDKLVQKVGDGTFDAAVADITITAARSVHVDFTLPFMASGIAMVAPLQDHRGKHTAWVFLKPLRYDLWLVSAAFFLFTGFAIWFVEHRENPEFRGPAWYQVGTILHFGFSTLVFAHRENPRSNLSRFAVVVWLFVVLILQSSYTASFTSMLTVPQLEPSIPDYTTLWRDTGIRVGILNNSFMRDAMMNRSGFPASRLVPYKAVQSFHEALLNGTIGAVVDETPYIRVFLESYCDRFAMTGQRNKTGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGDGDGGCAATQGGGPFTSDSLSFGSFWGLFLITGATSLVCCVVHLAAFVAANRRNIRVIVSSSSLSRLSSWKGIFRRIVELYDGRDLTAHTFRIKDSGGGGSLVAGVSPSAVHNEVAGSPVSVSNHTYMSDWSLQTSSPMAGGEIELAGAGGQAGEEAAAVLAHDHDGSGENLGGRRQ >LPERR09G07820.1 pep chromosome:Lperr_V1.4:9:9412691:9413506:-1 gene:LPERR09G07820 transcript:LPERR09G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLLALSDDLLRRILHFVPFKKAASTSVLLRRWGSLWRSSGAVNLAVRVHESKKRGRRPKTMPSSPTATPSSALDAAEAPVKRLTLRVGTGKSHDNIDHFLRRDRDWRIKSDMVGTVLSHRAARRVEQLRIALVEADDARDFSDKEIDRGMRIYDVVSLPASGTLRVLDLTRCDLALSSLGAASFPRLTTLWLRLCSIQPMDLQALLDAAPELATVHLDCVLFTRHLKLSAMKLLRSTGCYVPLRVAKRGGGFPWGWLPAEGPRGDFPR >LPERR09G07830.1 pep chromosome:Lperr_V1.4:9:9417536:9418983:1 gene:LPERR09G07830 transcript:LPERR09G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGERNIHVLLLPFPVQGHINPLLQFGKRLASHSGVRCTLAATRFVVSSTKPTPSSVHVAVFSDGCDGGGPDELGGVGAAYFERLESAGSQTLDALLRSESELGRPVDVVVYDAFAPWAQPTCAVDILYAHAWSGRVPPPPLRPEQVRGLDGLPCQLEVRDMPTFLSDTSYPPCFRQLLVNQFLGLDTAEHVLVNSFYDLEPQEAEYLASTWRAKMIGPTVPSAFLDKRLPDDVSYGIHLHTPMTAESKAWLDAQQPRSVLYVSFGSMASLGPDQMGEVAEGLYGSGKPFLWVVRATETGKLPEGFAAGKAKGRGLIVPWCPQLEVLSHPSVGCFMTHCGWNSTVEALSAGVPMVAMPNWSDQTTNAKYIQDVWRVGVRVRPDDGGVVRSAEVERCVREVMEGEMREELRTKALEWSGKAKKSMSEGGASDVSISDFLSSFGHTN >LPERR09G07840.1 pep chromosome:Lperr_V1.4:9:9419328:9419552:1 gene:LPERR09G07840 transcript:LPERR09G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRWRRRPWKRDGGHGCSGVQGSAMYGGIHGGATTAAASRFVTGCLFLLAASSPQKTELVIIAEGDHRR >LPERR09G07850.1 pep chromosome:Lperr_V1.4:9:9426349:9430674:1 gene:LPERR09G07850 transcript:LPERR09G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDAVGKKRGGGQQQQHRKSIDTFGQTSSQYRGVTRWTGKYEAHFWDNSFKKEGQAKKGRQGGYDTEEKAARAYDLATLKYWGHTTHINFPLEQYQEDLEFMKNMNKEQYVAHIRRHHQQGRWQARIGRVEGNKDLYLGTFATQEEAAEVYDVAAIKFRGRNTVTN >LPERR09G07860.1 pep chromosome:Lperr_V1.4:9:9442752:9442934:1 gene:LPERR09G07860 transcript:LPERR09G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEAAEAYDVAAIKFRGRNTVTNFDITRYDVDKILADHSSSALLQADRACAAAPQG >LPERR09G07870.1 pep chromosome:Lperr_V1.4:9:9450863:9456107:1 gene:LPERR09G07870 transcript:LPERR09G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGARLTIPMLLVVVLLLLLCSLGIHGQRVDVVVDGGNQAAGPRRRAVDVGVILDRTTWLGNISWACMELAMEDFYADRRHAGFRTRLRLHLRDTRLDAVEAASAGVDLLKNVHVQAIIGPQTSAQAKFLAELGNKSSVPIISFSANSPCRSPSQSPYFIRTAWNDSSQAEVIASLVQKFNWRDVIPVIEDDDSNTRFIPDLVDALRKAEIRVSHRCKIHPSAEEDDLQKIILSLKEKWTSVFVVRMSYDVALRFFKHAKDEGMMGQGFVWIAAHGLTDIFDIVGSPAYDVMQGVIGLKPYVNDTKQLQNFKQRWRIKYQTENPGTSLSEPTISGLYAYDTVWALAVAAERAGYVNSDFLLSEKNNGSTDFDRISTSKAANKLQGTLLNIDFLGMSGKFHIQDMHLLSTTYEIINIVGKEKRVVGFWTPKFNISRSLSTEADIDAIIWPGGNTATPKGWLFPMNKTLKIGVPAKPGFSGFIKLENGIFKGFCIDVFKEVTDALPYKILYRYEQFGNGKGESNGTYDELVYNVYLKSGVRMLVPVQDRRQKTAWTFLQPLTADLWLGAGAFFIFTGFVVWFIEHRTNEGFRGPPVSQIGSVFYFSFSTLVFAHREKIVNNLSRIVLVIWIFVVLILQQSYTASLSSILTVEQLRPTVTNLDEVIRKGGNVGYLNDSFMPGLLKRLKIDESKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTLVGPTYKFDGFGFAFPRGSPLTAEISREILNFASSDRMAQLEKELYRNRTCPQKDESETSSSLTLRSFLGLFIITGSSSLLALILHVVITLYNHRPDLSSASSQSSWCGWFAILLRIFHGGDRPNAPQMDENTITNVNVTTESPWSTPNHIVENVEPGSDMESIPEEETLDREDFVQGPDPPSFAYMHSERG >LPERR09G07880.1 pep chromosome:Lperr_V1.4:9:9456503:9457946:-1 gene:LPERR09G07880 transcript:LPERR09G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAALKAYVDAHGTGGNWIALPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYIAIGSRWATIAAQLPGRTDNDIKNYWNSKLKRRLLGGGRRPRGAPPRLVLSTAVAATTSRNALAASAIERMQLSVRLRRLETPAPPPPSFAFYGSANLAAPPPWPSHHQQQPDLPSPAASGSSLEMPPRRWLPSSSAATTSYSGLISSSYHDAWIDTSTTSIGDTTPTTTTGGWDSSSSTPTVSTATTPFVGGGGSMDMEDEIDMLLQQINYSFEENDDNNGDRRLIADEVAAGAESYLRALIGEAAAAAANGGDGEGGWSSCSTPGVDSVFHDYGQLDYGQYN >LPERR09G07890.1 pep chromosome:Lperr_V1.4:9:9466049:9469986:-1 gene:LPERR09G07890 transcript:LPERR09G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSAGGGMVPGGMGGGGGGGPMGAGPAGGGDGRHEDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALHEHGVNLKHPEYFADSPSAGMAPAAREE >LPERR09G07900.1 pep chromosome:Lperr_V1.4:9:9470246:9477937:1 gene:LPERR09G07900 transcript:LPERR09G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILRPRFLSPSAAAHPEPSRISSSSSASRRRGRRRPRHPTSKPPSSSPSPPPPPQPDLRRLTSRIVDLTRRRQLSQIMDEVDAARRRGLDRGGAGAGLNTIVMNAVLEACVRCGDVDLALRLFDEMRLPGGCGVDGVSYGVLLKGLGIARRIDAAFEILESIEKDSSIGSPWLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEANRDNNPELLPDAVTYTTLLKGLGNSRDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGAINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKQEADELLMEAAINNNQIDMARGLLRKIVNEKECFSWTSRVGMVAVKVETLSGFTNSLLRPHIVLDDPVEKYMVPFQETQPLHADLILDDVVMRFFEDPVVPIVDDWGSCVGIVHRHDCTKMDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSQMVVVVKRGNMYEGSYTSSSRPLGVFSLAILWKFTVDASDIDGVGAS >LPERR09G07900.2 pep chromosome:Lperr_V1.4:9:9470246:9477937:1 gene:LPERR09G07900 transcript:LPERR09G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILRPRFLSPSAAAHPEPSRISSSSSASRRRGRRRPRHPTSKPPSSSPSPPPPPQPDLRRLTSRIVDLTRRRQLSQIMDEVDAARRRGLDRGGAGAGLNTIVMNAVLEACVRCGDVDLALRLFDEMRLPGGCGVDGVSYGVLLKGLGIARRIDAAFEILESIEKDSSIGSPWLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEANRDNNPELLPDAVTYTTLLKGLGNSRDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGAINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKQEADELLMEAAINNNQVAVKVETLSGFTNSLLRPHIVLDDPVEKYMVPFQETQPLHADLILDDVVMRFFEDPVVPIVDDWGSCVGIVHRHDCTKMDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSQMVVVVKRGNMYEGSYTSSSRPLGVFSLAILWKFTVDASDIDGVGAS >LPERR09G07910.1 pep chromosome:Lperr_V1.4:9:9484760:9485125:-1 gene:LPERR09G07910 transcript:LPERR09G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNREENDINLELTLYYSSISPPEPIGFFLCMYCDRKFHSSQALGGHQNAHKYERSLAKRRRELAAATRAHGAPPGQQAAAEDGGYTGNTPAANKKVSVDSRGKSSPEYGGSGLDLSLRL >LPERR09G07920.1 pep chromosome:Lperr_V1.4:9:9536061:9536426:-1 gene:LPERR09G07920 transcript:LPERR09G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNREENDINLELTLYYSSISPPEPIGFFLCMYCDRKFYSSQALGGHQNAHKYERSLAKRRRELAAAMRAHGAPPGKQAAAEDGGYTGNTPAANKKVSVDSRGKSSPEYGGSGLDLSLRL >LPERR09G07930.1 pep chromosome:Lperr_V1.4:9:9556491:9556823:-1 gene:LPERR09G07930 transcript:LPERR09G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSEEDLDLSLSLQPSQATEPLGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQAADEEAARRGKEPSSNGSRGSAGSSSARQDIAEEIDLSLKL >LPERR09G07940.1 pep chromosome:Lperr_V1.4:9:9579532:9580748:-1 gene:LPERR09G07940 transcript:LPERR09G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKIQTTWWKKKEPVTRELLSSDYTASSKDTCEHSESSTMSLNKSSDSAKKNAQVTN >LPERR09G07950.1 pep chromosome:Lperr_V1.4:9:9597641:9599191:-1 gene:LPERR09G07950 transcript:LPERR09G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYWTWLASVMGAVVFLQGVLHAVFPAELRAAVARLVARATRAFSPYCYFDVTETEGMSTNEIYDAVQLYLSSNAAPAAGARLSLSRHHNASSFTFGLAASDRVHDAFLGAAVTWEHVVAPRQSQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILAAAAEIRRRSQDRLLYTNARGGAMVDARGSPWDPVPFKHPSTFDTLAMDPDRKSAIMADLREFAGGRAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRATAAAAPPKPPARPLASMDDHDAAGGGGGAARSITLSGLLNFTDGLWSCCGSERIFVFTTNHIDKLDPALLRSGRMDMHIFMSYCSFQSLKILLRNYLDLPDSGDAASGAVMAGLESWIDAAEITPADVSEVLIKNRRNGREEAMEELLAVLKSRAGKRRPSPAAAKEAGENEEEEEEEKRALESPKEGKEQSAMDEQIEETEGKKQL >LPERR09G07960.1 pep chromosome:Lperr_V1.4:9:9612635:9613636:-1 gene:LPERR09G07960 transcript:LPERR09G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASSSSSSHTFPVTASLCTPYLLLVPLGLLAVVLVIPSLGSSHVRSDGLGVLCRADAGGYLVAPGGGGDVTAAVAETKAVAQPKPELRLLVGVLTTPKRYERRNIVRLAYALQPAPTPANVARVDVRFVFCRVTDPVDAQLVAVEAAHHGDIIVLNCTENMNDGKTHEYLSSVPRLFASDPYDYVMKTDDDTYLRVVALVDELRSKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVAEWVSANEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVVVHMLKDNRRWAATFRYFNVTAGLQPSNLYHLAP >LPERR09G07970.1 pep chromosome:Lperr_V1.4:9:9615635:9616579:-1 gene:LPERR09G07970 transcript:LPERR09G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSSASSNSYLLLAPLALLLLAAVVFLLPSLNGGRVGSDDSLGVLCARRSAGAEDYTVANTAAKEDEKEKPEFSLLVGVLTMPKRYERRDIVRLAYALQPPAARARVDVRFVFCRVTDPVDAQLLALEAARHGDVVVLDTCAENMNHGKTHAYLSSVPRLFASSPYDYVMKTDDDTYLRVAALADELRSKPRDDVYLGYGYAMGGMPMPFMHGMGYVVSWDVASWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLNWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGVKLDHLSP >LPERR09G07980.1 pep chromosome:Lperr_V1.4:9:9616671:9623606:-1 gene:LPERR09G07980 transcript:LPERR09G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHGHSPAPTQRWPSVPAAALLLLPAALIAAVLFVIYPNEFALQASLAGAVACGDQASTGSEVQVAPEFSLLIGVLTLPGRYERRHLLRTVYALQQPSVSTRARVDVRFVFCRIASRDDRLLVSLEAAAYGDVVELASCPENMDNGKTHAYFSSVPALFGRDRAYDFVMKADDDTFFRLPELVSSLARAPRRDLYYGCMVPCDYVRGWNEYMSGMGYALSWDLVEWIVSAAAEIEGKTDGPEDRTLYSWLSRAGKGKNRVDVKPAMYNFPGRHPCSHEFIPDTIGVHQLKDNGRWARTLRYFNFTAGLKPSKFYPVIVYELTLVIVDASCENMDEFRPNTIAVHRLKNNRRWAAVFRHFNLKLN >LPERR09G07990.1 pep chromosome:Lperr_V1.4:9:9625113:9625424:1 gene:LPERR09G07990 transcript:LPERR09G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR09G08000.1 pep chromosome:Lperr_V1.4:9:9625951:9626937:-1 gene:LPERR09G08000 transcript:LPERR09G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGDDDNRRSELAAANDDEYTTSDKYDDDVELHERHGPAASGLRWVPYASAVSSVRSLLCASHDDLRLRVHQISRALSAVFFLGAGAGLPEEGGVFVCSDLPPLGPALQDVQRAMMHVSAKEASHGACDCYFDAVRDLMRLLVGDAGLFRSAFSPNWVSFSTAEFQIRLRGYNPLPDVAAASALRWVPHAKAVSAVRALISASHDDLRLHVNNLSRWLSAAFFAGGAFFTVAAAAAAAATPFASGARFPEGRLFVCADLPPLGPALQAAQRAMMQVAVKNADHDTCDWYFDTIGELMRLLVGDAGVGPAVFDRASFESTFALDWED >LPERR09G08010.1 pep chromosome:Lperr_V1.4:9:9629371:9631391:-1 gene:LPERR09G08010 transcript:LPERR09G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFGDFGPLTERRRAEKARQQRRRVMIAAGTVSIVIILIVMGAAAITYSSKNSEEEEKEKGSSSPAKSKPSGGGGGGGSGSAPIDLRAVSKSIKVMCAQTDFEDVCEKSIGKAAAANATASSSPKDIIRTAVDVIGDAVSQAFDRADLIMSNDPRVKAAVSDCKEFLDNAKDDLNRTLKGIDAAGKVDGLAKQGFQLRVWLSAVIAHQETCIDGFPDGDFKSKVKDSFNDGKELTSNALALIEKASSLVSSLKGSERRLLADEDGIPEWVPDGERRMLKGGGFKNNLTPNVVVAKDGSGKFKTINEALGAMPKTYSGRYVIYVKEGVYQEYVTITKKMANVTVYGDGARKSVVTGNKNFVDGLTTFKTATFTVQGDGFMAIGMGFQNTAGAEKHQAVALLVQSDKSVFLNCWMDAFQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCIVTFKRPLDNQQNIATAQGRADGREATGFVLQKCEFNAESALTDAKRPAIRSYLGRPWREFSRTVVMESDLPALIDKAGYMPWNGDFALKTLYYAEYGNTGPGADTAGRVTWPGYKKVISKADATKFTVENFLHAQPWIDPTGTPVKYDFFT >LPERR09G08030.1 pep chromosome:Lperr_V1.4:9:9644001:9653355:1 gene:LPERR09G08030 transcript:LPERR09G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLQLPRFCSEECRWKKITRDESKEHDAMVTKERRGLHLHRHYHHHVRIFTYEPCGGIVRHARRLEQEIYENPGSLPFQEILYCNLGNPQALGQRPINFFREVLSLCDNPPLIYRDEARALFSPCALKRARKIIESLPGNDSGAYTCSQGVRSLREAVADGIAARDGFPSIPDNIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSGSIILHGGTIVPYNLTEDSGWGLEIFEVKRCLEEARASGLTIRAMVVINPGNPTGQVMSMTNQEEIIELCMKEGLVILADEVYQENVYTENKKFNSFKKVARSLGYDHKEISIVSFHSVSMGYYGECGRRGGYMEICGFGPDVIDEIYKLASLTICPNIAGQILISLVMDPPKLGDEAFEIFMAEKEETCSSLLKRAKALQKAFSSLEGVSCNKFEGAMYLFPRLRLPPLAIKAAEEECVPPDVYYAHRLLNATGIVVVPGSGFHQVSGKFHIRCTILPSKEKITAMVTRLQAFHEAFMDEFRS >LPERR09G08030.2 pep chromosome:Lperr_V1.4:9:9640008:9644203:1 gene:LPERR09G08030 transcript:LPERR09G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKTPSITAETINQKVRMFTYEPCGGIVRHAQRLEQEIYENPGSLPFQEIIYCNLGNPQALGQRPIKFFREGVRSLREAVADGIAARDGFPSIPDSIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSGSIILHGGTMVPYNLTEDSGWGLEIFEVKSCLEEARASGLTIRAMVVINPGNPTGQVLSMTNQEEIVEFCRKEGVVILADELYQENVYTENKRFNSFKKVARSLGYDHKEISIVSFHSVSMGYYGECGRRGGYMEICGFGADVIDEIYKLASLTISPNIAGQILISLVMDPPKLGDGAFEIFMAEKEETCSSLLKRAKTLHKAFSSLEGVSCNKFEGAMYLYPRLQLPPSAIKAAEKERVNPDVFYVHRLSATGIVVVPGSGFDPVSGKSHFRCTILPGEDKITAMVPRLRAFHEAFMDEFRS >LPERR09G08040.1 pep chromosome:Lperr_V1.4:9:9653830:9659220:-1 gene:LPERR09G08040 transcript:LPERR09G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHADKRAQPRSGPEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLIWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYTASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPEIEMADEEGSSEVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVRALPNTDDKSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGCINGTPVASFDRRDADRFLFFCTNQHKDLAFENGPYFHLPRWSASLTKERVKVSLESKPNLLGVNGIPEDKKRLMEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFQEATVHETSQVKANLPAAPPVKHHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKPLAQVYNIYQ >LPERR09G08040.2 pep chromosome:Lperr_V1.4:9:9654179:9659220:-1 gene:LPERR09G08040 transcript:LPERR09G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHADKRAQPRSGPEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLIWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYTASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPEIEMADEEGSSEVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVRALPNTDDKSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGCINGTPVASFDRRDADRFLFFCTNQHKDLAFENGPYFHLPRWSASLTKERVKVSLESKPNLLGVNGIPEDKKRLMEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFQEATVHETSQVKANLPAAPPVKHHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPQTQKIANGFANTVSVSRNV >LPERR09G08050.1 pep chromosome:Lperr_V1.4:9:9661081:9664396:-1 gene:LPERR09G08050 transcript:LPERR09G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAVEQRLQALRQKLGRKQHFEEAVADLAATVGDHYAGASPALRDLMYSAVCRVATVLQTRYTAPGFWRAGLNLFIGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNREPGHLTVGTEPPPPAWLVAQNLSRELSILDESSGDQAGNNNEVQSRAEDAGPAIMNLLNAMINNGDLEAALEESLQNARVNPKVPPASKEVVANLPVVTVTEETIARLGSETQCAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >LPERR09G08060.1 pep chromosome:Lperr_V1.4:9:9672089:9676221:1 gene:LPERR09G08060 transcript:LPERR09G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAKKRGRRVTADVLWPGKSAAKAGRGFGVEEEDFEADFREFEMGLSEDEAEDGGEEEEDVVEVVSPPARLAVVAATKAAPAAATEVALPTADGILTPKPVQHDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDESLVAQKPSLKQNAAKQEKLSPPLKTCDNDAFIPLNNADNDLFAMFAFSDKNVPAKPVEPAGFLPPVNPLASTEAFEMNMLSDESSNSFGSSDFGWDDDTLTPDYTSVFDPNSTVPAYGEPAYLPVGAPKRMRNNYGVAVPQGTGMPNLTQNMAPFDPEIKYLPLPYVESSSDESMDSLLQNEATQDGASNVGIWSLDDLFMAAGAY >LPERR09G08060.2 pep chromosome:Lperr_V1.4:9:9672089:9676217:1 gene:LPERR09G08060 transcript:LPERR09G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAKKRGRRVTADVLWPGKSAAKAGRGFGVEEEDFEADFREFEMGLSEDEAEDGGEEEEDVVEVVSPPARLAVVAATKAAPAAATEVALPTADGILTPKPVQHDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDESLVAQKPSLKQNAAKQEKLSPPLKTCDNDAFIPLNNADNDLFAMFAFSDKNVPAKPVEPAGFLPPVNPLASTEAFEMNMLSDESSNSFGSSDFGWDDDTLTPDYTSVFDPNSTVPAYGEPAYLPVGAPKRMRNNYGVAVPQGTGMPNLTQNMAPFDPEIKYLPLPYVESSSDESMDSLLQNEATQDGASNVGIWSLDDLFMAAGAY >LPERR09G08070.1 pep chromosome:Lperr_V1.4:9:9688736:9690164:-1 gene:LPERR09G08070 transcript:LPERR09G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSLPPPPPPPQELDDREKYLQELILGSMLDPPSSSSSAGHRRVRPLTDDEIGWFYCEVCMERKLVFDRFRVDCAHAFCVACVVAHIEARVAEGRVPVPCLLGAYGCPRGGVLHPEACKKLLDIDVFDRWCVALCERAVGPARARCPYRDCGEMAVLDDDAATAGVAAAMRAKVSCPTCSRAFCLQCEEPWDERHGGGGDGEARCALTQLAKGREWRRCPCCRAMIDKIDGCKHMTCRCGTAFCYDCGSSFKPRKYSCKCTSRSRISSEPEDDSFIDLTCSGRQLHLGNDC >LPERR09G08080.1 pep chromosome:Lperr_V1.4:9:9694239:9699556:-1 gene:LPERR09G08080 transcript:LPERR09G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKPQHAAATGSRSPTSNRHPPAAAAVDQVMQQNIDLNVDADAAAAFLAADEAFAHEVFMEQLMELDHHGNDDSLLGGGSSSAPGWGPTNQLPIQFMGSSSSASGSGGAQRDDISAASAAGGDEAPPLPAPAFERYVPPHARSLPVPRPFVMTGQPMPIGAHYTPAPARSAPAIAPAPAAALPQTPLSQVMLAAAAETQAASSSTGDIAADRPGAAARTRPPHRGCNGGRAGGGLRQILGVPHRTCAADAARWARAANAMARLGRGGSLPLDGQYGRLWTRDAPNTPVSAAAGVADTPATSAAGIEQSSPAISAGKGKEKVGEQISPPAATAGSIKGRLEEKEEEKEDEILEEYTNLVQDDDVSMKRQALLADRDTPAVFAGMEDGEDNDWYDSIIREAVITELQENPDIQGPLPLITPRSSPSSSTPRLAAAAGDEETFSMSKFYKKWGLRPSDLDPEEAGPSTRQPRVLPLDDDDLPTFDCGICFDTLPLLDLFRVLRCDHKFCLECMTTYIDGKVREGAVPVPCPDTDCKKRADGDDDAGILHPEGCKKAIDFTSFIDWGLRLAEGAVPHDRRAYCPNRRCGILLETSGEKKPAMAACPECQMLLCAGCGMEWRTADDADHRECPGPYVAATMKLADERRWKQCPQCKNLVERTAGCRVITCRCRIAFCYLCGLQMGQVMEGKEKCQCDGATF >LPERR09G08090.1 pep chromosome:Lperr_V1.4:9:9715536:9716498:1 gene:LPERR09G08090 transcript:LPERR09G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSRRRVHSEESCHGGHWPPPHSARCLCLYLVLSLTMFVLVAAVLLVVFVTRLKKPTFLLQTVQMDTSFSLRSSLSTATAAANGTGAGGGANNDNATSCSVASLVFAAQNANGIGIRYGATALGVAYANETVGAVDVPAFYQPPRSGNVTVTVHALFSQTNVSRLVVGELSAQRTYMEIRISGSIDARTHIMNFPLPKIQFSLDCRIGTNYTDIVLREGIESVITRKALLVSALPHVSQKCSIKIDMRSRGKRTSLDELGC >LPERR09G08100.1 pep chromosome:Lperr_V1.4:9:9724240:9725366:1 gene:LPERR09G08100 transcript:LPERR09G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSARSVRNGSRDGADIAVDLFPFLRVYTDGRIKKFVRHATVPASSDVKSPNGVITKDVVIDDDTGVSVRLFVPVASAAAGRRLPLVVYVHGGGFCTGSASDPVFHRYAESLAARAAAVVVSVDYRLAPEHPLPAAYDDAWAALRWAVSRHSDPWVASFADTTCVFLAGESAGANIVHNVAVRVAADAAADSNDGIDIEGMILLQPCFWGTERLPCEKPEAWRATPMLLPERADAMGPYVTAGAAVNDHPWINPPVEAIASLPCRRALVSVATEDLLCDRGRRYAARLRDGAWGGEVTLVESEGEDHCFHLSPKPNPNAVLLMDHVAEFIAKGKTRKLQRQLHRSRWPKEHGPNWSD >LPERR09G08110.1 pep chromosome:Lperr_V1.4:9:9726081:9733782:-1 gene:LPERR09G08110 transcript:LPERR09G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAAATNQSGAGNIAVDLFPFLRDGRIKKFVRHATVPASDKKTPNGVVTKDVVVDHDTGVSVRVFLPVDAAEAAGKLLPLVVYIHGGAFCSGSASAQAFHRYAETLVARAAAVVVSVDYRLAPEHPMPAGYDDAWAALRKLRGHHVRVPRGRERRRQLVHNVALRAEDGIDIEGIILLQPCFWGTERLPFEKPDAWRRATAPMLLPERLDALWPYVTAGAAGNDDPRIDPPAEAIASLPCRRALVTVATEDVLRDRGRRYGARLRDGAWGGEVTLVESEGEDHCFHLSPKPNPNAVLLMDHVAEFIAKGKTATPIGKLMMNQCRRRCTLHAPDANEKTMSRDSSVVVVVASWSGSGGRRGCSAQSVQKVQGFGSVMGSMRHKAHNYPRSAAGAGRGRINLTCKSSWAKPIRKLNLNESALCKRTYSSQRSMSTNKISSPARNESHRDGDLAVDLFPFVRVYRDGRIRRYVRPTSVPASGEKKSPNGVVTKDVVIDHDTGLSVRLFLPVDAATAAGAGRRLPLVLYFHGGGFCTGSATSPVFHRYAESLASRAAAAVVSVDYRVAPEHPVPAAYDDAWTALRWVASRYSDDDEPWVANYADTTCVFLAGESAGANIVHNLMVRLGTGGEDDGVGVDIDVEGVILLQPYFWGAERLPSEKPRGATAAPKVRKEVADAMWPFVTAGAAGNDDPRIDPPADAIASLPCRRALVTVATEDLLRDRGRQYAARLRDGAWGGEVTLVESDGEDHCFHLSPKPNPNTVLLMDHVADFIAKGKTTTTATSTRLLMKQQHGRLCTLHESDASKKNTMSSVVAARWGGSVERRCSGKSVLKVQSFGSRMGNMGPPTKAYYYPRSSAGLGKLRLSRII >LPERR09G08120.1 pep chromosome:Lperr_V1.4:9:9740851:9742077:1 gene:LPERR09G08120 transcript:LPERR09G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSANKSSPARNDNRNEGDIAVDLFPFLRVYKDGRIKKFVRHATVPASDKKTPNGIVTKDVVVDHDTGVSVRVFLPVDAAEAAGKLLPLVVYIHGGAFCAGSASASVFHRYAESLAARAAAVVVSVDYRLAPEHPMPTGYDDAWAALRWATATSRRRHTTVDLWVANYADTTCVFLAGESAGANIVHNVALRAKDGIDIEGIILLQPCFWGTERLPFEKPDAWRRATAPMLLPERLDALWPYVTAGAAGNDDPRIDPPAEAIASLPCRRALVTVATEDVLRDRGRRYAARLRDGAWGGEVTLVESEGEDHCFHLSPKPNLNAVLLMDHVAEFIAKGKTTIPTGLMMKQRRRHRMFDGADTEKMTSRAAQTGLTVERSGAEVMENLILMPRNKAHNCPRSADVLKSSI >LPERR09G08130.1 pep chromosome:Lperr_V1.4:9:9745595:9757288:1 gene:LPERR09G08130 transcript:LPERR09G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHPPPPRLLPTAAPPRPGSSSSATAAPFILILRRRQRRRHRHRRPYHHPVPRASLSDILASLPSSLALVGPAAAAAVAAVAASFSSGSSSYVRNSLPPPSSTDYSDSDYGACGEWILFTSPTPFNRSVLLRCPSVSFDDGGVLLDGVNERLLTEERHYVNLSRGRIPAARGGGDGAGDGEVSYQRVCIPTEDGGVIALDWPDNLDLDKEHGLDSTVFIVPGTPEGSMERGIKLFVLDALKNGYFPIVMNPRGCGGSPLTSPRLFTAADSDDICTAIQFVNNKRPWTTLMGVGWGFGANMLTKYLVEVGESTPLTAGVCVDNPFDLEEATRSFPHHIALDQKVTAGLVDILRANKELFQGKDKDFNVQKALSSNCLRDFDGAISMVSHGFSTVDDFYSKSSTRLSITHSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSAIFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITVNPSKGLSFVEPQANNRKVPNKNNFHQQSQFILYNSMPHGINGLLLDSAKEHSGSNKKENIQVKDNGEIDRARKDIHEEEPEETPEDDEKGHVLQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGESLVKALEEAVPEDVRGKLTTSVTEILQSKQGNFSLDALRRLGWTNGRPNTKAAVQEKVKDSDRENGTKDARMHDQNKNASAVGDVDQKDGNLTSNDGTSGEGIELSQGKPSQTSGPVGVVTEMGTEQIQPNRSEKTISGINESSEEQHKTDQGTETASKQVSDDQSPGEKKVSDDQSSGEKKLSDDQSTPNLNAAPRERVQSAETTAESPQAHVVEKDGDAVRSNEDKATHNVTDQSMQVSKTEESKPPPVNVTQALDALTGFDDSTQMAVNSVFGVLENMIDQFEKQHESENGDKSDGSTDDVKSDGSSEEASVNKTKSQVTGDMDNESNGNAVNPSSNQAENNIPGKDHSIMSDDHTIGQTNEKLSIISSAKGKLGNYQRNITENYVDADAAKQGSGMPDYLLDIAVNSYLKAQYAMYLHEFLSTQLQLKAPDSNSATDLYLDPHEGKWKIADHMDSVHDNNSKSDKGSSFAETIDLSGSSQEPNRTGNVIDTPYLVLSNFPVSRDKSNESKNTVATKLPDIALREALTSFIRDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCVLFSAACVQRNPTTVKFGTTHGENVIEAVSNAVQQSHDLRNILPMGVIVGVTLASLRNYFDVGISKHNKHTKTTVKSGILNEDPDFKNASFKKEKSMDNISSHKEENANNASSQKEENANDTSSKKQENADDFIKKTGQKVQEMTRSEGQGMMVGAVTAALGASAFVAHHQQNKVEKHMDGTTASDQHRSDENAQEKSQNNLMTSLAEKAMSVASPVVPTKGDGEVDHERLVAVLAELGQKGGALKFVGKIALLWGGMRGAMSLTDRLISFLRISERPLFQRIMGFSLMVLLLWSPVVIPLLPTLVQSWTINSSTGVVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASASRVQEFFQGLVGGITVVGLVHSVSIFLGFATFRGSYSLLARPFDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEIAVDLGYYSAILISGVAFSLIHRSLPSVPGFLLLSLILFGLKQRTQGKLAAPIGLRSGIMTASYLIQTSGIIQSKPGTPFWMVSTYHLHPFDGVIGLSICALFAILLFPQEPVQKDTFVS >LPERR09G08130.2 pep chromosome:Lperr_V1.4:9:9745595:9757288:1 gene:LPERR09G08130 transcript:LPERR09G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHPPPPRLLPTAAPPRPGSSSSATAAPFILILRRRQRRRHRHRRPYHHPVPRASLSDILASLPSSLALVGPAAAAAVAAVAASFSSGSSSYVRNSLPPPSSTDYSDSDYGACGEWILFTSPTPFNRSVLLRCPSVSFDDGGVLLDGVNERLLTEERHYVNLSRGRIPAARGGGDGAGDGEVSYQRVCIPTEDGGVIALDWPDNLDLDKEHGLDSTVFIVPGTPEGSMERGIKLFVLDALKNGYFPIVMNPRGCGGSPLTSPRLFTAADSDDICTAIQFVNNKRPWTTLMGVGWGFGANMLTKYLVEVGESTPLTAGVCVDNPFDLEEATRSFPHHIALDQKVTAGLVDILRANKELFQGKDKDFNVQKALSSNCLRDFDGAISMVSHGFSTVDDFYSKSSTRLSITHSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSAIFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITVNPSKGLSFVEPQANNRKVPNKNNFHQQSQFILYNSMPHGINGLLLDSAKEHSGSNKKENIQVKDNGEIDRARKDIHEEEPEETPEDDEKGHVLQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGESLVKALEEAVPEDVRGKLTTSVTEILQSKQGNFSLDALRRLGWTNGRPNTKAAVQEKVKDSDRENGTKDARMHDQNKNASAVGDVDQKDGNLTSNDGTSGEGIELSQGKPSQTSGPVGVVTEMGTEQIQPNRSEKTISGINESSEEQHKTDQGTETASKQVSDDQSPGEKKVSDDQSSGEKKLSDDQSTPNLNAAPRERVQSAETTAESPQAHVVEKDGDAVRSNEDKATHNVTDQSMQVSKTEESKPPPVNVTQALDALTGFDDSTQMAVNSVFGVLENMIDQFEKQHESENGDKSDGSTDDVKSDGSSEEASVNKTKSQVTGDMDNESNGNAVNPSSNQAENNIPGKDHSIMSDDHTIGQTNEKLSIISSAKGKLGNYQRNITENYVDADAAKQGSGMPDYLLDIAVNSYLKAQYAMYLHEFLSTQLQLKAPDSNSATDLYLDPHEGKWKIADHMDSVHDNNSKSDKGSSFAETIDLSGSSQEPNRTGNVIDTPYLVLSNFPVSRDKSNESKNTVATKLPDIALREALTSFIRDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCVLFSAACVQRNPTTVKFGTTHGENVIEAVSNAVQQSHDLRNILPMGVIVGVTLASLRNYFDVGISKHNKHTKTTVKSGILNEDPDFKNASFKKEKSMDNISSHKEENANNASSQKEENANDTSSKKQENADDFIKKTGQKVQEMTRSEGQGMMVGAVTAALGASAFVAHHQQNKVEKHMDGTTASDQHRSDENAQEKSQNNLMTSLAEKAMSVASPVVPTKGDGEVDHERLVAVLAELGQKGGALKFVGKIALLWGGMRGAMSLTDRLISFLRISERPLFQRIMGFSLMVLLLWSPVVIPLLPTLVQSWTINSSTGVVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASASRVQEFFQGLVGGITVVGLVHSVSIFLGFATFRGSYSLLARPFDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEIAVDLGYYSAILISGVAFSLIHRSLPSVPGFLLLSLILFGLKQRTQGKLAAPIGLRSGIMTASYLIQTSGIIQSKPGTPFWMVSTYHLHPFDGVIGLSICALFAILLFPQEPVQKDTFVS >LPERR09G08140.1 pep chromosome:Lperr_V1.4:9:9757904:9761194:1 gene:LPERR09G08140 transcript:LPERR09G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAHLLSTPPSSPPIHPSPLPPHARLCTHWPKSVRTLSCCASLSPDGSLAALVAPRLPEPRRRRPYIREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYSHLLELLAQEGFLVVSVPYNVTFDHEAAAREVFERFHGCYGALLSSGLPEAGLSAVDVAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLLSQVMPMMEASPVYSAARNASGDAWKALFDLAGGFIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSIDAIDDTDIVEDVLKPRVESIGGQIKKVILSGTHLTPCIQDVKWQVGSEYTPADALAQGLKSLALNETRSLCAFIEVRTAAYKGMHLHCSIYNSHIANSQPLKLLQHLHVTSCCVNIY >LPERR09G08150.1 pep chromosome:Lperr_V1.4:9:9781977:9782435:1 gene:LPERR09G08150 transcript:LPERR09G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTTSGCSARSSSHGRVGGEDWGSSVAAVGGGGVRAGSVSFHGGDGVPPGLHPVYVGKSRRRYLIAADLVGHPMFQNLVDRSGGGGIGSGGGGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >LPERR09G08160.1 pep chromosome:Lperr_V1.4:9:9792634:9793315:-1 gene:LPERR09G08160 transcript:LPERR09G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKVAAAAAETGMKQIMRLRELLHKWALGATTKGGEDTGDDEAAPAASSPVGNGIPPYVMRRLQRTATVESDDESCCSPEAPADVPRGYCPVYVGHEQRRFVIPTGYLSHPVFRLLLEKAEEEFGFRHEGALAIPCEIETFKYILQCVERHDNGLAPAPADEVEQSAGMEPPSETSTMM >LPERR09G08170.1 pep chromosome:Lperr_V1.4:9:9801520:9802983:1 gene:LPERR09G08170 transcript:LPERR09G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSTAMASPSPASAAAADVAAPTAVTRSITILRPPALSVTSPRGSESGSSSTPSSPASVPDSPFGSAPTPKGAEGWKKLRRKAARMGDGVDAAGAGGQPRSPTVYDWVVISSLDR >LPERR09G08180.1 pep chromosome:Lperr_V1.4:9:9805828:9813096:1 gene:LPERR09G08180 transcript:LPERR09G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSRLGLRALCRASPSPGQNPLTSRRHGAEAVISRVNHVGNTFFCSNTNATSNDKSSESEAKISVTFIDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPSATRNFAVDGIQLSGFTMADPTS >LPERR09G08180.2 pep chromosome:Lperr_V1.4:9:9805828:9813096:1 gene:LPERR09G08180 transcript:LPERR09G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSRLGLRALCRASPSPGQNPLTSRRHGAEAVISRVNHVGNTFFCSNTNATSNDKSSESEAKISVTFIDKDGEEKLVKVPIGISRLGCQVIASPELDGIRLALPSATRNFAVDGIQLSGFTMADPTS >LPERR09G08190.1 pep chromosome:Lperr_V1.4:9:9823991:9833553:1 gene:LPERR09G08190 transcript:LPERR09G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFLADQGDLVEVMLELDEESMVVRSVTPTAASLYGAAPPNPPPPPPRTPDGGGTARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSIGAKRALKGLRFISRTTGSVQAAELWRRVEERFNSLSRDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGAVAGAVAAAGVPGDGGAPHANAAAAAAARGQPEQSRRRGWGLRKAAARVRVAAEENWRRAWVVALWFTAMAALFVWKFVQYRRSPAFGVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIAASPEEYGLVAYAFGPVKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGGTIPTVARLPYPFNRLAGFNAFWYSHHLLGIVYALLLAHGYFLFLVRRWYLKTTWMYISVPLILYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGTAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLAHEMSHRTGTRFHFHKEYF >LPERR09G08190.2 pep chromosome:Lperr_V1.4:9:9823991:9833553:1 gene:LPERR09G08190 transcript:LPERR09G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFLADQGDLVEVMLELDEESMVVRSVTPTAASLYGAAPPNPPPPPPRTPDGGGTARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSIGAKRALKGLRFISRTTGSVQAAELWRRVEERFNSLSRDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGAVAGAVAAAGVPGDGGAPHANAAAAAAARGQPEQSRRRGWGLRKAAARVRVAAEENWRRAWVVALWFTAMAALFVWKFVQYRRSPAFGVMGYCLPTAKGAAETLKLNMALVLLPMIATAIVVGITLHAGNHLACDFPRLIAASPEEYGLVAYAFGPVKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGGTIPTVARLPYPFNRLAGFNAFWYSHHLLGIVYALLLAHGYFLFLVRRWYLKTTWMYISVPLILYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGTAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLAHEMSHRTGTRFHFHKEYF >LPERR09G08200.1 pep chromosome:Lperr_V1.4:9:9836623:9837300:-1 gene:LPERR09G08200 transcript:LPERR09G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDMVPADAIALRLYSLPAAATAAASLWAWLVAALAAAFGLWRFRAAGVRSALVHGDGDDKQKTKPQPPSSAPPAAVDEARAVATTMPTSPMSEPNSPSKVRFTAYYGGAGDDDIDGGVRRCDETEEDGNGETAPMRRTTSSGRRWTTASTSPAAKSLMATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDCELTAAAAASSPRRRGRRALSELHLLF >LPERR09G08210.1 pep chromosome:Lperr_V1.4:9:9847538:9852263:1 gene:LPERR09G08210 transcript:LPERR09G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSWSGSAAVGGEAEGKPAMAVVAPAPGDVPASDASRYLQGIGERVVISGQLELFDREVLKVTAEDGEGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFINARTLTAPDMKEPKIAAEIAKQLNRFHRVEIPGSKEPQLWDDIFKFLKKASILEFEDKETQKRYEEISFREIQDEVKELKDLSDLLHAPVVFSHNDLLSGNLMLNDFEEKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKDSQYHFFRNYLQPDRPSEVQMRDLDALFVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLARSSLAELRNG >LPERR09G08210.2 pep chromosome:Lperr_V1.4:9:9847538:9852263:1 gene:LPERR09G08210 transcript:LPERR09G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSWSGSAAVGGEAEGKPAMAVVAPAPGDVPASDASRYLQGIGERVVISGQLELFDREVLKVTAEDGEGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFINARTLTAPDMKEPKIAAEIAKQLNRFHRVEIPGSKEPQLWDDIFKFLKKASILEFEDKETQKRYEEISFREIQDEVKELKDLSDLLHAPVVFSHNDLLSGNLMLNDFEEKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKDSQYHFFRNYLQPDRPSEVQMRDLDALFVETNTYRLASHIYWALWALIQEARIAR >LPERR09G08220.1 pep chromosome:Lperr_V1.4:9:9852784:9853794:-1 gene:LPERR09G08220 transcript:LPERR09G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGHAALWVKLYELELQLRLMRAARGEDVLAMADYYGEGDDDAVSRVAGGGDVGTCRGRQYDAYMRRRDARRHTHTLGGVAASSPTERREEGQTPRPRGGGGEAKRSPAGTSVPATTPRKESGALLLPRARTVNAVAVSGGAARHHHHRRSSLDFGDSVTPRPFLKRGSGTGGAATTTPRLRTPTARAHEIPHSDTNAITMASPRQQPHLSPAPARRHHTRSMSELPLQLHTAAAAIEMPSPSPRARKQWGSPETTPRAMLYSSSSSGGGGESHKDFAKGLKKLLSFVRKSGSKSGGGEQQPHSPARRAAAGKPVMSRTGWHAAAAAAPPAVTA >LPERR09G08230.1 pep chromosome:Lperr_V1.4:9:9855189:9865510:-1 gene:LPERR09G08230 transcript:LPERR09G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANSKLAAKPSPPATATSVPRPKATAKPFSRVLGYCDGSDDDDDFQSPPHARALKPSNGAGAGAASRRPSKKLKPLSSSCCSGKENRAASGGRAPAGRAASKGARVGETLAVVSGVSSGVQVGDKAVGSGICGRLRCGSDNSSSISNGKKGLDRYGYCNGGLHSLPNSMDSSVSMLDATSDLENGCSQVAQMSGSRDCISVPLEGNDAMDLGRPESDPMTMQKECTGSEVHEGDYPAKISEPRLLELDGNCDFGGADSKDSKEHGPGIHDSNSNDRNVEEESGVASVYRFALDNKDCHLSCVEWEHDVSNGKYDFDGHDCKGNEQGLGLDSLISEKRAVAAESDATFSSVGGENTSSGLEAFEGSHCSGPVEPKLMESCATHGFQGDGYDDFEIGTQLNELINLCVEDYAEGPLSNKASCIEVNGIDSRNSNSVCQVQCPLCGSNLSDLTEELRMVHTNSCLDGDEPAKEPNSNHQNEPSAENNVENRPVVEWLRNLGLSKYEDIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKIAHALSELRKKNDDTNDLAADVLNLENTKKDAFRFLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTASLVHYKIGIPWDRLHVLPLNEKITIAGVSLTCFDANHCPGAVIILFEPPNGKAVLHTGDFRFSSEMANNCVLRSSPIHTLILDTTYCNPRKKIYVGAAKLQILKHLELPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYAGRFDLIVAFCPTGWSFGKGRKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSFPRAPIPNPPFSFEVSLPGSELMASVQLSGGARVSPAAAKLEGLRLAPPSVVAASRGCRGCRGLVVRAGTVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGEVVAVGEGRSIGSNSIEISVPVGAQVVYSKYAGTELEFNDSDHLILKEDDIIGILDTDDVKDLKPLNDRILIKVAEAEEKTAGGLLLTQATKEKPSIGTNLLLWISSKKVTAVGPGPLIEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >LPERR09G08240.1 pep chromosome:Lperr_V1.4:9:9870005:9871646:-1 gene:LPERR09G08240 transcript:LPERR09G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTQRAIFAHTTDPAGKTSCTPTFSLSLDHPRTTQTSSIHVVVTFIITDSKPDDMVEPLHCSLTCPTRHDEARTNEIGFKCPGPGAKERETTRREIVGKEREGNWGWRARGQPNRPSLMGGGDEMGGATAAGKEKSSFAVTCSLLSQFLKEKKTGGLHGLALGMPPAAVVGGGAFRPPTTMNLLSGLDTAETAAEPPLKAPVDQQLSDKNAREADGEQAQQLTIFYGGKVVVFDNFPATKVKDLLQIVNAGDAVNKNIGTAATQSMPRPAQNNLPDLPIARRNSLHRFLEKRKGRMNTNAPYQANSSVAPSKQANGEKSLVGFGQEVTIKHEI >LPERR09G08250.1 pep chromosome:Lperr_V1.4:9:9879142:9886129:1 gene:LPERR09G08250 transcript:LPERR09G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETAAGGGGGGAVTCSPRASAASSPRAAAARGGAHHHRRWAAISPSFRAVLLVLWLVGFALVFLWQSTSVGRARLYTRSPLPLPNRGAVPSSGQAAAAAATMGQWVAAAPVYDLREFGGVGDGRTLNTAAFVAAVASIAERGGGWLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWIKFRKKILNHTRGPLVQLMRSSNITISNITLRDSPFWTLHTYDCKNVTISETTILAPIAGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQFGIAYGRPSTNITIQNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNITLERVRVGIVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRKHHVFQCSFVQGQVIGYVFPVPCKNLDLYNERQELLFTFFTISGSDPELLRWFAQAELMHSRWAMLAVSGILIPELLQKWGFMEDFSWIDAGAREYFADPWTLFVSQMALMGWVEGRRWADYLNPGCVDIEPRLPNRKNPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGDGPIDNLLDHLADPGHCNIFSAFTSH >LPERR09G08260.1 pep chromosome:Lperr_V1.4:9:9887892:9889451:1 gene:LPERR09G08260 transcript:LPERR09G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDRTNSSDAVEDAAAAAAATVEEDDSVSTSVGRRSSYRSLRSIREIDLLPAEAISDLHAIASRMAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSTSTTNATATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPSACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFIMNNVHYIAHKVKDSPELRGLIGDEYLKQLTGKFRQAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKAFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTDFFEGCPPSLHNRRRSHG >LPERR09G08270.1 pep chromosome:Lperr_V1.4:9:9893874:9897260:-1 gene:LPERR09G08270 transcript:LPERR09G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAAARHLLCVVVAVAALLIGGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPPVPSSANATAEDDEDAAAAAAVDDAFIRFDKITIRRPEDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLFVASFDGSDPIATLPSRVIPITKTGMYNMYFIHCDPSLAGLEIEGQTIWKNPTGYLPGRMAPLKNFFGIMSLAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVKPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSVGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRFTYDASENFDREDSLSLIRPGPIASKNGWSLSSSPDTKATKNVTVTSFDEDDEENKRE >LPERR09G08280.1 pep chromosome:Lperr_V1.4:9:9900257:9902873:-1 gene:LPERR09G08280 transcript:LPERR09G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVTQVQNSSDNVQSAQDAIKFTEEDVDKIRKEFETLLAINDQAEVQGSHDDDQVVSQKRVDVEGNEKRSREFINKRIIVSKLKNSLGKKGNTLKPRSVASLLRLFMCKGGFTSVVPEPRNSFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDEKEINECLEDALRDLDDDDDDDGAKWVKTDSECSKGLGKLGVMLFLQNDIMWGIVSDEAMM >LPERR09G08290.1 pep chromosome:Lperr_V1.4:9:9915674:9921810:1 gene:LPERR09G08290 transcript:LPERR09G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVLSRGCSRFALPGMQSSSVSAASSSFSRGGGSTAAAARRALPDGPFAGLVICVTGLSKEARNQVKEATERMGGEYSGSLHPKTACFLNAQHSFAGRKFEHAVKHGPKNGLFVITFGWFVDCVRRNMRLDESLYSIKNMRENGMPLGEFNRLVGTPVSESSCLPPMVFQEKAFSDTTEKHRLQTSRKEHDHDEFVFTNDSIYIDPGISGEMRKKVSDAAIREGAKLLDHWFIGCHATYVVCEDTSVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHFSSDLARQVAAILENVQTFQEDRKNGGVPSMNSDSGRVPSTQGEIDETCQDRQKFVEAAKKNVRDRRARRMQSCEGPIHPITPVKLMESICWTISEPTTSACIYTESSWSDDTFEQQSTTFFDANGDSKDPDQSTDNFSRPLRESEKSEVIFKNHFLTILFPIDRFGELGPSSRTFFSNGGFTRIQLLDHIYNFYQDNMSSDEINVALHTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRFSRENNSNVYELVIRA >LPERR09G08290.2 pep chromosome:Lperr_V1.4:9:9915674:9921810:1 gene:LPERR09G08290 transcript:LPERR09G08290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVLSRGCSRFALPGMQSSSVSAASSSFSRGGGSTAAAARRALPDGPFAGLVICVTGLSKEARNQVKEATERMGGEYSGSLHPKCTHLVHSFAGRKFEHAVKHGPKNGLFVITFGWFVDCVRRNMRLDESLYSIKNMRENGMPLGEFNRLVGTPVSESSCLPPMVFQEKAFSDTTEKHRLQTSRKEHDHDEFVFTNDSIYIDPGISGEMRKKVSDAAIREGAKLLDHWFIGCHATYVVCEDTSVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHFSSDLARQVAAILENVQTFQEDRKNGGVPSMNSDSGRVPSTQGEIDETCQDRQKFVEAAKKNVRDRRARRMQSCEGPIHPITPVKLMESICWTISEPTTSACIYTESSWSDDTFEQQSTTFFDANGDSKDPDQSTDNFSRPLRESEKSEVIFKNHFLTILFPIDRFGELGPSSRTFFSNGGFTRIQLLDHIYNFYQDNMSSDEINVALHTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRFSRENNSNVYELVIRA >LPERR09G08300.1 pep chromosome:Lperr_V1.4:9:9920607:9927648:-1 gene:LPERR09G08300 transcript:LPERR09G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNAGSFACGVWGGSGPVVIAEVVEASGREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGAEIGQAIALDARIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLCSFFMKATINYGSELPLAQGINFG >LPERR09G08310.1 pep chromosome:Lperr_V1.4:9:9933574:9934044:1 gene:LPERR09G08310 transcript:LPERR09G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKDVTILFDGWRTATWTGYLLSLLALLLASAFYQYLEAFRIRVKLLAGAKPASSSIPPPASSDDAAARAPLLLPSSALAAAGRWPARLATAGLFGVNSGLGYLLMLAVMSFNGGVFFAVVVGLAAGYLAFRSSDGEDLLVVDNPCACA >LPERR09G08320.1 pep chromosome:Lperr_V1.4:9:9941298:9944081:1 gene:LPERR09G08320 transcript:LPERR09G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPCLLVATVLLAVASSRVLLVSGAGDGAATYIVYLNPAMKPSPYATHLHWHHAHLNSLSVDPSPHLLYSYTSAAPSAFAARLLPSHVAELRGHPAVASVHEDIILPLHTTRSPMFLHLPPFDATDADGASIDVIIGVLDTGVWPESPSFGDAGMGPVPSRWRGSCETNATDFPSSMCNRKLIGARAFFRGYGAGGGRNGSRVSAEISSPRDHDGHGTHTASTAAGAVVAEAGLLGYAKGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGMLPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDDKLPLVYNKGIRAGSNASKLCIEGTLDAEAVKGKVVLCDRGANSRAEKGLVVKQAGGVGMVLANTAQNGEEVVADSHLLPAVAVGAQSGDAIRRYVESDADAVVGLTFAGTELDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPILDAAGNATATPWSIGAGHVDPVKALSPGLVYDTSVDDYVAFLCTVGASPQQVQAITAASPNVTCQRKLSSPGDLNYPSFSVVFGRRKSSSPSRGGAVVKYRRELTNVGDGGSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSATAGGPMDAAFGWLTWSDGEHDVRSPISYTWGM >LPERR09G08330.1 pep chromosome:Lperr_V1.4:9:9946622:9948304:-1 gene:LPERR09G08330 transcript:LPERR09G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWVTFPAIAFAAVIFLATILRRSHRRGAYRLPPGPKPWPIIGNLNLIGALPHRSIHELSIRYGPLMQLRFGSFPVVVGSSSEMAKFFLKTHDLVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRQARKMCVTELFSARRLESYEHIRDEEVRALLRDLHGVASGGESAVLLRDYLSTATLGVISRMVLGKKYVGNKGDCFASGGGEAEAATSPEEFKMMMDELFLLSGVLNIGDFIPWVDWLDLQGYIKRMKRVGKKLDRFLEHVLDEHDKVRRQQGEGFTARDMVDVLLQLADDPGLDVPLSRDNVKALTQDIIAGGTDTSAITVEWAISELLRKPEILTKATEELDRVVGRDRLVNESDIPSLPYVEAIMKETMRMHPVAPMLAPHVAREDATVGGYDIPAGTRVLVNVWTIGRDPAVWENPEEFVPERFIGSKVDVKGQDFELLPFGSGRRMCPGHSLGIKVIQLSLASLLHGFEWRLPDGISARELSMEEVFGLSTPRKIPLEVVVKPKLPAHLYTGP >LPERR09G08340.1 pep chromosome:Lperr_V1.4:9:9955633:9959083:-1 gene:LPERR09G08340 transcript:LPERR09G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMLSTPLSRNSSSSIHLNSSGVVTAPAAASLSRILHLRIKPSMLYIT >LPERR09G08350.1 pep chromosome:Lperr_V1.4:9:9957600:9960579:1 gene:LPERR09G08350 transcript:LPERR09G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLLTWASFLSVVLATILLLKTILGRSRSSRRYNLPPGPKPWPIIGNLNLVGTLPHRSIHDLSKKYGPIMSLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAEEIRALLRDLHGAGSGRVVMLKDYLSTVSLNVITRMVLGKKYLDKEAAAGAVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIRRMKKLSKMFDRFLEHVVDEHNERRRSEGESFVAKDMVDVLLQFADNPNLEVKLKRDGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFVKATEELDRIIGRGRWVTEKDIPSLPYVDAIIKETMRMHPVAPMLVPRLSREDTSIDGYDIPAGTRVLVSVWSIGRDPKLWENPEEFMPERFIGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWRLPDGMTSEQLNMEEIFGLSTPRKFPLEAVVEPKLPAHLYAST >LPERR09G08360.1 pep chromosome:Lperr_V1.4:9:9961340:9963795:1 gene:LPERR09G08360 transcript:LPERR09G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEPTRVVFLLWTAAAMAGRTRSHRHCRISLLSGRTLEGEIPGRLEQSEAEEQTEGATAVKQGKSPNFFLDDKKSTSLFSKSPSRRQPQW >LPERR09G08370.1 pep chromosome:Lperr_V1.4:9:9971179:9974037:1 gene:LPERR09G08370 transcript:LPERR09G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLCLSLFTITLATILFVRTLLRRNRRVYNLPPGPKPWPIIGNLNLIGSLPHRSIQKFSKQYGPLMHLRFGSFSVVVGSSVEMAKFSLKTHDVLFIDRPKAAAGKYTTYNYSNIAWSPYGAYWLQALKMCLTELFSAKRLKSYEFIRDEEMRSLLRDLHAAATSMAGRAVTLKEHLTTLSLNVISRMREVVDKVGGSVITTPEQFRWMLDELFILNGVITIGDSIPWLGWLDLEGYIRRMKKLSNMFDRFLEFVVDEHENRRRQEDMVDVLLNVANDPSLEVKFNRDSVKAFTQGFHRKVPDLFAGGTESSAVIVEWAISELLRKPEVIAKATEELDRVIGRNRWATEKDIPSLPYIDAIVKETMRMHPVVPLLAPRLCREDASVDGYDIPAGTRVLVSVWSIGRDPKLWENPEEFMPERFMGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIYVSLANLLHGFAWRLPDGMTKEQLSMEEVFGLSTPRKIPLEAVGEPKLSTHLYVAV >LPERR09G08370.2 pep chromosome:Lperr_V1.4:9:9971179:9972104:1 gene:LPERR09G08370 transcript:LPERR09G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLCLSLFTITLATILFVRTLLRRNRRVYNLPPGPKPWPIIGNLNLIGSLPHRSIQKFSKQYGPLMHLRFGSFSVVVGSSVEMAKFSLKTHDVLFIDRPKAAAGKYTTYNYSNIAWSPYGAYWLQALKMCLTELFSAKRLKSYEFIRDEEMRSLLRDLHAAATSMAGRAVTLKEHLTTLSLNVISRMREVVDKVGGSVITTPEQFRWMLDELFILNGVITIGDSIPWLGWLDLEGYIRRMKKLSNMFDRFLEFVVDEHENRRRQEDMVDVLLNVANDPSLEVKFNRDSVKAFTQVYN >LPERR09G08380.1 pep chromosome:Lperr_V1.4:9:9982763:9983204:-1 gene:LPERR09G08380 transcript:LPERR09G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTDITLSLSFFFPVRPRGGGTSTWRRPRAASFCLFQKSARLHQPYVLLPKSVRHRLPPPPQPGLPPPDSSSLTPPQPHPPPQQQEHWKRRWPEISPPTANALF >LPERR09G08390.1 pep chromosome:Lperr_V1.4:9:10030064:10030653:-1 gene:LPERR09G08390 transcript:LPERR09G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRYADLQVRRSYPYFVLEFDRLQPVFEGIIVTSNELPFMNWYTHKGQQVGKEWVPLVQKYVDDWILATELAVEEMHTLHTDKAYLDYLRWFLPRTRARVSFTLVEP >LPERR09G08400.1 pep chromosome:Lperr_V1.4:9:10034125:10035659:1 gene:LPERR09G08400 transcript:LPERR09G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLPSDVLELILRRLTPSPRSLAACRRVCKEWRAVVDAHCPPPHPDLLPLSLAGIFLAQFFFEHEYLPDFFGPRDCRHKAGRRIFPKIDYVDDARTPDLEVIDHCNGLLLMYQHVVNPATRRWPCCDEYLVFDPTVSPHYEVFSIPGSFLFNPDSTVESVVRQLEWPPSPFVVNVYSSATGRWEKRSLARRGEAAGTVADVLHSPPTSANHLYGVTWRGVLYVQMNNGDVIRISLSDDKYQVIKSPSDIDMNHSPYIYLGKSEKTVYCASIEHYKNHQRLQVFLLHELHRDGDDPIEWILIHDVSLDQIMADFRWNPEAAEPWIQHDMDGSSHNEEEMSKDDESPGWNSEDDSIVYTADMVGWGTNGSTCIVGFHPFREIIFLYSSCWLNKVRAYHLHNSKVEVLGTYSLGGGDEIGQSFPYTPCWIGDLS >LPERR09G08410.1 pep chromosome:Lperr_V1.4:9:10040570:10043093:-1 gene:LPERR09G08410 transcript:LPERR09G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGVGGAVADDDDSTQGRRRIGRRGDSPRLSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGNFSRANYLGCGGFGPVYKGAVGDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMSGQSLEKHLFKTTKGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCKGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPIGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGYTGSLRRHRRTASYNKERGA >LPERR09G08420.1 pep chromosome:Lperr_V1.4:9:10059653:10063742:1 gene:LPERR09G08420 transcript:LPERR09G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHHHHLLLLLALAAAAAAASSDFADSNPIRSVTDEDAASALESTVLAALGRTRDALRFARFAIRHGKRYEDAAEVERRFRIFSESLKLVRSTNRRGLPYRLGINRYADMSWEEFRASRLGAAQNCSATLAGNHRMRDSAALPETKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCAAAYNNFGCSGGLPSQAFEYIKYNGGLDTEQSYPYMGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRLYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >LPERR09G08430.1 pep chromosome:Lperr_V1.4:9:10064708:10067394:1 gene:LPERR09G08430 transcript:LPERR09G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRNAGLILLILACLWLCPRKTIGFSWNIFSSSSSSSATAGDRRPPMMELDGAVADFSIDGTNDPRAVKLLENARNKLAGPKNCWQEAYRNLFASCGEIMADKEKQSRLAWHLSSCFQVDSGRPAFPHCGERSDMVNCRKRLGDSEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTKTSKSAEEKLEVIEERSDQIIKESVKVQDTLSSIEMQTDHLAETSKNVGVQINEVLDHSKAIFEQSKEIAASQAALREGQTEMRETIDAGMMRIQESYKSLGKGMDKLKDEAVDIQREIKTVGDSMSSKMQDLQSTANDIGSVAGKSLENQMHLLDGQSKAMDGLNNLYSFQAQAIEESRETMQNLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATAQMLHSIFTFRDYEVLNHHLLQTLVEKVRALEEAAGGEKMLPCGSTESERSLRDYSWVFDELADEVDSNVDPSYSLPEDKKQVVVAAAAHRNKRYGSPEEVVGENSISTSAGRRYNLRPRNSFR >LPERR09G08440.1 pep chromosome:Lperr_V1.4:9:10072691:10076396:1 gene:LPERR09G08440 transcript:LPERR09G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPGAVYSSEFDSSSRGSSPPCSTAPPATSHRPSSVAGGLSCLFSSPAAAASPPRAPAHDELGALWQDRSDDPGFAGGGGGGKSYSSSPLKWRDLHHHHHHHSPVSVFQGPSSSSPSASRSPLASWLAGRERERLFAGFVRNALGSCVDYALAPSPRSEVGGGELAFELDENLAEASPACEPYARELLAGAQARHRIFHEELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANAAVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLVKQQRFAKETMEIFVPLANRLGITSWKDQLENLCFKYLNPEEHKDLSSKLTKSFDEVLITSAVDKLDNGLRDAGLSYHNLSGRHKSLYSIHKKMLKKNLTMDEIHDIHGLRLVVEEEEDCYRALDVVHKLWPQVPGRFKDYISRPKLNGYRSLHTVVMSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGNNDAIRPPCPFPMHSEDCPYSYTRQCNHDGPIFVILLEHDKMSVQEFQANSTVMDLMGRVGANRWSPYSIPMKEDLRPKVNHEPISDLDWKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSKR >LPERR09G08450.1 pep chromosome:Lperr_V1.4:9:10079867:10083614:1 gene:LPERR09G08450 transcript:LPERR09G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAGLRLASSASSSLSTASICGLLSLCGASRVSSVSFRRCLASPLVRCSQDPGKVEAFNTEGTEQSRGGSTACTLHQSVSNEVEDLTEAIDLGLLEKLKRYGAAGVLSYGLLNTVYYVTTFLLVWFYFSPAPGKMGYASAVERFLKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVKFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >LPERR09G08450.2 pep chromosome:Lperr_V1.4:9:10079867:10083614:1 gene:LPERR09G08450 transcript:LPERR09G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAGLRLASSASSSLSTASICGLLSLCGASRVSSVSFRRCLASPLVRCSQDPGKVEAFNTEGTEQSRGGSTEKLKRYGAAGVLSYGLLNTVYYVTTFLLVWFYFSPAPGKMGYASAVERFLKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVKFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >LPERR09G08460.1 pep chromosome:Lperr_V1.4:9:10084873:10090367:1 gene:LPERR09G08460 transcript:LPERR09G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPVHGGAAAGAGSGGGGDHLHHHQRLHSPRIAGGGSMTRRANSFKRGSGGGGEIELQIGSPRSPRCDGGVGSPLAESLLEAAAASGGGGLHHHQSQSQQQQQKLRFRLFKRPGAGEVVLGLGIRERRRLGNALFLAFCGVCLLLGVGKIWAGGWFALPGDERDADLQDLSISFSSDGVHRFDSHFGHVGGKESDRMLMTVESEDSVPEASNTSLPRPVLWPLMSGPNQAVQSSDNALFRTLIKRKIHIQMVTFSLMQMEICDMVAVAKILKATLVLPSLDHTSFWADDRLKLSVNMVIIPILISSFLSEFKDLFNWRHFIESLKEDIDIVEMLPPKYRHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGTTLVSRMHQDGSCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPHIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRMSWDDFSSEVKRIHRERIGAPYLREPGEFPKLEESFFANPFPGCICEKLSDE >LPERR09G08460.2 pep chromosome:Lperr_V1.4:9:10084873:10090367:1 gene:LPERR09G08460 transcript:LPERR09G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPVHGGAAAGAGSGGGGDHLHHHQRLHSPRIAGGGSMTRRANSFKRGSGGGGEIELQIGSPRSPRCDGGVGSPLAESLLEAAAASGGGGLHHHQSQSQQQQQKLRFRLFKRPGAGEVVLGLGIRERRRLGNALFLAFCGVCLLLGVGKIWAGGWFALPGDERDADLQDLSISFSSDGVHRFDSHFGHVGGKESDRMLMTVESEDSVPEASNTSLPRPVLWPLMSGPNQAVQSSDNALFRTLIKRKIHIQMVTFSLMQMEICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPKYRHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGTTLVSRMHQDGSCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPHIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRMSWDDFSSEVKRIHRERIGAPYLREPGEFPKLEESFFANPFPGCICEKLSDE >LPERR09G08460.3 pep chromosome:Lperr_V1.4:9:10084873:10090367:1 gene:LPERR09G08460 transcript:LPERR09G08460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPVHGGAAAGAGSGGGGDHLHHHQRLHSPRIAGGGSMTRRANSFKRGSGGGGEIELQIGSPRSPRCDGGVGSPLAESLLEAAAASGGGGLHHHQSQSQQQQQKLRFRLFKRPGAGEVVLGLGIRERRRLGNALFLAFCGVCLLLGVGKIWAGGWFALPGDERDADLQDLSISFSSDGVHRFDSHFGHVGGKESDRMLMTVESEDSVPEAKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDRLKLSVNMVIIPILISSFLSEFKDLFNWRHFIESLKEDIDIVEMLPPKYRHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGTTLVSRMHQDGSCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPHIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRMSWDDFSSEVKRIHRERIGAPYLREPGEFPKLEESFFANPFPGCICEKLSDE >LPERR09G08460.4 pep chromosome:Lperr_V1.4:9:10084873:10090367:1 gene:LPERR09G08460 transcript:LPERR09G08460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPVHGGAAAGAGSGGGGDHLHHHQRLHSPRIAGGGSMTRRANSFKRGSGGGGEIELQIGSPRSPRCDGGVGSPLAESLLEAAAASGGGGLHHHQSQSQQQQQKLRFRLFKRPGAGEVVLGLGIRERRRLGNALFLAFCGVCLLLGVGKIWAGGWFALPGDERDADLQDLSISFSSDGVHRFDSHFGHVGGKESDRMLMTVESEDSVPEAKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPKYRHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGTTLVSRMHQDGSCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPHIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRMSWDDFSSEVKRIHRERIGAPYLREPGEFPKLEESFFANPFPGCICEKLSDE >LPERR09G08460.5 pep chromosome:Lperr_V1.4:9:10084873:10090367:1 gene:LPERR09G08460 transcript:LPERR09G08460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPVHGGAAAGAGSGGGGDHLHHHQRLHSPRIAGGGSMTRRANSFKRGSGGGGEIELQIGSPRSPRCDGGVGSPLAESLLEAAAASGGGGLHHHQSQSQQQQQKLRFRLFKRPGAGEVVLGLGIRERRRLGNALFLAFCGVCLLLGVGKIWAGGWFALPGDERDADLQDLSISFSSDGVHRFDSHFGHVGGKESDRMLMTVESEDSVPEAKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGTTLVSRMHQDGSCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPHIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRMSWDDFSSEVKRIHRERIGAPYLREPGEFPKLEESFFANPFPGCICEKLSDE >LPERR09G08470.1 pep chromosome:Lperr_V1.4:9:10090242:10093151:-1 gene:LPERR09G08470 transcript:LPERR09G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRHNRRRTATAEDALISLPPDVLDGILTRLGLRDAVRTSALSRAWRRRWESLPSLDISFPFHDGAAALAAVDAVLLRCPGRVRSFNAHVDGKLAARRAQDWVILLSRRGVESLVLSSPFDRRFPLHSSVFSCDRLTYLDLFSCDVPPLPLDFAGFLHLKNLILDHVWLQEGGEYQLEEIIDNGDNSPLLQFLVFSGVFIAGDDFISWVIRAPNLRHLTISSPDDYGWNLFDLPLLRSVVIDLWKYLNGRDFAKFIGQLVHVRKLHLDLSYESPDGAKILETLPCTFHSLKSLKLYMEFGELPPILSTFCLLRNAPNLEKLKIVNTGNNEQKVEANGSFQIAQWTGGMCTNLQILRMAGVNWLPNEMTFIELILSKASLLRTISISHGGGCLISNENALSELLKFKRASPQAQILFKGKEDGY >LPERR09G08480.1 pep chromosome:Lperr_V1.4:9:10099097:10103085:-1 gene:LPERR09G08480 transcript:LPERR09G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRCGGRKGIEAETEALFSRGSDRARVDVLETEKENEVAWNYTCRFGTPEEAVEGEVDRGASAGRRGQEATVLAERRQRQVTGPGWRPVANERRRRQTRALGEQRRPGTSRRQPPAVGERRLLVESGRRRDRSLVRVYERSMKMETDLRAVEEMMAQLGALDRSGIEVEKKGYADLAFFKWMAGYQGFLKTQFKQMDAF >LPERR09G08490.1 pep chromosome:Lperr_V1.4:9:10103089:10105342:1 gene:LPERR09G08490 transcript:LPERR09G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLCPAFRSLPQNLTRQEPPSRSSRIHLAYGKLRRRTFKTTFANMIVVHCMVLHFLQVQ >LPERR09G08500.1 pep chromosome:Lperr_V1.4:9:10106187:10111569:-1 gene:LPERR09G08500 transcript:LPERR09G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGGGPAGRRICVGEAVAIWQTHPAAPGSASVSGGVRVRIHLRPWLRRRRRRLSGIGALRLNPEGEKMVVIKMTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSYASQAIEILPFMNNITLDGCEFPNAMKNDLQVLLSACPKLKHLELLYPVFSDDATYDTTDLKVPELLTLVVETDEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08500.2 pep chromosome:Lperr_V1.4:9:10106187:10111569:-1 gene:LPERR09G08500 transcript:LPERR09G08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGGGPAGRRICVGEAVAIWQTHPAAPGSASVSGGVRVRIHLRPWLRRRRRRLSGIGALRLNPEGEKMVVIKMTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSYASHEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08500.3 pep chromosome:Lperr_V1.4:9:10106187:10110236:-1 gene:LPERR09G08500 transcript:LPERR09G08500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVILYFSDDTFSECSTKQLFGLHHKQCDYVKKLKPRLPLFLFNSSDRKLYGIFEVISPVQLNTDQFAWISESLDASSEVTPPAQVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSYASQAIEILPFMNNITLDGCEFPNAMKNDLQVLLSACPKLKHLELLYPVFSDDATYDTTDLKVPELLTLVVETDEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08500.4 pep chromosome:Lperr_V1.4:9:10106187:10110236:-1 gene:LPERR09G08500 transcript:LPERR09G08500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVILYFSDDTFSECSTKQLFGLHHKQCDYVKKLKPRLPLFLFNSSDRKLYGIFEVISPVQLNTDQFAWISESLDASSEVTPPAQVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSYASHDATYDTTDLKVPELLTLVVETDEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08500.5 pep chromosome:Lperr_V1.4:9:10106187:10110236:-1 gene:LPERR09G08500 transcript:LPERR09G08500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVILYFSDDTFSECSTKQLFGLHHKQCDYVKKLKPRLPLFLFNSSDRKLYGIFEVISPVQLNTDQFAWISESLDASSEVTPPAQVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSYASHEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08500.6 pep chromosome:Lperr_V1.4:9:10108175:10110236:-1 gene:LPERR09G08500 transcript:LPERR09G08500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTQANSTATPTEGQTDKPQDADKEKTCYLSQEELGGVILYFSDDTFSECSTKQLFGLHHKQCDYVKKLKPRLPLFLFNSSDRKLYGIFEVISPVQLNTDQFAWISESLDASSEVTPPAQVYLSAKTECSPLTENQYRKVITNNYLDEKHGCFYLELDHEQTTELSSLFLPAQSMVPNDPQARKDVSDQVKCEYVSSSKVRFASTSSISRSNLANDASDKNTLMTTDNGRKDLQHSHDGRGRKVH >LPERR09G08500.7 pep chromosome:Lperr_V1.4:9:10106187:10107083:-1 gene:LPERR09G08500 transcript:LPERR09G08500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNNITLDGCEFPNAMKNDLQVLLSACPKLKHLELLYPVFSDDATYDTTDLKVPELLTLVVETDEINVNWELYAPNIDTVKLRMSRAFCVNILPKCTNARTLSIEAYTLPNTNIEPCFFSKLITLCLDIFFDRGCMPTVLDLLESYPILELLKLQSCDPGYGKESDSFWDSQQRIFSPSLYKVELRGFVGTIREINLMNYLLKTAKSIQNFTIVVDSDSEIQVVGFPLFRSSMESIFVSRRSEVV >LPERR09G08510.1 pep chromosome:Lperr_V1.4:9:10118903:10121313:-1 gene:LPERR09G08510 transcript:LPERR09G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGFQPTRVNASPYKLREVFFYTHGPARKNPSPPPPPPSPSPSTHRLAAMPAIKWLMHWHPNPGATLNSQILAEACACAESLGGSKDGRPPSSSIARWPATAPRRRPXXPQPPPELPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAMFDVDWFARSMRPHGTGMVCRYGDQILLP >LPERR09G08510.2 pep chromosome:Lperr_V1.4:9:10119213:10121313:-1 gene:LPERR09G08510 transcript:LPERR09G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGFQPTRVNASPYKLREVFFYTHGPARKNPSPPPPPPSPSPSTHRLAAMPAIKWLMHWHPNPGATLNSQILAEACACAESLGGSKDGRPPSSSIARWPATAPRRRPXXPQPPPELPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRG >LPERR09G08520.1 pep chromosome:Lperr_V1.4:9:10124288:10132079:1 gene:LPERR09G08520 transcript:LPERR09G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMPDAMAVPEANGNASAKLMLVPPPPTTMTTAAKQCDRDESEWYEEAIDDDLKLCYSLNSVLYRRTSKYQEIALIDTKHFGKALIIDGKMQSTEADEFIYHESLIHPPLLYHANPKTVFIMGGGEGSAAREVLKHNTVQSVVMCDIDQDVVDFCRAYLTENREAFASDKLCLIINDARAELEKSKEKFDVIVGDLADPVEGGPCYQLYTKSFYEHIVKPKLNDHGIFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVQAYTAHVPSFADTWGWVMASDHPFDLTAQQINQTIEDRIKGELAYLSGELLISSTTLNKSVCQSLLNETHVYTEDDASYSFACSSSSASTTDTESTATTTHRRRNSQPPPRPLPDADEAPNIKSKKKKKSRPCFPGARRQPRNTASPPPPPPSSSSPATFACVVKALFTPRKPKAAAIAVPLPQSSPPVPQPPCISASATASITKAAAERRSVSFCAVAAGAAADTSVVRRRVEELVRGLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLVTNRAIAKRAAC >LPERR09G08520.2 pep chromosome:Lperr_V1.4:9:10124288:10132452:1 gene:LPERR09G08520 transcript:LPERR09G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMPDAMAVPEANGNASAKLMLVPPPPTTMTTAAKQCDRDESEWYEEAIDDDLKLCYSLNSVLYRRTSKYQEIALIDTKHFGKALIIDGKMQSTEADEFIYHESLIHPPLLYHANPKTVFIMGGGEGSAAREVLKHNTVQSVVMCDIDQDVVDFCRAYLTENREAFASDKLCLIINDARAELEKSKEKFDVIVGDLADPVEGGPCYQLYTKSFYEHIVKPKLNDHGIFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVQAYTAHVPSFADTWGWVMASDHPFDLTAQQINQTIEDRIKGELAYLSGELLISSTTLNKSVCQSLLNETHVYTEDDARFIYGQGRACCP >LPERR09G08530.1 pep chromosome:Lperr_V1.4:9:10128062:10129567:-1 gene:LPERR09G08530 transcript:LPERR09G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLPTNTWRRTLHGLGGRIAGLFSSLPPSSCHHQHSDEVVTKLKEEVAKQRDLKETYKARLESTQAYLRFCLEVAQDHGFLHLMTMTSCSNDAAAAVAVAGDEDDDEQEELGTEAAPCDPYFAATRDLAVRNGWCVDPDEIELHESIGQGSTADVYRATWRGIDVAVKWMRPENFLLAGAGEAFFAQELDALSRQRHPHVLRLMAACMRPPASCFLVTELLTGATLAQWLHSDGGGRRRRRPSSSPPPLVERVTRAVEIALAMRHLHEQTPSVVHRDLKPSNVLLDGDSRARVADFGHARFLPAGTAALTGETGTYVYMAPEIIRCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAELRDANSSVLNDLICGTWDAEPSKRPSFATITSALREIKQQLL >LPERR09G08540.1 pep chromosome:Lperr_V1.4:9:10138794:10145252:1 gene:LPERR09G08540 transcript:LPERR09G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNVPTGGGAADSGSGKTPMKFVPVEAIECLRKRCKLMRVLIHVNDQRKAVVVLHGGEDGKPDHIMLQNVPAEDGSLQSTYSVDVSGWTPESQTEALDEWHATFRSDATGVFYDSNQNVIYGVPRGHPGGDMPRSLAIAPKKNEQGKAPAAAGSSSSADGPVLVVQAEKYCYPEQRKRIKAMTRKDLESYFHLTQKDAALIGLDIGTTALKNLCRANGLSNWPYRKIACLDNKFNNNLKKKITGWSLSKAIQGVTKAFELRNKKIELYESIMSSMPEQLQAQTNQGNDEKGLESYLHITQKDVALIGLGIGTTVLKNLCRANGLSNWPYRKIACLDSKFNNNLKKKITLGGGLPKQSSA >LPERR09G08550.1 pep chromosome:Lperr_V1.4:9:10150849:10157620:-1 gene:LPERR09G08550 transcript:LPERR09G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQPPPEEEHPNEDSGSDHDNKGKGEKGRKPPPPDSPSSSSAAGAGKEGKDATKRTKAKWSCVDSCCWLVGCVCSVWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGFECKAKNQKTNDSEVSKDVNGKNEVHPDPVNYGRIVSFGKDVAEAPSSDIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTASSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPELEIFSMYGVGIPTERAYVYKLAQQAECYIPFQIDTSAEGGDENSCLKGGVYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDRIKLKL >LPERR09G08560.1 pep chromosome:Lperr_V1.4:9:10162785:10168225:1 gene:LPERR09G08560 transcript:LPERR09G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGPPLHEPPEDEDAVGGAATPSTRLAIKALTAQIKDMALKASGAYRHCKPCVGSSSAASRRHHPYHHRGGSGGSDAASGSERFHYAYRRAASSAASTPRFRGGTLSSGDATPSMSARSDFPIGDEEEEEEDEEMVSSTGDGGKEEDAKEWVAQVEPGGWLFREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPMSGGGAMGSSSSDSLENHSNHYCNGGHHRGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >LPERR09G08560.2 pep chromosome:Lperr_V1.4:9:10162785:10168225:1 gene:LPERR09G08560 transcript:LPERR09G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGPPLHEPPEDEDAVGGAATPSTRLAIKALTAQIKDMALKASGAYRHCKPCVGSSSAASRRHHPYHHRGGSGGSDAASGSERFHYAYRRAASSAASTPRFRGGTLSSGDATPSMSARSDFPIGDEEEEEEDEEMVSSTGDGGKEEDAKEWVAQVEPGMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPMSGGGAMGSSSSDSLENHSNHYCNGGHHRGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >LPERR09G08570.1 pep chromosome:Lperr_V1.4:9:10170605:10171692:-1 gene:LPERR09G08570 transcript:LPERR09G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLRSGARHGGEAIDRREAPPPPASAAAEKADGSQTQELRLPPEIDWDGLDKWRFFVLGAGLFSAVSTALYPAVVLKTRLQVAPPPAHAAASQRLMVQGNTCPASRYYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKMIWGGIGCYLCEYGVGVQEIDDGEEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESGLS >LPERR09G08580.1 pep chromosome:Lperr_V1.4:9:10174108:10175076:-1 gene:LPERR09G08580 transcript:LPERR09G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRSSIGHILPGAGFVAVGMWHMFNHMKLFSQQPETYVAPVWFPVAGARYLELGLIIAGSAVEFAMEMFIDHSTLLPFAADGSIPSDRLHNHEHAIICLSLLVYAAAAVHLDRVAGAGARARDALCLLLVAAVFAQELLVFHFHSTGDHAGVEGQFHWLLQVVVAACLATALLGIGFPRSFAVSLVRSACIVFHGVWLAVIGAMVWVPSFVPKGCSLVREDGRDTVRCHSKESLHRAKALANLQFGWYFSFLTVFVVALYLYVCKRYPAAAGEAAYMPLQKDAGDDGDDEGMDVVDDAHKGGVARGGSVHGFATLEIEV >LPERR09G08590.1 pep chromosome:Lperr_V1.4:9:10179040:10179984:-1 gene:LPERR09G08590 transcript:LPERR09G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVAPGAGFILIGMWQLFNHIRLFALRPSSYTAPVWFPARGIRHLELILIIIGTTISILMELVIGPARHQPFDDDGTIPSDHLHNFEHASISLALLVYASLTIHMDRVSAPMRDAVSHLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGVACPRSFAVSLVRSASLVFQGVWFVVMGIMLWTPGFIPKGCFINFEEGHDVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLRVSKAYPEEPRYVPLVKGGGDGGESDGGRFSIGDDEEDDIEAAKGGFEHVAGGGKAVEIER >LPERR09G08600.1 pep chromosome:Lperr_V1.4:9:10195090:10195968:1 gene:LPERR09G08600 transcript:LPERR09G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDGDLPATGIESFSQLPFVRPRPPSSSMAGAGASPTSIRLFGFELPPDAATSSAANSEVTAVSTTAAAVHGQTAAHGVVAAVAAAAGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHAHYPSYAGYYTSPHHRFAAAPPPPPPPYPSWSSNHHHHYLAAARYYGRAVGSVSHPINGSPVVPAAALWRVPATPMVARQLQERPAPAPVSLAGVREEDAVAMVEVGRGKQAGSRSSSSSSAASSSSSQQHHHERRLGDMVEINRENVSLDLTL >LPERR09G08610.1 pep chromosome:Lperr_V1.4:9:10199837:10201087:1 gene:LPERR09G08610 transcript:LPERR09G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNGGSPAAAAGGMQAPELPLHLCFFLVVLFLFLGFSWYTSYESAAERFADQARLLLMASPLALLLAVRLLSGGEGRVGGGGGGGGGGGGLRGVEQMLSLPMPERDSIHRAGGSPWGVGVMLALLIVMVSYQSNFRDRWFPLVSREMKYMG >LPERR09G08620.1 pep chromosome:Lperr_V1.4:9:10203912:10205402:1 gene:LPERR09G08620 transcript:LPERR09G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVHLYLHRSLLLSSSSPPPPRLTLSLPNSDAAAAKPSVSLSPNHSVSDAFDGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAALLPAYLAHLAAAAGHLERSSRARRLHTNGAASSAAWSSVPFCHPSTFDTLALDPGLKSRIVDDLTAFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVNTNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGTKRRRLDDDDSSDSDSDGENQRGKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVSRYVGVEDHEMLDAAEGCIRDGGAEMTPAEVGELLLRNRDDPDAAVTELAVEMKARQSEADGLRWEDSAAELSDESPRKKGRGLGWEGKVRILGRLRSLTKSESGRRGV >LPERR09G08630.1 pep chromosome:Lperr_V1.4:9:10205760:10207097:1 gene:LPERR09G08630 transcript:LPERR09G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSDDTTQLAELCAIIDAAAAAETMGERRVASISAMIDEFHAVVRDDDDDVKTVLRRRRSSSSSRKMKKRGRWWRRVDGTSRTQCSYRQIETISNGGFGVVVKAENRDTGETVAIKTLRRRGGADADAYATGVLLREACFMAACGGNPHLVGLHGVARNPQTKEYSLVMEYVGPSLSDAMDEHVKRHGRGNTESTVRRTMRQLLAGVEAMHGRGVIHRNIKLSNILVVDGDGDAVKICDFGLAVSTAEAAPPCRWGGTDWYMSPEMLLEVPDYDELVDMWSLGCVMAKLLSGKALFRGEGINEQLDKIFDVVGVPGKKTRKAFESKSKLLAQKVRQWQWRARQSSPEQRRAGVDNRLRELFPETMLSQDGFEVLKGLLTFNPAKRMTASAALRHRWFAGADDSKSGGAAALFRKATLLMFLLVGVLIIAWALVRLSIGVTAGN >LPERR09G08640.1 pep chromosome:Lperr_V1.4:9:10206581:10209956:-1 gene:LPERR09G08640 transcript:LPERR09G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERAHAFASPSPASAAFFSTSGSAPSSSTTATSSVGRTPSSGPGLPINKKKKRPFRPVADDTKPVLRDPISRSDPVETAQAILLPGLKNQVPTRLAPTFFFCPSLPIVTAIIFYDCVTPMDSLTNAQAMISTPTSRNISNVAFLNNAAAPPDLESSAPANHRCLSAADAVILLAGLNVRSPFKTSNPSCDSIVSGKSSRSRSLDLDSKALRVFFPGTPTTSKILSSCSLIPSPRNNALPERSFAMTQPSDHMSTSSS >LPERR09G08640.2 pep chromosome:Lperr_V1.4:9:10207549:10209956:-1 gene:LPERR09G08640 transcript:LPERR09G08640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERAHAFASPSPASAAFFSTSGSAPSSSTTATSSVGRTPSSGPGLPINKKKKRPFRPVADDTKPVLRDPISRSDPVETAQAVLRLPPYYYQASRIKYQQDWLLPFSSAQVCPLSLL >LPERR09G08650.1 pep chromosome:Lperr_V1.4:9:10209496:10209975:1 gene:LPERR09G08650 transcript:LPERR09G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDSLTIGQQCRPISQPPRGTAVDAARPARSPPGPIEKSAESPQPTEQINGRTNPIQRNAQETVSGGVPRWVGEQEGELTGSRRTGLVSSATGRKGRFFFLLMGNPGPEDGVLPTLLVAVVEEEGAEPEVEKKAADAGEGLAKAWALSLPADMATVAR >LPERR09G08660.1 pep chromosome:Lperr_V1.4:9:10211408:10214802:1 gene:LPERR09G08660 transcript:LPERR09G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQTQDLVKELVHRLVSGESGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAAAAPPAAGVKPASRASGVPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSSNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWREGYLIQSDMLPAFISPVLAQRILRTGKSINFLKVCCDDSGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLLAHDNYLTSIVEKSLLGERSHGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKPKGKAKSKSKSKSKEADSWVDGGRKAMIQLAGELFRKMGEDLDSIAKDYTSSLDGFISQLPMQQHVDLKFLLFRLDFTEYYSRVSSNK >LPERR09G08670.1 pep chromosome:Lperr_V1.4:9:10215711:10216448:1 gene:LPERR09G08670 transcript:LPERR09G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSSSSSSSATAVPPPPSIAAAAAAALAVTVPYFCPKHVALATRERPPFPLFFKRCRDCRLDGIHEMDLPNYYSSSAAAVSSSVLAAPSSPRTLPLPLPTESSAYVFLHSSRSSTVWAVPRQSGLRSVTVSGDDDAAVVCAVCTDDIPPPATACRLPCGHMYHSDCFVQWLAQRNSCPVCRRRVPVFPDHGGDYTVEENSPSPLHTTTAADHRRRSLLGSRWIGKIFRRLLTYVEMSRPRQPN >LPERR09G08680.1 pep chromosome:Lperr_V1.4:9:10219773:10220858:1 gene:LPERR09G08680 transcript:LPERR09G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAASAGRHPPPTYPPPPPPNLATWDYFFGPPHLPPLSRSSSSSSYDSAEVQLPPPPPPPPPLNPPDHPLLRRMLSRSSSSSSSSTELLPLPPPPPPPPLISPHPPLRRVISLSSSLSPSTELLPPPPPFPLSPNSVTWEYYYFNSPESPEPPPPRVDSFVSHDHRRGGGGGSSSQAASRECIDALATVVVVSDDAAAAEDCAVCTDELQLESTASRLPCGHVYHTHCIVQWLSLRNSCPVCRRSIAGDTGETAPSPTPLDLPPPPPPPLTATIDQEIATSPRRSGPWMRTASDRRRSLPGARPAQPATNDLATTPPRSGPWPTTASDRRRSLPGARRIRRICRRLFGYMEMSRPGQPN >LPERR09G08690.1 pep chromosome:Lperr_V1.4:9:10223681:10223947:1 gene:LPERR09G08690 transcript:LPERR09G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALSSHEALVKVGVFVLVQALVYLILAQSSAVFSRTKSQIGLRPARSISVRRMLAALSDMPAGGEPSPVAAGRRSPVAAVDGRKKD >LPERR09G08700.1 pep chromosome:Lperr_V1.4:9:10226168:10230209:1 gene:LPERR09G08700 transcript:LPERR09G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNPNPTIRRLDVASPVPADIDIANSVEPLPIADIAAELGLKPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPANKEGKRRFADVMLRRLIKLGISKTDPAELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLSDMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGSDIGTEKFMDIKCRYSGLVPQCAIVVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNAALAAGAFDAVVCTHHAHGGKGAVELGLAVQRACESQSDSLKFLYPLESGIKEKIEAIANSYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTATGKVMGLS >LPERR09G08700.2 pep chromosome:Lperr_V1.4:9:10226050:10230209:1 gene:LPERR09G08700 transcript:LPERR09G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVPPTNYPKTLSSIIQCRRRPDATRRGEAINKCGRQRLGHPIVSQSNHHHHHSLQRSAPPPGRGEERVVVRSSAVVAPPPLPPPPPFEVPMTTPNPNPTIRRLDVASPVPADIDIANSVEPLPIADIAAELGLKPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPANKEGKRRFADVMLRRLIKLGISKTDPAELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLSDMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGSDIGTEKFMDIKCRYSGLVPQCAIVVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNAALAAGAFDAVVCTHHAHGGKGAVELGLAVQRACESQSDSLKFLYPLESGIKEKIEAIANSYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTATGKVMGLS >LPERR09G08710.1 pep chromosome:Lperr_V1.4:9:10231300:10232004:1 gene:LPERR09G08710 transcript:LPERR09G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEQSSHATPAMNNLIERHDPWEALDEAALAIIDETYAAALDIIGGAGVAVVEVKAAARAPDSDSPVVTVHSSATHCLVALNNNNNLRRRRRRQLPRALVSVSPGTLFLSRSSSFSGDDARPPSRDAAAGRGVTGILDMIVRRLGEAIRREEAILIKAMASGLRGPKVDEIFLVRNVMDEMRREMDLPALMRRIAHKRRDVTEIACRPAAAAATEEEEADETERMMKKLRLTC >LPERR09G08720.1 pep chromosome:Lperr_V1.4:9:10239996:10241233:-1 gene:LPERR09G08720 transcript:LPERR09G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGETAMADAGRELVLGLGIGVTREEAAAAATAETARRELEFGSSRCGGSSPEPTVRLTLLPMVPGLGGLSWPPSETRGFDVNRPPSCGAGAGAGAEEEEQDDAAGAALSSSPNNSAGSFPMDDFSGHGRDAAAAAGGGGGGGERSCSRASDEDDGGSARKKLRLSKEQSAFLEDSFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVATNSAPATAAAVAASSTAGPTTSAGIASAGGAAAAPDHRPSSFAALFTSPRGFPLSSGQQQQQQPPTSS >LPERR09G08730.1 pep chromosome:Lperr_V1.4:9:10262840:10265921:-1 gene:LPERR09G08730 transcript:LPERR09G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTILWLIYVSLASCLLYKVFVSNKNNNPKATSSGDRRRPPGPAPLFLLGNILDLKGEEPHLALADLAAKHGPVMSLKLGTTNAIVASSSAAARDVLQRYDHLLAARAVSDAGRALGNHEQSIIWLPGNSALWKRLRAVCTNHLFSARGLDATRAVREAKVRELVGYLSDRHGGGEDSVVDVGRVVFSAVLNLVSNVLFSEDVAELSSDRAHEMEMLVRNAVEEVTKPNLSDLFPVLAALDLQGRRRRTAVYISRFHDFFDEIISRRRQNAGGERKEDFLDVLLQLHSVDQLSLETIKSFLLDLFAAGTDTNSITVEWAMAELLRNPSAMSKARAELRDALGTKRHPDESDIGRLPYLSAVVMETMRLHPPSPLLMPHEAIADGASVGGYAVPKGAKVIVNVWSIMRDPATWARPEEFEPDRFFVGGADASSFRGGEMLEFMPFGAGRRACPGTPMATRVVTLILASLLHAFEWRLPDGMRPCDVDVRGRFGTSLNMVTPLKAVPVPMTRGKQRVYVD >LPERR09G08730.2 pep chromosome:Lperr_V1.4:9:10263919:10265921:-1 gene:LPERR09G08730 transcript:LPERR09G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTILWLIYVSLASCLLYKVFVSNKNNNPKATSSGDRRRPPGPAPLFLLGNILDLKGEEPHLALADLAAKHGPVMSLKLGTTNAIVASSSAAARDVLQRYDHLLAARAVSDAGRALGNHEQSIIWLPGNSALWKRLRAVCTNHLFSARGLDATRAVREAKVRELVGYLSDRHGGGEDSVVDVGRVVFSAVLNLVSNVLFSEDVAELSSDRAHEMEMLVRNAVEEVTKPNLSDLFPVLAALDLQGRRRRTAVYISRFHDFFDEIISRRRQNAGGERKEDFLDVLLQLHSVDQLSLETIKSFLLDLFAAGTDTNSITVEWAMAELLRNPSAMSKARAELRDALGTKRHPDESDIGRLPYLSAVVMETMRLHPPSPLLMPHEAIADGASVGGYAVPKGAKVIVNVWSIMRDPATWARPEEFEPDRFFVGGADASSFRGGEMLEFMPFGAGRRACPGTPMATRVVTLILASLLHAFEWRLPDGMRPCDVDVRGRFGTSLNMVTPLKAVPVPVLRP >LPERR09G08740.1 pep chromosome:Lperr_V1.4:9:10268871:10270465:-1 gene:LPERR09G08740 transcript:LPERR09G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLSPVFVFLSLLGIPLLYLLWRKTAKSPSGAPAAPPPPPGPTPYPLIGNIPDLLRGGELHRALAGLAASYGPVMLLRLGMATTVVLSSPDAAHEALHKKDGAISSRWVPDNANVLGHQEISMAWLPSSSPLWKHIRTLASTLLFTSRRLGASRGIRERKARELVEHLGARSGRRPVRVGLAVFGSVLNFISNVFFSEDVVEVGSEKEQEFQELIADSVAETAKPNISDFFPFLAALDLSRRRRSAARNLKRFYSFFDDVIDRRLNSGDKPGDLLDSLLDLHAKSQLERPLIRALMTDLFIAGSHTTTTTVEWAMAELLRNPSKMAKLRAELNEAFGKGGAVEEDKLASLPYLQAVIKETMRLHAPAPLLLPHQVSEPGVTLGGFSIPNGARVLINAWAIGRDPAAWSPEPEAFSPERFLDREADYWGRTLEFIPFGSGRRACPGIPLAVTVVPLVLAAMVHSLEWRLPEGMAPGDVDVTDRFGAVLELATPLWAVPVRD >LPERR09G08750.1 pep chromosome:Lperr_V1.4:9:10276091:10281427:1 gene:LPERR09G08750 transcript:LPERR09G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAGPIRPRRDGGGGGPAAGRETDGSNVWKDLLLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYSEKEPLLHNQSAMARKPKLGEFFEQSITARRVLLFIAVLGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPVVEGLSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFAIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINFILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRAPPILAMLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSIILALIMFGWYYGRQRKIEYEMKNKVTLERLGELLARPEVQRVPGLCFFYSNIHDGLTPILGHYIKNMSSLHTVTMFVTLRYLLVAKVDQSERILIKRLGPDGVYGCTVQYGYADNLSLEGGDDLAAQVMSCLQWHIQMIGDSRSPVSMEEEMAKLEAARIAGVVHVRGKMRFYVGKDAGWFDKVMLRFYEFLHGICRSALPILGMPLQQRVEIGMLYKV >LPERR09G08750.2 pep chromosome:Lperr_V1.4:9:10278467:10281427:1 gene:LPERR09G08750 transcript:LPERR09G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLCRHADIGILPSKRVYSEKEPLLHNQSAMARKPKLGEFFEQSITARRVLLFIAVLGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPVVEGLSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFAIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINFILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRAPPILAMLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSIILALIMFGWYYGRQRKIEYEMKNKVTLERLGELLARPEVQRVPGLCFFYSNIHDGLTPILGHYIKNMSSLHTVTMFVTLRYLLVAKVDQSERILIKRLGPDGVYGCTVQYGYADNLSLEGGDDLAAQVMSCLQWHIQMIGDSRSPVSMEEEMAKLEAARIAGVVHVRGKMRFYVGKDAGWFDKVMLRFYEFLHGICRSALPILGMPLQQRVEIGMLYKV >LPERR09G08760.1 pep chromosome:Lperr_V1.4:9:10286865:10288640:1 gene:LPERR09G08760 transcript:LPERR09G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQERDLQLLQCSWPFHAMEPTSSWDASSSSSSSGGGGDCFLLGWEPPFNCLGVLAATTGADVNSFFPLYMEESPGSLPPAPPAAAAQQQEAVALPEELDDLLLNFWDASEQQQQQQQQVAFNSSCILQLQEKTSSTATATTTNSNSFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCHADPHVSDVSGAQPQPTTQTPSGVRAPPRPTRPLKRAAADAEEYCSQSSKRRRETTSPPPEKSPASRVLCPFAVLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSAEKPGLSGKTVSGFTRLHTQGRGTITIIRTRG >LPERR09G08770.1 pep chromosome:Lperr_V1.4:9:10300065:10304229:1 gene:LPERR09G08770 transcript:LPERR09G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAANTIPFLAAAATTSRSPCPHDRPQPRAASATVALLWSPRLLAAGAVPMGLELSGPFALLVLLLLPRWRPRQETHSCFKGMSDPELRMVLELATEEELMEFEEILYGTSYFSPLLKSIAKRPNSDYINDLDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKENSNLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMVYESLAKRLSGKLLTEAGKYEIKKELLKQGGRLAAANLESRAGLLAARQGLARAASRYVGLRSIMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTCYIESHEE >LPERR09G08770.2 pep chromosome:Lperr_V1.4:9:10299905:10304229:1 gene:LPERR09G08770 transcript:LPERR09G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAANTIPFLAAAATTSRSPCPVLLAARPAPTSCRFGDGRAPVVATTPRRRRRPHGARTIRPLRPPRAAASPSLATAAGGMSDPELRMVLELATEEELMEFEEILYGTSYFSPLLKSIAKRPNSDYINDLDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKENSNLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMVYESLAKRLSGKLLTEAGKYEIKKELLKQGGRLAAANLESRAGLLAARQGLARAASRYVGLRSIMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTCYIESHEE >LPERR09G08770.3 pep chromosome:Lperr_V1.4:9:10300065:10304229:1 gene:LPERR09G08770 transcript:LPERR09G08770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAANTIPFLAAAATTSRSPCPHDRPQPRAASATVALLWSPRLLAAGAVPMGLELSGPFALLVLLLLPRWRPRQETHSCFKGMSDPELRMVLELATEEELMEFEEILYGTSYFSPLLKSIAKRPNSDYINDLDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKENSNLGVNKWMVLTDSAWKIGAKGLESAFLKLAKRLSGKLLTEAGKYEIKKELLKQGGRLAAANLESRAGLLAARQGLARAASRYVGLRSIMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTCYIESHEE >LPERR09G08780.1 pep chromosome:Lperr_V1.4:9:10304580:10306975:1 gene:LPERR09G08780 transcript:LPERR09G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKTMVVSLGSSRRRKRGETLFRFEAFCQPGYPANFAGAGGFRDNMRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEVAASPQPQCHLCRHIGWGRHLVCSKSYHFVLPRREPAAEADGLCFAINQHNGGGAGKASSKGTTTTTASSKGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRKVSLVDTARKGHMELRLLHGVAYGGTWFGRWGYRYGRPSYGVALASYQQSLHALSSIPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAAEPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAATGAGAGAKTRVRFQLTRAQLMRDLAHLYRHVLKEPSQALTAGAFGAIPVAVRMVLDIKHFVKDYNEGLVATASNGGFGHHPHINLCCTLIVSNGSPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFTAESVVGVGADQEGSPVLGIVDIGSAVVVQGSVGDQQRQETNGEEVHEAAVVAAVCEGSGDVVVDCVCGADDDDGERMACCDICEAWQHTRCAGIADTDDVPHVFLCSRCDNDVVSFPSLNC >LPERR09G08790.1 pep chromosome:Lperr_V1.4:9:10327007:10330268:1 gene:LPERR09G08790 transcript:LPERR09G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTAGPPPPPDEATTPEPIRSLQIATAASSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAATPAEAEAANMSPACGGVAVLEHEKQDHAPAAMSLSRTASSTSPSSDIVVSPVAWPCAPPMPSPQSAATAFRCRFDNPPSPPPPPPPSYNLELQLMPPFNAGVFYSAAPHPMVASHHGHGNDASTQLQLTIGGVDRRDEPMDEAAAIAAKAEAREQMRQAVAEKNAAEEARAQARRQVEIAEQELAAARRMRHQAQVELSRAHALREHAVRQVNATLLQITCFSCRHKFRATGTGQPVNVNGAISSDVACSYVSSVVTEVGDADEHIRLQHPNMDMI >LPERR09G08800.1 pep chromosome:Lperr_V1.4:9:10341901:10342806:1 gene:LPERR09G08800 transcript:LPERR09G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRQWWRRAAAAVMDRRSLLLSRVRPRRTWQCHHRELDAAVIRATSHEERWVEYRAAGRVFRWARASSPSSLAGPGPGPIVWALGRRARRTRRWAVALKALMLAHGVLLVSPRDVGRVPFELAKFRDRSAPPALSAFVRAYFRYLDYRSLLAAEEHLDIDSDVDKIAKLQLLLELLLQIRPYGDGMEVPLVLEAMDCALIEIFQVYSDVCTGVARFLVDGVQDKSTAAAGVNVLWKAVEQSAQLSSYLALCRSLGVVNARRLPDFERVVRHDDVREFEGLLARDAADEDVSQGAVESVR >LPERR09G08810.1 pep chromosome:Lperr_V1.4:9:10343824:10346261:1 gene:LPERR09G08810 transcript:LPERR09G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTLRTATLTLILVVVFIVVLSSVDAALCYILSWLLRKSAYRKCVPIAGICLVRKDKSRTTAIISSAYVDRSWPDPASFYERMNDVLQTGASGLVRRSLLSQYSLPSQRLPDSVQLDSNEGKWRQKKTKMKSECDWR >LPERR09G08810.2 pep chromosome:Lperr_V1.4:9:10343824:10348419:1 gene:LPERR09G08810 transcript:LPERR09G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTQ >LPERR09G08810.3 pep chromosome:Lperr_V1.4:9:10343824:10346381:1 gene:LPERR09G08810 transcript:LPERR09G08810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTLRTATLTLILVVVFIVVLSSVDAALCYILSWLLRKSAYRGRVVYRGERLAFISPDSRFSLCQDLIFCWGCFSWVS >LPERR09G08810.4 pep chromosome:Lperr_V1.4:9:10343824:10346261:1 gene:LPERR09G08810 transcript:LPERR09G08810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTDKSRTTAIISSAYVDRSWPDPASFYERMNDVLQTGASGLVRRSLLSQYSLPSQRLPDSVQLDSNEGKWRQKKTKMKSECDWR >LPERR09G08810.5 pep chromosome:Lperr_V1.4:9:10343824:10348467:1 gene:LPERR09G08810 transcript:LPERR09G08810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTQ >LPERR09G08810.6 pep chromosome:Lperr_V1.4:9:10343824:10346463:1 gene:LPERR09G08810 transcript:LPERR09G08810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSLLSPVIPHLPAGAADGNERRREAEESFAVAAPAGKRLGSAARGDSGGLAARLCRFPRRSSPGTSSTLHGDARREIPARWLLRPRPPARCLSPQARLPFVDATRLQLPRRSIVFFADLIRLAVANVWLRQAMGVRISVPVNTSLMTMRLCGWLWGLRSFVAFLAEQPRQLKHLEWPGFRNTQ >LPERR09G08820.1 pep chromosome:Lperr_V1.4:9:10346607:10350896:-1 gene:LPERR09G08820 transcript:LPERR09G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVGLGMEATRCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLIATLGEHKLYLKKDKSVVPLKEQLAAVVPVLESLKGKKEDRIKQFSDIQSQIEKIRSELSEYSDDDKKANTLIVDENDLSTRKLNNYQAQLRTLQKEKSDRLHKVLEYVNEVHRLCGVLGIDFVETVHGVHPSLHQNGLEQSTNISDRTLESLANTISNLKAERKTRIDKMRETMESLCKLWKLMDSSEGERRQFNKVMSVLISSEEEISSPGALSQETIEKMDAEVERLTKLKASRLKEIFMKRRSELEEICRTAHIEPDASTNPEQTNEMIDSGSMIDPSELLANIESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKKRYRDQKKLESILLKEKEAIFGSKASPKRAVSLNRRTNGYRSSVNSSGLMTPNPRRSSLGGATPELLTPRSCSGRYNRYFGDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLGSICTTY >LPERR09G08820.2 pep chromosome:Lperr_V1.4:9:10346607:10350896:-1 gene:LPERR09G08820 transcript:LPERR09G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVGLGMEATRCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLIATLGEHKLYLKKDKSVVPLKEQLAAVVPVLESLKGKKEDRIKQFSDIQSQIEKIRSELSEYSDDDKKANTLIVDENDLSTRKLNNYQAQLRTLQKEKSDRLHKVLEYVNEVHRLCGVLGIDFVETVHGVHPSLHQNGLEQSTNISDRTLESLANTISNLKAERKTRIDKMRETMESLCKLWKLMDSSEGERRQFNKVMSVLISSEEEISSPGALSQETIEKMDAEVERLTKLKASRLKEIFMKRRSELEEICRTAHIEPDASTNPEQTNEMIDSGSMIDPSELLANIESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPEEYRLNREQKEEEKKRYRDQKKLESILLKEKEAIFGSKASPKRAVSLNRRTNGYRSSVNSSGLMTPNPRRSSLGGATPELLTPRSCSGRYNRYFGDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLGSICTTY >LPERR09G08820.3 pep chromosome:Lperr_V1.4:9:10346280:10350896:-1 gene:LPERR09G08820 transcript:LPERR09G08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVGLGMEATRCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLIATLGEHKLYLKKDKSVVPLKEQLAAVVPVLESLKGKKEDRIKQFSDIQSQIEKIRSELSEYSDDDKKANTLIVDENDLSTRKLNNYQAQLRTLQKEKSDRLHKVLEYVNEVHRLCGVLGIDFVETVHGVHPSLHQNGLEQSTNISDRTLESLANTISNLKAERKTRIDKMRETMESLCKLWKLMDSSEGERRQFNKVMSVLISSEEEISSPGALSQETIEKMDAEVERLTKLKASRLKEIFMKRRSELEEICRTAHIEPDASTNPEQTNEMIDSGSMLCILLLLHSLRENLESGEMNASRSPR >LPERR09G08830.1 pep chromosome:Lperr_V1.4:9:10360621:10362040:1 gene:LPERR09G08830 transcript:LPERR09G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWALITSLHALAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSFITLMEMATDKVLYWIPLWYEAKGENGVHRGTNRMYVC >LPERR09G08830.2 pep chromosome:Lperr_V1.4:9:10360621:10362040:1 gene:LPERR09G08830 transcript:LPERR09G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWALITSLHALAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSFITLMEMATDKVLYWIPLWYEAKGENGVHRGTNRMYVC >LPERR09G08840.1 pep chromosome:Lperr_V1.4:9:10366620:10367898:-1 gene:LPERR09G08840 transcript:LPERR09G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSFPIIDMGLLGGEERPAAMGLLRDACENWGFFEILNHGITTELMDEVEKMTKDHYKRVREQRFLEFASKTLNDGQDVKAENLDWESTFFVRHLPESNIADIPDLDDDYRRVMKQFAAELEKLAERLLDLLCENLGLEKGYLTQAFRGPKGSPTFGTKVSSYPPCPRPDLVNGLRAHTDAGGIILLFQDDRVAGLQLLKDGEWVDVPPMRHSVVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVEEEAGEVYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETPNRIVIA >LPERR09G08850.1 pep chromosome:Lperr_V1.4:9:10376187:10378266:1 gene:LPERR09G08850 transcript:LPERR09G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSQFPVINMALLDGEKRPAAMEQLHDACENWGFLEILNHGITTELMDEVEKMTKDHYKRVREQRFLEFASKTLSDGQDVKAENLDWESTFFVRHLPESNIADIPDLDDDYRRVMKQFAAELEKLAERLLDLLCENLGLEKGYLTQAFRGPKGLPTFGTKVSSYPPCPRPDLVNGLRAHTDAGGIILLFQDDRVAGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVEEEAGEVYPRFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >LPERR09G08860.1 pep chromosome:Lperr_V1.4:9:10379574:10385231:1 gene:LPERR09G08860 transcript:LPERR09G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAFLAPLLFIAAAAAAISPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAGVLKGVATVAALDADAHKALAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALQQVKSLLRDRLNGKTSGGSGGKTSGGSSEKSEPSASIELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNKYLEFFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMGDNSPVNDEL >LPERR09G08870.1 pep chromosome:Lperr_V1.4:9:10387052:10388398:1 gene:LPERR09G08870 transcript:LPERR09G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPADEAAAAGSGSDTSDAEADAARGHASSPSPSKTPPHANPNPKSAAAAAPPSAAGPESPAGSDSDSDADAARGGARMEVDHPRPRSRSLDANSDSEDSALPVAYDDYADPAASAGAGADSDDGNASPLPPPRPSHAEAAAIKPISSRPMDPPRRSTGGASSEPRAKRPRSAAAEHSKRPSRVWSQADELVILRGLITFRTKRGVLPCSTQDIDKLYGYIRGQLSATVSVTQLSDKVRRLKQKYQLLATLTMANGNGTGVTAVNASVRGRGEFEKGKDVYPYLWETVEELSSQHPSGAVFKKAFELLEGSKAQVVEEKLRKFRLTEMRQQLRRMDLMKETLTMVLDALEMAD >LPERR09G08880.1 pep chromosome:Lperr_V1.4:9:10390998:10393541:-1 gene:LPERR09G08880 transcript:LPERR09G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSARSKKNSSCQLRSHYQRPFGQCHFKRVANQESTLAATEKYAWKDAICPVCLECPHNAVLLLSSKDCEDLDSIELTCPLCRGEVKGYTLVEPAREQLNQNKRSCMQDGCSYIGSYGQLCKHVRKKHPSVQPRSVDPMEAAFISEFTARYDLCNEFINGEKGFECYFEELMSSVWTEGDHVATNQSVLQMDEVNWADQ >LPERR09G08890.1 pep chromosome:Lperr_V1.4:9:10407452:10410894:1 gene:LPERR09G08890 transcript:LPERR09G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRHRLPLLILLLAAVSPTWAKSTLESCSSSTACPSLLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRIPVPCACSDGIRKATSVRYVARAGDTLATIAASVYGGLTTPDWIGDSNGILGAQPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAAKGETVAGVAQRYRTTATDLMSVNDMATADLAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSAGCSVTSCSYGGFVNGTILTKLTTALKPQCPGPHQYPPLIPPPTSTFFETYLGPSPTPMASEGGVNPMMAGMAPTSTPAASSGPPPASQYVVGDVLEAFALCLVANLLW >LPERR09G08900.1 pep chromosome:Lperr_V1.4:9:10412203:10415806:1 gene:LPERR09G08900 transcript:LPERR09G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLVLLLPILLPVDVAAAQDGFGFKATLTHVDANTGFDKAQLLSRAVARSRTRVAALRSSLAASTSTPTAADAITAARILLRYSEGEYLMDVGIGTPPRYFSAMVDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSPSYTTLTCSSPMCDALYAPLCFQNLCVYQAFYGDSASTAGVLANETFTFGTNVTRVAVPRVTFGCGNMNAGTLFNGSGMVGFGRGPLSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGGPVQSTPFIVNPALPTLYYLNMTGISVAGDLLPIDPSVFAINETDGSGGVIIDSGVTVTYLAQPAYGVVQQAFVEWVGLPRVNATPSDTFDTCFKWPPPPRGMVTLPELVLHFDGADMELPLENYMAVDGLGNLCLAMLPSDDGSIIGSFQQQNLHKSTESVRRRSREGAKTQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRSLADYNIQKESTLHLVLRLRGGTRGGYPKGIEPSLRELAQKYNENKLVCRKCYARLPLRSTNCRKKKRGHSNQIRPKKRFMSKFQGGDQVNQWKA >LPERR09G08910.1 pep chromosome:Lperr_V1.4:9:10419359:10420702:1 gene:LPERR09G08910 transcript:LPERR09G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIFVVLVVITSSLCGSPATDAAFAGDIRVDLTHVDDGKELPKNELIRRAMQRSKARAVALSVARRNGFYGLNVQAQAQQPGMPVRPSGDLEYVLDLAVGTPPQRITALLDTGSDLIWTQCDTCTACLRQPDPLFSPGSSSSYEPMRCAGDLCADILHHSCVRPGNACTYRYSYGDGTTTLGFYATERFTFTSTRDDVVVPLGFGCGTVNVGSLNNASGIVGFGRDPLSLVSQLGIRRFSYCLTPFASGRKSTLLFGTMADEVGLYDDATGPVQTTPILQSRLNPTFYYVAFAGVTVGARRLRIPASAFALREDGSGGVIIDSGTALTLFPAAVLAEVVRAFRSQLRLPFAEGSSPDDGVCFVSPATAGRIVRQVAVPRMVFHFQGADLDLPRDGYVLEDHRKGHLCILLADSGDDGATIGNLVQQDMRVVYDLERETLSFAPVEC >LPERR09G08920.1 pep chromosome:Lperr_V1.4:9:10423429:10426770:-1 gene:LPERR09G08920 transcript:LPERR09G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGGAATERRLLPRWILLCAFSFGLGMLFSDQFGSVPEWQNPVVAQRRQQEGKLQIVSEDFVAKPKPADDRDVMGEVAKTHEAIQYLDKSIATLQMELAAKRSTHELLGNANGISQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEKLKKLEEEKGIIIRFMIGHSATSNNVLDKDIDAEDAAHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHVNLVLTNVSDTGMLITTLGQHKLRPRVYIGCMKSGPVLADKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGIAAVFSKFRALQITMGNLDMIIRDENINTRLIGISRRIN >LPERR09G08930.1 pep chromosome:Lperr_V1.4:9:10429780:10436899:-1 gene:LPERR09G08930 transcript:LPERR09G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARQRSRARDEKAAPAADLRRRRLTCGGGREEGRRQQPPVTVSPHLVRGLHCQEGKKKMDPHHVQQQQYVDPYRTMVLSPQPDHLNALQYNQQQPTSQATPPPPQHHHASLASHFHLLHARLGNGAGWARTETIKGWGRGSEPGSFELRRQRLRFQRGVRLLMEERAPCDDGEMRWRFWIQRCAMEVLDPAMRDGGSGSGDSWWRCWVQRCAAETEARDGGAELMTRLADAIGKGTRNQHSDALVEDLTSQFARCQQLLNSISGTLSSKSITVEGQRKSLEETQQLLDQRKDLITKYRSSVEGLLKGDTTR >LPERR09G08930.2 pep chromosome:Lperr_V1.4:9:10429758:10436899:-1 gene:LPERR09G08930 transcript:LPERR09G08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWARQRSRARDEKAAPAADLRRRRLTCGGGREEGRRQQPPVTVSPHLVRGLHCQEGKKKMDPHHVQQQQYVDPYRTMVLSPQPDHLNALQYNQQQPTSQATPPPPQHHHASLASHFHLLHLMTRLADAIGKGTRNQHSDALVEDLTSQFARCQQLLNSISGTLSSKSILKDKGKVWKKRSSSLIRGKAPLKDSSRGIQQDRNFKFWN >LPERR09G08940.1 pep chromosome:Lperr_V1.4:9:10441991:10442257:-1 gene:LPERR09G08940 transcript:LPERR09G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRRQQWRAQDLAGAGGGEQGQRSGGPPRGGAARRRQAQESESVCGGKQGQRHGSPWRGSGVACATRRELHGGPSRAAPPALRKAT >LPERR09G08950.1 pep chromosome:Lperr_V1.4:9:10443202:10445074:-1 gene:LPERR09G08950 transcript:LPERR09G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >LPERR09G08960.1 pep chromosome:Lperr_V1.4:9:10445446:10449596:1 gene:LPERR09G08960 transcript:LPERR09G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGAWAAAATVLLWWMAAGAGAVWLEISPSASKCVSEEIQANVVVIGDYTVLYEHHHADPTVTVKVTSPYGDLVHHKEKVSTDQFAFTTAEAGNYLACFSIDGENKRLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLESAVQAIHENLLLLRSKEADMRDTSEKTNARVTLLSLMSLIVCIIVSGLQLWYLQQYFRKKKLV >LPERR09G08970.1 pep chromosome:Lperr_V1.4:9:10447389:10448756:-1 gene:LPERR09G08970 transcript:LPERR09G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCLVTTGFEDECREIHDACNQPSRLSLLLARRSSSDRQQIKATYHAMFGEDLAGELHKTLMTNQEDELCKLLYLWMLDPAERDAIMARDAVESRGATDYRVLVEIFTRRKQNQLFFTKQAYLARFKRNLEQDMVTEPSHPYQKALKKNGFSEFEQSLRIVVKCIYSPSMYFSKLLHRSLQCSANNKRLVTRAILGSDDVDMDKIKSVFKSSCGKELKDFIQESLPESDYRDFLVSVAKGSMAS >LPERR09G08970.2 pep chromosome:Lperr_V1.4:9:10447389:10448756:-1 gene:LPERR09G08970 transcript:LPERR09G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCLVTTGFEDECREIHDACNQPSRLSLLLARRSSSDRQQIKATYHAMFGEDLAGELHKTLMTNQEDENSCKFLPHHELPFQLCKLLYLWMLDPAERDAIMARDAVESRGATDYRVLVEIFTRRKQNQLFFTKQAYLARFKRNLEQDMVTEPSHPYQKALKKNGFSEFEQSLRIVVKCIYSPSMYFSKLLHRSLQCSANNKRLVTRAILGSDDVDMDKIKSVFKSSCGKELKDFIQESLPESDYRDFLVSVAKGSMAS >LPERR09G08980.1 pep chromosome:Lperr_V1.4:9:10451538:10454165:-1 gene:LPERR09G08980 transcript:LPERR09G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAATLSQRCSTASTTGCRFFQDGGWRPFCMLTSSRRAEQHRNSGGDHRRESGEHGRHPKSAAGATHSLFLSPAYSSSRAQPPPPPSLAVGLLSVLAQGGSTGGISGASSLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLSTSSSSAPPPSSSPPPAPAPSIRHRKALVSSASAGASSSPAIARNSGGGAAMSRSNWLSRWMNSCSDDAKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEVLDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIVGRSVLRYWPPSRITDTVYEPRADYSVAGTLESDLGRLGHAIP >LPERR09G08990.1 pep chromosome:Lperr_V1.4:9:10455511:10462315:-1 gene:LPERR09G08990 transcript:LPERR09G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSPAPQNPFQTPAQQAPSLSPSPFQFNLTQQQQQQSQPQQQAAPVAQPPQQQLMLYTADGKPAGYNTKWEELHSESQKALLQIEDKIREYRDESERLDQCSRLHDSSISNVNFEHDASQIAQELGGTTTMMEREKASVQELMTIVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSGPTGSQSNQPVALAPTIDFYSGIPKRPSLFMQQTVNRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQHVEPLKAEYLRVLRLFGDVNDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQNAGTLTSQPQQSLIPSGATSSSAFPSFSTPASAPSSSSLFSTPTTPTLSTNLFGTSGSAQLSTPFGTVSTPTLGSTPAPSGFGSTTPSFASTPALGGSTLFSTPFGEREVKTSGPTLGVVINLKCGCLVSFDPIPLDAIISVALSYLCCRVQRA >LPERR09G09000.1 pep chromosome:Lperr_V1.4:9:10465012:10471626:-1 gene:LPERR09G09000 transcript:LPERR09G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLGHLPRLLLRRHAHRRSKPSSAPPPPPPPTPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRIIGSSKDDVLMLEESDENIYLNIRHTKDFRFITLNVFSDTHSKVYLIDASDPLSRMTLVWEGESQVHCIVEHHHGRLYLFTNAAREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGREVKLCSVNLPFHEHMKVPARLSDFHPLELSLPNHVCQILSGPNYDYYSSTMRFTVSSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKALYGNSFAANMEKSSSERGDLSSEEFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQEGNPGLLQGHGAYGEVLDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFVSCGEFLLEKGIVQENKLAGWGYSAGGLLLASAINTRPELFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVANVREITLYDQERPVILNLTTDIVEESKYLQTKELALETAFLIKMINDT >LPERR09G09010.1 pep chromosome:Lperr_V1.4:9:10472073:10478151:1 gene:LPERR09G09010 transcript:LPERR09G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGTLATPTPTPATAPRHEMPLNPFSSYFLRSSSLRRSLLASLRKVSPAAVSPMASASTVAAAENGAAKPAEKQPPPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPEFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPFEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIAALCKENDVLLFSDEVYDKLVFEADHISMASIPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKKLVRFTFCKDDDTLIAAVERMKTKLRKK >LPERR09G09020.1 pep chromosome:Lperr_V1.4:9:10480220:10481342:-1 gene:LPERR09G09020 transcript:LPERR09G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFRNGDKRSGDWDSGTLITPFSPTDPSVQRAVQAAQRAAENAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >LPERR09G09030.1 pep chromosome:Lperr_V1.4:9:10486638:10489950:1 gene:LPERR09G09030 transcript:LPERR09G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLLLPSFPWPPPPPPGSSSGRRGGGGSGGDSGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEVRHLGALPRIGDIWFEEPNSFSTHLILGAFENVLFGPYACSSVLFNGNGSGGRYIGKGKLPSRRPSGINSKKRLWTNILLAVNILAYIAQISTQGKLLIWGAKINSMIDRGEFWRLATSSLLHANLAHLAWKCLLVLEDLLPCISLRHWQVGSYAVYTWRHRRFLGHGMESLEHIGRFVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSRDGRAVFKDNAPILQLINGKRLSLLNQGVTRAWLYTLYMNSYLIRLTTGSTEVSSRKICNHSDLVHLTTL >LPERR09G09040.1 pep chromosome:Lperr_V1.4:9:10491145:10495657:-1 gene:LPERR09G09040 transcript:LPERR09G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAAGLEIAGGGGGGGGVWPVARAIGRAVSFRCVFVLALAVGVLVPALLLLVPTRGHGFLSDDPDVLGGKFMDDLVFEVPSDPVVPVLYTPYSFRAYNKKESPYAPLDYILSYVLNIAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMHSLTSKYSTDVVFSVLPFPKDASISLPALSVLRSSLIAMMLNQVNLSLTQSLFGQPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQIMGNITELKDQLKLGLNLRSYEKVYLQFRNEAGSSVDAPATIEASVLDGSTNLLPYRLKQLAQLIKEPNERNLGLNHSVFGKVKGVQLSSYLQHSISALSPSPSPSPSPSPSPSPSPSSSVPPSLSPSGSIHYPVPPTYMNPSLPPQASSPLPDPNPCFPCLDCNRFPPAGSPEGKPPCFGRDPKLPPFIHSPQPSVAPSSPPTASYLSPAFPPIPSRGDPPNHLPGAVPGPTYQMMPIPSPSLPVFRPSRHPRKKRRSQATKSPPSIAPSPYCKYPIL >LPERR09G09050.1 pep chromosome:Lperr_V1.4:9:10491504:10494391:1 gene:LPERR09G09050 transcript:LPERR09G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDLGQAKARKLEEEDLGSYMLEVQGSGCSQRVTKMVVHLTKGMEMGKEMEMETEMDSEIKPRLNVVEPDCSGITVIPPGNLNSSTEDGWPKRDCVNDRNNRVTGNLKY >LPERR09G09060.1 pep chromosome:Lperr_V1.4:9:10495683:10496012:-1 gene:LPERR09G09060 transcript:LPERR09G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRETEKQNKTKRSKPPAFWLDNAGSIHVKTQKQKESKRATTVETSRRGHHRTRPTAAHQLRLEEEEEEEAPPPTSARRGRRRLSATASAETAAVGRRSGESVAVSSG >LPERR09G09070.1 pep chromosome:Lperr_V1.4:9:10501807:10503502:1 gene:LPERR09G09070 transcript:LPERR09G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAEWLTIRSASLRVLELRLDASAADKVEEGGGYLDNIGLARNLEELRLWGVALEAAPAWGRMDKLRVLEIVGAPLEDTTVKDAIAACPNLTDLSLLGCDCSGAVSIQLALLERCRLDFLGTGNCALSLVAPRVESLEVQGFTWITLGGGHRHRLLSIAKSTGRVYKVDTGKLPDLEYHSMRGVQWSWAALSSCARDVKHLVIKIEFSGRLLQQPPKSSQLDARFCIPCLEEALITVRSPLNAEQKLSTLESLVKYSVRLRSMVIRVSQMKNYHDAADDFFEEICKFKYMNRKKVRVE >LPERR09G09080.1 pep chromosome:Lperr_V1.4:9:10504512:10506982:-1 gene:LPERR09G09080 transcript:LPERR09G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLAVFVFTFSSSAITPARAAEALPLHKSHEAFGYENDTTGPANWATLQKEWAICGDGKRQSPIDINKVEPQKSKTPLMLTYKPGAATMQNRGHDFMLKWKDGNGKLTIEGKEYTLQQVHWHEPSEHTINGTRFEAEMHMVHEDPSKARAVLSVLFSTKAGLPSKLLTEMGPYFKNLAGKENGEEQVKQPVDPTTWLDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIADASKEQIDVFKSVTKRVEPNARPAQKLYDRIVRFYEA >LPERR09G09090.1 pep chromosome:Lperr_V1.4:9:10508370:10510678:1 gene:LPERR09G09090 transcript:LPERR09G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSLLPSFLYDGGAATGAPSGGGGGAPAIVAAAPSEPPFGKIEMFSPAYYSACAFGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTTSAFGVVMREQGARGFFRGWAPTFLGYSAQGAFKYGLYEVFKKQYTDMAGPENAAKYKTLIYLAGSATAEVAADVALCPMEAVKVRVQTQPGYARGLSDGFPKIVRNEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMAGLPTTGGAASPADAVPIRELAELKTSA >LPERR09G09100.1 pep chromosome:Lperr_V1.4:9:10513173:10515836:-1 gene:LPERR09G09100 transcript:LPERR09G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRSLAWLMLLLIIASVRDAAAGPLAVEIIRPNFTATSYEYIDTAGGAFLESRNGAFRAAVFNPGKQQERFYLAVLHAPSGTPVWSANHDAPTSSSGKVQLTAGGITVSDTSGTTLWSTPPLRSPVAALRLDDTGDLQLLDAGNATLWRSFDNATDTLLPGQQLLAGAYLSSAKGETDFSRGDYRLGVVTADVLLTWQGSTYWRLSNDVRAFKDTNAAVASMSVNASGIFAVAADGALVFRVSLPPAEFRVLKLGSDGRLRVTSFALVNSSAPISGDFVSPAGDCYLPLQCPSLGLCTAAGNSSTCTCPPQFAASVTIPGSCTPGDGSTLASPAVCQNNSGGAAVSYIALKPQIAYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDTASMSCRLLGGKQLGSLYMGASDTSLGYIKTFSSATKSGSNQNSSSPANHTVPIVLPSVAVFLLLVVLAWYLWWRSRMSKNGKKKKGKNSAMKMYLGRQKTPSADTGGYNADADDDDGDDEDIVIPGMPTRFTYQEITTMTSNFATKIGSGGFGSVYKGELPGGEGLVAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLADGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELVHGRKNRGEQEAPVAGENSDLPSGWSSAMTSTASGTSGGGDEYFPMVALDLHEQGRYLDLVDARLEGRVAEAEAARTVRVALCCLHEDPALRPSMATVVRILEGAVPPPEPRVEALGFLRLYGRSYPLPVPGSLTAMAGSGTMSNASSTAGGDSHLGEP >LPERR09G09110.1 pep chromosome:Lperr_V1.4:9:10529049:10530369:-1 gene:LPERR09G09110 transcript:LPERR09G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSSDCEAAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSSASTASPSPPPFFAPPHFPLFHHPGVAAAAAAQHHHHHPFVGDDGVVAAHGIGMPFPQPHWRGEPVPTRLLALGGPAPSSASAAADSGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGIGMPARKKPSAVNVLNNSGGSTTSSSSLTIAEEPSPPPQQQQHPLAADKSGGEAGSSSAARSSAPTMLFGVHLSAATCGAGSKRSSSPDEHPPTSPARKPRLVLECDDLSLTVAPSSSSPQQQPPSAASSPTSIC >LPERR09G09120.1 pep chromosome:Lperr_V1.4:9:10552883:10553638:1 gene:LPERR09G09120 transcript:LPERR09G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALTDQTLLMAAPTSPPISPMGTPSPQFSPPPPHMSITTTTTTNGGYHDQYNSMPATYGSTACAMPQQLDFAISSPGSDSGSGSGGAPHQGSSPTASASSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATAGVAAAHRSAAFGAAYPATLPLQHHAPW >LPERR09G09130.1 pep chromosome:Lperr_V1.4:9:10560326:10562278:1 gene:LPERR09G09130 transcript:LPERR09G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGPLASVVRLPGRSRVSASPSPRRRRSPSRSPYPRRHRRRDRSPSPYRDRRRDRSPSPYRDRRRQWSPYHRDRGRDVEREWARERDHPPAPRGGGGRGGGGAWSASDDDDDELKGLPYFEYRRLKRQKLRKSMKRCIWNITPSPPRREGEDDEDYGYSDGEEEDKKESPKKVASSDKSGGEDSKGSSESDSESESDGKADEDSEGSHDSEDSRDKRRKKRSRSHKKSKRRGRSSRRKKRKSHDTASEGSSEEEPEAASGSSPSPLRDSKKKSRSSRRKRSKQSDSEDQAPSDPDLAVKEIDETNVPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGSSHDPFATADG >LPERR09G09140.1 pep chromosome:Lperr_V1.4:9:10563121:10564086:-1 gene:LPERR09G09140 transcript:LPERR09G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDEILINIDGFRLYKDGRADRTGDLETAPAGFDVDTGVTSKDVLIDAITGVSARLYLPSIEAATNDDGKKLPIFVFFHGGYFVIGSADYPKLHRYINSVVASARVVAVSVNYRLAPEHLLPAAYDDSWAALNWAVSGADPWLSEHGDNSRVFLAGASAGGNIAHNMAITVGVRGLDAGAVPARIEGTILLHPSFCGETRMEGEPEEFWDSAKKRWAIIFPDAKAGLDDPRMNPMAAGAPSLTKLACERMLVCAAALDPRRSREQAYYDGVKTSAWGGKVEWIESEGEHHHFFVEKHDSGSHEAVKLMERVVDFIAGQ >LPERR09G09150.1 pep chromosome:Lperr_V1.4:9:10570484:10571898:-1 gene:LPERR09G09150 transcript:LPERR09G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCTEIVVDTGTFRLYKDGHTERTGDVETVPAGFDADTGVTSKDVVIDAVTGVFVRLYLPRIAVEEATDDDCKKLPIVVFFHGGYFVVGSAACPKHHSYINSVAARAGVIFVSVNYRLAPEHLLPAAYDDSWAALNWAVSGADPWLSDHGDTGRIFLAGGSAGGNIAHNMTIAAGAVPARIEGTILLHPSFCGETRMEGEPEEFWDSVRKRWAIIFPDAKGGLDDPRMNPMAAGAPSLRKLACERMLVCAASLDPRRQRERAYYDAVKSSGWGGEIEWLESEGEGHGFFHFIAKK >LPERR09G09160.1 pep chromosome:Lperr_V1.4:9:10575833:10581803:1 gene:LPERR09G09160 transcript:LPERR09G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMRGGCDDAPLGFRGVVDEEEEVSAVSASGRPMQRRRRRRWGEEADDGYSASSTGGGESSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSKVLKRRFLLVEASAAFAEPHDEEGDEVLCGVVEGDWAPLQESTKNPADCATGECLYQRCRSEAVLLQGRKGLKHRPASLDFGSPGFNGTLLSPGFVVGGVGLMNKGLVSSFIRSDVFPSPRTPNYRRHHSSQFGYQKGWSSERVPLASKGNRKYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVFEKTSSAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNGKVGHLTTNSPFLAGVLLPEHYCGDKDNVGRYKSKTAGEEVSIGVGGRSCIANGGSHATQSNRVRQRLDTAIESSQSLPRTQESVQDEQVGIAENSVSVITPIILRKDAATQTSPNLSRSTSPSVSTPFIHLLTTHQVREKESCFSDVIRDVHIDDRVTLTRWSKKHDTRASSKNSTNVLEVKKKTVESKSSSWELTEAKSISKIGREQEKIAAWEHLQKAKAEAAIKKLVMKIEKKRSSSLDKIWSTLRSAQRRAQAMHESAAANQDEQPSRKAKRTSHLTKNGQISSLSGCFTCHAF >LPERR09G09170.1 pep chromosome:Lperr_V1.4:9:10587082:10591539:1 gene:LPERR09G09170 transcript:LPERR09G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRRREEAMEFKNGGSSSDRRPPAAEGATLARQGSVYSLTFDEFQSALAGGGGVGGGGGSGFGKDFSSMNMDELLRSIWTAEESQAMASASGSASGLGMAMGAPPPATSLQRQGSLTLPRTLSAKTVDEVWRNLVRDDPPPVGADGGGGGSEMPPHRQSTLGEMTLEEFLVRAGVVRENPPAPLPPMPPQMPPQPVPVAPKTTAFLGNFPGANDAAAASLGFAPIGIGDPVLGNGLMPRAVGLPGGALAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNKELERKQAEIMEMQKNEVEEMIKDPFGRRKRVCLRRTLTGPW >LPERR09G09170.2 pep chromosome:Lperr_V1.4:9:10587082:10591539:1 gene:LPERR09G09170 transcript:LPERR09G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRRREEAMEFKNGGSSSDRRPPAAEGATLARQGSVYSLTFDEFQSALAGGGGVGGGGGSGFGKDFSSMNMDELLRSIWTAEESQAMASASGSASGLGMAMGAPPPATSLQRQGSLTLPRTLSAKTVDEVWRNLVRDDPPPVGADGGGGGSEMPPHRQSTLGEMTLEEFLVRAGVVRENPPAPLPPMPPQMPPQPVPVAPKTTAFLGNFPGANDAAAASLGFAPIGIGDPVLGNGLMPRAVGLPGGALAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAEIMEMQKNEMITIVKNISDFQNCRRNDKGSVWKEEEGLLAKNIDWSLVMNEW >LPERR09G09170.3 pep chromosome:Lperr_V1.4:9:10587082:10591539:1 gene:LPERR09G09170 transcript:LPERR09G09170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRRREEAMEFKNGGSSSDRRPPAAEGATLARQGSVYSLTFDEFQSALAGGGGVGGGGGSGFGKDFSSMNMDELLRSIWTAEESQAMASASGSASGLGMAMGAPPPATSLQRQGSLTLPRTLSAKTVDEVWRNLVRDDPPPVGADGGGGGSEMPPHRQSTLGEMTLEEFLVRAGVVRENPPAPLPPMPPQMPPQPVPVAPKTTAFLGNFPGANDAAAASLGFAPIGIGDPVLGNGLMPRAVGLPGGALAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAEIMEMQKNEVEEMIKDPFGRRKRVCLRRTLTGPW >LPERR09G09180.1 pep chromosome:Lperr_V1.4:9:10597727:10598911:-1 gene:LPERR09G09180 transcript:LPERR09G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPAAHAAGLRRLSTRAAAGPASASASPRHGLHSFDGVAAAVLSHLRANGVAVLPGLSDAEFARAEAEMGFTFPPDLRAVLARGLPSGPGFPDWRGRAGLRAAFDLPAAAAALQIAKGALWPRCWGPRPADPDRARRVARSSIRRAPLLVPLFDRCYLPCRPCLAGNPVFFITDDSVLCCGLDVLHFFTRESSFQPLDVSSPSAAVTPSSSSGICTPYTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTSSSSSSSFSSGCCSPPPRSTPLWVDNYLDKLGTVLKQGGWRDREVNEMVEVSASGFFDGDEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDALGLNLCRRKERPRAAVQIPPEIAAMVQRLAQAVARS >LPERR09G09190.1 pep chromosome:Lperr_V1.4:9:10619053:10625876:1 gene:LPERR09G09190 transcript:LPERR09G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVAVAKQQHKGGGGRGGGGGGGPAPFLTKTHQMVEENATDEVISWGKEGRSFVVWKPVEFARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTTPQSSKSCGSGGVNVAFPPPLPPLPPAPSATTSSGNDRSSSSASSPPRADITSENEQLRKDNHTLTTELARARRHCEELLGFLSRFLDVRQLDLSLLMKEDMRAAAAEAGGGERFEQEEHGREEKCVKLFGVLLDDTHGAASRKRARCEEAAASERPIKMIRIREPWVSVPSSGPARCGGGDN >LPERR09G09200.1 pep chromosome:Lperr_V1.4:9:10629347:10632774:-1 gene:LPERR09G09200 transcript:LPERR09G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCRQVIWMAASFVILICFISLAFMSYVHYASRQRRKGHGDGHEGTLRLPPGSMGWPYIGETLQLYSQDPNTFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGPLGPEALRALVPDVEAAVRSTLASWDGKVSSTFHAMKRLSFDVGIVTIFGGRLDERRKEELRQNYSIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGDAGGDLLGCLMQSRATGDGALLADEQIADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAVRDANDGGRLPLTWAQTRSMALTHKVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKSWRENSASVDTDGCQNGDNDDYGVAIV >LPERR09G09210.1 pep chromosome:Lperr_V1.4:9:10652567:10654341:1 gene:LPERR09G09210 transcript:LPERR09G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQIATPCGLLLVALLWFTPNVVHAQTQILFQGFNWDSWKKQGGWYNMLKGQFDFDCVHAGYMPGRLYDLNASKYGTKAELKSLIAAFHGKGIKCVADIVINHRCADDKDSRGVYCIFKGGGPRGCLDWGPSMICSDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSPAIAKTYVQNARPSFVVAEIWSSLSYDGDGKPAANQDGERQELVNWVKQVGGPAMAFDFTTKGILQSAVQGELWRMKDGNGKAPGLIGLLPEKAVTFVDNHDTGSTQRMWPFPSEKVMLGYAYILTHPGVPCIFYDHVFDLNLKQEINALAAVRKRNGINAGSKLRILAADSDIYVAMVDERVITKIGPKMDVGNMIPSDFRIVAHGNDYCVWEKSGLRVPEGRR >LPERR09G09220.1 pep chromosome:Lperr_V1.4:9:10656955:10658440:-1 gene:LPERR09G09220 transcript:LPERR09G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTEAELRTLIAAFHGKGIKCVADIVINHRCADYKDNRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNSRVQSELSDWLNWLKTDVGFDGWRLDFAKGYSAAVAKTYVDNTDSSFVVAEIWSNMRYDGNGEPSWNQDADRQELVNWAQTVGGPASAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHSGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHAVAHGNNYCVWEKSSLRVPAGRHH >LPERR09G09230.1 pep chromosome:Lperr_V1.4:9:10664986:10667221:1 gene:LPERR09G09230 transcript:LPERR09G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFTKMSCLLVLLCLGSHLTQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIATTGITHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTEAELRTLIAAFHGKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWVPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNSRVQSELSDWLNWLKTDLGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMHYDGNGEPSRNQDADRQELVNWAQTVGGPASAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHAVAHGSNYCVWEKSGLRVPAGRHH >LPERR09G09240.1 pep chromosome:Lperr_V1.4:9:10669706:10670494:1 gene:LPERR09G09240 transcript:LPERR09G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQDSCKTNLDDHGGSQASSSAQWISSEQEHGIIVAALRHVLSGYTTPPPEIVTVACGEKCALCGIDGCLGCDFFGADAAADGPEETVIATDNARATAAAGGGGQLRVRRRRKKNVYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGARAKLNFPCSETLLPFGNGGDAVTAAATGTATEVMTPATNSPCSADAEETAAVDWQLGVEDEAGSNQLWDSLQDLMKLDEPDIWFAPFSGAESSF >LPERR09G09250.1 pep chromosome:Lperr_V1.4:9:10672890:10678097:1 gene:LPERR09G09250 transcript:LPERR09G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNVEGVHVSGDPNSVDESSSSNELKTTSSSSFPSSFSIRHARHSSEDLSSLTINNLRVNNGEDNFDSQFEEKQMHGHAHTRRFSEDLSSLTINDLCANKAEENCDKHLERKKISRHNSTERNIFKAAEIAERFIQTIDNRVLVDTTAPIESVKDAVSKFGGILDWKERRKHVQVELDKVQEDAPVYKRRAEVTEVEKSKILEELCCTRRIIEGLKIDIDKSQIEAMQVQQDLELAEIRLKEMQQGIAQKESDIIKAEVELDNERRATALADLHSVKTELDQLQEEYTSLISQRDNAEAKAHESLIISKEIEKTVDDLTIKIITVKELLTSLKASQIIAEEQKRNVALAYQQDKVNWQHELKQVDDKIQKLNDDLSLNKDLESDLQVASTLLMNLRSEFNAYMEGTLPKVSNDAIEDERPMVTVRLKLAQTRKELEDMRIDIERAKDEVKSLWNAAATLRADVEKDKINLMTLRQKENLAFVSALSLQEELNKIAFELSMVEERTKAAKMPLELQQASQTVEHAKANALLARNDMEKAREEADQAQSELNVVQLRIEATSREILAINASREIAMASANTLQYYDHEGELDIERKNNNITLSVEEYNVLCKKVQDAEDLAKKRVIKAVDKIKEAKETEARSLDRLDELIKQIDDRRVALREAHDKANVAHDGKLAMENELRKRRAQHEKQRQTSEASFSSLKNTSASFDAAGSSGSNPYKYQMLLPRADTIATTTVAESRPRRSFFPRSLVAMFMFRRKTHLK >LPERR09G09260.1 pep chromosome:Lperr_V1.4:9:10676755:10678478:-1 gene:LPERR09G09260 transcript:LPERR09G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPTRPPRRPPPLPSKTSGFWPVVLLVVLCFTVLPLFVALSRARPGLSDASQMGVTVGVHEEDPAAKPPESSPASRDTLLGGLLSPDISENTCPSRYQSSLHRKTPSPHSPSPYLASRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECNYLVWTPCVGSHLGDRMLSMASAFLYALLTHRVLVVDVTDDMDGLFCEPFPAASWELPAGFLVHNLTQLRRDSEHSYANLVAAKKIKINDGDDPAGVRSESLPSYAYIHLEHDYKLPDQLFFCDDDQTVLAKVNWLILRSNLYFAPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYYTSYMANFEEKIEIQITTFAGSKVSSEEYFNQIVACTSQEKILPEIDPNATSSTNVTASKAVLVSSAQSEYAEKLKAMYYEHATVTGELVSVLQSGAGGKQTQNQKALVEMFLQSYCDVSVVSGWSTVGYVGHGLAGVKPWLLLTERNQTATANATCVQPTSMEPCFHAPPRYDCRAKKDGDLGAVLRHVRHCEDANDGLKLYD >LPERR09G09270.1 pep chromosome:Lperr_V1.4:9:10679103:10679780:1 gene:LPERR09G09270 transcript:LPERR09G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAMADVNCRCSRFVYVGNIAFHASEDELRNACELIGPVRSLRLAADSATNKRKGYAFVEYPDDETARSACRNLNGHFLRGRELRVGLADRRRGLRGNDRDPVGMEDAVHAASLVVTGRPLESVTRYLAALSRRELREMVESHGAAEVIELMRQHVPRLATAMEQAQRLLDMFAGDEEELSRKKMKHASDSQQAGADQQQAKLSKVVGGDNGVSRIVPCF >LPERR09G09280.1 pep chromosome:Lperr_V1.4:9:10684310:10692519:1 gene:LPERR09G09280 transcript:LPERR09G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVLEEVQHMYKQHVCHHQQLLRRTSWAKNNEFLKKLPPRQNKAEVSTLKPATTISLRLHHSPPMIPFSLLAVVLAIGIAGANGLPGYQINCGATLETVVGDVTWVPDTRFVTAGNATDLQSPGVLPVLSSLRYFPDTTARKYCYVIPAERKRKYLIRTTYFYGGFDGGGAPPVFDQIIEGTRWSEVDTAGDYAKGLATYYEAVVLATGKEVSVCLARNAATKSSSSPFISALEVSPLDDSVYNSTDFESYALSTIARHSFGHDVSASPRYTGDQFNRFWEAHSDGGMPAVESEARVSPAALWNKPPEDVFRRGVTTAGRGERLELQWPPAPLPAASYYVALYFQDSRAAGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGQTKITLTPAADSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALVRGFDNPPADWAGDPCLPQGNSWTGVTCTQGPLARVVALNLTNFNVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSPLHHLVCTEQYTARDDPKQPQEQDRHYVPIYTWEQPQLIQIQQLESSDHPILLLPRAHRHIACFPVTPTVLSSHSSPASDDPGDPDANPTSISMTPANPTPLLSSRPNPSLPLRRCFRRPHTPTPPAAANTTGAASTPDWFRPRSPPESDPSTSGGRVAARDPGVRVKAKEGGEEKRKGTGSGRRRWWDWWSGDRESYLVDDVEPLPIPLTVPGTEPMSREELDRRLSCDVEIEDCKTISYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITLRQDIENMDELDNGKPP >LPERR09G09280.2 pep chromosome:Lperr_V1.4:9:10684310:10692292:1 gene:LPERR09G09280 transcript:LPERR09G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVLEEVQHMYKQHVCHHQQLLRRTSWAKNNEFLKKLPPRQNKAEVSTLKPATTISLRLHHSPPMIPFSLLAVVLAIGIAGANGLPGYQINCGATLETVVGDVTWVPDTRFVTAGNATDLQSPGVLPVLSSLRYFPDTTARKYCYVIPAERKRKYLIRTTYFYGGFDGGGAPPVFDQIIEGTRWSEVDTAGDYAKGLATYYEAVVLATGKEVSVCLARNAATKSSSSPFISALEVSPLDDSVYNSTDFESYALSTIARHSFGHDVSASPRYTGDQFNRFWEAHSDGGMPAVESEARVSPAALWNKPPEDVFRRGVTTAGRGERLELQWPPAPLPAASYYVALYFQDSRAAGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGQTKITLTPAADSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALVRGFDNPPADWAGDPCLPQGNSWTGVTCTQGPLARVVALNLTNFNVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSPLHHLVCTEQYTARDDPKQPQEQDRHYVPIYTWEQPQLIQIQQLESSDHPILLLPRAHRHIACFPVTPTVLSSHSSPASDDPGDPDANPTSISMTPANPTPLLSSRPNPSLPLRRCFRRPHTPTPPAAANTTGAASTPDWFRPRSPPESDPSTSGGRVAARDPGVRVKAKEGGEEKRKGTGSGRRRWWDWWSGDRESYLVDDVEPLPIPLTVPGTEPMSREELDRRLSCDVEIEDCKTISYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIAKLKSKAAGRPTGRLLSARDRQFSDLMKIYKPDDDEMKKVFNKIAGEHGQITRKDLKELLERFGKADAADEARRMVCVADFKKDGFMDFEEFMEVHKSGVQLGDIRRAFFVFDQDGDGRISAEEVKEVLHKLGDVCSLDDCRKMVKEIDRNNDGFVDMDDFMAMMTRSRKKH >LPERR09G09280.3 pep chromosome:Lperr_V1.4:9:10684310:10692519:1 gene:LPERR09G09280 transcript:LPERR09G09280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVLEEVQHMYKQHVCHHQQLLRRTSWAKNNEFLKKLPPRQNKAEVSTLKPATTISLRLHHSPPMIPFSLLAVVLAIGIAGANGLPGYQINCGATLETVVGDVTWVPDTRFVTAGNATDLQSPGVLPVLSSLRYFPDTTARKYCYVIPAERKRKYLIRTTYFYGGFDGGGAPPVFDQIIEGTRWSEVDTAGDYAKGLATYYEAVVLATGKEVSVCLARNAATKSSSSPFISALEVSPLDDSVYNSTDFESYALSTIARHSFGHDVSASPRYTGDQFNRFWEAHSDGGMPAVESEARVSPAALWNKPPEDVFRRGVTTAGRGERLELQWPPAPLPAASYYVALYFQDSRAAGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGQTKITLTPAADSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALVRGFDNPPADWAGDPCLPQGNSWTGVTCTQGPLARVVALNLTNFNVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSPLHHLVCTEQYTARDDPKQPQEQDRHYVPIYTWEQPQLIQIQQLESSDHPILLLPRAHRHIACFPVTPTVLSSHSSPASDDPGDPDANPTSISMTPANPTPLLSSRPNPSLPLRRCFRRPHTPTPPAAANTTGAASTPDWFRPRSPPESDPSTSGGRVAARDPGVRVKAKEGGEEKRKGTGSGRRRWWDWWSGDRESYLVDDVEPLPIPLTVPGTEPMSREELDRRLSCDVEIEDCKTISYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITLRQDIENMDELDNGKPP >LPERR09G09290.1 pep chromosome:Lperr_V1.4:9:10697869:10698198:1 gene:LPERR09G09290 transcript:LPERR09G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGVERSKNRRTRGIDEYNRYHHSFDEKEVREFKEWIRKFDTDRDGRISRDELRQAMRAVGVRFTGIKCRRGMNLADADGDGYIDDSEIDGLIEYWGRRLGLDVVAY >LPERR09G09300.1 pep chromosome:Lperr_V1.4:9:10698323:10701661:1 gene:LPERR09G09300 transcript:LPERR09G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVEGSKKGKRTRGIVGGQHIPFNENTVREFKEWLRQFDTDRDGRISRDELRQAMRAVGVKFTGIKCRRGMNLADADGDGYLDDSEIDGLIEYWGKRLGLGVVAY >LPERR09G09310.1 pep chromosome:Lperr_V1.4:9:10706283:10706573:1 gene:LPERR09G09310 transcript:LPERR09G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNMTVATRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADVDGDGYIDDGEVDGLIEYAQKSLGLRIVAY >LPERR09G09320.1 pep chromosome:Lperr_V1.4:9:10717432:10718976:1 gene:LPERR09G09320 transcript:LPERR09G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHHHQSSSSFMAPRISFSSDFALEPPPPPHPATRAQGDADFEFSVGSHPMMAADQLISKGRLLPLREAPHGHGHAGAVDRPVTLREELRTDSRHGRVPRAPNIRWKEFLGLKKAPKKAPADADAAAAGASSSSADIQMVQIKQC >LPERR09G09330.1 pep chromosome:Lperr_V1.4:9:10724145:10725184:-1 gene:LPERR09G09330 transcript:LPERR09G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTPRPRSPPSPPLFNPTTCPPPPPQLLLSPLHDFSFDFYLSSSSPPPPPSTHHRNTIPLTAYAAADDMSRTPPLGRVGSDLSHNNYGKPNKSKNNKASSSSSTFFSGFGGSWRSSAGESRVVDTTAAGKTKAKRGLDDVGQWVKKYMASMVDHLLASFSGKHGEREQKQRRRKPHSFSARGPTAVREQRERWRRRRGQLSSAPASLRASPANSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSISVPTD >LPERR09G09340.1 pep chromosome:Lperr_V1.4:9:10734268:10738436:-1 gene:LPERR09G09340 transcript:LPERR09G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLRTEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSREEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSVYNLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLSFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIAFKFRRKHPDVSFKLDREYAEPDEVEEIFEDGSALLSKRLAAGYALQSMFEPLSCAVCQVQLKAGQGISVHSNPLQHEKLLGWQGPFLCQSCNEKKDAMEGKRPPRGIANSLCYLVSLDNIVRLLICSLILI >LPERR09G09350.1 pep chromosome:Lperr_V1.4:9:10740068:10749440:1 gene:LPERR09G09350 transcript:LPERR09G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKALCVALAQKGLFVTIVDFSDENGREAVLLVQNENKKFHGDLKVPSAIFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAVRTLVYDDTSDGTSTWRNSINVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMKFDPIYSGTKAGVVMFTRSLAPLKHQGVRVNVLCPEFVQTNMAEQLNRRIVDATGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYLLNPPKSKRILTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLDRVRLRLPVEPHSALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDPGFEAVGIVASVGDSVNHIKVGTPVALMTFGTYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKSKAALLASLGVDRVINYQNENIKDVLKKEFPRGADIIYESVGGKMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPQNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYTSGKLKVSLDPKKFMGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLSKL >LPERR09G09360.1 pep chromosome:Lperr_V1.4:9:10749182:10751648:-1 gene:LPERR09G09360 transcript:LPERR09G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVKAVPAVSSSCGKRETDNACVDMPKKAKKGRSPPEEEVEAFLAAAESSVARRFKAKYNYDVVKDAPMDGRYEWVRAMADMSRGRDSALFAISTDEDQLDPVVSQNCSP >LPERR09G09370.1 pep chromosome:Lperr_V1.4:9:10759767:10761653:1 gene:LPERR09G09370 transcript:LPERR09G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYNPKLPGTNHYCKSISSLIKETYAHCHVPCVRIPAAGWSSGDDSDDDGSYLDDVLDTKQVIMNEMRNRQMKKRSRCSVDSPTLSPAFVWPFTPLDPRSVLEKFPSPNESVTEEEKTTKGKEESVAADDDGGDGDADDESEAFFSVKSFFSRSTSRGATVASSVFGVDPPPPLQSPARWEGLRDCDGWPFGLCRLPAVPPLPSTPADSWQWRRKRSSNLAASPAHAYSYKATPTRSRS >LPERR09G09380.1 pep chromosome:Lperr_V1.4:9:10764925:10774601:1 gene:LPERR09G09380 transcript:LPERR09G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINGGATVSGCWMATQPRRRRTVHGLLRPSYGATTVSPRLKGFGKWRMVSRSTSQKNSSPPVTTTEPEDDEVVLESPAHFRIYKSGKIDRLNRPPVLSAGVDEATGVTSKDVLLDADTGVSVRLFLPKTSDPSKKLPVVVFFHGGAFFIESAGSATYHNYVNSLAAAAGALLVSVDYRLAPEHPLPAAYDDSWAALQWTVSSSAQDGWIAEHGDTPRLFVAGDSAGANIAHEMLVRAAANGGRPRMEGAILLHPWFGGSKEIEGEPEGGAAITAAMWYYACPDAAAGADDPRLNPLAPGGLTAMKELACERLLVCAGGKDVLAARNRAYYDAVAASAWRGSAAWLESEGEGHVFFLGKPECENAKQVMDRIVAFINEA >LPERR09G09380.2 pep chromosome:Lperr_V1.4:9:10764925:10774601:1 gene:LPERR09G09380 transcript:LPERR09G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINGGATVSGCWMATQPRRRRTVHGLLRPSYGATTVSPRLKGFGKWRMVSRSTSQKNSSPPVTTTEPEDDEVVLESPAHFRIYKSGKIDRLNRPPVLSAGVDEATGVTSKDVLLDADTGVSVRLFLPKTSDPSKKLPVVVFFHGGAFFIESAGSATYHNYVNSLAAAAGALLVSVDYRLAPEHPLPAAYDDSWAALQWTVSSSAQDGWIAEHGDTPRLFVAGDSAGANIAHEMLVRAAANGGRPRMEGAILLHPWFGGSKEIEGEPEGGAAITAAMWYYACPDAAAGADDPRLNPLAPGGLTAMKELACERLLVCAGGKDVLAARNRAYYDAVAASAWRGSAAWLESEGEGHVFFLGKPECENAKQVMDRIVAFINEA >LPERR09G09380.3 pep chromosome:Lperr_V1.4:9:10768519:10774172:1 gene:LPERR09G09380 transcript:LPERR09G09380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPEQFGILEINCSKDVKIQGIIGPCTSLEKELIGGFDQEAAAAVLARLVSFKMETEYLALDYFQADFDPIRWLDQALIRLCSKFGDYQKETPSSYSLSPLLSIFPQFIFNLRRSQFVQVFNNSLDETAYFRMILNREDVANTVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDREDHEVFSQLLKAPHEEAETIIRERFPVPRLVVFDQYGSQKNSSPPVTTTEPEDDEVVLESPAHFRIYKSGKIDRLNRPPVLSAGVDEATGVTSKDVLLDADTGVSVRLFLPKTSDPSKKLPVVVFFHGGAFFIESAGSATYHNYVNSLAAAAGALLVSVDYRLAPEHPLPAAYDDSWAALQWTVSSSAQDGWIAEHGDTPRLFVAGDSAGANIAHEMLVRAAANGGRPRMEGAILLHPWFGGSKEIEGEPEGGAAITAAMWYYACPDAAAGADDPRLNPLAPGGLTAMKELACERLLVCAGGKDVLAARNRAYYDAVAASAWRGSAAWLESEGEGHVFFLGKPECENAKQVMDRIVAFINEA >LPERR09G09390.1 pep chromosome:Lperr_V1.4:9:10773255:10777059:-1 gene:LPERR09G09390 transcript:LPERR09G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRKPPGLGAPVRGVDGDHENAGGGGERVEVVVVGGGIGRLDEKAAAVEVDEDGELLGGVLAGEVGEVEAGGEAGVGVDDDVLGGDAGGLVDAGGKDGWPVQAIDLAALVDAKVRRRL >LPERR09G09400.1 pep chromosome:Lperr_V1.4:9:10787097:10801124:1 gene:LPERR09G09400 transcript:LPERR09G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESNAADEVLLGQDALRIYRSGKMDRLHRPVLVPAGVDAATGVTSKDVVVDADSGLSVRIFLPTDRPDPSKKIPVVVFFHGGAFVIESAVSTTYHTFAASLAAAAGAVVVSVEYRLAPEHPVPAAYDDAWAALQWAVSGKDEWLAENGDFGRLFLAGDSAGGNMVHHVLLKSASSDPAPPKIEGAILLHPWFGGNTLVDGEPEEVARDMAKIWVFACPGAVGGADDPRFNPTAPGAAAALENIRCERMLVCTGEKDWAGARGRAYYAAVTASAWRGSAAWLESEGEGHVFFLEKPECEKTKEVMDRVVAFISGSRGIWKARTTAEHQQQQKAAMDPAPATTELRFDSPLLRIYNDGRVERLFGTDTTPAGFDAATGVTSKDVVIDADTGLSARLYLPAASDPGHPKKKLPIVV >LPERR09G09410.1 pep chromosome:Lperr_V1.4:9:10801212:10801574:1 gene:LPERR09G09410 transcript:LPERR09G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVNYRLAPEHPLPAAYDDSWAALNWAASASDPWLSEHGDIGRIFIAGDSGGADVVHNIAIMAGAGQFSLPPNSMVEGAIILHPMFSGKKPIDGEYTEVRELMHKLWSQLLCQGTEAG >LPERR09G09420.1 pep chromosome:Lperr_V1.4:9:10801594:10801836:1 gene:LPERR09G09420 transcript:LPERR09G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPSLKKLGCRKLLVSSTEKDIALARAAAYYQAVMASGWPGMCEWLESKGEEHVFFLDKPECDESDAHGQGGQLPCR >LPERR09G09430.1 pep chromosome:Lperr_V1.4:9:10803098:10804170:-1 gene:LPERR09G09430 transcript:LPERR09G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPESSRRLLFAALLTAPLAALLFHLRIPNLTPAMDAASASEIEFDMPGVIRVYKDGRVERFDGTETVPPSPSGDPAANGVVSKDVVLDPAAGISARLYLHPGVEPGKKLPVVLFFHGGAFLVHTSASTLYHKYAASLAAAPWLAAHGDASRIVLAGDSAGANMAHNAAIRLRKEGIEGFGNKVSGVILLHPYFWGKDPIGGEPTDAGYRGSFHRTWEFVTAGTLGLDHPSANPAASPEEWRQLGAGRVLVTTAEQCWFVERARAYAEGIKKCGWDGEVEFHETKGEGHVFFLPKPDCDNAVKELAVVTNFIRRC >LPERR09G09440.1 pep chromosome:Lperr_V1.4:9:10807411:10807917:1 gene:LPERR09G09440 transcript:LPERR09G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFLAGDSAGGNIAHDMAMRAGKDGGGLDGGVAITGILLLDPYFWGKNPVAAETTDPARRRQYESTWSFICDGKYGIDDPLVNPLSMPASEWRKLACSRVAVTVSSLDAFKPRAMAYAAALRDSGWGGEVEQYETAGEGHVYFLDKPSSPKSAKELTFVADYLSRE >LPERR09G09450.1 pep chromosome:Lperr_V1.4:9:10808742:10820059:1 gene:LPERR09G09450 transcript:LPERR09G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAITTGEEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDSSESDEMGMALMRELVRLWPVVCPGTSGCDDDPWINPMADGAPSLAVLGCRRALVCIGGKDAMRGRGKLYCEKLKECGWQGELEIWGADGQGHGFHLLSPTSHEMGNKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVLREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNTMDALLSDICISTTAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALIAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYNAEKAKSWGLLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEESYLRIQDDTLQGTLASIDVATRDNLEKLANVGEMLLKKTVSRANLETGQMVPACNDLEMTNGEALKRFAKLLSDEKRIREARSPK >LPERR09G09450.2 pep chromosome:Lperr_V1.4:9:10814897:10820059:1 gene:LPERR09G09450 transcript:LPERR09G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSIKSPHSEKYYCIVLQPILQEIRNMESEKHSIYRHPPTYGNLITVLSVDGGGIRGVIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVLREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNTMDALLSDICISTTAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALIAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYNAEKAKSWGLLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEESYLRIQDDTLQGTLASIDVATRDNLEKLANVGEMLLKKTVSRANLETGQMVPACNDLEMTNGEALKRFAKLLSDEKRIREARSPK >LPERR09G09450.3 pep chromosome:Lperr_V1.4:9:10814897:10820059:1 gene:LPERR09G09450 transcript:LPERR09G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKCENDHLQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVLREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNTMDALLSDICISTTAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALIAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYNAEKAKSWGLLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEESYLRIQDDTLQGTLASIDVATRDNLEKLANVGEMLLKKTVSRANLETGQMVPACNDLEMTNGEALKRFAKLLSDEKRIREARSPK >LPERR09G09450.4 pep chromosome:Lperr_V1.4:9:10814897:10819663:1 gene:LPERR09G09450 transcript:LPERR09G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSIKSPHSEKYYCIVLQPILQEIRNMESEKHSIYRHPPTYGNLITVLSVDGGGIRGVIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVLREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNTMDALLSDICISTTAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALIAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYNAEKAKSWGLLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEESYLRIQDDTLQGTLASIDVATRDNLEKLANVGEMLLKKTVSRANLETGQMVPACNDLEMTNGEALKR >LPERR09G09450.5 pep chromosome:Lperr_V1.4:9:10814897:10819663:1 gene:LPERR09G09450 transcript:LPERR09G09450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKCENDHLQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVLREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNTMDALLSDICISTTAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALIAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYNAEKAKSWGLLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEESYLRIQDDTLQGTLASIDVATRDNLEKLANVGEMLLKKTVSRANLETGQMVPACNDLEMTNGEALKR >LPERR09G09450.6 pep chromosome:Lperr_V1.4:9:10808742:10814427:1 gene:LPERR09G09450 transcript:LPERR09G09450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAITTGEEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDSSESDEMGMALMRELVRLWPVVCPGTSGCDDDPWINPMADGAPSLAVLGCRRALVCIGGKDAMRGRGKLYCEKLKECGWQGELEIWGADGQGHGFHLLSPTCMQAEGQLRAIAEFLSHG >LPERR09G09460.1 pep chromosome:Lperr_V1.4:9:10812082:10813044:-1 gene:LPERR09G09460 transcript:LPERR09G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDIDGEVIFELEHCIRIFKDGRVERYFGSDPVPASTDAATGVASKDITISPDVAVRLYLPPVAGEKKKLPLLIYFHGGGFCLHTAFNFVFHAYLTSLAARTRSIVVSVDYRLAPEHPIPAAYDDSWKAVVWAASHASGDGEEAWLTEHADFTRVYLAGESAGANIAHNMAMRAGAEGIPDGVKVNGVVLVHPYFLGRGKVSSEDFDPVMAEKVVEMWSVVCPATTGGVDDPWINPLAAGAPPLDGLACGRVLVCLAEKDVISDRGRAYCEGLKASGWRGEVEVVEVAGHGHCFHLVDFNSDEAARQDDAIAAFVNR >LPERR09G09470.1 pep chromosome:Lperr_V1.4:9:10821871:10822158:1 gene:LPERR09G09470 transcript:LPERR09G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKVSSFTCILVIFLIVSSHVVLPGEARLLVAATPASKVVKEEGPQYASPAQGGQPAAAAGVTAASKMASTDGRPTSPGHSPGIGNKATGNVR >LPERR09G09480.1 pep chromosome:Lperr_V1.4:9:10830967:10835211:1 gene:LPERR09G09480 transcript:LPERR09G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSWLGLMCQVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLEIFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKANAMTEMNGMYCSTRPMRIGPATPRKTSGTSGPTGSSIRSDGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRNDNGGQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >LPERR09G09490.1 pep chromosome:Lperr_V1.4:9:10844037:10851576:-1 gene:LPERR09G09490 transcript:LPERR09G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQITFLLPTLLLVLLAASVIDASQEAQLIKFMESRAMKRLFRNKPSSNGPSEADPWADPSSFSHLLTLSMSPPESTKEADRIAALPGQPRGVNFAQFAGYVTVDEKHGRELFYYFVESPYAASSKPLILWLNGVANVIFLESPAGVGFSYSMNTSDYDDVGDQRTAEDTYVFLVNWFNRFPEYKGRDFYIAGESYGGHYVPQIATIINFVNHAFNETPFNLRGIFVGNPLLDDFRNDEGNLEFLWSHGVISDEVWAKILANCTFTSSDDWPCFVAAHSFQRGNIDRYNIYAPVCLHSQDGTSRSSSYLPGYDPCIDDYVPRYLNNPDVKKALHARADINWSNCTLELAWSDAPVSMVPTIKRLVKNGLSVWIYSGDMDSICSLTATRYSVKDLNLTVTHKWRPWYTPDSEVGGYVQQYEGGFTLASVRGAGHLVPSFQPKRSLVLLYSFLKGMLPPADVPKIKRTTPALHANASEEARFREFVRSKRSRTISSSNAYSEQELEAKFNRRLKAEYSASEQSNLKAVDKITPDGVGFNQYGGYVTVDKKNGRALFYYFVEATTDAAAKPLLLWLNGGPGCSSVGYGAMIELGPFRINSDNKTLSRNEYAWNNVANVLFLESPAGVGFSYSNTSLDYDKSGDQRTADDSYIFLINWIERFPEYKGRAFYISGESYAGHYAPQLAATILTHNINSKRAVINLQGILVGNPSLDVFKNLKGQIDYLWSHGVISDEVHDNITKNCKFSSSDGKACSDAMDAYDSGNTNPYDIYGPVCITAPDGKFYPSCYVPGYDPCSNYYIHAYLNNPMVQKAFHARLTTWLGCKNLPWKDAPVSMVPTLKWLMEHGLPVWLYSGDLDSVCPLTATRYSISDLGLAVTEPWRPWTANRAVGGYVQQYTGGLVLISVRGSGHQVPYFQPEKALIVVSSFLRGALPP >LPERR09G09500.1 pep chromosome:Lperr_V1.4:9:10863747:10868811:1 gene:LPERR09G09500 transcript:LPERR09G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTPEMSGRVRRPTAAAAAHGARAAAPKNDRMMEKDQRKGAMPVKKVSPANTVTKSKGITNRIQARRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSSAKNTSLTGGEGCVPAQLMPSSPVTNSEVSPANCHSPPAQPTLNGVAGAKQTPRKPSPSAPVAAQDDRSGAGKENQSCSNTPARNCRHSPLLQKASKSRLPAATPEKRRVAQTISTVPDRKRLADTGSNNSEKASQDDSSVPNRLSEELLRCLLAIFSQMNSSSAGGQDEEQAMALSPSVSGSGSCESSEDAYPQDPYGILEFGTRDVGPYKRFHVIDATSFDQTAMESDTILVRKLKALLRRLSSVDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGMSKGDDMTMRGVIGLEWPEPLVTFALSCGSWSSPAVRVYTAGRVEEELEAAKRDYLQAAVGVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQKAMRIVEDGRGVTAADSESRRVQVLPYEFRFRYLLAS >LPERR09G09510.1 pep chromosome:Lperr_V1.4:9:10876816:10877630:1 gene:LPERR09G09510 transcript:LPERR09G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGHTLRSLLRPSTNGRRSKTSDGGGGGGIFKMFKLMPMLTSGCKMVALLGRHNSRALLADHATTVTLFGQRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEIASGVVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYSIRRKEASDDERHVMRLLRGVSMGAGVLPAAPEKEGGAPAAAGPDGELTYVRARVERVVGSKDSEAFYMINPSEGGVGGAGDSAGDGSAPELSIFLVRMK >LPERR09G09520.1 pep chromosome:Lperr_V1.4:9:10879945:10881174:1 gene:LPERR09G09520 transcript:LPERR09G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAARGQADQWMRVAEKLLMARDLEGCKEFVSQALGTDPHVPGANDLLAAADVLLAAQRRRIPNGQPDPYAVLGLDPGNPASRRPDAVHSQYRRLSFLLNRSHPDRPCSLSFAEAARLVADSWAFLSDPVLKSALDAELDAAAAAAAAKAARVYHPPAPMQQPPQHPPQPTPPKPASQQTAPVRVAPQQAAPLRAAPQQPAPVRAAPQQAAPLRAAPLQPTPLRAAPQSTPPRAAAVQSTPPQPRVAPQPPVAAAAVPPSSSFWTVCMTCCNIHQYDRLYEARKLMCPSCHQPFVAEAMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKMVNSQKQGTDQLNTPLLGATGGVEGDATACAENGAPVSAAVELQSMPKPAKPVRVKVGAKKRGRPKGSKNKKNL >LPERR09G09530.1 pep chromosome:Lperr_V1.4:9:10911433:10914000:-1 gene:LPERR09G09530 transcript:LPERR09G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLRPASLGLHLAPPCAGDAVADPGRSRSAVPIGGSRPLSFSLRVGGSSRREFPCTTMASRDHSGLTRQLLDFQHGTIDEIDGGHDPFKELKARFMDFKQRNCVGNFSNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNVANLVPPYQHGASETSAALEFAVENVLVVGHSRCGGIQALMSMKSKQDDLQSRSFIRDWVSIAKSARLSTEAAAGNLNFELLCRHCEKVIVIFTVMHSCYYLCSFLPCILKSVP >LPERR09G09540.1 pep chromosome:Lperr_V1.4:9:10923607:10924632:-1 gene:LPERR09G09540 transcript:LPERR09G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGERDMNKESMYQEREDMAGIRFTTPPPHQHQHQQLECFSDEVDSRGSGGEMKDAMGSGSGQLVVVSGGGGVGGGDGASIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFASRRNLGICVLAGTGAVANVSLRHPSPGVPGSAPAAIVFHGRYEILSLSATFLPPAMSSVAPQAAVAAAGLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADEDASVSVSVSLSGSGGGGDADEHRRGHQHKPEPQESRQLRRPPPHLSAAAAASAPPPVEPCGAPIYACHPQPPEVMWPPPARTPHPPPPPY >LPERR09G09550.1 pep chromosome:Lperr_V1.4:9:10935981:10936943:-1 gene:LPERR09G09550 transcript:LPERR09G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAACVVAGSCTHPLDLIKVRMQLHGEAPPTPALAFPYGVAAHHHLLQPPPPPPRRPGPIAVFAQILRAEGAAGLLSGVSATMLRQTLYSTTCMGLYDTLKRRWERDDGNGGAPMPLHRKIAAGLFSGGVGAAVGNPADVAMVRMQADGRLPVAQRRNYRSVADAIVRMARDEGVCSLWRGSPLTVKRAMIVAASQLATYDQAKEAILARRGEGADGLATHVAAGLAAGLVAASASTPVDVVKTRVMNMKVVAGAPPPYTGALDCLIKTVRSEGAMALYKGFVPTVTRQGPFTIVLFVTLEQVRKLLKGVDF >LPERR09G09560.1 pep chromosome:Lperr_V1.4:9:10937830:10942888:1 gene:LPERR09G09560 transcript:LPERR09G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSPVAAARRSPPRMRLRREALESLLEELRRSLDEMREGEPGASLPDSERAVDGGGEEPPDNEEGGGGSGGGDDDAATSLAGDSDGETDQICDLLKSTFESPDFFQKVDEIQKSFYQNDAVEQDTSWNIVKAVDLWEGDDLDDGYVLVKNDDATEGLAYFVATYISSLKTANEWSPDQIRKALKKTFSARKRKGKLRKAWDGTKVIYNVASWSATAIGIYHNQAILKVATTAFRTSCSVISKFM >LPERR09G09560.2 pep chromosome:Lperr_V1.4:9:10937830:10942888:1 gene:LPERR09G09560 transcript:LPERR09G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSPVAAARRSPPRMRLRREALESLLEELRRSLDEMREGEPGASLPDSERAVDGGGEEPPDNEEGGGGSGGGDDDAATSLAGDSDGETDQICDLLKSTFESPDFFQKVDEIQKSFYQNDAVEQDTSWNIVKAVDLWEGDDLDDGYVLVKNDDATEGLAYFVATYISSLKTANVALKKTFSARKRKGKLRKAWDGTKVIYNVASWSATAIGIYHNQAILKVATTAFRTSCSVISKFM >LPERR09G09570.1 pep chromosome:Lperr_V1.4:9:10941342:10945192:-1 gene:LPERR09G09570 transcript:LPERR09G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAPPSSAACRLRLRRQLLLRPSHLRLRTPPNSIADISRSSSSSEKPPAQPLASKAGQNGHAALAAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLHRMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSHDVVSGKIKPPSSPDGRFTQILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANERESISVTVQEVTPRAVGALVALYERAVGIYASLININAYHQPGVEAGKKAAGEVLALQKRDPAEPLTLDQIAERCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >LPERR09G09580.1 pep chromosome:Lperr_V1.4:9:10946946:10948863:-1 gene:LPERR09G09580 transcript:LPERR09G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALVRLPPRPARASTPNRGFGRRRPGVLSSLAVRAKDTDDFRVLISEKPEETAPAKREGWEGFGREASDAVDGEVQMQGESASWNVLNQIGVELDSENSYTALVYGTSALVTIWISSIVISALDSVPLVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >LPERR09G09590.1 pep chromosome:Lperr_V1.4:9:10949531:10951525:-1 gene:LPERR09G09590 transcript:LPERR09G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPFPLTSHWQRRRKTNYATYRRDIRPNEAAYGLRLKAYIMLLSGRASPEMCPGEIQEARRGEGRI >LPERR09G09600.1 pep chromosome:Lperr_V1.4:9:10962729:10965339:1 gene:LPERR09G09600 transcript:LPERR09G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMCYEMAASILLCAEDSSSVLGFGEEQEQEEEDDNIVAGKRARVAGPPPPASCEDAAVDFAVLSEECVARLVETEADHIPREDYAERLRGGDGGDLDLRVRTDAIDWIWKVHSYYSFAPLTACLAVNYLDRFLSLYQLPDGKSWMTQLLAVACLSLAAKMEETNVPQSLDLQVGEARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDYFLRELNGGNAPSRRSSLRSAELILSIVRGTECLEFRPSEIAAAVATAVIGEEAEELTVDVTAACSHVNKERVSRCREVIQAMELIHPKPVSPSRVFSMPQSPTGVLDAAGCLSYRSDDSAVASHYASSWGYEYDSSPVSSKRRKISR >LPERR09G09610.1 pep chromosome:Lperr_V1.4:9:10971168:10972401:1 gene:LPERR09G09610 transcript:LPERR09G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWGRRVGEATKKAEDLSRNTWQHLRTAPSITEAAMGRIAQGTKVLAEGGHEKIFRQAFSAPSDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDTPLSYEAGGGRKEWSYYKVAIPLHRLRSASPSASKQRPKEKFIQLVSVDRHEFWLMGFVNYDGAVEHLREALSGFHHLQV >LPERR09G09620.1 pep chromosome:Lperr_V1.4:9:10984665:10985525:-1 gene:LPERR09G09620 transcript:LPERR09G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDHDHDDGDDVMQPMPVSSSYETPPQHGGLGVGGGVMAPKPPGEISNRVNKGPSCVGAGAGAGGRYRECLKNHAIGIGGHAVDGCGEFMAAGEDGTIDALRCAACNCHRNFHRKESESSLAAAVVPYGAATPHNQFSPYYRTPASYLHHHNQHHHMAAAAAAAAAAGGHPQRPLALPSTSHSGRDDGDDLSGMVGPMSAIGPLSGMSLGGAGPSSSGKKRFRTKFSQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKP >LPERR09G09630.1 pep chromosome:Lperr_V1.4:9:11003910:11005335:-1 gene:LPERR09G09630 transcript:LPERR09G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSNAHHKHVKGSLCLIPPLLLAVLFYLQFQTLGFFSSIFPCGAGQSAAAGDYIDRLRASATFLPLKDTREWAEAWFISNVGDTSEPEGEAKNIFFPSPVTDGRLLCLSAPSRRDGTKNAYALAWRDALPDDAELRTGLAYVSETAYDHSNLWHGISALIPFASWHARGVCEGRGRRETTPARWALFHHGEVRLGMSPWLTSLAEATTGVDMVVETFNASYTPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVDGGDPSVVNVTILFRTGARAFKDEAAVTRVFQNECARVAGCKLTTARSDNLTFCDQVRLMSGTDVLISSHGAQMTNLVFMERNSSIMEFYPKGWRERAGGGQFVYRWGADRAGMRHEGSWWDPNGEPCPNSPDILSCYKNRQIGHDEAYFAQWAAKVFAANKERKAGLAAGVAASTRQREATTTCCS >LPERR09G09640.1 pep chromosome:Lperr_V1.4:9:11009980:11012633:-1 gene:LPERR09G09640 transcript:LPERR09G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPRKRKGARSACSLAGSLDETAAATRKRACREPKPRPEKKKPSAGTGAGDDASGRGGVVQTAPPASGRAAPESPSRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDAEKFYLVMELCGGGRLLDEMAKEGKFSEQRAAIVIKDLMSVVKYCHQMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDIWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVQLDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTQCPLKAVTANLSVTNKIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >LPERR09G09650.1 pep chromosome:Lperr_V1.4:9:11017671:11023531:1 gene:LPERR09G09650 transcript:LPERR09G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAPPSAIRLPAMPKALLRPPSSPSARFPHLSMSASSSSAGSGASPSLLAADPSHRDAVLLAARGAMANCLGETNLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVCSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNVLPDGMVKNQKLSANILTPTTKAADHDVPVTPEEIISSGLMSKEEFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFNSSLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITQTKFEIPKTQEPIRERISRNVAQALQSL >LPERR09G09660.1 pep chromosome:Lperr_V1.4:9:11028178:11033889:-1 gene:LPERR09G09660 transcript:LPERR09G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPKDASHEPQATRGRAVRWLLVALNCGMLVLGTTGGPLISRLYFSKGGHRQWLSAWLQTGGWPLLLVPITASYIARRGRDRDRATTTTFFLTPRRVLLAGAGLGILNGVDDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPPGVTSGKYWMGFFLTLAAAVLYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQAISREAKAYELGETKYYIVLVFNAILWEFFFVGAVGVIFCVHTLLAGIIIAVFIPVTEVLGVIFLHEKFTSEKGVALVLSLWGLASYSYGEYTEAKAKKKAALEAEEAS >LPERR09G09670.1 pep chromosome:Lperr_V1.4:9:11036868:11041389:1 gene:LPERR09G09670 transcript:LPERR09G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLAWKVEEERGRRRLTALAREAWEESKKLWEIVGPAVFLRLVMYSFNIVSQAFAGHIGDLELAAFSIANSVVTGLNFGFLYPLINLNAWQLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILLVSGVLLVPTYVFTAPLLEALGQPAALAREAGMVSVYMLPVHFQYVVLLPLNKFLQSQRKNWVTAASAAVAFPVHIGVSWLLVSRLQFGVLGAALALSVSGWLVTVLQLAYVVGGGCPVTWSGFSPLAFVDLWGFVKLSVSSGVMVCLETWYYRVLILLTGHLKNSELAVNALSICMSFQAWEMMIPVGFLAGTGVRVANELGAGNGKAAKFATIVSMTTSFLIGLFFSVLALAFHDKIALVFSSSKAVIDAVDNISVLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGIPIGVLLGWSLNLGVLGIWAGMIAGTAIQTLILAHMIMRCDWNKEVLKASERVQRWGSPK >LPERR09G09680.1 pep chromosome:Lperr_V1.4:9:11041352:11043465:-1 gene:LPERR09G09680 transcript:LPERR09G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAANLLSPGTNTNSPPASAADAHHAVMRATAHYPSTAPPTAHHLDALLAFGRGSRLSTSALVAALTERLRAASSGHGDAAVALKCLVLLRILLARGAFILRDQLVAALVRHPSSGRNPLALAAFPLGRSFAASTWVRFSARLLELILLLPDGAVDADYLTALPNSHLTAELAAYASVADAVRHAPPPSTAPQHNALIWELIRLAEEDRVAAERNVAARVQEMGERLATLSLADAVELVCVLRQVEESASSSSPADWKWAGMDDAVVGEARRLRERAEEVVLRRTEQERRLVRRDAAGSASVRVRTGRGGEAVRFGSTRWSDTVRTVVDSDLGKGKGDN >LPERR09G09690.1 pep chromosome:Lperr_V1.4:9:11047255:11048490:-1 gene:LPERR09G09690 transcript:LPERR09G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARIHTNGHLLILLLLLAVAGFATAQSQQDTPPQGSYYSTNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYSGRADYSTNPLPQSAARSRRQRGLDPSVLATFPTMAYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHVTCPVCRDNLVVVSNPDGSSTEPAASDVLGPELPTPAPSASAAADAATREEQASAVVINVEETEEERIIREEAAELTRIGSLKRTLRSKSGRAPARFPRSHSTGHSLSAAAASGGGGAAGAGDERFTLRLPEHVLREVVAAGQLQRTTSLVAFRAGRQGSTRRGFRLGGGGGEGSSRAGRSIRLGQSGRWPSFLSRTFSARLPAWGSRSTRRSGEGDGSSKGGKSTLAGAGAGGKSAVACDDQACSLGQHV >LPERR09G09700.1 pep chromosome:Lperr_V1.4:9:11060972:11062887:1 gene:LPERR09G09700 transcript:LPERR09G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLLSRRGDLFGLGGRCAMEGGGIGSGDGAAWSNPFISGFEGVMDLDGGNWDAAACSSMLLHGFQELEIPAAAVQPPPGEPEPAGRVGGGGQEDQAGTAAQAGRRKRRRARAVKNKEEVESQRMTHIAVERNRRKQMNDYLAVLRGLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAAGDAASPPFASFFTFPQYSMSAAAAIPATAPVVNDADRRDDGGAGAEAEVWGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGQRLTVLHLNMTSAGHMVLYSFSLKVEDGCQLTSVDEIATAAHQIIEQIQEEQGCSLD >LPERR09G09710.1 pep chromosome:Lperr_V1.4:9:11066849:11068923:-1 gene:LPERR09G09710 transcript:LPERR09G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPERPSHSVNGTATVDCAAYPLDCNRFCPGDNCAGIAEPPPPPPPFTRAEVGGGGGEDHHLPVRLLLTVSLLSAFLFLSLAVSTVLLYRRRVILRRRRRAATAPLPGDDGFGGDGDEEAGGGGGGGEVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPICRSPVVVPSDVPATAAEVEAEGGQEEEHQVFDEMSLSESHAEVSEDSDPSSDTQSEDTTSLAEDTGRVIPKPIRRSASMDSPLFQLVVVPEAQEDAMWSNRKLSNGQEMKVFSVKEKEATGSSSSSCQAVIPGNKANEEMKTGLEDAKLQDPLRRTSTPAYHFSG >LPERR09G09720.1 pep chromosome:Lperr_V1.4:9:11069983:11072110:1 gene:LPERR09G09720 transcript:LPERR09G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALPPTGAAAQHGQEDTSAIKNPAGDAADSSGIDSGWVVLGKSDIVPADLAASAADAGHRKLGFSPLPMLPIWVQMVLGGVVYTAVPFYNRARKVEGELTKNAETALEVVEHAAEVTEKLAANVANSLPENGTLHKLAEEVEYIAEIVDKDAHKIEVIIKKIEDVSNQIDAAVEPVIEELEKEFKP >LPERR09G09730.1 pep chromosome:Lperr_V1.4:9:11076351:11078349:1 gene:LPERR09G09730 transcript:LPERR09G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAPLVVLLVAGCAAVAAATRYTVGDGEGWTTGVNYNNWANSKFFRQGDELEFRYQRNAHTVTEVSQTNFDSCNGNTPITNDAGGTTIIRLSYPGTHYFICTTPSHCNNGMKLAVNVIGDPSYSAASPPAAASAAAAVAAGALIKLALF >LPERR09G09740.1 pep chromosome:Lperr_V1.4:9:11083226:11084241:-1 gene:LPERR09G09740 transcript:LPERR09G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQKEQQHYLVVAPGPHEPGPSPRGPPGPCDWAHVTLSTAVSAHRRMFPSLSAPNEDVIAGDGDVGGISYFPYSDGYDEGFCLFAGDSDAAWRNLESFDRVGRETFAAVFDRLAACGRPPVTCVVYTFVMWWAADLAREKGIPRAMYWIQPAAMLAVYYGYLHAGGNVQRLVADHADEPEFEVAMPGLPPMAIRDLPSFFTDLTDRRLAAVFYGVRRTLEQVETDRPIGEITAREKPMVLVNTTEELEADVIAAVFPDLHVIPIGPAVGDGNAAAPSKKSNDMYEHDEKAYMEWLDEKPAGSVVYVSFGSMSTTSKRQKEEIRRAPLPLGRSP >LPERR09G09750.1 pep chromosome:Lperr_V1.4:9:11090115:11090534:-1 gene:LPERR09G09750 transcript:LPERR09G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQIVALAMAMLFAATSAQAPAATPTPAPRASPPPAIPPPTPAPVSAPPTPAPATPPPAPAPLAPAPTPTASTPAPVPSASAPTPDATSPPAPEPTGASPTPAPTTAEVPPSNAAAGVSPAAAWVAAAAVAAVAAFY >LPERR09G09760.1 pep chromosome:Lperr_V1.4:9:11094859:11104952:-1 gene:LPERR09G09760 transcript:LPERR09G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGINRAPLGSASAAAVSPRRGIALRRSVAFANRVVTTGFVVDAAARGACSEKAGVLVLCLIVDASSLVRRFGSVRSTTARAQSGNAGRSMTEDRECIMSEAEMSLKYSSGKAFPLGVSQVEGALNFAIFSQYASSVILCLKLPGRGTEDDKDVDIIEFVLDRQKNKTGDIWHVSVEGLPSSSVLYGYHVDGPQGWHQGHRFDSSAVLLDPYAKLVSGRKYFGIAEEKSNQLFGTYDFDSSPFDWGDNYRLPNLPETDLVIYEMNVRAFTADDSSGLSSDVRGSYLGVIDKYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVQEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPHHGVNFVIAHDGFTLYDLVSYNFKHNDANGEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTGINHFQWEQLEQRRDGHFRFFSEMIKFRHRNPILRQDRFLNKNDVTWHEDCWENLESKFLAFTIHDHSSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVLFGGSKYRIAPYSSILLKAKP >LPERR09G09760.2 pep chromosome:Lperr_V1.4:9:11094859:11104952:-1 gene:LPERR09G09760 transcript:LPERR09G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGINRAPLGSASAAAVSPRRGIALRRSVAFANRVVTTGFVVDAAARGACSEKAGVLVLCLIVDASSLVRRFGSVRSTTARAQSGNAGRSMTEDRECIMSEAEMSLKYSSGKAFPLGVSQVEGALNFAIFSQYASSVILCLKLPGRGTEDDKDVDIIEFVLDRQKNKTGDIWHVSVEGLPSSSVLYGYHVDGPQGWHQGHRFDSSAVLLDPYAKLVSGRKYFGIAEEKSNQLFGTYDFDSSPFDWGDNYRLPNLPETDLVIYEMNVRAFTADDSSGLSSDVRGSYLGVIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVQEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPHHGVNFVIAHDGFTLYDLVSYNFKHNDANGEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTGINHFQWEQLEQRRDGHFRFFSEMIKFRHRNPILRQDRFLNKNDVTWHEDCWENLESKFLAFTIHDHSSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVLFGGSKYRIAPYSSILLKAKP >LPERR09G09760.3 pep chromosome:Lperr_V1.4:9:11094859:11104952:-1 gene:LPERR09G09760 transcript:LPERR09G09760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGINRAPLGSASAAAVSPRRGIALRRSVAFANRRFGSVRSTTARAQSGNAGRSMTEDRECIMSEAEMSLKYSSGKAFPLGVSQVEGALNFAIFSQYASSVILCLKLPGRGTEDDKDVDIIEFVLDRQKNKTGDIWHVSVEGLPSSSVLYGYHVDGPQGWHQGHRFDSSAVLLDPYAKLVSGRKYFGIAEEKSNQLFGTYDFDSSPFDWGDNYRLPNLPETDLVIYEMNVRAFTADDSSGLSSDVRGSYLGVIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVQEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPHHGVNFVIAHDGFTLYDLVSYNFKHNDANGEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTGINHFQWEQLEQRRDGHFRFFSEMIKFRHRNPILRQDRFLNKNDVTWHEDCWENLESKFLAFTIHDHSSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVLFGGSKYRIAPYSSILLKAKP >LPERR09G09760.4 pep chromosome:Lperr_V1.4:9:11094859:11104952:-1 gene:LPERR09G09760 transcript:LPERR09G09760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGINRAPLGSASAAAVSPRRGIALRRSVAFANRRFGSVRSTTARAQSGNAGRSMTEDRECIMSEAEMSLKYSSGKAFPLGVSQVEGALNFAIFSQYASSVILCLKLPGRGTEDDKDVDIIEFVLDRQKNKTGDIWHVSVEGLPSSSVLYGYHVDGPQGWHQGHRFDSSAVLLDPYAKLVSGRKYFGIAEEKSNQLFGTYDFDSSPFDWGDNYRLPNLPETDLVIYEMNVRAFTADDSSGLSSDVRGSYLGVIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGKTMVLTLANEMFWFNLGNTLNCNHPVVKELILDSLRHWVQEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPHHGVNFVIAHDGFTLYDLVSYNFKHNDANGEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTGINHFQWEQLEQRRDGHFRFFSEMIKFRHRNPILRQDRFLNKNDVTWHEDCWENLESKFLAFTIHDHSSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVLFGGSKYRIAPYSSILLKAKP >LPERR09G09770.1 pep chromosome:Lperr_V1.4:9:11110851:11117324:1 gene:LPERR09G09770 transcript:LPERR09G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSRLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSYMMRLSRDLHMSILEGRFPEFVRGFLRIQFPKGDVPKWVRNAMVVAGIDISECCAKCPRDAMDAPGTDIPELCPPTNNKEHIPCKR >LPERR09G09770.2 pep chromosome:Lperr_V1.4:9:11110851:11117404:1 gene:LPERR09G09770 transcript:LPERR09G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSRLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSYMMRLSRDLHMSILEGRFPEFVRGFLRIQFPKGDVPKWVRNAMVVAGIDISECCAKCPRDAMDAPGTDIPELCPPTKCS >LPERR09G09780.1 pep chromosome:Lperr_V1.4:9:11118558:11123472:1 gene:LPERR09G09780 transcript:LPERR09G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSRSPETETTARFRENLDHSAPIPLAVAVAVAAAAADDGEPPRLPPPASPSPRSSRHHHHRLAPTPLRRIPPLLASQSPVPDPNSPDASPDPAGGGGGGAKLVPLFVSLAVGLAVRFLAPRPAEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEAFIAPAMPSTTARAGGVFLPIIKSLSLSSGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAQELGVIIANPWVAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLKRMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCILEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >LPERR09G09790.1 pep chromosome:Lperr_V1.4:9:11135456:11139077:1 gene:LPERR09G09790 transcript:LPERR09G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAGAGVGGSPSLVPMSNSSDDGYGGVGMEGEVDAEDEMMACGGGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKMGNEEEAAASFTTSVKEEPAASDGPPAAGFVGSSDSDSSAVLNDTDAAAAGAAPSADFPAPEPEVCTFLGATTAASRSGEVFFHGNFLKVEEDETGFLDDDEPCGGFFADEQPPPLSWWTEPTEHWN >LPERR09G09800.1 pep chromosome:Lperr_V1.4:9:11150986:11156961:1 gene:LPERR09G09800 transcript:LPERR09G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGEATGAYREFKALTEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQLERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAAGQAAVVGGSDKHHHQGLLIRYKELRFIARFLVVAMLMRRVEAVDHLSGRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGSLPSNPQKAIVYHPTVSHLLAVLATICEDLSQDSILLVYLSASGFSEQNITSQKYATSSSYAKATSVYPIDKPNSNVNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEAQLQAIHNAEKGEPVALLLSPRIASAMPGAESTGNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAETILLSALEQYEGILSTSVGLNNVWGQILPDVFLRRLIIRFIFCRAVIFYFHPEENGEHLPTCLPSLPASVSPNAEAIMAPILELAENLVVSDRFHFRHSVCNNKK >LPERR09G09800.2 pep chromosome:Lperr_V1.4:9:11150986:11156961:1 gene:LPERR09G09800 transcript:LPERR09G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGEATGAYREFKALTEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQLERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAAGQAAVVGGSDKHHHQGLLIRYKELRFIARFLVVAMLMRRVEAVDHLSGRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGSLPSNPQKAIVYHPTVSHLLAVLATICEDLSQDSILLVYLSASGFSEQNITSQKYATSSSYAKATSVYPIDKPNSNVNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPVALLLSPRIASAMPGAESTGNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAETILLSALEQYEGILSTSVGLNNVWGQILPDVFLRRLIIRFIFCRAVIFYFHPEENGEHLPTCLPSLPASVSPNAEAIMAPILELAENLVVSDRFHFRHSVCNNKK >LPERR09G09810.1 pep chromosome:Lperr_V1.4:9:11163614:11169056:1 gene:LPERR09G09810 transcript:LPERR09G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHHHQSKVQRLYEACDAAFSSGNKAGLPTLTQIRWLQDLLDGMEAADVGIDAGGGSERSSSSEDDEQSPPGRRFLSARAFTRITYVHIYECDDFSIGVFCFPAGATLPLHDHPQMVVLSKLLYGSMRVKSYDWVNAPPCPGPRKSGLARVVAVDEVREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLAPPYAEDLGRPSTYFSDIAIPSLPGFAVLEEAELPDGFRVAGAPYVGPELMVDMDIMYN >LPERR09G09830.1 pep chromosome:Lperr_V1.4:9:11177024:11181960:-1 gene:LPERR09G09830 transcript:LPERR09G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKAWMKMNISKNCYDQSMGITDDNRTSVDFSGSPFWISEKDNNIIVIGCETFAYMQINNSAGEKSESSGRRVVAEVQDCGSTWAAGRGGGCGGEVLTGCVPTCKNVPKNGICSGEAGCCKLDFPNGTWYYNTYFSKRNNSSPCSYITVMQTTSFDFNINYFNSTTFYNTYNGLASVSLDWIITMDSCEQAKRNITLYACISGKSRCIDDPKGGYRCKCSDGYEGNPYINDGCKDINECLDNATYPCTGICENTLGNFTCSCYPGNYMMNGVCMPNQKPGFPRNPVIGASAGVVVLVITITYACFIREKRKLQHIKQQYFRQHGGMLLFEEMKSQQGISFKIFSEEELQQATNKFDKHQILGQGGNATVYKGLLKGNMEIAVKKCMTIDMKQKKEFGKELLILSQINHRNIVKLLGCCLEVEVPMLVYEFIPNGTVFSLIHSSHNEHISLQTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKEYAAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLQRPEDERSLSMRFLLAMKDNRLLDILDDQIMTDENLEFLEEVSELAKQCLEMCGENRPSMKEVAEKLDGLRKVMQHPWAQQNPEEMESLLKHSSNNINLMVENT >LPERR09G09830.2 pep chromosome:Lperr_V1.4:9:11177024:11181960:-1 gene:LPERR09G09830 transcript:LPERR09G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKAWMKMNISKNCYDQSMGITDDNRTSVDFSGSPFWISEKDNNIIVIGCETFAYMQINNVLTGCVPTCKNVPKNGICSGEAGCCKLDFPNGTWYYNTYFSKRNNSSPCSYITVMQTTSFDFNINYFNSTTFYNTYNGLASVSLDWIITMDSCEQAKRNITLYACISGKSRCIDDPKGGYRCKCSDGYEGNPYINDGCKDINECLDNATYPCTGICENTLGNFTCSCYPGNYMMNGVCMPNQKPGFPRNPVIGASAGVVVLVITITYACFIREKRKLQHIKQQYFRQHGGMLLFEEMKSQQGISFKIFSEEELQQATNKFDKHQILGQGGNATVYKGLLKGNMEIAVKKCMTIDMKQKKEFGKELLILSQINHRNIVKLLGCCLEVEVPMLVYEFIPNGTVFSLIHSSHNEHISLQTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKEYAAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLQRPEDERSLSMRFLLAMKDNRLLDILDDQIMTDENLEFLEEVSELAKQCLEMCGENRPSMKEVAEKLDGLRKVMQHPWAQQNPEEMESLLKHSSNNINLMVENT >LPERR09G09840.1 pep chromosome:Lperr_V1.4:9:11181972:11200736:-1 gene:LPERR09G09840 transcript:LPERR09G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLPVLFICISVIGVVAAADVLTERPGCQTRCGDLDIPFPFGIGDQCAIHHGFTINCKNINGTSMAFKGDFEVTKISVPDAKAWMKMNISWQCYNHFSGKMNEWVVLQNFTYTPFRFSYTDNKIFVIGCNTMAYMRGCCEVDVPQDLGFLDAYFNKNYNTSEISYSNCGYVVIMEKAAFSYSTTYIPSTNFWNDYGGRVPAVMDWIITWETCEEAKSNMSSYACVSDNSKCLNSTNGRGYRCKCSNGFDGNPYIKDGCKDINECHDNTTYPCAGICENTLGSYNCSCPQGQSEMVRGGCVPDQKSPWVIPVVGASIGFVILVIMATCSYLIHERRKLEKLKQKYFKLHGGLLLFEEIKSNQGKSFTIFSEAELQTATNKFDKNQILGHGGHGTVYKGLLESNIEVAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGNHGQHISLVTRLQIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNLTAKVSDFGASLLDPIDKSQLVTLVQGTWGYLDPEYMQTCQLSDKSDVYSFGVVLLELLTRKNVFNPDAPEHEKSLSMRFLFAMKENKLEDILDDQISNNENMEVLHEVANLAKQCLAMCGEDRPSMKEVAEKLDRLIRVMEHPWEQQNHELESLLGESSYIIGSEAAIIGVVAAADVLTERPGCQTRCGDLDIPFPFGIGDQCAIHHGFTINCKNINGTSMAFKGDFEVTKISVPEAKAWMNMSISWQCKMKEWVDFQNFTYTPFRFSCEDNKIFVIGCNTMAYMRGVSVACPRAPMNNQKTVLALAQPVVRWMSCKAWAILMHFNKDYNTSEISYGNCGYVVIMEKAAFSYSTTYIHSTNFWDDYNGEVPAVMDWVININAWETCEEAKVNMSSYACVSNNSKCLNSTNGLGYRCKCSNGYKCSCPQGQSEPVQGVCVPDQKIQKPPWVIPVVGASIGFVMLVIMATFSYLIHERRKLEHLKQKYFKLHGGLLLFEEIKSNQGKSFTIFSEAELQRATNKFDQNQLFGHGGHGTVYKGLLESNIEVAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGIGNHGQHISLAIRLRISHESTEALAYLHSYASPPIIHGDVKSSNILLDVNLTAKVSDFGASILDPIDKSQLVTLVRDKSDVYGFGVVLLELLTRKNVFNLDAREHEKSLSMRFLSAMKENKLEDIMDDQISNNENMEVLQEVADLAKQCLAMCGEDRPSMKEVAEKLDRLIKVMEHPWEQQNHELESLLGESSYIISSEAASTRNFSIEKKPSAHHTIWPLMASRAHLLPPSSLLVLLLCLAVSAQAAAGDVPAPVVASRPGCPTKCGAVDIPFPFGIGDHCGIEAPHTRYPFKFDCLPVDGAPASLSSGVWR >LPERR09G09850.1 pep chromosome:Lperr_V1.4:9:11210925:11211125:1 gene:LPERR09G09850 transcript:LPERR09G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSHAAPPLQDGAQERLEAQPAAPPVASGEGAKGGAEENPSWQPFATKNPAFEDLHYKVRHLSS >LPERR09G09860.1 pep chromosome:Lperr_V1.4:9:11211917:11214792:-1 gene:LPERR09G09860 transcript:LPERR09G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTKLLSALLICISAIGMASAAADVAAAAHPGCQTRCGDVDIPFPFGIGDKCAIHEGFQLKCKAPKQDSKLMKPFRGDFEVTKISVEDGKAWVKAYMSSQCYDPFTGGMSYSDASANFSGSVFWLSDTDNRITIIGCQTLAYMMSDSYVIGCSSTCDDNDQTPNNGSCSGAGCCQASVPKRIQYYRGYFNEDYNTSKIWRNSPCSYMVVMEDASFNFSTTYLTSRVFNETYKGEVPVVLDWAITPDTCKEPQRNKTSYACVSNNSHCIDNMNAQGYRCQCSNGFEGNPYVKDGCKDIDECLHSPCSEICTNTLGNFTCSCYPGRYMMNGVCVPNKKIGFASVPAVVGASVIFVVLVVTMMFAYLIKERRKLRHIKQHYLRQHGGLLLFEEMKSQEGVAFKIFSHEELQEATNRFSEQQILGQGGHGTVYKGLLKGNTEVAVKRCMTINEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGDHGQQISLATRLRIAHESAEAITYLHSCASPPILHGDIKSSNILLDRNLIAKISDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYNFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLAHIIDGQIKNRENMPFLEEIAELAGQCLEMSGVNRPPMKQVADNLDRLRKVMQHPWVEQNSEELESLLGESSRVSSRGTSTANFSIERRGVMELDSGR >LPERR09G09870.1 pep chromosome:Lperr_V1.4:9:11217726:11224760:1 gene:LPERR09G09870 transcript:LPERR09G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAGGEGAEGGAEGNPTWQPFATENPAFEDYYKAQEIIPEEEWGVFMNMLRKPLPATFRINASCQFFQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNAMVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSAETCTDDCKLQKLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGGSVELLDVSNELPELVRRPGISTWKVRDRGSWFGTHEDVPRYRKNVIIPSMFPSGKGAMDSDVASGSEVNTAVVDADMKDSANMVEEEQETKTASDDVSTIGDPNTEETSKLDSNEVPNNSDKKSDLTSVRTEHSNLPLHRCMRIVPHDQNSGAFFIAVLQKLSPINENQEVEKLEKGVGSDKVPHKKNTVHQQGVDGNVLDGKQNGDVDNETSNNKSSEEAKFVNEADNDQAGQRDRRRKPQNQGRWRGVDPVIFFKDEAIIRSIISFYGIKDTFPLEGHLVTRNPDASHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQNMDSIAMDPSAISIVCWKGKTNLCVMVSPLDGKELLERICLRYGLEVPKADDVKVKPSMKINGSDEQPDDSTEAADPEALTESKASDMEIADVKESSNPAEC >LPERR09G09880.1 pep chromosome:Lperr_V1.4:9:11243927:11255242:1 gene:LPERR09G09880 transcript:LPERR09G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRISSSSSIPLIKARMATSEQPAVAVPRWTPSRQRQDDEEADHKSDLGASMRGTELFPFGSGRSFQPPPILPASEDQRFTMAAENGGVPVPFVVDARETTTKTMSLRRPDQGVSLAWEDLWVSTAGRNRRRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLAYNVSQKGDILINGRRQRLAFGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSGMSTAAKRERAEETLREMGLHGAAAAGTRVGRISGGQRRRVSICIEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGLDGKVMITTEAIDTLVNSYESSIHWYKVMHHIEEIRANGGQQVMKKEGQPSFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYIVLCLCVGTIYYDVGHTYGSIQSRGSMLMFVAAFLTFMAIGGLPSFVEDMKIFGRERLNGHYGIASFMIANTLSSTPYLALISVVPGAIAYYLTGLHSSVNHFAYFAAVLFMTMMVVEGLMMIVASTVPDFLMGIITGAGIQGIMMLNGGFFRLPNDLPKPVWRYPMYYIAFHKYANQGFYKNEFLGLTFPNNNDQAGGAAMITGREILRDFWQVELGYSKWVDLAILCGMVMLYRMIFVAIVKFIEKMKPMLQRFRFRSDTPSVQVMELQVLEKTPGGVK >LPERR09G09880.2 pep chromosome:Lperr_V1.4:9:11238863:11244057:1 gene:LPERR09G09880 transcript:LPERR09G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPRWKPSPPRPPQGRRDEEAEAAPFDAVSDNLSASVRSTDGFPFGSGRSFAPPPFMPARQTSLEISAADNTGGVAREPSLRRPDEGVVLAWEDLWVSAAGGKNGRVSILCGLNGYAHPGEVLAIMGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQELAFGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSGMSSAAKRERAEETLQEMGLEGAADTRIGGWAHKGISGGQRRRVSICIEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKITTAQAIDTLVNSYKSSVHLEKVTRQIQDIRANGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSATPYLALISVIPGAIGYYLTGLQSSIDHFAYFAVVLFVTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPNPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPNNQIGGGATITGHEILRDYWQVQLGYSKWVDLGILCGMVVLYRVLFFIIVKLIEKMKPMVQRFRFRSDAPSVHIAENGSGSPLI >LPERR09G09890.1 pep chromosome:Lperr_V1.4:9:11257681:11262283:1 gene:LPERR09G09890 transcript:LPERR09G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMPQMTSVVMATVIGLAMVSLVAGISGTATFYTPPYILVMVIVASLAMISLVAGTSGTATFYTPPYTPSACTGFQDEGPQIAAASDAFWGGGAACGKRLAVTCTGATNQGVPQPCTGQTVTVKVVDYCPTGSCRATIDLSQEAFAAIANPDAGKILVEYHEV >LPERR09G09900.1 pep chromosome:Lperr_V1.4:9:11263115:11268670:-1 gene:LPERR09G09900 transcript:LPERR09G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAPVVVDMRALSQSDLVALAAASPYGADPHRRRGGRDADVLPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHSLTPATASSSTAAAAVPSEEDSENRLIVFHLQRLFAGEDPSSLAPPQITPQPQPQTLIPPAIAAPVTPAPTPPASNADLELMNRNGVAVDLAKLAELVDPYEEEMRRRTAGLVAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPNGWKLLLGLRRKERVVWINCRRYVSPSGRQFASFKEISSHLMSLLGYAEAKTTAIQSSNAGMHELNLVNPNSTEEKQSALPVTSMSFSSQYGDPQGQVDKNETQMEKSGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKVNKSGEIGVDKNETCVTQECQKTSEDKLGHIDHTVAAVRNQGQTPEKLSGETISGDLSSQPSMAPVPFGPQEMDVLTEQGQESSAGELLSGHRKNPVHNMSGVPQQEKGSSAGETVTGHHEDPIVNSNDHKIHDGAFHNAEEPHAVKATSKISTGNSVNFEEIDSTKDIVLSSTDCTQNVNKPEKTCSLPEVPNATDAQSESKRTGDPMEYADLKPSKNASEHCDLLDDKFSSFPEGANFNGREENSPLSATLNEPDLNSIGMEVDNDDDNVECKYGNSNESAGPENADCRMTALKDHEINTDVRIRDVNLNSCLDTMSSPVSGANYEASDALDDANRSSIIAQCFGASSADDNACKEENFINNQNSASKAEDFVNQNNNMMYQPNLTMDPISPAQINVDCFNSSCSMTSEIKDNSNRREETKEQLVNPRNITSNEAGFDVEAYSNIFSGAITESSLAHLNSAMNMKADYSSCYSLSDLNTLTGGSATDEIDMHSMRNTFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNDSARPCEPQYNGSTRPSGTSGSIDDFMSLQTNFGSLTSLVRSVEGGPMSRIIQDQLGFGVQKPQMYPSFEEQLRMASAGAPQFGSMNRHNHVPVPVPVPEPTLMLGYAPHIGSCPPVPVQLGWDLSMSKMVGGCVVHSVCVWCNTQFQHFGTVAEQQADSLGFICPACKEKISGHLSMLNNSSSQL >LPERR09G09910.1 pep chromosome:Lperr_V1.4:9:11268938:11270095:1 gene:LPERR09G09910 transcript:LPERR09G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFSAQSPFPAPATSSSAAAAEAAASLLPLLPCKRRRKLLKKLNSPRVAPIEPEAARRVAALDAVLDRDAAFRFLSRARSFLASLPPPHQIPLAEAGKLHRELGFPRGRSVSRAAARHPLLFHLPKVDSIPHLALTPLMRSLLDEERRLHEDLLPTRILAVRKLLMLTEHRRIPLAKLHHCRAVLGLPDDFRDRVRDFPDEFRVAVDHHADGRHVLELARWDPSLAVSALERDFVTDERRVRRTFRFAVPHSRSMPLDAEDADRLDAATTFPLVSPYTNGALLRPWTPEAEKYRVGVVHEFLSLTVEKRALIHHIFEFKEELGLTRHMYASLRKQNRAFYLAGTEMNWCVFIRDAYGDDGALKEKDPLVLFNEKLQRYATMT >LPERR09G09920.1 pep chromosome:Lperr_V1.4:9:11273384:11274560:-1 gene:LPERR09G09920 transcript:LPERR09G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVCIAAFGKQAEGVNTFEVGFHVFPELYNNSDVHFYTFWTRDAYSKTGCYNLRCPGFVPASGAALLPGQAVAPPSTYDGDDGYIKLSLHTDPNTGDWVVYRDDLGSPSFLGYFLKDLCPDLIGSAPRLAWSGYVSYPKNEKGPPMGSGHFANEGEGRAAYFKNMKIFNSKEPDGYLFYYGGPAGCSG >LPERR09G09930.1 pep chromosome:Lperr_V1.4:9:11279693:11281617:-1 gene:LPERR09G09930 transcript:LPERR09G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPLAVGHGIRARLKRRQPTRPPPFAPRWPYVLPQLSFGLLFFCRFVIWVARLSFPFGFVALIVNKSNKEGLNRLKKIGFKQIPILLRPAPAINAKASPLLVPDGCQLRLCLDLSSKNLVSFSSCIEDSRPGHYRSSTFAEVCGRIYRMFYTLMMICSR >LPERR09G09940.1 pep chromosome:Lperr_V1.4:9:11285980:11287672:1 gene:LPERR09G09940 transcript:LPERR09G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPQEGDKRAAYFQQIKIFNSKGHAQKPLLSGLDWIVDRPDCYKASTIYIFKKGSYMFYYGGPGGCLD >LPERR09G09950.1 pep chromosome:Lperr_V1.4:9:11288447:11288755:1 gene:LPERR09G09950 transcript:LPERR09G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGDAVPAHRRAAPHNHTFSWRTHPVAPRAGALEILPPLPRRPRRFASTAPCRVAARTNPGHRGQPCRADPGRPQ >LPERR09G09970.1 pep chromosome:Lperr_V1.4:9:11306802:11311348:1 gene:LPERR09G09970 transcript:LPERR09G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPQEGDKRAAYFQQIKIFNSKGHAERPLLSALDRSVDRPDCYKASTIYIFKKGSYMFYYGGPGGCLD >LPERR09G09980.1 pep chromosome:Lperr_V1.4:9:11318212:11318673:1 gene:LPERR09G09980 transcript:LPERR09G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQLTEDDGEECNSNESSWTMYLASPAHSDDARAIFSEGSNVEDGTGYSNERSIGKEDKGNTNDDGDYDSLASDASTGPADLKVQEGKEEKGRHMNGGNRHEHGKDEQDEVRTKLSTSYNKKVGKIKKGDEKTSRRGQNKRRSSSKTNFFW >LPERR09G09990.1 pep chromosome:Lperr_V1.4:9:11320541:11320696:-1 gene:LPERR09G09990 transcript:LPERR09G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAVFHGAQLMLDLAVAGASLVFALGLFAVVTTMLCSAAFLHHSKPVAS >LPERR09G10000.1 pep chromosome:Lperr_V1.4:9:11321852:11330091:-1 gene:LPERR09G10000 transcript:LPERR09G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILRKAMRLVEFTPERLQLVQMNMMILNGRTVRLVDPFKQILSVLFAEFVMEALELMEENAVHMSQRVCRSAIQALSKGGYTKEAIHWLILLVEKENSCSSLPIFNTFLSGCGSNMKQSDIEWCLEKMETSLLGKSEKTYCELLKRNLSAVYDIWKDCTRYYSPSIMLQREFVRALTTLEDLRSAYRVLQHMVVLAGRRTDHLRGSSERRYQRSRLDIPILALTELQDLKIQLGKVEESEKYPIDAQSELSQEENLSLENSQLKGYAEFISIGDNLSDKFDLDNGRMTKKFLRWSFNDIIHMCVQLNNCQIAEQLFLEMQKLGLQPSKFTYDGFIKAVMAGKGVSYAIKVIEAMERRSIKPYDHTLAALSVGSSRSLQLDLAEDFLARISKPQPKYIHAFNALLVGCDIMNEPERAVRILAEMKRLNLKPNLRTYELLFSLFGNVNIPYEEGNALSHVDVSKRISIIEMDMLNNEIQHSFVCMKNLIRAFGSEGMIEEMLRYLNVAENVIWNMNPYQRSDLYCIALHALVKAKDTHKAIKTFMIMRSCGLPSNVAIYNIMIECCKLLPCVKSAGALISLMLRDGFYPTIVTFTSLLKVVLARGDFEGALDLLDVCMIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIRRAKIQPDQSTLWYTFCAYVDQELYNTATEALQVLCVRMLSEEADVLKEKGVILEDLILSEEPDAELRIMKTFEASEHLATALLNLRWCATTGSTISWSPEDSVWARRLASSYDGNRRPHILTNIVMASYDGNRRPHITTNIVPHRFVV >LPERR09G10000.2 pep chromosome:Lperr_V1.4:9:11321852:11330091:-1 gene:LPERR09G10000 transcript:LPERR09G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILRKAMRLVEFTPERLQLVQMNMMILNGRTVRLVDPFKQILSVLFAEFVMEALELMEENAVHMSQRVCRSAIQALSKGGYTKEAIHWLILLVEKENSCSSLPIFNTFLSGCGSNMKQSDIEWCLEKMETSLLGKSEKTYCELLKLTVSQRNLSAVYDIWKDCTRYYSPSIMLQREFVRALTTLEDLRSAYRVLQHMVVLAGRRTDHLRGSSERRYQRSRLDIPILALTELQDLKIQLGKVEESEKYPIDAQSELSQEENLSLENSQLKGYAEFISIGDNLSDKFDLDNGRMTKKFLRWSFNDIIHMCVQLNNCQIAEQLFLEMQKLGLQPSKFTYDGFIKAVMAGKGVSYAIKVIEAMERRSIKPYDHTLAALSVGSSRSLQLDLAEDFLARISKPQPKYIHAFNALLVGCDIMNEPERAVRILAEMKRLNLKPNLRTYELLFSLFGNVNIPYEEGNALSHVDVSKRISIIEMDMLNNEIQHSFVCMKNLIRAFGSEGMIEEMLRYLNVAENVIWNMNPYQRSDLYCIALHALVKAKDTHKAIKTFMIMRSCGLPSNVAIYNIMIECCKLLPCVKSAGALISLMLRDGFYPTIVTFTSLLKVVLARGDFEGALDLLDVCMIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIRRAKIQPDQSTLWYTFCAYVDQELYNTATEALQVLCVRMLSEEADVLKEKGVILEDLILSEEPDAELRIMKTFEASEHLATALLNLRWCATTGSTISWSPEDSVWARRLASSYDGNRRPHILTNIVMASYDGNRRPHITTNIVPHRFVV >LPERR09G10010.1 pep chromosome:Lperr_V1.4:9:11331273:11336367:1 gene:LPERR09G10010 transcript:LPERR09G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPHPHGEEEIKEHEQKINKYQAILAARLKAKYFSNKTFDGGSVFEAETIVEGQTIQISKWPCTRSFANPVNFFQEKNIHEKSDSTSLTADSSAKNNSPRTDSSPKNSASTLATDNNLTPGKRQPSKKT >LPERR09G10010.2 pep chromosome:Lperr_V1.4:9:11332435:11336367:1 gene:LPERR09G10010 transcript:LPERR09G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKQGRWKMVVAEEEIKEHEQKINKYQAILAARLKAKYFSNKTFDGGSVFEAETIVEGQTIQISKWPCTRSFANPVNFFQEKNIHEKSDSTSLTADSSAKNNSPRTDSSPKNSASTLATDNNLTPGKRQPSKKT >LPERR09G10010.3 pep chromosome:Lperr_V1.4:9:11332521:11336367:1 gene:LPERR09G10010 transcript:LPERR09G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEEEIKEHEQKINKYQAILAARLKAKYFSNKTFDGGSVFEAETIVEGQTIQISKWPCTRSFANPVNFFQEKNIHEKSDSTSLTADSSAKNNSPRTDSSPKNSASTLATDNNLTPGKRQPSKKT >LPERR09G10010.4 pep chromosome:Lperr_V1.4:9:11332521:11335908:1 gene:LPERR09G10010 transcript:LPERR09G10010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEEEIKEHEQKINKYQAILAARLKAKYFSNKTFDGEKNIHEKSDSTSLTADSSAKNNSPRTDSSPKNSASTLATDNNLTPGKRQPSKKT >LPERR09G10010.5 pep chromosome:Lperr_V1.4:9:11331273:11332073:1 gene:LPERR09G10010 transcript:LPERR09G10010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQNKTRPNLLPNVVLIDRGRGIASSSLLRLRRRRRHRRARLLCFSASALSHLVSSRLGQPPAPIAIS >LPERR09G10020.1 pep chromosome:Lperr_V1.4:9:11337545:11338075:-1 gene:LPERR09G10020 transcript:LPERR09G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHYHGEVASLHCLSPPSLPFSSHYHSNMITMTPTPFHFPAAAVICEPIHELLPVVAAAGNCPAGSGSTDDAYQMAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDIHCENARFRKERDELQAKLEHLMQAQKNNTSPSSPEPREN >LPERR09G10030.1 pep chromosome:Lperr_V1.4:9:11350980:11352710:1 gene:LPERR09G10030 transcript:LPERR09G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPTVPFFLTSTTLAAASAKAAPRDARPDSVDACAPYTARMRLNPQLALRLFDHLVRSGADPGQLAYALALARCARERDPRAAAQLHAHAAKRGASQHRRVRNGLIHAYAVCGTLHDARKVFDRGHDGDDTVAWNSLLRGYAASGDARALREFFAGMQVRDTVSWNTIIAWCVENGEFEEAISVFREMLASKECVPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIMVEERLSSALINMYSKCGWIEGAVAIFENLGAKMSVDTWNAMLAGFTANGCSEKALELFARVEVTILVPNKITFNTVLNACSHGGFVEEGIGCFERMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALLGACRTHRNFELGRKAGHRLIEAAPNDHAAYVLLSNIYALDENWTGVHKVRKLMLDRGVQKVPGSSSIEIDGVIHEFISGDKSHSSKKDIYGMLSEMCQQLKVAGYAPDTSQVLLDIDDEDVKESSLALHSEKLAIAFGLISSAPGTTIRIVKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFW >LPERR09G10040.1 pep chromosome:Lperr_V1.4:9:11355440:11360722:1 gene:LPERR09G10040 transcript:LPERR09G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESSEKGMDSNASSGPGNGIPVEWQSQFSGAFACQPSSAAQHHQQQHAMMDSFTAAASAGLWASSDVVAPPRAGAAGFLAPVPGFLQQGLGHFPAVDTGFIERAARSTCYGGVAGGMMGAGGAYGGADHQSMGDAFGGATEGLMEHHRNDGSNDKAEQEFAGNGHDEMPSSELAGGDCSSKGSDSKKRRRPNEVMGADQVHSSNLPADSANESVHSKDKGEESSPATTTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLIRFPGVPSSSIGFSPEMMHPQLQLSQPGLIQGGGAGMTNPDVFRRIIQAQLGAKDGSQMPHALNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >LPERR09G10040.2 pep chromosome:Lperr_V1.4:9:11357310:11360722:1 gene:LPERR09G10040 transcript:LPERR09G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESSEKGMDSNASSGPGNGIPVEWQSQFSGAFACQPSSAAQHHQQQHAMMDSFTAAASAGLWASSDVVAPPRAGAAGFLAPVPGFLQQGLGHFPAVDTGFIERAARSTCYGGVAGGMMGAGGAYGGADHQSMGDAFGGATEGLMEHHRNDGSNDKAEQEFAGNGHDEMPSSELAGGDCSSKGSDSKKRRRPNEVMGADQVHSSNLPADSANESVHSKDKGEESSPATTTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLIRFPGVPSSSIGFSPEMMHPQLQLSQPGLIQGGGAGMTNPDVFRRIIQAQLGAKDGSQMPHALNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >LPERR09G10040.3 pep chromosome:Lperr_V1.4:9:11356040:11357867:1 gene:LPERR09G10040 transcript:LPERR09G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVEAGQPCRAQDWQKEGLPSVTPGHGWRRRYEGLAPYGAMAYGRLLKDKRKEELGLARNLSRLTLLLLVRF >LPERR09G10050.1 pep chromosome:Lperr_V1.4:9:11364804:11369175:-1 gene:LPERR09G10050 transcript:LPERR09G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVSAMRPTPTLRTALPPKGRGVTAAAVSARGVRWEAGRRKGKGKGRMVVVRCDAAVAEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAEADSSSYVIKEETDYEKMLTRGTQITLFLRDEDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEAAEGEKKTKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKDDQEDYKKFWESFGKFVKLGCIEDTGNHKRLSPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPDESEAAATSESNVEAESSEGPVTEVVEPSEVRPESDPWKD >LPERR09G10060.1 pep chromosome:Lperr_V1.4:9:11371813:11373005:1 gene:LPERR09G10060 transcript:LPERR09G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAVVLLVLLLSLSALSASTAEANEERLLRDNAIPLTGRKWLRGRKAMAAAGPGHGDVVVKEGKNTGANTAHAHGSERTVEVTVVGLSGEGAANQEADSSAKSVNHEEKQSKGRAAAATHVMFQDPTKHDNTISVVSKMMSLDYKTLEARHHRPINNDAPLDHELVEKP >LPERR09G10070.1 pep chromosome:Lperr_V1.4:9:11376254:11380197:-1 gene:LPERR09G10070 transcript:LPERR09G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASRKSEGRSCSWKRVFVQTDNGSVLGIDLERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRRNQMHRSSSTPCLSPTGHDVQQQDQSGPLEIVGCSNPTSQMKQLAKDVVKAIRNGVDPVLVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVRVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVGCKSKAFHNKSQAVSKLASLQQFIAHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRRKLGPGADSFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFTEEELEYIANLDPAKDAEMLRMELSFIREACLRVLVLSTTFLKEAAAFGLCLAEIGEMMSRQFTGKEEEPSELELLCMEARKWVKERELFLPEAGVEDDDDGFTQGVPKNKVTAKTNYSSKGSEYQSGSRSANELLPPSASFVKLSDMGPEEWNAFLDKFLELLPSAFRARKHTTSGGPRPLQRLGTSCQF >LPERR09G10080.1 pep chromosome:Lperr_V1.4:9:11399300:11406612:1 gene:LPERR09G10080 transcript:LPERR09G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYGAASHARAHVRRAGSSYRAAAGRGRRRSQTKQRKAKEKRIVLLREEEDEEEEDRCIDLSSLAILRANSAISRSIVSSWTRHDCSGERSRRGGGETVRVIIGRRATIMGIQGKKATTREHDFLSLYTAAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPSPSPAPSPAASQQQHHQTFSISHVAGARPVAAAAAAVVKAEPTTSSSSPFVLWGQPTAAHPVAALGHHHHHHHQWTLPFAGVGQVAAAAAAAPRNHQPPQERKGRVGGGGLMDSGSRSSGGAGFDDDDGLAARREVSSSLKEGRRKPHEPMEMEICSRCALPSHIHQLHARLLVSGRLGRGSPSLALALLRAACRVRASPCLRPLARHVLDEIPRPHPHFLHAAARLASRLRMPSLALRHYVALRTHHPAFLPPAPAIADVLKSVRGRAAHAHALRVAAHTGDNRFLQNTLISMYFACGDARRARLVFEGMRDRDVVSWTSLVSGLVQNGCPLQGLQQFSAMMRCDIRPDFVVLVTIVKAFMELYDLPGAESAHSLVVKGGFHDEQDVLITLTAMYASFGCLVAAKALFDMVPPQQVNVILWNAMISGYSKNGFAHEALNLFKHMQMVARNVAPDSITLRSVIFACAQLGSTELAAWMEDYVRRSEYREDVLVNTALIDMYAKSGSIAHARAVFEWMHVDDRDVVVWSALITGYGVQGLINEACTLFEDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFQLEQSNSGHYVQLANMYASAGMWSQVAGMRITMREKGVTKTTGCSSIEVDGEMHSFHAWDHSHPRATEIFALLCLLSPTPDLTVRVDGKGGSCSGSGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKHETSVPEWNQENAKILPWSNIYFRSFWKNSQSKGQIPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHAMVTSAAASGAQEQVETDHTASVSYRSAETPTNITNNVTSQAQAQAQAQWASPSGVDDSAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGSLTHALESSGVDLSQASISVQINLGKRAVKRSGADGSSSSKELPNPSVNNENMGHQLAMLGGGVEDLPQLTKRHKSGNS >LPERR09G10080.2 pep chromosome:Lperr_V1.4:9:11399300:11407296:1 gene:LPERR09G10080 transcript:LPERR09G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYGAASHARAHVRRAGSSYRAAAGRGRRRSQTKQRKAKEKRIVLLREEEDEEEEDRCIDLSSLAILRANSAISRSIVSSWTRHDCSGERSRRGGGETVRVIIGRRATIMGIQGKKATTREHDFLSLYTAAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPSPSPAPSPAASQQQHHQTFSISHVAGARPVAAAAAAVVKAEPTTSSSSPFVLWGQPTAAHPVAALGHHHHHHHQWTLPFAGVGQVAAAAAAAPRNHQPPQERKGRVGGGGLMDSGSRSSGGAGFDDDDGLAARREVSSSLKEGRRKPHEPMEMEICSRCALPSHIHQLHARLLVSGRLGRGSPSLALALLRAACRVRASPCLRPLARHVLDEIPRPHPHFLHAAARLASRLRMPSLALRHYVALRTHHPAFLPPAPAIADVLKSVRGRAAHAHALRVAAHTGDNRFLQNTLISMYFACGDARRARLVFEGMRDRDVVSWTSLVSGLVQNGCPLQGLQQFSAMMRCDIRPDFVVLVTIVKAFMELYDLPGAESAHSLVVKGGFHDEQDVLITLTAMYASFGCLVAAKALFDMVPPQQVNVILWNAMISGYSKNGFAHEALNLFKHMQMVARNVAPDSITLRSVIFACAQLGSTELAAWMEDYVRRSEYREDVLVNTALIDMYAKSGSIAHARAVFEWMHVDDRDVVVWSALITGYGVQGLINEACTLFEDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFQLEQSNSGHYVQLANMYASAGMWSQVAGMRITMREKGVTKTTGCSSIEVDGEMHSFHAWDHSHPRATEIFALLCLLSPTPDLTVRVDGKGGSCSGSGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKHETSVPEWNQENAKILPWSNIYFRSFWKNSQSKGQIPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHAMVTSAAASGAQEQVETDHTASVSYRSAETPTNITNNVTSQAQAQAQAQWASPSGVDDSAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGSLTHALESSGVDLSQASISVQINLGKRAVKRSGADGSSSSKELPNPSVNNENMGHQLAMLGGGVEDLPQLTKRHKSGNS >LPERR09G10080.3 pep chromosome:Lperr_V1.4:9:11399300:11406612:1 gene:LPERR09G10080 transcript:LPERR09G10080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYGAASHARAHVRRAGSSYRAAAGRGRRRSQTKQRKAKEKRIVLLREEEDEEEEDRCIDLSSLAILRANSAISRSIVSSWTRHDCSGERSRRGGGETVRVIIGRRATIMGIQGKKATTREHDFLSLYTAAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPSPSPAPSPAASQQQHHQTFSISHVAGARPVAAAAAAVVKAEPTTSSSSPFVLWGQPTAAHPVAALGHHHHHHHQWTLPFAGVGQVAAAAAAAPRNHQPPQERKGRVGGGGLMDSGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKHETSVPEWNQENAKILPWSNIYFRSFWKNSQSKGQIPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHAMVTSAAASGAQEQVETDHTASVSYRSAETPTNITNNVTSQAQAQAQAQWASPSGVDDSAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGSLTHALESSGVDLSQASISVQINLGKRAVKRSGADGSSSSKELPNPSVNNENMGHQLAMLGGGVEDLPQLTKRHKSGNS >LPERR09G10080.4 pep chromosome:Lperr_V1.4:9:11399300:11407296:1 gene:LPERR09G10080 transcript:LPERR09G10080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYGAASHARAHVRRAGSSYRAAAGRGRRRSQTKQRKAKEKRIVLLREEEDEEEEDRCIDLSSLAILRANSAISRSIVSSWTRHDCSGERSRRGGGETVRVIIGRRATIMGIQGKKATTREHDFLSLYTAAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPSPSPAPSPAASQQQHHQTFSISHVAGARPVAAAAAAVVKAEPTTSSSSPFVLWGQPTAAHPVAALGHHHHHHHQWTLPFAGVGQVAAAAAAAPRNHQPPQERKGRVGGGGLMDSGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKHETSVPEWNQENAKILPWSNIYFRSFWKNSQSKGQIPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHAMVTSAAASGAQEQVETDHTASVSYRSAETPTNITNNVTSQAQAQAQAQWASPSGVDDSAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGSLTHALESSGVDLSQASISVQINLGKRAVKRSGADGSSSSKELPNPSVNNENMGHQLAMLGGGVEDLPQLTKRHKSGNS >LPERR09G10080.5 pep chromosome:Lperr_V1.4:9:11399300:11406612:1 gene:LPERR09G10080 transcript:LPERR09G10080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYGAASHARAHVRRAGSSYRAAAGRGRRRSQTKQRKAKEKRIVLLREEEDEEEEDRCIDLSSLAILRANSAISRSIVSSWTRHDCSGERSRRGGGETVRVIIGRRATIMGIQGKKATTREHDFLSLYTAAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPSPSPAPSPAASQQQHHQTFSISHVAGARPVAAAAAAVVKAEPTTSSSSPFVLWGQPTAAHPVAALGHHHHHHHQWTLPFAGVGQVAAAAAAAPRNHQPPQERKGRVGGGGLMDSGSRSSGGAGFDDDDGLAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKHETSVPEWNQENAKILPWSKGQIPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHAMVTSAAASGAQEQVETDHTASVSYRSAETPTNITNNVTSQAQAQAQAQWASPSGVDDSAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGSLTHALESSGVDLSQASISVQINLGKRAVKRSGADGSSSSKELPNPSVNNENMGHQLAMLGGGVEDLPQLTKRHKSGNS >LPERR09G10090.1 pep chromosome:Lperr_V1.4:9:11408677:11411821:-1 gene:LPERR09G10090 transcript:LPERR09G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGGSKGEKAAREAARVRLWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGAERLASAATAAGRDAGERAASASTATSAVALPPKRFYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDYFIASLRDEVRILKELPPRLKRRVELGYVRSMPPISWSDISYYQNQILPLIRKYKILHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPQIEELGRRVVQILRRNGPFVVLHLRYEMDMLAFSGCTHGCSSEESEELTRMRYAYPWWKEKVIDSKAKRKDGLCPLTPEETALVLKALDIDSGYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKSGTMSWNDFSSAVKVSHSSHMGQPSRRQMIADKPKEEDYFYANPHECLHQPEELSVL >LPERR09G10100.1 pep chromosome:Lperr_V1.4:9:11412616:11420057:-1 gene:LPERR09G10100 transcript:LPERR09G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHPLAAVLRLLSTSCRRHLHPPPPPHHPHPASLPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLDAENAYADAFVASAGGGGLRARLAAEMRARLPPSTASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDTSGDEFFSLEVKDIQSTNTILSSPHKGIVSLAWSHNSDNLFYTVCDETLRPNQVLCKKVQSDEADFLVFMEKDINCCVDITGTKDFKYVYVMESGHVRGGLWPVQKRADKVQYFLEHHNGFFYILTNAPLEGTETETDGYYLARCRAEKSLMDKWQVIALPGSDCTFQDMDIFHEHLVLYLRKNGLPLICSINMPIDVIDFQEQKELDDLDPWFFPVPSDLCSVVPGSNNDFMSSTYRLVVSSPVLPDLTVDYNMRKKTFAILHQEEVTGLSSNLCTVGMQSNITGILQNLLLIEDSQSWSDLSKLFSCKRVQVISHDGVSVPLLILYSWEAHRRGESPGILYGYGAYGEDLDKSWCSHRLSLLARGWVVAFADVRGGGDSSWHLAGTKVNKINSVEDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINKLPDLFSAAVLKVPFLDICNTMKDSTLPLTILDYEEFGDPNIKAEFDAIRSYSPYDNISPGTCYPPVLVTASFNDTRVGVWEAAKWVSKVRDVTCQSCSLSIILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDENDKATKYNY >LPERR09G10110.1 pep chromosome:Lperr_V1.4:9:11423094:11423690:1 gene:LPERR09G10110 transcript:LPERR09G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAGRRAAPQFAGVDLRRPKGYPPTQATPAIEAAAEACPRCESRETKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGASRHNTTTKQSSSSSSSVSSPKRSKNNPKRRRVAVSPEPTTPAPPTTAADVAAPTSQEDSATVAGLADGGGKEVVVDASPFEWPSGCDLGPYTYWPTGGVFADTDPALFLNLP >LPERR09G10120.1 pep chromosome:Lperr_V1.4:9:11426162:11429159:1 gene:LPERR09G10120 transcript:LPERR09G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVGHGPRARIRGGLAPSAPSARRLSAVSYTAAPNLTKKVPEPKAVKPTRRTTPVKKRPEVDQVQKQREELAALQEQLNGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLSEKESLIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVDELQVDVASMDVEISALMKLFRKITENDRSPYPRERTDDSSLECEPVQLDDMVGGDIDTEKMENEMSAYVTALAAAKDNPTDEFLKAVADARLRLQAFVL >LPERR09G10130.1 pep chromosome:Lperr_V1.4:9:11432078:11433874:-1 gene:LPERR09G10130 transcript:LPERR09G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSSHPPPQSHPPPPQQQQPPPLQAPPPQQQQLQAPPPSLPPPPPQQPPQRQPEKVHIPGVAAVPAPVPDRPNPVSHLPPQPQPPVAAAPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAAACKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGAQKGGRGGGAPGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGGGGNWGRGGGGMGGRGPAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASERRREREKDLPPPPDWPERRHRDERDVGRERERDRDRDRERERDRDRERERERDRDRERDRYRDDRDRHGDYHRHGKRESDRNEEWDRGRSSGRRSRSREVDHSKRRRMSPE >LPERR09G10140.1 pep chromosome:Lperr_V1.4:9:11435360:11436082:1 gene:LPERR09G10140 transcript:LPERR09G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAVAGVVLLLLLAMACAVHASSPPAKHASAPEAESPESSSSEAEEEDDESESESPSSAPSSIESKAGKAAGSLKTMSTGVLDLDQAPSKSPTSAAAAPEEEEEDEDAAAATSPANAPAASEEAEAEEEEAPAGAPDASEAEEPSAEEPSAAEAPEESSGGEGEATEGPAAGGEAPSAEAAGAAADISPAEATVTESPAGAPGPSAADEEESGASGTIQRGSLAAAAAAVLLAVVAA >LPERR09G10150.1 pep chromosome:Lperr_V1.4:9:11441738:11442562:1 gene:LPERR09G10150 transcript:LPERR09G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLHLDAVAAAAPLLLLLHLLLPLAAAATAAAPPPPSPPNVTAAMSKGGCKAFADLIAASPDAASTYASAVAGGITVFCPTDDAVRAFLPKYKNLTADGKAELLLFHAVPVYYSKGSLKSNNGVMNTLATDGAAKNYNFTVQNEGEAVTIKTAASATVARVKYTVVDSDPVAIFAVDEVIEPVELFKPAPAPTPAPSPAPAAADAPKGSSSKPAHHPAPVVADAPGPAATDSSPPADQKKEAKKSAAAAPPSRVVRWLAAAFAVVAVASTLG >LPERR09G10160.1 pep chromosome:Lperr_V1.4:9:11448033:11448248:1 gene:LPERR09G10160 transcript:LPERR09G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVALAAVLLLLLLAVTAPPLAAAEESAGGEAGKLASAGSAFKSAAANAFGIGSDINGVPVNPAPGATA >LPERR09G10170.1 pep chromosome:Lperr_V1.4:9:11450221:11450451:1 gene:LPERR09G10170 transcript:LPERR09G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRRPLALAAVLLLLAAAFVAVAPPLAVEAAGVDDVSGGGEFGRAAATTMSVGADPKPAAVDGVLADPSPDGRV >LPERR09G10180.1 pep chromosome:Lperr_V1.4:9:11458123:11465438:1 gene:LPERR09G10180 transcript:LPERR09G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVVEQMRQQVESSGESLACALLAAGRRPPPWLLPSRPGAPQELNGRPALSEFVFPGSCITTPAANRTIFQLPAVPSTSLRNVGVPGYSHLGTACTSLDTNQHQEVQQEQTKVNEEFAKTSCEANMFSLVQRSRSRQKNIEDRLCEKDKSGNSGSSDGSQDMMKRSKLASVGLNRNVALSSSEPAPSFRDLENDLDANKRNSTEFFKCSKEVSLGNEGVHLDCSSSLVLENRIVSSENNLKVPNDCTARGSSRTKVADSACHLLTESHLSVEPKVLQFEDVGSVCMNSSNEKMRQPLESAPESAHFDLTEAHPLNEDPSTACCHVPRSMGSSLLDGVGSGFLNPESGTVMQNLQCGSLGFTAMHSQNEDPIPTISSEVPRCIREISSLKGPCSKVSQLLEKEESKACSVANPLLETNALHTVESAERVRKLITQNSTPLEQQSSDSHVLPHPHSGSLQLADSSFERPPSPGVLPDSLLEENGLGHLSHSVVNDTKSQCSSYRSAASPDLLPPRLINSGDVYQPSLSCCKSQSNNKLSNGCAVEDATVSIEKQSSQEQCLLDRSPIELDKNFADEDTTLGHTLSTHSEMLKGKAATDLVNCNSGKLSNVDRKPRDLTEESGFSYRKNEPMVQSVARTISTGVMHTTERSSISSTMRFTGAHQQDGTICLFLVGCYRIEQETSLIEDAVQINTNQCTTENNKEIKSSRPSVRYSLRSLTSHKKINLLQSEGRSAASDQKRSGTDGVKVNGGSSSKRRRINCQSDVALSSSRNTNSLLANHRVDTDGHVLTVENFSGRSLSSGHYFLRGSGSSECMPPRLERRNGASHCKISVASMDNENSNYSPERNSMDSLNNENMNSSGQLQNTVDDVKTTAALPSCYDALTDNKELCTEEENFCLDDRHTNDTNPSVAHHQMTQMDNITSQSVVLDPEIYSRVKSVTMSPSYASDQLGDQAYGPSALVHENLSYGSNAELDRRCQSNSSRGHLLSGASVTRQEGDESGDCDDTMPEFERFDVPIQFDSPSVETRTFEALRESITLSSKFSKYDYTETGLQKLLSTMSGKSIECSFPDDLQKYSANNARNITSIFGTCGLGLDDSVSIYDVTASCSSNASTRQENNDNPLTPSVEKYNPGKVSARSRSSSEQMGSIPELSCFRIDEHSSIAEENEYQGRSHGSVGLNYSKQLPSGRKALQDITGLCQNTVNSASLSSVFKDTDSIDLAAETCSNKLNSYTDIVNDHVSDKPKNNLATSAKREGKVSLHNRLRSTELQNRKGRRHQSEANMEKQPKPSNIVANVASFIPLVKPKLQPATTCVKKDVKVKALEAAEAAKRLEEKKQNEREMRKATAKLERERLKHEKELKQKQEEEQKKKRDADVAAKKRQREEEERKEKERKRKCTEEARKLQKQPMEKKHAVNDEKDVRKKASDNKELRKDVVDAVKVQVKPDVKTTEPALDYKTTHCNNEMGLAVDEKPASLGSHPMTNIPCSPEESYQMSPYKDSDEEDDDDFEHEKESRRRRKTIPSWARKENLEKFLISNRTLDPREVFAQKCSFNLSEVLSAHIPKRGFM >LPERR09G10190.1 pep chromosome:Lperr_V1.4:9:11467472:11468719:-1 gene:LPERR09G10190 transcript:LPERR09G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHQLAGGEIILAASHGRPITAYDALSGHAVAEFPSPAAAAINTSRHGLAAAPPFVAASHVCPATGAGSVLLLHWRSRAPPRQLQLPEPVAPLVAAPLGSHLLAGGISGRVHAVSLPSGHVSRSFPAHVSGAPVSCLVLNDDCSLLVSGGYDGEVAVFALATVLDADADEITSSSDLAIYRIPAHAAPVSCVACGGAVVATASMDGTCKLWSLNGGAHLRTIHLPCTLFSIAVDRGAARVFAGGADGRVHVASSSTSARHVRYTWHASATGAAIVGVGMANGGRNLIACTEDGEASVWDIATAGGGVAIAAGAFRIGGVVTDVAVIKKSDVACDGHVVRAPDVGVGFRVWDGEELRKADEVVRNRMEERLKESEVEKRKSVELIEMAVGGYKRCLRLMVRELSSGSGSASRQ >LPERR09G10200.1 pep chromosome:Lperr_V1.4:9:11469992:11480651:1 gene:LPERR09G10200 transcript:LPERR09G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGALALPQLRARAGIGSPILLSADPGSARRSSGASGRSRVSRIDPGAYPGRTGRGRNWKRDIPEASPRRSGITRVPNRSPEVPTERSRRTKVPIVNLEVSHHRAVAAVRLLRTEKGKAFVDLLNENGNGSGENEMSYVERTLGFKTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLQLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEAVKLMNWNNSDPHFSIRVNTAKGYTRADLIDRLENLQVPYDKSTMDEFVCIREGMQTVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDINADLRLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMLVKPGGILIYSTCSIDHDENEHRINAFVQRHPDFVPQSVHGYVPAEFVTHDGFYSSNPTKHSIDGAFAARLVRSVL >LPERR09G10200.2 pep chromosome:Lperr_V1.4:9:11469992:11480770:1 gene:LPERR09G10200 transcript:LPERR09G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGALALPQLRARAGIGSPILLSADPGSARRSSGASGRSRVSRIDPGAYPGRTGRGRNWKRDIPEASPRRSGITRVPNRSPEVPTERSRRTKVPIVNLEVSHHRAVAAVRLLRTEKGKAFVDLLNENGNGSGENEMSYVERTLGFKTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLQLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEAVKLMNWNNSDPHFSIRVNTAKGYTRADLIDRLENLQVPYDKSTMDEFVCIREGMQTVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDINADLRLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMLVKPGGILIYSTCSIDHDENEHRINAFVQRHPDFVPQSVHGYVPAEFVTHDGFYSSNPTKHSIDGAFAARLVRSVL >LPERR09G10200.3 pep chromosome:Lperr_V1.4:9:11469992:11480770:1 gene:LPERR09G10200 transcript:LPERR09G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGALALPQLRARAGIGSPILLSADPGSARRSSGASGRSRVSRIDPGAYPGRTGRGRNWKRDIPEASPRRSGITRVPNRSPEVPTERSRRTKVPIVNLEVSHHRAVAAVRLLRTEKGKAFVDLLNENGNGSGENEMSYVERTLGFKTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLQLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEAVKLMNWNNSDPHFSIRVNTAKGYTRADLIDRLENLQVPYDKSTMDEFVCIREGMQTVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDINADLRLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMLVKPGGILIYSTCSIDHDENEHRINAFVQRHPDFVPQSVHGYVPAEFVTHDGFYSSNPTKHSIDGAFAARLVRSVL >LPERR09G10200.4 pep chromosome:Lperr_V1.4:9:11469992:11480770:1 gene:LPERR09G10200 transcript:LPERR09G10200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGALALPQLRARAGIGSPILLSADPGSARRSSGASGFRGLIRERIRGEQGGVGIGSVTFRRLHPADQELLEFQIVALRTKVPIVNLEVSHHRAVAAVRLLRTEKGKAFVDLLNENGNGSGENEMSYVERTLGFKTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLQLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEAVKLMNWNNSDPHFSIRVNTAKGYTRADLIDRLENLQVPYDKSTMDEFVCIREGMQTVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDINADLRLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMLVKPGGILIYSTCSIDHDENEHRINAFVQRHPDFVPQSVHGYVPAEFVTHDGFYSSNPTKHSIDGAFAARLVRSVL >LPERR09G10200.5 pep chromosome:Lperr_V1.4:9:11469992:11477737:1 gene:LPERR09G10200 transcript:LPERR09G10200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGALALPQLRARAGIGSPILLSADPGSARRSSGASGRSRVSRIDPGAYPGRTGRGRNWKRDIPEASPRRSGITRVPNRSPEVPTERSRRTKVPIVNLEVSHHRAVAAVRLLRTEKGKAFVDLLNENGNGSGENEMSYVERTLGFKTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLQLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEAVKLMNWNNSDPHFSIRVNTAKGYTRADLIDRLENLQVPYDKSTMDEFVCIREGMQTVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDINADLRLYAKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMLVKPGGILIYSTCSIDHDENEHRINAFVQRHPDFVPQSVHGYVPAEFVTHDGFYSSNPTKHSIDGAFAARLVRSVL >LPERR09G10210.1 pep chromosome:Lperr_V1.4:9:11479804:11488011:-1 gene:LPERR09G10210 transcript:LPERR09G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHRQRLFATEKMGGRLAYRFQAATVAVGIVLVLYYRATRLPAAGEGRAAWVGMLAAEVWHEENLPGVDIFVCTADPHSEPPSLVISTVLSLMAYNYPSEKLSVYLSDDGGSILTFYALWEASMFAKKWIPFCKRYNIEPRSPAALFSESERQQNLGCTKEWSFIKDLYEDMRERIDTAVMSGKITEEIKLKHKGFKEWNSEITSNNHQPIVQIMIDGKSRNAVDDNGTTLPTLVYMAREKRPQYHHNFKAGALNSLIRVSSVISDSPIILNVDCDMYSNNCDTIRDALCFFLDEEMEQNIGYVQCPQNYNNITTNNIYGNSFLVFNEYCTFLYGHGKIKLQLQMGYSIYGLWAVNSLPTLYYVMVPSLSLVRAPPIPKVTTKVSDNDEAKRYEQETLEFGSSSPEYVIIATVALLNIVCLVGGLSQIMAGIWNMPWNVFLPQVILCGMIVITNIPIYEAMLLRKDKGRIPSSVTLASIGFVMLAFLNRLILPNNTTICDSLDAHKTRQERSHGLNDSNGVESDSVREMAKRSSELVSTSAASSQLALTRRMTHTQPPNLVISSVLLSVMAYSYMSEKISVYLSDDGCSILTFYAIWEASRFAKHWLPFHKRYKIEPRSPAAYFSKSRKGVTICAAKKERSFKCSTFDMATDLRFWGAGPA >LPERR09G10220.1 pep chromosome:Lperr_V1.4:9:11492392:11497599:-1 gene:LPERR09G10220 transcript:LPERR09G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHQRRLFATEKMGGRLVYWFQAATIAAGIVLVLYYRATNVPAAGEGRTAWLGMLAAEFMYAVYWVITQSVRWCPVRRRTFKDRLSERYEEQLPGVDIFVCTADPHSEPPSLVISTVLSVMAYNYPSEKISVYLSDDGGSILTFYGLWEASIFAKKWLPFCKRHNIEPRSPAAYFSESEGHHNMRNPKEWSFIKNLYEEMTERIDTTVMSGKIPEEIKLKHKGFKEWSSEITSKNHQPIVQILIDGKSQNAVDDDGNTLPTLVYMAREKRPQYHHNFKAGALNSLIRVSSIISDSPVILNVDCDMYSNNRDSIRDALCFFHDEEMGHDIGFVQYPQNYNNMTQNNIYGNSLNVINHVEMSGLDSAGGCLYIGTGCFHRRQILCGKLFSKDYREDWNRGIKERGQEDINEIEEKAKSLATCTYEHRTQWGDEIGVKYGCPVEDVITGLAIHCRGWKAVYMNPQRAAFLGVAPTTLAQTILQHKRWSEGNFTIFLSKYCTFLFGHGKISLQLQMGYCIYGLWAANSLPTLYYVMVPSLGLIKGTTLFPEITSPWATPFIYVFCMKNIYSLYEALLSGDTLKGWWNGQRMWMIKRITSYLYGLIDTIRKLSGLSKMSFVVTAKVSDENEAKRYEQEILEFGSSSPAYVIIATVALLNIVCLVGGLSQIMAGIWNMPWNVFLPQVILCGMIVITNIPIYEAMLLRKDKGRIPSSVTLASIGFVMLAFLVPIV >LPERR09G10230.1 pep chromosome:Lperr_V1.4:9:11504081:11505719:-1 gene:LPERR09G10230 transcript:LPERR09G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAVRHASSAAEGSSPGALLRRRVAERERARRRPRDPSGDEFFVPTPESLAWLDSASLPMVLTAAAVALFTKLLMMEHDATDQERRERKIKNGHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLEDLKDWATDTIMDAFTRAEESAKKK >LPERR09G10240.1 pep chromosome:Lperr_V1.4:9:11510383:11514709:1 gene:LPERR09G10240 transcript:LPERR09G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPSRSMTGQAAKPKETGKDERQGGDAEVVSEAQTLCDKQQGSPASMLDKELSGISSESGTLEESLVAEGDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKTKPEAANQPSLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPLVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELECRPKQVPSMNGKVAPASNQKGSDNYLEFEFF >LPERR09G10240.2 pep chromosome:Lperr_V1.4:9:11511329:11514709:1 gene:LPERR09G10240 transcript:LPERR09G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPSRSMTGQAAKPKETGKDERQGGDAEVVSEAQTLCDKQQGSPASMLDKELSGISSESGTLEESLVAEGDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKTKPEAANQPSLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPLVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELECRPKQVPSMNGKVAPASNQKGSDNYLEFEFF >LPERR09G10240.3 pep chromosome:Lperr_V1.4:9:11510849:11514709:1 gene:LPERR09G10240 transcript:LPERR09G10240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPSRSMTGQAAKPKETGKDERQGGDAEVVSEAQTLCDKQQGSPASMLDKELSGISSESGTLEESLVAEGDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKTKPEAANQPSLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPLVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELECRPKQVPSMNGKVAPASNQKGSDNYLEFEFF >LPERR09G10250.1 pep chromosome:Lperr_V1.4:9:11519380:11519829:-1 gene:LPERR09G10250 transcript:LPERR09G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKDSLMLYVLYNAVVSVAALAGVVRAALVFLGLPAPPSLLLLGGEEGDEAASAVVSASASVGPSLVETFRARFRPARFGRRRAAADCRVCLVRFEAEAVVNRLPCGHLFHRACLETWLDYDHATCPLCRSHLLPVAAAAGGGEARI >LPERR09G10260.1 pep chromosome:Lperr_V1.4:9:11534130:11537226:1 gene:LPERR09G10260 transcript:LPERR09G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIAAAARLALLAVAVLLMPCHGVNEQGQALLRWKASLLPAAGGGAAALDTWRASDATPCRWLGVSCGGAAVVGLSITSLDLQGPLPAKHLLPLSPTLKSLVLSGTNLTGEIPPEIGEYTSLTTLDVSKNQLTGAIPTSLCRLSNLETLSLNSNSLRGEIPNEIGNLTSLVSLTLYDNELTGTIPASIGELKRLQILRAGGNPGLKGPLPAEIGGCSNLTMLGLAETGMSGSLPETIGNLKNIQTIAIYTTLLSGRIPESIGNCSQLTSIYLYQNSLSGPIPPQIGRLKKLQTLLLWQNQLVGAIPSEIGECKDLTLIDLSLNSLSGGIPATLGGLPNLQQLQLSTNLLTGVIPPELSNCTSLTDIEVDNNALSGEIGIDFPKLRNLTLFYAWKNKLTGGIPASLADCPGLTAVDLSYNNLTGTIPKQLFGLQNLTKLLLLNNELTGFIPPEIGGCGNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSDNHLVGPVPPAISGCSSLQFLDLHSNAISGSLPESLPSSLQLIDVSDNRLAGDLSSKIGSMPELTKLYLGKNRFSGGIPPEIGSCRKLQLLDLGDNAFSGRIPPEIGMLPSLEISLNLSCNRLSGEIPPEFAGLDKLGSLDLSHNELTGKLDSLAAMQNLVTLNISYNGFSGELPDTPFFNKLPMSDLAGNRHLVVGDGSDESSRRGAISALKVAMSILAAVSAALLVAATYLLARMRRAGAGAGGKVIHGGGGGGGAWEVTLYQKLEISMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTLAVKKMWSSSSSPATDETAAAAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYGYLPNGSLSGLLHGGGGGGKGGGDADGWGPRYEVAVGVAHAVAYLHHDCVPAILHGDIKSMNVLLGSALEPYLADFGLARLLSSPSKLAAAAVSPPRIAGSYGYMAPEYASMQRITEKSDVYSFGVVMLEMLTGRHPLDPTLPGGSHLVQW >LPERR09G10270.1 pep chromosome:Lperr_V1.4:9:11543854:11561656:1 gene:LPERR09G10270 transcript:LPERR09G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASVKHYSSTQSILVVGRGDFSFSRSLATAFCSGKNLVSTSLDSYEELSSKYTEAELNITILNAMGATILHGVDAKTMKHHFALNMRRFDRIVFNFPHAGFNGKRENDVSLIESHKHLVRGFFDNARHLFGPYGEIHVSHKIGLPYDEWNIEQLASESSLIMVEINFNIQDYPGYNNKRGDDMAVVASLEAGQAAEAPAAKKKQQQTKKKRRKNKKKKKKKKKDGGNEEEEGAKWLKHYSSTQSILVVGDGDFSFSRALAIAFCSAENLVSTSLDTYEALKSKYAKAESNIMVLNMMGATTLHGVDAKTMKLHTDLKMRRFDRIVFNFPHAGFKGDGENDVHRIKLHKDLMREFFRNARHLLRPSGEIHVNHKEGKAYGKWKIEQLASESSLIMVEKVDFHIEDYPGYINKRGDGPKCDKPFLLGPCSTFKFCVGDLKKQKKGCTNKICSTPSLAGSQVHPEILASGWSPSQPFRPVNAVNMPAAFDPYSLNIVQRHQPGFPVNFLGLQSAASCFLEQGNIHPMLSIVRPSPYLLPIVGGFAPRMDRITSNGLFESQGQPRSTLWPWQSVGSSYFVREFQIVLPRDLRRKYSKAESNVMELKRLGATVLHGIDAKRMKDHTSLKLRRFDRIVFNFPHAGFKGKEDDMRMINSHRELVWGFFQNARHLLRPYGEIHVSHKIGRVYDRWRIEHLAYESSLVMIARVDFRKEDYPGYNQKRGDSAKCDQPFDLDACCTFMFTRDLTRLKRVHGNRIDAFSSLGSQVHHDIPFQPLPLVPAYPRPHFPSQVNAVQMQVPLELYPLGIAHRQQPGFPDNFGGIHRDFYVHQHDAIRPVFGMPRPPLNVLPPLDGTPPMSRITRRSFHEPQEQPWRQEMVPEVRDGYHRFVRECPRSLQEEYEMRRQAMPGGISLRYIDFLENRFDESVQRQEQLRRKIRQYGGY >LPERR09G10270.2 pep chromosome:Lperr_V1.4:9:11543854:11561658:1 gene:LPERR09G10270 transcript:LPERR09G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASVKHYSSTQSILVVGRGDFSFSRSLATAFCSGKNLVSTSLDSYEELSSKYTEAELNITILNAMGATILHGVDAKTMKHHFALNMRRFDRIVFNFPHAGFNGKRENDVSLIESHKHLVRGFFDNARHLFGPYGEIHVSHKIGLPYDEWNIEQLASESSLIMVEINFNIQDYPGYNNKRGDDMAVVASLEAGQAAEAPAAKKKQQQTKKKRRKNKKKKKKKKKDGGNEEEEGAKWLKHYSSTQSILVVGDGDFSFSRALAIAFCSAENLVSTSLDTYEALKSKYAKAESNIMVLNMMGATTLHGVDAKTMKLHTDLKMRRFDRIVFNFPHAGFKGDGENDVHRIKLHKDLMREFFRNARHLLRPSGEIHVNHKEGKAYGKWKIEQLASESSLIMVEKVDFHIEDYPGYINKRGDGPKCDKPFLLGPCSTFKFCVGDLKKQKKGCTNKICSTPSLAGSQVHPEILASGWSPSQPFRPVNAVNMPAAFDPYSLNIVQRHQPGFPVNFLGLQSAASCFLEQGNIHPMLSIVRPSPYLLPIVGGFAPRMDRITSNGLFESQGQPRSTLWPWQSVGSSYFVREFQIVLPRDLRRKYSKAESNVMELKRLGATVLHGIDAKRMKDHTSLKLRRFDRIVFNFPHAGFKGKEDDMRMINSHRELVWGFFQNARHLLRPYGEIHVSHKIGRVYDRWRIEHLAYESSLVMIARVDFRKEDYPGYNQKRGDSAKCDQPFDLDACCTFMFTRDLTRLKRVHGNRIDAFSSLGSQVHHDIPFQPLPLVPAYPRPHFPSQVNAVQMQVPLELYPLGIAHRQQPGFPDNFGGIHRDFYVHQHDAIRPVFGMPRPPLNVLPPLDGTPPMSRITRRSFHEPQEQPWRQEMVPEVRDGYHRFVRECPRSLQEEYEMRRQAMPGGISLRYIDFLENRFDESVQRQEQLRRKIRQYGGY >LPERR09G10270.3 pep chromosome:Lperr_V1.4:9:11538714:11544048:1 gene:LPERR09G10270 transcript:LPERR09G10270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPELGHAAAGVGEMDGTSAIAAEGEEGEKAEGEEEVGDELESEEAKEEDGCDEEEEEEEGAKWLKHYIGDGDFSFSRALAIAFCSAENLVSTSLDSYEALRSKYAKAESNIMVLKMMGATTLHGVDAKTMKHHTDLKMRRFDQIVFNLPHAGFKGKEDSLHLINLPKDLVRGFFQNARHLLRPSEKVDFHIEDYPGYNHKRGDGPRCDEPFALGPCSTFKFSIRNLKKKKKGPSNRISSVPSLGGSHVHVHPEILASDWSPSQPFRPMNAVNTPVTLYPSSLRIAQRHQPGFPVNFVGLHTLAACSLQQGTIHPRGHSNRICSFPSLEGSYIHPGIPAFDWSSSQPFHPVNSVNMSTLDPYSLRVAQRHQPGFPVNFVGLQTAAAYFLQQGNIHPMVSIARPSPYLLPIVGGIASPMGRITGTSLIESQKQPRSTLMPWQSVSSSYMGREYQMNLRRGTQ >LPERR09G10270.4 pep chromosome:Lperr_V1.4:9:11538714:11544048:1 gene:LPERR09G10270 transcript:LPERR09G10270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPELGHAAAGVGEMDGTSAIAAEGEEGEKAEGEEEVGDELESEEAKEEDGCDEEEEEEEGAKWLKHYIGDGDFSFSRALAIAFCSAENLVSTSLDSYEALRSKYAKAESNIMVLKMMGATTLHGVDAKTMKHHTDLKMRRFDQIVFNLPHAGFKGKEDSLHLINLPKDLVRGFFQNARHLLRPSGEIHISHKRGRVYEQWEIEKLASECSLILVEKVDFHIEDYPGYNHKRGDGPRCDEPFALGPCSTFKFSIRNLKKKKKGPSNRISSVPSLGGSHVHVHPEILASDWSPSQPFRPMNAVNTPVTLYPSSLRIAQRHQPGFPVNFVGLHTLAACSLQQGTIHPRGHSNRICSFPSLEGSYIHPGIPAFDWSSSQPFHPVNSVNMSTLDPYSLRVAQRHQPGFPVNFVGLQTAAAYFLQQGNIHPMVSIARPSPYLLPIVGGIASPMGRITGTSLIESQKQPRSTLMPWQSVSSSYMGREYQMNLRRGTQ >LPERR09G10270.5 pep chromosome:Lperr_V1.4:9:11538714:11543970:1 gene:LPERR09G10270 transcript:LPERR09G10270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPELGHAAAGVGEMDGTSAIAAEGEEGEKAEGEEEVGDELESEEAKEEDGCDEEEEEEEGAKWLKHYIGDGDFSFSRALAIAFCSAENLVSTSLDSYEALRSKYAKAESNIMVLKMMGATTLHGVDAKTMKHHTDLKMRRFDQIVFNLPHAGFKGKEDSLHLIKRGDETNTA >LPERR09G10280.1 pep chromosome:Lperr_V1.4:9:11562873:11565973:-1 gene:LPERR09G10280 transcript:LPERR09G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVLFVIKKIGIAVAGETLKLAKPLLANKAELKKAELVTALPINMKLIKDELELINAFLKELGMSGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVVGKNKEKESRSYVKKIIKKPQSLFSLEEIAIKADRINRQLMELSKRLGRWTQPILSGSSIPATNYDTEQRLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNIYKNEEIISKFNCSVWLSISQSCRIHDIWQNMLKELCGKDSREFDAENKDSSELKTELTKILDQKRYLIILDDVWLATDFLKIKEVLIDNGLGSRVIITTRIEEVASIAEDGCKISLEPLDDHDAWLLFCRKAFPKIENHICPPELQQCGMDIIEKCDGLPLALVAIGSLLSFKSKNNRDWRLFYNQLISEVHNNENLNQVEKILNLSYKHLPNYLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVARNSFNRIQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSHRVSVLQCNNDIRLSIDPSRLHTFIVFDTSMVLSSWPSFIPSESKYLAVLDLSGLPMETIPNSVGDLFNLRFLCLNDTNVKEFPKSISKLRNLQTLSLERTQLLNFPRGFSKLNKLRHLLVWKLVDATYKSLNNWESLEPFEGLWDLKELQSLGEVRATKDFVAKLRNLSQLRSLCITYVRSSHSVQLCNSLSKMHCLTRLNIRASNEHELLLLDGLTLSNPLEKLELAGQLSEGTLESPFFSAHGNKLLQMELSWCKLTVNSVARLAEFSSLTELRLTRVYTGHQLNFHANWFRNLKKAVFWDLQQVKQIFIHEGALANLHYLHIDSLMELRDIPIGIEFLGSVKEAYFTRMHSDFTRNLRMGKISHILKVHWSTQGVSTDLKELANLPEASNMTNANPEWRILGGSGWVFI >LPERR09G10290.1 pep chromosome:Lperr_V1.4:9:11572695:11575849:-1 gene:LPERR09G10290 transcript:LPERR09G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVACDTLKLAIPLAAKRSESKKVELVKALRFNMRQIKKELEIINAFLKELGMKGYKGEVVETWIRQVRRLAHDMEDVVDEFMYVVGKNKHRESWSCVRKIMKKAKPLFSLDEIATKADMINRELVELSKRLDRWTRPFCSESYIPPTNYSSEEQLYLPGHDYSINDDELVGIDKNRQTLVESLCLEDCSLRIIAVWGMGGLGKSTLVNNIYKNEAVASNFNCHAWLCISQSYKIYDIWRNMLKEFCGEDNQGFDAENMNSTELRLELAKILHKKRYLIILDDVWSAADFLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKISLEPLNNHDAWLLFCRKAFPKIKNHICPPELHQCGMDIVDKCDGLPLALVAIGSLLSLKPRNRKEWKLLYNQLISEVHDNENLNRIEKILNLSYKHLPNYLKNCFLYCAMFPEDYIIQRKKLIRLWIAEGFIEQKGACSLEDVAEGYLMELVRRSMLQVVARNSFNRIQCLRMHDILCEIAIVQSKKESFSTVYDDTHGVVQVGSDSRRVSVLQCNSGIRSTVDPSRLHTFLAFDTSMALSSASSFIFSESKYLAVLELSGLRIETIPHSVGELFNLRYLCLNDTNVKELPKSIRKLHNLQTLSLERTQLLKFPRGFSNLKKMRHLLVWKSVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATKNFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEYDVLLLEDLALPNPLEKLDLLGPLSEGTLESPYFTTYGNELLQMELSCCQLTVNRVAWLSELSNLTELRLTRVYTGQQLIFHANCFPNLKKALLWDLQQVNQICIQEGALSSLQYLHFDSLMELRNVPTGIEFLSSVKEAYFTMMHSDFVRSLQTGKVNHIPKGCLQNLLTCLENPATHNGAC >LPERR09G10300.1 pep chromosome:Lperr_V1.4:9:11584798:11592529:1 gene:LPERR09G10300 transcript:LPERR09G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKHPRVMSVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGVWPESGSFSDDGMGPPPERWRGICQDQHSDDAQMQTTEWRVKLIGARYFNKGYLATVGKAAAAAAAIRPASSRDSDGHGTHTLSTAAGGFVAGANLFGYGNGTAKGGAPRAHAAAYKVCWRPVNGSECFDADIVAAFDAAIHDGVNVLSVSLGGAPADGYLRDGVAVGSFHAVRHGVTVVCSAGNSGPARGTVSNTAPWLVTVAASTMDREFPAFLVLANNKRIKGQSLSPVSLPGAGAGSGGKNYYPLISSEQAKAANATVSQARLCMEGSLEKAKVEGKIVVCRRGKNARVEKGEAVRRTGGVGLVLANDEATGNEMIADAHVLPATHITYDDGVALLTYLKSTRSPSGYITVPNTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGEAGPTGLAFDSRRVLFNSESGTSMSCPHVAGIAALLKAIHPTWSPAAIKSAIMTTARVQDNTRRPMSNSSYLRATPFGYGAGHVQPNRAADPGLVYDMNATDYLAFLCSLGYNSSALATFMSTVPGEAPTHYDCAGRTVTPEDTNYPSIAVPHLSPSTSGAGEGRTVRRRVRNVGGAPAAYVASVNAPRGVSVDVRPSRLEFAAVGEEMEFAVTFRAKEGEFLAGEYVFGRLVWSEDGGAGAGGGRRRRVRSPIVVRVVEKKKRNRVV >LPERR09G10310.1 pep chromosome:Lperr_V1.4:9:11594798:11595000:-1 gene:LPERR09G10310 transcript:LPERR09G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLILLVLIAVGFVFGFGVYTRGFHKLTSSIHHQDAIYPGPGSLRAHHFFAPPPY >LPERR09G10320.1 pep chromosome:Lperr_V1.4:9:11599812:11604066:1 gene:LPERR09G10320 transcript:LPERR09G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAARGRRCRGVVLLLLLASVLAPLVLYGGDPVSIHLPDSTVASGVLDREDGESNLVVYPHVVAASDLSLAKDLTIERLGEHKNRVLSATDDWQVVEKSDATVLSKDPGSGNSDEVVTEGNGGALSGRDGVIREVVSRDRGGDGFGEPGEINEAEERHGDKEIKSDASEEEQNDGAVETGANNIAGMHTTGGLDSSLQKERITERLSEQVTKTNPTESYTRANNSNSALPTTGSASSMTSADATIRTIKEQLTRAKTYLSLVASRGNHGFARELRTRMRDIQRVLGDATSGGQLPQNVLSKIRAMEQTLGKVKRIHDSCAGAVNRLRAALHSTEERLQSHKKEANYLAQVAAKSLPKGLHCLPLRLTNEYYSTNSINKNFPHIEKLEDPKLYHYALFSDNVLAAAVVVNSTIVHAKKPVDHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGKARRDENPKFRNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWLIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIANNFDPRACGWAYGMNIFDLSEWRKQKITDVYHNWQKLNENRLLWKLGTLPAGLVTFWNRTFPLHHSWHQLGLGYNPNINDKDIRRASVIHYNGNLKPWLEIGLARYRKYWSRYVDFDQVFLRDCNINP >LPERR09G10330.1 pep chromosome:Lperr_V1.4:9:11607551:11607829:1 gene:LPERR09G10330 transcript:LPERR09G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAAEMGGRWPVEFLGGGGGIGGEAVYCAVILWLSVVAWIIFTSVGDDGERGRRRRHRRSSPVFVGAAGICDGTGPGCSGGFGPCGTCVD >LPERR09G10340.1 pep chromosome:Lperr_V1.4:9:11612068:11613320:1 gene:LPERR09G10340 transcript:LPERR09G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLAVAVAAMALMVVQPGRQMAAFAARMSPPSATATAAEAFWRAAMPGAAMPDAIVELIHHYEHDGEAHATGDDPPPPMNFNYDDYRTDAAAPSPDVLKHADVSSSPPETATSTVFFLEDAVRGGDGGAAIAVVCHVDTARWDPDHAAFRLLGVRSGGGAAVCRAVAGGCVLAKN >LPERR09G10350.1 pep chromosome:Lperr_V1.4:9:11617702:11622229:1 gene:LPERR09G10350 transcript:LPERR09G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADELEISPCDPNSDDDRRRQRRMGSLRRKAIHVLRSRGSRRRRRVGDFGFPAAMSIEDVRDAEEELAVAAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDSEKAMQMWGEMLKWRKEFGADTILEEFEFDELDDVLRSYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELIHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDRSELPEFLGGSCACSEGGCLGSNKGPWNDHVILKGIISDISNAESESDVDEFSLSAVQRSTDYSFLTPVSEEVKGSDSSPFCSCESCDRKGLPDITPESSQAVEQSSETVPKQLTSHRHSSTIESSNNLGNTAVSLHSSLAGRTFRNFVRRIGILMIKILSFFSLFVRRREHMLDTAQPSSAAEKGQRRSAMEDDMSACLERLEKLESLCNHLVSKPPDMPKEKERLLQQSFDRIKSIEADLERTKRVLHMTLVKQLEIMETLEVMQQQQSSRSSAKTEAAACKCKRHF >LPERR09G10350.2 pep chromosome:Lperr_V1.4:9:11617702:11622026:1 gene:LPERR09G10350 transcript:LPERR09G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADELEISPCDPNSDDDRRRQRRMGSLRRKAIHVLRSRGSRRRRRVGDFGFPAAMSIEDVRDAEEELAVAAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDSEKAMQMWGEMLKWRKEFGADTILEEFEFDELDDVLRSYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELIHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDRSELPEFLGGSCACSEGGCLGSNKGPWNDHVILKGIISDISNAESESDVDEFSLSAVQRSTDYSFLTPVSEEVKGSDSSPFCSCESCDRKGLPDITPESSQAVEQSSETVPKQLTSHRHSSTIESSNNLGNTAVSLHSSLAGRTFRNFVRRIGILMIKILSFFSLFVRRREHMLDTAQPSSAAEKGQRRSAMEDDMSACLERLEKLESLCNHLVSKPPDMPKEKERLLQQSFDRIKSIEADLERTKRVLHMTLVKQLEIMETLEVMQQQQSSRSSAKAC >LPERR09G10350.3 pep chromosome:Lperr_V1.4:9:11617984:11622229:1 gene:LPERR09G10350 transcript:LPERR09G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADELEISPCDPNSDDDRRRQRRMGSLRRKAIHVLRSRGSRRRRRVGDFGFPAAMSIEDVRDAEEELAVAAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDSEKAMQMWGEMLKWRKEFGADTILEEFEFDELDDVLRSYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELIHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDRSELPEFLGGSCACSEGGCLGSNKGPWNDHVILKGIISDISNAESESDVDEFSLSAVQRSTDYSFLTPVSEEVKGSDSSPFCSCESCDRKGLPDITPESSQAVEQSSETVPKQLTSHRHSSTIESSNNLGNTAVSLHSSLAGRTFRNFVRRIGILMIKILSFFSLFVRRREHMLDTAQPSSAAEKGQRRSAMEDDMSACLERLEKLESLCNHLVSKPPDMPKEKERLLQQSFDRIKSIEADLERTKRVLHMTLVKQLEIMETLEVMQQQQSSRSSAKTEAAACKCKRHF >LPERR09G10360.1 pep chromosome:Lperr_V1.4:9:11623361:11623977:1 gene:LPERR09G10360 transcript:LPERR09G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMLSPPTAAGLRLAPSPRLRSPSVTFPAKRRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGKTHFDAGDERAKEFAGLLKSNDPVGFNLVDVLAWGSLGHIVAYYILATCSNGYNPNFF >LPERR09G10370.1 pep chromosome:Lperr_V1.4:9:11625036:11627865:-1 gene:LPERR09G10370 transcript:LPERR09G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSANSARESVAESPSPASPPSNPVVSKGRGLRRWRRIPREHHDEGSPAAAGVGAGSGVPAAIGGGTDEDSSQLNKRRLPLGADAPKGKEAAAADGGGGGGGESPVASVESSFSPPEEAPASPPLQTKLDPDLGFLIASAGFSVGAGGADSDNSDDRTSKSSTNAAALPRHDFSFAGGRDRDRPRSHGGKGIRTARARAATARAAAAAVVATAVEPENSRSSVESNLRSSGAAHPRHHSSAGVSSNGIHKVMYDHDDDDDDDAEQSDGEPPSEEVRSGGGGFYRENGSVVGRLVKGSSDSDADDHGYDEGSIGKGENGEIHSGLDPYVESIALLRSAEEAIENEIQKFIEIRSETCENSTSNNSEIEWSSSFHFDKSAEELSEKLKLLESRLEEASVVINDKDSRILELDALNHKQPKHHVLCNSELLSLQSDMDQLFMEKMEAETQCFILTRASQAWKPLIEDQAALFDIQKSLPEDHKQLEAKLRHTENRAMMLEEMVEKLETQCKDLARTSEILKLQARASRASLFCSIQFVLLFIAVGTFLVRLLPSSSEYVPT >LPERR09G10380.1 pep chromosome:Lperr_V1.4:9:11628845:11630218:-1 gene:LPERR09G10380 transcript:LPERR09G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAGEDKGTIAVAGAGGGGSSSLHSKTLLKSEKLYHYVLESTVFPREHDRLRELRLATAKHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDDVGAPVIENAGVAHKVDFRVGLAMPILDELVAEEGNEGKFDFAFVDADKVNFLGYHERLLRLVRIGGLIAYDNTLWGGTVVAGDGDGEAAAPGWDRGKARLAREFNAAIAGDRRVQPCQLAIADGVMLCRRVA >LPERR09G10380.2 pep chromosome:Lperr_V1.4:9:11628845:11629826:-1 gene:LPERR09G10380 transcript:LPERR09G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDDVGAPVIENAGVAHKVDFRVGLAMPILDELVAEEGNEGKFDFAFVDADKVNFLGYHERLLRLVRIGGLIAYDNTLWGGTVVAGDGDGEAAAPGWDRGKARLAREFNAAIAGDRRVQPCQLAIADGVMLCRRVA >LPERR09G10380.3 pep chromosome:Lperr_V1.4:9:11629921:11630218:-1 gene:LPERR09G10380 transcript:LPERR09G10380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAGEDKGTIAVAGAGGGGSSSLHSKTLLKSEKLYHYVLESTVFPREHDRLRELRLATAKHPM >LPERR09G10390.1 pep chromosome:Lperr_V1.4:9:11634246:11637197:1 gene:LPERR09G10390 transcript:LPERR09G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEEKVASFLDVPKDIPIATKSLTIKTTAHSGRGGESDWSPAISPAISFSPYLNSPSPPSSAFVSALQSPYVSPRLVEPPPPPPNAAAGDAPTPASCTDGEDSRTPPSESYDSRRGCGAPPPPRASFSFAVPRVSFTRGVVAASPMSTTAGAAKLRSCDVYIGFHGGGGGGGDVTRFCKWLKSELELQGIASFMADRAKYSDAQSHEVADRIICSVTFGVVVVTMNSFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDKFEGKEGVEAFEGIMRCHEFKLETDESNWRSCVSRASVVLQSKLGRRCIGEKESQGIEGLPFPRNKNFVGREKEISEIESMFFGSVDDVEDLECSRGAMTNGESSGLSDGFADEDSDTVRTSNGRFISLDLRKCKQPKLAAFVDPVTGTASSVKGRIIQRQRSKHKKSRFRCNTKSHGNASVICINGISGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIWSFEEQEYDAFQRVKRELFRDVPYLLIIDNLDNERDWWEGKDLHDFIPRNTGACHVIVTTRLPHVMNLEPMHLLQLTFPEAVILMKGKMKEDYPSEEIEILRKFDERLGGLSFGLWIVGSLLSELMIAPSVLFEAVDQISLNDNMLTLGANDDSLWQNNLFLIKVLVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLAAMASKLPTKTNSIQLWGESLKTALLCGTHCFLAPQARKAEVESSLLLVKLGLARKTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSGNMSVYSDHMWASAFLVFGFKSEPPIVQLKPVDMVLFIKKMALPLAIQAFMTFSRCGSSLELLKVCTNILEDAEKSLASRMQDLKQGPLCWKKKLQTNNHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSHTLAAQETLAKLVRYRSKI >LPERR09G10400.1 pep chromosome:Lperr_V1.4:9:11638140:11638969:1 gene:LPERR09G10400 transcript:LPERR09G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCSRSEDEEEIFTMEVGFFDTIDDIKRSLQARRGWPAAAISLSHNGVALAGDGEDSAAGAEVYGIVEGSVIRVDLAADAADCTQQKQKERGGGGGGGEAMVRVKVVTRCGRWRAEVAVGARRPVAALRRELEERGFPVPRDGGGYFFIHRQSVMDEERSFEWHGVAAGDEVVVFEGSNWYLIGTAT >LPERR09G10410.1 pep chromosome:Lperr_V1.4:9:11639725:11644252:-1 gene:LPERR09G10410 transcript:LPERR09G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESGLMSYCANTWSVSDSDGIVVLSHLLFVALVFRFWEEKKDQSFSSDGPAHLSSDHGSLMEDWMPPPTPSPRTLMSSFLNDDIVTGSFANFFNDHESNKPQDQFERSRELVDSSKEVNSQSARPAFQRDASLEPSLLNPTQRSNSHSGLAERRAARAGFSVPKIDTSRAGSSTVIRSPVSIPPGLSPTALLESPVFLYNAMAQPSPTTGKLPFLMASNAKSTIPSATKMDEDCTFGNDTFSFQPHVGSRLQNFSAAEKGLNACNQNQSLSKIHQQESSLQSSFTAVKDTTAEKNVKTRTSDSMFGDNHSSSDEQEDDETNQNGEYSSAAMGSPAEDGYSWRKYGQKQVKNSENPRSYYKCTQPNCNVRKKVEHAQDGQITQIIYKGTHNHPMPPSNHRSDVSLSHTNDPEVNVLQNHGSQTGLNSASLWNNAKNDCLQDVQSGVMETTPAACLPMSTNCDTSIMESQDAVDVSSTQSNEEDDRATHGTASIECNGDGDETDSKRRKLDALTAATAAITTTSNTDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGGSGSGNAPSAPQANSSQRRQEPAQASFGQFGGVAPFSSFGLPPRNQFGPAASNFPFGMVPPGMAIPMPPLGPLAPTKMVGHPSNMQGYQGLMMSEGEMKTEPVSQSGFPAVTQSSSPFQQMMNRRPFGPQM >LPERR09G10420.1 pep chromosome:Lperr_V1.4:9:11653029:11653845:-1 gene:LPERR09G10420 transcript:LPERR09G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAIHARLAAPRPLAGGAAAASSSPPITRLPPLVTIPATRRGVRHQQHPRRRPRWRAAAAAITASLDLTEDNLGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVLARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >LPERR09G10430.1 pep chromosome:Lperr_V1.4:9:11654573:11656559:-1 gene:LPERR09G10430 transcript:LPERR09G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPPPTSSSAATGGDSLRNSCHDFARSLARLPATIMDGFSRSMAARRRRRPREIHPPPFPPLPPPLVPEELVFFTEFERCYGGHHPFFYGCRLAEALGIARREGKLVFVYLHDGGGGGGGDDQPYYTEQFCRRTLCSDVVVEFLDANFVSWGGVAGRGEAAGLVASLQPGSFPFCAVISPVSDEAIAVLQRIEGPVSPSELVEILQRTIDEQRAASGQSWPDELAAAVRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSRKSVQEGLANAKPKATTGLHPRYPGQAASKTAQTRPPAQSGTAPSHRTEAKTKIMIRFPNGERKQQAFHHTDTIREIYRYVDSLGIPDIGSYQLVRSYPRKTYSRQQLGMTLQDAGFHPSVTLYIEQLQ >LPERR09G10440.1 pep chromosome:Lperr_V1.4:9:11657308:11660403:-1 gene:LPERR09G10440 transcript:LPERR09G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYEDHADLFQFSEALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >LPERR09G10450.1 pep chromosome:Lperr_V1.4:9:11662275:11669833:1 gene:LPERR09G10450 transcript:LPERR09G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPLPVLLFLALTSLLSPAAAASPHISAVISQSGLDFAKDLLVTRAAEAITPLEVPDIERSVTIPLVGTVDMVASGIVLHGVAVENSTVAVGDDGIVVAALLSSVNLTMGWSYSYSAWIVTISDSGNASIQVDGMDVGISMGMKNQNGSLKLFVIECGCNMKGLDISLNGGASWFYQGFVDGFSNHIRSSVENAIRNKIMEGASKLDSFLGSLPKKIDVDSIAALNVTFVNDPIFKRSSIEFDIDGLFIPSDKSAAFRNRHFGGVKYAPPLGSSSKMLWISLDEDVFNSVSALYFKAGLLQHMVDKISDQFLLNTASWRLLIPRLYQKYPNDDMLLNISATSPPYVRINVGRIDATVDLDVTVNVLDFDEIVPVACLSVSVAVSGAAVVSGNNLAGRVELDYFSFTLKWSKIGKLHTIIVQSVMQLFLKRMFVPYVNSCITRGFPLPIIKGFSIRDAYILTSQSRIIRSPVTTAGTGEGGGRGGGLLSVLDRAMVDEEEYRRARAQVQRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVNQDYLFITHAHLDHIGGLPMYVATRGLYNLKPPIVFVPPCIKDDVEELLQIHRRMSQVDLKVELVALDLGETYEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGTQIQKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSRLQSKLSSKVVALTEGFKSDYR >LPERR09G10450.2 pep chromosome:Lperr_V1.4:9:11662533:11669833:1 gene:LPERR09G10450 transcript:LPERR09G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPLPVLLFLALTSLLSPAAAASPHISAVISQSGLDFAKDLLVTRAAEAITPLEVPDIERSVTIPLVGTVDMVASGIVLHGVAVENSTVAVGDDGIVVAALLSSVNLTMGWSYSYSAWIVTISDSDGFSNHIRSSVENAIRNKIMEGASKLDSFLGSLPKKIDVDSIAALNVTFVNDPIFKRSSIEFDIDGLFIPSDKSAAFRNRHFGGVKYAPPLGSSSKMLWISLDEDVFNSVSALYFKAGLLQHMVDKISDQFLLNTASWRLLIPRLYQKYPNDDMLLNISATSPPYVRINVGRIDATVDLDVTVNVLDFDEIVPVACLSVSVAVSGAAVVSGNNLAGRVELDYFSFTLKWSKIGKLHTIIVQSVMQLFLKRMFVPYVNSCITRGFPLPIIKGFSIRDAYILTSQSRIIRSPVTTAGTGEGGGRGGGLLSVLDRAMVDEEEYRRARAQVQRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVNQDYLFITHAHLDHIGGLPMYVATRGLYNLKPPIVFVPPCIKDDVEELLQIHRRMSQVDLKVELVALDLGETYEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGTQIQKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSRLQSKLSSKVVALTEGFKSDYR >LPERR09G10460.1 pep chromosome:Lperr_V1.4:9:11670423:11670890:-1 gene:LPERR09G10460 transcript:LPERR09G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHVKALFNRMKPGGLLQEKQQHDKTSTVKAEVRRNTLQDILYSPQNFQAEALGAPSPRRHSPKVCPINPDHSYENNSPNTQDSFSIDRFSIRSQNSIRRVSFRLPDESDIFIIPARKDPESYSTDEESVENVSEENIDARNIRYAITRY >LPERR09G10470.1 pep chromosome:Lperr_V1.4:9:11672621:11683160:1 gene:LPERR09G10470 transcript:LPERR09G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAAPAHDAIAAVAAAVMGGAGTVDEQKAAGVGILLQISMLVLSFVLGHLLRRRKVYYIPEASASLLIVERLEFFRSMASMRTNSSGQNYFLVILKFIENFVGSMSSGLRGAMAFALALQSVHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQQNFDGNNAGYTGQPCEGEASTSNTFKLKLTEIQKSTSSFALLDKHYLTPIFTSQNGDDHSDDADNIDFSCELTTALALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDGEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEDLIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDETAEADADMPPLEDDAGESKMEEVD >LPERR09G10480.1 pep chromosome:Lperr_V1.4:9:11684208:11684537:1 gene:LPERR09G10480 transcript:LPERR09G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERNQQQQQQRRKEDAGDTVQLPLETSPYVQYKKDDGLEDYKLRAYGARGHLPVSDVPHGGSGTDAPTVPGTAVPVAAAAKRDQRDDAAAGDLGGSNAINRHGVP >LPERR09G10490.1 pep chromosome:Lperr_V1.4:9:11684905:11688026:-1 gene:LPERR09G10490 transcript:LPERR09G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIDASVGGATSNSSALTIVHLHGPCSPLHSPHAGAPPPPSHAEILERDQSRANSIHRKTSTTSPDPTPTSKQAAASLPAHTGISLGTLNYVVTVGLGTPSRPYTVVFDTGSDLSWVQCSPCRAAGGCYEQQDPLFDPSQSSTYAAVACSEADCDLLDADTGSCSTDGDSRCRYKVLYGDDSNTYGDLARDTLTLSGNDKLYGFVFGCGEENSGLFGHADGLLGLGRESVSLPSQGAAIGYGPGFTYCLPSSSANGYLSLGAASSPAANAVFTPMVTRMDTPSFYYLDLIGIKVARRPLNFPATTFAVSGGGTVIDSGTVITRLPRRAYEALRSAFVREMVNRNYRRAPALSILDTCYDLTTGHGGKAGAAAAAADVPRVELDFAGGASVVLDAAGVLYVAKATQACLAFASTGDDTSIAILGNTQQKTFAVVYDVANKRIGFAPKACS >LPERR09G10500.1 pep chromosome:Lperr_V1.4:9:11692137:11700862:1 gene:LPERR09G10500 transcript:LPERR09G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGLATTKVLILAAGAGLTSSIVLRNGRLSDVLAELQEVMKGVNQGEGSSPYDIALLQAQIRNLAQEVRDLTISRPITILSGSSNSGGSLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMAKAVESMSKQLDQVSSALAATKRHLTQRLENLDGKMDEQVEVSKIIRNEVNDVKDDLSQIGFDIAAIQQMVAGLEGKIELLDNKQDATNAGVWYLCQIAGGLKDGISAKFFQEANEKLKLTELAQSETKKVKGLEFVLENTKEQKVIDTEPNSITKIDDEKPMKKVDGHVKSGAVHRCSRFSFRKEGLAL >LPERR09G10510.1 pep chromosome:Lperr_V1.4:9:11719281:11719694:1 gene:LPERR09G10510 transcript:LPERR09G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVKDETAFNSSVDGRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAVATDSELVDLYRGLFDRFDRDGDGAVDREEFRAEMKEVLLAVADGIGFLPVQMVVEEGSFLKMAVERELAKAA >LPERR09G10520.1 pep chromosome:Lperr_V1.4:9:11721077:11721874:-1 gene:LPERR09G10520 transcript:LPERR09G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAIFAAAVAAILLSSSSPAMAQKKTPPAAPSPVSLPPSLAPAPAPAPRYVDLAELLSVAGPFSTFLNYLEKTNVIDTFQSQANKTKEGITVFVPKNSAFAAIKQSTFSNLTGDQLKQLLLYHAFPKFYSLAEFKNLSELNPVNTFAGSPYTLNLTDDMGSIYVQSMWSKPKISSSVYATRPVAVYALNKVLLPMQIFSKDPPLAXVPTRRRHVPASCHLASRAASAPCRLREARPSAAVAKTSWAGPPAAVASEVCSRSLK >LPERR09G10530.1 pep chromosome:Lperr_V1.4:9:11727519:11728955:1 gene:LPERR09G10530 transcript:LPERR09G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVLYPGLAVSHFTPMMELAGVFLDHGYAVAVALINPSIKQDDAAFTAAVGRAISTKTSNFSFHILPQITNPPSLAFDDGDFFANYFDVTRRNNDHLHDFLLSIQPSRLHAVVVDTSCGFAIEAVRKLGSAAVPVYQFYPSDAGALAVRLQIPSIRGRRVGEGSDPVVFLGVPPLPACHVTDLHGRSVIEVDDELEGMMVAGARIMAEFDGIVINTCVSMEGRSLRALADPRCCPDGVVFPPVYAVGPLVNGEGTVAVDQTSSSVRHECLVWLDGQPDCSVVFLCFGSIAGVDGRVGGTHVERQVREIAGGLEKSGHRFMWVVRDAVLPEGFLERTIDRGLVVNGWVPQSSVLRHHATGAFVTHCGWNSALEGVTAGVPMLCWPMYAEQRINKVIVAEEMGVGVEMEGWLDGLVTAEEVESKVRLVMESEHGRKLRERVEAHQEAAAMAWKDDGSSHAAFAKLLSDIDKAHGKSSS >LPERR09G10540.1 pep chromosome:Lperr_V1.4:9:11730436:11730849:1 gene:LPERR09G10540 transcript:LPERR09G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDESAFNSSVDGRFAALDTNRDGLLSYAEMANELMSLRVLETHFGVDEAAMSADELVAVYRGLYARFDHDGNGTVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >LPERR09G10550.1 pep chromosome:Lperr_V1.4:9:11733022:11733435:1 gene:LPERR09G10550 transcript:LPERR09G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDSKTILSFVEDEGAFNSSVDGRFSALDTNRDGLLSYAEMSNELMSLRVLETHFGVDEAAVSAEELVAVYRGLFDRFDRDGSGAVDLGEFRAEMKEVLLAVANGLGFLPVQMVIEEGSFLKVAVDRELAKAA >LPERR09G10560.1 pep chromosome:Lperr_V1.4:9:11736383:11736796:1 gene:LPERR09G10560 transcript:LPERR09G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDSKTILSFVEDEGAFNSSVDGRFAALDTNRDGLLSYADMANELMSLRVLETHFGIDEAVVSAEELVAVYRGLFDRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVIDEGSFLKVAVDRELAKAA >LPERR09G10570.1 pep chromosome:Lperr_V1.4:9:11739314:11739724:1 gene:LPERR09G10570 transcript:LPERR09G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDSKTILSFVEDEGAFNSSVDGRFAALDTNHDGLLSYAEMANELMSLRVLETHFGVDAAVSADELVAVYRGLFDRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >LPERR09G10600.1 pep chromosome:Lperr_V1.4:9:11745851:11747349:-1 gene:LPERR09G10600 transcript:LPERR09G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPTGSTAPTACRTREPDTTPHTHAAAEAEVARVWSLGRFRDQNLPCGKEILSCLTECGSICTSTAARNTDIHYSGVALVSHRRLLGDDGELGAGCSTE >LPERR09G10610.1 pep chromosome:Lperr_V1.4:9:11749871:11750053:1 gene:LPERR09G10610 transcript:LPERR09G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLNPHPGISAGNEDYADLWYSERAIVDLRPVSPLLLSSHSYPALFVEMPTQGQQAGT >LPERR09G10620.1 pep chromosome:Lperr_V1.4:9:11751143:11753465:-1 gene:LPERR09G10620 transcript:LPERR09G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANSSILVPSLKFSDIVSM >LPERR09G10630.1 pep chromosome:Lperr_V1.4:9:11757630:11763218:-1 gene:LPERR09G10630 transcript:LPERR09G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAAARCATRGQRQRERGSRAAARAAAAARKPRRRVRGRERYGKRPLWRQDRGRLFFAAYIDILLPRSISLSLTTMTMKVTTTV >LPERR09G10640.1 pep chromosome:Lperr_V1.4:9:11771025:11772113:-1 gene:LPERR09G10640 transcript:LPERR09G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVRELWAESRDLLGLHSSAAPAAAVPRAETPPTPLAFLRDHVSPGRPLLLSSAATRHWPATTLWPTESYLSDSLRSTAVSLHLTPTGRGADALAPNPSLPSTNCFASALIRRVEFPTAIRLIQSSTSDSGVVAYAQQQDDCLRGEYAAVAGDVDAHVDWASEAFGCLPEAINLWIGSASSRTSFHKDHYDNIYAVVSGEKHFILLPPTEHHRLYIRDYPAASYIAEEEEEEGDELELNRLRLRLELEDPERIVPWSSVDPYPPSPEEAVAQASSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSVEIDGSRRIGDSEGDVEEKDD >LPERR09G10650.1 pep chromosome:Lperr_V1.4:9:11778252:11779459:1 gene:LPERR09G10650 transcript:LPERR09G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLAEEAPVVVEPTPAPAAAVEEETPAPAAEEAVPAEIKEEAAEPAEAPASEEVKEPEPAEPEPEAEPATVAEPEPEPAAAEAETKEAEETAAAPAAEEEDRWMDGSPASSYIDRLFTAVARRSLYYAWVCVARASDSTLVGVSVSGGVVMTWAK >LPERR09G10650.2 pep chromosome:Lperr_V1.4:9:11778252:11779865:1 gene:LPERR09G10650 transcript:LPERR09G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLAEEAPVVVEPTPAPAAAVEEETPAPAAEEAVPAEIKEEAAEPAEAPASEEVKEPEPAEPEPEAEPATVAEPEPEPAAAEAETKEAEETAAAPAAEEEVKVEEKSAEPEAATEEAAAAAPAVEEASE >LPERR09G10660.1 pep chromosome:Lperr_V1.4:9:11780350:11786407:-1 gene:LPERR09G10660 transcript:LPERR09G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADGFSCDHHRPSKRRRDGPDHALLPPSSIHASLMSHPESSSASSFLGPAASSSSSAAAGGGGGGPASQSSSAWAHFFVRATDSRTISMHAAWEDTVGAVLAHLADRGYGRDLRLVYGGKQLSSETSLAALRLPPDSTLHLVSRLRSTNYPYAWQLANYIASTAAAASSDPAAAKSGPAASIDELVKEFIFSAHRANLRPRHDRDPPVDAAASHSTVDHAAQYLEIFREAGATFSLVRLYATSPSSGFKCHAAGGIRCFLATDPSALPPDVVPVTAPVVLEFCRLLSYSVGKKDELYRSCRSTLASVLGLPSGLPPLMKSPSKLIEQVLPFAEEVVEVVMEELASLEMSVSSKNLEDLSNFFKVLRQQALRWVPNGRLLPKNLYNSERGHNDTWVWKLHQMAMNLLNKVDECLKLLEMDLSLSVESRGVNISQSRWVARSHMLVLLTQLDFMSKIYDDLAHNLRLVLLAHREPLNALVRCSKRSEHLYWLVKYKELLCFEARRNLVLMMLPEGKDEYGELHEMLIDRSHLLDESFEYIMQARPNDLRSGLFMEFKNEEATGPGVLREWFDMVCKALFSPQQVLFLSCPIKKQRFFVNEASVVDPLHLKYFIFSGRIIGLALMHRLQVGITLDRTLFLHLAGRSIKLEDISDADPFLYNSCKEILGMDAALVDGLALTFSRDVNELGSRKTIELCSGGKDLPVNISNREHYIDLLIKNTFVDSISLQLAHFAQGFSDILVDPGFQKDFFEFLDLEDLDRMIGGTKDNIKLEDWKLHTEYNGYKEKDRNVIWFWKVVESMPIEQQRQLLFFWTSVRYLPPEGFGGLTSKLYIYKVSESADRLPSSHTCFYRLCLPAYPSFKVTKNQLQKIVQEHTRLLVLLLELICVDP >LPERR09G10660.2 pep chromosome:Lperr_V1.4:9:11780350:11786407:-1 gene:LPERR09G10660 transcript:LPERR09G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADGFSCDHHRPSKRRRDGPDHALLPPSSIHASLMSHPESSSASSFLGPAASSSSSAAAGGGGGGPASQSSSAWAHFFVRATDSRTISMHAAWEDTVGAVLAHLADRGYGRDLRLVYGGKQLSSETSLAALRLPPDSTLHLVSRLRSTNYPYAWQLANYIASTAAAASSDPAAAKSGPAASIDELVKEFIFSAHRANLRPRHDRDPPVDAAASHSTVDHAAQYLEIFREAGATFSLVRLYATSPSSGFKCHAAGGIRCFLATDPSALPPDVVPVTAPVVLEFCRLLSYSVGKKDELYRSCRSTLASVLGLPSGLPPLMKSPSKLIEQVLPFAEEVVEVVMEELASLEMSVSSKNLEDLSNFFKVLRQQALRWVPNGRLLPKNLYNSERGHNDTWVWKLHQMAMNLLNKVDECLKLLEMDLSLSVESRGVNISQSRWVARSHMLVLLTQLDFMSKIYDDLAHNLRLVLLAHREPLNALVRCSKRSEHLYWLVKYKELLCFEARRNLVLMMLPEGKDEYGELHEMLIDRSHLLDESFEYIMQARPNDLRSGLFMEFKNEEATGPGVLREWFDMVCKALFSPQQVLFLSCPIKKQRFFVNEGRIIGLALMHRLQVGITLDRTLFLHLAGRSIKLEDISDADPFLYNSCKEILGMDAALVDGLALTFSRDVNELGSRKTIELCSGGKDLPVNISNREHYIDLLIKNTFVDSISLQLAHFAQGFSDILVDPGFQKDFFEFLDLEDLDRMIGGTKDNIKLEDWKLHTEYNGYKEKDRNVIWFWKVVESMPIEQQRQLLFFWTSVRYLPPEGFGGLTSKLYIYKVSESADRLPSSHTCFYRLCLPAYPSFKVTKNQLQKIVQEHTRLLVLLLELICVDP >LPERR09G10660.3 pep chromosome:Lperr_V1.4:9:11780350:11786407:-1 gene:LPERR09G10660 transcript:LPERR09G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADGFSCDHHRPSKRRRDGPDHALLPPSSIHASLMSHPESSSASSFLGPAASSSSSAAAGGGGGGPASQSSSAWAHFFVRATDSRTISMHAAWEDTVGAVLAHLADRGYGRDLRLVYGGKQLSSETSLAALRLPPDSTLHLVSRLRSTNYPYAWQLANYIASTAAAASSDPAAAKSGPAASIDELVKEFIFSAHRANLRPRHDRDPPVDAAASHSTVDHAAQYLEIFREAGATFSLVRLYATSPSSGFKCHAAGGIRCFLATDPSALPPDVVPVTAPVVLEFCRLLSYSVGKKDELYRSCRSTLASVLGLPSGLPPLMKSPSKLIEQVLPFAEEVVEVVMEELASLEMSVSSKNLEDLSNFFKVLRQQALRWVPNGRLLPKNLYNSERGHNDTWVWKLHQMAMNLLNKVDECLKLLEMDLSLSVESRGVNISQSRWVARSHMLVLLTQLDFMSKIYDDLAHNLRLVLLAHREPLNALVRCSKRSEHLYWLVKYKELLCFEARRNLVLMMLPEGKDEYGELHEMLIDRSHLLDESFEYIMQARPNDLRSGLFMEFKNEEATGPGVLREWFDMVCKALFSPQQVLFLSCPIKKQRFFVVESMPIEQQRQLLFFWTSVRYLPPEGFGGLTSKLYIYKVSESADRLPSSHTCFYRLCLPAYPSFKVTKNQLQKIVQEHTRLLVLLLELICVDP >LPERR09G10670.1 pep chromosome:Lperr_V1.4:9:11795341:11798403:1 gene:LPERR09G10670 transcript:LPERR09G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPSGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGVRSPVCTRTPTMYLDFTVRPAGAAAARQPVPAAWNAFVYVLEGEAVFRNGEKAGPHHLVLLGNGDGGIVEVWNKSADKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFNVNGFEKAKHWKSQALVALGVE >LPERR09G10670.2 pep chromosome:Lperr_V1.4:9:11795341:11798403:1 gene:LPERR09G10670 transcript:LPERR09G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPSGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGVRSPVCTRTPTMYLDFTVRPAGAAAARQPVPAAWNAFVYVLEGEAVFRNGEKAGPHHLVLLGNGDGGIVEVWNKSADKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFNVNGFEKAKHWKSQALVALGVE >LPERR09G10680.1 pep chromosome:Lperr_V1.4:9:11799196:11799567:-1 gene:LPERR09G10680 transcript:LPERR09G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAGWRRYAGKHKAVTASAGARWRWRWTRTWEGAAPAASAGRCPQRKRAQDPLGLIVAPVGAVARYCTTEGCWEGVGVGYDEDSATVELLLGLRGSAPSIQPPSPPRPSSASTAAIASQAH >LPERR09G10690.1 pep chromosome:Lperr_V1.4:9:11803582:11806869:1 gene:LPERR09G10690 transcript:LPERR09G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSHWESSSEDVTRPLLPLHDDDDSTASRRRRSCAVVRSLLGNKYVAVASGPAACAAICALVDLGDGHRAARNMVGVLAWVFLWWMTDAVPLAVASMAPLFLFPVFGISSSDAVAKSYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGEPVNPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRDDGDATSSSSPASRREVERFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPHQPPITFSTWMSFGLPMAIVLFLALWATLCVLYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWNKCRRLQWNIILLLGAGFAIADGFRSSGLTDILSGGLAFLRGAPALAVAPVACVFSGAVTEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYLRIKDMVIAGMPLKIVGIAALTILLPTLGSVVFGKDQKL >LPERR09G10700.1 pep chromosome:Lperr_V1.4:9:11820974:11825693:1 gene:LPERR09G10700 transcript:LPERR09G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYYTSFLKNHHRRYCFTSSPSPSSPAAAAAGAYSSLPFFPPTAPPTPTAPPSPPLREALPLLSLTPASRGPSRRPADYADDDGEEAGTASGCGDRHHRRRRREGRLVFADLNVDVEEGGGGDSASGSAAAAEGDVTVALHIGLPSPTSGGGGAAADLISGISASSSSAAAGRRTAAAREAEVEDDEDIGLDGEGEAAAPLGFASTTMGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAIGGAGLDEDDDGAVSDLDHDTSSAAARSL >LPERR09G10710.1 pep chromosome:Lperr_V1.4:9:11837782:11838398:1 gene:LPERR09G10710 transcript:LPERR09G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLAEKMQIPSPRSHAMPRPALAPNRTNKPPCTPPRRPSLPAARIRASPPGDASAAAAEENEKGKQQRRRCLRCGRLYHDGDNSPTACAFHGHITGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNHKGRRPNTGRDNWKGRWSCCQERDEGAPPCRRGRHVSYDDGFTLF >LPERR09G10720.1 pep chromosome:Lperr_V1.4:9:11839117:11840416:-1 gene:LPERR09G10720 transcript:LPERR09G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >LPERR09G10730.1 pep chromosome:Lperr_V1.4:9:11840882:11841457:1 gene:LPERR09G10730 transcript:LPERR09G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTESAASSPSPLSHILDVHTLSRRVDAQSGHIHAVRAIAGRAPPLPLLLRGLVAAAGGGGDAVVLCVEHTAVDAARRDMRVVSRNANLRGLVHVEEACSYRPHPENPDEWTIFRQETSIRCAPLAAVANRVAEMVERRCAEKFVENASKGREVVETICERLAIADQ >LPERR09G10740.1 pep chromosome:Lperr_V1.4:9:11846818:11847300:1 gene:LPERR09G10740 transcript:LPERR09G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAASASTSSPPPSSLSSSPAARPAPATLPVEAPAAAAAVTEVKEEIALAPAPAAKAVNRCSSCRKRVGLTGFRCRCGHLFCGEHRYSDRHGCGYDYKAAARDAIARDNPVVRAAKIVRF >LPERR09G10750.1 pep chromosome:Lperr_V1.4:9:11853343:11854677:1 gene:LPERR09G10750 transcript:LPERR09G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVEYIDLAAARAVRVLGRGAMGTVFLVASAADGDSVASQTYALKVFDKRSVAAASASEALRRARWEVTVLETLASSASQAHGGHLPTLLGQAETGELMAWALPYCAGGDLNELRHAMPDRVFSPDAARFYVAEIVSALGDLHAAGIAYRDLKPENVLLRDDGHVTLTDFDLSRLLLPPPKSPSSSTSSSTSSPPPPRRRSRSQLRRILGRSESATTMTSSSSSSSGDDAHNLAWFLNRSENEKLKKAKSARVTPVSKKKKSFCSSPAASGGEKSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGAHRRETFRNVLLREPEFTADSRRRWPELVDLITRLLEKDPARRLGFAGGADEVRSHPFFAGVAWDLIGEVSRPPYIPPPADDDIVAGERFSVVEYFDKLHESPAAAPPPETEEEMTEFLPEF >LPERR09G10760.1 pep chromosome:Lperr_V1.4:9:11863101:11866257:-1 gene:LPERR09G10760 transcript:LPERR09G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIENVEESDERSTDVERDGKQGKEVESDYEPARDSVSSQGEANNSEDTRAKRVSRVPKKLVKNESKENSPRSGRISSNRKPHIKLQYIPSNNLQNKSPKPNKAANGAKTLELTKPETVTVSSCPSSEVSEEMDDKAVEDDKSSIEDVADDKATEGKACDDKATEGKATDDKAFKNRDADDTTIEGVTTDDKAFERRDADETTIEGRGTDDRSIEGTTDDKTIAGTATDEKAIESIAADDKPSEEAKEIDILDEAPNCDQSTGTDEEIADTEETIPYDDKSTVHEKNEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIVQTFGISRQPNLAMKAFSTNGNAKMLGKNSLRRKNNYNGKHSRPAIWPIPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVEDISSPKAESLLGPALGDPQQGNLSIHLWRTAFQDAFNRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEIPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDNFGMVSAAPETHGQVGDENDDRRDAAGLSTFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNAESLLERFTEKDVITTFPCTASPVVYCPPSPEDVAKKVADAGGNAEPEIRASMVQMRGYTSDDDLDDLDNPLTSLYDRSAPPSPCNGVSRSSALQGGSMANARYQLLREVWSEGPSFSDR >LPERR09G10770.1 pep chromosome:Lperr_V1.4:9:11873473:11873796:-1 gene:LPERR09G10770 transcript:LPERR09G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARLRGAARVRGGGGHGEGGGRWTTPGHEEAPKGHFLNRTPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHEKALERLQQQELASAEAQGE >LPERR09G10780.1 pep chromosome:Lperr_V1.4:9:11874654:11876503:-1 gene:LPERR09G10780 transcript:LPERR09G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLLLAVSSSLSLSLLSTPAAAAGVFSVADYGAAGDGLRYDTAAIQATIEACAAAGGGRVLLPSPGSYLTATVHLRSRVVLEVAPGARLLGGARQRDYPPESRRWYVVLAENTTGAGVTGGGEINGQGGEFVVTPNPQKNIMVSWNVTGDCKGDECRPRLVGFIDSKDVRIHDITLNQPAYWWCDNTMIHNVSIFGDFDTPNNDGIDIDDSNNTVITNCHIDTGDDAICPKSTTSPVYNLTVTNSWIRTKSCAIKLGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSAVVFSNIKMSTRYYHPSWWGRAEPIYITTCPRHPGYKEGTISDIQFINITSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYTGGLYDYRPGCQEMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFRPSTVDKLSFHDWQSLDVSR >LPERR09G10790.1 pep chromosome:Lperr_V1.4:9:11878647:11883598:1 gene:LPERR09G10790 transcript:LPERR09G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTPTICIQRCAFSMYSQPNQLQGGLTKGMTLWKYSRSQAVSHHVKTGLVGLPPRMNIKSPQTCFVSLGKQLGCRLPVRDNILKSKLDVPSCRKFYSILWDSRSIGQKVGGIGTGLCLGFAVPAKANAGGPVDNNTDSPQSGEASTSYAHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPTPNEDMQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALNIPGKGGPRSRM >LPERR09G10790.2 pep chromosome:Lperr_V1.4:9:11878647:11885862:1 gene:LPERR09G10790 transcript:LPERR09G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTPTICIQRCAFSMYSQPNQLQGGLTKGMTLWKYSRSQAVSHHVKTGLVGLPPRMNIKSPQTCFVSLGKQLGCRLPVRDNILKSKLDVPSCRKFYSILWDSRSIGQKVGGIGTGLCLGFAVPAKANAGGPVDNNTDSPQSGEASTSYAHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPTPNEDMQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALNIPGKGGPRSRIAARCSCSKQAQHCIFACKHVHPS >LPERR09G10790.3 pep chromosome:Lperr_V1.4:9:11878647:11881913:1 gene:LPERR09G10790 transcript:LPERR09G10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTPTICIQRCAFSMYSQPNQLQGGLTKGMTLWKYSRSQAVSHHVKTGLVGLPPRMNIKSPQTCFVSLGKQLGCRLPVRDNILKSKLDVPSCRKFYSILWDSRSIGQKVGGIGTGLCLGFAVPAKANAGGPVDNNTDSPQSGEASTSYAHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPTPNEDMQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALNIPGKGGPRSRM >LPERR09G10800.1 pep chromosome:Lperr_V1.4:9:11887400:11890324:1 gene:LPERR09G10800 transcript:LPERR09G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNQLPSGVNAGVRTPPQMSSPGLLRYRSAPSTLIGEVCGDFLQAATGGGGGGGGVGQQLQLQRPASPPDHAAAAAADTVLARFLSGHGHGEIRDKPPRPAVAAHFVPEDSAAAMASHQQQQQQLMYQSHQQMASAMEGLYRTVSSGGGGAESAAAAVAGNSLLRQSSSPAGFLNHLTMDNGYGNMLRAGMAGAGVAGGDPRLKGQLSFSSRQGSVMSQISEMGSDELAGGSSSPEAGSNGAGAGGYGGYQWEDPSPPASLHGGNNKRPRGGDDILPRQLSLPASSTKSGGKPPSSAAAASPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTSDMLDLAVDYIKELQKQVKVLKDGRSNCTCSASKQKHFAG >LPERR09G10810.1 pep chromosome:Lperr_V1.4:9:11894334:11895211:-1 gene:LPERR09G10810 transcript:LPERR09G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPHGGGIIEDFAGTASPASSVNHPTIHCIIFRFQRMRQPFSIPRSMRQQGTISSASIPNPTTSSSGKTGRQYGILSRKPTLFVEDMEITSSY >LPERR09G10810.2 pep chromosome:Lperr_V1.4:9:11894650:11897507:-1 gene:LPERR09G10810 transcript:LPERR09G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKPIPTAAEAVAGDGEAYRIRKVELADITKGFMDLLNQLSPSPPLTEEAFRARFEELAALGGDHLVLVAEDAATGRIAAAGAVLVERKFIRRCGRVGHVEDVVVDAAARGRGLGERVVRRLVEHAEGRGCYKVILNCTPELTGFYAKCGFVEKNAGHYLLCFHTKPYYFILRKNW >LPERR09G10820.1 pep chromosome:Lperr_V1.4:9:11898033:11901414:1 gene:LPERR09G10820 transcript:LPERR09G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGDGEATPPPDGPPSRVSVHSSSSTSRRRCALTSWFREPASPRRHAWVSLQGRLVDAEEATSAATAAPGLSPDEAVAWEFFSPLHRVLLVATVAAASSRSHSARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCDTFISSEQEKSELVGSKKLGDNDGARCCVCAKPEIAATPQRTKDICGMDDAKSDVVDRSSVSHMEHDERRISDLSDFCWSVVSSVDNHPNGENQLSLLAAEQELYNLQKECEEKDAIIKELTATAHASSTADAKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLNPTCSELPVMSNNVLYDMSSTSPSSSDSESPVAPREYLDEHLMVDGIVGDCESNRSSGVSMEKAYFPAKRLSACKLRSTSPLKENRIYPKVETSSVGRQKQLISSNGDFKRTRRQSQQDSKNKATRRWM >LPERR09G10830.1 pep chromosome:Lperr_V1.4:9:11902160:11906865:1 gene:LPERR09G10830 transcript:LPERR09G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEEEGGRRVVVLECVAGSSKAEEWGGGAGGVVQEGDVVEAVRVGGGGAAAAVMEAPFKGGRAALHKAMHSAFKRGDTSVEVRVRGGRELQACILPYSGGGGGGGAGVGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSKAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANTWLYSSQFSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSTAGEIPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAKEASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPTGPVERIIRSPKLPPQSRLPQVPWNLIESIEPRIYVEDDYVGDLSFRLDDDVSVGSSWARLSSTRIIVNAGLKEKARRWSSQTHFAATKKHCNSCSGHGRDQFFRVDTMQLIFWSQNLLKSSLTSFQLRFRSATNDAKLSSASCFGSSHLGDPFFWKVVRLEKKIELLCKAIVRLFFHMNCFTESRASDAICRNPSTIASWLTGPGTGIDSSRLAPIDDLADRLDIALLLELAVACLSAFLSSEQ >LPERR09G10830.2 pep chromosome:Lperr_V1.4:9:11902160:11907196:1 gene:LPERR09G10830 transcript:LPERR09G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEEEGGRRVVVLECVAGSSKAEEWGGGAGGVVQEGDVVEAVRVGGGGAAAAVMEAPFKGGRAALHKAMHSAFKRGDTSVEVRVRGGRELQACILPYSGGGGGGGAGVGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSKAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANTWLYSSQFSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSTAGEIPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAKEASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPTGPVERIIRSPKLPPQSRLPQVPWNLIESIEPRIYVEDDYVGDLSFRLDDDVSVGSSWV >LPERR09G10830.3 pep chromosome:Lperr_V1.4:9:11902160:11908176:1 gene:LPERR09G10830 transcript:LPERR09G10830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEEEGGRRVVVLECVAGSSKAEEWGGGAGGVVQEGDVVEAVRVGGGGAAAAVMEAPFKGGRAALHKAMHSAFKRGDTSVEVRVRGGRELQACILPYSGGGGGGGAGVGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSKAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANTWLYSSQFSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSTAGEIPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAKEASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPTGPVERIIRSPKLPPQSRLPQVPWNLIESIEPRIYVEDDYVGDLSFRLDDDVSVGSSWSNSSSRASVVSCQQEEEQASTKKST >LPERR09G10830.4 pep chromosome:Lperr_V1.4:9:11902160:11906865:1 gene:LPERR09G10830 transcript:LPERR09G10830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEEEGGRRVVVLECVAGSSKAEEWGGGAGGVVQEGDVVEAVRVGGGGAAAAVMEAPFKGGRAALHKAMHSAFKRGDTSVEVRVRGGRELQACILPYSGGGGGGGAGVGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGAALFDPHNSQCGAEGEGEKVEQPDTFCGNEEALQQLLRPWPGPVLQGGHNAAHLLVTEPAEILTLRFRSATNDAKLSSASCFGSSHLGDPFFWKVVRLEKKIELLCKAIVRLFFHMNCFTESRASDAICRNPSTIASWLTGPGTGIDSSRLAPIDDLADRLDIALLLELAVACLSAFLSSEQ >LPERR09G10830.5 pep chromosome:Lperr_V1.4:9:11907037:11907585:1 gene:LPERR09G10830 transcript:LPERR09G10830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDDVIISGGLAAAFFFVDLGNSHDRIWAPRCFGRGCAAGVLMLVRNFRAPASAMPWSRPIVAGRNAGGGGAATALPADARKRSAADGVAEWRNAGWTLCRRWWWSVDDGKRTSLSEKAAASSSSSPALATPLPLPPARWSKAMPLQFSDRLRLSWQMWLSPDICSSS >LPERR09G10840.1 pep chromosome:Lperr_V1.4:9:11906016:11908375:-1 gene:LPERR09G10840 transcript:LPERR09G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAEAFGTAAPPTPPVQATRQDVQAAIAKAVELRALHAALLQRGGGGASAGRSPAIIRLPPAASPALSRTTAAATTDEDYPVFTPVYDEEQMSGLSHICQDNRSRSENWSGIALDHRAGGNGSGVASAGDDDDDAAAFSDYDNLNAFYSSTSDVRFPSSTDHHHRRHKVHPAFLHSATPSAADRFLASAGRAVAAPPPPAFRPATIGRDHGIADAGALKFLTSIRTPAAQPRPKHRGAQILSWLFPRSTKKKAAARPPEMMTSSSPTAIERENMSQLLKEWGLLSLDSLRRELADANACRDAALQDAAEMRSSLGELTTKLAGLEAYCSELKKALRQATASSSSNAMSRRSARSSIGASRELSMPVPGPVSHEAMVEGFLQIASEARLSVKQFCKGLIHHVEEEPDNGLAEKLNLLLQPYNLMISDSDKRHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRWLDPKQEAEESFASFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSTLKNWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFSPALTIMRVEESRAFDQMYMEDIIPDKQQMNNPCQVKIMVMPGFYVQDRVLKCRVLTTL >LPERR09G10850.1 pep chromosome:Lperr_V1.4:9:11913089:11918043:-1 gene:LPERR09G10850 transcript:LPERR09G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVEPPATEAGRRAALLREITEEGGFAFVASAEKAAGGDLRAAEAAREMAWEQLHSGPWSEVGSAWRDAYALACLHVARLRHHGGDGRRAALRALDMGLIMGGNLLRGDLEAAVARIVADEAVGGGGGDGEEAAVDEEERRWREGLERNRDIADALNILPAKSLSSKKVERRSCISLEEFICDCFLRESPVIISGSIDHWPARTRWKDIQYLKKMAGDRTVPVEVGKNYVCNEWKQELITFSQFLERMWSTGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASLSEDLYPHTETMLSNTSQVDLDNIDLKEFPKVENLDFMDCILEEGDLLYIPPKWWHYVRSLSISFSVSFWWRATVLPSKGS >LPERR09G10860.1 pep chromosome:Lperr_V1.4:9:11920479:11928377:-1 gene:LPERR09G10860 transcript:LPERR09G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSGHLQEMMNMSFGMMNNHYHHHPAASSSSSSSMQAASSFMSSKEASGGGAYDNLGELDHALFMYMDNGGVSSHQEQRQTLNIFPSQPMHVEPTPKGEIGLVLSPAPVGSKMPRSSSPDDDDHHQQQQAAAMEELATGSRRQDHHLQHQPFAANPAGINRDVKPVTAKKDHRRTVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSSSLLAESGAASKGSLPLGSIDSPLSSEAAMFDVEYARWQEEHYRLMYELRSAIQQQLGDGELQMYVDSCVAHHAEVAAIKEGVIKGDVFHLISGVWRSPAERCFLWMGGFRPSEAIKMQMMLSHVEPLTEQQIVAVYGLQQSAMETEEALSQGLDALYQSLSDSVVSDALSCPSNVANYMSQMAVAMNKLSNLENFIHQAENLRQQTLHRLQQVLTPRQMARSLLAFSDYFHRLRTLSSLWLTRPRHPAAHVVDQPPSAAAAAAAPSAAASAVGPHT >LPERR09G10870.1 pep chromosome:Lperr_V1.4:9:11937851:11939899:-1 gene:LPERR09G10870 transcript:LPERR09G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAIIATELGDSLDFEVDGIQNLTENDVSDEEIEVDDLARRMWKDRVKLRRIKERQHKLALVQAELDKSKPKLISDQAMRKKMSRAHDGILKYMLKLMEVCHARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENLASVDAPSSGIKSQHSLMDLQDATLGSLLSSLMQNCNPPQRKFPLDKGTPPPWWPSGNEDWWIALGLPVGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLIHCIDNGMSEVTQHLALEYRNGDTLSSSNEYDVDGFEDAPLSTSSRDDEQDLSPAAQLSEEPAPTRRERANVKRPNQVVPKKAGTKEPPKRKKPCHNVTVIEHEVQRADDAPENSRSMIPDMNRLDQVEMPGMANQITSFNKEGNTSEALQHRGNTQELAHLPVTNFNHYLSAQATDATPVSICVGGQSVPYEISDNSRPKTGNIFPLDSDSGFNNLPSSYQTIPPKQSLPLSMMDHHVVPMGIRTPADNSPYVDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSLGCDTSWCLFLSSILSLGYHLNLSMEGYTFFVLPSNINLDS >LPERR09G10880.1 pep chromosome:Lperr_V1.4:9:11943259:11970671:-1 gene:LPERR09G10880 transcript:LPERR09G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMERGFFFTLLLGALFCNGAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVALDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGLIPYVTIFHWDTPQALESKYGGFLSENIVKDYVDFAEVCFRLFGDRIKYWTTFNEPFTYSAYSYGKGAFAPGRCSPYVSKSCGAGDSSREPYIVAHHIHLSHAAAVHLYRTKYQPIQKGQIGIVVVTHWFIPYNDTEADRGAQQRSLDFIYGWFMDPIVHGDYPGTMRGWLGDRLPTFTPEQSALVKGSYDFIGVNYYTTYYAQSIPPPKSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPPIYVTENGIDEGNNATVPIKEALKDGHRIEFHSKHLQFINHAIRNTHAHTSTSNYDTLIAEKLIYLSMSLNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDHQDNLKRYRKQSSYWIEEFLKRHSVQASFNRYSFPKDFIFGTGSAAYQYEGAVKEGGRGPSVWDTFSHIPGKVLNGDTGDVADDFYHRYKDDVNLLNDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADLCFREFGDRVKFWATFNEPWTYCSQGYGTGVHAPGRCSPYVSTSCAVGDSSREPYIAAHHIILAHAAAVRLYRAKYQATQCGQIGITAVSHWFVPYSNNAADRGAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPTFTAEQAMAVKGSYDFIGVNYYTTYYAKSVPPPNALKLSYDADIRANTTGFRNGKPIGPQEFTPIFFNYPPGIRELLLYTKRRYNNPVIYVTENGIDEANNKSLPIKEALKDGHRISFHSKHLQFVYHAIRNGVRVKGYFTWTFLDCFDFVMRFRIGMERQIVSVLVFVALLCNGVHASFNRYSFPKDFMFGTGSAAYQYEGAVKEGGRGPSVWDIFSHIPGKVLNGDTGDVADDFYHLYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVDFYNSLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADVCFREFGDRVKFWATFNEPWSFCSQAYGTGILAPGRCSPYVSTSCTSGDSSREPYLAAHHIILAHGATVHLYRSKYQATQHGEIGITLVSHWFVPYTDNAADRGAVQRSLDFMYGWFIDPIVHGNYPDTMRGWLSDRLPAFTAEQVATVKRSYDFIGVNYYTTYYAKSVPPPNALRLSYGAEIRANTTGFRNGKPIGPQEFGSTLFNYPPGIRKLLLYTKRRYNNPVIYITENGIPEGNNKSLPISEALKDGHRISFHSKHLQFVNHAIRDGVRVKGYFTWTFMDCFEWGSGYLDRFGLIYIDRENNLKRYRKQSSYWIANFLKRKY >LPERR09G10880.2 pep chromosome:Lperr_V1.4:9:11943259:11961835:-1 gene:LPERR09G10880 transcript:LPERR09G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRGLLFTLLLGALFCNGAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVALDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVDFYNSLIDDVIAKGLIPFVTIFHWDTPQALESKYGGFLSENIVKDYVDYAEVCFHLFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSPYVSKFCGAGDSSREPYIVAHHIHLAHASAVHLYRTKYQPIQKGQIGIVVVTHWFIPYNDTEADRGAQQRSLDFIYGWFMDPIVHGDYPGTMRGWLGDRLPTFTPEQSALVKGSYDFIGVNYYTTYYAQSIPPPKSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPPIYVTENGIDEGNNATVPIKEALKDGHRIEFHSKHLQFINHAIRNTHAHTSTSNYDTLIAEKLIYLSMSNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDHQDNLKRYRKQSSYWIEEFLKRHSVQASFNRYSFPKDFIFGTGSAAYQYEGAVKEGGRGPSVWDTFSHIPGKVLNGDTGDVADDFYHRYKDDVNLLNDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADLCFREFGDRVKFWATFNEPWTYCSQGYGTGVHAPGRCSPYVSTSCAVGDSSREPYIAAHHIILAHAAAVRLYRAKYQATQCGQIGITAVSHWFVPYSNNAADRGAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPTFTAEQAMAVKGSYDFIGVNYYTTYYAKSVPPPNALKLSYDADIRANTTGFRNGKPIGPQEFTPIFFNYPPGIRELLLYTKRRYNNPVIYVTENGIDEANNKSLPIKEALKDGHRISFHSKHLQFVYHAIRNGVRVKGYFTWTFLDCFDFVMRFRIGMERQIVSVLVFVALLCNGVHASFNRYSFPKDFMFGTGSAAYQYEGAVKEGGRGPSVWDIFSHIPGKVLNGDTGDVADDFYHLYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVDFYNSLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADVCFREFGDRVKFWATFNEPWSFCSQAYGTGILAPGRCSPYVSTSCTSGDSSREPYLAAHHIILAHGATVHLYRSKYQATQHGEIGITLVSHWFVPYTDNAADRGAVQRSLDFMYGWFIDPIVHGNYPDTMRGWLSDRLPAFTAEQVATVKRSYDFIGVNYYTTYYAKSVPPPNALRLSYGAEIRANTTGFRNGKPIGPQEFGSTLFNYPPGIRKLLLYTKRRYNNPVIYITENGIPEGNNKSLPISEALKDGHRISFHSKHLQFVNHAIRDGVRVKGYFTWTFMDCFEWGSGYLDRFGLIYIDRENNLKRYRKQSSYWIANFLKRKY >LPERR09G10880.3 pep chromosome:Lperr_V1.4:9:11943259:11970671:-1 gene:LPERR09G10880 transcript:LPERR09G10880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMERGFFFTLLLGALFCNGAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVALDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGLIPYVTIFHWDTPQALESKYGGFLSENIVKDYVDFAEVCFRLFGDRIKYWTTFNEPFTYSAYSYGKGAFAPGRCSPYVSKSCGAGDSSREPYIVAHHIHLSHAAAVHLYRTKYQPIQKGQIGIVVVSHWFIPYSDTEADRGAQQRSLDFMYGWFMDPIVHGDYPGTMRGWLGDRLPTFTPEQSALVKGSYDFIGVNYYTTYYAQSIPPPKSNELSYDLDSRANTTGFRNGKPLGPQEFTPIFFNYPPGLRELLLYTKRRYNNPPIYVTENGIDEANNATLSVKEALKDGHRIEFHSKHLQFISHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDHQDNLKRYRKQSSYWIEEFLKRHSVQASFNRYSFPKDFIFGTGSAAYQYEGAVKEGGRGPSVWDTFSHIPGKVLNGDTGDVADDFYHRYKDDVNLLNDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADLCFREFGDRVKFWATFNEPWTYCSQGYGTGVHAPGRCSPYVSTSCAVGDSSREPYIAAHHIILAHAAAVRLYRAKYQATQCGQIGITAVSHWFVPYSNNAADRGAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPTFTAEQAMAVKGSYDFIGVNYYTTYYAKSVPPPNALKLSYDADIRANTTGFRNGKPIGPQEFTPIFFNYPPGIRELLLYTKRRYNNPVIYVTENGIDEANNKSLPIKEALKDGHRISFHSKHLQFVYHAIRNGVRVKGYFTWTFLDCFDFVMRFRIGMERQIVSVLVFVALLCNGVHASFNRYSFPKDFMFGTGSAAYQYEGAVKEGGRGPSVWDIFSHIPGKVLNGDTGDVADDFYHLYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVDFYNSLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADVCFREFGDRVKFWATFNEPWSFCSQAYGTGILAPGRCSPYVSTSCTSGDSSREPYLAAHHIILAHGATVHLYRSKYQATQHGEIGITLVSHWFVPYTDNAADRGAVQRSLDFMYGWFIDPIVHGNYPDTMRGWLSDRLPAFTAEQVATVKRSYDFIGVNYYTTYYAKSVPPPNALRLSYGAEIRANTTGFRNGKPIGPQEFGSTLFNYPPGIRKLLLYTKRRYNNPVIYITENGIPEGNNKSLPISEALKDGHRISFHSKHLQFVNHAIRDGVRVKGYFTWTFMDCFEWGSGYLDRFGLIYIDRENNLKRYRKQSSYWIANFLKRKY >LPERR09G10890.1 pep chromosome:Lperr_V1.4:9:11975891:11976360:-1 gene:LPERR09G10890 transcript:LPERR09G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGDAMDLTPLRLGLDTAVDEVREGLGLAPISSAMARRRVAADHLRRAAEYVALRATATGATAYSCGCCGERVAPPAPARPAARLEGWLPWGQGYI >LPERR09G10900.1 pep chromosome:Lperr_V1.4:9:11977040:11980059:-1 gene:LPERR09G10900 transcript:LPERR09G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGAAGAGDDVHGLKFGKKIYFEQDAAAAAVESSSSPSGGGGGGGKKGKGVASSSSSASAAAPPPPPPRCQVEGCGVDLSGVKPYYCRHKVCYMHAKEPTVAVAGLEQRFCQQCSRFHQLPEFDQGKKSCRRRLAGHNERRRKPPPAPLSSRYGRLAAAFHATVGPDEPGRSRSFVVDFSYPRVPTSVRDAWPAIQPGDLMSGSIQWQGGHELHPHHSAVVGYGDHHAFSSHGGSAAGAPMLHHPAFELTSGGCLAGVATDSSCALSLLSTQPWDTTTQSTTSSHNRPPAMSTTASAFGGGNNPVAPSVMASNYMAASSGWNSSGRGHDGTRNMHPTPHGVALNDVPPGSVHHGHFSGELELALQGGGPSNRPETEHGSGGGGGGFNHAMNWSL >LPERR09G10910.1 pep chromosome:Lperr_V1.4:9:11987233:11987418:1 gene:LPERR09G10910 transcript:LPERR09G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSGTRPAGGKEPGTRAGGRAGRKPAMGLMVASVGRHLAGEEEASCCDADKDGLIDGIS >LPERR09G10920.1 pep chromosome:Lperr_V1.4:9:11994161:11995462:-1 gene:LPERR09G10920 transcript:LPERR09G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSATPNPLPRGGKGRKPIEAAKTKDDGSSEGEEEVIPAYKRRGRPQKHHSNDDEEDDDVIAKTEDEDDDIAKIDARKTIASPPPPPPPSKESKGRKRRRQLKRSGGESAGTEEGKDHEASSRQNGFRQHGSRRKNSTPRRAAEAGVECK >LPERR09G10930.1 pep chromosome:Lperr_V1.4:9:12000687:12001130:1 gene:LPERR09G10930 transcript:LPERR09G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKSRTAGHLRLPPSPWHLPIIGGCPHRAFRDLAAVHGRARCYIAPPRLHRSPPSWPPPRTRRERCCRRRQDHAFATRPSLAIPTRLLYDCTNIAFAPHDSLLARLLHEANTLLGVSRDWHGCGHVDDTDTKARRAFELADRSDP >LPERR09G10940.1 pep chromosome:Lperr_V1.4:9:12002116:12002337:1 gene:LPERR09G10940 transcript:LPERR09G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLCNKEAMLKLQHELRCSTKTNSLITEQDLPLMVYLRAVIKETIRLHPSAPLLLPHECMQHAKVHGYDVP >LPERR09G10950.1 pep chromosome:Lperr_V1.4:9:12003477:12004581:-1 gene:LPERR09G10950 transcript:LPERR09G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSWIPLLFLIVFSTCTTNSPATMECDAGDRAALLKIKAQLGNPDLDITGTSISGPVPVAYLAGATGLRTLVISDSKLAGSIPPSLAELPNLRYVDLSGNMLTGTIPPGLVHGEFRFLILSCNQLTGEIPSCYGGDDIDTVDLSHNRLTGDPSPFLFGITRPTTKIDLSWNELEFDMTGVMFPHHLRFLDLSHNRIAGKVAKSLMDVRLEHFNVSDNELCGEIPAGRFMASHGADCYARNRCLCGTPLPPCNGGL >LPERR09G10960.1 pep chromosome:Lperr_V1.4:9:12005150:12009950:1 gene:LPERR09G10960 transcript:LPERR09G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNPYDTSGTDDDLPPQQNRGLRWRSFSANGRASVMPLSHVRPHNDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRVLHDIEPGSAAKRQRTSLSIPSHSAGLQSPVLPSPSVPSSAKWGPLSGTKGKKSRTTTPLALPSSDPSSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTAAQTWESVRLSDLAPEDIIWDLDGQGISNRDGWGPHPGMRRHPGNNGTIAMAARGGRFLRNEPMNNYAPPQNGISRNIGHIDVPNTESVVIEVERVLSNPNLGEIEKARKLLQDQEQSLLDAIARLDEASDSESEDVAIQAQMVSAGDHMGRNGVAC >LPERR09G10970.1 pep chromosome:Lperr_V1.4:9:12010956:12013504:-1 gene:LPERR09G10970 transcript:LPERR09G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSDGTDASPGETTATASASASAGGGEIWGTLEELLLACAVSRHGTGSWDSVAMEVQTRSPLAARPSLTPTSCRLRFRQLHRRFSLSSAADDEAEAEEGEGPDASAANAWMDELRRLRVAELRREVERCDLSIGTLQTKVKRLREERERGIDGDGKPETSPSPANDGDERVSSEEHGRSCRESNSTDLKPPTRHHAGVKAEEEEGNAAAAKQEVSGESAAASKESSDLRSSASLCHRRREEEADGEEASAPPRRPTVAGDPSPLAALLDAFAARFGPLLERLHESQRRVPRHDQAPRGHRRGAAASGLRGGGRRXXXXXAAPSPAAEDVRRVEVVGSLIEKGGKPLIVCRKRSSIAKAAAAAAAAVAAKKEEIVAAEKGEIAEEEQEGSDDDDVSGGEKKVAVVAAATKDKAWGLRTKKGRGGGRNSGAGSRKLSKLSDATETATEKATKKSAAAAAAAEAAAGTPAKKRNAVDFLKRLNQNSSPSKKKNGSTMGTRKRGAATAAASPETAQKTRKGLGGRKEGGRGGGRKGGKAATPKRSVGRPPSKRGAAAPATPPPSKRAKVSRSEKTTSSKRGGRR >LPERR09G10980.1 pep chromosome:Lperr_V1.4:9:12015432:12016532:-1 gene:LPERR09G10980 transcript:LPERR09G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPVGVLGLPFLSGVSAGGGDGGKDLRFDLSTAFASGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFAISAEFNLMSSNPPAFSLLFKPRIGDFSLANSVISPPAAVDPSPPQQPHKLLTDLAANGDDHDGHKAFSFSGNGFAANVAAAGKSGGGVGALLSGMRLTTRSVLPLWNKASLRFQWGLRVPPELKAALADDGYGRKAGNLAVSKLPLLVMNKITIEHTPRTTPHSDADKKGKKDAPEFQTEGFSLVKRQLEVLNAESVMLRRAVEDLRAEIGGNRAASMPGKGEARRMPSSLPSPQQSFPVKPDRHGNGKEVIDSGPKPVSDEASEELKKALEARRK >LPERR09G10990.1 pep chromosome:Lperr_V1.4:9:12018080:12018415:-1 gene:LPERR09G10990 transcript:LPERR09G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAYRRLTPACESRRGGGGAAAARAWWAAVRRAAAAGLARMYCGAAGRRRWAAAARRRIAARARAARRYEYDSASYARNFDDGVWKAEEGVFWSAGAAATAAVVPCPVSC >LPERR09G11000.1 pep chromosome:Lperr_V1.4:9:12019497:12021052:-1 gene:LPERR09G11000 transcript:LPERR09G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >LPERR09G11010.1 pep chromosome:Lperr_V1.4:9:12022939:12025071:-1 gene:LPERR09G11010 transcript:LPERR09G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALVPVSTVPAAAVMPGDSGPFSPAATKLADGVSSASPAGGKKRGRPKGSTNKKRHVPSFGDIGSAGAGFAPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLTENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPVSTQPKMVPMGGTGPNSPPSRGTLSESSGGRVAQ >LPERR09G11010.2 pep chromosome:Lperr_V1.4:9:12025079:12025486:-1 gene:LPERR09G11010 transcript:LPERR09G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVRASSEQGMPFGLPKSPPPPQPPANSGGGGGMQSVRMAYTADGTPVFAPVSSAPPAAPSPAAAAAAPTRQWRGGERRRRAGVEEEARPAKEVRP >LPERR09G11020.1 pep chromosome:Lperr_V1.4:9:12033453:12036479:-1 gene:LPERR09G11020 transcript:LPERR09G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLKMLLVTGVGSFLATDFAGILNKEARKYLNNMVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTIGLIFGWIVVYVTRAPAKLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCQTYGLAYSSLSMALGAIFLWSIAYNIMRATSTVTEGNARTNHTKVSISGSSTGAASEENCSISNDSTSQCTLPLISNSSVPSTKKVTLSERAKGFLSSMSGAIDFKKIFAPSTIAVIVGFIIGGTPLIRNAIIGESAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGIRGGASVQPSVILSIIVVRYILLPSVGTVLVKSAVRMGLIQPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >LPERR09G11030.1 pep chromosome:Lperr_V1.4:9:12036505:12037643:-1 gene:LPERR09G11030 transcript:LPERR09G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRDRKIFREGSEFQTTPGLAAREKRKRRPRNLSRRLVDPRGRRRRRRRRHKIHIT >LPERR09G11040.1 pep chromosome:Lperr_V1.4:9:12038598:12040736:1 gene:LPERR09G11040 transcript:LPERR09G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVGLYIRFETLLEASNRRLVALHHRIVRTPPSSSSCFPSGDGGADLRDCHGRAPRVHLWHGEGPRELPLLLQDRRLSAWRSLLPTAQQAGNPIEPEKIQADFEDFYEDIFDELSKYGEIESLHVCDNLADHMIGNVYVQFREEDQAAKALQALTGRYYSGRPIIVEFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRKRLYGHLHRSRRSHSHGHSRSRSPSPYRYRRDHDRRSSSSRSRDRDDYNYYHGGSRRSSERHHSSYDSDGSRRRHRSRTRSPVREGSEERRARIEQWNRERETAQV >LPERR09G11040.2 pep chromosome:Lperr_V1.4:9:12038598:12039205:1 gene:LPERR09G11040 transcript:LPERR09G11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVGLYIRFETLLEASNRRLVALHHRIVRTPPSSSSCFPSGDGGADLRSKGCRYKSSSLPSASNTRIIVG >LPERR09G11050.1 pep chromosome:Lperr_V1.4:9:12041413:12044196:-1 gene:LPERR09G11050 transcript:LPERR09G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARPAGRALASAVSQAPRARHPAAPPLLSRFEAVARAFSSKPVAGDIVGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVRAPNGDAWVEMAGQQYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGVLLDYLVSEFKKSDSIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVHSLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQDVVSQIFNKQPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFQLEGIPPAPRGMPQVEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEGDIEKMVKEAELHAEKDQERKSLIDLKNSADTTIYSIERSVSEYKDKVPAEVTQEIESAISDLRAAMAEDDLEKIKQKLEAANKAASKIGEHMQKGSGGGSDGGSSSGGADQTPEAEYQDAKEAKM >LPERR09G11060.1 pep chromosome:Lperr_V1.4:9:12045278:12055390:-1 gene:LPERR09G11060 transcript:LPERR09G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNAASSVRTSGGFIALWLVKLLSRGYAVHATLRDPCDTKNAHMKQQLDDDKANLLRLFKADVLDLDELTHAVQGCDGGRLPPRHSEVMDPAVKGTLNVLKACSAAKVQKVVVMSSNAAVHANPDWPQTDSKMRVAGLIYCCKDYGRTGCFRICREKWTECCNTLPSVGLWPDVAAYGEYQQQIPHLFYESWITEGLDVMNNTLWPIVDVRDVAKALLLVYEKPESSGRYICSAHHICTKDLLGQIFCFCNIPEVEHKAPLTSLMLMSLGWKPRRLEETLSDSDSVECYENEPQEQPEITPPPPCRRRVCVTGAGGYIGSWLVKLLLSRGFAVHATVRDPDDPKNEFLKQLENAPSNLQLFKADVLDYGTLTSAFAGCEGVFHSATPVPEHKTKEMLGPAVKGTRNVLEACSAASVKKLVVVSSIGAVCFNPSLPPDRKVDETCWSDKKFCKEFKNWYCLAKTEAEEIALEYGQKNGLHVITVCPGLVFGPLLQTVILNTSSKVLLYIIKGGPDAMMNKFFPIVDVRDVADASLLVYENAGPSERFICAQDQMDTKDLVVLMKSIVVDVDSRVDLTSEKLKKLGWKPMKHEETLADSVEFYRKAGFLDDEPCRLPYIYRINPDSQE >LPERR09G11070.1 pep chromosome:Lperr_V1.4:9:12062274:12067212:1 gene:LPERR09G11070 transcript:LPERR09G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGRRRMALPPLPPQRGEKGERRRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEIFAICGLVASLIYLLSFFGIAFVQSVVSTSDDEEDEDFLIDSRPAPAAAQPPPAAKTSPAPKQCSLLEIAAAPERMPEEDEEIVADVVAGKIPSYVLETRLGDCRRAAGIRREALRRTTGRDFKGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGERFYVPMATTEGCLVASTNRGFKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFETLAMVFNRSSRFARLQGVKCALAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVQSLVELNVVKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDVHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >LPERR09G11080.1 pep chromosome:Lperr_V1.4:9:12068370:12070414:-1 gene:LPERR09G11080 transcript:LPERR09G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCMSMKSRELSGKLPASSSSDQEEHCSGKPGQLQKSGEDGVGNDGEIVHFSHPEHRLSRFDFPYLFMCMGCKEYGAGRRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFVRPGGFLRCKCDICGKSVKGFSFRCVSCSFAMHPCCAAMGQRMDLPPAHEHPLMLAPPPPEETTSFVCQICRRWRRSGQHVYQCTPCGYYLHARCAKDVVNGLYVHGVVPPEKGNAIVAAAKVTINALFGVIGGLIEGIGEGIGEAFVENIGRSRGRGSFG >LPERR09G11090.1 pep chromosome:Lperr_V1.4:9:12072599:12073213:1 gene:LPERR09G11090 transcript:LPERR09G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRSPASAEGMEELRRAAAVDTTTTAPAMVMDMDGAMARLEAPVTARAALGGDAYSCFVCCADELAIDAPPRAMDAGEALRPGQLYFVLPLPALRRPVSGRDMAALAVKASAAMAGFGAGLSETASATPARRRKDDGGDGEVEAGKRRRRRACRVTPLVVVGGGVDAWTATAAKAKTAKGGRRRGSVQVQRLSAIPEGSE >LPERR09G11100.1 pep chromosome:Lperr_V1.4:9:12074388:12074990:1 gene:LPERR09G11100 transcript:LPERR09G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSCPTASTPAIITAKVVFHDGSVAQFAAHATARDALAGGGGEGFVCCSDELRFDAPPRAMAASDALRQGGLYFVLPLSALRRPLSGEDMAALAVKVTAALGSSSAVDDAGGLTPSRGKNARGRDGKRRPTAARVAPLVVAGAGDDDTGRHVDGGYDVEKTLQADRTVGKAWISGGGRIGRRRGGLHRLSAILEGSE >LPERR09G11110.1 pep chromosome:Lperr_V1.4:9:12080493:12087013:1 gene:LPERR09G11110 transcript:LPERR09G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSRSGVLSETASCADTPRAGYSSCNLQHLQSQSIMKIHEGAWDISPRFSYCKPKQNANQDKMLYRRYSVNLPEHMPGHYSRAATERNQKATSKSITDLVGEISVLEQEVIRKELHLLSLYRRAFDQYLSESSSVTSEVDQELLKNIDEGALRLRDIKQTAAFNLPTVSDAKSEVSKSPSRHSSLVNFLSVSISDYVPKISCKLSEDILSCIAAVYCKLGSAPLQDGDYIPSPSPSVSSSSTFSPRHRNDSWSPRYNSDITASPCKYGYQKENNEQNIGMIIVPRIQIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINLHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSTPGTTRHPYSLQHPEPIAHFALSTGAFSDPPVRLYTAKKIHHQLELARTEFIQANVVVRRQALMLPKVLHYYAKDASLELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLADE >LPERR09G11120.1 pep chromosome:Lperr_V1.4:9:12085334:12086899:-1 gene:LPERR09G11120 transcript:LPERR09G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAKGKVCVTGASGFIASWLIKRLLESGYHVVGTVRNPGDREKVSHLWRLPGAKERLQLVRADLMEEMLIPAINGTLNVLRSCKKNPFLKRVVLTSSSSTLWYALAKISAEKAAWEFAKENSIDVVTVLPSFVIGPSLSHELSVTASDILGLLQGDTERFSSYGRMGYVHIDDVASCHILVYETPQATGRYLCNSVVLDNNELVALLAKQYPIFPIPRRLRNPYGKQSYQLNTSRIQQLGLKFKGVEEMFGDCVESLKDQGHLLECPL >LPERR09G11130.1 pep chromosome:Lperr_V1.4:9:12087952:12090143:-1 gene:LPERR09G11130 transcript:LPERR09G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEPLSLAGRRVAFTTPQTDAAVGGGGGYGGRLHAALLRRGARPLPVPTIAIRPHDDPDLLRRFVTPGALDPFAAVAFTSRSGISAFSLALHSSPQSPGKHHPLSSSASASASAIPFTVAALGSDADLLDAEFLSRLGGGGGRVKVLVPDVATPAGLVEAMGIGSGRRVLCPVPEVVGLREPPVVPGFLAALEAAGWVAVRAPAYVTCWAGPRCAEALVSPAAAAPDAVVFTSTAEVEGLLKGLDAAGWSWPRLRARWPAMVAAVHGPVTADGARMLGVEVDVVGARSFVQTYRCYSASSMNKPQLEGGDKVIMPASALYHLASLHIDYPMLFELGHYAAAGDADDRRVSHCGVLEFVAEEGVIIMPNWMIRNMALEEGDAVVVKSASLPKGTFVKLQPHTSNFLDLANPKAMLEKTLRSFTCLTTGDTIMVSYNNKQYNIDIVETKPASAVCIVETDCEVDFAPPLNYKEPEKVPASKVTSEVKDDAVKDEPKFRAFTGSGNRLDGKASRVPQAAVITPAAAVSSVTSGTNKGVNQGTVAAAPSGASNSSRQKKGKLVFGSNASSSKEPEKAPPVRGDELPKKEEPKFQAFSGTSYSLKR >LPERR09G11140.1 pep chromosome:Lperr_V1.4:9:12091118:12092485:-1 gene:LPERR09G11140 transcript:LPERR09G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAALVCSPPGASASALIPWPRYAGLLLQHRLRSDLPPPRPLLPCRARSPSSSSSNVVTLSNALNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKANSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATSIELPFESHLQ >LPERR09G11150.1 pep chromosome:Lperr_V1.4:9:12093502:12096169:-1 gene:LPERR09G11150 transcript:LPERR09G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENPPLRWPPGFRFSPTDEELVLYFLKRRIASARPTPYIADVDVYKSHPSHLPEKSALRTGDKQWFFFSRMDRKYPNGSRASRTTGEGYWKATGKDRSICNNGGAAVGSKKTLVYHHGRAPRGERTDWVMHEYTVLADALPPAAREREVYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDEVAALPTATTTSGRAATMEEERAECEVPSGDLDELLMLMDNDQERVQPQQSDSSTPVSSQVEIQRGIEQQSDFLTPSQVQIQSGLRQGWINDDGGKSDVARATTTSDRALLMAEKTCTELPLDGLEQLLMQISDDQQTVEMFSGFSASIPQSQIQHDDYQGFVGVYREEVGVPDSTTVVHNAVVTAECTGHELHDIEGLLMQISNDQENAELQPDFSTPDPLHDCHQVAFGDFQGDQRVAFSIASCSTTVQESPNFDMQTGPSIQITESILTTEPTSRETNTAEETSASRSMSGLGSYDMQDFDGEFLEINDFFDLEESEQIMGGTTSLNLIAADDGMFDSLKFSDAPMFLPGPFDTPGVVAENQYVEFGASGIQNQGFQLATELLTHNRVGFNVRSHMKDNNVVFSSHRSDTSVIHRVNEEPPNRSSSASPSWFNAALSALLDSVPSSPAMAAENISLNRTIQRISSFRSQQIAREEVSTTIIHTRRRGGGLIFIPLMVLLVAVMWTFTNGSAVKFLKGLW >LPERR09G11160.1 pep chromosome:Lperr_V1.4:9:12096917:12101226:-1 gene:LPERR09G11160 transcript:LPERR09G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETWYYDVLGVSPTATEAEIKKAYYVRARQVHPDKNPDDPLAAERFQASIGCILMFLFYFLLLQWIELGEAYQVLSDSTQRQAYDSYGKSGISTEAIIDPAAIFAMLFGSEIFEDYIGQLAMASMASLDIFSDEEQIDERKLQEKMRVVQKEREEKLAEALINRLHPYVQGNKEEFVQLAEAEVSRLSDAAYGVDMLGTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSSECDYNEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTVRREELRARAKGLKTLGKIFQRVKLGSSEGQVTTMNNTLNNSDDNDGSSPDSSPPYSQSPYVEAPQFGGSYYSLNFPMPTAPPGAQRDPIP >LPERR09G11170.1 pep chromosome:Lperr_V1.4:9:12106211:12106570:1 gene:LPERR09G11170 transcript:LPERR09G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTHAKIVACGTAVEMAVQMGTSRIILETDALEVVQMVKLEGINRSMYGIAIQDLKYNLQGLDEGQAVWVRRFANKVAHCLAIEALESKMFSTWVSEAPSFISLLLVEDCSTANVFE >LPERR09G11180.1 pep chromosome:Lperr_V1.4:9:12106981:12110472:1 gene:LPERR09G11180 transcript:LPERR09G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNRIILTTLLAAAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNSPVAHAVGFWDYQAFITAAAMFEPLGFCTTGGKQMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQSYCDTSNLQYPCVDGVDYYGRGAIPVYWNYNYGAAGDGIHMDLLHHPEYLEQNATIAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRFPGFGATMNVLYGDQICGKGYQDSMNVIISHYQYYLDLMGVGREHSGDNRDCAEQGAFNPSYKKPDDQQQQS >LPERR09G11190.1 pep chromosome:Lperr_V1.4:9:12109758:12115756:-1 gene:LPERR09G11190 transcript:LPERR09G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKSPQPSKKSRIMLSDTDGHQLETDEFSSESASNQMVLFNHETIAKGQDELGENHSPSSQKPAHSNNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPSGRKLRSLVEIDRYLSENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPGDEASVMTTKSFQPEEVSPIAWAAPSIHQEGEVGEQASHVDEPPEAAEVELTRKRKAEGPPFEEAHSNHVDDELQTKLEDTQNGGSSA >LPERR09G11200.1 pep chromosome:Lperr_V1.4:9:12118891:12120320:-1 gene:LPERR09G11200 transcript:LPERR09G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAAAPALKRKDAGGAPELWLDDGGAAADSGFVPVSSRATKIRRLDAEVAPVVPGAFIPPPPTTTQQQPVVGEVRMFGDQVPVGVAAPALAAKRKGEDAPGLWLDDGAAAAASGFPVSTRATKIRRLDAAELSPFVPDLCAPPPPEQQQVAGLGAAEVQMCVGDDVPVIVAAAPNEERAIVLYKPADAARNLLLGPLRPEIPLRVSPDWIHGLKSTVLREASEHRVLFEELACRDESSNSNLAMVPWVPVHSTSQEASTSAVATTEMMDADQDTSMEVEQDGGGATTDLAATAGGGGEAPYHHWPQHHCMMQQQPEQQPLPAVTASYRHQPSPVTWSW >LPERR09G11210.1 pep chromosome:Lperr_V1.4:9:12128267:12131871:1 gene:LPERR09G11210 transcript:LPERR09G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMVYHVWLWRAIRLTPLRTAAGINSATRRLWVTSMIKDNAKHGVLVVQSLRNVIMGSTLMGTTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLVFLVAFLSHSLAIGFLNEGSFLLNTSSTLLSSNSGDGVLGLPSVGDYIEDVLERGFNLNFVGNRVFFAGVPLLMWIFGPLLAFLSSLVMIPILYNLDMVNANSDCRRGGGCANGKSVDKNCGAIDCTLGNVIT >LPERR09G11210.2 pep chromosome:Lperr_V1.4:9:12128267:12130804:1 gene:LPERR09G11210 transcript:LPERR09G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMVYHVWLWRAIRLTPLRTAAGINSATRRLWVTSMIKDNAKHGVLVVQSLRNVIMGSTLMGTTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLVFLVAFLSHSLAIGFLNEGSFLLNTSSTLLSSNSGDGVLGLPSVGDYIEDVLERGFNLNFVGNRVFFAGVPLLMWIFGPLLAFLSSLVMIPILYNLDMVNANSDCRRGGGCANGKSVDKNCGAIDCTLV >LPERR09G11220.1 pep chromosome:Lperr_V1.4:9:12134097:12136253:1 gene:LPERR09G11220 transcript:LPERR09G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGGALAGAFRRMMRIRNVGHHALAGGAALSSSAVEKPCSAASAAGIFVPVAGIADYVPLLTSPRCLLPLCAHGDTTVDDVFGCDQGSRGGRNLHSHRDVLFDDLLDAEIDYFSSTRGRHNLRAHSDILVDNLLDAESASSRSHGYHNLRAHGDILLDDVPSAARASYGDVLVEDVPDVLLLSSSGNLHPYTHTATASSTTPRLAASPATPGCYALCAVFASCTLAMGFSQLDAYSFHSAMRAWNYAQMGAPAAVGCSFPAAVESSYIPISTSRRGRSRHAIRMQATGGAGFSTTIGTNSWSPSLRISSTNVGAARLRARAARSSGDGSESPERDRVIKIALLCLILQSRNLNLPTSDKKDDKQILKNIVNLVLLAVGVFIGSSAAKGDKKFMFTVSLFDGSMKSYKILSEIALLVISLYNSFFK >LPERR09G11230.1 pep chromosome:Lperr_V1.4:9:12145058:12158394:-1 gene:LPERR09G11230 transcript:LPERR09G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRGQLVDRWRGIQEAEEADDDGEPSAAKQRRLRQAKEDLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRMIWKRIYDELNICAQCVCEHHQGRKFFDTEHRSSVDPLLKVLRLLDEERVTEHLRQINAKIQLKEFKPSCHDPEVVSIMFEVLMYPILLDDLSLANQFQAFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYISFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLLGFLDAPAFEDGILERYPIFLNIVLNHSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMNPPCPPSECAHMGPSLIGSLTDTSLHSSLRQPALDLINILIISDVSALISFKLKYKSFTKGDVINSVIFVDDEDELPVFCDAEEMDYSCWNDFNVLYKLTCRECKDWRCVPLLWYLIMIQLEPSKLPIAFSKAVFWALSHISVLDAGVATEFSVPVNDWLSSHAGEVLPTFSWQVPNGADDGEVGRECINTLKVSQSCAPLLKTFKRLAIHVIMQIEQCGLQKQWVWESMMAESLILTLVDHNDNVRQVGRAVLEHASQAREVDKTENDSLVPSEYETDEVSDDAIGAKLNVLYAQKRKVSAELANAHAREKKIADENRSLKHKVRKSILGEAEIVVTTLSGCGGDIYNVCSETASVNKFLSFCEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQASDKSAPFHDHDCLGPYMFFDVADGREQCGKNAATQSLCNPFEAEAAVEILGFLKNRYPSEFSCRKIGIITPYRSQLSLLRSRFNSIFGPEVVGEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQSNSHWASLLQNSKERNRFISVKKPYGLLFQKVYSHSEDIHGSRKSNCKDKENGKSSMTSSQKIDARLHKEHARHSVRTVETVNENLSNDQAKRASRWDRRSPKTHDSFHRKSSKEKEPVNQDDGMRANKGSFKHNIDQDNVVRKEKEEKGLTIHNDNHLELANVTSQRKPNKPVKPKIYTEADKALLNEDSLQSSEVIKDGNTSYDKGTVKSSENQAAVMKNDASPPAQDMQKLIQKANRARKFSEKPRYSNLNQVDSSVKHGTTLESANKNGGICPSENLEMKKMDEKAKKARRFSEHPRHVNSNKADPSVPSPFDEESSRTPELEENQASNVTATRQNRLTATRKRQREDIESLLSSALISSKKPPSKWQQVFLMDPCSF >LPERR09G11240.1 pep chromosome:Lperr_V1.4:9:12162956:12166626:1 gene:LPERR09G11240 transcript:LPERR09G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKDDDLDAGKVAEVLGFRLPDIEGAARAFFRSREIREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAGNTINMIRIIPTQAIELGTFECVKRRMAEAQEKWKEDGCPKIQIGKLKIELPLHFLSPIAVAGAAAGIAGTLMCHPLEVIKDRLTINREAYPSISVAFSKIYRTDGIGGLYAGLCPTLIGMLPYSTCYYFMYETIKTSYCRLHKKSSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALSEVIQEEGIQGLYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKPRS >LPERR09G11250.1 pep chromosome:Lperr_V1.4:9:12167214:12172465:-1 gene:LPERR09G11250 transcript:LPERR09G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESVLPLAGGTGAGKWPSSKDMSSYGSIPASLSEEELVELAFMPNSGGIFGNRRDSVLERSGSAPPSMEGSLAALSHLTGQQNGNIGATLPNLVTVANNSESKKLLFSDSARINYTSKVNLNPRFPPPLISRNHFVRDEEQKPFSLDESSRSLHFGHSTLSTHKEEPEEEKSPSLDSSSGEDAQCDSSQSTSNLGCHSPNLVGSIKENFLLSNGLYDDSSDLSNANSGDRGLIYSGISSSKNSLIDVVQSSDLNGFPPDARQCSPRPIGTPVSNRLTSDSFPASSPHSSSSSDHNTTTEACQQRNPSMAVKPGEAAGVMLHSLDSSTMNLNISSDTLSSSFYLQQCQKNEPVQNGLSNTVYWNPVPMVPQGINLPQVPLVDNSSFGHTRFHSGNVPLMPQIGMTQPFYTPNSFGVPCYPNLQSPGIGVWAPQPFGIGGYGLSGSFFSSAPTNFTQLPVMASFDTHLPNGRVAGFSSTVNLAAGTGLFHPHGHLGVPMPPPVPDQSLMHYFQQPPNHPYGVGNPYDTMVSSNNFVGNPAGVFGPSIVHLLDQKFQIPDTAAANASTPRKDGKSVENYETLSPYFGIAMSYPAGPTHGQPASVTYPHNKTNDAKGLLTPSKNMPASSGIQGQRGGEKFDEPKAHRVELSNIKGQIVKYSSDQNGSRFIQQKLEDCSIEEKDLVFAEVLPHASSLMTDVFGNYVIQKALEVIDLEQKIGLVRELGEHVLRCVYDQNGNHVIQKCIECVPVEHIGFLVSSLQGQVAKLSMHPYGCRVIQRILEHCSHDSECLCIIDEILQSTCILAQDQYGNYVVQHLVKKGNGQERGEIITRLAGQVVSMSQNKYASNVIEKCFEYGDGAEREVMIKEVLKQTEGNNYLLVIMKDKFGNFVLQKMLATCSNEQQEILLNRMKVFLPLLKKYTYAKYIASQIERLCGGDDGDVQPET >LPERR09G11260.1 pep chromosome:Lperr_V1.4:9:12175618:12179189:1 gene:LPERR09G11260 transcript:LPERR09G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSSSSSPSPDPKVVKEGQVVIRARNLRMNAQYIPAKAFKARKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLIFGEENATDDAQKMMQMGGGFGGNPTMGLAAEKDSLDIIQHDWALPKMEHHAEEVLRKLLKK >LPERR09G11270.1 pep chromosome:Lperr_V1.4:9:12179351:12182346:1 gene:LPERR09G11270 transcript:LPERR09G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDINDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSMEQAVSINVLPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSTP >LPERR09G11290.1 pep chromosome:Lperr_V1.4:9:12183948:12185368:-1 gene:LPERR09G11290 transcript:LPERR09G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLHLLPLILFSIGAIFAHADLMLDRFDQWMIRHGRAYTDAGEKQRRFEVYRRNVELVEKFNSMSNGYKLADNKFADMTNEEFRAKMLGFRPHVAVPQTLNTCASVDIAMPGEFNDDILPKSVDWRTKGAVVPVKNQGNCGACWAFSAVAAIEGINQIKNGELVSLSEQELVDCDAVAVGCGGGYMSWAFEFVIANHGLATESSYPYLAADGACQAARLSDSAVSIAGYRNVTPPCSEAALARAAAAQPVSVAIDAGSFMFQLYGGGVYTGPCSDDVNHGVVVVGYGEDEAVDGGGGGGGKYWIVRNSWGEEWGEEGYVRMQRDVAGVASGLCGIALLPSYPVM >LPERR09G11300.1 pep chromosome:Lperr_V1.4:9:12186091:12189250:-1 gene:LPERR09G11300 transcript:LPERR09G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAVSVFPVFAPLFFSSSSSSSTRDGGLCSSARSSPDEGGGIQSPGSCSAGRSLMTDRSGPIGRLPEHLLVEIFIRLPICEWVQISCVSKHWASIFQGECVWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVCENLVPAGRDIDELVGHTYLYLKEQLERPAIPPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLAQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFNRVDYHDALAGAKSRFQPVPSTWLGH >LPERR09G11310.1 pep chromosome:Lperr_V1.4:9:12189434:12189622:-1 gene:LPERR09G11310 transcript:LPERR09G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVHEWGGEEKHVGMAGTGNGGGRRSLTGGTHGPTPPASGDGDARQRRLLWRWEEEIFSS >LPERR09G11320.1 pep chromosome:Lperr_V1.4:9:12189635:12195141:1 gene:LPERR09G11320 transcript:LPERR09G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIARLARVAAAALLLLLVAGAVAQDAAGVGDVAAEEIAAAARAKEAAALAAELGQLRAKISALETSIAEQAKELKSKDDGILKLEKLIEDKSQKIATLQSEITSLETKNSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDDQKHRIQKTEHALKVAEEELMRVQLETTTQLKQRKEVHGAWLPPWLATHTAHAKEVISSHWNEHGKPAVNSLLQKASEKSMQAKKWVEPHVETAKAKWIPVIKEKWATMKTNSKPYVQKVSAKSVELYQASKDAVLPHVVKAHEIADPYFQEAKKVSKPYIDQVAKVTKPHVEKIRITLKPYTKKAGHVYGNFLEKVTAYHKQVQATVLDYLHQHELTKDFVTEELAWYLASALLIMPIYVFYMVLVETFWLLQEAEESPTEC >LPERR09G11330.1 pep chromosome:Lperr_V1.4:9:12196671:12198789:-1 gene:LPERR09G11330 transcript:LPERR09G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGSGGGSEKKEESLPPGFRFHPTDEELITYYLRQKIADGSFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRVFAKTAGVKKYPSNNVHSRSHHPYTLDMVPPLLPALLQQDPFGRGHHPYMTPVDMAELSRFARGTPGLHPHIQPHPGYINPAGPFTLSGLNLNLGSSPAMPPPPPQSILQTMSMSMPMNQPSTANQVMATEQMVPGLGNGVIPPGADGGFTTDAVVGGTSIRYQNLDVEQLVERYWPGSYQM >LPERR09G11340.1 pep chromosome:Lperr_V1.4:9:12209247:12211273:1 gene:LPERR09G11340 transcript:LPERR09G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMGRKGGPRDRRPIHPMTGRPLDLEGVTVVDDSSVPEGGAEEPVSEE >LPERR09G11350.1 pep chromosome:Lperr_V1.4:9:12212202:12214579:1 gene:LPERR09G11350 transcript:LPERR09G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMAATMTFTISNYCPHPIWPGTLAGAGTPQLSTTGFRLEAGETVQLAAPAGWSGRIWARTGCVFDGDGAGLDCGGGAIACRSACEAFGQDKYCCSGAYNTPAACHPTAYSAIFKSACPRAYSYAYDDSTSTFTCKAYDYTIAFCLPTSGIKKSDAMFLGAQIIDGDGDGNAPPVYSSGAGHGGSNRPPIYYDGGGRGHEPETMTASSASTRYFQPWLLILLVFLF >LPERR09G11360.1 pep chromosome:Lperr_V1.4:9:12215794:12218299:-1 gene:LPERR09G11360 transcript:LPERR09G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAANGGGDAVVYDVIVVGAGIMGSCAAYAAASSSSSSRVLLLEQFDLLHHRGSSHGESRTIRATYPQPHYPPMVRLAARLWHDAQRDAGYTVLTPTPHLDMGPRDDAALLASIRNGGAVELAAGDGDGEAWAPSRVFRIPEGWTAARSELGGVMKATKAVAMFQALAARSGAVVRDRTEVVDVTKRGDGLILVKTSTGEEFQGAKCIITVGAWASKLVKSVAGVDLPVTPLHTLICYWKAKPGREHELTPESGFPTFASYGQPYIYSTPSMEFPGLIKIATHGGPPCDPDSRDWLAGVVSGGAGADLVEPVARRDWLAGVVSGGAGADLVEPVARWIDEFMPDHVDTAGGPVIRQSCMYSMTPDEDFIIDFVGGDFGKDVVVGAGFSGHGFKMGPAVGRILAEMAIDGEARTAAEAGVELRHFRIGRFEGNPEGNLAENKFKSWLKIT >LPERR09G11370.1 pep chromosome:Lperr_V1.4:9:12221902:12227903:1 gene:LPERR09G11370 transcript:LPERR09G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPKSATAVAAATAAAASTSTSTSSPSAPKRITTTLRLLCPSSRASSLRAGRDLHVDHPPVGDEVVVSVSGPDAPAVAVRAWERVAGAGEEEEREVTGMVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFPAVRKALLLVTTCLQENPRPDASNFPTGRSFGPPGPVGIDPHSQRGYIPPPSMPDYHARNYSSKMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDTEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAATARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPLKPHPGGAMPPYPPGGNAPPHHPRQEPPPPHPSGGMPPYPAPSFRADRPMGPFDMADHRPPPPHSMDHMVADRMPYSYGCEQGGGPRPFLDQPTPRAWAPEAPNSEAPRNMPDTVPSTDFRKGAVAVTNQVATPTNTTEVIIPRKYVGFICGTNGSDLAEINKMSGAAITVHHPKPGDANASVIICGDPDQTKKAQSLLHAFIFCGLYQT >LPERR09G11370.2 pep chromosome:Lperr_V1.4:9:12221902:12227903:1 gene:LPERR09G11370 transcript:LPERR09G11370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPKSATAVAAATAAAASTSTSTSSPSAPKRITTTLRLLCPSSRASSLRAGRDLHVDHPPVGDEVVVSVSGPDAPAVAVRAWERVVGHRVGGVGDEAGAGEEEEREVTGMVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFPAVRKALLLVTTCLQENPRPDASNFPTGRSFGPPGPVGIDPHSQRGYIPPPSMPDYHARNYSSKMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDTEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAATARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPLKPHPGGAMPPYPPGGNAPPHHPRQEPPPPHPSGGMPPYPAPSFRADRPMGPFDMADHRPPPPHSMDHMVADRMPYSYGCEQGGGPRPFLDQPTPRAWAPEAPNSEAPRNMPDTVPSTDFRKGAVAVTNQVATPTNTTEVIIPRKYVGFICGTNGSDLAEINKMSGAAITVHHPKPGDANASVIICGDPDQTKKAQSLLHAFIFCGLYQT >LPERR09G11380.1 pep chromosome:Lperr_V1.4:9:12227552:12230560:-1 gene:LPERR09G11380 transcript:LPERR09G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPVGAGDELGLEAAGVESNEIKVADNTEMTKVNTLGVDLPMETAEEIRPCWSKPRPNVQESKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKKRKFEEMYDVDKFITSLDGVAKVIHSLPHGVSAKKPAVVRVPNRVTEEFIRGTIEPIFQRNNYLRLAIIFSSVSLKQKETSNKDLDSTACLAMFSGLELKPEFSTVAKQMLHRLKEISKKSDGMVIAVDMQTDLLEKKICKTSGGARRKGCYYPQEVVNFLKKDDIMPAANKGEFLKSGDSYLARALDLRICSESDVFVPAIPGLFYGHVAGKRIASGLTNIIVPAPVYSSSALASEFVSTYVSKKSHLAYSCYC >LPERR09G11390.1 pep chromosome:Lperr_V1.4:9:12236051:12237265:-1 gene:LPERR09G11390 transcript:LPERR09G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAELLSPATATYGGFHGSAATAGDLVGKKRIEGFFIEEEEEVLTESSSVGAPSPASSSIGENSSSETEGGDEEDEVESKLKEGEEEIGLGCLDALEESLPIKRGLSNFYSGKSKSFTSLAEATASTAPAAANELLAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEEEDDDSDDGEPPRQHRGKNGAAPPPLPPLPRISVGIARRNGTFRSPRSFSLSDLQNSGSSC >LPERR09G11400.1 pep chromosome:Lperr_V1.4:9:12248379:12248771:1 gene:LPERR09G11400 transcript:LPERR09G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSDDGLPSLPPIRTAIPSSSSPPTTAVEVSPSPSPAKEEEEEEVEKEKAAAVAVEGEEKEEEEEPSTPTSEESRLRAPAECPPAPRKPPTAAAAAKRKSSAVVFVDVPRDLSAVFRSLPPKKRIRAW >LPERR09G11410.1 pep chromosome:Lperr_V1.4:9:12261337:12267115:1 gene:LPERR09G11410 transcript:LPERR09G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSLAKSALNGVLSYGKSALEDEITLQLGVQREVIFMRNELEMMRSFLMAGGGGGKRQHGVVRTWAKQVRDLAYDVEDCIRVFSLLPRGGWWSSSPHAIRLRHRVAADIRVLKARVEEVSQRNLRYRLIVDIDDDSTTAAPPPAATDHQPGGGFLRRRWRRQQQPPVDLLPLLIIKGDKSLQVLSVCSGTATRGGDLIGNALVIKEALDHPMVPQKFGCQAWVNLTHPFDPTEFLQSMVRQFYVKSLVSNGGNKAQGGSTLGVEAMKKMEATEGRHLGDDFDGYVNDKSYLIVIEGLSTIVQWDWIKTYFPDRGNGSRIIVSTHQMQLAILCTGQPYQVSELSHDQPVYVFFKEGINYRPTSLSNEIEEDHSKHMAEGNSQGKGADDSGAMSTSFFEQVQLVGREREKAGLIQLISSGGDQRQVISVWAMGGMGKTTLVRSIYGSKELSGHFEFQAWLTVPHPFSLRDFFRNLAEQLQYYKSQGKSERNVEFGVSATNIHRLANMKVEDVILESAELLKGNKYLIVIDDLSSIREWESIIPEFHDEKNGSCIIITTRVASVAKHCSVEDQNVYNLESLKDDASHDLFYKKVFKETENIDRRPAIIEQANLILKKCNGLPLAITAIGGFLATRPKIVMEWQKLNDHITAELEINPSLEMIRTVLTSSYDGLPHHLKSCFLYLSIYPAAYSIRRRRLIRCWIAEGYSKELRGMTAEEIGESYLADLMNRSMIQPSKTVVGVSGIVRLCKVHDLMREIIIPKAMEESLVFALDEYSCLNSQAQDTVRHLTISSTWERDASVFATMLELSHLRSLTVFGEWRSFLISDKMRLLQVLDLEDAVGVKDHDIKQVGKLILLKYLSLRGCSDVFHLPGSLGNLRHLETLDIRDTSIVKLPMTTMKLQRLQYLRAGKKPAYGYNPMASTEKLGMSCRDFLASIYQNIGWSSSSNRFASGPYFVEVPKHIGKLKALNTLGIVHVSKEKGILKELKQLTQLRKLGVAGVNMENCKEFCSVVACHERLLSLSVLSEGMQGLSSCLAHMSLPPINLQSLKLYGNLSKLPEWVGLLQNLAKLKLCGTMLKQDAIELLAMLPNLTILRLLRGSFWGEEICFGKTYSSLAMLELAWLPELQSVNIRRESLPKLELLHINYCRLLKGGDKISGLEFLPTLKEMLFDVTDEPAVVRNRNIREAKEDEEEYSRAKEEICRRIRAHAQVTRAALQFKQSGAASTPR >LPERR09G11420.1 pep chromosome:Lperr_V1.4:9:12267890:12269692:-1 gene:LPERR09G11420 transcript:LPERR09G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATIVPGATPSTRVRDLLADAWARDPSTALKLVCHLRGVRGLGKADRDGFHAAALWMFDHHPLTLAANLAAFANFGCLKDLLEIVYQVLHGPRDEHKEVSDGDGDESDDRRRPRLRYKRRCVDRAEVARVRLDKEKQLAQAMLSRYGSDDSFRLLYDRVADTFAVMLKSDVEHLRAGENTKIGLAAKWCPSLRSSYDRSTLLCEAIARRMFPRESIQEFADLSDEHYAYRVRDRLRREVLVPIRKVLELPEVYMSAGKWDDLPYARVPSVAMRQYKGAFERHDSSGVAGFLDEVRTGHARVAVGAAMPHELVAAALKGEHDEAAELQWRRMVSVLASGGRFSNCIAVCGLSPTAAAAGDVAKPPAAAAIALGLLISELSESPWKGRMITFDDAAKQLHKVCGANLVENLRSMAAALRSHHKGRQLDLKGVFDRILGVAIAGGLAKDMMVRRVFVLSDMELDAGAWRSQDELEAIKARFEAQGFSEPEVVFWNVGAPASAPVVADKKNAATVSGYSKNMVRLFLELDGELTPAAVMADAISGPDYDSLKVLD >LPERR09G11430.1 pep chromosome:Lperr_V1.4:9:12271288:12275083:1 gene:LPERR09G11430 transcript:LPERR09G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALALDRSPSQSPTSAAARRRPFVFFGGGGEERERRRGFICFWCCFGKDAMASPPTTDGLIDSVTKRKGSPCQQDDDSQDDKRIRSGVNLPEDIFWYIHSLMPLRDAARAACVSRSFLRSWRCYPYLFFCEEITRLDNSKFSDDTTRNLISKISHILHHHSGIGLKKLKLAFFGCTNVNFSCFDSWFHKAVTPGIEELTLILPRNSNAGYSFPCSVLSDGNGNSIRYLHLSCCAIRPTVDIGCLRTLTTVHLSSVRITGFELECFLSNSPALESLTVIDCKEIVQLKIPRLLKRLHTLDVNRCEMLKVIENYAPNVSTFHFSGQPVRMWGFLQVKDLGMSCLHQSRILCYALANLLSVAPNVENLGISSETEIVSTQTVSGKYLCLKHLHISLNRSSDFDYLSLVSFLDVSPLLETFILYISGMHMSQGHMGHAWTLGDSAELRQMPGHRHDNLKEFEVDGLCYAKSLFELTWHILETTSSLNRVKLDTACGYPRCSSDRCFPYYTDQIMEARNAVSAVKTYITGKVPPTVKFNLVQPCNRCLALVQ >LPERR09G11440.1 pep chromosome:Lperr_V1.4:9:12279747:12281641:1 gene:LPERR09G11440 transcript:LPERR09G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGLLGPPVIRAARPPHDAAGGGATHPFLQLLDAAFNVDAAPKAAAAAPPPPRKARTENNSATYGNSGNTCLDFFFQVVPDTAAERVRELLAAAWAHDPLTALKLVCNLRGLRGTGKSDKEGFYAAALWMHESHPRTLACNVAALAEFGYLKDFPEMLFRLLHGADAPEKAPEEEKKAEKLAVQSLEKYYGDKAYRFLFDSVADFFAQLLASDVEQLAPGGKTRKIGLAAKWCPTPGSSFDKSTLLCEAIARRLFPRASSPDLADLSDEHYASAARRYKALFTKHDEERFDQYLVDVAEGKAKIAAGALLPHEISASAMAGVHEDNVSELQWRRMVDDLRAKGSLRNCIAVCDVSGSMTGTPMDVCVALGVLVSELSDKPWSGRVITFSERPEIHMITGKTLAEKLAFVKRMDWGMNTNFQAVFDQILRTAVDGHLPAEKMIRTIFVFSDMEFDQASSSNRWETDYEAICRKFRAAGYGDVVPQIVVWNFRHEVDSGDVDAARGRHGQRLLQEPPQALPAERWRDEPGAGHGRRHRRRGVPEARGV >LPERR09G11460.1 pep chromosome:Lperr_V1.4:9:12284889:12291822:1 gene:LPERR09G11460 transcript:LPERR09G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVPSCDGSAQDTLGVIYERGFIGTYHDWCEAFSTYLRTYDLIHADKIFSFYQDRCDITYILLEMDRILRPEGTVIFRDTVEVLVNIQSIAEGMRWKSRIMDHESSPYNNEKILVAVKTYWTGEPTQKQ >LPERR09G11470.1 pep chromosome:Lperr_V1.4:9:12294035:12297848:-1 gene:LPERR09G11470 transcript:LPERR09G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALRNLMAMQRQRQRRRHRRKAQARVITSVDKRKGRLLQGSDSSQGGKRSKYSGPDLPEDIWYRIHSLMPLPDAARAACVSQAFLSSWRRHPNLILTKKTMGLEQKSYRKVGMAGNFTSTIDHILRTHSGIGVKRLKLNIIYDHRNLSICYLNKWLQIAITPGIEEITLLLPSKYSFPCSLLSGGSGRGRISLSSFQVFGFEAVDTQTLQTDNRSEDTIFARATQLPRINTPMVASKFLHLKCMKIHFDSLDSDEAFPLEYDYLSLVSILDASPVLNTFILSVEQGDMKHDSVFGDASNLRVIPGHKHDSLKDVKIIGFCSATSMVELTCYIFENAASLESITLDTVCDLDDFEDIGRCCTTTLRKNGTCFPLRREMILEAHRGLMAIESYIRGKVPCMVELTVREPCTWCHDIERFSRR >LPERR09G11480.1 pep chromosome:Lperr_V1.4:9:12300904:12303887:-1 gene:LPERR09G11480 transcript:LPERR09G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGAMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRELVKQNIELLKKKEEEEKKTGVRSVKTVGKFESPNLRSFIQQFPDTSVDQKKEHDEVSRSKDGTDTEDATIDTTRSSEPTGTGATFKPGTWQPPT >LPERR09G11490.1 pep chromosome:Lperr_V1.4:9:12303891:12304252:-1 gene:LPERR09G11490 transcript:LPERR09G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQKPFSPVPKFPISPATAAAGDLDVLEDDDRWTVVAAVSSELRPHWVGSFQGSALRR >LPERR09G11500.1 pep chromosome:Lperr_V1.4:9:12313921:12319632:1 gene:LPERR09G11500 transcript:LPERR09G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEAAAAAAASRGQPPPQRRGISYSQPLSRDAASARRAALRSNHHSLDDDHILPASHSLNYVHHDPSAGVPASSVHHPPLPPHGGGGGHHHHHHPSASYSAGTRRASVGGASDGSMTLERAMSEYGGGHGTLPEFVGAGGGKGIFRVPLRAAMHPARPPPLEVRPHPLRETQAGSFLRTLAAEPQRRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGVTAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATSPAPPPAGGAGDAAGGTSHGGGNNAPVFREALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKFMLADYSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESARLETPVMPEQPVEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTTGAIIQWDGNGNRMQEFQHHTSSVQCIKALGERVWVGYASGTVQVMDVEGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRAELANKELSYTRMEKIKIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMSFNKPHGSTASTSVQLHRAVNVNGNQVDEVRPDLAEADMVVFIGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRPISVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIESNEKVRSLLEESCFVPDTTVSTGEITLENQENIVFRITNKSEKIKAAFEIICEGQSTKKEDGTKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITVHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTINIRSSTSTETKSHTINVRHRCPATAAPPPIISSSNPPAMAAPPSNVLSSEAPSKRSSKKSQANHKREQQQREQQQQDYAQFGSSDVHDLCRMRCP >LPERR09G11510.1 pep chromosome:Lperr_V1.4:9:12319880:12328547:1 gene:LPERR09G11510 transcript:LPERR09G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVFMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGIFQGSHAFFKVTSVIGHVLRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPENEVGRRIFRAKFSSVTEKDILVAMNNLVLPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGILKVADISVKEECKTRPSGLNTVNLLKIASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSQLAVLVHNPLWSNDVRTLLDAGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYLYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGYRVTSKGFTSIMPWLAVSENNIPSFKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVIQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKFISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKITTTDKKCATCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSRGRRGNSRHDDPKMSFRDF >LPERR09G11510.2 pep chromosome:Lperr_V1.4:9:12319880:12327603:1 gene:LPERR09G11510 transcript:LPERR09G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVFMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGIFQGSHAFFKVTSVIGHVLRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPENEVGRRIFRAKFSSVTEKDILVAMNNLVLPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGILKVADISVKEECKTRPSGLNTVNLLKIASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSQLAVLVHNPLWSNDVRTLLDAGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYLYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGYRVTSKGFTSIMPWLAVSENNIPSFKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVIQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKFISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKITTTDKKCATCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSRGRRGNSRHDDPKMSFRDF >LPERR09G11520.1 pep chromosome:Lperr_V1.4:9:12329908:12331826:-1 gene:LPERR09G11520 transcript:LPERR09G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALALLLLLATTSSSPAHAASGGCGGEALTPPNSASPYASCISLPHLGATLHWTYDRASDDLAVAFIANPAAPTGWVAWGLNPSNNNGMPGTQALLAIPSPSSSSSTWQVRTYNISGYAIGEPGPIAFPATDLAAEVAGDGRVVVFGRLSLGGYGVAGDGVLSHVWQVGGDVIGGVPAPHAMGGDNLAAKGKLDLLRETTTAASDDGEGDSVAEKRNIHGILNAVSWGILLPMGAIFARYLKTFRSADPAWFYLHVTCQLIGYGVGVSGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQIFALFLRPKKENKYRIYWNMYHHSVGYMVIILGITNIFKGMAILGVEQQWKTAYVGVLCLLGVGAVILEVVTWSMVVKRRKAESKTFNNASNGHLPRHV >LPERR09G11530.1 pep chromosome:Lperr_V1.4:9:12329914:12330714:1 gene:LPERR09G11530 transcript:LPERR09G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQVAIGGVVEGLALSLAPLDHHAPSDNLKDHGADAEEAEDADVGRFPLLLHAEDGHSFEDVGDSQVPRAKTMMPMLRWSV >LPERR09G11540.1 pep chromosome:Lperr_V1.4:9:12336054:12336827:1 gene:LPERR09G11540 transcript:LPERR09G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLLPVALLLVTAASPASSAEASVCGGEKFPAGKSYATCADLPTLGATLHWTHDAATSTLSVAFVATPPPANGGGGWVSWAINPTGDGMKGAQALLAMKGVSASYIVKTYNITGYKPLPATSTPIAFAATDLAADGDGGSGKVRLYGKLRLPAGMETVNHIWQVGSTVTGGVPMKHAFAEENLDSKGRINLSGHGGAAAVEAPAPAPVAGGPSSGEAENAVAAPSPSGKNAAANTASPAMMMMIMALVGLLAFV >LPERR09G11550.1 pep chromosome:Lperr_V1.4:9:12337739:12340683:1 gene:LPERR09G11550 transcript:LPERR09G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKLVVHNVSELELLMMHNRTYCAEIAHNISTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >LPERR09G11560.1 pep chromosome:Lperr_V1.4:9:12343021:12347177:-1 gene:LPERR09G11560 transcript:LPERR09G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVTGLLMSAAAAGIDGLGVLDGGGFLETLCGGPGFAERAARMCGGGGGGGLFGVAPAAAGNGERGGSREGSSVSDPAWAAHAANARKRKTPAPATASASAGKEKVGELKQPESKKCKVEIKPKVEEEAANDGSVGDRGQKQGGKGKNSKDSKPAAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLQKDMFQPCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGIMESQCTLDLVNTALPHTASTQYAFQKQQKDLWEDNTFHYNDEQSQEDAVSAPNFDGSLLINSITTATIAHHHQADA >LPERR09G11580.1 pep chromosome:Lperr_V1.4:9:12353550:12354999:-1 gene:LPERR09G11580 transcript:LPERR09G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >LPERR09G11590.1 pep chromosome:Lperr_V1.4:9:12356060:12358680:-1 gene:LPERR09G11590 transcript:LPERR09G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLFFAAAAAAVLLVASAKKSSDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGDQGSPPTIPGGATLIFDTELVAVNGEPSSESDDSEL >LPERR09G11600.1 pep chromosome:Lperr_V1.4:9:12359389:12361154:-1 gene:LPERR09G11600 transcript:LPERR09G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKLKGCTLMPNIGYGSDKKTRHYLPNKFKKFAVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVITNKLARLRSQEDE >LPERR09G11610.1 pep chromosome:Lperr_V1.4:9:12362649:12363359:1 gene:LPERR09G11610 transcript:LPERR09G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPSGGVTEVPATEADGMRFNFVNGIDVYFTESSTNYTRQYEKVQLNSADSPAKHLIGVRLNGDGVEVEELAATNQNVTLSEVVERSEAGSCKSS >LPERR09G11620.1 pep chromosome:Lperr_V1.4:9:12363543:12365316:1 gene:LPERR09G11620 transcript:LPERR09G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGFPTLAFLLVSIVCLVPSDAARLLPFDVAKQQVKASYIDSAVHFSLPNGVIGAESLAFDSNDQGPYTGVSDGRILKWRGASLGWTTFAYNEDYRRNSVCKTASSDETESLCGRPLGLAFYRRTGDLYIADAYKGLMRVGPRGGKTEILATEAGGVPFNFVNGVDVDQATGDVYFTDSSTTYTRRSNINIMYTCDATARLMKYDAQTKQVTVLMTGLPYANGVAVSHDGTYVVVAHTWPSQVFRYGIKGPNAGQYKLFADLPGYPDNIRRNIGEGYWVALNREKVPGKTCPVKQQAKENVQLDSTNSPVKHLIGVRLNNDGIEVEELATTTQNVTLSEVSARRNELWLGSVQLKSIGVMKS >LPERR09G11630.1 pep chromosome:Lperr_V1.4:9:12371043:12376256:-1 gene:LPERR09G11630 transcript:LPERR09G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKEGMSGGHLCHVCGYQYPNAHPSAKLRRSHRKHCGGKAAPEDAAAAAAEEERNAGEEGVMLGGGGGGGEPEGNGSAGEANAGSVLRGSAGGDADSVEEKEITEHASPNVSPNVSGVPSITGYCSEVGVTNCTSRSDEITKEVGRPAEREDSLDEYQDASPFLHQSDSEVATAVAQMPDFSVEEIKKLDSVSLGGNVPAGEISVEMDGLWKDQLPGQPNMANLSGESKVGKEDVCYLDNRAPGLAEHTVMLESSDGSPEKVCSDNINGVDSKPDKTSDFSEFIGDLSGSARLISDLESQSMCPRKVESLMENSKDALHIISEVSPSSQEKVGSANGESEAVKNYRIDFVQTEDEVKLTSAVSTLADCPSEYKHVKDTSDVQLPVENSDSISSLDGHPGDHVVTDMDSMWGCDDEDICREGIKGNFGELGFSCEVRPQHIEQLADKADENPSIEKPNVVAAEEVCSKEIDPEVPIDQVSASQEHAALLMDQVSTKNPFILDDTRSEDLFELATESYHSEAPNVAESKLQVDFTPLPLDQLTISDQISIAEGQHTVISDDRILAISSTCGNEPAVDTEDMSVSTTGVNFVPSEVTPLDFSTVPTSQDTNAPTDDNEKTPPEYMSTKDMTAAVSVDNVEKKETEGSSVQEMNSILKAGNNEEEKLTNGTCAEMNALKHKDDAEDTGAKGVLTIGTMESADVENQTEDTDAKEMKPELKTDNADVKMQTNNTSTEMSEKNLVQDTCSKDLYTIQSTANFEQNKHTEEPVGQEGTKQKEDISPTVVKQNSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRVSKDDSSSAKSGSPKSGADDKYWSSPARLPENNAEKKSPKARKQPWIPFICCHSVH >LPERR09G11640.1 pep chromosome:Lperr_V1.4:9:12381446:12382951:-1 gene:LPERR09G11640 transcript:LPERR09G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPLPTTRPLLLLAIFLLLATLSSAAVHVKRSSPHGIGVNYGRVADNLPSPTRSVELLRSVNAGSVKIYDANATVLRALSRTGMAVSIMVPNEIIPSIAASFAAADRWVGENLSPYYPATRVKYLLVGNELLSDYSIANSTWPRIVTAMENLHLSLRKRGISSVKISTTLAMDVIKSGAFPRPPSAAAFRDDIAGEVMRPLLEFLNGTNSYYFVDAYPYFVWAANNETVALDYALLAGGGGGRYVDPGTGLTYTNLFDEMLDAVVFAMAKLGYGGVKLGVAETGWPNGGDYEQIGCNVHNAAVYNRNLAARMARNPGTPARPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAAYPVDLAGARPLESYPPLPAPENDTPYKGPVWCVLAAAAGGERINETAVGAAVAYACGQGNGTCDAIQPGGECFRPNTTAAHASYAFNSYWQHLRKTGATCYFNNLAEETTKDPSKPEKFYSILAQILIDTCVAVYYSALQ >LPERR09G11650.1 pep chromosome:Lperr_V1.4:9:12385190:12386831:1 gene:LPERR09G11650 transcript:LPERR09G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRPRRAWSVADTYWSEYLISRAMAGTTASRRTMMRAVQYDKYGGGAQGLKHVEVPIPKPKKGEVLIKMEAASINQVDWKFQSGIARPFMPKKFPFIPVCELSGEVVELGSGVSGFKVGDKVIANSFPDGGGLAEYAVAKASRTAPRPPDVSAADGACLPIAAVTALVALRTAGLSLDFAAGAGEPKKNVLVTAASGGVGHFAAQLAALAGAHVTATCGARNLSLATDILAADEAIDYASPDGAAVRSPSGRLYDAVVHCAPAPVPWKVFDRVLAGGGGAVGVVVDLTPGAAAFATAARQRVTFARKRLVPLIVSPAREEMEVVAGLVAEGKIKAVIDSRYPLSRAEEGWAKSMAGHVTGKIVVEIGEDE >LPERR09G11660.1 pep chromosome:Lperr_V1.4:9:12387005:12399002:-1 gene:LPERR09G11660 transcript:LPERR09G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRDDDGNPPSSCVDGDLHQRRRAPAPSPSTSATSIAPSPSTPATLISTGVSGGTASGKTTVCDMIIQQLHDHHVVLVNQDSFYRGLTAEQSAHAQDYNFDHPGTSVSKHTVAPIVLLLLLISRRLATGGGDRADKCVLASSGFQGDIKALHKNLAARELAPTQIPDPPSISEGLSNRYGSCKFHSSLD >LPERR09G11670.1 pep chromosome:Lperr_V1.4:9:12399023:12402844:1 gene:LPERR09G11670 transcript:LPERR09G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSPVEVAAGDGRWSHVGGCVIAGARRRTAPPVAVTGCSLQLFATTTTQRRDGGSGCCSRWGSGGRRDREDATTQGRKLQRREVPYLCIVAARAHDSEAAVVVGGGATGRPLSSSAAVRPQVRDGARLSFSCCVCLLGLMGFSAKDIWCYIHSLMPMRDAARVACVSRAFLSSWRCHPNLTFNKYALGLDEDGCETDFIRKVDHILKKHSGNGVKTFKLQVPEQLDVCGDNVDRWLQFAVTSGIEELALMLHGTTQKNYFPSSLLSDGIANSIRFLHLGHCGFHPTVELGSWRNLIRLCLSFVHITGDDLVCLLSNSLSLEWLDLWYCGKIVVLKIPCTLQRLSYLKVWGCKRMRVIESKAPKLSSFNFYGYKVKLSLGEWLQVKELHMLSSHLVRDAFADLPYMMPNLETLSIYSRCEIVNTQVLTTTKLLYLKYLSISLSALTDSPAYDYFPLVSFLGASPFLETFFLSIAQQQMKQKSILGDSSPMRKMPEHRHDHLKSVTITGFCSAKSLVELISHILENTISLECLTLNTTHGLASRFEHSPGTCFPMHKSILVEVPKALSAIKTYIEGKVPSSVRFNVLEPCSMCNDIW >LPERR09G11680.1 pep chromosome:Lperr_V1.4:9:12404967:12406163:-1 gene:LPERR09G11680 transcript:LPERR09G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKSAAAAAVREAEASRAVSSARVSGVATKPAAVAAKKSGAAPHAKAEASSVAAKSSHVSGAGAMKRRGGALLAEVETSIASTKSSATAAVEVKKNGTATLAKPDASRGCSVSSKRSSAAAVETKNDATTLDSIVAKGKGSSAAAKGEMKRSGGAPLFKGKGSRAAIKHFAAAAVGRGLARNAASAVAKKKTKASRAPTVAAASASTKKRIAVAQIGSVTGTQPAADAANSAAGLSIEVSAFADVEFIPFESHAEAKVLTVHQLKDAPELLRVLQSASDDRGYHIEGGGLRLVDVERLTKLDSLLNLVSDGIIPLLHNNLDLRRRARTIRRVGRLLRGYARRESSAIAVLLRRHVSPVFEGTRPRDWLLWNLQVALPSRIASMRAHRIDLARATRG >LPERR09G11690.1 pep chromosome:Lperr_V1.4:9:12408592:12418798:1 gene:LPERR09G11690 transcript:LPERR09G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSPPVTTTMRAVQYDGYGGGADGLKHVEVPIPTPKNGEVLIKMEAASANPIDWKIQSGMVRPFLPRKFPTIPGDGAACDLAGEVAAVGGGVSGFEIGDKVIAINFPSGGGRRRHRAPGAARRRRRHERAAQEERPRHRGVGHFAVQLAALAGHRVTATCGARNLSLAADEALDYASPDGAALRSPSGRLYDAVVHCAPPLPWKVFDRVLATGGGVVVDITPSAAAFATAARQRVTFARKRLTPLLFSPSKEDMQMLVGMAREGKLKAVIDSSYSLSDAPAAWAKSMGGHATGKKRLTSIHDIHTKSPNHINITSSPYTQTTKITSLPSVPLSLLACVTLPAMAAPAPKTMRALQYDRYGGGAEGLKHVEVPIPAPKEGEVLIKMEAASINPIDWKIQKGMVRPFLPKKFPFIPVGDLSGEVVELGGGVSGFKPGDKVIAMSFPNGGGLAEYAVAPASLTVSRPPEVSAADGASLPAAAGTALQQLKAAGFRFDGAGEGPKNVLVTAASGGVGHYAVQLAKLAGAAHVTATCGARNAAFVAGVLGADEVLDYASPDGAALLSPSGRLYDAVAHCAPPLPWKVFDRVLIAGGGVVVDLTPGVAATIRSFLHKATFSKKRLVPLMLMPKKEEMEWLVDMARQGKLKTTIDSKFPLSRAQEAWAKSMEGHATGKIVLLAPSLLSGLRLRLRLLPCLRAVFVRKMSSNPDGGRSFARRDSLHKMQSNAQKIWEEGKVFEAEPGNKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNVLLPFAFHCTGMPIKASADKLKREAQQYGCPPVFPELEDDSSAEVADSSQADQVVSVVPDKFKSKKSKAASKVGMQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGNVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPKLKNLEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTSRSALNLAYQNLSRVPEKPTCLAEVSGSDLIGLPLKSPLAFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGIMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHLLQNGNMYGKEISSIRPEQMTDEVWDYVFCGGPKPNSDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEVAWMEEVIAAESSLRAGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGATGMNRELLWRFMEVQTRLITPICPHYAEHVWQNILRKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPPSEENKLTVGLVYVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEITEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLKLPFGEMSVLEENLELIKRQVGLEHAEVLSASDEAARAKAGVHASMLDKTPPSPGEPVAIFMSKLDFEARR >LPERR09G11700.1 pep chromosome:Lperr_V1.4:9:12421664:12422702:-1 gene:LPERR09G11700 transcript:LPERR09G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRKLIKPQFTWWYQFIQPWYLVWSESPPANSGSCTGGDGFFSRKRKRQLQCFRRQICRLEDTMFAEVEKLRISSWPEVLYMDMPQGYMGHAWAIGDSTQLRHMPEAQTEP >LPERR09G11710.1 pep chromosome:Lperr_V1.4:9:12423127:12431093:-1 gene:LPERR09G11710 transcript:LPERR09G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTIDGPTDSVPKRKGSPCQQDDDSQDDKRIRSGVDLPEDIFWYIHALMPLRDAARAACVSRSFLQSWRCYPYLSFSKETIRLDNHEFTVDETTRDLISKTSHILHNHSGIGVKKLELVFFGCTNVDFSYFDSWLNKVVKPGIEKLTLILPRNSNAEYSFPCSLLSDGNENSIRYLNLSCCSIRPTVDIGCLRTLTTVHLSSMRITGFELECLLSNSLALESLTVIDCKEIVQLKIPCLLKRLHNLVVDQCEMLKVVENYAPNVTIFDFSGHPVPMLGFLQVKHLEISCLHQSSILCYALANLLSVAPNVESLGIYSHTEIVGTQTVSGKYLRLKYLQIVSLNRSPDFDYLSLVSFLDVCPSLQTFILHISDMRIPQGHMGHAWTVEDSAELRQMPGHRHDNLKKFEVAGFCYSKSLFELTWHILETTSSLNRVKLDTACNFPRCSSGRCISYYTEQIMEALNVFSAIKTYMMEKVPPTVKFNLVPPCTRCLARSPLGLGGIVDRGDLAPVMRFMSAKRQRHLQRCRRQIRRRELISSVAKTNRWPCQQNGSSQDDKGIRSGHDLEEEIIRHIHSLIPLRDAARVACVSHAFLRSWRCYPYLIFSKERLRLDKSAYSDDEITRNLISKVNRILQNHSGIGVKKLELIFLGCTSVDFSYFDNWLHKAVTPVIEELTLIPPENRNAIYRFPCSLLSDVNVNSIRYLHLSRCAIRSTIDLVTELECLLSNSPALECLRLLDCKEIVLFKIPCLLKRLHSLRVNSCEMLTVVESYAPNIATFDLSGHAVEVLGLDQVKNLEMTWYSSSILYYALTNLVSVAPNVEKLGITSRTEVINAQTVLGKFLHLKHLKIFSIEWSPNFDYLSLVSFLDASPLLETFKLHVGMGMQDSHMGHAWTVGDSAPLRQMPDHHHNSLKKFKVSNFCYWKSLVELTCHILETTSSLNHVKLDTACGYHRCSSVRCDPNRTEQQKQIMEAHNAFLTVKTYILGKVPSTVKFNLVQRCSRCRALIQ >LPERR09G11720.1 pep chromosome:Lperr_V1.4:9:12431407:12433506:1 gene:LPERR09G11720 transcript:LPERR09G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSYVDVMYRRSSGSNLQGWAHAAMEVKMMITPGINPDLPDSWRCYPNLTFSIETLVFDGNLFNGFAERTRYFNNIVDQILQNHSGIGVMTLKFDLQDCGNIDSRHIDWWLHNALFCVMVCFTHASEVHSLPFVVP >LPERR09G11730.1 pep chromosome:Lperr_V1.4:9:12436625:12438067:-1 gene:LPERR09G11730 transcript:LPERR09G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAAKGMKLDRYASALRRVASGKVVSASSHLLFRATVLATLALVFLFTFHYPSLLSRSFSLSSSSASHPSHRSLLMSSSSSSSPAAATYGGAAWEKEIRRSARPTRDGGLAVLVTGAAGFVGTHCSLALRARGDGVLGIDNFNAYYTPSLKRARQARLAARGVAVLDADINDGELLRHLFDLVPFTHILHLAAQAGVRYAMEAPQTYVASNVAGLVSIFEVAAKHADPQPSIVWASSSSVYGLNKITPFSESHRTDQPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPMGRPDMAYFAFTNSIVSGEPITLFAGARRDFTYIDDVVKGCLGALDTAGRSTGDAKSGKKSGEAPLRVYNLGNTSPVPVTRMVGILEKLLGKKANKRVVAMPSNGDVPFTHANVSHAAQDFGYRPTTSLEVGLRHFVDWYVDYYKVKLDVPKISKVASGAGGGKPAAKKKKKKAAAAMVTSS >LPERR09G11740.1 pep chromosome:Lperr_V1.4:9:12448845:12455963:1 gene:LPERR09G11740 transcript:LPERR09G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDTTLWFEELRVDMNIVKNISMEILDFYDTYKIDHQRGLPEDKIAPVMNKLPAKA >LPERR09G11750.1 pep chromosome:Lperr_V1.4:9:12453570:12456551:-1 gene:LPERR09G11750 transcript:LPERR09G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDLIGSPKSSVPNAAGHGGKLSHAPVPPSGVLKFLPKTVGPTCQSLIHDTLCPYCCASSKVSMSTVSGTRRAMRRQSQDGSADKVVVNLDAIPSPVVGSRRQVAISAGARASPIDVEALDDEVQTRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLQSNKTSKEPPKVVPKEPVFNCPVCWNKLEEPSTTICGHIFCMTCIKQAIQIQKKCPTCRKSLRANNFHRTLDVPKELLAASSISNIIYSGSEILP >LPERR09G11760.1 pep chromosome:Lperr_V1.4:9:12458608:12464256:1 gene:LPERR09G11760 transcript:LPERR09G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPTRTDHRRRRVAVAGEDDGEESHLDPFLDDSPPSSSKVQFWKVASRAVWVEEAGAAEVVDSKGKLWLTTGVTRDGKLYYNVEEIGFLAERGALVLLDDEGETIGMEEIYGKIAGGKYGCTWGAFQAYKHLKLLGYIIGRYDVSWTVKRNHDSSMSVVGTDQSLNRIGGACNDITKLLKKMCIDDIHPFFEVYLPNSKFKKTSPGDPNFLLCLLSNKPPSRGKLEEVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >LPERR09G11770.1 pep chromosome:Lperr_V1.4:9:12458902:12459331:-1 gene:LPERR09G11770 transcript:LPERR09G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQLGQSMTVESSTWTRKPSACPVHSPPPPKEAYPRAAAIAVEEVNGAVIADASRLQMLGLAWCAWGRAGDVGGDLIAVAVAVAADELDEVENEASMAPVVSSGERGVKGKDGNSPMKGGGMN >LPERR09G11780.1 pep chromosome:Lperr_V1.4:9:12462353:12465004:-1 gene:LPERR09G11780 transcript:LPERR09G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQRQEGKGEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGVWDVLAAIFPVLASRKPANTILAVNRQSKKKPGANHSHHERLLGVARLLSQMVEPVMKGAVGACFAGKSEGSGPTVYNKATDLIDRKQTVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFLLHEKTKDMENAEGCSDMENAEGLNSPVKLPDVTLANQPETTHCHHDEDSRSRMQLVNDKVGNPKVATSSIGTTLTKKQRLDVIPLATAEPEDLYGKFSEDTDKSIF >LPERR09G11780.2 pep chromosome:Lperr_V1.4:9:12462353:12465004:-1 gene:LPERR09G11780 transcript:LPERR09G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQRQEGKGEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGVWDVLAAIFPVLASRKPANTILAVNRQSKKKPGANHSHHERLLGVARLLSQMVEPVMKGAVGACFAGKSEGSGPTVYNKATDLIDRKQTVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFLLHEKTKGNCEKAQAEDQKSCASGSAVLYETLVQVSEDMENAEGCSDMENAEGLNSPVKLPDVTLANQPETTHCHHDEDSRSRMQLVNDKGSDSLSDTLATHVHSIPCPDVKPETKKRVAFIAVGNPKVATSSIGTTLTKKQRLDVIPLATAEPEDLYGKFSEDTDKSIF >LPERR09G11780.3 pep chromosome:Lperr_V1.4:9:12462353:12465004:-1 gene:LPERR09G11780 transcript:LPERR09G11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQRQEGKGEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGVWDVLAAIFPVLASRKPANTILAVNRQSKKKPGANHSHHERLLGVARLLSQMVEPVMKGAVGACFAGKSEGSGPTVYNKATDLIDRKQTVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFLLHEKTKDMENAEGCSDMENAEGLNSPVKLPDVTLANQPETTHCHHDEDSRSRMQLVNDKGSDSLSDTLATHVHSIPCPDVKPETKKRVAFIAVGNPKVATSSIGTTLTKKQRLDVIPLATAEPEDLYGKFSEDTDKSIF >LPERR09G11790.1 pep chromosome:Lperr_V1.4:9:12469065:12469601:-1 gene:LPERR09G11790 transcript:LPERR09G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPARRGVTLAEQMAASSNLRDLLKLRDDDDDDGVDGEHAVRHPPPPVVVVGRRRTLLDVIRGEHHHHHRPSSASATPAAPPPRAAAARVSLMALLEQAERQWSAETPAAVKEEGKHGVAGGGGVGGRCCVCMARGKGAAFIPCGHTFCRACAGELRAARGRCPLCNAAIHDVLNLF >LPERR09G11800.1 pep chromosome:Lperr_V1.4:9:12472290:12476985:-1 gene:LPERR09G11800 transcript:LPERR09G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKTKGKNPRKAQQQQQREPTAAATPSDAGSEDAAAAAVVQDAGNSTEEAAGPASASGREQCGHYGGDSAKLDMILLEIMSSKHFASCEHCRDDAPRKKGGGGGGKEKGGKQQKKKGGGPKGATAKKQAKAQKSDIWVCLDCGRHFCGGEVDMSKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAAPAEVGGAVDRDIGLFNLHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRNKMLGPDVPTGALLMSLKKLFIETSASNDVGGALSPKNLFSNICSKYPQFRGYQMQDSHELLRCFLDGLHTEEIEARKLADEPSSAGVPTIVDSIFGGQLSSTVSSTECTHNSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRTKQSLRDRNKNRRYGKIVAQVSPTIEASKKEKIQTVAECNDSQIPGSELGQVVSEKEPEPSECSESCASVPNQEQTGTSNVEDGLVGDIWLDYLDDADEAKSEILDSANSTEAGQIWEDGGVVIYGPPTQDDALLKEQVLGSEHSGENHVDDGASSQPVILLPYKEFGSTPEEMDGTTENPQKPEDAVPPPAVSPLTEDNTLLASVGDGDQDDYAGLGDMFNEPEATSEVKKDTGTVEDINVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEAELLSEPWHCEHCSNSVACANTIDGKDDEMVISANERKDGEEMMAGGDETQGGDKLIANCIEKEGIDQNMATDGCSDNLNAGMNSKEGGCANSSLAGADNSCDANFPEDGEVALLKTGTLLVVDKTEQENNKAYHLETRDLNNSAVEYTSSSKPSQESAQRKDERNVDVASEETTAPQCCDNESASCSTTSKNEVECGAGAEEIVTSSLPSETQRILPGERDNEDVVTRNQGRRKRMKMLGKAHQGQDNQNEQKESGKKVFRAAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKETLDVRPFMDPRSEENKNTTYRLVGVVEHSGTITGGHYVAFVRTGKIGGRQQRNTDSKSWFYASDAQVRESSLEEVLRCEAYILFYERVGD >LPERR09G11810.1 pep chromosome:Lperr_V1.4:9:12482089:12486523:1 gene:LPERR09G11810 transcript:LPERR09G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFILRVSSHIVLASKSSPLAPPPPPPTALSQILGASLRNREAHQIWDASTSTLLVPPPTPSDLGLSQEGSRGLGKSVTEGIDLSGRESPSISTMTSAGDNIQAGFAKLQGEDFTYLMQTYSIILGRDSKKGKVDLDIAGGDQNVSCQHARIFYDFTRKRFSLEVLGKLGVEGVLHLPGGHPVKLDSQDLLQIGEKKFYFLLPTRSIFETSANQRFSGSAAFQAGNNGTAANQYDHAASTTARLAHIGTAAPPPHIGIPSQTAQPVRTLAIRSADNNIEDNQKEVLLEEEEYVLRSIGMVIPSLSGRGELVPIEKLHSELVARYSTEWPQRQVQMHLTPEVGSSSGTNSKPWRNLMYLLKKYPERFLVLLSSDEGKVPSYYVTMTSLWGR >LPERR09G11820.1 pep chromosome:Lperr_V1.4:9:12488072:12491312:-1 gene:LPERR09G11820 transcript:LPERR09G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCRPAVGAGAVLMRRREMAARCCAAPRVSGVEAASAVEGGGGEKRTTTMAERLRMGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDEVRDEVFVHCPKTPRLAFPEENNGSLKKIPILTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDSHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGDEINRGRTVWRKLAR >LPERR09G11830.1 pep chromosome:Lperr_V1.4:9:12494236:12496827:-1 gene:LPERR09G11830 transcript:LPERR09G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRLFTTPDQCDAVNRLVAFFAVPFFGFDFASRVDPFSLSYRVLAADALSKLAVALSLAAFVSFFSGAGKGGLDWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQVSVVQTIVYFPLLLLAFEVRRARGGDKTAAVEDGGGEDERPAMWVLVRAVGMKVARNPNVYAGVLGVAWACVTNRWHIETPSIIEGSVLIMSKTGVGLSMFSMGLFMALQEKIIVCGAGPTVLGMALRFVAGPAATAAGAFALGLRGDLLRLAIIQAALPQSITTFVFAKEYGLHAEVLSTAVIFGTLASLPVLIVYYIVLGFIR >LPERR09G11840.1 pep chromosome:Lperr_V1.4:9:12500506:12504832:1 gene:LPERR09G11840 transcript:LPERR09G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSTQGTGSSLIMPVLDNQLKSPSPLLLPAKDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGMKREYIDLRKD >LPERR09G11850.1 pep chromosome:Lperr_V1.4:9:12508396:12513717:1 gene:LPERR09G11850 transcript:LPERR09G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMDSAVGAHFSGLRLEALRLSSSPSAPSSPSSAKAAAAAAAAAHSNGVVYGNGDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRALPVNVPIYDFKNHRRCSETFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVYVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGYLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLKYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEFRVIPGLGEYGDRYFGTDN >LPERR09G11860.1 pep chromosome:Lperr_V1.4:9:12514249:12517746:1 gene:LPERR09G11860 transcript:LPERR09G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGVLPAALLLAVAAAALLLYTALPAAAAAAVAGDHSTLDKDENIQPLSTLNMAAARVMMDAGSVIHASPELLGANGEDSAWVTVNFTTPAPTDGHWIALFSPANLDLIMGGKQSSSSKINAAGEDEAPTGLPMAPIKYKFANISPSFMSSGSGNTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKIAFANPKAPVFPRLAQGKEWSEMAVTWTSGYNIDEAYPFVEWRMKGEENAKRTPADTLTYTRGHMCGKPANAEGYRDPGFIHTAILKNLWPNRDYSYQIGHELPDGSIVWGKSSTFRGTPSPGQASLQRIVIFGDMGLGQTDGSNELAGFQPGAQSTVDRLITDLPNYDAIFHIGDLSYANGYLAQWDQFTAQISPIASRVPYMVASGNHERTSHDTGGFYSGDDSHGECGVPAETLFSTPTVNAGQRLWYGVDRGLFRVCVGDTEHDWRPGSPQYEFLDGCFAAADRKHQPWLVFAAHRPLGYSSNGFYAKEGSFSEPMGRTLQNLWQKHRVDLAVFGHVHNYERTCPVYENTCTASPGSSPAANGSSPAVYSGVMGGTIHVVAGTGGARLRGFAGGEWPQWSVARNESYGYVKLTSRDQSRMEFEFVRSEDGQVVDGFVIEREYKDVLACIVDACEPHSLAN >LPERR09G11870.1 pep chromosome:Lperr_V1.4:9:12520277:12524633:-1 gene:LPERR09G11870 transcript:LPERR09G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGIGLLLLLVAVAAAGGGVAAARTIGEQPLSLIGIHRATVGIDAAASVEAWPRLLGVKGEDTAWVTVSFAAPHASDGDWIGVFSPSNFNASTCPGSYGSDPGPVICSAPIKYQLANYSSDYGKSGRGTLKFLLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIQEAYPFVEWGMKWNPPTRTAAGTENLLVLLVGEIQIGHMLPDGQVVWGKFNSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYQTDYGMFRFCVADSEHDWREGTDQYAFLERCLSTVDRKKQPWLIFIAHRVLGYSSGFFYGTGAGATFAEPTSRRSLQKLWRDHRVDLAFYGHVHNYERTCPVYDEKCVISPANSSNSHYSGVMNGGTIHAVVGGGGSHLSNFTVETPEWSVYREMDYGFVKLTAFNYTTMVYEYKRSSDGKVYDSFTVHREYRDVLACVDGSCPPTIPTS >LPERR09G11880.1 pep chromosome:Lperr_V1.4:9:12525182:12525975:-1 gene:LPERR09G11880 transcript:LPERR09G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGSGAAWLACLLVGVVAAAAHGGGGGGVGEQPLSRVAIHRARVALDASAAVRASPSLLGSQYV >LPERR09G11890.1 pep chromosome:Lperr_V1.4:9:12528717:12529034:-1 gene:LPERR09G11890 transcript:LPERR09G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGISGPHVLRINVCVRSIIFGDTVIMVDMLDHLSDNYGWRVGNLGRLRDLADLSVNVKRIAEGTLPKLRFDVRNDETWSCSMGATLSSAPVQRRLGALHRCLL >LPERR09G11900.1 pep chromosome:Lperr_V1.4:9:12530845:12533540:-1 gene:LPERR09G11900 transcript:LPERR09G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAVRLALLCLCLCALASAAAAAKTHHLTWNISYQYKTLDCGGVRKLAVAINGEVPGPTIRATQGDTLAVTIGTPWADGTAGVTQCPILPGETFTYRFVVDRPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDEEHTVLLMDWWHKSVYEQAVGLASVPMVFVGEPQSLLINGRGVFKASNASGDDCSSPPTLFTAVPGKTYRLRIGSLTSLSSLSFAIEGHTMTVVEADGYYVTPATVTNLFIYSGETYSVLLTADQNPSRNYWATSHVVSRKPETPPALAVVRYATAGDLPPPPPAGPAWDDTASRVNQSRSFSALAAGGHVPPPPPARPDRVLLLLNTQDKTVDGHTKWFINGVSLAFPETPYLISMKHNLRGEFEQRPPPDSYDHARLSISSPPPANTSGRAAVYRIAPGSVVDVVLQNTRNPANGKSETHPWHLHGHDFWVLGYGEGKFDPEIDGGGLMRGSIGRPPVMKNTVALHPMGWTAVRFVADNPGVWLFHCHLEAHVYMGMGVVFEVGVERVPRLPAAIMGCGRTKGHH >LPERR09G11910.1 pep chromosome:Lperr_V1.4:9:12536489:12542999:-1 gene:LPERR09G11910 transcript:LPERR09G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEESNQLHGQMWEHDATLLGYERQSPHAVQQVPPHGGNGFFHPLEAAAEPTLQIGFTPEQINNSCVTAFMPQWLP >LPERR09G11920.1 pep chromosome:Lperr_V1.4:9:12546583:12551453:-1 gene:LPERR09G11920 transcript:LPERR09G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLTDLDHDAVPATPATNTTTALGIASAAVAPAGRPECSVDLKLGGLGEFGAAAKGPAVVPPSNTSPASPAATAVARVPTAAASPARRPRGVSGAGQCPSCAVDGCKADLSKYRDYHRRHKVCEPHSKTPVVVVSGREMRFCQQCSRFHLLGEFDEIKRSCRKRLDGHNRRRRKPQADSMSASFMTSQQGTRFASFTPPRPEPSWSGIIKSEETPYYSHHHHHHHHQIMSSGKQHFVGSPSRTTTTTTTATFSSSSSKEAAGRRFPFLHDGDQISLLKTTTAVVSPVPATAIESSSSNNNKIFSDGQLGHTHHQILDSDCALSLLSSPANSSTVDVAGRINLHHHPPHHPSPAAIPIAQSLVPNLQQQFGGGGGSSPWFASGGGGGGFNCPTSVESEQQQVNTAVVPGSNENEMNYHGIFHVAGEGSSDGTSPSIPFSWQ >LPERR09G11930.1 pep chromosome:Lperr_V1.4:9:12558171:12563102:-1 gene:LPERR09G11930 transcript:LPERR09G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGTTPAEAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLADLRRGLRHPSSSSAAAAAPRSRLWCPFCSADLVDLDSRFACSNVFYHLASQDHLNGVKSFLRKHGGDMGQVDSFRISEDELAKWEKSCESLITEPQTPTEGIPYSAPFETFGVPVTPCGLVVSHEKQAMKGTHSFCSADTKMKGSQSTILGNGPNSSGSSSVYVQQRNPGGNFSQGLKANVHTGAPPPWLQASEHDQENVSLNGYARQSSQKGKSGRLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGSVWQSGTRKESRKEFEKKHTPHDEKSNKLSLEVKPYISKRMPLNQQSLAHSAVLRREQSIADSLEDGLERER >LPERR09G11930.2 pep chromosome:Lperr_V1.4:9:12558171:12563102:-1 gene:LPERR09G11930 transcript:LPERR09G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGTTPAEAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLADLRRGLRHPSSSSAAAAAPRSRLWCPFCSADLVDLDSRFAWISEDELAKWEKSCESLITEPQTPTEGIPYSAPFETFGVPVTPCGLVVSHEKQAMKGTHSFCSADTKMKGSQSTILGNGPNSSGSSSVYVQQRNPGGNFSQGLKANVHTGAPPPWLQASEHDQENVSLNGYARQSSQKGKSGRLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGSVWQSGTRKESRKEFEKKHTPHDEKSNKLSLEVKPYISKRMPLNQQSLAHSAVLRREQSIADSLEDGLERER >LPERR09G11930.3 pep chromosome:Lperr_V1.4:9:12558171:12563102:-1 gene:LPERR09G11930 transcript:LPERR09G11930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGTTPAEAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLADLRRGLRHPSSSSAAAAAPRSRLWCPFCSADLVDLDSRFACSNVFYHLASQDHLNGVKSFLRKHGGDMGQVDSFRISEDELAKWEKSCESLITEPQTPTEGLIGPSLGPPKDIQNKSTSKYLDNFAETHFPSSSNTASNVVIPLQSPTDGAYYPNSTACHGSSSFGSIPYSAPFETFGVPVTPCGLVVSHEKQAMKGTHSFCSADTKMKGSQSTILGNGPNSSGSSSVYVQQRNPGGNFSQGLKANVHTGAPPPWLQASEHDQENVSLNGYARQSSQKGKSGRLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGSVWQSGTRKESRKEFEKKHTPHDEKSNKLSLEVKPYISKRMPLNQQSLAHSAVLRREQSIADSLEDGLERER >LPERR09G11930.4 pep chromosome:Lperr_V1.4:9:12558171:12563102:-1 gene:LPERR09G11930 transcript:LPERR09G11930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGTTPAEAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLADLRRGLRHPSSSSAAAAAPRSRLWCPFCSADLVDLDSRFAWISEDELAKWEKSCESLITEPQTPTEGLIGPSLGPPKDIQNKSTSKYLDNFAETHFPSSSNTASNVVIPLQSPTDGAYYPNSTACHGSSSFGSIPYSAPFETFGVPVTPCGLVVSHEKQAMKGTHSFCSADTKMKGSQSTILGNGPNSSGSSSVYVQQRNPGGNFSQGLKANVHTGAPPPWLQASEHDQENVSLNGYARQSSQKGKSGRLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGSVWQSGTRKESRKEFEKKHTPHDEKSNKLSLEVKPYISKRMPLNQQSLAHSAVLRREQSIADSLEDGLERER >LPERR09G11940.1 pep chromosome:Lperr_V1.4:9:12564864:12572751:-1 gene:LPERR09G11940 transcript:LPERR09G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCTRSSSSLTRAGIGWSSGRPTLAVRAIASRDLPRTRPRISSVRREWRCMRSSPEPAVDMAAAVFHVPRESRDGEASPDAEPGEVAEEAATLVEVDEVEFQLRQVDLEDGVEDAGEVGEAGEPLQLVVIGGDLPVVVGGEGGDDAVHRGGVSQRRDGAQLDALLPEPIPDQRVQLPQRRDGHRRRHGEHGGGVEWRGMEEGGGRGGGGGEWKTRWMEGDTAMGMLPFIALRREAARLALGNKKEALLFSSSPPSSPFSSLSPLTRARTRRHRNEMATAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNASGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLSLKVKSVLDPCDDNNANSEDDNMSLDNESDQDNAFSDTEVRPSEAERYIVAPNDDTQDPAHEEELTAQVREWICSRDTEIIDVSDVLTNFPDISMRLLKDGLLSRASKDSYSVNKIADPRTPHIKKEFIMQNVSPTEGTKNSNGDLMYMKALYHALPMDYVSVSKLHGKLDGEASQNMVRKLIDKMVQDGYIKNSANRRLGKAVIHSEATNRKLLEIKKILEVDIGEQMAIDTKAEHVEPERKDLLRGHEMRDGSTMGCLHSIGSDLTRTRELPEQQQNVSMQSGQGASTTDKDPTRTPTSMREQASVCSLESGVLGQKVRKSLAGAGETTQCTQDKRFRKASTVKEPILQYFKRQKSHVQAH >LPERR09G11950.1 pep chromosome:Lperr_V1.4:9:12572188:12572857:1 gene:LPERR09G11950 transcript:LPERR09G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVTITTLRELHALVRDGFGEQRVELRSIPALRDAPAMYRIVSTLAADYDWKVAPDHHQLERLAGLADLARVLNTILKVNLPQLKLHLVDFDQRRGLLRDLAGLRTAAAMSTAGSGELLMQRHSRLTELILGRVLGKSLEAMALTARVGLPDDHPIPARVKELDDLVQNDIANGVRAEVLPDAIQGQIAAATTRIKHLLGLRVDF >LPERR09G11960.1 pep chromosome:Lperr_V1.4:9:12574017:12577417:-1 gene:LPERR09G11960 transcript:LPERR09G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQEKRQRRRRRQIQARNRSIASVAKRKGSVCQQLANSEGNRRRLYPGLNLPGSTYSILFGQTELKLLKRIGKNKNALSSLRTTYSNALDIWSHIHSLMPLRDAARAACISRAFRSFWHHYPNLIFTARGWGFTKKVDCILKNHSGIGIKSLRFESGVFYDAVASSQLDSWLQIAVTPSIEELTLRILFYSTNYSDSKYDEYNFPCSLLSDGRGSSIRHLCLSNCALHPTINLDLRNLTRLHLAFVHITGDELGCLLSNSYALERLELAYCYGIICVKIPCLLQRLSHLEVSECEMLQVIENKAPNLCSFRVKANHVQLLLCESLQMKSLFLCYPIALFYARAELPSYVPNLEALTVGSSHEMLDTPMLPSKLLHLKCLTISLTGAITSSPAYDYLSLVYFLDACPSLGTFVLDVSWERMGHVSVFEDSSQLRQMLKHHRHDHLQSVKITGFCSAKSLIELTRYVLDNTTALKYLTLDTTRGGYSCSTGEYNKCFHMGEYNKCVPMGKGMITEANRAVLAIETHIEQKVPSTTKLTVVKPCSRCHII >LPERR09G11960.2 pep chromosome:Lperr_V1.4:9:12574017:12577417:-1 gene:LPERR09G11960 transcript:LPERR09G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQEKRQRRRRRQIQARNRSIASVAKRKGSVCQQLANSEGNRRRLYPGLNLPGDIWSHIHSLMPLRDAARAACISRAFRSFWHHYPNLIFTARGWGFTKKVDCILKNHSGIGIKSLRFESGVFYDAVASSQLDSWLQIAVTPSIEELTLRILFYSTNYSDSKYDEYNFPCSLLSDGRGSSIRHLCLSNCALHPTINLDLRNLTRLHLAFVHITGDELGCLLSNSYALERLELAYCYGIICVKIPCLLQRLSHLEVSECEMLQVIENKAPNLCSFRVKANHVQLLLCESLQMKSLFLCYPIALFYARAELPSYVPNLEALTVGSSHEMLDTPMLPSKLLHLKCLTISLTGAITSSPAYDYLSLVYFLDACPSLGTFVLDVSWERMGHVSVFEDSSQLRQMLKHHRHDHLQSVKITGFCSAKSLIELTRYVLDNTTALKYLTLDTTRGGYSCSTGEYNKCFHMGEYNKCVPMGKGMITEANRAVLAIETHIEQKVPSTTKLTVVKPCSRCHII >LPERR09G11970.1 pep chromosome:Lperr_V1.4:9:12579405:12579890:1 gene:LPERR09G11970 transcript:LPERR09G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAITSLDEAELAARELGGPHVDVHIESVVLNEAPAMAAILSPLFEEYGWRIGNIRRLLNLAGIDEHLSVVVDVHLPRLNSDVRDPNALALLRVSGTTIIRLARRVGGPSAADYVTFGNRITRLAHHIHQPRRNDGELRQRIGQAVVNVNQLKGARFDF >LPERR09G11980.1 pep chromosome:Lperr_V1.4:9:12581257:12582596:-1 gene:LPERR09G11980 transcript:LPERR09G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGACQKNPDVAQEALLGQTTRAEVARRPAEEAARENCMGQRGPRISMHSVSNVYPHGELENSCIGAAMGRPMGGPWVIKPSQVSWVGSTRHLEIIFEATMGQTSGRPGSPHLHPEARQLAAAAHPLAEVAPAGAARHPAATTRLTSYNANLCQHWLKMVVSAMDANMSALARHLDDAVKILYTLHDELQALRMEHACYKDFCK >LPERR09G11990.1 pep chromosome:Lperr_V1.4:9:12585727:12586095:1 gene:LPERR09G11990 transcript:LPERR09G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAGEGDQSRAMYELCALLLTVLRSSPELGGGRPWPRQVTAAGVASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLFVGFVTNLSGIWRAIILWLAAGADACSPKVDSASTCT >LPERR09G12000.1 pep chromosome:Lperr_V1.4:9:12588968:12594404:-1 gene:LPERR09G12000 transcript:LPERR09G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQNTQVPVLSNSSSSDLANKKFPGKRETGQDRERFHDPVPRPSRIMEGRSLRFGFLLALCTLAFLHPAVAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLVVKDPNGNQIHDSRDKTSDKFEFIVHKRGIHRFCFINKSPYHETVDFDVLVGHFSYFDQHAKDEHFGALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMGKRAVHKALFESAALVAASVVQVYLLRRLFERKLGTSRLYGVRKVQIVPKSKEMIPTGEARVPSSMERGWCGSMCSIAAAVVLVAAAMAAAGGEARLSPDHYRTTCPGVESISSGPHWAVELCRLDSLVSNSGS >LPERR09G12000.2 pep chromosome:Lperr_V1.4:9:12590940:12594404:-1 gene:LPERR09G12000 transcript:LPERR09G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQNTQVPVLSNSSSSDLANKKFPGKRETGQDRERFHDPVPRPSRIMEGRSLRFGFLLALCTLAFLHPAVAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLVVKDPNGNQIHDSRDKTSDKFEFIVHKRGIHRFCFINKSPYHETVDFDVLVGHFSYFDQHAKDEHFGALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMGKRAVHKALFESAALVAASVVQVYLLRRLFERKLGTSRLYGVRKVQIVPKSKEMIPTGVA >LPERR09G12010.1 pep chromosome:Lperr_V1.4:9:12594702:12596609:-1 gene:LPERR09G12010 transcript:LPERR09G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVDAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKLSLLTLNHTLQANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >LPERR09G12020.1 pep chromosome:Lperr_V1.4:9:12599482:12599877:-1 gene:LPERR09G12020 transcript:LPERR09G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLAMERGRRGLIMKTLVRWRRLPSEGCFSVYVGDLRQRFVVRTVTVNHPLFRPLLEESEEVFGYAAVGPLQLPCDAAVFARVLEQIEEETAAAGNMAAAKRCGLATRGQPGYRILVPSRPINAGGRS >LPERR09G12030.1 pep chromosome:Lperr_V1.4:9:12601682:12603868:-1 gene:LPERR09G12030 transcript:LPERR09G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVSLGVTIAVLLALELVFSGTEAKFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERVTDKNGVYKLDVPAAGGFECREGHDLRSSCRATLLRSSSASCAVPGSSTSQHIALRSRATNACFLNLNALTFRPSKRDAALCPGSANGDSNANGNAVSLFFWPFLPLFWPPFRLPSPAGGGGGGGGIVSFPWPFPVPDWLVPFLRPPFLPFPLYQPAPPPFYRFPPSSSEEGEGSSASQP >LPERR09G12040.1 pep chromosome:Lperr_V1.4:9:12604900:12607286:-1 gene:LPERR09G12040 transcript:LPERR09G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLWASVFGILGNIVSFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCTLWILYALVKTNSSPLLTINAFGCVVEAAYILLYLLYAPRQARLRTLSSFLLLNVAAFSLIVAVTVAGVAPPHRVRVLGSICLAFSMAVFVAPMSVILVVIKTKSAEYMPFSLSFFLTLSAVAWFFYGLFTHDLYVTLPNVGGFFFGCIQMTLYFIYRKPNPSADGVMILPTTTATAAATIDGAAVVSAPASSAVAQMAAVLPATAVAVMPASALPVLAELHKMEQEIGTPRKGAIKTV >LPERR09G12050.1 pep chromosome:Lperr_V1.4:9:12610627:12614333:-1 gene:LPERR09G12050 transcript:LPERR09G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPPSRGGGGGAAGVGGGGEQPRVYEVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGASDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEQSSIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQARTPTRSFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRITHASPDLLREGLPSDIVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEAWGSVSSGHGTTSSTH >LPERR09G12060.1 pep chromosome:Lperr_V1.4:9:12618695:12620341:1 gene:LPERR09G12060 transcript:LPERR09G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFRATVTFNGETFDGPTNCTTLRQAEHAAAEVALSLLSRRGPSSSLTSRVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFGGDPAKTKKHAEKNAAMAAWSSLKQMPEARKGNGAGEEPEHVVVARVLAALKPREDCGGEGTAAAKKNLAGGAATMYRSQQWGRTPASPSPPAGPKILPPLHMLQQQQAAAPTPATSSRAAVSAAAAAAEMERERRKAAELVQMLHAAMLRDRDAMPPPMPTPCYYPAAAPYYHHHHGGGGVAPAARSFAGGYHAPPAVSVRSVIPVCAAPPAASKEDEQKTVPASSSSSSKRT >LPERR09G12070.1 pep chromosome:Lperr_V1.4:9:12625140:12628018:-1 gene:LPERR09G12070 transcript:LPERR09G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLISRLPAAAASTRLIRPLAAAAGSLLPAALRSPSAAAAPRSSARCFATQPVTSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGNPGDPDITRDEIIDSYIKTLAQIVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNSRANERTRRNDRPRNYDRSRNFERRRENMQNFQNRDGQGFNSPPPPGQGPVPPRDAPPMHHGQGPPPPPPNAGGPCYQPHVANPQAGYTNYQQGGAPSYPGGNPGYQGGQRYTGPPPPSAYQGNNPGYQGGGPGYQGGNPPSYQGGNPPSYQGGNPSYGGGAQDYQGQGGNPSYQQGGNNYSGGAPAYGDGPGRNYQ >LPERR09G12080.1 pep chromosome:Lperr_V1.4:9:12629139:12633665:-1 gene:LPERR09G12080 transcript:LPERR09G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLPELELDLAAGTREALSTGAASSSWTDTTAPLADSRCLASSWTRAAGARAQSDVRRPGKPRRPMSPPCRPAPTLSSSTSPPLILVYARHRALIDLRCLTSTSASLSLLEYSVILFLLFCTGAVLA >LPERR09G12090.1 pep chromosome:Lperr_V1.4:9:12635191:12636195:-1 gene:LPERR09G12090 transcript:LPERR09G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKAPRINQIGSSGAGGGADVPPLQRQQMQDIYMLLIDPQFTTMRNSMREKILCYIGRKQMPDGFRQPRLAELAKRLESAKGESKDDAEPYD >LPERR09G12100.1 pep chromosome:Lperr_V1.4:9:12646009:12656577:1 gene:LPERR09G12100 transcript:LPERR09G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSAPMLGQVLRRLRPATSARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFSLPIGKAKVEREGKDVTIIAYSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEESFPQHGVGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRTCYRSVPMAATA >LPERR09G12100.2 pep chromosome:Lperr_V1.4:9:12646009:12648785:1 gene:LPERR09G12100 transcript:LPERR09G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARQQLPPGFRFHPTDEELVVQYLRRRALSRPLPAAVIPDLHHAAILDPWLLPGAGEGEAYFFSFRRSAAMGGGRGGGRRRKAGSGYWKATGTEKPVFLRGFGCGGGKQQQQLVGVKTTLVFVRAKPPCRTGWVMHEYRLAAAAAIAGLKKGGDHSCMSQTGEWVVCRIFLKNNRSSSKRRLTDVDSQTPVTGVHDGVLGHRRQPSPSPSPSSSSCVTVEVSDEEEAEVSSGSINSAPTVASQREA >LPERR09G12110.1 pep chromosome:Lperr_V1.4:9:12657131:12663134:1 gene:LPERR09G12110 transcript:LPERR09G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRHGGGGSGGGNSPAATAANELLAASQDMADMRSCYDNLLAVAAAIANSAYEFSEALQEMGTCLLKRVAPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSLSLLNELQTVEEMKRQCDEKRELFEFMLNAQKEKGRSKNAKSDSGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKVLEAVEPHVSLAAEQQHIDHQFSALDEEDYSVDDENDDDYNDSHEELSFDYGENKEVTVVGHASRSPTEELVDRSKAEYSSFPVERQRTGSQSAPLFPEKKLEAAEKIKELRRSATRKLNTYVLPTPNDVRDTSPTVTGNPTSGSPLGNKGASYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLALPGYHDPKTSDNKKVKRGSFSGPIVPRSRSTENIDVISVPPRHSSSHQPSVHVRVSPSTSPPLLSSPKIKELHELPRPPANAPKHTPFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDHQDKGPSRMSLSSLPSAQTSLEDHHRPLSGATESVSKT >LPERR09G12110.2 pep chromosome:Lperr_V1.4:9:12657131:12663134:1 gene:LPERR09G12110 transcript:LPERR09G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRHGGGGSGGGNSPAATAANELLAASQDMADMRSCYDNLLAVAAAIANSAYEFSEALQEMGTCLLKRVAPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSLSLLNELQTVEEMKRQCDEKRELFEFMLNAQKEKGRSKNAKSDSGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKVLEAVEPHVSLAAEQQHIDHQFSALDEEDYSVDDENDDDYNDSHEELSFDYGENKEVTVVGHASRSPTEELVDRSKAEYSSFPVERQRTGSQSAPLFPEKKLEAAEKIKELRRSATRKLNTYVLPTPNDVRDTSPTVTGNPTSGSPLGNKGASYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLALPGYHDPKTSDNKKVKRGSFSGPIVPRSRSTENIDVISVPPRHSSSHQPSVHVRVSPSTSPPLLSSPKIKELHELPRPPANAPKHTPFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDHQDKGPSRMSLSSLPSAQTSLEDHHRPLSGATESVSKT >LPERR09G12120.1 pep chromosome:Lperr_V1.4:9:12664686:12670023:1 gene:LPERR09G12120 transcript:LPERR09G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIVEEVAPTMSASLIVRPHFGGNGTCHMGKLPAESSNWALPCPSIGDFKRNDVLDSLSGFDGHFRDSHAAYGPVGLQGLKPDTGDVSSRSCPKLGSNIQMAAMRIVGFESGFPNSTGGPDMMVADNIDSPLVTDNCHSLVEQHRSQARKRVLSPLNNALPGHFHGETLNIGCDDAKIQHSGCARRLYTSGFQDCKKANTAVLDSFEAPTWPALTYSNWSTEQGVGKFSGGSSTFTDGPLLESSESFSCSGHLEAESIMSLESVAIPITKLAHPPLLNLSPLGPKWMHGTSTEGAHGNSMGEIEPEGSTCEGYSEGHNRTRIRVMLEKTNTLHDEFNMTIPKKSSDNRIQKWGPESAPVSPNIGCIRSISLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFAATSIDEDSSLLYYSSIDLAGRLSMSSSSSKSPELKRSLSNHNSRSVKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLTDMPAGTKTFVRQKVILFPISSSNQMKEGSKASEKKVESVKYGSELRECGTLFSECCGLGQNCSLTDDSLKGSCENMTCSMECDIRESNEPSSLQSSENYSNGNACCCQSDTFPLGEKKYCCRSSKINDAAGGALRYALHLRFLSPFAKKSSRSLQRCKSEVSSEPYIHNTGTEDHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >LPERR09G12130.1 pep chromosome:Lperr_V1.4:9:12670327:12675596:-1 gene:LPERR09G12130 transcript:LPERR09G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEAAARPLLPAGLRRRTAHTPPPPRRWRASASRRGGGGAAAAARRGVREYVEEAREMVRRPDGGPARWFTPMDCGGGRLAGAPTMLYLPGIDGVGLGLIGHHERLAKMFDMWCLHIPVEDRTSFQGVVEYVERTVKAEGQRAKDRPVYLVGESLGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSLSSFLDLVPEPFHLTTPQMLNFLTGNFMKMPSTIVGHGLSLQEAGQALSDITTSMLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAETLVLASWNDELLPSREEAERLRDTLEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLHTDFVSDYLPLTPDEFQKATDHNRLLQYISSPVMLSTLPDGKIVRGLSGLPKKGPAVLVGYHMLMGFELGPLVTGVLGSTGIHIRGLAHPFMFEKKKEEIMPDPSYYDMHRIMGAVPVTAANFYKLLAEKNFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDNMLNGDGVKLRTDSTGELKDQRIHAVVATPKIPGRFYFIFGKPIETRGREKELRNKENAQHLYLNVKSEVESCIKYLKEKREKDPYRSILPRLLYQMVHGLDAEVPTFEP >LPERR09G12140.1 pep chromosome:Lperr_V1.4:9:12676975:12679037:-1 gene:LPERR09G12140 transcript:LPERR09G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRCEYCGEAAAALHCRADDARLCVACDRHVHAANPLSRRHPRSPLCSSCHARPAASRLAAGDGAGHATFLCSCCLDGVSGGVPIEAFSGCPAAAELAASWGIDLAGGGGVRDGDGLEEGFDPGTLPYTSMPAPPTASSGDVGDGKHFTEGNELVWQQTALSNPACQVWDFNLGKSRDQDEHSALQLHFGSKDGGFMIKSYNEMIEEVSSSSRKDLQYVYDSTYSFGTEDIVSANIYHLTPKQHIRYESRKMRADTRRRVKGRFVKSTDILNVSGGDGG >LPERR09G12140.2 pep chromosome:Lperr_V1.4:9:12677216:12679037:-1 gene:LPERR09G12140 transcript:LPERR09G12140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRCEYCGEAAAALHCRADDARLCVACDRHVHAANPLSRRHPRSPLCSSCHARPAASRLAAGDGAGHATFLCSCCLDGVSGGVPIEAFSGCPAAAELAASWGIDLAGGGGVRDGDGLEEGFDPGTLPYTSMPAPPTASSGDVGDGKHFTEGNELVWQQTALSNPACQVWDFNLGKSRDQDEHSALQLHFGSKDGGFMIKSYNEMIEEVSSSSRKDLQYVYDSTYSFGTEDIVSANIYHLTPKQMSTAASSNRRQKNDSQGILKKNDSQGLTIDEPSSSSRIGDRTLRSSPEEVAAVLARESSFTDQTISEVPSSLKMDSQTIAMNRGNAMQRYREKRKTRRHD >LPERR09G12150.1 pep chromosome:Lperr_V1.4:9:12688189:12690449:1 gene:LPERR09G12150 transcript:LPERR09G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITMGMVTTTTRTITTTTTTTTMATTTMMTAALASLGDGWPFFAAAAFLRSVAAVAADGLNAFEFIIIRYS >LPERR09G12160.1 pep chromosome:Lperr_V1.4:9:12691242:12694103:1 gene:LPERR09G12160 transcript:LPERR09G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPAATLGIKLPFLALIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPIQTLDQSWRWLLITIGIPGDIAAHPRDNRVVVCDLPGYPLTLI >LPERR09G12170.1 pep chromosome:Lperr_V1.4:9:12703240:12704742:-1 gene:LPERR09G12170 transcript:LPERR09G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLTNGFLFCHGHGASTTASAIPEDASLETSSAVLDTSPKGTASVDKKRKPREEDSASLNSAHSKEAKENSRKRGGKKHNMDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDSFSDHSQKIEGMFHEAVAIPASVLNRGSPAQSHSQAIMDTSNSSPTPYSIQVQGGNNSLSQVSIPKHCSQLRLHCVHTFQDNGSYIMQTVGEPRQELFNQLVLNNYMCSFQ >LPERR09G12180.1 pep chromosome:Lperr_V1.4:9:12710032:12717763:-1 gene:LPERR09G12180 transcript:LPERR09G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQIQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLALKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSKPSSGYTNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHNRTASESSSFSSSTELDHSISEDCMMERNSGSGHFEHPGRATQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNIGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPSLGSVDHGDISRADIQENISHNLTFSKPKHSDPIAVKEKFIHAKYAERDFVRKHNMDEILLAQQMWDNVSSNNKKGVYSLIVGSNADVNSTYGQTLFNSALTLGKALLLQEQPASPSEGSSRCFDRSTLERISPRDSLSPASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRHTFAKLLLSRGADSQAMDRDGRTALQYAIDSGTIDDEEILVLLEDTNDAL >LPERR09G12180.2 pep chromosome:Lperr_V1.4:9:12710032:12717763:-1 gene:LPERR09G12180 transcript:LPERR09G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQIQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVEHMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLALKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSKPSSGYTNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHNRTASESSSFSSSTELDHSISEDCMMERNSGSGHFEHPGRATQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNIGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPSLGSVDHGDISRADIQENISHNLTFSKPKHSDPIAVKEKFIHAKYAERDFVRKHNMDEILLAQQMWDNVSSNNKKGVYSLIVGSNADVNSTYGQTLFNSALTLGKALLLQEQPASPSEGSSRCFDRSTLERISPRDSLSPASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRHTFAKLLLSRGADSQAMDRDGRTALQYAIDSGTIDDEEILVLLEDTNDAL >LPERR09G12180.3 pep chromosome:Lperr_V1.4:9:12710032:12717763:-1 gene:LPERR09G12180 transcript:LPERR09G12180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQIQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLALKKGTRTDVATAVEDVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSKPSSGYTNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHNRTASESSSFSSSTELDHSISEDCMMERNSGSGHFEHPGRATQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNIGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPSLGSVDHGDISRADIQENISHNLTFSKPKHSDPIAVKEKFIHAKYAERDFVRKHNMDEILLAQQMWDNVSSNNKKGVYSLIVGSNADVNSTYGQTLFNSALTLGKALLLQEQPASPSEGSSRCFDRSTLERISPRDSLSPASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRHTFAKLLLSRGADSQAMDRDGRTALQYAIDSGTIDDEEILVLLEDTNDAL >LPERR09G12180.4 pep chromosome:Lperr_V1.4:9:12710032:12717763:-1 gene:LPERR09G12180 transcript:LPERR09G12180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQIQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLALKKGTRTDVATAVEDVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKSGYTNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHNRTASESSSFSSSTELDHSISEDCMMERNSGSGHFEHPGRATQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNIGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPSLGSVDHGDISRADIQENISHNLTFSKPKHSDPIAVKEKFIHAKYAERDFVRKHNMDEILLAQQMWDNVSSNNKKGVYSLIVGSNADVNSTYGQTLFNSALTLGKALLLQEQPASPSEGSSRCFDRSTLERISPRDSLSPASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRHTFAKLLLSRGADSQAMDRDGRTALQYAIDSGTIDDEEILVLLEDTNDAL >LPERR09G12190.1 pep chromosome:Lperr_V1.4:9:12727367:12730449:-1 gene:LPERR09G12190 transcript:LPERR09G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQLKRLLTMQRESRCHRQALAPDESAASSEKRKGSSYQQDDNPHGSKRMRYSQLDLPEEIWQHIHSLMPMRDAARAACLSSAFLHSWRSHPKLTFSIETLGFVDDTTDFIKRIDCVMKKHLGIGVKALTIQFNQLFRTKACSYLESWLQIAVTPRIEELTIDMFSPRGKSYYNFPCSLLSDESGSSIQHLHLCCCYFCPPADFGCFQSLTKIYLKHVKITGDGLGSLLSTSFALERLELEYCNQIEHIKIPSEVQRLSYIEVSECLRLQVIENKAPNVDSLDIFGQGYCPIQLSFGESSLVKNLSMDCSSALCHAFAELPSIFPNLETLIIDYLDEMFNTPMVPNMFLHLKDLCITLSRETLSPTYDYLSLVSLLDACPSLDTFTLDVSAEHPEGDSIFVNPSDLRQLPEQRHDNLRDVKITGFRSAKSLVELTYYILKNTSVAYLTLDTTSIPYRCSPDEIGSRCFHMSKDALAEAPKAVFAIRTYIEGKVPSTVELTVLEPCSQCHVLEPLSISMLSNGATEMQ >LPERR09G12200.1 pep chromosome:Lperr_V1.4:9:12733174:12737276:-1 gene:LPERR09G12200 transcript:LPERR09G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCPVHPYPDLQRQTPERGPGPEEEDSSSAKGRNKVGTRRLRVWNALPTIAICFNNIWTSARASLRRLSTLQTPWKLLRDWWIHGYEGTIPWNRLSRLHPLQSHA >LPERR09G12210.1 pep chromosome:Lperr_V1.4:9:12741800:12745241:-1 gene:LPERR09G12210 transcript:LPERR09G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMLLKRVMALQRERRRSLLHRERRRRRQALAPGVADDDESISSVEKRKGSSYQQDDNPHSGKMMKYSGPDLPEEIWQHIHSFMPMRDTACAACLSSAFLYSWRNRSQLSFSIETMGIVESTTDFIRRIDHIMNKHSGIGLKALTIEFRSFFSAKARSYLERWLQIAVTPKTEEVSLVMSSVKGKVCYDFPCTLLSDGSGSSIRVLDLYCCSFHPTAELGCFQSLTRLNLQNVRITGDELVCVLSTSFALERLKLGSCHQIEHMKIPSVLQQLTYVDVHACWRLRVIENKAPNLHTLHISGQDYHHPLQLSFGESSLVKNLTMGFSFVRYHACTELPSIFPNLESLTIRLIGSTSMVSNTFLHLKHLRITLFALTPSFPSYDYSSLVSFFDACPSLDTFIMDVRAKHPKDDSIFVNPSDLWHLPEHRHDNLQNVRILGFCSAKSLVDLTYHIIKNTSVECLTLDTSNLFRCSSGKIRRCFRMSEDDLVESSKALLAIRTYIEGEVPSTVKLNVVEPCSRCYVIEPFTVK >LPERR09G12220.1 pep chromosome:Lperr_V1.4:9:12748036:12748596:1 gene:LPERR09G12220 transcript:LPERR09G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPQLLKKAATIFRSKASIVRERLLIIASLRRRMAMIGTISHRIHALMVEKEKARVDYNNKNSHDGKALVLRKAKAGCEKTVSVHEEMMMITDHHLSELELFDHEYHNWAHSLFNDDTCYNDEYNCGDDFHGAFDDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRFRNRMSQSN >LPERR09G12230.1 pep chromosome:Lperr_V1.4:9:12750886:12752941:-1 gene:LPERR09G12230 transcript:LPERR09G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVIIIDKLGHHGLILVLFESPSGFAIFKFDGVELYQTNSIEKIPGVYNDAVLEVMWGIKNLMKILVPSEDSELSKEDRLQMSYGMKTVLSRHGFDVTPDMVNEQIIELACVLYDCELYETKYSKFLNSCGEYLEKVSGIKFEGWSPMKLATALKILIIPDCDIANEDPELNFSGDELDKLRDDGARYDGVLQKQTCMRAYSDMRLIRKRLIKLCIRVLKLQRSKKCLRILKLT >LPERR09G12240.1 pep chromosome:Lperr_V1.4:9:12756485:12761383:1 gene:LPERR09G12240 transcript:LPERR09G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAAAAVCSICLDAVACGEGATTRSTAKLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNEHQPCSHSDTSGLLNDEIFDYPSFAFDFGWCPFDSLTPLTSVFGESESEPTSFVDYLRTLHGFHHPMYVPSSSTANAESIPLHQRPSGLEGHANTDLRNIQAFHETEPRSREREQQYLGNVQMPGPLNHSTAPFGTGMPRYDGGNQQRSWPHMHANSLFHRPTARRVNSPAPHLRSTAAVSETRGHGHGMTSHVVQQTIPSSRASNAHPPATRRVRPRALSITSFIAASSSATRGPRDFSLTETASTTNGNARNGVGASRNANQSYSWSSGTFWQQNGEPHWWSAMAPVHNRPYDNYVGRSATELLSMYGAHNSQPTPGFL >LPERR09G12250.1 pep chromosome:Lperr_V1.4:9:12758636:12777550:-1 gene:LPERR09G12250 transcript:LPERR09G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWLFLSHVLMPSSSLFTIFFLLLSDGVAREASALTRRDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQAYGSRVNSMMMIGYSAEGANADVAADQYHHFKEDVKLMYDMGLDAYRFSISWPRLVPDGRGEINPKGLEYYNNLINELILHGIQPHVTIYHFDFPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDVGSQPPRRCSYPFGTNCTSGDSSTEPYIVAHHLLLAHASAVSLYRHKYQKIQGGQIGLTLLGWWHEPLTDTPEDAAAAMRMNEFHIGWFMHPLVYGDYPPVMRSRVGSRLPSLTASESQKIRGSFDFIGINHYFVVRVESNNANDQKLRDYYVDADLYGGGGFDKFHPWALVKLLDHLRLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSEFLQGYLEALYLSIRNGSDTRGYFVWSLLDGFEFLFGYSARFGLCGVDFNAKGRTRYVKNSARWYSSFLHDGSERAPAGKIVPFRILQARPRALDHRAPAMAAAAAAAAVLLIAAAASPAAAITKADFPAGFVFGAGTSAYQVEGAFAEDGRKPSIWDTFTHSGYSVGGATGDEDVKLLQELGVDAYRMSIAWPRLIPDGRGAVNPKGVEYYNNIIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSSPFGVLSCDSGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGKIGLTLLGWWYEPGTQAPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTEEESKRILGSYDFVGFNHYIAVYVKADLSKLDKSLRDYMDDAAVTYKQPLLKSNDKPFLFGLKNDFMTSTPWALKKMLNHLQVKYKNPVVMIHENGAAGQPDPSGKNTYDDEFRSQYLQDYIEATLESIRNGSNVRGYFVWSFLDVFEYLFGYQLRFGLYGVDFTSPERTRYQRHSAKWYAGFLHGGELRPVALPVAAAGRAYSHRQHQHKTTIDDSNLEYLTLKPQFSLFAETKSTLADSGLYTMGKEQYSQEPGRSPPKGGRPWTMVGPQKEDDLHWIVQAPVEHIVGNRHDMALWTPNGLLKGLDPSPILGSGGFDLLEDRGLLLTDWWMHTTLHFQTS >LPERR09G12260.1 pep chromosome:Lperr_V1.4:9:12778412:12782559:1 gene:LPERR09G12260 transcript:LPERR09G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARMRCSRQSNPHRILVVVVLAAVLLLPVVATAAAAVVAVAEGEGENRSAARQWATGKDEGELVAGEESGGGGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPEKLKEQADGVQKLSEDDLLKRRTEIKELMEKLKMPSDADLMKIAIADLNNTSISLEDRQRALQELLLLVEPIDNANDLDKLGGLVPVIRDLTNANEEIRTTSAWVLGKASQNNALVQNQILGYGALAKLVKMGYATSTEEAVKALYAISALIRNNVNGQEAFYSENGNAMLQHILVSSSDVRLQKKAVFLVTDLADFQLNSGKSGLPFLSDSVFLKSLVDMLSRFDLDLQEKVLLAIKSVLKLSSTEATDFESCDLNSVLYRLGVQLEELPSEEQKEYAGEVDELRREVQTLFQNKLKEGTKIAL >LPERR09G12270.1 pep chromosome:Lperr_V1.4:9:12783175:12786391:1 gene:LPERR09G12270 transcript:LPERR09G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATALRLSTTPAPARARVVVGLARRGRRGAASVVAKMEGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRDVIYSDNGTVTVVYRVILRGTDGEAYRDATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >LPERR09G12280.1 pep chromosome:Lperr_V1.4:9:12787629:12790275:1 gene:LPERR09G12280 transcript:LPERR09G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAANAAAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >LPERR09G12290.1 pep chromosome:Lperr_V1.4:9:12793168:12797730:1 gene:LPERR09G12290 transcript:LPERR09G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKTSKPEGSSGAQRGASPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAQVTGEQGGPPLDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTSPSHKELLEERMARIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDAGDDDESIVHHTASVGDAEGLKKALDDGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVARLNNQDEVLKLLEKDAFL >LPERR09G12300.1 pep chromosome:Lperr_V1.4:9:12798661:12803645:1 gene:LPERR09G12300 transcript:LPERR09G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAAAAVGLRLRVLRRHLVRRLQARRSRRRRRSGRRGGGEEEEEGGREAVVLVSGMGGSVLHARRRSNPRFDLRVWVRILAANAEFKKYLWSLYNPDTGYVEPLDDDVEIVVPEDDHGLFAIDILDPSWFVEILHLSMVYHFHDMIDMLIDCGYKKGTTLFGYGYDFRQSNRIDKVMVGLQAKLETAYKASGGKKIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPKFKWKEAPIVQVWRKNPEKDGVVELVLYEATDCVSLFEEALRNNELKYNGKTVALPFNMSVFKWATETRRILDNAKLPDTVSFYNIYGTSYDTPYDVCYGSESSPIGDLSEVCHTMPAYTYVDGDGTVPIESTMADGFAAKERVGIKADHRGLLCDENVFELLKKWLGVKEESTQRRRLSKSKVIDSAPL >LPERR09G12310.1 pep chromosome:Lperr_V1.4:9:12803869:12805863:1 gene:LPERR09G12310 transcript:LPERR09G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYCQPRLPNTPPTGEVGRKASTREKPIDRSSQGRCSPPPPPPQAGEPCAVDPARPPQHVARPLRHPPQAAGRLLPSRSHRLTPRRLTAVVLLDGRSTIYEDPDLPRTKKTPCCRNEAHIQDRCDAGDSLSYLDCPSGNRDCSSKLYLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLSKKGVDCKSPSL >LPERR09G12310.2 pep chromosome:Lperr_V1.4:9:12803869:12806431:1 gene:LPERR09G12310 transcript:LPERR09G12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYCQPRLPNTPPTGEVGRKASTREKPIDRSSQGRCSPPPPPPQAGEPCAVDPARPPQHVARPLRHPPQAAGRLLPSRSHRLTPRRLTAVVLLDGRSTIYEDPDLPRTKKTPCCRNEAHIQDRCDAGDSLSYLDCPSGNRDCSSKLYLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLSKKGVDVSSE >LPERR09G12320.1 pep chromosome:Lperr_V1.4:9:12806119:12809572:-1 gene:LPERR09G12320 transcript:LPERR09G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLRREVFVGLALGQFVSLLITSTGFSSSELARRALCYKARNVQIWRAVNGSLKNWDCVNAPTSQSLLNYILLAIVYGGILIYRRQSLTIKWYYFLILGIVDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVAICVAGIIMVVFSDVHASDRAKGPNPLKGDLFVIAGSMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYTPRSHFLNNATEAYLNDKNFGQPTGMLILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVSEHQLLPPHTLMPVVKLKIIQLDLIRSKFDNLQVDWMYFVAFAGTAAGLIIYSYNHSPMRILASRGSKEAAEETAQVAVETDEEAATQIPGAGEDEPASNKEVSSWAATTSR >LPERR09G12330.1 pep chromosome:Lperr_V1.4:9:12812520:12817211:-1 gene:LPERR09G12330 transcript:LPERR09G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRASAVFSAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEALDARSEIVPYEAPRPPAGIHRIVFVLFKQEARQTVYAPGWRQNFNIRDFSAFYNLGPPVAALYFNCQKESGVGGRRFQGPS >LPERR09G12340.1 pep chromosome:Lperr_V1.4:9:12821087:12829990:1 gene:LPERR09G12340 transcript:LPERR09G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQNSHTDRHHQSQSVWWHSGPVRATLHTSGSDRNRPTTCSDQSRRRRPTVLSPSPPPPPARRRPLTRSRESPPAAAGRGEMSGGGGGGSGGASLVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGGDPYCTVFVGRLSRHTDDETLRKAMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRYMTRYQVPPPPKRKSHDIDREESPPRRRSKDRDDSSHYRRERSPTEDDGITHRRKSSHDRREKTPSRARSYITTEAGTYSKHRSGDNGDHRKRRRSREHGELSPDRDDGSSRRGRSSVEQGFSPPRSHHYRHHKERHDSSSSHSRYGERRHHSDRASHSRSRRSESREYSH >LPERR09G12340.2 pep chromosome:Lperr_V1.4:9:12821092:12829990:1 gene:LPERR09G12340 transcript:LPERR09G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQNSHTDRHHQSQSVWWHSGPVRATLHTSGSDRNRPTTCSDQSRRRRPTVLSPSPPPPPARRRPLTRSRESPPAAAGRGEMSGGGGGGSGGASLVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGGDPYCTVFVGRLSRHTDDETLRKAMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRFPHHQNGKAMISTGKSHLPGEDPKTGMIVATIEGSEAQPKMMASLTGGKAVMTAEKKPQVEQDHISLQKLVPTVSIEAETTVIIVSGEEAESMENYLQTGMMVAVGEEDLQWNKALALLGLITTDIIRSAMTAAAATLAMANAGITATVPVTPGAGDLRAENIATRCVFFSMMLFCCTT >LPERR09G12350.1 pep chromosome:Lperr_V1.4:9:12829509:12830671:-1 gene:LPERR09G12350 transcript:LPERR09G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGQRSIDHRITVKQHRLLPPGDDLVVAPACPELLLLFLILVVVAAVYSSSSNSSESLTPAESSSSPPGRIPDDGDDGSSDPPVVIGVGEEEAETTRREADLNQVM >LPERR09G12360.1 pep chromosome:Lperr_V1.4:9:12830453:12834443:1 gene:LPERR09G12360 transcript:LPERR09G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGEDELSAGVSDSELFDDDEYYYCSDDDEDEEEEEEFGASGSDDEVVSRREQAMLFYCDSVINASLSKRYVVLTENDIHKQQEEDLVEIRMLTCKICFEGYAANAMSCAGCAHFYCRDCWEGYISTAINDGPGCLVLQCPEPSCDAVVLEDMINSLMKDEDKVKYPRFVLRSFIEHNKKAKWCPAPDCTCAVEFLGDGNYDVSCKCTFSFCWNCAEEAHRPVGCETVSKWILKNSAESENMNWLCLGAWSDHGQRTGGFYACNHYQSAQRDGTYDEAEARRERAKDSLEKYIHYYERWASNQKSRQKAQADLQKVENEYLTKLSDVLGIPETQLNFVIEAWSQRFHKCAEDDVQAFLNETDNAESSPSLQNFNEFRGKLADLTSVTRNYFENLVQALEAGLEDVHATDQSASISTSISKKPDIKGKPGRKKAART >LPERR09G12370.1 pep chromosome:Lperr_V1.4:9:12835652:12841669:1 gene:LPERR09G12370 transcript:LPERR09G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAFASAPNPSHAPFSSSSSSSSSRARRFSVRDPWRRRLLPALRSQRPESSASASASHHDVVVVGAGIIGLSIARHILLHTPLSVAVADAAVPCSGATGAGQGYLWMSHRTPGSDTWELAVRSKRLWEELAAEVDGLGGGGARERLGWMRTVGRTSEEMATLEERTKILSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAYQAVSLIEKTNGSYSSEGRYMELYNDPAVSETTGTVEAVQTSNHILYGQKAIVIASGAWTRTLLHNFLEPNPILDIPVMPRKGHLLVLEKFNKLKLNHGVMELGYVGHQVAKSNNTPLSSESSEDEHGALSISMTATLNTKGNLILGSSREFRGFSREVDKSILKCIWDRAAEFFPALKNVHLDINENTEIRIGHRPYMPDGKPVIDSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILENTGKVDFSPFSIKDRFSEGFGSSIFLTRSLACGDTLGHGSLWKLIPP >LPERR09G12380.1 pep chromosome:Lperr_V1.4:9:12839833:12843319:-1 gene:LPERR09G12380 transcript:LPERR09G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSAFAVPTRIIRLAATRPAPAAILPVTSRDDPDSTTTTSTTKKNRRRWPYLIGTDPNKKIKGNAAAATILGDAADAAGFAERYKLGEELGRGEFGVTRRCADAATGEALACKTIRRKRLRRGGGDAEDVRREVEILRRVSAIAAGAGGGDVVRLREACEDKDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVMCHENGVMHRDLKPENFLFANKSEESPLKAIDFGLSVFFKPGDRFTQVVGSTYYMAPDVLNRSYGQEADVWSAGVILYILLCGVPPFWGDNDERIVTAILQGGINFQREPWPKVSPHAKDLVRKMLDPNPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLKQFSSMNKFKKKALGVVAKNLPVEEIDKYTQMFHMMDKDNSGNLTLENLELGLQINGHPVPEAEIKMLLEAGDTDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEIEELMDALGDGELAPTEQVVKDIIRDIDTDKDGRISYQEFESMMKSGPDWRNASRRYSRANFSTLSSKLCSESS >LPERR09G12380.2 pep chromosome:Lperr_V1.4:9:12839833:12843319:-1 gene:LPERR09G12380 transcript:LPERR09G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSAFAVPTRIIRLAATRPAPAAILPVTSRDDPDSTTTTSTTKKNRRRWPYLIGTDPNKKIKGNAAAATILGDAADAAGFAERYKLGEELGRGEFGVTRRCADAATGEALACKTIRRKRLRRGGGDAEDVRREVEILRRVSAIAAGAGGGDVVRLREACEDKDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVMCHENGVMHRDLKPENFLFANKSEESPLKAIDFGLSVFFKPGDRFTQVVGSTYYMAPDVLNRSYGQEADVWSAGVILYILLCGVPPFWGDNDERIVTAILQGGINFQREPWPKVSPHAKDLVRKMLDPNPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLKQFSSMNKFKKKALGVSRRGPAVIILIFFKCGTLLQCEYFLMKVVAKNLPVEEIDKYTQMFHMMDKDNSGNLTLENLELGLQINGHPVPEAEIKMLLEAGDTDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEIEELMDALGDGELAPTEQVVKDIIRDIDTDKDGRISYQEFESMMKSGPDWRNASRRYSRANFSTLSSKLCSESS >LPERR09G12390.1 pep chromosome:Lperr_V1.4:9:12844884:12846667:1 gene:LPERR09G12390 transcript:LPERR09G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKKHWAKNFAFLDYFKKVYGREEPLPKWKVEKLSGVYLVQAACQENGVSYGIW >LPERR09G12400.1 pep chromosome:Lperr_V1.4:9:12847317:12848332:-1 gene:LPERR09G12400 transcript:LPERR09G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQEINLPQKGLMYIHGNRGNEHITVKKLPLQRYLQIWMYNIHSPKIVEQNGLIDVGMMVADQQNGHFIIVSLVEEIMNPLNILSGYSTCRGSEMGYSC >LPERR09G12410.1 pep chromosome:Lperr_V1.4:9:12849223:12852941:1 gene:LPERR09G12410 transcript:LPERR09G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSILSQCLAGFLPHEKATAHCVNTVSERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVVIPKDEGPLKFCESSVDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANIEQAQDKQSHQQGSPFTPRQQLPQDIHFYAGDWEELHTVLSVIQEDEVDASSGIGLEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDIVLVNEIPYSVNSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSTVRQLRALVDEEGTFGAHLVSEPPEKEIWKFFFK >LPERR09G12410.2 pep chromosome:Lperr_V1.4:9:12849223:12852941:1 gene:LPERR09G12410 transcript:LPERR09G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSILSQCLAGFLPHEKATAHCVNTVSERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVVIPKDEGPLKFCESSVDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANIEQAQDKQSHQQGSPFTPRQQLPQDIHFYAGDWEELHTVLSVIQEDEVDASSGIGLEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDIVLVNEIPYSVNSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSTVRQLRALVDEEGTFGAHLVSEPPEKEIWKFFFK >LPERR09G12410.3 pep chromosome:Lperr_V1.4:9:12849764:12852941:1 gene:LPERR09G12410 transcript:LPERR09G12410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMNIFKGKVSVVDIVGLSGSEVVIPKDEGPLKFCESSVDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANIEQAQDKQSHQQGSPFTPRQQLPQDIHFYAGDWEELHTVLSVIQEDEVDASSGIGLEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDIVLVNEIPYSVNSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSTVRQLRALVDEEGTFGAHLVSEPPEKEIWKFFFK >LPERR09G12420.1 pep chromosome:Lperr_V1.4:9:12854143:12857240:1 gene:LPERR09G12420 transcript:LPERR09G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTASEEDEWVPPSRRPELADVTPVPQDDGPHPVVAIAYRDDFREVMDYFRALYFAGERSLRALHLTAEVIDLNPGNYTVWHFRRLVLEALDANLQEEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDVANKEHEFTRKILAIDGKNYHAWSHRQRYLVITRSPLLGGLAALRDSEVDYTIEAILSNPQNESPWKYLKGLYKGENNLLMTDERISDVCLKVLKNDTACVFALSLLLDLLHIGLQPSDELRGTIETMKSSDPETQDADLATAICLILQKCDPLRINYWSWYRTTISSQI >LPERR09G12430.1 pep chromosome:Lperr_V1.4:9:12862302:12868436:1 gene:LPERR09G12430 transcript:LPERR09G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEGNGGGGERVEVVEMEDAVGILVNNLVRPLLRKGNRMTPENQADVARQVHTAVILYNYYHRKQFPHLDFADPKRFIRCASLALGENLLAYSNMVHQHEKSSGSSGEDVNLSVTDTAVMDACEIAEALDASQDSPEMTMWPISKVAVLLLDSTKKRCLLECNSVGKKCRSLLEKDIDTSSTEHSSNHAAEQDLKNEESPKPLAYVLQTLAFSEVERRTGMERSSLRLLDEHLAYSLTKKGTTAKVFILQYEQTAKGNFVEMPIEELIKRMTGPVVEKHPFPTTTAAAENYHILPYKDILSDCANRKWHFDSSVTTSKEQARRNGRSSSHSERDENSKERESSIKGSMQKRIKREIKVDGRNKRSSSVSNVNSKRKSEVLRATAADGAEDLDSEEPQVNNVLPSVVDVKTKKFMDGSVNAKETAATSEGFLDIEAGVQMDNKRREKHSVHPNISQDMAVEKALVIKDSDLEWKSAEVSKKPGGGTKENKDLKYASFKSYLKKRDDLHCKQRLIEDETVQFDMDIQTVFAGGDWTPEAMALLEKYDVLVDSLHMVETADGSSYSGDGYETLTIERKKLSVETLLRNKCQVMQELDEVCRANNWILPRYKVVPSMTDGMYQASVDIACLEFSQMTFGDKKTSPRDARESAAANLLKELLKKAGAIPN >LPERR09G12440.1 pep chromosome:Lperr_V1.4:9:12870301:12870792:-1 gene:LPERR09G12440 transcript:LPERR09G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRIPFNLAPSLARPFCALSPASASAPAPAAASASAKVADRIVRVLAIDPDGARREVVGLSGQTVLRALANAGIIEPESHRLEDIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >LPERR09G12450.1 pep chromosome:Lperr_V1.4:9:12874877:12883214:1 gene:LPERR09G12450 transcript:LPERR09G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLSYHELQSLCKQYNLPANKNHSQLASSLALFLEDSGTRVSFTPVSSSGQGIGSNIPSQNGDGTGKNLGTQEHPVHLDSTVKVDDKISPESSNLAPNVGENVTDTGSGQSDKLPEKAKSSFRFSVTSDEGLDLFVDLNSTPSMLLDSLKKEVFIPSSTSHSGPGNFSRFISSLARKDDSNNSILSSGNITVNIQNKGDDNIAPCTNSSLGSTAGENFHLDPYLPGATPVNSMSSASTLPGTSLEISGSQEGVPVISSSCLTSDVQDTSHMTAALNNEVLPQESAVFSMCPERNHAPLADTSTHPTGNKDTVDLVKAGCTQNVLVTDTVRAAAFSSRGVVRSDSDENCPISEEKHEMINVPSGVELTRNGNSHEVILESEPVEAVPVDEDIGCRDRLSASCQLVRQTVAKLPVTDAQSEANSADHTAGSFKLTTPTASPAASDNAFSSKNCAESTQSSAYKKICDSDELEELEIETQPSAEPPRNILLSLRSASAKQTKPTLPRRSARLVPK >LPERR09G12460.1 pep chromosome:Lperr_V1.4:9:12884595:12888988:1 gene:LPERR09G12460 transcript:LPERR09G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQERESAPQDSAAAADVEREEEEEDEEEELASPPRAAARNFFDLLDEGEEEEKEDEVSEQPVAVSYTEQKHSVNKKPSNVVPETNKKSKKKKKKNKADTQVSTKLKDEKSLDAILEDLSIEKKPMQQRVQNERSSGKKIEINETTPGTSYVLSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSRQFRGVRRVVHNPRKTILVTPASYFPPWDKSMSMDLVETKSGFNYFRYIYDPSVSHVQELFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHNNCQLKYGHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYHCDNSLWLFPNFSFSLAISRFYIERDATNGEASDHSDKSTSVDLMKQALMLHPSVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHIINIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFPSDKNEYSHLLVSDFSDTTPSLPPEELRPFMGVPGMAHEMLPPVEQAAGVEIRPRAPREVAGRNAAAVFLESLLPWVDYGDNRQDANDRNNED >LPERR09G12460.2 pep chromosome:Lperr_V1.4:9:12884531:12888988:1 gene:LPERR09G12460 transcript:LPERR09G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQERESAPQDSAAAADVEREEEEEDEEEELASPPRAAARNFFDLLDEGEEEEKEDEVSEQPVAVSYTEQKHSVNKKPSNVVPETNKKSKKKKKKNKADTQVSTKLKDEKSLDAILEDLSIEKKPMQQRVQNERSSGKKIEINETTPGTSYVLSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSRQFRGVRRVVHNPRKTILVTPASYFPPWDKSMSMDLVETKSGFNYFRYIYDPSVSHVQELFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHNNCQLKYGHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYHCDNSLWLFPNFSFSLAISRFYIERDATNGEASDHSDKSTSVDLMKQALMLHPSVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHIINIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFPSDKNEYSHLLVSDFSDTTPSLPPEELRPFMGVPGMAHEMLPPVEQAAGVEIRPRAPREVAGRNAAAVFLESLLPWVDYGDNRQDANDRNNED >LPERR09G12470.1 pep chromosome:Lperr_V1.4:9:12890382:12897606:1 gene:LPERR09G12470 transcript:LPERR09G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMEGKGDATVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAVRAPPADSIAANLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDDVSARPKRKKLSNSSSIPRREGLRPRRSNRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDDGPSMPWMRGGRGGMPWFLGGLDMHGSGAAWGLNVGASGWGHQGDNTVNTSSLMPGIQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELKTELAAGCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSISVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERIADVFPFLSSIDVSKFAALSYGSSIPLVYRPRLLMCGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLKAVLLTLLNELPSNLPVLLLGTSSVAFGDLEDECASIFSSRNVYEVDQPSDDDRMRYFDALFESLLSFQMEDSRSKSKDQKSSVDLPKAPKEVEGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDTSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEVLKRQKKSTENELGIIKESATRDEKSPGDVDLSKPFSPEETTKEPDSNGALKETDNPPTEVAELPDSAPEPMVTDNCEDVAMPASDDIPEQGEVVKRRFMDLTAGYGMPQLERLYTRIMKGMIELSGKERNEDHRRLVVRYLLTFVENSDNF >LPERR09G12480.1 pep chromosome:Lperr_V1.4:9:12898216:12900215:-1 gene:LPERR09G12480 transcript:LPERR09G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHASGSKVAAADGPGTQRTQYPYVTGTSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEYSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHLAIPILRAEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFSAFENPSQGAVGSW >LPERR09G12490.1 pep chromosome:Lperr_V1.4:9:12901418:12903927:-1 gene:LPERR09G12490 transcript:LPERR09G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAEEYEEMLRVVEACAGRIRWRLRPHSKRRLLNDILFLCTGLRPVVLMDYGGTMPELQENLCSLLHHARQETSILSPLRVMVIGDMLFLIHAKDLAEHASPNARSQHQLAFVDLEKSCSKLLSDKDENDTVHEFVFIQDLFQAKFPVDSAVDLPVIQPGTTKQMAELPGGTTDTDCSRLTSDRTSLVIDLSVYLESVQIALPSLNGWLLGYPVTYLFCNRSSETATQNLSKHSLHIYRIYAVRRDPTAGKQSEQELMGFSVPCDMSAKRDEEPWAKSFLAHMNEKIKKCNHVWASVRMEIEVFQSQSGLIVL >LPERR09G12500.1 pep chromosome:Lperr_V1.4:9:12904141:12906730:1 gene:LPERR09G12500 transcript:LPERR09G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMGLIAKNPKMINYRYGKELRPQHRSNGWLLSPACSVIFNKQNRINPKVCSVLRAVSPVQCIENSTESSVSFKDFLVSVQTEEDGLRKIRATIAGTMTESIFDTVFSKNLAAAQPLPGFRRMKGGSLLKPGKLYFLSISVQIELAALVVMFCVLLFHPPYLGVLPARKTRDIPKDIALHLIGPSKVKKETIKNIISFTIAEYVQKEGFAASKNLKVLQSFEELEATFEPGKEFCFDAALHLQ >LPERR09G12500.2 pep chromosome:Lperr_V1.4:9:12904141:12906731:1 gene:LPERR09G12500 transcript:LPERR09G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMGLIAKNPKMINYRYGKELRPQHRSNGWLLSPACSVIFNKQNRINPKVCSVLRAVSPVQCIENSTESSVSFKDFLVSVQTEEDGLRKIRATIAGTMTESIFDTVFSKNLAAAQPLPGFRRMKGGKTRDIPKDIALHLIGPSKVKKETIKNIISFTIAEYVQKEGFAASKNLKVLQSFEELEATFEPGKEFCFDAALHLQ >LPERR09G12510.1 pep chromosome:Lperr_V1.4:9:12907374:12914478:1 gene:LPERR09G12510 transcript:LPERR09G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKEFHTGLVNLAFRTDHGGAKHVSSGASGKLAGFFLSDTPKDAANCTSYKHCTIRNFHAGVYMLAWNRKREDVAGLKAPKREKRVKRENRTQPPVEAPYVAPKPKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILADLGEKVESEFDAISVDLAELVAMELGVNIRRMHTGEGKIEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGAAITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKSANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKFGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITDFAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAETAEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDMAQACRAYIVGFNIRTPPSAITLAATQANTKILLHKVIYHLLEEMGREIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSRSGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCDDFQVGDVVQCLEQVIRKPKFISSQSGAVRIEC >LPERR09G12510.2 pep chromosome:Lperr_V1.4:9:12907374:12914478:1 gene:LPERR09G12510 transcript:LPERR09G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKEFHTGLVNLAFRTDHGGAKHVSSGASGKLAGVYMLAWNRKREDVAGLKAPKREKRVKRENRTQPPVEAPYVAPKPKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILADLGEKVESEFDAISVDLAELVAMELGVNIRRMHTGEGKIEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGAAITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKSANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKFGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITDFAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAETAEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDMAQACRAYIILLHKVIYHLLEEMGREIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSRSGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCDDFQVGDVVQCLEQVIRKPKFISSQSGAVRIEC >LPERR09G12520.1 pep chromosome:Lperr_V1.4:9:12912622:12912843:-1 gene:LPERR09G12520 transcript:LPERR09G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSVKFILAVVLAVFAVVIAGTAAARPLPAPVSGGGEAAAAAAYMGVYPAAVVEMLMARLPAGPSPKGPGH >LPERR09G12530.1 pep chromosome:Lperr_V1.4:9:12916036:12919486:-1 gene:LPERR09G12530 transcript:LPERR09G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAGGGGSGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFESDRDEYEQNEGSQEGKGQASGNTTDSMSAKKSNLPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPKVWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDSERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILYTWAIRHPASGYVQEPVSKHIEEQGLDFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAN >LPERR09G12530.2 pep chromosome:Lperr_V1.4:9:12916036:12919486:-1 gene:LPERR09G12530 transcript:LPERR09G12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAGGGGSGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFESDRDEYEQNEGSQEGKGQASGNTTDSMSAKKSNLPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPKVWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDSERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIEEPVSKHIEEQGLDFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAN >LPERR09G12540.1 pep chromosome:Lperr_V1.4:9:12920677:12921748:-1 gene:LPERR09G12540 transcript:LPERR09G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHTGWHRSTGHEQQRGVPVSVHLAADDAAVAAAGKRWAGEPSIRVHAVAAVLAAVPAVVLAAATGGAVGAESKAAFLRRVLRAHAAVADEADTEADWSRSVVLGVDADVAVLLLFIEDARLRGSRREDADEDVIDTKTRRMPVP >LPERR09G12550.1 pep chromosome:Lperr_V1.4:9:12922153:12922524:-1 gene:LPERR09G12550 transcript:LPERR09G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAASSRYAPLVSPAAEEEEGECDQYAARARYYAPLRRDRGEYAARRALFLQSYRFTTAASPAATVSRDDGGLRGRVARRVRDAVARAWRVGVGWWWPRARLGCFGGHGHGRKLHYLHGFA >LPERR09G12560.1 pep chromosome:Lperr_V1.4:9:12923430:12927320:-1 gene:LPERR09G12560 transcript:LPERR09G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKSPIPADGGAGAGDGLPPPPPSSRRPPPPSSSTPPPEYDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAAGAGDGDGPSLSDENDEELFSMFLDVEKLNNSSEAEAESSSAGAAAAAAAAAHGQRPKHQHSQSMDESMSIKAEELVGAPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLKTEVQRLKVATGQMANGGGAGAQHKDVLL >LPERR09G12570.1 pep chromosome:Lperr_V1.4:9:12936536:12945096:-1 gene:LPERR09G12570 transcript:LPERR09G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPGPGPSPSPSKPTCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTAAISPSSPLAHHIAVDLSDPAAPLARVLDGAEAVFHVDPTSGGEGSSSSSFLSLHREAVEGTRRLLAVCAEGGVRRVVYTGSADVVVSAAAARDVVGAGEDALPYPDKFGNAAMEVRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLARFVAGYARSPLGKFVIGDGANLCDFTYVENVAHANICAEQALCSNASSVAGKDGITRTVGSLSELPDNLDWSRKQRSCGPSKAEKLLGSGITADILLWRDEKKTFSYITVLFLLFYWFLLSERTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVPHLTLRNPFMWLASLWNGGIHKLRVLAEGDDWTTFLKAVAFLYCVKLLLNFQFRMLMGLVLAFLFVVFIVYEQCEEEIDSFVAFASVKLKSLMGKAGSISPRAPPMSSEPPPPAPQDAAVAAGGREASSSLSPAKESAPAGGGGGGGSGPPETNTLWVGNLPAHASEDDVMAAFSPHGGLDCAMARAGPRSYAFVLFRSVAEARAALGSLQGSKVKGSPLKIEFARPARAVKSLWVGGISSSISKEELEEEFKKFGQVDGVAFSCDQTAAYIDFDKLENAISAHRALNGAVLGGQELCVDFQRSRGRAEWLETGSFNSRVGPPKGSSGVRNREAQPTNVLWVGFPNASKMNEEALRQAMAVYGVVTNTKVFPTRQYAFVEFATIGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPRSEMYHDDGQYGASDYFDPRRGRSRYFDYSGVPVSGGILPSPESSRPFLTGRSAQNTFDPRESKRLRLDTAADAYDARAGSEGLYPSGYSQREGAVRSERSSSPVIRIKGTVHRTSYLEHFWRGGISKGGSPVCRARCLPIGKGIEIPLPDIVDCTARTGLETLARHYEDATAFDIVFFLPDSEDDFLPYTEFLRYLGSKSRAGVVKVDAGATLFLVPPSDFLKNVLQVDGPERLYGLVLHIPQISAAPAVHRPQLTAPESQPYYDEREIPSQRSYSMVTPNNHQYRDADYRGSSREDALHQSGQIPARARVDEGHVVQPTLASFPMNQTAGLQVPSSLNPDIMATLAKFLPSAQSSAPLTGHVPLSSTDRPVLSQMNNASTLSKLWRPENQAIAPTSSFEQMGNFPPSGQQFSKQAGVAHQPNYGNLAGAQEHLAQHSAYNPEMSLNLPPPPPPPPTLPPSAAMLSSQVGNSLPMQMSQQPYQPEQYYMSQSNYGPLAAASHSNLQAHQQQIVAPPAAQAPAPQAPVAAQAPPAAQAPVAAPAPNMQAPAAAQAPADEAERNRKYQATLELAHRLLGQIRKPGNQPSG >LPERR09G12580.1 pep chromosome:Lperr_V1.4:9:12946282:12951413:-1 gene:LPERR09G12580 transcript:LPERR09G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSLVASSLPRCSSSAPSIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSISSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDSDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVSYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIVALPDETRVYCGHEYTLSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKRILSIPDHFDDARVLEVVRRAKDNF >LPERR09G12580.2 pep chromosome:Lperr_V1.4:9:12946282:12951362:-1 gene:LPERR09G12580 transcript:LPERR09G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSLVASSLPRCSSSAPSIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSISSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDSDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVSYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIVALPDETRVYCGHEYTLSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKRILSIPDHFDDARVLEVVRRAKDNF >LPERR09G12590.1 pep chromosome:Lperr_V1.4:9:12954177:12964203:1 gene:LPERR09G12590 transcript:LPERR09G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRITEEDDDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFDKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHDEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS >LPERR09G12590.2 pep chromosome:Lperr_V1.4:9:12954177:12964203:1 gene:LPERR09G12590 transcript:LPERR09G12590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRITEEDDDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMSPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS >LPERR09G12600.1 pep chromosome:Lperr_V1.4:9:12964709:12969046:-1 gene:LPERR09G12600 transcript:LPERR09G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGSKKGQPTNGLKTTNGLKTTNGSKTTNGLKDASSLSLENEKGKLGKINASHKAIEAENKKPLLTKSNSTLSKQTLNGLGDKKEAIKSRGKPAITRSTPSSPTSVYSLPASFERFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSAGPKALRRSWEGKVDTKGKNNSDSKTTKPDKKPEKVSATPKRKPPVDEKVQHKDDSKLQNPSRKSTTNVPSNDADKAMKKHPPTVKRTSGILSNPNVTNLIKIPPNSKKLTDASNSWTLLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAALSRATVITDTLARSSAVASISSPDCSAASDAGTVASTDEETAAVAAERRRRATSWVSAALATDLSAFGLYNLKPLPATISSPLAVLIVDESAKPAPASAAAKSSPAKPRMSPAKGKATRTGPVAVAAANPPPPEWEKGVGGEERLEMARRLGDESRPDADGEATAAASPANGGCVVPEETIERLRRKIYEYLLTNVDSAAAVLGGGASAPVATAVPVNAKKG >LPERR09G12610.1 pep chromosome:Lperr_V1.4:9:12977526:12979292:1 gene:LPERR09G12610 transcript:LPERR09G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSPNPAAAGDGGGGDPPPLRRPDCIKCFDALWFCYSPYYQMQFYYRQGEFDTCIGKWADLIDCLALKTKPAKEVDEILAAREREKPHIWTFRSADEAAENWMRMYKHLLRPEEYSHPFAAGAAPRPFPGLAAATAGAVPRPPPFPAANAAGARPRRRHRWRRPAAAAVSRRQRRWCSAAAAAAEFVEKLGCFAENTSKARKVAGGNQAAIASGR >LPERR09G12620.1 pep chromosome:Lperr_V1.4:9:12980482:12981245:-1 gene:LPERR09G12620 transcript:LPERR09G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVLVNSFHELQPQESEHMASTWRARTVHADSDGVVRKEEMERCVREVMEGEKSEEYMKNAADWKEKAGSAMSEGGSSDRNIIEFITKFGFKL >LPERR09G12630.1 pep chromosome:Lperr_V1.4:9:12985262:12992210:-1 gene:LPERR09G12630 transcript:LPERR09G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQAGAPASQVAAALGFLLPTCWEIEVTCAAAVILVALYAAYELLSPRPASAVGGAGADDLLLMRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVTVPVESDGPSGPVWYTLDSTSGQVCLHIKAIKVHESSSRVQNSSAEADARRRISLDKQGPTVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSRKEINVPEDCADLTGQLQHFVKEEVLVSVFNGSFPCTAEEFFNTLLSDDSSYITEYRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFESKIKSGAVDEYKKEVQEMLEFAESYIKARSSNQDNSALTEQDNGTVTKQENLAVDNTPSDE >LPERR09G12640.1 pep chromosome:Lperr_V1.4:9:12993338:12994306:1 gene:LPERR09G12640 transcript:LPERR09G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVWGTSIFLPFGCICTLCQCVCHPYATQPRIHCTRSNGCFAILLLHIVTTGAKLAMSHPMAAMISKSPPPPPPPKNSVAKCGSCGVRRAFFLRGMIAAGAGAGAGSLLLGGGGDIASAASKRRAPPPPATPEERKDPSVSGLQAKVLASKKRKEAMKEFVAKMREKGKPVQ >LPERR09G12650.1 pep chromosome:Lperr_V1.4:9:12994762:13007867:-1 gene:LPERR09G12650 transcript:LPERR09G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSALDGVVSRAGTAVADEAALLLGVRREVEFIRDELDMMRSFLRLAAGANHADINADDTVRTWVNQVRDLAHDVEDSLLDFAAASPPVWRRLAARHRVAARIREIKSSFDELNHRFLRYRIVVEHPRAGDAAGGEHDAAQYFTAEMAFQESDIIGRAREKEEVTAMVLNVSGGNGGGGGEVGIVAVWGMGGMGKSSVVRMAYNDPILLDAFDCGAWVTVPHPLDGADEFVRRMRRQLGVGVADVHDAGDLRGKRYVIIVDDLNSQEEWDHIWPVLNVDGGKGSRVVVTTRREDVARYCAGHAREGNGHVYELKPLGRDESRDLFCHKVFKSTEYTLEKEMEDQAVPILKRCRGLPLAISTIGGLLANRPKTSMEWMKLHEHLGAELESDLRNITKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYISKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEIPQSKMRHLVVSRWKRRDEKLERLNFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSVNLKNEDLRHVGELHHLRYLCLRGTEISKLPCLQNLRYLETLDIQDTKVTQLPHGIAKLEKLRYLLAGVNFSKELLHKVEQPETDHRKANLLGNMVSCLYCNGSDCCGISNLDRVSVRAPEGVEKLRGLLMLSVINVSYGNGVAGKLKKLTNLRRLGVSGVIEEEGQDLCKSIEKLSRLQRLEVRSDSLEFLSESKFTAPKHLRSLRLYGNLFRLPKWIGSLNDLAKLKLLRTQLEQGQIMLLGKLRNLALLGLWENSYIGNSLHFGTGTFPKLKFLDIDGLKNIETVTIKNGAMPELEQLWVNDCKELLDNEDGLSGVPHLSNLNELLVKKCGEKENLMEILQTQVSEHIKRPKFLIGKSIREQDQEEMEATAVSLARTVLDGVLGGAGTAVAEEAALLLGVRREGGNIRRHVAERHRIAARIQDLKASVEELSQRSQRYHVVPAASATGGHELAASRPHDDEHLCSEASAFRDWQFVIGRGDARSELAKLIDVGGSGGEEVGKTRVVSVWGMGGMGKSSVARLLYNDPVLLDAFDCRAWVTVPHPLEKAGEFERRLGKQLGVGGGDVTAWLKQRRFLVVVDDLCSQEEWEHISPCLLGDGKSFAGGGRVIVTTRHADVARRCVGKMGHAYELKTLTNLDDCRKLFFQKVYKGADYTLEDHMLEEADKILGRCCGLPLAIATIGGLLANRPITSGEWQNLRNHLGSELELDQDIKSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIKCTRLLRRWIAEGYITKWRDMTVEEVGERYYNELMNRSMIRPLKEKVGASMAVDRCQIHGVVLQIILSKSIEENQLFIIDKHCNEIPQSKIRHLVVTRWNKEEKMASINLSRIRSLTVFGACPVSLISPKLGLLRVLDLEDAIDLENDDLKHIGDLHHLRYLGLRKTKISRLPSSLQNLKYLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVDFAEVLLEKMLENAENNANKCNGNLLDTLADYVCRCRHGFSQCFESSSSCFAGHLSVRAPEGIEKLRKLHMLGVVHIEKGSGVAQKLGKLTSLRRLGVHLDATVEEGKALCKSIQNLVRLERLEVRSTKSLEFLSNLKGSAPKHLLSLRLYGHLGKLPDWISSLNDLAKVKLLQTQLKQKDIDLIGNLSNLASLGLWGKSFAEESLHFDRKMFQKLKSLHIEGLEKIETVDIEKGAMPQLEKLRVKKCSTLRDNGQGLSGVQFLTNLNELVLMSCGDKPELEKILQKQVSELARRAKQDSGFPRRAKLLTGSP >LPERR09G12650.2 pep chromosome:Lperr_V1.4:9:12994762:13007867:-1 gene:LPERR09G12650 transcript:LPERR09G12650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSALDGVVSRAGTAVADEAALLLGVRREVEFIRDELDMMRSFLRLAAGANHADINADDTVRTWVNQVRDLAHDVEDSLLDFAAASPPVWRRLAARHRVAARIREIKSSFDELNHRFLRYRIVVEHPRAGDAAGGEHDAAQYFTAEMAFQESDIIGRAREKEEVTAMVLNVSGGNGGGGGEVGIVAVWGMGGMGKSSVVRMAYNDPILLDAFDCGAWVTVPHPLDGADEFVRRMRRQLGVGVADVHDAGDLRGKRYVIIVDDLNSQEEWDHIWPVLNVDGGKGSRVVVTTRREDVARYCAGHAREGNGHVYELKPLGRDESRDLFCHKVFKSTEYTLEKEMEDQAVPILKRCRGLPLAISTIGGLLANRPKTSMEWMKLHEHLGAELESDLRNITKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYISKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEIPQSKMRHLVVSRWKRRDEKLERLNFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSVNLKNEDLRHVGELHHLRYLCLRGTEISKLPCLQNLRYLETLDIQDTKVTQLPHGIAKLEKLRYLLAGVNFSKELLHKVEQPETDHRKANLLGNMVSCLYCNGSDCCGISNLDRVSVRAPEGVEKLRGLLMLSVINVSYGNGVAGKLKKLTNLRRLGVSGVIEEEGQDLCKSIEKLSRLQRLEVRSDSLEFLSESKFTAPKHLRSLRLYGNLFRLPKWIGSLNDLAKLKLLRTQLEQGQIMLLGKLRNLALLGLWENSYIGNSLHFGTGTFPKLKFLDIDGLKNIETVTIKNGAMPELEQLWVNDCKELLDNEDGLSGVPHLSNLNELLVKKCGEKENLMEILQTQVSEHIKRPKFLIGKSIREQDQEEMEATAVSLARTVLDGVLGGAGTAVAEEAALLLGVRREVDFIRNELEMMQSFLRATSGGGGAAGDTVRTWVKQVRDLAYDVEDCLLDFMLLASSPSSRPWCLAAHYRVASRIRELKACFEELNQRNLRYQVVSAAAAVATAGVHDDEHIRSSGGGAFQESQDIGRVDEKKKLIDLIGSSSGDGNAAGKRRVVSVWGMGGMGKSSMARMVYNDPDFIDGFDCRAWVTVPHPLDDAGEFVLRLGKQLGVEATTDKNAVAKYLEQKRYLIVVDDLRSLVEWGHISPCLVDGLAGGGGRVIVTTRRGDVARRCVGDMEKNAFELKALADPHDRELLYQKVRIGAENTLTDEMTKEADQILKRCHGLPLAIATIGGLLANRPKTTREWANLCNQLGSELEFDRGINRVITSSYDGLPYHLKSCFLYLSVFPENHEIRWYITKWRDMTVEEVGERYYNELMNRSMIRPLKEKVGASMAVDRCQIHGVVLQIILSKSIEENQLFIIDKHCNEIPQSKIRHLVVTRWNKEEKMASINLSRIRSLTVFGACPVSLISPKLGLLRVLDLEDAIDLENDDLKHIGDLHHLRYLGLRKTKISRLPSSLQNLKYLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVDFAEVLLEKMLENAENNANKCNGNLLDTLADYVCRCRHGFSQCFESSSSCFAGHLSVRAPEGIEKLRKLHMLGVVHIEKGSGVAQKLGKLTSLRRLGVHLDATVEEGKALCKSIQNLVRLERLEVRSTKSLEFLSNLKGSAPKHLLSLRLYGHLGKLPDWISSLNDLAKVKLLQTQLKQKDIDLIGNLSNLASLGLWGKSFAEESLHFDRKMFQKLKSLHIEGLEKIETVDIEKGAMPQLEKLRVKKCSTLRDNGQGLSGVQFLTNLNELVLMSCGDKPELEKILQKQVSELARRAKQDSGFPRRAKLLTGSP >LPERR09G12650.3 pep chromosome:Lperr_V1.4:9:12998765:13007867:-1 gene:LPERR09G12650 transcript:LPERR09G12650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSALDGVVSRAGTAVADEAALLLGVRREVEFIRDELDMMRSFLRLAAGANHADINADDTVRTWVNQVRDLAHDVEDSLLDFAAASPPVWRRLAARHRVAARIREIKSSFDELNHRFLRYRIVVEHPRAGDAAGGEHDAAQYFTAEMAFQESDIIGRAREKEEVTAMVLNVSGGNGGGGGEVGIVAVWGMGGMGKSSVVRMAYNDPILLDAFDCGAWVTVPHPLDGADEFVRRMRRQLGVGVADVHDAGDLRGKRYVIIVDDLNSQEEWDHIWPVLNVDGGKGSRVVVTTRREDVARYCAGHAREGNGHVYELKPLGRDESRDLFCHKVFKSTEYTLEKEMEDQAVPILKRCRGLPLAISTIGGLLANRPKTSMEWMKLHEHLGAELESDLRNITKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYISKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEIPQSKMRHLVVSRWKRRDEKLERLNFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSVNLKNEDLRHVGELHHLRYLCLRGTEISKLPCLQNLRYLETLDIQDTKVTQLPHGIAKLEKLRYLLAGVNFSKELLHKVEQPETDHRKANLLGNMVSCLYCNGSDCCGISNLDRVSVRAPEGVEKLRGLLMLSVINVSYGNGVAGKLKKLTNLRRLGVSGVIEEEGQDLCKSIEKLSRLQRLEVRSDSLEFLSESKFTAPKHLRSLRLYGNLFRLPKWIGSLNDLAKLKLLRTQLEQGQIMLLGKLRNLALLGLWENSYIGNSLHFGTGTFPKLKFLDIDGLKNIETVTIKNGAMPELEQLWVNDCKELLDNEDGLSGVPHLSNLNELLVKKCGEKENLMEILQTQVSEHIKRPKFLIGKSIREQDQEEMEATAVSLARTVLDGVLGGAGTAVAEEAALLLGVRREVDFIRNELEMMQSFLRATSGGGGAAGDTVRTWVKQVRDLAYDVEDCLLDFMLLASSPSSRPWCLAAHYRVASRIRELKACFEELNQRNLRYQVVSAAAAVATAGVHDDEHIRSSGGGAFQESQDIGRVDEKKKLIDLIGSSSGDGNAAGKRRVVSVWGMGGMGKSSMARMVYNDPDFIDGFDCRAWVTVPHPLDDAGEFVLRLGKQLGVEATTDKNAVAKYLEQKRYLIVVDDLRSLVEWGHISPCLVDGLAGGGGRVIVTTRRGDVARRCVGDMEKNAFELKALADPHDRELLYQKVRIGAENTLTDEMTKEADQILKRCHGLPLAIATIGGLLANRPKTTREWANLCNQLGSELEFDRGINRVITSSYDGLPYHLKSCFLYLSVFPENHEIRCTRLLRRWIAEGYITKRRDMTVEEVGERYYNDLMNRSMIRPLKKKVGASMAVERCQVHGVVLQIILSKSIEENQLFIIDKHCNEIPQSKIRHLVVTRWNRSEEKMASINLSRIRSLTVFGQCPVSLISRELRLLRVLDLEDAVGLENDDLKHVRDLYHLRYLGLRGTEISRLPSSLQNLKYLETLDIQDTKVTHLPDGIAKLEKLRYLLAGINFAENLAEKMQENNAAQSNADLLGTLADWLCKCCRGFSECRESSFSCFAGQSSVRAPEGIDKLRNLHMLGVLHIEQGSGVAEKLVELTSLRRLGVDLDANVKEGEALCNSIHKLVRLERLEVRSKSLQFLNGLNGSAPKQLLSLRLYGYLGTLPGWISSLNDLAKVKLLQTQLEQKDIDLIGNLSNLASLGLWGKSFAEESLRFDKKMFQKLKSLHIEGLEKIETVDIQNGAMPQLEKLRVKKCSTLRDNEEGLSGVLFLRNLNELVLMSCGDKPELEKILQKQISELARRARQDSGSPRRAKLLTGKSMAGRNPKGNNTVECNNANNTKCGHSNGL >LPERR09G12650.4 pep chromosome:Lperr_V1.4:9:12994762:12997806:-1 gene:LPERR09G12650 transcript:LPERR09G12650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARAVLDGVLSGAGTAVAEEAALLLGVRREVDFIRNELEMMQSGGNIRRHVAERHRIAARIQDLKASVEELSQRSQRYHVVPAASATGGHELAASRPHDDEHLCSEASAFRDWQFVIGRGDARSELAKLIDVGGSGGEEVGKTRVVSVWGMGGMGKSSVARLLYNDPVLLDAFDCRAWVTVPHPLEKAGEFERRLGKQLGVGGGDVTAWLKQRRFLVVVDDLCSQEEWEHISPCLLGDGKSFAGGGRVIVTTRHADVARRCVGKMGHAYELKTLTNLDDCRKLFFQKVYKGADYTLEDHMLEEADKILGRCCGLPLAIATIGGLLANRPITSGEWQNLRNHLGSELELDQDIKSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIKCTRLLRRWIAEGYITKWRDMTVEEVGERYYNELMNRSMIRPLKEKVGASMAVDRCQIHGVVLQIILSKSIEENQLFIIDKHCNEIPQSKIRHLVVTRWNKEEKMASINLSRIRSLTVFGACPVSLISPKLGLLRVLDLEDAIDLENDDLKHIGDLHHLRYLGLRKTKISRLPSSLQNLKYLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVDFAEVLLEKMLENAENNANKCNGNLLDTLADYVCRCRHGFSQCFESSSSCFAGHLSVRAPEGIEKLRKLHMLGVVHIEKGSGVAQKLGKLTSLRRLGVHLDATVEEGKALCKSIQNLVRLERLEVRSTKSLEFLSNLKGSAPKHLLSLRLYGHLGKLPDWISSLNDLAKVKLLQTQLKQKDIDLIGNLSNLASLGLWGKSFAEESLHFDRKMFQKLKSLHIEGLEKIETVDIEKGAMPQLEKLRVKKCSTLRDNGQGLSGVQFLTNLNELVLMSCGDKPELEKILQKQVSELARRAKQDSGFPRRAKLLTGSP >LPERR09G12660.1 pep chromosome:Lperr_V1.4:9:13009689:13010633:-1 gene:LPERR09G12660 transcript:LPERR09G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHAPWKIYRVIAGGHHGGIHAVAFDPSNHWFCTGAADQTVKIWDTSSGSLKLTLTGHAAAVRAVAVSRRRPNHLFSAGDDREIKCWDLERNAVIRSYLAGGGGVCSLAIHPTLDLAVSGGRDSVARVWDVRTRAEVFSLSGHRAAVCSLLARAADPQVITGSNDSTIRLWDLAAGKTVATLTHHKKSVRSMAVHPTERSTAAAAFVTAAADGIKKFKLPKGEILQNLLMRPGTILNSVAVNRRGVLAAAGDDGILRFLDWRSGNCFQQEMVAVHPGSLEGEACICAVCFDETGSRLVTCGADKTIKMWKEH >LPERR09G12670.1 pep chromosome:Lperr_V1.4:9:13015285:13018446:1 gene:LPERR09G12670 transcript:LPERR09G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFLLVLLLLLLLPACHCHQDRHYRRWSDRRELHEPLFPLENAPALPPPPPPPPPAPFFPFLPDYPAPPLMPPPVNTPAPASSGAGDGGGAGAGDASSSSSPHPTAPANISDMAMPIYHSSSAGGAPFLSSRRLLTILLPVAAVLAAVLAAALVYLVTRHRRRRRRRFCSKGEDPAAHAKNTVLLLSPSNSTTLYDGDGDHHGGRGSTATAVSSASSPELRPMPPLPRQFNQTRMNMPSTSKTIHEAPAAPPPPPPPPPPTMQAAPTPPAPAPPPPLPRAGNGSGWLPRRNSERAAPTVIRASAGAVHPEESPSPSPSPEEKGGDAAAAAARPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRASKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVCKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDLQCKKVGLRIVASLGGELSNVKKAAGMDSDTLASCVSKLSAGVSKISEALQLNQQLGSDDRCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSGKEEGHPLRIFMVVRDFLTTLDHVCKDVGKMNERTSIGSSRRLENAPVLARFNAVQPSSSEESASS >LPERR09G12680.1 pep chromosome:Lperr_V1.4:9:13018848:13021855:-1 gene:LPERR09G12680 transcript:LPERR09G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTTALALSRTLLLSRRVFSTSSSRTHLDLSAPSRTLFSLPQSRSSSTSTTGDPPPPTMDSPIKVVSNIGGGGGGGEVDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERLIGAGKGEGQGQGQQPPQTELLTRTPAQSRTSIVYKFATDDILREQYRDPWNQVRIGKLLEDLDALAGTIAVKHCSDDDSTTRPLLLVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQGCIDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLYVEREARDKLRKRKREEQKGVLENGLHKLRVEAERLNALLAEGRVFSDLPALADRDSILMKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNAEQPLVNVEVVAHVTRPELRRSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >LPERR09G12690.1 pep chromosome:Lperr_V1.4:9:13023683:13026747:1 gene:LPERR09G12690 transcript:LPERR09G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVNSNKGQKLECIINRLPRDLIEKIANLATGECLHLEKAAKNLTGDHFWFYSFGFHPVTKEYKVTHFLGHSCEARTRAKDSFSAIQVYTLGGEKWKYVGAPEALSLNCVKNSGVVNVDGTMYRLTEDHGASWRHAVMSSDLSEESFGRIQLPIAVLEDSALYGPRQYWIKEIDGKVCITTCQTTNSQPRVPRGDIQIWTLDINLEQRWIQKYIIQPSSHYIPGPNIVHRGKFVLQCSASNLYSYELLGKNVEVKLSNMERLLDFSPREPGNMQFYTFVKSLVRLDAYVKTSIVRRPKRQDGWELKKWKALERQRHKVEDTWKKIQQMEQDYTVQTKNLHTAINWLLQRQPDDEALKCISLKIDQLLHYLPDCPIRSIRRLNWVAEKEDMDNLDAHMDELSDALEARDDIFRMTASYLRDQGISIPTATTF >LPERR09G12700.1 pep chromosome:Lperr_V1.4:9:13033945:13045469:1 gene:LPERR09G12700 transcript:LPERR09G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDGDGGVGGDGADVVLVPYPSQGHVHPMLQFAKRLASHGMRPTLAVTRYILSTSSPSPAAAAAAVRFAAISDGFDSGGFSESDGVSSYLSRLESSGSDTLSHLLAAGGAVRVVVYDAFLPWARGVAARHGAAAVAFFTQPCAVNVAYGHVWRGMVKAPVVENGGIIEMAGLPALEKEGLPWFLKVGPGPYPAYFDMVMRQFDGLELADDVLINSFYELEPKEAAYMASEWRAKTIGPTVPAAYLGDDRIPDDTKYGFHMFELTTAPCMTWLDAHPPRSIVFVSFGSLSNLDPAEMVEVAHALLVIATPFLWAVRPTESHKLPTGYAATAAEHGGMVVTWCPQVEVLAHPSVGCFLTHCGWNSTAEALVMGVPMVALPQWTDQPMNAKYVEDVWRVGVRVRPAAVEGITTRGEVVDGIKKVMHGERSGEYRRNAAEWMEKARKASREGGSSDSNIAEFVAKYTAKKQFDGLGSYACGGNVVSGRVHFGQGNDDVKGKQQPPKLPTPRDQDKNPSAMVHGGVEQRNNIHVVLVPYPSQGHINPVVQFGKRLAAHPGVRCTVAVTRFVVSSTTANPFSGGVHVAVFSDGCDGGGPAELGGHRGPYFERLEEAGSVTLDRLLRDEAESGRAATVVVFDAFVPWARAVARRHGAACAAFLTQSCAVDAVYSHARDGRVLAVPVAEGHGPIRLPGMSVDLAVDDLPTFLAAADTHHPSMRKMLMAQFAGLDDVDHVFVNSFYELEPQEAKYMLGRWGAKTTGPTVPSAFLDGRLPDDASYGFHLHTPMTSECREWLDSQPAGSVVYASFGSIAAPGPEQMAEVADGLYNSGSPFLWVVRSTETHKLPDGFVGKISSDSKRGLIVAWCPQLEVLAHRAVGCFVTHCGWNSTVEALSTGVPMVAVPQWSDQTTNAKYVEDVWRVGVRGRADGEGIVQKAEVERCVREVMEGERSKEFMKNAAGWSGKARCAVSEGGSSDKNIEGFLSKYRLCNGTK >LPERR09G12710.1 pep chromosome:Lperr_V1.4:9:13051397:13053085:1 gene:LPERR09G12710 transcript:LPERR09G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESEHGGIHVVLLPYPSQGHVNPILQFAKRLAAHPGVRCTLAVTRFMLLQGEPSTGAVHVAAISDGCDAGGFREARDVDDYLSRLEAHGSDTVDALLRGEAELGRPAHALVYDSFLSWAPRVAARHVAASASFFTQACAVNVAYGHAFAGQIRLPGDEETTLRLPGLSVGLRVDDLPTFIADTSDCPAYLNLVVSQFKNLEMADHVLVNSFHELQPQESEHMASTWRARMVGPTVPSAYLDNRLPDDTSYGFHLFSPETVATRAWLDARPPRSVVYVSFGSVATPSPSQMAEIADGLYNVGKPFLWVVRSSETSKIPDGFVDKVTVAKRGIIVTWCPQLEPIRQTGG >LPERR09G12720.1 pep chromosome:Lperr_V1.4:9:13053737:13066373:-1 gene:LPERR09G12720 transcript:LPERR09G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNNGTVEEFFLVTKPEHSGPAPAREPPSVPAIAPSPVVIAPPVVDPPAVDVHSPVSTANLSKSQSFDSPTEKELTIDDIEDFEDEDDEFNSRRASRRHHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKKSKLMRRLGRSKSESTQPQTQRQPGLVGLLEIMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREAATSLSERPARGDLTGEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQEQRGPQERLHLKSLRSSVDAEDSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPSLMADVVTVAMVIRRILGEENNKGSESPGRDQIDRYITSSVKSAFVKMAHSVEVQEDTSHEHVLTSLAEETKKLLKKDTTVFSPVLSKWHPQSAVVSASLLHKLYGNKLKPFLEHAEHLTEDVVSVFPAADALEQYVMSVMASVVGDDGLDSICRQRLAPYQIESKSGTLVLRWVNGQLERIETWVKRAAEQEVWDPISPQQRHGPSIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTDPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIRQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >LPERR09G12720.2 pep chromosome:Lperr_V1.4:9:13053737:13065408:-1 gene:LPERR09G12720 transcript:LPERR09G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNNGTVEEFFLVTKPEHSGPAPAREPPSVPAIAPSPVVIAPPVVDPPAVDVHSPVSTANLSKSQSFDSPTEKELTIDDIEDFEDEDDEFNSRRASRRHHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKKSKLMRRLGRSKSESTQPQTQRQPGLVGLLEIMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREAATSLSERPARGDLTGEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQEQRGPQERLHLKSLRSSVDAEDSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPSLMADVVTVAMVIRRILGEENNKGSESPGRDQIDRYITSSVKSAFVKMAHSVEVQEDTSHEHVLTSLAEETKKLLKKDTTVFSPVLSKWHPQSAVVSASLLHKLYGNKLKPFLEHAEHLTEDVVSVFPAADALEQYVMSVMASVVGDDGLDSICRQRLAPYQIESKSGTLVLRWVNGQLERIETWVKRAAEQEVWDPISPQQRHGPSIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTDPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIRQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >LPERR09G12730.1 pep chromosome:Lperr_V1.4:9:13069288:13075302:1 gene:LPERR09G12730 transcript:LPERR09G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSTRGALEKLKSFRGIEKQRSFKFLSMEKQMSFKRNKDSPGKRGDTELHLAARAGSVLHVQKIFAASDPELVGELAARQNLDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSTNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVIALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTIIVQTLISVEGIVINAVNKAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLENLKDAPDPDMTLGQALVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKRIYAL >LPERR09G12730.2 pep chromosome:Lperr_V1.4:9:13069288:13074250:1 gene:LPERR09G12730 transcript:LPERR09G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSTRGALEKLKSFRGIEKQRSFKFLSMEKQMSFKRNKDSPGKRGDTELHLAARAGSVLHVQKIFAASDPELVGELAARQNLDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSTNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVIALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTIIVQTLISVEGIVINAVNKAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLENLKDAPDPDMTLGQALVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKRIYAL >LPERR09G12730.3 pep chromosome:Lperr_V1.4:9:13069882:13074249:1 gene:LPERR09G12730 transcript:LPERR09G12730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSTRGALEKLKSFRGIEKQRSFKFLSMEKQMSFKRNKDSPGKRGDTELHLAARAGSVLHVQKIFAASDPELVGELAARQNLDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSTNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVIALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTIIVQTLISVEGIVINAVNKAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLENLKDAPDPDMTLGQALVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKRIYAL >LPERR09G12740.1 pep chromosome:Lperr_V1.4:9:13074493:13076300:-1 gene:LPERR09G12740 transcript:LPERR09G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKRITGMAKGLSSVPVQKDEDESLVLFGELYKHEKEEDVNLLEPMYSVEFEAIQGNSRMFRLPSGKKEYLVPDGGKHDYDWLKTPPATPLFPSLEMDANSSQMIFQRELPILQPVKTSRFSIKPEETSTSTRSESPTSISSKSATPTARPSSPSSKKSLTTVVPALSEVTSAYKIDKRSSYTPLKNRQQIAVPTTKNTATKPAYKTSVSKKPEVPVSTNAVNKMAKPGIPDKPLKKTAATASKSVPKGPAIGVKDLKMDLGTTRIISRPPAATMGGNNEIDKVAAKGRRRTAGEPASGNGARSTEATTKGRRRTGAEKEHGQKLGSHTKK >LPERR09G12750.1 pep chromosome:Lperr_V1.4:9:13077309:13082982:-1 gene:LPERR09G12750 transcript:LPERR09G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFGVIKNKKRRKMPPGRHYERLHSSGGGGDGAAGDYRSQSCRFPVRTPADEGLSGELSADRRLSCSICCQMATMRLTTDTWARRPRTSCPSPLPLKTQQWRSTTDPKLNPSSQLRPWSSDLCVWYWTPPSSSIHPRTSSSSAAAGGLQGRRARSRWRCGLGRRRCSGACGVHEGLLSELQNHNWTVIVMANDGVKKNSGVLHISMLQELLITLATSIKKRGAFPLHPSKNDLIFVPLSFEIPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIISSLVSLTTTLYVKQVESFCGSELQKQLAEENLSFPLIVKPQVACGVADAHNMEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQQLQNMGQDSKLLDINLVEEAAKLLKEFLGLTIFGFDVVVQETSGDHVIVDLNYLPSFKEVPDSEAMPAFWDAIKQSYDSRKQMMQT >LPERR09G12760.1 pep chromosome:Lperr_V1.4:9:13085055:13085490:1 gene:LPERR09G12760 transcript:LPERR09G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACDSPNSALNRCKSQLCLCP >LPERR09G12770.1 pep chromosome:Lperr_V1.4:9:13089998:13091029:-1 gene:LPERR09G12770 transcript:LPERR09G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPSPAPAITGVPARLRPHLTRLTVLLIVFSAGYSVGIMSSSSVRPSSTRPSQTVIRPHAAHLTVSGEANGSAAAATANYPRSPPHDLFRFREECGEAIPSDAVVATLLDKVFDGESPYEKFPSPHTAALLRPPAARPRGWGSTGAVFAELIEQVRPRVIVELGAFLGASALHMAAVSRNLSLSPPPAILCVDDFRGWPAFRAARFRRDVPPPRHGDALLLPQFMSNVAAAWRDGDGEVMPMPFSTASALAALCEWGIYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFAKVKGLTVRPNGQHWVLSPKPLRVSAAGE >LPERR09G12780.1 pep chromosome:Lperr_V1.4:9:13101131:13102306:-1 gene:LPERR09G12780 transcript:LPERR09G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMLSPCSSFSPASPSSMFSSGVTAAGHVLEFTSCDVPDEWLMGDVDDHVVVVAKNEEDDVIAGDAGLWPPVIGSSLSTESDMSELLMPRSFVEATAPAAPSNRPAKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAVYISELRARVARLESDAAAANRHATAARWEPTSGNTSSALGMIDEAVEVRMMGRDAAAVRVTTAAAGRHAPARLMCALRALELPVQHACVMRLHGGGGGATTVQEC >LPERR09G12790.1 pep chromosome:Lperr_V1.4:9:13121582:13122062:-1 gene:LPERR09G12790 transcript:LPERR09G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVKDFYRQKKKGGPAKASSSSKKKTQHYTGGASVGASNTAQTPALISHGTLDLKDDFSEQEEQLRQFDMNLKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNTASAGSRNNNSPSLECLWEGKV >LPERR09G12800.1 pep chromosome:Lperr_V1.4:9:13125394:13130256:1 gene:LPERR09G12800 transcript:LPERR09G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAAVTTRMAAEGMRGASTATAAAAAAAATAXXTPAAGSRWGEVWPAALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQINIGSSPPGSKVRWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYAIQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPDLVRRYTSARFGSYSTEPYCPFVFNEDYIYHTLAAKASGELCLKYIFSFGAFARKPLLQSASDWKVPTTFIYGQQDWMNYQGAQQARKEMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFHACRKFLSGDGDEGLSLPEGLISA >LPERR09G12810.1 pep chromosome:Lperr_V1.4:9:13131291:13136189:1 gene:LPERR09G12810 transcript:LPERR09G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSNVAWNTLLMGHSRSGNAKRCFDLFNGMRMSGLTCDDATLCILVDACAELPNPSTGFAVHKIVVQSGWSDIPVVNNSLISFYTKFSLLDCAVQIFQSMKTRTTASWNSLIDAHARHGCIEQAATLFESAPETNVISWTTMIGGFARNGYTSEAIALFAKMLTKEYIHPDDFTFGTVLHACTSAPCLANGRMVHSCAFQSGFAAYLYVANSLMDMYAKCGDIEGASNVFDAIHQKDLVSWNTMLFGLAINGLSKEALTVYESMVSHNVSPDEVTFTGLLTACSHSGLLEQGRDFFESMVSVHGLQPKPEHLSCVIDMYARSGNISNAIEMMEHYPEIVKSPDRDLPEALLSFCSSDNLDFWIGRKVGDDIVARAPERDTGYVMLSNLLCASGQWDEAERVRRAMAEHSVKKSPGCSWIEVKGKVKLFVSSEQAVDRTDSLYDVTYLLDYEMRNSMLLSGV >LPERR09G12820.1 pep chromosome:Lperr_V1.4:9:13137343:13141130:-1 gene:LPERR09G12820 transcript:LPERR09G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPLRLVAAAPPSPPPPRRPPPPSAARLASGVAFGAAAAAAVAAAASPPALAALAEPANALSLPTWAVHVSSVVEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKATQQSSKMVNQVCGRANRKVKHQNETSTRRRYGSYEWMLETRRVAHRAVGTASDRVACSKAAEQTEASAAAKEAAGSCLHGSQEEESDD >LPERR09G12820.2 pep chromosome:Lperr_V1.4:9:13136798:13141130:-1 gene:LPERR09G12820 transcript:LPERR09G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPLRLVAAAPPSPPPPRRPPPPSAARLASGVAFGAAAAAAVAAAASPPALAALAEPANALSLPTWAVHVSSVVEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKATQQSSKMVNQVCGRANRKVKHQNETSTRRRYGSYEWMLETRRVAHRAVGTASDRVACSKQNKQKHQQQQRKQPAHASMALRRKRLRLKRREIMRRSDGADMEMVNLKLYLENRCILEENERLREKATALRRENLALLADLRKMSPAPATATASY >LPERR09G12820.3 pep chromosome:Lperr_V1.4:9:13136798:13141130:-1 gene:LPERR09G12820 transcript:LPERR09G12820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPLRLVAAAPPSPPPPRRPPPPSAARLASGVAFGAAAAAAVAAAASPPALAALAEPANALSLPTWAVHVSSVVEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKATQQSSKIVFRQQNKQKHQQQQRKQPAHASMALRRKRLRLKRREIMRRSDGADMEMVNLKLYLENRCILEENERLREKATALRRENLALLADLRKMSPAPATATASY >LPERR09G12820.4 pep chromosome:Lperr_V1.4:9:13137343:13141130:-1 gene:LPERR09G12820 transcript:LPERR09G12820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPLRLVAAAPPSPPPPRRPPPPSAARLASGVAFGAAAAAAVAAAASPPALAALAEPANALSLPTWAVHVSSVVEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKATQQSSKTEQTEASAAAKEAAGSCLHGSQEEESDD >LPERR09G12820.5 pep chromosome:Lperr_V1.4:9:13139098:13141130:-1 gene:LPERR09G12820 transcript:LPERR09G12820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPLRLVAAAPPSPPPPRRPPPPSAARLASGVAFGAAAAAAVAAAASPPALAALAEPANALSLPTWAVHVSSVVEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKATQQSSKKLQW >LPERR09G12820.6 pep chromosome:Lperr_V1.4:9:13136798:13138201:-1 gene:LPERR09G12820 transcript:LPERR09G12820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQNKQKHQQQQRKQPAHASMALRRKRLRLKRREIMRRSDGADMEMVNLKLYLENRCILEENERLREKATALRRENLALLADLRKMSPAPATATASY >LPERR09G12830.1 pep chromosome:Lperr_V1.4:9:13141776:13144904:-1 gene:LPERR09G12830 transcript:LPERR09G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNATVLGRPHFAGVRSLASRRLPRLAAGRVSPSSQPISPLRVSCQEEKGSGVAARGRVLASTSGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFVEAIQRPDAIAVGYVGQFIIKPFFGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFLAGYHLAGTWFHKSADVKALQRTVSFETGCADVLNGVCSCYGMVQENTSLAHLAVSQLKNSIEY >LPERR09G12840.1 pep chromosome:Lperr_V1.4:9:13146293:13150585:1 gene:LPERR09G12840 transcript:LPERR09G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAAVAEPMAIDDSASSKKSKRNKLKAAVAALAEPEAAEMTSSRKKEKKEKKRKAKEPSPSDEEERSSTSSEETAPAPEPAAKKAKKDKKKEKVEEEEDDGELTASGDEDPADPNALTNFRISEPLRQKLKSKGIKALFPIQATTFDLVLDGNDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPSVLVLLPTRELAKQVNTDFAFYGATFGLSSCCVYGGSDFRSQEMAVKRGVDIVVGTPGRVKDFVEKNILNFKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATMPEWVKKLSLKFLKAGKKTVDLVGDEKLKASASVKHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASELSGMIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAIASVSDNVIPVFREQAEQLLSSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMENHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAENAAMVTIEEVKQLPPLQEREQSRGNSGGGRFGNRRFSGGGGGGSRFGGGSRFGGGRGRGGGGGNRFNKRY >LPERR09G12840.2 pep chromosome:Lperr_V1.4:9:13146293:13150747:1 gene:LPERR09G12840 transcript:LPERR09G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAAVAEPMAIDDSASSKKSKRNKLKAAVAALAEPEAAEMTSSRKKEKKEKKRKAKEPSPSDEEERSSTSSEETAPAPEPAAKKAKKDKKKEKVEEEEDDGELTASGDEDPADPNALTNFRISEPLRQKLKSKGIKALFPIQATTFDLVLDGNDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPSVLVLLPTRELAKQVNTDFAFYGATFGLSSCCVYGGSDFRSQEMAVKRGVDIVVGTPGRVKDFVEKNILNFKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATMPEWVKKLSLKFLKAGKKTVDLVGDEKLKASASVKHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASELSGMIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAIASVSDNVIPVFREQAEQLLSSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMENHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAENAAMVTIEEVKQLPPLQEREQSRGNSGGGRFGNRRFSGGGGGGSRFGGGSRFGGGRGRGGGGGNRFNKRY >LPERR09G12850.1 pep chromosome:Lperr_V1.4:9:13152003:13153790:-1 gene:LPERR09G12850 transcript:LPERR09G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCALAAAALIFTTAAATATTTAPLPMLPIPTATQLKWQRREVIMFFHFGMNTFTDREWGDGGEDPSLFDPPSLDASQWMTAAAAAGASLAVLVAKHHDGFCLWPSSLTRHSVRASPWRAGRGDVVREFAAAARSAGIDVGIYLSPWDRHDRRYGLEVEYNEYYVSQLRELLTGYGSVSEIWFDGAKGKNAKNMTYHFEEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGYTCWSTINRSMITIGQVGIEKYLNLGDPKGTDWVPPECDVSIRQGWFWHKNETAKPLAKLLEIYYNSVGRNCVLLLNVPPNSTGLVDEADIARLREFRAAVDAIFATDLAAGSAATACSVRGEPFAAANVLDGRDDTYWAPKTSKNGYWIELRRPAAMAGEKFNVVRIEEHVALGQRVERHKVFVDGAVVVANGTTVGHKRLHRLAHPVAGETVRVWLATRRGGGAPPLLSAVGLHLDPFAAGMM >LPERR09G12860.1 pep chromosome:Lperr_V1.4:9:13164697:13166163:1 gene:LPERR09G12860 transcript:LPERR09G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHRDHLLAAAHGFLAAATLASCVLAELLVFLLHRHDDAARLYLVPVSAMLLLLLYRVRRRRGTDDDIYLVDFSCLKPPRRLRIPVAGLLEHLTLIGCFDAGSVEFMTKVIESSGMGNETYFPPSLHYIPPADTHGEAINEAHMLFFPTLDDLFAKTGVSPSAVGAVVVNCSGFCATPSLSSIIANHYRMRSDVIGYNLSGMGCAAGVIGVDVARNLIVTHDINYAVVVSAEIVTVGWYPGKDHSKLLLNCYFRTGCSAALITKNRRAAAGSSPPPVKYRLVSLTRTNQIANDRSYRSGYRDEDDEGITGFTLGQGVGRMVSELLRAHLLTLSLSILPWREKLRYAVLLRHNNLSSNSGGRSMIWRLGQGLGLGEKEMEAALMTFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLGIGSGLKANSLVWERVGGDDYGVTERNGRSTLGPWMECIHKYPVAEA >LPERR09G12870.1 pep chromosome:Lperr_V1.4:9:13167320:13167990:1 gene:LPERR09G12870 transcript:LPERR09G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDVIGYNLSGMGCATSVIGVDVPRNLLVTHDINYVVVVSAKIMTVGWYNGKDHSKLLLNYYFRTGCSAALLTKNHCADADAGSPSVKYRLVSLTRTNQTADDQSYRSGYRDEDDEGITGFTVGQGVGRMRCNSSPGFSHDGESLLLAVIREADDLEAGTGGGIEGEGDGAPFHRFGNQSAASLWY >LPERR09G12880.1 pep chromosome:Lperr_V1.4:9:13172467:13173942:-1 gene:LPERR09G12880 transcript:LPERR09G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHRDHLLAAAHGFLAAATLASCVLAELLVFLLHRHDDAARLYLVPVSAMLLLLLYRVRRRRGTDDDIYLVDFSCLKPPRRLRIPVAGLLEHLTLIGCFDAGSVEFMTKVIESSGMGNETYFPPSLHYIPPADTHGEAINEAHMLFFPTLDDLFAKTGVSPSAVGAVVVNCSGFCATPSLSSIIANHYRMRSDVIGYNLSGMGCAAGVIGVDVARNLIVTHDINYAVVVSAEIVTVGWYPGKDHSKLLLNCYFRTGCSAALITKNRRAAAGSSPPPVKYRLVSLTRTNQIANDRSYRSGYRDEDDEGITGFTLGQGVGRMVSELLRAHLLTLSLSILPWREKLRYAVLLLTSPPHKRRHNKLSGAMPLPDFRTAANHFCLPSSGRPMIWRLGQGLGLGEKEMEAALMTFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLGIGSGLKANSLVWERVGDDDHGVTERNGRSTLGPWMECIHKYPVTEA >LPERR09G12890.1 pep chromosome:Lperr_V1.4:9:13175314:13176426:-1 gene:LPERR09G12890 transcript:LPERR09G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLQDKAADEEAAVGNAAALAGLGGYPSNQSPFMEPPLPEKADEEEMAATAGDAADFGRMGFAPIRSPPPPSAAELVPKAEPVAAAAMELLQGMAVAKPPPRNRDRHIKVEGRGRRIRMPVKCAARVAQLTRELGHKSDGETIRWLMQQSEPAIIAATGTGTVPAIATTVDGVLRLPTQSPSAAGGAQSGHVVEPPAPKRRRKLQPTRAGAAPLALAPPTHAAAVYYPVVADPLLQANGGGVISISSGLAPISSTAAAVPFMAMPPGHSNGKQVMSPATVWMVPPGAGAGAAAISQPMHYWAFQTNHHDPAANFAGGSNFQHQTFHNAVAGGGEESQSQHHGGHKVNADEPAGEENEYEGMTDSSSDE >LPERR09G12900.1 pep chromosome:Lperr_V1.4:9:13178660:13185109:1 gene:LPERR09G12900 transcript:LPERR09G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMDGGRKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKMIGRLEVGSETVIDKSKSIKTWLMQIFEGCGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFERKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYQQFCKKYEKLVGNQFSISDADYFVFHSPYNKLVQKSFARLYYNDFMRNCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHSSLDGQRIVMFSYGSGLTSTMFSLKLNNGQNLFSLSNIASVLNVTEKLESRHMTLPEKFVETLKLMEHRYGAKDFETNKDTSLLPPGTFYLTRVDSMYRRFYDKKADEEIAAAKAKYSNGHASNGYANGH >LPERR09G12910.1 pep chromosome:Lperr_V1.4:9:13182521:13186185:-1 gene:LPERR09G12910 transcript:LPERR09G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVDAGDMPDPTVRNLLEQETLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDFSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLTPYKPALKRGTVEELEERVSILKSALQEAESELDRLRKGKQDYQDY >LPERR09G12910.2 pep chromosome:Lperr_V1.4:9:13182523:13186147:-1 gene:LPERR09G12910 transcript:LPERR09G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVDAGDMPDPTVRNLLEQETLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDFSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLTPYKPALKRGTVEELEERVSILKSALQEAESELDRLRKGKQDYQDY >LPERR09G12920.1 pep chromosome:Lperr_V1.4:9:13187238:13192940:-1 gene:LPERR09G12920 transcript:LPERR09G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGHNRISARIASRTRTRTIGEIKKWMPQAGNQKLHVRGEGEGAGNPKEGRGGRTLEKRERVRKKRVRRWGTRACGERARIAVLWETTQKERSTATRRPRRNPAPSCRAYGFRRSQKLPPTPILSSPAAGDGGEVEGEGKGRDRVLGLYYFNYKMLKGRVNEYSEQTKEGRQDRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEELGKRRARLQDIPLLQEITDLREDYRAVGLDLVTLLKFVELNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQVFKHVGIGAVVGALSRNLSDLEERQGSYLNIYDQHPLAIPKDPIIDLITATADKLTNSTNFLRFLGQHALIAQPDSTAGAEEEHIGEDKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYALAYDLDSLTILLIGRVLCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFSLYGLTINQITLPGWIMAFGWLVYLIWLWISFQEPDLGPDAKHFYEGSSSASSTRYLEQEKLEQGFTENLLPLQDEDDSGDNDECNETLSSTAARTPASSVASAYNLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWNISTVSLFLAILGLSVLPVNAIVGTYISNMFEDRQMLLASEIVLLVGVMLSFSVMGRYTVVQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTIARVVADVTITMAGFLGEGMLLNATLLPALIICVVSIVATLSTYNSLFY >LPERR09G12930.1 pep chromosome:Lperr_V1.4:9:13192570:13198972:1 gene:LPERR09G12930 transcript:LPERR09G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEGAGFLRGRRVAVDLSFWVVSHSTAIRARSPHARVPHLRTLFFRTLSLFSKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEGDANADSPVQPRNAKFTRYVKECVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLQSNSKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDSILDKLRELGKGVYPFMEGVSVPHIDDLPSPSTKSLPRARSPHCSQCGHPGNKKNHIKAGCNFCLVDSLENCVEKPAGFICECPSCDKARDLKEQRRNENWQIKVCKRIAAETNFPNEEIIKLYLSDDNLDDENGVPSLTWNEPNVEVLVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSPSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWMKVTRSTISIDSPNKQTELEGKNDKVVVLDGDDEAVVSDEEEEATMDSQSTELLDEPDVPQVLDDDKSWFLLTDEDIQLVNAAFPDEAQRFQEEQKLKELKSRARKSKLSLGDKGFETPKGPRPSGVQLSIKEFYRSKKGMGSESRTVRDGLRKSSDVDLSKNLPKNVRRRLLFD >LPERR09G12940.1 pep chromosome:Lperr_V1.4:9:13197677:13209526:-1 gene:LPERR09G12940 transcript:LPERR09G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFADYGYYPGCGMLPRTYEDSGDKMHINELDMEMSGESWMSSSPCSSSPEQQQHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGKWVCEVRVPGRRGCRLWLGTFDTADAAARAHDAAMLALAAGGDSGETCCLNFADSAWLLAVPSSYRSLADVRHAVAEAVEDFLQRRHRRDDDAMSATSSSAPSSSLTDDEESSSSSSSPGTNLAFELDDVMGDMGWDLYYASLAQGMLMAPPFAAAAFGDDGEANLADVMNSSSPSPSSSSGAETDSSSSSSSQNAAAPAPKRPAGRTKFRETRHPVFRGVRRRGGAGRDSAWLLAVPPAVAPSDLAAVRRAATDAVAAFLKRTNAAANAMDEATSGVSPPPPIIVDNACSSEMPRESGEGTAATATAALDMFELDFFGEMDYDMTVWSEPPKRPAGRTKFRETRHPVFRGVRRRGRAGRWVCEVRVPGRRGCRLWLGTFADGDAASSFSSPANDMAFELDDVLGDMGWDLYYASLAXGRWVCEVRVPGRRGCRLWLGTFADAETAARAHDAAVLALSGTDACLNFADSAWLMPPVSVAAGGGAREVKDAVADAVEAFQRQRRRQTPSSSPAETADEYEDDEEMKGFSPAAAIPVVQDGEFELDDAFRWDGMDAGSYYASLAQGLLVEPPPAAGVWWEDGEIAGSDMALWSY >LPERR09G12950.1 pep chromosome:Lperr_V1.4:9:13210146:13210847:-1 gene:LPERR09G12950 transcript:LPERR09G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKREMSGESPCSSSPEQQQHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTADAAARAHDAAMLALAAGGDSGETCCLNFADSAWLLAVPSSYRSLADVRHAVAEAVEDFLQRRHHRDDAMSPSSAHTDGDESAATDTDGDDSSSFSSPANDMAFELDDVLGDMGWDLYYASLAQGMLMEPPAVAAAAAFGDGNVADVPLWSY >LPERR09G12960.1 pep chromosome:Lperr_V1.4:9:13215888:13216451:1 gene:LPERR09G12960 transcript:LPERR09G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQEGGRIGNAGGIVVAAAARRDRRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARFALAAVFRRCTPPYVAVETTVPPSFVVPRRTEAPPPPPPATATATPMLDVLVGFDGVDATGVETTPFRVSSSLIVPSTFSFCIDEGF >LPERR09G12970.1 pep chromosome:Lperr_V1.4:9:13241143:13242732:-1 gene:LPERR09G12970 transcript:LPERR09G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQCHQEFLILPESYPKLHDRPASRRRLAGVLGEVASILCLTGPMVGAGILFYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVLLLLAASIPIAALWLAMHRVLVATGQDAEIAGAAYSYILFSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINLLLVEHLSLGIRGVALAAAFTNLNCLLFLSAYVFLSGTHPDADNTAEVAAGEPESMEWCRLVRLCVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPDRARLVARVGVGLGAALGLVAFAFAVSVRAAWARMFTEEGAILRLASAALPLLGAAELGNCPQTAGCGVLRGSARPEKAARINVAAFYGVGMPVALALAFRPFGLDFRGMWGGMLAAQLVCAALMLRAVVGTDWAEQTERARELTGGGGGGGYAVVVVVDDDDDKSEKQQHAEGAKEEQQLDNTMVMAIDCV >LPERR09G12980.1 pep chromosome:Lperr_V1.4:9:13264091:13265393:-1 gene:LPERR09G12980 transcript:LPERR09G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKATFPPAAATAAVMKETSAPPTSATAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAAVPNIRVKVKFNGVYHEIYINSQASFGELKKQLSAATGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMLLFEDPAAQARRLIEQRRTDKADRASKSISRISLHVDKLATKVTALEGIVAKGGKVVDADVVTLTEALMNELVKLDAIAADGEVKVQRRIQEKRVQKYVESLDAIRAKNASPAHKANGNGGKPRDRRRRRQFQAPPSTAAAAAAATTTKTAASPALAPPTANWESFDLLSSMPSTSSSTVTTTMAAATTTSPIPRFDWELF >LPERR09G12990.1 pep chromosome:Lperr_V1.4:9:13271067:13276540:1 gene:LPERR09G12990 transcript:LPERR09G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGRAAASTSSSRREPEEEDPATASDDDEEVVSSSSGSESESESDGDGDSDAERERELERALADVPFGELQRARADGSLGERGFNAAAAAAQKKERRASKKRPMEISSKVRPPRFREIIQVPKKVVRDPRFEPIYGSVDKEGFRKRYNFLFDNELPAEKEKLQKSIKKSKDPNAIEEMKSHITWIDKQLRSHPKKNTETEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMERRRKKNASKDHRYMPYRRNGDGAQ >LPERR09G12990.2 pep chromosome:Lperr_V1.4:9:13271067:13276540:1 gene:LPERR09G12990 transcript:LPERR09G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGRAAASTSSSRREPEEEDPATASDDDEEVVSSSSGSESESESDGDGDSDAERERELERALADVPFGELQRARADGSLGERGFNAAAAAAQKKERRASKKRPMEISSKVRPPRFREIIQVPKKVVRDPRFEPIYGSVDKEGFRKRYNFLFDNELPAEKEKLQKSIKKSKDPNAIEEMKSHITWIDKQLRSHPKKNTETEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMERRRKKNASKDHRYMPYRRNGDGAQ >LPERR09G12990.3 pep chromosome:Lperr_V1.4:9:13271067:13277375:1 gene:LPERR09G12990 transcript:LPERR09G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGRAAASTSSSRREPEEEDPATASDDDEEVVSSSSGSESESESDGDGDSDAERERELERALADVPFGELQRARADGSLGERGFNAAAAAAQKKERRASKKRPMEISSKVRPPRFREIIQVPKKVVRDPRFEPIYGSVDKEGFRKRYNFLFDNELPAEKEKLQKSIKKSKDPNAIEEMKSHITWIDKQLRSHPKKNTETEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMERRRKKNASKDHRYMPYRRNGDGAQ >LPERR09G12990.4 pep chromosome:Lperr_V1.4:9:13275224:13276816:1 gene:LPERR09G12990 transcript:LPERR09G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEEYAICTFWEAEFNLPSDLKPPNLREISNSRTFLPPSNRFRRQSRAYTSFLGISSATAAAAASSSSPPPLPPAARRRALASQTPSPDAAPRNVRLSLAIRQISGGSSLRSTSATQLSGKSPSAVSPPPLAADEATLPITRRRKQSSNRRSSQQQ >LPERR09G13000.1 pep chromosome:Lperr_V1.4:9:13274898:13276764:-1 gene:LPERR09G13000 transcript:LPERR09G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASSAARGGGETALGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWEAKLPSNYARLLAAGGSGGGDDDEAAAAAVAEEMPKKEVYARLCRRNRLDGGKKEFWLDKAGGGACMSISSRALSITGIDDRRYWNFIPNDESRFHTVAYLSQIWWFEVRGEVEFCFPEGTYSVFFRLHLGRPFKRFGRRVYSSEHIHGWDIKPVCFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSNDPIKIQFATVQIDCTHTKGGLCVDSVAVKPQYLTKKKLSRTYV >LPERR09G13010.1 pep chromosome:Lperr_V1.4:9:13278528:13283796:-1 gene:LPERR09G13010 transcript:LPERR09G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNTYAIHVSSEIHRIEAWLASDEALARQLQEEENSHDAIVTREFAGNVSLEPSSPAVEYRPSNNAAQVTREDNVDPDNMSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEGNIIL >LPERR09G13010.2 pep chromosome:Lperr_V1.4:9:13277756:13283796:-1 gene:LPERR09G13010 transcript:LPERR09G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNTYAIHVSSEIHRIEAWLASDEALARQLQEEENSHDAIVTREFAGNVSLEPSSPAVEYRPSNNAAQVTREDNVDPDNMSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEETQELSALFEVPRKKY >LPERR09G13020.1 pep chromosome:Lperr_V1.4:9:13285021:13287400:1 gene:LPERR09G13020 transcript:LPERR09G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTRTLMLVVAVLVVVAAAQQQQQGSCAAQLGQLAPCARYSVPPAPGQTLPVPGADCCSALGAVAHDCACRTLDIINSLPAKCGLPRVTCQ >LPERR09G13030.1 pep chromosome:Lperr_V1.4:9:13285243:13285760:-1 gene:LPERR09G13030 transcript:LPERR09G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSGEPDETKTRTRHDTTRTACMRHTRYQANEVTRGRPHLAGRLLMMSSVRQAQSCATAPSAEQQSAPGTGSVCPGAGGTLYRAHGAS >LPERR09G13040.1 pep chromosome:Lperr_V1.4:9:13286297:13290615:-1 gene:LPERR09G13040 transcript:LPERR09G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEAVAVRKLEEHGGDLNLAINAHFNEGDSTVNRISQNNIPASHDDMMDLDEPLNNTFPRSLFPETLRDPFSLMDPNFQENYFDRIGSSDTFGRGPQVSHPREVREIPIEVKDSNPQTGPSGQAPIIEDVTGHESSYGPEVHGSIVVDDDDEQPSAPSLHANIDSTLQPNASIPTAPPLVHVTDYDNDIEEEMIRAAIEASKKDAEAMAIPTEQGIGQQPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSSQPIDEDSLQEDTEDVEEQPLVRRRSRRIASGNTESAQPVYTVDSPPSSSQPLNDRQNNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPTYPFSMPSHRSSSLYPRVEHAPSPTLTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRREETAAREAALEKQKQEEEERRKKQLEEEELESNLASKQASLPPEPAADEEGAVTLVVRMPDGSRQGRRFLKSDKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEQITA >LPERR09G13050.1 pep chromosome:Lperr_V1.4:9:13293328:13300013:-1 gene:LPERR09G13050 transcript:LPERR09G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRSDRSPSPPILLLLLALLAAATNSPPAVGSVDVLSKSRLESCVTEGDADGLTCDRKLVLDLAIPSGSSGGEASVVAQVGEAVENGTEATPIRDPPVIIINKSEVYALYALTYLVDVSYKPEEKFVKTRKCEPDAGADVVKYCERLWDENGHVIANTEPVCCPCGPHRRAPSSCGSLFNRPKGKANTAHCLRFSDDWFHVWDIGRSSLGFSIRVQVKKGSSVSDVIVGPDNRTAVSKDNFLRVKLVGDYAAYTSLPSFDDVYLVTPRKGAGGQPQNLGDDLSRWMLLDRSLFTLDGFECNKIGVGYEAFRNQPNFCSSQLESCLDNQIWKFWENAGIHTFSVGITEALSSNLLIELSADDIEYVYQRSPGKIISISVSTFEALSQVGTAQVKTKNIGKLEASYSLTFDCLSGIDPVEEQSFIMKPDEELIRSFVLRSSTDQASNYTCQAILKASDFSEVDRKECQFSTTATVFGNGTQGFFESIKAWLSTMWDGLINFFTLISRSIRLLTILKFVMFGMLLVAALWLLHRKGLFDRLYYWWNTRDRDHPKHKRSHGHSLSHHHSYNHGGHHHHAIKRNKSVPVHSHHHHILHKGKHDGGQPDHPPPAAAAAAAAAAAAGEEERVLHRHGRHEAALGVKHGRDGVHKHRHYGKAAAAAAAATPEMEIMVRDSSGVVERGDDDHKPFV >LPERR09G13060.1 pep chromosome:Lperr_V1.4:9:13301769:13304546:-1 gene:LPERR09G13060 transcript:LPERR09G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAGEEKSRVAAAGGGGGYGGGGGYGYGGYEGRDERKWWPWLVPTVIVACIAVFIVEMYINNCPKHGSALGGGCVAGFLRRFSFQPLRENPLLGPSSSTLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLISGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFALLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >LPERR09G13070.1 pep chromosome:Lperr_V1.4:9:13307446:13313497:1 gene:LPERR09G13070 transcript:LPERR09G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAALRPPPQWRSTSAAAGYASKSTTLPEKQQRVRDHSLDGIMDVQKRVRRFLALHSLILYAASPTALAGGGGVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHAFHLFLHPVHRLLHARITPRAAAALRLEADAVANSIPSSVLRLRKLLLLAPPRHRLRLEHIRLLRRDLGFPDDFAESIIQSNPDLFRLTPDEFVEFVPSPSDPPGLTVAAVERAREQHYREHRNPGDGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVTGHDLRSLEARRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTKGNQGKLHTVFLREAYYKGELVEPNEIYVARRKLEELLMLNPEKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGDAGRQKTSKADDDYGDSGDDSGAHLTHADLHHAAAAALWALTGHGRKDDFR >LPERR09G13080.1 pep chromosome:Lperr_V1.4:9:13318317:13323481:-1 gene:LPERR09G13080 transcript:LPERR09G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGGGAGGGMHNTGRLLHTPPLPKPGGGYAAPGLSLGLQTNMDGGGGGQLGDMNRSLAMMGNGGSGSGGDGDSLGRGREDENDSRSGSDNLEGASGDDLDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIRDAMRNPMCSSCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPVSAISSSPSPPSLHAACSGLELGVGCTNGGGGIAGGGFGALQSIPALMGAAAMRLPAGIGGGLDGSGAGDVGGVDRAVLLELALAAMDELVKVAQMDEPLWLPSLDGGFDTLNYDEYHRAFVRVLGQTSLAAGAAGFVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVNAMLRPGGGDQNGGGSPYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDETAVHHLYRPLLRSGQALGARRWLASLQRQCQYLAILCSNSLPSRDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGENGGGGGEGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPGRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGCLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHNDGTLPSPADVGSASPNSAAAANGGGSNNTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >LPERR09G13090.1 pep chromosome:Lperr_V1.4:9:13332040:13332702:-1 gene:LPERR09G13090 transcript:LPERR09G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKAMPSSSTAAAAASAMDLEVTVMSGEEVTIPTTGRPLGRCAFAVVRTPAVAASTHIDEDSYGDCNGYPYWKEAVAVSIPAGVSGFGVEICRRRSSGRVETVAAAFVPVGDFTVGPPGHLHCLSYRLFNAGEDGCRKRRRNGIVNITVRRTDVKYAFPAAAAVPIGEGKKGSVSAAAAAMNGGASGSGGGSSCGAAAAPAGAAMGYPVGFTAGKACV >LPERR09G13100.1 pep chromosome:Lperr_V1.4:9:13336393:13338092:-1 gene:LPERR09G13100 transcript:LPERR09G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIASLAFSHLEATVYRLPLPSLSLRARFRPPVAAEALAGQRSLPTPFLTKTYQLVDDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANECFRRGEKRLLCDIHRRKVVAAAPAPVSPTAGMAMASGAVTVAAAPIPMALPVTRPGSPAHSSEEQVLSSNSGSGEEHRQASGSGSAPGVVGGGGSASGDMGEENERLRRENARLTRELGNMKKLCNNIFLLMSNQQQLLRRVVGGNPPSAASPPRDSRPNALLPRSSASLSASSGRETTPPSPSPTKTVASPARIRRXGLAIDVEPDPSARLFGVSIGLKRTRDDAAVAVADEDGGLAGEDQEENGADVKPEPADPHHGGGGGGDGGGGSSKEASPDQHPWPIYRPTPMYHSLRPSCNGPDRAGSDQDGSSSR >LPERR09G13110.1 pep chromosome:Lperr_V1.4:9:13341148:13343154:-1 gene:LPERR09G13110 transcript:LPERR09G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAENGGGEISVLVTGGAGYIGTHTAVRLLEKGHEVTVVDNFHNSVPEALDRVRLIAGPALSSHLHFIPGDLKSKDDLEKVFAAKRYDAVVHFAGLKAVGESVAHPQMYYENNVVGTINLYAAMTKYGCKKIVFSSSATVYGSPEKTPCVEDSSLNAVSPYGSTKLVLENYFRQVQAADPELRVIMLRYFNPIGAHRSGDIGEDPKGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEVVKAFEEASGKKIPIKICPRRPGDSTAVYASTEKAKKELGWSAQFGIEDMCRDQWNWARKNPYGYSGNAEEN >LPERR09G13110.2 pep chromosome:Lperr_V1.4:9:13341148:13343154:-1 gene:LPERR09G13110 transcript:LPERR09G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAENGGGEISVLVTGGAGYIGTHTAVRLLEKGHEVTVVDNFHNSVPEALDRVRLIAGPALSSHLHFIPGDLKSKDDLEKVFAAKRYDAVVHFAGLKAVGESVAHPQMYYENNVVGTINLYAAMTKYGCKKIVFSSSATVYGSPEKTPCVEDSSLNAVSPYGSTKVLAKMPKQFLVITDNHEMLMLVSCHLVLENYFRQVQAADPELRVIMLRYFNPIGAHRSGDIGEDPKGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEVVKAFEEASGKKIPIKICPRRPGDSTAVYASTEKAKKELGWSAQFGIEDMCRDQWNWARKNPYGYSGNAEEN >LPERR09G13110.3 pep chromosome:Lperr_V1.4:9:13341148:13343154:-1 gene:LPERR09G13110 transcript:LPERR09G13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAENGGGEISVLVTGGAGYIGTHTAVRLLEKGHEVTVVDNFHNSVPEALDRVRLIAGPALSSHLHFIPGDLKSKDDLEKVFAAKRLRFDQTISSQTPFNFDLNSSISFVVVVVAHCLCCFRYDAVVHFAGLKAVGESVAHPQMYYENNVVGTINLYAAMTKYGCKKIVFSSSATVYGSPEKTPCVEDSSLNAVSPYGSTKVLAKMPKQFLVITDNHEMLMLVSCHLVLENYFRQVQAADPELRVIMLRYFNPIGAHRSGDIGEDPKGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEVVKAFEEASGKKIPIKICPRRPGDSTAVYASTEKAKKELGWSAQFGIEDMCRDQWNWARKNPYGYSGNAEEN >LPERR09G13110.4 pep chromosome:Lperr_V1.4:9:13341148:13343154:-1 gene:LPERR09G13110 transcript:LPERR09G13110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAENGGGEISVLVTGGAGYIGTHTAVRLLEKGHEVTVVDNFHNSVPEALDRVRLIAGPALSSHLHFIPGDLKSKDDLEKVFAAKRLRFDQTISSQTPFNFDLNSSISFVVVVVAHCLCCFRYDAVVHFAGLKAVGESVAHPQMYYENNVVGTINLYAAMTKYGCKKIVFSSSATVYGSPEKTPCVEDSSLNAVSPYGSTKLVLENYFRQVQAADPELRVIMLRYFNPIGAHRSGDIGEDPKGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEVVKAFEEASGKKIPIKICPRRPGDSTAVYASTEKAKKELGWSAQFGIEDMCRDQWNWARKNPYGYSGNAEEN >LPERR09G13120.1 pep chromosome:Lperr_V1.4:9:13347189:13349805:-1 gene:LPERR09G13120 transcript:LPERR09G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSNSNSTSSLLLEASSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPPEDVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLSRRLIEDEGSVNIQSEKPKTLLPMKRKRER >LPERR09G13130.1 pep chromosome:Lperr_V1.4:9:13385815:13388502:1 gene:LPERR09G13130 transcript:LPERR09G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAGWEGEKEAEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRRTFENVARWVEELRAHADGSTVVVALIGNKADMPASRREVAADEAARFAEEQGLFFSEASALSGDNVERAFLTLLEEIFAVVSRRALELDEARRARRDGEGDGGEVLSLKGTTVDVGSIMETSAMKKSSQCSCS >LPERR09G13140.1 pep chromosome:Lperr_V1.4:9:13392991:13394183:-1 gene:LPERR09G13140 transcript:LPERR09G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGDDGVELTELTLGPPGANARRARRARKNAQPPSSSTMQAYFVKVSMDGTPYLRKVDVAAYGDYLELVDALNDMFFCSSIGLMDGYGDWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNALTSAL >LPERR09G13140.2 pep chromosome:Lperr_V1.4:9:13392991:13394183:-1 gene:LPERR09G13140 transcript:LPERR09G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGDDGVELTELTLGPPGANARRARRARKNAQPPSSSTMQAYFVKVSMDGTPYLRKVDVAAYGDYLELVDALNDMFFCSSIGLMDGYGDWEHAVVYEDGDGDWMLVGDVPWELTSAL >LPERR09G13150.1 pep chromosome:Lperr_V1.4:9:13396698:13400110:-1 gene:LPERR09G13150 transcript:LPERR09G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRRDQKTAPHSVTKEQMTNHHNNVADDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDLTVNNHDSPGVVPTSLRERGLALASQWLGQNMCYCGDLFCG >LPERR09G13150.2 pep chromosome:Lperr_V1.4:9:13396830:13400110:-1 gene:LPERR09G13150 transcript:LPERR09G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRRDQKTAPHSVTKEQMTNHHNNVADDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDLTVNNHDSPGVVPTCNFERHSNK >LPERR09G13160.1 pep chromosome:Lperr_V1.4:9:13402857:13408075:1 gene:LPERR09G13160 transcript:LPERR09G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERIVVSVRLRPVNAREAERGDGSEWECAGDTTLMFRGTLPERAMFPTSYTYDRVFSHECGTRQVYEEGARQVALSVLSGINASIFAYGQTSSGKTYTMVGITEYSMSDIYGYIDKHPERDFVLKFSAMEIYNEAVRDLLSPDATPLRLLDDPEKGTVVEKLTEEALRDKGHLLELLAVCEAQRQIGETALNEASSRSHQILRLTVESSAKQFLGRGNSSTLLACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKLLQREIARLENELKFPGSASCISHVDILREKDELIRKLEEQLKELMEQKDTVQSQLENFRKVASDDDINNHLARRWSRSSESIPRNVSEGAFSSSDIHDVDYQDQTIDELSVPHWCPPSSHISDSMEEHGADRVDSQAAYEAPEDHCKEVQCIETNELRSRRSQELFQTPEKKTYSDDPKHGESMSNSAESAIKLYACDFEPSFDLEKIETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSVSTTQACEMFRYAPGRPEKVRKSLSPDEAADNSTANGEEETVVNDISCVTEVKQKPKIAKEISKVDSLSKWHIDFEEKQREIIELWHECNVSIVHRTYFFLLFKGDQTDRIYMEVEHRRLSFIKNSLTADGELIASAASSSRNLRHERDMLYRQMLRKLHLAERESLFSKWGIDMSSKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSLKPRSDRRSFSWVGGYS >LPERR09G13160.2 pep chromosome:Lperr_V1.4:9:13403510:13408075:1 gene:LPERR09G13160 transcript:LPERR09G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERIVVSVRLRPVNAREAERGDGSEWECAGDTTLMFRGTLPERAMFPTSYTYDRVFSHECGTRQVYEEGARQVALSVLSGINASIFAYGQTSSGKTYTMVGITEYSMSDIYGYIDKHPERDFVLKFSAMEIYNEAVRDLLSPDATPLRLLDDPEKGTVVEKLTEEALRDKGHLLELLAVCEAQRQIGETALNEASSRSHQILRLTVESSAKQFLGRGNSSTLLACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKLLQREIARLENELKFPGSASCISHVDILREKDELIRKLEEQLKELMEQKDTVQSQLENFRKVASDDDINNHLARRWSRSSESIPRNVSEGAFSSSDIHDVDYQDQTIDELSVPHWCPPSSHISDSMEEHGADRVDSQAAYEAPEDHCKEVQCIETNELRSRRSQELFQTPEKKTYSDDPKHGESMSNSAESAIKLYACDFEPSFDLEKIETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSVSTTQACEMFRYAPGRPEKVRKSLSPDEAADNSTANGEEETVVNDISCVTEVKQKPKIAKEISKVDSLSKWHIDFEEKQREIIELWHECNVSIVHRTYFFLLFKGDQTDRIYMEVEHRRLSFIKNSLTADGELIASAASSSRNLRHERDMLYRQMLRKLHLAERESLFSKWGIDMSSKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSLKPRSDRRSFSWVGGYS >LPERR09G13170.1 pep chromosome:Lperr_V1.4:9:13408329:13408595:1 gene:LPERR09G13170 transcript:LPERR09G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLSAAAAAFARRLVPARAPVISTSASAAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRIEVPRSTPWPLPFKLI >LPERR09G13180.1 pep chromosome:Lperr_V1.4:9:13417698:13418526:1 gene:LPERR09G13180 transcript:LPERR09G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGDEDNEWMMMEMPGGGGKGGKGGGGVDRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDSLLCSYESLKKEKLALIKQASHKKMYLFLIATFLLEKLAEMLQEEPRGKYGDNAAGAEDDVHSGGGVGMKEEFVDAGGGGATLYTAEGGRTTAAANKLMPQFGSDDVDAGLFLRPSSHATASFTASEPADHQSFNFNSSWPSSTEQTCSSSQWWEFES >LPERR09G13190.1 pep chromosome:Lperr_V1.4:9:13422150:13424453:-1 gene:LPERR09G13190 transcript:LPERR09G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAASNASGIAAAAAGNGVQAGAGGERAEDASKQNLAQVTASIQKTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVINSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEQYREIRATSAAESKRLAQSQSALPNGDVKVKPEH >LPERR09G13200.1 pep chromosome:Lperr_V1.4:9:13434641:13436901:1 gene:LPERR09G13200 transcript:LPERR09G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVDTGSWLLYLSLAAKCGGGGDVDGQPPHRLFGFLLAFAVAALLTSLLHWSFPGGPAWGRWWWTRRRLSSSSSPSVLLPPGPRGFPVIGSMWLMTGLAHRKLAAAAAASPRRRRRLMAFSLGETRVVVAASPDVAREILGSASFADRPVKESAYGLLFHRAIGFAPHGTYWRALRRVASTHLFSPWQVSASAPQRAVIARHMVNAMKNSPGGVEVRGVVRRASLNNVMWSVFGRRYELTTGTDWDDETRELRELVDEGYDLLGQLNWSDHLPWLARFDLQGTRARCAHLVPRVNRFVSRIIDEHKHKAASPPSSAAVDFTDVLLSLQGSDKLAHSDMVAVLWEMVFRGTDTVAVLIEWVLARLVMHQDVQARVHEELDRVVGLDRDVTESDSASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVDGFLIPAGTTAMVNMWAIAHDPEVWAEPMEFRPERFVGNGAEFSVMGSELRLAPFGSGRRSCPGKSLAMATVAFWLATLLHEFTLLPSSDLTRGVDLSEVLRLSCEMAAPLAVTARPRRVV >LPERR09G13210.1 pep chromosome:Lperr_V1.4:9:13444835:13449400:-1 gene:LPERR09G13210 transcript:LPERR09G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSSSSSSAAAASAGSSVALVSASAAAASSSSSPAPAPSSPHSDRGGIKSPWSRRKRKRALSRQQWESLFSANGKLRDGGKKFLKKVRSGGIEPGIRAEIVGLCLICSVYLQTKRLILSVLLCSYELNSSEEERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNDECTGLGCSTEGSESPCFEDVNVARASVSLEELKPENSEPEQPDNSMCTVIECMEEDTCEFNFIDPCIPESESSDSESSDEDDPGRISLSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFSTWQRIIRLDAIRANTEWILFSRNQAEISKETALQCAVSVGLRDYDHLDPCMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRSQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >LPERR09G13220.1 pep chromosome:Lperr_V1.4:9:13452901:13454669:-1 gene:LPERR09G13220 transcript:LPERR09G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDATGSKKKLLIFDAEEELAVSLAKYTAELSGKFAAERGAFTVVLSGGSLIKNIRKLAEPPYLDSVDWSKWHVFWVDERVVPKDHDDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENQKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNN >LPERR09G13230.1 pep chromosome:Lperr_V1.4:9:13460003:13465763:-1 gene:LPERR09G13230 transcript:LPERR09G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLALLRPLIHPALLPAASPLAARCRRGRGRGVRWRCATGGDAGGEGEEGSAAAASWLSSELGEKVDELMRREENRALLEGVEAAERRVERARAALADIERQEAAARLASEEVRRLERRRDEIAESQRELLQAREMIDEAQRSLSSSLEDQSFRDVLSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEVHDLPQLFVHLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGMPFELSTDALISLTLDGTVSVVENILTFLPAAIALDFCFKMRLLSPFPTRKHIILVLHNAPSWMELNGISLYHKYGSAGSIILLIPTGLLVLTGALVLARTTTRVFVPKLADRIFQECSMILSKVCLSTLVKEFTSIGSGEMALKVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLFRDVLINGILAIGTLGHNVNSLCPEPCIEQDVPIIMCDEKVEEEKCEMEKTEAKEDVSVTASSELASALEPAKMHSSSMKEDNLTCFVMEEIPMHSIEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDTEEKCCQKIIIVSGASTSKPTSCMEKMHHNKPTKPTSKPLKATRKLSRVMRKVLGKKIHPEQLNGRSNTEGPGPVTA >LPERR09G13240.1 pep chromosome:Lperr_V1.4:9:13467850:13469007:-1 gene:LPERR09G13240 transcript:LPERR09G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEKRRRHHSPPASTSTSTSTSTAGTPASSSPTQTSPADSSSSSPSLDLIPDIARRLTSLEDFFALRATCRAYRALLPPALRPLLASQSPLLLVALYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYARGYLVTATTAANNYPPRLLLLHLFTGEQLRLPKVPARFSRVILTADLLVVIFLPGRATVQHCRQGDLLWRVGTAHAPHVFDDLIFVGGTLYALVGLRLAVVDLSEGSLELSFLGGEVDEANRPTGDRFMLGECGGEVLLISVEREDGVVERVVYRVFRWASEERKWEMITNLGGRSLFLDLDGFAACVDQDYPGVRGDCVYAAGLRLGEWHEYSLVDGTCDVCYADYRGAPPLNNDSLIRPSVWIFPSMC >LPERR09G13250.1 pep chromosome:Lperr_V1.4:9:13469726:13472115:-1 gene:LPERR09G13250 transcript:LPERR09G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKAEGFATVEQVQRKFSWSTGRTIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSADSTGAEAKS >LPERR09G13260.1 pep chromosome:Lperr_V1.4:9:13472714:13478790:1 gene:LPERR09G13260 transcript:LPERR09G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASLSHLLLGGNPLPTPRRRSPSLLPLPRGNQRPSSSHSISVTAAPSLKSRLAGGETLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAILRLPEACPVWAKKALDLGPAGLMLPAVESPAAAAAAVSHCRYPPRGIRGAAHPIVRASSYGLDDSYLSRCEDETLIICQVETDSGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKVTGSDHGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGLFRKAALEDVKRFKEAVMEIGEEGDDEDDEKKEKEDDGYWSKHHKLCHGERSATLNYPPWMIVGVVGSGISSCSDFISGYSWRPIEAAKLRRTRVVRSLQITCTATKPAKPPAEEDWKIKRQVLVEKRVRSVDVKEALRLQKENNFAILDVRPEADFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVDEKLGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPSVEGEE >LPERR09G13270.1 pep chromosome:Lperr_V1.4:9:13477556:13482188:-1 gene:LPERR09G13270 transcript:LPERR09G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTYVTILFFRRDRAKRLTVGPAAIPISSLNFLLLVYVFSSWSPSIPREISLLPSPPPCPRRRAIAGDLAGRAASVLRPAGPGVPPAAASAASSLVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESMEEKAHKLMMQSKINETRDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKMQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQILNDADGFIQLQIENNDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVNGIRPLKDGNPPKYSQRARLLTANYQVV >LPERR09G13280.1 pep chromosome:Lperr_V1.4:9:13486187:13489715:1 gene:LPERR09G13280 transcript:LPERR09G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPVRRRRSPPRVPLAAAAFCCFLMSASVSSAAHDYGDALAKSILFFEGQRSGRLPAAGKQRAAWRGDSAASDGEAAGVDLEGGYYDAGDNVKFGFPMAFTGTMLAWSVIEFGDAMPAGERAHAADAVRWATDYLLKTISQPGVGDPRKDHDCWERPEDMDTERKVYNISTTRPGSEVAGETAAALAAASIVFRDADPEYAARLLAGARRAFEFADKFRGAFSDDPELREGGCPFYCDFDGYQDELLWGAAWLRRASSEDAFLDYIQNNGKTLGAEDSTNEFGWDNKHAGLNVLVSKEFIEGKVLSLQSYKEFADGFICTLIPETSSPHIEYTPGGMMYKPGGSNMQHVTSISFLLLTYAKYLAKSSHTVNCGNVSFGPANLQLIAKKQVDYLLGDNPMKMSYMVGYGDKYPQRIHHRGSSLPSIKSHPQRIACKDGTPYYNSSKSNPNPLVGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYFVGNPAPGHARH >LPERR09G13290.1 pep chromosome:Lperr_V1.4:9:13490206:13493857:1 gene:LPERR09G13290 transcript:LPERR09G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYVALAAALLVAVVVFAAIKSHGKGKLPPSPPSLPFIGHLHLIGDLPHRSLDALHRRYGGGGGGLMSLRLGGAGVLAVQLRELLYAYANGVITRVAAGGGGATAERFRRMVVDTSELLAGFQWVDRLPAAAAWAARMVTGLDKKLDDMAEDSDRFLSEIMAAHDEEKEEGEEEDFVDVLLRLRREGAAAGGVGVELAEDDIKGIIKDIMGAATDTSFVTLEWIMTELIRNKRAMTKLQNKIRQVTGCKPTVTEDDLTKMDCLDAVMKETL >LPERR09G13300.1 pep chromosome:Lperr_V1.4:9:13495080:13497040:1 gene:LPERR09G13300 transcript:LPERR09G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYVFLAAAVVLLAVVTYNAKNRGRRRIDGKLPPSPPSLPFLGHLHLMSPLLHRSLHDLHLRYGTDGGLLLLQLGRRRTLVVSTSSAAADLYRRHDLAFASRPHVAAAHKLSYGSRNISFAPLGDAWRRNRKAASAHVLSPRRVASFATVRAAEAAALVADVRGRAAAAKWRGLLYGYTNAVVTRAATGAAGTTAEKLKQLLGNATSLVAGVQADDLLPDVAARVVRWVTGLEKKFDDTIVEWEKFLSPIMADHMAKKRKEGDEEENFMDVLLRLREEEEKEEEGNTNGFELSDARVKSLIIDLISAATETTSVTLEWAMAELVANPSVMAKLQEEITRSANGKPATAITETEVAAMDYLHAVVKETLRLHPAAPILVPHQSTTAAIVQGYEIPSKTSLFINAWAIGRDPAAWDSPEEFRPERFLPGGEAAAVDFRGSDYQLVPFGAGRRICPGINFAVPVLEMALVGLIHGFDWELPAGMAAADVDLSEAPGLTTPLRVPLRLVPKCKT >LPERR09G13310.1 pep chromosome:Lperr_V1.4:9:13497796:13507370:1 gene:LPERR09G13310 transcript:LPERR09G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRAEKEAVGVAGDPGPADFAKAEEPKEVAGDAGGHLDESRVAVDDPTAERASLVEDDTNMVTVLGGDGDSFVEQDGQDTGSAAVAADDYGNEPKEDAGVGVRLDDVGAAADDSVEVDASLIDDAMNVLPVGGGASFDGSQGIGGGVHGEAAGFVLDVQDDGPQMDRSVTVSGDNGENVQGEGALLDAVDTTVFNDAEADLVKASDSVLEESTGMDIHAPPGDDNEEMVAMACDAATDEDSRKVDAVSSPSDHNEDLAIGAADFDVRDEDMQLGTVDLSRDDNVAKESVAAPVNGTDDEDLKIDAAISAGNEDGEDVKADNTDRVPEEVDTVSLSRDNSAGTKVGAAGDDGTNEEGVQMDVRTTTGGVSEEDGKADEEDGKADENVVEDDDGVSEEAVAGSEDIPEEDAVQMDEDEDDDDEPPPLAKKGGGRRKRGRASSKAQAVVKPSVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPARHMCFTCTYSLCNKCIKETKFVAVRANKGFCETCMDTVMLIENKEVATEQMDVDFDDKTSFWYLFKDYWLNLKEKLSLTFEEISAAKSQKNGSLVIRENDLSEPHDTNDEEEGNSDSSSVRHLESNSKRKGRKRSNQAAKDDSSVGKDSSRKSTKRGLSGSRDAKGSSGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSMLSQFEVQSLLLEYIKRENLRDPRRKSQIICDSLLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDGDGNSEASIVMSSEKKKKSRKYDQKALQTNLDDYAAIDNHNIGLMYLRRNLLEELISEVDTFDEKVLGTFVRIRISGTGQRQDIYRLVQIVGTGTAPELYKCGKKSTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSVKVNDWIESEKMRLGHLRDRASDMGQKLQLLSTPEERVRRLNEDPEVHADHTMDPDYESPEEQEEDTERSSFNKSRGSFFRKDGNPVSPGKGEGRSPAHRDSKANWESNRNTWGESSTHIESPLGRRPSFSSHSESKTDSPAHTVKVAGNAPHGLSGVSSEITGSNAGSGGTQSSQSVINESEKIWQYVDPTGKIQGPFSILQLRKWNSSGYFPPNLKIWKSTEKQDDSILLTDALLGKFEKDLPPWEPPVGSSSNVDTRPRSDSRFEESTKSGEQPSKSAVLNSSQSLSGRVGQINDTANLGSATIQSSAQVYYGMQNSQAAYAVQQSLTGSWNTSTSQFGTTINPMTLSQPSMGNFSVGQTAAVGGQLTSVQGPATISAEVVNSQSQPQNQIASLSQSDGRLADGNDSKLGADASHERTRPLGEGLGLAAAQPGAVQSNTQQHEEARSHLQNAASNSVNPSQLMSTPSAQAVQPSPTTMAGGDTQNSGWTQLASTSGQSQGAANMPWGTTIQGNANMGWGMMGQNNMNMSWGGPAQSATGYNMALTMPAQPNAVSNMGWVTPNPGNTNMNMMWAATQGQGTPNAAAMMGTQMQGVAMAPWGAVTQGNTNSYSGWGAQVGNLNQNAGWGAPMQSNAGPSTGNGTSQGNNNMNWNSPSGNTNWNNQQRDNGGRHSGHGGDSGGRSWRSQSGGDGGSWGNRRGVCFSMLEKGYCRKGESCRFSHSIPNDGYPSRNDRHFDRQNSGNERRYDRQNDRTDRQFDRQSSGNERHDDMDNSRDSDRHDERQTDRSQSRERQ >LPERR09G13310.2 pep chromosome:Lperr_V1.4:9:13497536:13507370:1 gene:LPERR09G13310 transcript:LPERR09G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRAEKEAVGVAGDPGPADFAKAEEPKEVAGDAGGHLDESRVAVDDPTAERASLVEDDTNMVTVLGGDGDSFVEQDGQDTGSAAVAADDYGNEPKEDAGVGVRLDDVGAAADDSVEVDASLIDDAMNVLPVGGGASFDGSQGIGGGVHGEAAGFVLDVQDDGPQMDRSVTVSGDNGENVQGEGALLDAVDTTVFNDAEADLVKASDSVLEESTGMDIHAPPGDDNEEMVAMACDAATDEDSRKVDAVSSPSDHNEDLAIGAADFDVRDEDMQLGTVDLSRDDNVAKESVAAPVNGTDDEDLKIDAAISAGNEDGEDVKADNTDRVPEEVDTVSLSRDNSAGTKVGAAGDDGTNEEGVQMDVRTTTGGVSEEDGKAVQNVVEDVVGGSEEVETIGLTLTGDDKAEKEAATVGDNGADEEGMQIDAVTTTGDEDEEDGKADENVVEDDDGVSEEAVAGSEDIPEEDAVQMDEDEDDDDEPPPLAKKGGGRRKRGRASSKAQAVVKPSVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPARHMCFTCTYSLCNKCIKETKFVAVRANKGFCETCMDTVMLIENKEVATEQMDVDFDDKTSFWYLFKDYWLNLKEKLSLTFEEISAAKSQKNGSLVIRENDLSEPHDTNDEEEGNSDSSSVRHLESNSKRKGRKRSNQAAKDDSSVGKDSSRKSTKRGLSGSRDAKGSSGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSMLSQFEVQSLLLEYIKRENLRDPRRKSQIICDSLLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDGDGNSEASIVMSSEKKKKSRKYDQKALQTNLDDYAAIDNHNIGLMYLRRNLLEELISEVDTFDEKVLGTFVRIRISGTGQRQDIYRLVQIVGTGTAPELYKCGKKSTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSVKVNDWIESEKMRLGHLRDRASDMGQKLQLLSTPEERVRRLNEDPEVHADHTMDPDYESPEEQEEDTERSSFNKSRGSFFRKDGNPVSPGKGEGRSPAHRDSKANWESNRNTWGESSTHIESPLGRRPSFSSHSESKTDSPAHTVKVAGNAPHGLSGVSSEITGSNAGSGGTQSSQSVINESEKIWQYVDPTGKIQGPFSILQLRKWNSSGYFPPNLKIWKSTEKQDDSILLTDALLGKFEKDLPPWEPPVGSSSNVDTRPRSDSRFEESTKSGEQPSKSAVLNSSQSLSGRVGQINDTANLGSATIQSSAQVYYGMQNSQAAYAVQQSLTGSWNTSTSQFGTTINPMTLSQPSMGNFSVGQTAAVGGQLTSVQGPATISAEVVNSQSQPQNQIASLSQSDGRLADGNDSKLGADASHERTRPLGEGLGLAAAQPGAVQSNTQQHEEARSHLQNAASNSVNPSQLMSTPSAQAVQPSPTTMAGGDTQNSGWTQLASTSGQSQGAANMPWGTTIQGNANMGWGMMGQNNMNMSWGGPAQSATGYNMALTMPAQPNAVSNMGWVTPNPGNTNMNMMWAATQGQGTPNAAAMMGTQMQGVAMAPWGAVTQGNTNSYSGWGAQVGNLNQNAGWGAPMQSNAGPSTGNGTSQGNNNMNWNSPSGNTNWNNQQRDNGGRHSGHGGDSGGRSWRSQSGGDGGSWGNRRGVCFSMLEKGYCRKGESCRFSHSIPNDGYPSRNDRHFDRQNSGNERRYDRQNDRTDRQFDRQSSGNERHDDMDNSRDSDRHDERQTDRSQSRERQ >LPERR09G13310.3 pep chromosome:Lperr_V1.4:9:13497536:13503362:1 gene:LPERR09G13310 transcript:LPERR09G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRAEKEAVGVAGDPGPADFAKAEEPKEVAGDAGGHLDESRVAVDDPTAERASLVEDDTNMVTVLGGDGDSFVEQDGQDTGSAAVAADDYGNEPKEDAGVGVRLDDVGAAADDSVEVDASLIDDAMNVLPVGGGASFDGSQGIGGGVHGEAAGFVLDVQDDGPQMDRSVTVSGDNGENVQGEGALLDAVDTTVFNDAEADLVKASDSVLEESTGMDIHAPPGDDNEEMVAMACDAATDEDSRKVDAVSSPSDHNEDLAIGAADFDVRDEDMQLGTVDLSRDDNVAKESVAAPVNGTDDEDLKIDAAISAGNEDGEDVKADNTDRVPEEVDTVSLSRDNSAGTKVGAAGDDGTNEEGVQMDVRTTTGGVSEEDGKAVQNVVEDVVGGSEEVETIGLTLTGDDKAEKEAATVGDNGADEEGMQIDAVTTTGDEDEEDGKADENVVEDDDGVSEEAVAGSEDIPEEDAVQMDEDEDDDDEPPPLAKKGGGRRKRGRASSKAQAVVKPSVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPARHMCFTCTYSLCNKCIKETKFVAVRANKGFCETCMDTVMLIENKEVATEQMDVDFDDKTSFWYLFKDYWLNLKEKLSLTFEEISAAKSQKNGSLVIRENDLSEPHDTNDEEEGNSDSSSVRHLESNSKRKGRKRSNQAAKDDSSVGKDSSRKSTKRGLSGSRDAKGSSGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSMLSQFEVQSLLLEYIKRENLRDPRRKSQIICDSLLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDGDGNSEASIVMSSEKKKKSRKYDQKALQTNLDDYAAIDNHNIGLMYLRRNLLEELISEVDTFDEKVLGTFVRIRISGTGQRQDIYRLVQIVGTGTAPELYKCGKKSTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSVKVNDWIESEKMRLGHLRDRASDMGRRKEYPS >LPERR09G13310.4 pep chromosome:Lperr_V1.4:9:13503575:13507370:1 gene:LPERR09G13310 transcript:LPERR09G13310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKKLQLLSTPEERVRRLNEDPEVHADHTMDPDYESPEEQEEDTERSSFNKSRGSFFRKDGNPVSPGKGEGRSPAHRDSKANWESNRNTWGESSTHIESPLGRRPSFSSHSESKTDSPAHTVKVAGNAPHGLSGVSSEITGSNAGSGGTQSSQSVINESEKIWQYVDPTGKIQGPFSILQLRKWNSSGYFPPNLKIWKSTEKQDDSILLTDALLGKFEKDLPPWEPPVGSSSNVDTRPRSDSRFEESTKSGEQPSKSAVLNSSQSLSGRVGQINDTANLGSATIQSSAQVYYGMQNSQAAYAVQQSLTGSWNTSTSQFGTTINPMTLSQPSMGNFSVGQTAAVGGQLTSVQGPATISAEVVNSQSQPQNQIASLSQSDGRLADGNDSKLGADASHERTRPLGEGLGLAAAQPGAVQSNTQQHEEARSHLQNAASNSVNPSQLMSTPSAQAVQPSPTTMAGGDTQNSGWTQLASTSGQSQGAANMPWGTTIQGNANMGWGMMGQNNMNMSWGGPAQSATGYNMALTMPAQPNAVSNMGWVTPNPGNTNMNMMWAATQGQGTPNAAAMMGTQMQGVAMAPWGAVTQGNTNSYSGWGAQVGNLNQNAGWGAPMQSNAGPSTGNGTSQGNNNMNWNSPSGNTNWNNQQRDNGGRHSGHGGDSGGRSWRSQSGGDGGSWGNRRGVCFSMLEKGYCRKGESCRFSHSIPNDGYPSRNDRHFDRQNSGNERRYDRQNDRTDRQFDRQSSGNERHDDMDNSRDSDRHDERQTDRSQSRERQ >LPERR09G13320.1 pep chromosome:Lperr_V1.4:9:13507947:13510757:1 gene:LPERR09G13320 transcript:LPERR09G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPVSGGGGAAPPRGKSCKGCLYYSSLLKSRGFNPVCVGIPRSISQVPNHVVDDTREQAAEAAAQGYDWTQFKFACVGYSMFVVDNKKDGESGDKEGETFMPFCQGFELLVGRRIVQKNSRNDEQATGSYRKEVMHIPVVFSHQLPSTRTKTWATYTTGLLYEESWLSKFPFLSLSYDYPTDVRGCCRFRKSAGLVASGVAKNLNKTANYIKANIVDILYSDRRPPK >LPERR09G13320.2 pep chromosome:Lperr_V1.4:9:13507947:13510749:1 gene:LPERR09G13320 transcript:LPERR09G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPVSGGGGAAPPRGKSCKGCLYYSSLLKSRGFNPVCVGIPRSISQVPNHVVDDTREQAAEAAAQGYDWTQFKFACVGYSMFVVDNKKDGESGDKEGETFMPFCQGFELLVGRRIVQKNSRNDEQATGSYRKEAPTSSRQQGQRPGQLTQQDFSTRKAGFQNFPSCPFLMIILLMFRKSAGLVASGVAKNLNKTANYIKANIVDILYSDRRPPK >LPERR09G13320.3 pep chromosome:Lperr_V1.4:9:13507947:13510279:1 gene:LPERR09G13320 transcript:LPERR09G13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPVSGGGGAAPPRGKSCKGCLYYSSLLKSRGFNPVCVGIPRSISQVPNHVVDDTREQAAEAAAQGYDWTQFKFACVGYSMFVVDNKKDGESGDKEGETFMPFCQGFELLVGRRIVQKNSRNDEQATGSYRKEGVAKNLNKTANYIKANIVDILYSDRRPPK >LPERR09G13330.1 pep chromosome:Lperr_V1.4:9:13514246:13519011:1 gene:LPERR09G13330 transcript:LPERR09G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFIVFLLLLEPGLSSRSNVYIVYMGERHPELQPEMAQEAHHGMLAAVLGSKQAAMDAILYSYKHGFSGFAAVLTERQAALLSDWPGVVRVVRNRVLDLHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGQCITGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIFEFMSARDAVGHGTHTASTAAGDLVANASFRGLANGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVDVISVSLGQPPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIVLGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNTDDSNARSCTAGSLNATLVKGNVVLCLQTRTQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCIQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSSIGSVNFKIDSGTSMSCPHISGVVALLKSMHPYWSPAAVKSALVTTANVHDAYGFEMVSEAAPYNVANPFDYGGGHVDPNRAAHPGLVYDMGVSDYIRFLCSMGYNTSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELTGKLTVSRTVTNVGPASSMYRARVKAPPGVDVTVNPSLLSFNSTVSKLSFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRIIISKFYVNA >LPERR09G13340.1 pep chromosome:Lperr_V1.4:9:13518559:13518939:-1 gene:LPERR09G13340 transcript:LPERR09G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIAAVLRVVPAPIPSLPAPASPLLLRRRRIHRHSLPPTASMADAGAATNKPAPAPAPAPEPPEKPLPGDCCGSGCVRCVWDVYYDELDAYNKALAARSSGAAASDSDSGSKAATTTTTTDGAKA >LPERR09G13350.1 pep chromosome:Lperr_V1.4:9:13521142:13524554:-1 gene:LPERR09G13350 transcript:LPERR09G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAASTSLRPVTQSPLRFAASVVLGSPGEMSIEERVPRGHAEATLLYATDKGPEESLKKTIEVDKLIDMLRDADLREKDYEELAENVMNIVDRLVHKTHEKIEQSTDVLKAIISPVMHEGEDATWPPRDPEALKLMEEEISNREEEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLMLDGLTVGKGNVSPDEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEVQARAEEVVKVLQGPTI >LPERR09G13350.2 pep chromosome:Lperr_V1.4:9:13521140:13524554:-1 gene:LPERR09G13350 transcript:LPERR09G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAASTSLRPVTQSPLRFAASVVLGSPGEMSIEERVPRGHAEATLLYATDKGPEESLKKTIEVDKLIDMLRDADLREKDYEELAENVMNIVDRLVHKTHEKIEQSTDVLKAIISPVMHEGEDATWPPRDPEALKLMEEEISNREEEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLMLDGLTVGKGNVSPDEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEVQARAEEVVKVLQGPTI >LPERR09G13360.1 pep chromosome:Lperr_V1.4:9:13536817:13537898:-1 gene:LPERR09G13360 transcript:LPERR09G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMVYYCPPPSVQMVVYGFPAAPPPAAAPATRRCSITLIEEGGAGDEPIELKAGDEPSPRKAMAPFRLALPPPTPPAPAASALGFTASTSSLMIRNIPNKFRKERIIAILDQHCADENRNLRSRSRGVKSEYDFLYVPIDFRTRCNKGYAFVNMTTAAAALRLRGFLQDHRWDAAQCPKVCDVVPAAIQGRDAFVAHFSASWFPCHTKAFLPVWFDPPRDGVQQTKAHVVGRIVTRPRG >LPERR09G13370.1 pep chromosome:Lperr_V1.4:9:13553684:13554795:-1 gene:LPERR09G13370 transcript:LPERR09G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGSHHHQHHHHSRGGDNSNNPAIPPVHPSDAAASFLYATTRGATGSFQLWQQQEQQQHQPPFYASNIIRFADDAPPGPSLAVAGGGGASSSSSSRGMRSSGGGGAGGGISCQDCGNQAKKDCTHMRCRTCCKSRGFACATHVKSTWVPAAKRRERQQQLAALAASAAATAGAAGPSSRDPTKRPRARPSATTTTTSSGDQQMVTVAERFPREVSSEAVFRCVKLGTVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAAAAAGGGQEYHFRLTGDGSSPSTAGGAAGEAGSGGGGGGSIVVSSAVVMDPYPTPGPYGAFSAGAPFFHGHSRP >LPERR09G13380.1 pep chromosome:Lperr_V1.4:9:13564072:13572161:-1 gene:LPERR09G13380 transcript:LPERR09G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKQLPYYSTAGGGGGGGRRGGGGSGVVAPLVVLVFLFVLAPSVFLVARNGGHVHVASGSDPKDREGNQETDWQIQLPTNNLKSILSKEMIDALAANQQEAGALSVDFFRNRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHDLSLSDKTPKDGTGEHQVDTAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATTDSDLHHSAPEKIRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLENRKFPRSENLENPELYHYALFSDNVLATSVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKRKDITGIYHRWQTMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRTQHNRSLAEMVSLLREVARCGSQDHLFTIKCSAACRPALDYKGQADRLHKCVNIDCSEEMINRCFCCVAGDSDPEPPLAATSSRRSRRTNSARSSKSKAKPSVEYPWETYTLKELLQATGNFSDSNKLGEGGFGTVYWGRTSNGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGNPSSQHHVPLDWPRRVSIAAGAAQGLAYLHHEARPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELASARRPLEKLPGGVKREIVQWAAPLVERRKWDRLADPRLAGKFDAVQLRAMVETAMLCTQNDAESRPTMAGVVEMLRFGGGAGERRTKEIVPVAAAASSEEIITTTDGQDDVTAGSSEPLDRRNWKLTKLR >LPERR09G13390.1 pep chromosome:Lperr_V1.4:9:13576885:13578401:-1 gene:LPERR09G13390 transcript:LPERR09G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTMSLLPITQLRQQQQHRFHAPDSVVVLAARRRHRYVVPRARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDVTAKLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVRGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAEAPAEEEDAAAAVAAGAVAAAAEEAQMPAGEWPRRCPGQCDCCFPPYSLIPWPHQHDVAADGQPQQ >LPERR09G13400.1 pep chromosome:Lperr_V1.4:9:13590898:13591471:-1 gene:LPERR09G13400 transcript:LPERR09G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAQLCDTHCRARRRRRRLATALLAAAFTLLLHNPSERAALLYDGLACYASYRGEPVTPPARLPPVAQDRGADVAVTSPLLGGGGVPVSEDTARALEADCVARRVRLRLVVMGRVKYRSGPFRTGWRDLYVRCDVIFGLSTEADGGGGDVPLLEYPRCAVDA >LPERR09G13410.1 pep chromosome:Lperr_V1.4:9:13592791:13597093:-1 gene:LPERR09G13410 transcript:LPERR09G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERKVVDLEDGDGEEGEDFAAAGGSSRETRMLPKMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSNGGLDVQHTQQEDSLTERHEQKTGVTKAEHVTGNVVHKNMECSEQESDAQSSCTRSELEADSRHTNNLLEYKQPMGRHFSKPDHKNTEKNGGTKLQAINDGNLVPQREEDASPRRITCSNGVNCEKASRDMELVHIIDNQQKNSTHMEMDVARTNSNRNDDKCFSIPAHQLELSLRRSDYTRLENQEKSERRTLNHSTSSPFSLTASSSGNAGDAQACSTSAVENKNGDSTTPSQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAASLQQNGPKDTDPKQVENAEEQTAWSHQSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMMAPTESSNTVKVVPENSCSEGLRHLSQREAALNKFRLKRKDRFGTRAGSYSQSRDRGLRVSLFGKIRVSKGARVVDAASDCCTTVILMVTVRFCLKIESNRH >LPERR09G13420.1 pep chromosome:Lperr_V1.4:9:13602873:13611796:1 gene:LPERR09G13420 transcript:LPERR09G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPPPAAAISPARLHKLVTSQPDPLLALELVTVTAPTTAPHPSTLHALVLRLCRRRDHLPHALALLRRLPSPPSPRILLQILLSALRLRRPPHLFFSTFNSLFVSGPSPLPLNPHLLLRLLSVLSSTGSHFPCALHLLRVVSTSIPLPEPLVLAAHNLLIDAAARSGHIAVSVSLFHRLRSLHVSPNAETYRILTQSLCRKGQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQIREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVIADMRESECEPNVVTYTTVVNGLCVSGLYDKAEAYLDDMVRKGFVPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHGETWSSIIRSVCSDEDNVETKLSRIQKVTRDNSYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKIVQAGLIFTEEEVEYRVRNVLASVESSLSNASFAWGNPPEIVVWNASLYDYKNPRELSTSYNQFLKEKLPMNTPLAASCLPALNVEIETGILPTLEELKGFLKVSRTSEDYLVSLKSTSARSILKKALSQIKVKTGVASSDSDGGEDITSYYGTSGRKIQNSMFASESSTEVRGGTEVTLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISRRKVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYRLLALKSQVCIEGNYPTRIWRWKGHLIQYTSIGDSGPSVLLVHGFGASLQHFRDNIDGIADQGCRVWAITLLGFGKSEKPNINYSELLWSELLRDFTVDVVREPVHLVGNSIGGYICAITAALWPSIARSLVLLNTAGSVVPSYSFIPLSEEGHTSWLSRLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAATVIESIFSFNLSIPLNFLFDSFGGEILVVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETDA >LPERR09G13420.2 pep chromosome:Lperr_V1.4:9:13605542:13611795:1 gene:LPERR09G13420 transcript:LPERR09G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALRLGHHHGHLGLSAAPRDISRRRRRGVVVVASGAVRAPPPAVVWFKHDLRVDDHPGLAAAMAAEPRRPVVPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKIVQAGLIFTEEEVEYRVRNVLASVESSLSNASFAWGNPPEIVVWNASLYDYKNPRELSTSYNQFLKEKLPMNTPLAASCLPALNVEIETGILPTLEELKGFLKVSRTSEDYLVSLKSTSARSILKKALSQIKVKTGVASSDSDGGEDITSYYGTSGRKIQNSMFASESSTEVRGGTEVTLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISRRKVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYRLLALKSQVCIEGNYPTRIWRWKGHLIQYTSIGDSGPSVLLVHGFGASLQHFRDNIDGIADQGCRVWAITLLGFGKSEKPNINYSELLWSELLRDFTVDVVREPVHLVGNSIGGYICAITAALWPSIARSLVLLNTAGSVVPSYSFIPLSEEGHTSWLSRLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAATVIESIFSFNLSIPLNFLFDSFGGEILVVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETDA >LPERR09G13420.3 pep chromosome:Lperr_V1.4:9:13605542:13611796:1 gene:LPERR09G13420 transcript:LPERR09G13420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALRLGHHHGHLGLSAAPRDISRRRRRGVVVVASGAVRAPPPAVVWFKHDLRVDDHPGLAAAMAAEPRRPVVPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKIVQAGLIFTEEEVEYRVRNVLASVESSLSNASFAWGNPPEIVVWNASLYDYKNPRELSTSYNQFLKEKLPMNTPLAASCLPALNVEIETGILPTLEELKGFLKVSRTSEDYLVSLKSTSARSILKKALSQIKVKTGVASSDSDGGEDITSYYGTSGRKIQNSMFASESSTEVRGGTEVTLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISRRKVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYRLLALKSQVCIEGNYPTRIWRWKGHLIQYTSIGDSGPSVLLVHGFGASLQHFRDNIDGIADQGCRVWAITLLGFGKSEKPNINYSELLWSELLRDFTVDVVREPVHLVGNSIGGYICAITAALWPSIARSLVLLNTAGSVVPSYSFIPLSEEGHTSWLSRLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAATVIESIFSFNLSIPLNFLFDSFGGEILVVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETDA >LPERR09G13420.4 pep chromosome:Lperr_V1.4:9:13602873:13605749:1 gene:LPERR09G13420 transcript:LPERR09G13420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPPPAAAISPARLHKLVTSQPDPLLALELVTVTAPTTAPHPSTLHALVLRLCRRRDHLPHALALLRRLPSPPSPRILLQILLSALRLRRPPHLFFSTFNSLFVSGPSPLPLNPHLLLRLLSVLSSTGSHFPCALHLLRVVSTSIPLPEPLVLAAHNLLIDAAARSGHIAVSVSLFHRLRSLHVSPNAETYRILTQSLCRKGQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQIREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVIADMRESECEPNVVTYTTVVNGLCVSGLYDKAEAYLDDMVRKGFVPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHGETWSSIIRSVCSDEDNVETISSAPPVNRTALLQNLQHVSSCALGPTGHAAGAPSPQKNLHTHREISEQPSSATTSAMGVP >LPERR09G13440.1 pep chromosome:Lperr_V1.4:9:13624938:13631150:-1 gene:LPERR09G13440 transcript:LPERR09G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGPCRLLEEQPRGRSLAVAGGQGGTPSCEAVVIMETTSSGKHMAVWHWLDAYFRRSISNTVCRPSRNNGWLAVLPVNYSKSISNYRPEEGDGLTTQIVSVWLTRDLVSGCCGLSSLSGPGQVAVVQSMDTRRSELAALLLDGVDDATCAPRTLDAGCELQVKQRGSSIKEQYLGRPRSTAMQVVQTLWAQLSQRAGSVSGRVAITGD >LPERR09G13440.2 pep chromosome:Lperr_V1.4:9:13624940:13630536:-1 gene:LPERR09G13440 transcript:LPERR09G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGPCRLLEEQPRGRSLAVAGGQGGTPSCEAVVIMETTSSGKHMAVWHWLDAYFRRSISNTVCRPSRNNGWLAVLPVNYSKSISNYRPEEGDGLTTQIVSVWLTRDLVSGCCGLSSLSGPGQVAVVQSMDTRRSELAALLLDGVDDATCAPRTLDAGCELQVKQRGSSIKEQYLGRPRSTGAAMQVVQTLWAQLSQRAGSVSGRVAITGD >LPERR09G13450.1 pep chromosome:Lperr_V1.4:9:13632879:13648308:1 gene:LPERR09G13450 transcript:LPERR09G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSDPKPIRGVEEEEGGGVKHEAEAGKAAAAAVTTTQSPATMLHRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSNEDKHKISTKRRLGMFNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQIIAPVPVGFPATTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKVLSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFNKRMLVDKKDQPYKRALLFVDNSGADVVLGMIPLARELLRHGTEVVLVANSLPALNDVTANELPEIVAEAAKHCGILRKAAVAGGLIVDAMAGIQEDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVP >LPERR09G13460.1 pep chromosome:Lperr_V1.4:9:13649054:13650662:-1 gene:LPERR09G13460 transcript:LPERR09G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGHHYSCLTPHSLVDGKDVLPSVVLERRVLAVHELGDWKMRQTEILRCDRITKYGLPDELMEWVVRIGAQVVAPPAHSTMFIIMSRVEQEAMGGLVRAELLATNEHIIVMSVSFPMCRSSSRFYLVYDASDASICMAPDLQSAYPNRKVIPAVTIRPLPVRRDVRHYSIVLLGRAIPDGKGGPRQDCVCLWPPPDSSPSSSTCAPKWGIKPAIFPKEVAKRGFTANELFVLNGMAMWADLQHGILVCRLGDLLESDRHNVQLIFVDLPPGCCNDGVGTRPIFESSPVEEYRSIACVSGTIKFVSIEGYLRKTNVDLQDRKVTMWSLTPESWVWSKECDLRVGDIWEQAYSSLPLEHKSSDGTATPMPWVLEFDWQQQEHDKWRKCRQRHSFC >LPERR09G13470.1 pep chromosome:Lperr_V1.4:9:13652607:13656544:-1 gene:LPERR09G13470 transcript:LPERR09G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEQHQLAPEETKRAVQTESLWRNGTCSKSIENLYLDFLEFKERMEVAEGESIGAAVGKVLMSKNCEVSDYYLMYGTRIVGDETILPPSIHGGTLSLRPRIRGGRDQLLVRKHLPLRLKHGNPNYDEFITELSPIGRRSVRLLFELLERMHVAGKCLDGDFTLDDIVYIESFNRLSFKAGVKLVNFYPGGYMKDMISIALILQEYFCYTHPDGSRRFPIYMQSLIDFIVSGMDNWGWELRKRRSFIFNHCCFMTSTERAMLICSLREFIKGLDEYSLVELKYAFVDTDVWTTDMKATNQTLKVLNYTRRNPNTGRVEVVKNYLERCPLSKLDYVRCFIIHTIKGGKVTMEEAENFFAILDSKFLPEMLLGLLHEYNQTEAAKEKMDIDNILGWRTMFEHRIVDEDEDYYAARIVQVPLL >LPERR09G13480.1 pep chromosome:Lperr_V1.4:9:13663199:13667248:1 gene:LPERR09G13480 transcript:LPERR09G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHLLFLLLLVAAVEGRKEKSGGGGGWGLRFRSGSGTFKVVQVADMHYADGRRTGCLDVEVAAGCSDLNTTAFLYRLFRAEDPDLVVFTGDNIFGADATDAAKSMDAAIAPAIDTKLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYIKEQPKQKEPAPGLVYFHIPLPEFNSFTSTNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGVGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDAHLTTIDSEVLWNRGSNGRRKKDPDDR >LPERR09G13480.2 pep chromosome:Lperr_V1.4:9:13663199:13667248:1 gene:LPERR09G13480 transcript:LPERR09G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHLLFLLLLVAAVEGRKEKSGGGGGWGLRFRSGSGTFKVVQVADMHYADGRRTGCLDVEVAAGCSDLNTTAFLYRLFRAEDPDLVVFTGRRKKDPDDR >LPERR09G13490.1 pep chromosome:Lperr_V1.4:9:13668097:13675111:-1 gene:LPERR09G13490 transcript:LPERR09G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREDKGLIGVLPVHDSEAVGSLLSPGVGSDSGEGGSKATGGSGGESTKQDTKNGKETIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELELAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVKLIIEQYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCSLRPHSKL >LPERR09G13500.1 pep chromosome:Lperr_V1.4:9:13681025:13686963:-1 gene:LPERR09G13500 transcript:LPERR09G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFRKRRRSSSSKSNKGGSARTTTATTASAVSTSRSDDSGAVRPVSKSAGSTSTTSSGARSITSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRAATDGAGAGGKGQGDRVAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYAPLSWNRRLQIILGAAEGLAYLHEGLEVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVSQFAPDSRNFRMIMDPRLRGEYSVKAAREIAKLAESCLLNNAKERPNMSDVVDVLRRAVQSQQPDSPSSGGGGSGKGKSRVDVAPPPPTRRRHPRPRVGSLHGPISGLTNHAGRSAARMLEVEGPMASAVRTIDSSSPQGEVREATRVESWRRRDDLPRLVAAVIDGGHLGGAAAAGISGFFLSGGKEKFQDYLCREGKRLRNQDKALMR >LPERR09G13510.1 pep chromosome:Lperr_V1.4:9:13694003:13695252:1 gene:LPERR09G13510 transcript:LPERR09G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWICSSRCPKPSATLDLSHHHHLPVGVGLLCPSFPSKPHHQTIVTSSPLELVFITSQLLVKAGIIIIIVTSSLSELSSPPSPAPRRSRSSPPSPAPCRSRSSSLSPAPCRSRSTSSPTPAKAGPLHQHHLLACAAHRHRHLQAWIFFKCLVNLVFNFKYNVIA >LPERR09G13520.1 pep chromosome:Lperr_V1.4:9:13694801:13695025:-1 gene:LPERR09G13520 transcript:LPERR09G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDDDDEQHRLGDGVGEEDRLWQGLTGSDRELVTVTKTGSDRELVTVVKTGSDEELVTVVKTAPTGSW >LPERR09G13530.1 pep chromosome:Lperr_V1.4:9:13695306:13706787:-1 gene:LPERR09G13530 transcript:LPERR09G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEPSAASAAAASTSLNSDDAFFDALDSLPSPPPPPPPHTPSSSTLRRRPRRGRSLKQKDATPSLSDSSTITVVDEPVKPDSSEGTSSRRPPPPPDEDAAAVAADEEEEVDEVEARDAKLIPAETPAPAPGILESLAVLVIKAVVFQVGALISILTFPIRLLQWWFLFVTDPLGLARRAREWAVGVAGQATGAVAARLGGGEGVGRVAARLAWGSLWAVYVCVVLCSILVLAFLAGGLLVGKIVEEPIQVTETLNFDYTKPSPVAFVPVQRFVQPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSANDKVISASSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWISMGILDIKQLRAYVKVVAGGASVGQSPGVTTTRSGQMGIGNIASSDGAHGLVVSVSGNKASDTINDFKRAETLHYQRSQLQDTWRSIPNFVGIVSGLAQKSNAHITIDPSSFHPARAGPSHLLSVLDSTPHLTTTPSLPLTASGPTTQSNPTTPPDRDPRLPPHSYYTYTRPCTHPNLAATNPAQAKPTSPSTAVVEEEAVGSPRRAEQRRGERTPPPQSRNPPAARAGEVRKRGECEMGSKTKGCCGWLIVALVASLVATAAVVAIMKRKPGGGRTHKLKPLPVPGPPGAVDSKYGDALGVALQFFDVQKAGKLENNQIPWRGDSALDDGKQAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLINAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINQKSPGSDIAAEAAAAMASASMVFKSRDTTYSDSLLQHAQKLFTFADTYRGLASDSYPKLQNYYNSTGFTDELLWAASWLYHATGDQTYLSYVTVQNGQAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKNYRDTAEAVICGLLPDSPSATGSRTGGGLVWISPWNSLQHATNAAFLAVVYSDYMLSSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSNYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNALQTESSTYNSGTLVGLLSGLVTTSSVAQLILGYAYPAYDCYKTLELNNPQIDQLRFWCQYW >LPERR09G13530.2 pep chromosome:Lperr_V1.4:9:13701671:13706787:-1 gene:LPERR09G13530 transcript:LPERR09G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEPSAASAAAASTSLNSDDAFFDALDSLPSPPPPPPPHTPSSSTLRRRPRRGRSLKQKDATPSLSDSSTITVVDEPVKPDSSEGTSSRRPPPPPDEDAAAVAADEEEEVDEVEARDAKLIPAETPAPAPGILESLAVLVIKAVVFQVGALISILTFPIRLLQWWFLFVTDPLGLARRAREWAVGVAGQATGAVAARLGGGEGVGRVAARLAWGSLWAVYVCVVLCSILVLAFLAGGLLVGKIVEEPIQVTETLNFDYTKPSPVAFVPVQRFVQPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSANDKVISASSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWISMGILDIKQLRAYVKVVAGGASVGQSPGVTTTRSGQMGIGNIASSDGAHGLVVSVSGNKASDTINDFKRAETLHYQRSQLQDTWRSIPNFVGIVSGLAQKSNAHIVIQIN >LPERR09G13530.3 pep chromosome:Lperr_V1.4:9:13696905:13700624:-1 gene:LPERR09G13530 transcript:LPERR09G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKRKPGGGRTHKLKPLPVPGPPGAVDSKYGDALGVALQFFDVQKAGKLENNQIPWRGDSALDDGKQAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLINAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINQKSPGSDIAAEAAAAMASASMVFKSRDTTYSDSLLQHAQKLFTFADTYRGLASDSYPKLQNYYNSTGFTDELLWAASWLYHATGDQTYLSYVTVQNGQAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKNYRDTAEAVICGLLPDSPSATGSRTGGGLVWISPWNSLQHATNAAFLAVVYSDYMLSSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSNYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNALQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >LPERR09G13540.1 pep chromosome:Lperr_V1.4:9:13712376:13719631:1 gene:LPERR09G13540 transcript:LPERR09G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDTKVLLQNDISCDYYKYRAKNSPSARASPLIPKRQTAIRNPSSRRRHQNAHAPASTPSGPPQRGEREKNRGEKKNEGENPPVFSDRRRGRGGGGGGGEMRGTKRPLGAVMAWMRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTLLDTATLAATLFVIYMIRFKLRPTYMVDKDNFALYCVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >LPERR09G13550.1 pep chromosome:Lperr_V1.4:9:13719569:13719916:-1 gene:LPERR09G13550 transcript:LPERR09G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKEVKTAIPIQFSGIDHHERQQHRGRDRGGVHGGSNAAGVEAEAASDWGLGEGDVDMIAAAIAKTLKPCDSSGLSWPSFVLYVLFLCVAAWLAFSFAKTKGGALELEYACAA >LPERR09G13560.1 pep chromosome:Lperr_V1.4:9:13721355:13726024:1 gene:LPERR09G13560 transcript:LPERR09G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >LPERR09G13560.2 pep chromosome:Lperr_V1.4:9:13721304:13726024:1 gene:LPERR09G13560 transcript:LPERR09G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >LPERR09G13570.1 pep chromosome:Lperr_V1.4:9:13725780:13728791:-1 gene:LPERR09G13570 transcript:LPERR09G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSRLADLSRRAAAVGGGVAVTAPAPQQLRVGGISRRCRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSNLVTELNAATLEPDVDVVVAPPFIYIDQVKSSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASSIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >LPERR09G13580.1 pep chromosome:Lperr_V1.4:9:13730518:13733742:1 gene:LPERR09G13580 transcript:LPERR09G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKGSGAAAGDKPGADANPATNPAPTPAVPAAFAAATGGGDGDAAAAAAAAAAEAEGRRPFTALSQVDADLALARVLQEQERAYMMLRMGGGIGEGGDYGSSDGGSYEYDDEAEDYEEEELEHHLRVHHHEHPVGEGGRNGEREGEGAEGSEYEEEGFEEEDDEDEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGFSDWRAVEPEEEHVHDPQELVALGEVVGTENRGLSADTLASLPLVTYKAQAVEDGNTEQCVICRVEFEDGDSLVALSCKHSYHPECINQWLQINKVCPMCSAEVSTSDNKQA >LPERR09G13590.1 pep chromosome:Lperr_V1.4:9:13741465:13746641:1 gene:LPERR09G13590 transcript:LPERR09G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAILLLLMPLAAGAISVSPSYISRTNQQQIIATVAPAVADDAGQSALPFLTSPSGVYAAYLRRAADGSAAGAAACYVQIEQADAAGVDGGVLWESECTLVGGADACELAFSPVGLELFAGGHSLWDTGIDAEPATLSLDDGGDMRIVSQDGVTAWRATGEPWSGQMCGAAVPDSSSPPPLMTTTTTGSAKLLTPPASSSTLAAGDLSFGDQIAPPADTLPTSPDQPPADTMPNQPLLSPPPPPPQPATDATPFSPELPMPPPPVDTYPVSPPDQPLYSSPPPMAFVPHTPLAPIDVPPLSPPSSAGALPPAPAGVVPHQHVPPPHHLPLGASPAPAADAMAPSGGHGAAFGQGQQQQGAFGQHQVLNGGEQPLDESSGERPRMVDTAIAGLVGVLIALCF >LPERR09G13590.2 pep chromosome:Lperr_V1.4:9:13745136:13746823:1 gene:LPERR09G13590 transcript:LPERR09G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAILLLLMPLAAGAISVSPSYISRTNQQQIIATVAPAVADDAGQSALPFLTSPSGVYAAYLRRAADGSAAGAAACYVQIEQADAAGVDGGVLWESECTLVGGADACELAFSPVGLELFAGGHSLWDTGIDAEPATLSLDDGGDMRIVSQDGVTAWRATGEPWSGQMCGAAVPDSSSPPPLMTTTTTGSAKLLTPPASSSTLAAGDLSFGDQIAPPADTLPTSPDQPPADTMPNQPLLSPPPPPPQPATDATPFSPELPMPPPPVDTYPVSPPDQPLYSSPPPMAFVPHTPLAPIDVPPLSPPSSAGALPPAPAGVVPHQHVPPPHHLPLGASPAPAADAMAPSGGHGAAFGQGQQQQGAFGQHQVLNGGEQPLDESSGERPRMVDTAIAGLAEHTVYVEIFVCLVFLEIFLVQAKNEATGD >LPERR09G13600.1 pep chromosome:Lperr_V1.4:9:13747160:13747717:-1 gene:LPERR09G13600 transcript:LPERR09G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCGGRRLLGGVAPPPPVVSARHSMDRAQRAHIERALGVTTTVLFVASLSYIVLTALYACFCDGATRRRRDEPDGAGAEAAEETKRALDGIPVHVVVIQTPHDGGECAVCLAEYAGGEEVRVLPACGHGFHRECVDRWLLTRAPTCPVCRSAVVARVETPDDDAKEDFGDGGGGRSRVLPAILP >LPERR09G13610.1 pep chromosome:Lperr_V1.4:9:13749702:13750974:-1 gene:LPERR09G13610 transcript:LPERR09G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSNTDDSGNKKTKPLEAMGAFFSSQVNRRKLVTTEKHALATRLSAAGENFPGSDHHPTDRKNWMAELGPDKVRINQVVWPGTHDSATDRIGIPFVTRPFAQCQSMSVYDQLAVAGARAVDVRVQEDRRVCHGVLASYSVDVVLADVKRFLAETVSEVIILEPRKSPAPKPGELLWSAGYLRDNWIDTDLPETKFESNIKFLGEQPPVSQRKFFYRVENTVTPQADNPVLCVKPVTRRIHGFARLFIAEVFAKGLGDRLQVFSTDFIDGDFVDACAGVTKARVDGAA >LPERR09G13620.1 pep chromosome:Lperr_V1.4:9:13751796:13757501:-1 gene:LPERR09G13620 transcript:LPERR09G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLEALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSTKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGNFVLVTPVEEEDLGEVTTEDIIKVDNEGRCVITDHGHFVLFNIYGPAVQEDDKERLRFKLLFYKILQRRWEHMLALGKRVFVVGDLNIAPASVDRCDAPPGFEKQMFREWLRSMLRENGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVEDHSIFSCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYLVLNEIPQLPAHNTPSTAARYLPEIRGWQQSIVSFLRKGIVYEHKDDTDLNMSMCRADESYCDDGLESKVIYNEPQTAIAKSSEGSNLYSVIKRKNLDQLLNEGSSSSSHNITPTLLPTQSRKASFSSSKAVSNKKSKHNLSSQPTIKSFFQQPKSKPGDGNSNSLVTSQDAFCGTDELHGPKNDCLPESIQCTTSATEDQGNLNVPCSLSTDKYNDTTLEWQRIQRRMKMTLPLCKGHREPCIPRSVKKGSNTGRLFYVCAHAQGPASNPEANCGHFQWATVKSKEKRRQLTKAT >LPERR09G13630.1 pep chromosome:Lperr_V1.4:9:13761851:13763797:1 gene:LPERR09G13630 transcript:LPERR09G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAHTFRQDCMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSTGDLSWLLRVSSSGATDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGGGAAALIPDLMDAGEHGGGTRHPNGQLFQSKNEMHNLVQSTMVAKPNGSSGKFVGSGNGGVVASKQHNVSLSGTATRGREFEDPETKAYMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLHVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIAPLVKLLDEREADVSREAALSLTKFACTENYLHVDHSKAIISAGGAKHLVQLVYFSEQVVQLPALTLVCYIAHNVPDSEELAQAEILTVLEWASKQSFMMQDPLIESLLPEAKIRLELYQSRGAKGYH >LPERR09G13640.1 pep chromosome:Lperr_V1.4:9:13767608:13787932:1 gene:LPERR09G13640 transcript:LPERR09G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCYLLAATLLLTSISRALCATFTLTNSCTYTVWPGLLSSAGSPPLATTGFALAPGESRAVDAPPSWSGRIWARTLCATDASTNRFSCATGDCGSGAVAPATLAEFTLNGAGGNDFYDVSLVDGYNLPMVVAPQGGGDGGGAATCGATGCLVDLNAACPGELRVAAGGGGGGGAIACRSACEAFGTAEYCCSGEYGTPATCRPSAYSQFFKNACPRAYSYAYDDATSEFIAPGAKMASSTATTSLILIILSFFQGLADGVTFTFTNRCRGTVWPGILSNSGSSSLGTTGFALAAGETKSLTAPSGWSGRFWARTGCNFDPSGKGTCATGDCGSGEVECHGAGAAPPATLIEFTLDGSGGKDYYDVSLVDGYNLPVVVESASPSAKCPVTGCVVNLNERCPAELRAGLDGQACRSACEAFGTPEYCCSGEYGNPDTCHPSVYSQMFKTACPRSYSYAYDDATSTFTCSGTDYSITFCPRPGNPNSQKSSNDPSPRPKDPQLEDDSWLASLATGEVDGASMASTSLLLQATLAVAVMALLVLH >LPERR09G13640.2 pep chromosome:Lperr_V1.4:9:13767608:13787932:1 gene:LPERR09G13640 transcript:LPERR09G13640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCYLLAATLLLTSISRALCATFTLTNSCTYTVWPGLLSSAGSPPLATTGFALAPGESRAVDAPPSWSGRIWARTLCATDASTNRFSCATGDCGSGAVAPATLAEFTLNGAGGNDFYDVSLVDGYNLPMVVAPQGGGDGGGAATCGATGCLVDLNAACPGELRVAAGGGGGGGAIACRSACEAFGTAEYCCSGEYGTPATCRPSAYSQFFKNACPRAYSYAYDDATQKSSNDPSPRPKDPQLEDDSWLASLATGEVDGASMASTSLLLQATLAVAVMALLVLH >LPERR09G13650.1 pep chromosome:Lperr_V1.4:9:13799782:13802663:-1 gene:LPERR09G13650 transcript:LPERR09G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALEAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWLVVSRTVAPFPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPARFLVMLAVVPAAVCAVAMVFLREGEGDGAGNEEEEEDGRCFAAINTLAVAIALYLLFADLTGVGGGGGVVSAVFVAVLLVLLASPAVVPAHVAWKSWMKARKLENADVEESASAPLLLAKETAAAAARKESAAAESRGPGEKPVLGEEHTIGEALMSVDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKSRAIPRPLWNAASQILMAMGYIVMALGMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCFRTKRVYAKIHESKKQSRSAVVQRVS >LPERR09G13660.1 pep chromosome:Lperr_V1.4:9:13812210:13814899:1 gene:LPERR09G13660 transcript:LPERR09G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVAVAFLVCAALYIAFSAYSRRESLAEVQLPTVTNRVYLDVEIDGQHIENFRSLCTGEKGIGPKDISLHYKGTKFHRVIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFIVKHTHPGVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEM >LPERR09G13670.1 pep chromosome:Lperr_V1.4:9:13817303:13819367:-1 gene:LPERR09G13670 transcript:LPERR09G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTLLLCLILGLLVASGPAKTANADSAFDFYYLILMWPGAYCSDSEYGCCVPKYGYPAEDFFVQSFMTFDSAENKAVVRCNSDKPFDLNKLDSIENNLNHYWTNIKCPRTDGTNTWKSAWNSYGVCSGLKEVDYFKTGLQLRQSADVLSALAEQGIKPDFQLYNTEFIKWAVSQKLGVTPGVQCRDGPYGKQQLYQIYLCVDTDGKSFIDCPVLPSLHCPSQVLFHPFHTWMLNSTSAANIVMPTDETVLG >LPERR09G13680.1 pep chromosome:Lperr_V1.4:9:13828605:13830927:-1 gene:LPERR09G13680 transcript:LPERR09G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVALVCLLGLLVAASPASIAADKIFYQIAFMWPGAYCAQTKSGCCMPKTDVVPAGDFYVAGFTVYDAATNYAETGCNDIPFNINQLGDTTKLMQYWNNIKCPSTTGQKSWKNAWETSGVCSNLTESVYFETALALRDKINPLSRLPDFGLYSVEKIKKTIQKGTGTTPLIQCSKGPFNKFQLYQIFVCVAEDTKTFIECPPPKKPYTCSDEILFHPFKKWMLNTTTKSYAAKAIDQFIEMTLEI >LPERR09G13690.1 pep chromosome:Lperr_V1.4:9:13832584:13834864:1 gene:LPERR09G13690 transcript:LPERR09G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRQLEEAEIDEYVAEIDAEKAAAEAAKKGAPKET >LPERR09G13710.1 pep chromosome:Lperr_V1.4:9:13854655:13856945:1 gene:LPERR09G13710 transcript:LPERR09G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHPLLTSAAAAAAASSVLASPTTMMQQQQQETTWHAAAAAGMGLRPTKSAPCSPIKPAAAMAPAAAAMLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGLAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGLARGAGGDLLDKSWLFDALMLGSSSSIWQPQPCIDHMVPPPPLPPRDQFADENAGNKKASAAAVAAVANSLRVDAQPFYSLRMPPLGTKPQNVQPPQPQVHDPMGNLKRTRSGNAMDKAAAMTAAAEKEAAINNDENSNNGRRKSLTAEERWPELPDHSAFDEALVAAVLAPVLDEENSGNCKPAAAPAPASCETSPAMKEKIGKRLRIFQDITQTVNTF >LPERR09G13720.1 pep chromosome:Lperr_V1.4:9:13859315:13860787:-1 gene:LPERR09G13720 transcript:LPERR09G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTLFLNLMHSVRDGCGVADLPWAAAATASIRPYELNSHRRLHCKKILHGPCNEYQWWEFHTYAIDDFSVEGSTPKSSKMSGLFFLIVAICI >LPERR09G13730.1 pep chromosome:Lperr_V1.4:9:13864446:13868303:1 gene:LPERR09G13730 transcript:LPERR09G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCQSRVERQEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRSTGAALLQFASAESDHPHPSVPHHHXXXXXXXXXXPPPPLPMPSSWDFWDPFAPSSSRSGGGGGGGGGGDDTDWDDAATTIIDGPISGAAPVVVTVSAAAPAPSVVTTTSTASELTVVAVPRGGGTGKKDLAEIATELDEYFLKAADAGARVAALLEAPNCEPPETNHSFPGKVLNYGKNLRPMGWSWGGGGGYGKSSNGFSRFGRGDEGMGTGGGGGILSHSSTVEKLYAWEKKLFLEVKSYEGFKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHSKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKMEELRSLESKYGPYSGAEGYGEMTRKSPVSDKRAKVEVLRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRIIDSKRLLT >LPERR09G13730.2 pep chromosome:Lperr_V1.4:9:13864446:13868630:1 gene:LPERR09G13730 transcript:LPERR09G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCQSRVERQEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRSTGAALLQFASAESDHPHPSVPHHHXXXXXXXXXXPPPPLPMPSSWDFWDPFAPSSSRSGGGGGGGGGGDDTDWDDAATTIIDGPISGAAPVVVTVSAAAPAPSVVTTTSTASELTVVAVPRGGGTGKKDLAEIATELDEYFLKAADAGARVAALLEAPNCEPPETNHSFPGKVLNYGKNLRPMGWSWGGGGGYGKSSNGFSRFGRGDEGMGTGGGGGILSHSSTVEKLYAWEKKLFLEVKSYEGFKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHSKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKMEELRSLESKYGPYSGAEGYGEMTRKSPVSDKRAKVEVLRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRIIDSKRLLT >LPERR09G13740.1 pep chromosome:Lperr_V1.4:9:13868509:13874901:-1 gene:LPERR09G13740 transcript:LPERR09G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSRATKEKDTLMKAFDMNPRMDNTSRATKKKNKASMTNTTVAPLYLEKLTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDNSVESRLDRRCTFVALGGGVIGDMRGFAAAAFLRGVDSSVGGKTGIDHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGITEVMSAGIMNWMLAEIKAPQLGPFDELEKPVWMRMPEEVTKRDYTDLYKKLSGDDEELMGVKHFSLEGLPDSKAVLFVPRRPSMRAPIDIFETWKQLKVIVATICYQHGSAFIKGVVECKDNSVHFSSELAQRDKILLSGISNQNNTLNIIRKNLVKKCFDLFEISEDKEKYKVFYGAFYGKLKYHSNKSGDELTSLNDYVIRMKEGQKEIYYIMGESNKLLKTEGYEVLYTVDAIDEYVVGQLKEFTGKKFVSVTKEGVKLDEKMHEVIKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDTPCCLVTDFESKNGQHLQSYQKEEQGLGFIHSE >LPERR09G13740.2 pep chromosome:Lperr_V1.4:9:13868509:13874901:-1 gene:LPERR09G13740 transcript:LPERR09G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSRATKEKDTLMKAFDMNPRMDNTSRATKKKNKASMTNTTVAPLYLEKLTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDNSVESRLDRRCTFVALGGGVIGDMRGFAAAAFLRGVDSSVGGKTGIDHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGITEVLAEIKAPQLGPFDELEKPVWMRMPEEVTKRDYTDLYKKLSGDDEELMGVKHFSLEGLPDSKAVLFVPRRPSMRAPIDIFETWKQLKVIVATICYQHGSAFIKGVVECKDNSVHFSSELAQRDKILLSGISNQNNTLNIIRKNLVKKCFDLFEISEDKEKYKVFYGAFYGKLKYHSNKSGDELTSLNDYVIRMKEGQKEIYYIMGESNKLLKTEGYEVLYTVDAIDEYVVGQLKEFTGKKFVSVTKEGVKLDEKMHEVIKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDTPCCLVTDFESKNGQHLQSYQKEEQGLGFIHSE >LPERR09G13750.1 pep chromosome:Lperr_V1.4:9:13875402:13879287:-1 gene:LPERR09G13750 transcript:LPERR09G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTVLGFSGFGFGFSAGIVIGYYLFIYFQPTDVKDVKVRPLLEYDSVSLDAILPEIPLWVKNPDYDRIDWLNRFVEMMWPYLNKAICRTALEIAKPIIEENRQKYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKASVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPPDETKVTTLNLLKTMDPNDIQNEKSRGQLTLEVTYKPFKEEDMEKEGIDSADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >LPERR09G13760.1 pep chromosome:Lperr_V1.4:9:13879302:13881166:-1 gene:LPERR09G13760 transcript:LPERR09G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHVGVTKIIQCTGEAATALSRLPNDPPPWIAAANNVPPSFPTSGHHKSHSIPLPLRLRLEESDSENSANFFSSPPSPPPRSGSARVPWPESRSGRHGLLLTLVESCYLE >LPERR09G13770.1 pep chromosome:Lperr_V1.4:9:13884985:13885428:1 gene:LPERR09G13770 transcript:LPERR09G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFKSLSSSATKPPSFMVSKAPPFPQPPATPPLLPLPARVHAPPQHAAWPPASLPVAFPAQGASAPIPVAAAPSKKGAAVDWTAATDSLYSVSPPPSCVPMPTSLLLGVAAGRKAPTACAVEVAGGGGGGVDVGATDELRRLLRL >LPERR09G13780.1 pep chromosome:Lperr_V1.4:9:13886373:13889555:-1 gene:LPERR09G13780 transcript:LPERR09G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSSSLLAASASSSCAAVSTRRARANPAASASLPSPSRAPSPPLRASAARALRSRVVASAAPAMQPPAASRVSTVVEVDLGDRSYPIYIGAGLLNEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGVRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESLKKRVIDILEQAKVPITPPETMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRNALDETLRAFCDS >LPERR09G13790.1 pep chromosome:Lperr_V1.4:9:13890684:13893616:1 gene:LPERR09G13790 transcript:LPERR09G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGDDLDLLLSLDADGAEAVLETPPSSPRRPPAAAAADGATALTPPRFVRSGATDMSVFRDAVKDYLDAVPTPAVAGGGGGGAKGKTKPPKSTETIVDAYSGLRIRGLTASPLEISNRFADIRFVRISAIKNLAGGDSFSGCWATAGVVLDKGAGTPRVSAQGKEYSIWKMGALDDSEVSVFLFGDAHAHYSGAAVGAVFALFNGNVRMGNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCSMAINKRKGSYCKFHSSKTSQKYSTGRVELKGGNFKFASKLHSEGIYMVNPPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVTGNVESNLSSNGSTNPQTSKFSSNKRATSSSTKPIPKPGLHNKEQDTKKMKMTCPPKKTIELDDVSSDDEISIVLRR >LPERR09G13800.1 pep chromosome:Lperr_V1.4:9:13894555:13900543:-1 gene:LPERR09G13800 transcript:LPERR09G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNVVTLRSNDSEVFEVAKAVAMQSRTIKHMVEDKCADSEIPLHNVSAKILYKDELRAFDAKFVKVDQDTLFDLILVNVVSLRSNDGEVFKVAKVVAMQSQTIKHMVEDKCADSEIPLHNVSAKILCKVIEYCKNHAEVHGGAAAGATTVAVGAAKSVQDELRAFDAEFIKVDQDTLFELILAANYLDIKGLLHLTCETVAGMIKGKTPDEIRTTFNIKNDFTEDEEEDVRKEKMWAFE >LPERR09G13810.1 pep chromosome:Lperr_V1.4:9:13902599:13903156:-1 gene:LPERR09G13810 transcript:LPERR09G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGMKKAARREEEVFEAALAAAAAALLVSGVKKLMPAVLLAPPRWWSTAAAPSPVLFLLLNVIIASIVVVSVQPRRATAPPPPPSAVVDDSARKVKRGRRSKRRESTAAAPENVVADDGCCLALAVVKDGGSSEVEMEEDGNAAAEEVNKRAEEFISAFRRHLRVDSFSSSGSRRGERAEACF >LPERR09G13820.1 pep chromosome:Lperr_V1.4:9:13904709:13907245:-1 gene:LPERR09G13820 transcript:LPERR09G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITTGSAVSFARPAKASSVSSVSFAGLRKDNVAFPLQPATQRFTVLRAAKQETVDQVCNIVKKQLVLAEGTEVTGSSKFTDLGADSLDTVEIVMGLEEAFDISVEESSAQSIATVEDAAELIDKLVAAK >LPERR09G13830.1 pep chromosome:Lperr_V1.4:9:13912146:13917453:-1 gene:LPERR09G13830 transcript:LPERR09G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESYFLKIFGDRDLPDVKPPAQLASMEHSIVSLLLLLLLCVSPWQVTAPAPAPANGTDGGGGGRPRVPAVLVFGDSIVDTGNNNAVMTLTRSDFRPYGKDLNGGVPTGRFSNGRVPPDLLASRLGLKDLVPPYLGTDIADEDLLTGVSFGSGGTGYDPLTSTLVAVLPMQEELNMFAEYKQKLVEIAGDAAAARIVSESLFVVCAGTDDIANNYFLSPVRPLQYDISSYVDFLTQLAYDFIKQLHRQGARRIAVLGMPPIGCVPSQRSTAGAGDGVSDCDEARNRAARLFNAKLEQEITCLKKTLQLQTIGYVDIYGILDDMIADPGKYGFDVSTRGCCGAGMFEVTLLCNEVTATTFPATADAAGRRGTPGFVFEVVTLFNEIATELCVYIEYLTTSILPPSHVLACLLP >LPERR09G13830.2 pep chromosome:Lperr_V1.4:9:13912997:13917453:-1 gene:LPERR09G13830 transcript:LPERR09G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESYFLKIFGDRDLPDVKPPAQLASMEHSIVSLLLLLLLCVSPWQVTAPAPAPANGTDGGGGGRPRVPAVLVFGDSIVDTGNNNAVMTLTRSDFRPYGKDLNGGVPTGRFSNGRVPPDLLASRLGLKDLVPPYLGTDIADEDLLTGVSFGSGGTGYDPLTSTLVAVLPMQEELNMFAEYKQKLVEIAGDAAAARIVSESLFVVCAGTDDIANNYFLSPVRPLQYDISSYVDFLTQLAYDFIKQLHRQGARRIAVLGMPPIGCVPSQRSTAGAGDGVSDCDEARNRAARLFNAKLEQEITCLKKTLQLQTIGYVDIYGILDDMIADPGKYGFDVSTRGCCGAGMFEVTLLCNEVTATTCADDRKYVFWDSFHPTERAYSVIVDYLYPRYVEKLL >LPERR09G13830.3 pep chromosome:Lperr_V1.4:9:13912995:13917453:-1 gene:LPERR09G13830 transcript:LPERR09G13830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTESYFLKIFGDRDLPDVKPPAQLASMEHSIVSLLLLLLLCVSPWQVTAPAPAPANGTDGGGGGRPRVPAVLVFGDSIVDTGNNNAVMTLTRSDFRPYGKDLNGGVPTGRFSNGRVPPDLLASRLGLKDLVPPYLGTDIADEDLLTGVSFGSGGTGYDPLTSTLVAVLPMQEELNMFAEYKQKLVEIAGDAAAARIVSESLFVVCAGTDDIANNYFLSPVRPLQYDISSYVDFLTQLAYDFIKQLHRQGARRIAVLGMPPIGCVPSQRSTAGAGDGVSDCDEARNRAARLFNAKLEQEITCLKKTLQLQTIGYVDIYGILDDMIADPGKYGFDVSTRGCCGAGMFEVTLLCNEVTATTCADDRKYVFWDSFHPTERAYSVIVDYLYPRYVEKLL >LPERR09G13830.4 pep chromosome:Lperr_V1.4:9:13912997:13914849:-1 gene:LPERR09G13830 transcript:LPERR09G13830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSIVSLLLLLLLCVSPWQVTAPAPAPANGTDGGGGGRPRVPAVLVFGDSIVDTGNNNAVMTLTRSDFRPYGKDLNGGVPTGRFSNGRVPPDLLASRLGLKDLVPPYLGTDIADEDLLTGVSFGSGGTGYDPLTSTLVAVLPMQEELNMFAEYKQKLVEIAGDAAAARIVSESLFVVCAGTDDIANNYFLSPVRPLQYDISSYVDFLTQLAYDFIKQLHRQGARRIAVLGMPPIGCVPSQRSTAGAGDGVSDCDEARNRAARLFNAKLEQEITCLKKTLQLQTIGYVDIYGILDDMIADPGKYGFDVSTRGCCGAGMFEVTLLCNEVTATTCADDRKYVFWDSFHPTERAYSVIVDYLYPRYVEKLL >LPERR09G13840.1 pep chromosome:Lperr_V1.4:9:13917665:13918912:-1 gene:LPERR09G13840 transcript:LPERR09G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHHHPSPARLGLTASSPSLPPNPSAAAVVNPTSSPPLQGNPSGSAAAGGASAAAAPTLTTSPSLLPLLPPLPRAQSLLQLISALASNLFELSPNRAAWISAYRGSLPTFLPSSAAPPPLPAPISSTKEAMALLNTLQTQLFEAVAELQETLDLQDARARLARDARAKDASILAFAKKLREAHHVLDRLVDDYSDYRRDPKRPRGAAVPEPVSDGDFGASLHSKLDLDDVLTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKSQEAKEGLTAEGEITPLFEPTPPRVELPPPRPSMLPNTVRMELPPNIPLPPPGWKPGDPITLLPDSIMAGLKGEEPRASLPHMPAIVPAMVPKAQEPIQVQHVALDIDIDNTSSDEYSSDVATSEEDDED >LPERR09G13850.1 pep chromosome:Lperr_V1.4:9:13919135:13923441:1 gene:LPERR09G13850 transcript:LPERR09G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSPASPSPPPRVAISAVEMEEYENWKKNAAVLYDLVISHPLEWPSLTVQWMPSPDSGDRSHRLLLGTHTSDGSPNHLMLADAVLPLPPRLAAAGGGALPAPSVSVRRSVPHKGEVNRARSMPQSPYTVATKTCVDDVHVYHLGDGEKGGADLVLRGHEAEGYGLAWSPMKEGLLLSGSYDKKVCLWDLAAGNGASFLDARHVFEAHDDLVEDVAWHLKDENLFGSVGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHEYDLLAVACVFQSFLCSYLSHCCAPSSPTSLPLCSSIWGEVFQVEWNPNLETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVDENNILQIWEMAESIYCDDNYLHDNDESSPAT >LPERR09G13860.1 pep chromosome:Lperr_V1.4:9:13929157:13930492:1 gene:LPERR09G13860 transcript:LPERR09G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDDEDMWANTTSPSTSPPRPAARVGAGGEGGFISTALSLNSTCLHGLLSSSPFVSASPPCHAAGVGNGGYRNAAATPSFFSAAAASYHHGFAAVVDGAAIARQVFDHETCGAGDRRKKRMIKNRESAARSRARKQARVDNLETEVELLKKENKMLRVKYEQLRKSVEVPVPVRRTLQRVLSAPF >LPERR09G13870.1 pep chromosome:Lperr_V1.4:9:13938493:13942508:-1 gene:LPERR09G13870 transcript:LPERR09G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPGSWPALAESAAARGAWPAKSASSDSLKSLSDGSAPSASEDLVVPSLQTHPVANPISGGLNPTSSNPPPNATAVVTSQQNGGIDQSNPARHGGGTGGSSGGSASSGGHSAGSNSSHDGNIGDGGDGSWNDGGLGSGSGSSSSYVHSNTTNGAGGTSMNNIIHSTGASSSGHDSSRRAYGNNNWNNNGRGGGSNHNASGSGDGSNRNSSAGGNLWNSNGRNGSSSSNGFGGRGGNRNRRDHERGGSFSPRNYQWHSPIPPQQQQPGIYQPGPFPRPPPPPPPAHFMMPQPFVPYVPQFTYPADVQPYPFYIPPVEQFQNMHLVRPPMQQVWDQQDQQNLQEDIRMQIEFYFSINNLCHDTYLRRHMNEQGWVPIELITQFNRMRRFTNLVDTNYILDAVRGSELLEVQGNTVRRRNDWAEWLLR >LPERR09G13880.1 pep chromosome:Lperr_V1.4:9:13943609:13947183:-1 gene:LPERR09G13880 transcript:LPERR09G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRRSTSPPASTPCRLRRQVTSPLPYFHHRRRFTESTLKSSSSSPSSPPRSLSHKDFQFLPPIGEFCKLNLVSVFDCAIGICKGRGTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRPSGYNRDSDVALPSDFGSISDRVVGGVDLRLATHVRDDGRDGWWGFYRLPEVLKSASASDDQAVTH >LPERR09G13880.2 pep chromosome:Lperr_V1.4:9:13943609:13947183:-1 gene:LPERR09G13880 transcript:LPERR09G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRRSTSPPASTPCRLRRQVTSPLPYFHHRRRFTESTLKSSSSSPSSPPRSLSHKDFQFLPPIGEFCKLNLVSVFDCAIGICKGRGTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRPSGYNRDSDVALPSDFGSISDRVEALTYAWPRTSGMMAAMGGDDQAVTH >LPERR09G13880.3 pep chromosome:Lperr_V1.4:9:13943609:13947183:-1 gene:LPERR09G13880 transcript:LPERR09G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRRSTSPPASTPCRLRRQVTSPLPYFHHRRRFTESTLKSSSSSPSSPPRSLSHKDFQFLPPIGEFCKLNLVSVFDCAIGIVWLGCYYGADEVEEIDWNRRLAVDEATYCSFIRDPSGYNRDSDVALPSDFGSISDRVEALTYAWPRTSGMMAAMGGDDQAVTH >LPERR09G13890.1 pep chromosome:Lperr_V1.4:9:13950829:13952843:1 gene:LPERR09G13890 transcript:LPERR09G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEEVAGVGDDVAAAPAKAPYWDPPPAPLLDTSELKKWSLYRALIAEFMATLIFLYVSIATVIGYKNQSTTVNACTGVGYLGVAWSFGATIFILVYCTGGVSGGHINPAVTIGLFFGRKLSLVRTVLYVIAQCLGAICGAGIVKGIMKHPYNSLGGGANSVADGYSVAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQQKAWQDHWIFWVGPVIGAFVAAVYHKLVLRGEAAKALSSFRSTGVTA >LPERR09G13900.1 pep chromosome:Lperr_V1.4:9:13954144:13954806:-1 gene:LPERR09G13900 transcript:LPERR09G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPASSLLLLMAAAAAAAAALAGATEYTVGDSEGWTIGPSYLAWSQKYNFTAGDTLLFSYVQKQHDVVRVTQDAFRTCETTNATVVARWATGRDVVELAALGNYYFICNVSGHCLGGMKFSVAVGEPAPPPSPPPPPPRAFLPPPPPVGSGAAASMSRWRRRLGWPEVMMPVSWLALVIGVWI >LPERR09G13910.1 pep chromosome:Lperr_V1.4:9:13957274:13957687:1 gene:LPERR09G13910 transcript:LPERR09G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPPPQLLLACGMFGGGIDFTAAELAVADQLVQLSCSTGDDAASSSATSSPRSVNTTAFAANTSSAAAAEAEYEEFTTGMGDDEMELDKRARKRYRLLSDLYAATSPPAKRAAAAAGCRKRKRDGESPEIAGGE >LPERR09G13920.1 pep chromosome:Lperr_V1.4:9:13961765:13962109:1 gene:LPERR09G13920 transcript:LPERR09G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRRDESPAGSFTALELDAAEQLVLLSGSSSSGSTSSGSSSSTNSSLSVNAPTPPPPAPATRRTTPAPAAPLVPESAVAAFDAEGREADWDERPRRRYRLIAEIYAATKEIK >LPERR09G13930.1 pep chromosome:Lperr_V1.4:9:13968016:13968366:1 gene:LPERR09G13930 transcript:LPERR09G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKAIMLVDKKVAFTVQELVAAKRLILLSQGSTSGASSGTAVKARRAKARVARARSADEHLSDEEIEYDYQEVPGIPRRTRLYRFISEIYKVTKEVKKEVKKEEEVEEEPPSNE >LPERR09G13940.1 pep chromosome:Lperr_V1.4:9:13972081:13974322:-1 gene:LPERR09G13940 transcript:LPERR09G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >LPERR09G13950.1 pep chromosome:Lperr_V1.4:9:13976758:13977177:-1 gene:LPERR09G13950 transcript:LPERR09G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARMKELMKKYGKVAAVVHVSVSAASITGLYVAINNNVDVDAIFRRIGISPTPTTDEIPTPTPAAGEATLDGYHDAPVPVPGELRNRTRELAASSGGALALALMCNKALLPVRIPITVALTPPIARFLARWKVVKT >LPERR09G13960.1 pep chromosome:Lperr_V1.4:9:13978083:13980338:1 gene:LPERR09G13960 transcript:LPERR09G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSLLPAPSPVAAPELASFLPQAKRKGAVSLVAGRRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEQFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >LPERR09G13970.1 pep chromosome:Lperr_V1.4:9:13980759:13983731:-1 gene:LPERR09G13970 transcript:LPERR09G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAAAADATPAPTPAAASTLQHLKEIASVIEAGSLTKEVRRIFRAVRLTVALRRRLAVRDVAAFLAFALPHSSEAFGRLSSLLPKEDGSEMDVDSAAPSAQVSIKHGLPEIEIYCYLLVLIFLIDSKKYDEAKACASASIARMKNLNRRTVDVLASRIYSYYSYVHELTNSLAEIRGTLLALHRTATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKEALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDSPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLDMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >LPERR09G13980.1 pep chromosome:Lperr_V1.4:9:13984403:13991818:-1 gene:LPERR09G13980 transcript:LPERR09G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGVVVRALLLAAVAAAAAEALSLDVHHRYSPAVRRWAASPSPVAGTVEYYAALAGHDLRRRSLAAGAGAGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPSYGNLKFDVYSPSESTTSRKVPCSSSLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKTVAAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSIPSLLASKGLAANSFSMCFGEDGHGRINFGDTGSSDQKETPLNSYKQTPYYNITITGITVGAKSINTEFAAIVDSGTSFTALSDPMYTQITSSFDAQVHSNRNQLDSTMPFEFCYSISSNRDAHPNVSLTAKGGGIFPVNDPIITITDNAFTPIGYCLAIMKSEGVNLIGENFMSGLKVIFDRERMVLGYNFDQSSRLPVNTSPSAVPPKSGLGPSSYTPEAAKGALPNGTQINATPSASSPLQLHFRSFLDAIILLFFADKDAEAQGPLRSAASLQPILAVHTRTATRDPTVQTASFQVEQGQFRNSTPQNPRRARRPRPGRSPLPPLPARTEAAPYKALRLSSPLAGYPLSAAAAASSPPTRKEPSQPTAAVQPQFDGEMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKVKPLMCPHLLAEGEAVAVEVVEGEEAEVHVEMVTWTMLMVDGRMTMLLQHMRAMGTPVEEAVALGAVAGEVVAMVRNLIISKMEVTMMKHRQFTGRPKAVVVVVVEAAGEAHSEAEDVVATSTVLCMLLQLAHNLYAAIPKLLFVGCLLQV >LPERR09G13990.1 pep chromosome:Lperr_V1.4:9:13994560:13997042:-1 gene:LPERR09G13990 transcript:LPERR09G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPAVRRLMRRAMVLCAVAATFSYYLLVLHAQASVPSPRYDGFAYGDAATAAWKDTVLVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIGKFYNAATSSLSSTVISGEISKMAAQVVGSSVSEFQSGFSDIRTDLAARVSFRYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWISVLDPIVGQHGDRLEMFTSM >LPERR09G14000.1 pep chromosome:Lperr_V1.4:9:14003491:14008160:1 gene:LPERR09G14000 transcript:LPERR09G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGGNGGDPVALCRDRKRLIKAAADRRFALAGAHAAYAAALRSVADAVDVFVARHTAPAPILITLPTPTNSPPSSPKPAPPPASAPAIGSTPSPPDSAVEAEDGGARSPDLGCDYYYAPPETTATPPTPPPPPAASAVGGWDFFNPFYGAEEVAAAAAAISDEEMRAVREREGIPELEEEDDDGGGGGGEDSKPARNPQTNKSTEASLGVAAKQEEVDASSNNGGGGGGGMEVAVAPPGRELLAALKEIEELFTRAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKVIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVGAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSNAPPLVIICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKITAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASMFESLAEFSKDSVKLYEDLLTYAEPKVSENAAEKRPCVEGPYSHISVDAT >LPERR09G14010.1 pep chromosome:Lperr_V1.4:9:14007540:14009125:-1 gene:LPERR09G14010 transcript:LPERR09G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAIVGVLAGFVNEDGLIHGTLIGAISGAFIAMEIIDSLAKIWSCEEYSIAARARLMILVFWNLMVDRLTARTSVFPTLTRVLDNQLNARASRLGLTEMNAAGDLFDRSYPVVGMCRAAVDELPVIKLTAAQTNANRCPICLHDFKAGESARRLPACCHFFHLVCIDNWLLWHAQCPVCRRPVFSDYSNCGIDN >LPERR09G14010.2 pep chromosome:Lperr_V1.4:9:14005086:14009125:-1 gene:LPERR09G14010 transcript:LPERR09G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAIVGVLAGFVNEDGLIHGTLIGAISGAFIAMEIIDSLAKIWSCEEYSIAARARLMILVFWNLMVDRLTARTSVFPTLTRVLDNQLNARASRLGLTEMNAAGDLFDRSYPVVGMCRAAVDELPVIKLTAAQTNANRCPICLHTIRARSQLTPVG >LPERR09G14020.1 pep chromosome:Lperr_V1.4:9:14019634:14021244:-1 gene:LPERR09G14020 transcript:LPERR09G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKRHHHLSLASARHLRRLCDAAPTLSPAPEPSPSPSPEPKPDSPPSLTAAETKLLDALHAALIDHRRAYPTAEATFDPPPMPELSTLLASPPPPQLPLGLLRRLLALRRGVPLPEAVAFFRHVLPSLPPDSLPALYAAMIDLLAKHHEFPLARHLLDEMRERSVPISGQLILALIRRYVRAELPSEASDLFRRMEEYGAGAPDPSTLASLLGLLSKKRLAGEAQALFDSYKSVFTPDVVLYTALVHAWCRAGRLDEAERVFAEMKQSGIEPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDVITYNFLMETHCGKGQCNLDAAMKVLTRMIAKGCTPDCHTFNPMLKLVLVLGNVSAARKLYERMQELQCKPNVVTYNLLMRLFNMEKSMDMVLRIKKDMDAQGVEPNVNTYSALIESFCGRGNWKRAHMTLREMVEEKALKPTKPAYDMVLALLRKAGQLKKHEELVEMMVDRGFISRPANDALWKALSA >LPERR09G14030.1 pep chromosome:Lperr_V1.4:9:14025256:14025999:1 gene:LPERR09G14030 transcript:LPERR09G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPTKHHHHHKHARSRSFGGGAIVSFLKNTAASFSTTTTSTSTATKRPSSFNHRNAFSGPIAVSIVPPAARGGSRRDHRSGYKTPEPSSPKVSCIGQIKKAKSKKLCKNGVCPLPPRPPAAAARQNSSLVRRMLFRRSRSRSASSRDTGSNGGLFKGRKSTSSTAVAAAPAPGLGLGQMKRFTSGRTALEDFDWREVEEDDEDEVFVAHSAPLVMGGGVVASEPRKEVNLWSRRPMAPPTPLRLP >LPERR09G14040.1 pep chromosome:Lperr_V1.4:9:14030969:14037314:1 gene:LPERR09G14040 transcript:LPERR09G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGGGENSPAKPIMLHGDLDLWVLEARLLPNMDMFSEHLRRCFAACKPPSSSKPSSRGGGGHHHRKIITSDPYVTLSIAGAVVARTRVIPNSQDPVWEERFSVPLAHHAAALEFHVKDNDTFGAQLIGTATIPAEKVASGQEIEDWFPIVGASSGKPYKPDTALRLRFRFNPISSNPLYQHGIPGDPEQKGIGDSYFPLRHGCRVKLYQDAHVREGDLPEIELENGKVFEHNACWEDICHAILEAHHMIYIVGWSVYDKVRLLREPSSGRPMPEGGDLTLGELLKYKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVICVLSPRLQVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFSSNAKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRRVSHWKDDALIKLERISWILSPSPTIPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIANELKAMNIENAHPQNYLNFYCLGNREELSSNESPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSKKGSHPHGQVYGYRTSLWAEHLGMVDERFKDPSSLECVEFVNEIAEDNWSRFTAEELKTLQGHLLKYPVKVEADGKVGPLPDHECFPDVGGKILGAPTSLPDTLTM >LPERR09G14050.1 pep chromosome:Lperr_V1.4:9:14043114:14044394:-1 gene:LPERR09G14050 transcript:LPERR09G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLTAPGIKDKKILPFNRDVTSLKHNHAAAVTSLIRDIIVSGAGDAAPPPRSAFHVFDLARIVDLYRSWRRAMPRVRPYYAVKCNPDATLLTALAALGAGFDCASAAEIESVLAIGVDPEHDVVYANPCKPDHHLEFAAAAGVDLTTFDSADEIPKIKRLHPRCRLLLRIKAPCSGGDDVKVDLGLKYGANADEVVPLLLAARREGVHVAGVSFHVGSGASNADVYRGAVEAARKAFDAAAALGMAPMSVLDVGGGFVAGGKTFDDAADVINESLDCYFGDLLSNIEVIGEPGRYFAETAFTLATRVIGKRVRGELREYWIDDGLYGSLNCVIMDHYVPRPRPLLLVAGEVAATTHVSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSKFNGFDTSAIKIYLAYST >LPERR09G14060.1 pep chromosome:Lperr_V1.4:9:14044419:14044997:-1 gene:LPERR09G14060 transcript:LPERR09G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDGPAPLLLTNSESKPTYLTTPSLPRAIDRYINPAASRLTSPQRLALTRLVAINSFAESVKLLISNLAATNQHDLKVNSHQ >LPERR09G14070.1 pep chromosome:Lperr_V1.4:9:14058606:14059910:1 gene:LPERR09G14070 transcript:LPERR09G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVSPAVEYIPLSIFDKVTYKMQMAIIYAFPPPAPSTAAIEKGLAAVLANYRAFAGQLGNSPDGGEPAVVLNDRGARLVEAVVDADLVDMAPAKPTPELLQLHPDLEQGLSEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLDMGPPPVHHHAGLFKPRSSPRVDHDHRSREYYLPIAGEKHGHGDGDATENIVIHKAHFTKDFIAGLRASASVGRGRPFSRFETILAHLWRTMTRARGLGPDEKSTIRLSVDGRHRLGMPAEYFGNMVLWAFPTATVGDLLTRPLKHAAQAIHDEVARVDGGYFRSFVDFACSGVANDEGLAPSAVLKDVLCPNVEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFADNLDAFKECCYSME >LPERR09G14080.1 pep chromosome:Lperr_V1.4:9:14068093:14069442:1 gene:LPERR09G14080 transcript:LPERR09G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSTKIVKPSYPEGSPAPDTTEFVPSSVFDKATYDIQMAIIYAFRPPGPSPAAIERGLAAVLAIYRLFAGQVIRRRDGDAAGEATGVVLNDHGARLVEAIVDANLADIAPAKPSPAVLRLHPNLDGGEIKEIVQVQLTRFACGSLAVGFTASHAVADGHATSDFLAAWGRAARGLAAVAGESPPHHRPDLFLPRDPPLVEFDHRNVEYYRPPPPPLAGAGDEHHKHGGDGVVIHKAHFTKDFIARLRAAASDGRGRPYSRFETILAHVWRAMTRARGLGNPNQTSTIRISVDGRHRLSPTAPENYFGNMVLWAFPTATVGELLNRPLKHAAQTIHDAVARVDAGYFRSFIDFASSGAVEEEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQSCYSME >LPERR09G14090.1 pep chromosome:Lperr_V1.4:9:14080395:14087416:1 gene:LPERR09G14090 transcript:LPERR09G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAAAAAGGGGGGATSTSSSAGATRKQQQQQQHGHKLEVFHEVLRRLQDEARREGFEEELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPEQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALAFEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETKELIESVRKELGKIDETQGWSTSHSWSSPVENMQIGENSATDHVEIPTDGASEWEIDIKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINVDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTGVFKLPALLGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVLMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHEGKHKEKILGGLFSALRGRGH >LPERR09G14090.2 pep chromosome:Lperr_V1.4:9:14080395:14087416:1 gene:LPERR09G14090 transcript:LPERR09G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAAAAAGGGGGGATSTSSSAGATRKQQQQQQHGHKLEVFHEVLRRLQDEARREGFEEELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPEQRPAFAVRAVQEVASRLLNRQQSIHPPPAFGSSTNLEALAFEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETKELIESVRKELGKIDETQGWSTSHSWSSPVENMQIGENSATDHVEIPTDGASEWEIDIKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINVDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTGVFKLPALLGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVLMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHEGKHKEKILGGLFSALRGRGH >LPERR09G14100.1 pep chromosome:Lperr_V1.4:9:14088856:14108262:1 gene:LPERR09G14100 transcript:LPERR09G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKLYHEKSMILPDVSRVLACLHEKNINFEIETASYKSLLRLQASSHAPVPFYDGPIFLEESREICRYVAETYEHHGYPFLLGKDALERASIEQWLHHEEHTFNPPSQALFCHLAFPMDDEDDNIQMQKAKLEEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYITSSSEFVKLYDSRKNVQRWWNSISARKSWQDVLTYMTKVEQQHKSEELEKQKQQDWQREHRSATRRRIPLDLRKDTGTRPQTVLVPPPPGTFSASTMAPQAEQPPPADNLSEKAPVSSSQSTTHKSLTFPSKLTTLYSTHQEIPPDSAQSTQRTSSSSTPSLGTFPTTSDKPPRIHADQSSIRDVSVPSDTTKTDLPTRPMLSLSKGVGTYIEPTPQKILALLDNSSESDVPSSGVSHSQAGPGAAKEDSDRLNVSDLYGSHRKSTGVYSEENESISYTDNSSNKLRSTEAHQMLQAKQWHTATAGLRNLQGDIDNSTPSRQVKPSKDVQQYPLQDSEQASINPVAQEPKSMKDQLVRGPEIIAQTPYTDQTRDVSSRHWRHAAAARGISKDEESSDRRRQDAYLAEHSIEDDDSQDTAPRATEDSTAPRGTMDHDAYDTSQGIKSYDSAPSRLQPMDASHDAPLASAKSTNESSRTATPIPTGYQCAQDFIQQVRVRPKQRPVVPYDAQSSTKEEKMVESPPSKTQMSGYHGPDFSLPKEVLNENGYDVNVPFQSRYSDDKDNWKHARDTTTSKPQDTAEETKTSDSESFEAQTLYSQQAIQRKKPPAEDPYFPASTFKKRYFVDQDSTKESKQTASRPRVEAQDSLEETKADESALPREQPSDILQAASPPSRQAEGKDARISTPLFQEGYADSQVASKQHRDSDSISRRKTAQDARVTFVESKPADSTSARQWSSDDWQDEGSLPMHKVYDSRGSTVPFQETTMKSEDTGRQQMRGQGTKETKLFDSASPQVEPLDYQRSDSHLQKHGEIEDPHDTISLPKKTYTDVEDITKRPGDKMLGEGAQDGSEETKAIESVMFRTREQPQDTHHAAITPLKQAAAKDSLGVTPLSPTRYPTSEDTSMRPRRIASTPTEKSVQDGRDAFRESKRVDSTSSREQLSDVTRSAASLPKQQAADSRSTTMPFQRRYPDIEDNTKKPRDRPKEMVGEDSQDGSKETKALDFAIYRDRAQPQDTQRAAITPLKQAATKDALGVPPLSPTRYSTTEDTIRQTRETASKPTTRAVQDGRDGFREPRSVDSTSSRDQSSDVQRAVAPLPKQEAADSHSTNMYLDIEDAAKEPRDKPKETVGEDAQDRYKETKTGYPTIVTSKAQPQDTQQAASTPLEQAAARNALGVTPISTTRYPTSEGTSRKPRRTASTPTEKAVQDGRDAFRELKSLDSTSSREQPLDVRQAAASFPKQESTDSHGTTMPFQWRYPDIEDTTKEPRDTPKETVGEDAQDTSEETTALGSEIFRGRAQLQDTQRAATTPSKQVAAKDSLGVTPLSPTRYPTPENTSKQTREIASKSTGTTVQDGRDAFIEPRSVYSTSSRDQSSDVQRAAAPLPKQESADSHSKTMTFQRSYPDIENTTKELRDKPKEKVVEDVQDRSKETKALDSTVLRSKAQPQDTQQAQPQDSTSSREQPLDVWQAAASLPYQESIDSHSKTMPFQRRYPDTEDTTKEPRAPIRYPTSKDTSRQPRRTASTSTEKAVQDGRDAFKELKSVDSTSSREQPLDVWQAAASLPKQESADSRSTTMAFQRRYPDIEDTSKEPRDKPKEMVGQGAQNTSEGTFEETKAADSKLLREQSSDTWQDRTTPLEQAVTRDGLNVSPSKKESISDEDSSKKPRGTGSMPQRIAARDDNGAFEESNPDNSVSSKLQPLNAWQLSVPPPRQEIKDFPSTAAPFQKRYPEDNTKKAGYSASAPTHIADKGAKHDFEDTTFDDSASSSKKTSDTQQAAFPLSNQVEAQDTLDGGKKSIDTWDKGRESRETISAPNEMVARDILDMSGKIKTDDFKSRGQSPEGLQASSQSRQVVPENAYGATKGAKVSFTDETIQSPDVKDTTRKSRASEETRGPVSTLDRVQPFGFHDTQHANEESKRPAADQRDDVSTQFQSDAQDNSKEIKSSFTDERGMGPKHSQAEPGRNAQAIIREKIFSTESLRDMLKESEGSTSAAAGQRIDSVVKKTRSADQKGSTAVQDRHGQDRTLPADGKADDSTPKLQLPPGSPSASHEKQTAPVPPREIFRDDYGTEYPMRRDIVDDQKEVTPLSNQEPTSQAQQASETSQEAAPDEDESMKLSLDQWWRTSKPLQGVTPISGDDVTGLSTDDQMPTPMSQETIPSAQVANGITKRSVKQTEEPPAPVVSQTILRQQARPSAPITREAPIPDNEGAMSKIQEVSSDSHPTDYPAVPSVPTQGQVPHAPQTSPGQEGITPAQGEMDSSLSDAQSSSEKFQQPAPDESTKPFISSEKHGSHFGPKLEHKSTPSEPIPSVGSRSEEAAVDEAEQIKPPQKFMGHQDIRHAPGISGITPDDKLNEETVSTQGQVYNAPFDSQPHDGSPPNVHSASVEENTTIPLSQAQRSDERRDSMPTHLDVKASSDDQSTTKSITVKEAQQQQLPAKIEPPPPLFETVNYFDETLDKSKPSEPSSFDKEVMPPKLVPPSARDPRHVTVPDGVTPDEHKNIMADLTPRQRSLPAEPTKEETVVDASDETKASQTIIGQRDISPAVSKEKYPSSDVQNDPMKVQEVASEDQRINQSFPSQDQAPNIEPTPGTRDGAFSDSRGTVFEEPITHITGMTSTPDIQHDQDSDRYSEQPSSAKSRKEERDVAAANETEATQMTFDHQITQPMPVPSEASKEAQEGGEDTIQPKQEKQLPSAYQPSQPPQKLLGSVPAKKSSTDSSGKVDPLKQANDVAPAEHKIASSETIPSVGSRKDEANVDDAEQIKLAQISMGPPDIQNAPDGNLSKKSVSNQEQVYNAQYDSQPGDGSHPNVHSASVEENAVVPPSQAQISDERRDSMPTRLDVKAASDYQSTTKSITDQGAQQQQLPAKIEPPPRLYETVNYSDKTLDKSKTSEPPSVDKEVVPPKFVPPSAQDPQRVTVPDGVTPDEHKNVMADLTPRQPSLPSESTKEETVVDASDQTKASQTIIGQRDISPAVSKEKYPSPDVQNDHLKEDQHINGSTPSQVPVPNVEPTADTRDGAFSDSHGANVDEPITHITGLTSAPNIQHEQDSDRYSEQLSSAQSREEQRDVAAADEAKVKETTFDHQRTVPALVQSEALKEAREGGKDTARSHEQEKQPPSENQSSLPPEKLLGSVPAKTSSTDGASGKVDPLRQDNEVAPDEHKSAPSETIPSIGSRKEEAIVDETEQIKPPQISMDPPDIQNAPDEYLREKSVSNQEQVYNTEYGSQPHDGSPPNVHSAKVEENATVPPSQAWRSDERRDSMPTRLDVKAASDDQWTTKSITDQGPQQQQLHANIEPRPPLYETVNYSDKTLDKSKPSEPPSVDKEVMPPKLVLPSARDPQHVTVPDGVTPDEHKDAMADLTPRQPSLPAEPTKEATVVDASDQTKASLNQVQGLGSYSEGSATDETEKAPMMSSPPAADAPQGTDPVRPLQEASLDFSSHEKTTAFQDGQANNVPNVSPTVSTSQVVSRSVNGAETKVFSEETVPSKSQENSKGASTKEIPEQQQKTDLSRTKSSRDDIKEANGVGANISATPGDIQSSTSKGSAEVTEGARNQPNVYQASVQSPPDSKEQVEETEEQDTGTGESERTNSQKNMNQMNNGTSLGEALDQSGKQGSGVQPIGSDKNNLSESTKDTSIGIPTYNKSEKNSVTSAESTQQLQAKDDTQVEETKTPASGTDQPKEIDPQANEPPSVDDVIMSPTVSPDEQKNAMADLTRSTEPPSSADPTKEETVVNASDQAKASERIINQEGMTHATEHASRQTLEMFSTGNLIDNPVAGQEHGSFSEESTTDSHGAITDEKAATSTSGHEKGSDASVWTATLDVHPPTVRSLPENGTLPPASRQNAYVEASGEAKSIDQEDMKPMAGLALARDDQRGTARDELALVEQKSIVSDQDSTHSSQKPSTIEPRENDISGSATDKQMVPQAKFGDQITPASDVSLPLREVQEASQVDPHANELEKPPMVNQDQASHDGGSSEQRNGQITNVHDANVDEKMQVPSSKAQDSTESITDQGAQQRQLPAKIEPPPPLFETRNYSDETLDKSKPSEPPPVDKEVMPPKLVPASAQDPQPMIDGVTPDEHKNAMADLTPRQPSLPAEPTKEETIVDASDQTKASLNQVRGLGSFTEGSATDETVKAPKMSSPPAPDAPQDMDPVQPPGEASLDFSSDEKTTVSQDGQPNNLPNASPSFSASQVVDRSEKGADTEAPSEEMAPSNSQENNKGTSIEKISKQQQQTDLSRTKSFRDDIKEANGVGANISATPGEIQASPSKGNVEVTEESRNQQNTSQAFVQSPQDNKEQVEETKEQNTGTSELDKVNLQKNMNQMNNGTSQEETLDQSGKQASGVQPIGSDKNNVSESTKDTSSGTQTYSKPEKSLVTSEEYTQQLQAKYNSQGEETETPVSETEQPKERDPQANSNRDISSQSQAQASDISEGQTSSTEDMNGYSRKTDGSTNDTTPGDTEDNPSI >LPERR09G14110.1 pep chromosome:Lperr_V1.4:9:14103290:14109349:-1 gene:LPERR09G14110 transcript:LPERR09G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAAAAAAMADEKLYPPALLSHEEVAGNRAAFMDTLRRFHSLMGTKFIRIPVIGGKELDLHTLYVEVTSRGGIAKVMEERRWREVMAQFRFSPTTTSASYVMRRYYLSLLYHYEQVYFFRAHGAVLPPSASAMMRTPRRTVTRSSDHPPPPPPPPEKTMAPPERLAGVMMISNSSIAGWIAEACNFSVTGTIDGKFDGGYFVTVNIAAETLRGVLYRVAVPPPPPPVTPRNGGGGGRRRRGRKQRDPGQPKPSRSGYNFFFKEKHTELKMTHPNMERDYTKMIGEAWNRLDHDDKLVYYRCSGKDKERYKREMREYNERIKLGPSSTMAGSGSYQTLLRFTLLPHFPWMVKLVSLQELLRLPCGASGAGGELILGALTVSSVADPSKSHHHEKPDLGSPLVTHQFQCPVPQFLQLAPCCPVVIEQKLGQFSVAARID >LPERR09G14120.1 pep chromosome:Lperr_V1.4:9:14112208:14113123:-1 gene:LPERR09G14120 transcript:LPERR09G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAPAPATEKKPTGPQLKLLVEKRSRRVLYAEAGKDAVDFLLGLLRVPSGLAARVLANHGLPAPGSLSTLLAGARHLDAAFLLHPSPDTRDALLLGTAATAPPPATLRLLGEPLPPPPPPPPPPPPPRRYFRCSAYATPCRSNPTSVTDVSGTACPSCRQPMTVEMRWAGGGDTAPAPPSPPAKEDPGENGLVKEVVTYLVMDDLTVKPMSTISAIMLLKKFDVKDCSALDEMTVDLGPKECVKLMKAAMDSTTALTDVFSGGVAIDRL >LPERR09G14130.1 pep chromosome:Lperr_V1.4:9:14114169:14117283:-1 gene:LPERR09G14130 transcript:LPERR09G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEDDAGSDRCCGSYSPSADVSESETSSDVTSTPTTRRFASSSRGLASSSSSLPTPTSAAALFLSSSKPPSADISEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAAARKAMWTREMDWLLSVADSIVELTPSLQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKDTEFWYVDRGILVDDNGGGGGAFSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKPKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGIGLPVQKRISPSPFSIQHTPYASPFATPTFCSSTPVTGSPVRVQPPLTKTNLPAKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >LPERR09G14140.1 pep chromosome:Lperr_V1.4:9:14122858:14127056:-1 gene:LPERR09G14140 transcript:LPERR09G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPPATAAVDPELVLSHRFPEVSFSYDERDVALYALGVGACGADAVDEKELHLVYHSDGQPHIKALPTFASLFPNKNSNGLGFFDLPGLNFDASLLLHGQQYIEIYKPIPSRANIVNKVKVAGLHDKGKATILEIETTTHVKSSGEVLCMNRSTIYLRGAGGFSDSSRPYSYTNYPPNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLCSLGFATRAVIKSFCNGDPTAVKGIFGRFLLHVYPGETLVTEMWLEGQRVLYQTKVKERNRAVLSGYVLLKRIPSSL >LPERR09G14150.1 pep chromosome:Lperr_V1.4:9:14128325:14130824:-1 gene:LPERR09G14150 transcript:LPERR09G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPADCAVQNVSLDTLFLIVIQAAAVVVIGKFIHLSLRRHNLPSAISQIIAGIMVGSLGLHEMIVHVDVENVEDTYGWYVSEARIFYMFYVGLDADLAALWNDARRCTAATYASVATCLLLAAFVSGGIYGSMMHTPVKSPELLAAVLMLTLANTASVDVSRMAAELKLSATDSGRLAVSAAIASNVICIVGEGVFSCMKLASSKTPGYTAAERLGMGILALVKVGIAMALLKPAVGYINRRNARKHRVGSWELVILLLAVSFIGNFPEHAGFDGMPASFVLGLAFPREGPVARSVMDAVAYPLHAVALPFYFGAMGMRINFAAMSGAIVVPAVLLTILGLVGKCAGTIAAARYLKMPIADAIRLGMLLNVKGNVNMIDMSFASSEGIWAEQALMAMVVGSIMSTVIAGPVFAVMFRKERDAYACADMSLERRMSSSSSPDAEIRMLACVHGARGAPAMLGIVELMAAAPRSQPTIHVLHMFDAEEDDEDAAARVNCVVDVFITATGLSIRQIDVGDRGAAVNARNIVGRCAEDVRAGILMVPYHREQRHDGAMVCRREGRRRELNREVLDRAAACCTVAVLADRPFWRGGSAFRVPTRMSRREETTTARGIDRNTAIATVFLGGPDDREAVAFACRLARNDAIKLTLIRLVLRLGDVTRDVIAEDEAHFVSDDGGEEALSVVVDGGGDDEDVRCVAAFRREYVAKEKGEYEEKAVGGPVDVVEALRGGGRGEFALMVVGRGGRQPPELVVGLERWAAECAEVGPVGEILATDGSLEMGSVLVVQQKTPPLSPFHLDMPPAV >LPERR09G14160.1 pep chromosome:Lperr_V1.4:9:14130683:14134201:1 gene:LPERR09G14160 transcript:LPERR09G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHCVERWRETGWAGTCVRSSTLGLTATAGLSAPSSSAPRLTAVSQPSDLTTACSTSSGGFLLSKHITYSITTASQGSVIFSSPVNPNSSSDNLRSSTNTVVLRYVNGTSNLSPSAV >LPERR09G14170.1 pep chromosome:Lperr_V1.4:9:14131866:14140869:-1 gene:LPERR09G14170 transcript:LPERR09G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLARRLQRVKMAVREDDACCTSSPVADKGHCAVYTVDGRRFEVPLAYLGTTVFGELLRMSQEEFGFTSYERITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVMMSCQNSSCTMPPVVALQQQFAKKYTMINPKRLVQLAKKWQHIAALGRRRLTIMGATKDANLRCSSTIADKGHCIIYTADGERFEVPLTYLSTTVFVELLRLSEDEFGFTGEEKITLPCEAVVMEYVMCLLRRKPPEDVEQAVVRKAKLHHAQCRQQMIRDSTHWRVPEDVPIRVWTFGFTNSRRIILPYEAVFMEYMNFPLKNGTRVLLASEKANEYDERIVLHSLVYQSLEISHY >LPERR09G14180.1 pep chromosome:Lperr_V1.4:9:14143250:14143675:1 gene:LPERR09G14180 transcript:LPERR09G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQHKMSSSAGRARHTAVTGDDCCSTSAASLAGKGHCVVYAADGARFEVPLPYLATSLFGELLAMSHEEFGFAGDDGRITLPCDASVMEYVMCLLRRDASEEVEKAFLSSIARPCHNVGVLNQQFAVCT >LPERR09G14190.1 pep chromosome:Lperr_V1.4:9:14145308:14145813:-1 gene:LPERR09G14190 transcript:LPERR09G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSSLAMPQPSSSSRIAISSPLPGQKNTITAQSRAVTDSTTGFSFQDCIVDADADFRGAPAGTVETYLGRPWQPIKNSQPFSRVVFIQCKMSDVINPKGWLQWDGRTDVKDIYYGVVPTRVNWPSFHVIQDSSEADKFTVKNFIQGDKWIPGDVPYTPGLSN >LPERR09G14200.1 pep chromosome:Lperr_V1.4:9:14145825:14146415:-1 gene:LPERR09G14200 transcript:LPERR09G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISILDRSRSSPADVVVAADGSGNYTTITAAVAAVPLKISKRYVIHIKMGVYKEKCGRRRPVNIRNVHHYSITVDGNGFMARDLTIENTAVPVKKQVVALLSNSDNSVVYRCIIRGYQDTLYVKNKAVLP >LPERR09G14210.1 pep chromosome:Lperr_V1.4:9:14147256:14161312:1 gene:LPERR09G14210 transcript:LPERR09G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPWHHSQLRPPSHGDSSMTTSSSWLLLDIRAYIDDRRNSTTCFAVLIQVTFCFAPPPLISYICIWSSTADPVEFFEWPPMVESVNADLVFIRIRSNKQHVDDLVYQDMDMDRDVGSYNICIFDSVSCRWNSHPISLDELKNPPAKEEVLHLTEKTIYLGGEQLAFVYLWKGILICNELGNRFTGSYVMLPDGTVKLGRHRSGMNTRDIAIVDDRLTFVRLRTRYYSDVGWCWDLCTWSKPVARLDEEDWREDFMLDSCDLLVDEQQTRNIHLLPRLPDHPPMAKLIVAHSTICLTDANVIYIMGKVDVSDHRAVVLTINLTTRRLQEVSVFDSRVINMFDFSYMQSTISQYFTNAASGVNENLKRPGVFHAPYPHKQHVGNKPLQLDVGRGSETQDGDPMFLE >LPERR09G14210.2 pep chromosome:Lperr_V1.4:9:14147256:14161312:1 gene:LPERR09G14210 transcript:LPERR09G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPWHHSQLRPPSHGDSSMTTSSSWLLLDIRAYIDDRRNSTTCFAVLIQVTFCFAPPPLISYICIWSSTADPVEFFEWPPMVESVNADLVFIRIRSNKQHVDDLVYQDMDMDRDVGSYNICIFDSVSCRWNSHPISLDELKNPPAKEEVLHLTEKTIYLGGEQLAFVYLWKGILICNELGNRFTGSYVMLPDGTVKLGRHRSGMNTRDIAIVDDRLTFVRLRTRYYSDVGWCWDLCTWSKPVARLDEEDWREDFMLDSCDLLVDEQQTRNIHLLPRLPDHPPMAKLIVAHSTICLTDANVIYIMGKVDVSDHRAVVLTINLTTRRLQEVSVFDSRVINMFDFSYMQSTISQYFTNAASGVNENLKRPGVFHAPYPHKQHVGNKPLQLDVGRGSETQDGDPMFLE >LPERR09G14210.3 pep chromosome:Lperr_V1.4:9:14147256:14161312:1 gene:LPERR09G14210 transcript:LPERR09G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPWHHSQLRPPSHGDSSMTTSSSWLLLDIRAYIDDRRNSTTCFAVLIQVTFCFAPPPLISYICIWSSTADPVEFFEWPPMVESVNADLVFIRIRSNKQHVDDLVYQDMDMDRDVGSYNICIFDSVSCRWNSHPISLDELKNPPAKEEVLHLTEKTIYLGGEQLAFVYLWKGILICNELGNRFTGSYVMLPDGTVKLGRHRSGMNTRDIAIVDDRLTFVRLRTRYYSDVGWCWDLCTWSKPVARLDEEDWREDFMLDSCDLLVDEQQTRNIHLLPRLPDHPPMAKLIVAHSTICLTDANVIYIMGKVDVSDHRAVVLTINLTTRRLQEVSVFDSRVINMFDFSYMQSTISQYFTNAASGVNENLKRPGVFHAPYPHKQHVGNKPLQLDVGRGSETQDGDPMFLE >LPERR09G14210.4 pep chromosome:Lperr_V1.4:9:14147256:14152806:1 gene:LPERR09G14210 transcript:LPERR09G14210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPWHHSQLRPPSHGDSSMTTSSSWLLLDIRAYIDDRRNSTTCFAVLIQVTFCFAPPPLISYICIWSSTADPVEFFEWPPMVESVNADLVFIRIRSNKQHVDDLVYQDMDMDRDVGSYNICIFDSVSCRWNSHPISLDELKNPPAKEEVLHLTEKTIYLGGEQLAFVYLWKGILICNELGNRFTGSYVMLPDGTVKLGRHRSGMNTRDIAIVDDRLTFVRLRTRYYSDVGWCWDLCTWSKPVARLDEEDWREDFMLDSCDLLVDEQQTRNIHLLPRLPDHPPMAKLIVAHSTICLTDANVIYIMGKVDVSDHRAVVLTINLTTRRLQEVSVFDSRVINMFDFSYMQSTISQYFTNAASGVNENLKRPGVFHAPYPHKQHVGNKPLQLDVGRGSETQDGDPMFLE >LPERR09G14230.1 pep chromosome:Lperr_V1.4:9:14162409:14162837:1 gene:LPERR09G14230 transcript:LPERR09G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQLVKKWERMTSLGRKRLTMKSKETEECCTSVAGKGHCVMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSSDDCRIMLPCDAAVMEYAMCLLKRNASVEVEKALVSSMVETCHYTGCVVPIVGVNQQISCL >LPERR09G14240.1 pep chromosome:Lperr_V1.4:9:14167387:14167830:-1 gene:LPERR09G14240 transcript:LPERR09G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRIAQLAKKWRRMAALGRKRLTMMATQESEDCSSSTAVAGKGHCIMYTADGMRFEVPLLYLSTMVFGELLRMSQEEFGFTSDDGKITLPCDAVVMEYVMCLLRRNASVDVEKAFLSSMAILCHCANSMAPPVGVNMQVAVCSS >LPERR09G14250.1 pep chromosome:Lperr_V1.4:9:14167612:14176769:1 gene:LPERR09G14250 transcript:LPERR09G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVYMMQWPLPATAVELEQSSDSCVAIIHHKYDSAITREAKFLLGHPQEFTEDHRAKSKKKYGSSQGSYKQEICWLTRTVGTILPFQWHDINIELIKAFTTSTEGFILSKHMAYSISAASQGNTILPSLVKPNSSWDILRSSLNTVVLRLEYYTKTYGPSPGSYKQQICWLTPTVGTILLVQWQDIGIERIKAFTTSTEGFLLSKLMAYITRQHNSSITPEAKLLLGHPQEFTEDHRAKGRVQL >LPERR09G14250.2 pep chromosome:Lperr_V1.4:9:14167612:14175923:1 gene:LPERR09G14250 transcript:LPERR09G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVYMMQWPLPATAVELEQSSDSCVAIIHHKYDSAITREAKFLLGHPQEFTEDHRAKNHMWHFVLGIFAGLSYGIDQCNYKRRLCSSSGIYKQEICWLTLTVGTILPVHWEDIGIELSNAFTTSTEGFLLSKHMAYSISAASQGNTILLSLVKPNTSWDILRSSLKTTVPRLEYYTKTYGPSPGSYKQQICWLTPTVGTILLVQWQDIGIERIKAFTTSTEGFLLSKLMA >LPERR09G14260.1 pep chromosome:Lperr_V1.4:9:14169415:14169744:-1 gene:LPERR09G14260 transcript:LPERR09G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKRLVQLAKKWQRMAALGRKRIMATAQETEECSTSVAVKGHCIMYTADGRRFEVPLVYLGTVVFSELLRMSQEEFGFTSDGRIVLVMLRRWSMSCACSREMPQSRW >LPERR09G14270.1 pep chromosome:Lperr_V1.4:9:14171202:14171627:-1 gene:LPERR09G14270 transcript:LPERR09G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKRLVQLAKKWQKMETLGRKRIMATAQETEECSTSVAVKGHCVIYTTDGRRFEVPLAYLGTVVFSELLRMSQEVFGFTSDRRIVLPCDAAEMEYAMCLLKRNPSVEVVNALLSSMPMSSQCTGNMVPTVRVNQQISCL >LPERR09G14280.1 pep chromosome:Lperr_V1.4:9:14173231:14173656:-1 gene:LPERR09G14280 transcript:LPERR09G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRMAALGRKRIMASAEETEECSTSVAVKGHCIMYTANGRRFEVPLAYLSTTVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKMNPSVEVVNALISSMLMSCHWNGSMVPTVRVNQQISCL >LPERR09G14290.1 pep chromosome:Lperr_V1.4:9:14174925:14175350:-1 gene:LPERR09G14290 transcript:LPERR09G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRLVQLAKKWQRMVALGRKRIMAAPQEAEECCTSRAVKGHCVMYTADGRRFEVPLAYLGTVVFSELLRMSQEEFGFRSDGRIVLPCDAEEMNYAISLLKRNPSVEVVNALIRSMPISCHCTSSMVPTVGVNQQICCL >LPERR09G14300.1 pep chromosome:Lperr_V1.4:9:14176795:14177220:-1 gene:LPERR09G14300 transcript:LPERR09G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRMAALGRKRIMAAAQETEECSTSVAVKGHCVMYTADGSRFKVPLVYLHTAVFSELLRLSQEEFGFTSDGRIMLPCDAAVMEYAMCLLKRNASVEMEKAFLSSMVAHCHYTSCMVPTVGVNQQISCL >LPERR09G14310.1 pep chromosome:Lperr_V1.4:9:14179383:14179808:-1 gene:LPERR09G14310 transcript:LPERR09G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRMAALGRKRIMAAAQETEECSTSVAVKGHCVMYTADGCRFEVPLAYLDTAVFSELLRMSQEEFGFTSDGRIMLPCDAAIMEYAMCLLNRNASVEMEKALLSSMVAPCHYTGCMVPTVGVNQQISCF >LPERR09G14320.1 pep chromosome:Lperr_V1.4:9:14179456:14181288:1 gene:LPERR09G14320 transcript:LPERR09G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNAFSISTEAFLLSKHMAYSIIAASQGNMILPSLVKPNSSCDILRSSLKTAVSRIEYNFREDLALLPEATSKKFAG >LPERR09G14330.1 pep chromosome:Lperr_V1.4:9:14181263:14181689:-1 gene:LPERR09G14330 transcript:LPERR09G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRLAQLVKKWQRMAVLGRKRLTMKGKENKECSTSVAGKGHCVMYTADGSRFEVPLACLSTAVFSELLRMSQEEFGFTKDGRIMLPCDAAVMEYAMCLLKRNASVDMEKALLSSIVAPCHYTGCMVPTVGVNQQISCL >LPERR09G14340.1 pep chromosome:Lperr_V1.4:9:14181336:14187680:1 gene:LPERR09G14340 transcript:LPERR09G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNAFSISTEAFLLSKHMAYSITAASQGNMILPSFVKPNSSWDILRSSLKTAVLRHANGTSNRLPSAVYMTQWPLPATEVKEKRPVHGQAKWWADPEETKAMSVAV >LPERR09G14350.1 pep chromosome:Lperr_V1.4:9:14183237:14192846:-1 gene:LPERR09G14350 transcript:LPERR09G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQQKMASAGSARHTAVTGDDCCSTASSLAGKGHCAVYTADGARFEVPLPYLATSLFGELLTMSQEEFGFAGDDGRITLPCDSSVMEYVLCLLRRDASEEVEKAFLSSIARPCHNVELISHQFALAKKLQQKMVSAGGARHMASTSGDCCSTSSSVAGKGHCVVYTADGVRFEVPLPYLGTSLFGELLTMSQEEFGFSGDCGRITLPCDSAKVHLAKNITDMISSRKLAQLAKKWQRMVASSGRPTASIDGCCSTATAYVADKGHCVLYTTDGVRFEVPLMYLNTAVFCELLRMSQEEFGFASDDKITLPCDAKVMEYVMSIWESTEETTKWSSHEMLLAKQSTFYRIRNGTDPDKLECWTQLTFSPWTPKQNHHFIHKFNRIPSSVTTTSYKNFRQERRATMISAKRLAQMAKKWQRMAALGRKRLTWTMAKEIDECCSSVAVKGHCIMYTANGRRFEVPLAFLTTTIFAELLRMSQEEFGFTSDGVITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGFAPSLGFVQQVAASSY >LPERR09G14350.2 pep chromosome:Lperr_V1.4:9:14183237:14192846:-1 gene:LPERR09G14350 transcript:LPERR09G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQQKMASAGSARHTAVTGDDCCSTASSLAGKGHCAVYTADGARFEVPLPYLATSLFGELLTMSQEEFGFAGDDGRITLPCDSSVMEYVLCLLRRDASEEVEKAFLSSIARPCHNVELISHQFAGEAFTLAAAAGAPFPHEAIAVESAAPPCHLGSRLTTDIIAVSASAGIKVQDESEMALTLTSWSVGPNLHSVLGHLNRQERRATMISAKRLAQMAKKWQRMAALGRKRLTWTMAKEIDECCSSVAVKGHCIMYTANGRRFEVPLAFLTTTIFAELLRMSQEEFGFTSDGVITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGFAPSLGFVQQVAASSY >LPERR09G14350.3 pep chromosome:Lperr_V1.4:9:14183237:14192846:-1 gene:LPERR09G14350 transcript:LPERR09G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQQKMASAGSARHTAVTGDDCCSTASSLAGKGHCAVYTADGARFEVPLPYLATSLFGELLTMSQEEFGFAGDDGRITLPCDSSVMEYVLCLLRRDASEEVEKAFLSSIARPCHNVELISHQFAVLKCRMEYRILQSSVDQSEMALTLTSWSVGPNLHSVLGHLNRQERRATMISAKRLAQMAKKWQRMAALGRKRLTWTMAKEIDECCSSVAVKGHCIMYTANGRRFEVPLAFLTTTIFAELLRMSQEEFGFTSDGVITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGFAPSLGFVQQVAASSY >LPERR09G14350.4 pep chromosome:Lperr_V1.4:9:14183237:14187477:-1 gene:LPERR09G14350 transcript:LPERR09G14350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRKLAQLAKKWQRMVASSGRPTASIDGCCSTATAYVADKGHCVLYTTDGVRFEVPLMFLNTVVFCELLRMSQEEFGFASDNKITLPCDSSVMEFVMKLAQLAKKWQRMVASSGRLSSSGRPTASIDDYCSTATAYVADKGHCVLYTNDGVWFEVPLMYLNTAVFCELLRMSQEEFGFASDDKITLPCDAKVMEYVMSIWESTEETTKWSSHEMLLAKQSTFYRIRNGTDPDKLECWTQLTFSPWTPKQNHHFIHKFNRIPSSVTTTSYKNFRQERRATMISAKRLAQMAKKWQRMAALGRKRLTWTMAKEIDECCSSVAVKGHCIMYTANGRRFEVPLAFLTTTIFAELLRMSQEEFGFTSDGVITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGFAPSLGFVQQVAASSY >LPERR09G14350.5 pep chromosome:Lperr_V1.4:9:14187716:14192846:-1 gene:LPERR09G14350 transcript:LPERR09G14350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQQKMASAGSARHTAVTGDDCCSTASSLAGKGHCAVYTADGARFEVPLPYLATSLFGELLTMSQEEFGFAGDDGRITLPCDSSVMEYVLCLLRRDASEEVEKAFLSSIARPCHNVELISHQFAVLKCRMSPHQLQWLHHSANNQAH >LPERR09G14360.1 pep chromosome:Lperr_V1.4:9:14195786:14203123:1 gene:LPERR09G14360 transcript:LPERR09G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVTSASDLGRRLLRSPATSGAVDLLFPPPAEMAVARIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKIEGNLPVYGYHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIVDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSLEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQEAWRMVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDIPGSYHTEWFKIARNLNN >LPERR09G14370.1 pep chromosome:Lperr_V1.4:9:14201900:14202590:-1 gene:LPERR09G14370 transcript:LPERR09G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETEQELLKPSEELIQEPSSTFVEATNFPVKTTLSATHAHAEQINPTNYSSGYTDGYDVDSTMQFKDAASAARAAAESAERAASAAKAAADLVNKRHSSDEVEDRRTSFHESTHSSKRQSMSNSSRSSRKEDVVAFDELNTQGRRASHTGSFIESNHIKGLYKYSFSDHD >LPERR09G14380.1 pep chromosome:Lperr_V1.4:9:14206143:14206592:1 gene:LPERR09G14380 transcript:LPERR09G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISTKRIAQLAKKWRRMAALGRKRLTMSSSPATTEESQCCSTAAIAGKGHCTIYTADGARFEVPLPYLATPLFVELLAMSQEEFGFSSDNGRIILPCDAMVMEYVMCLLGRNASAEVEKAFLSSMVMPCHYASCVAPALGAYQQVAV >LPERR09G14390.1 pep chromosome:Lperr_V1.4:9:14206715:14209988:-1 gene:LPERR09G14390 transcript:LPERR09G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSATAGESKSPGRALRRLAGAAVAAVLLRGSFSASKCKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIVDLFCELIVTRLPIIAKQKECPVDLKEGICSLIFAAPRCSELPELSRMRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQIKLKILKEIAKEHQIDWDVTETEQELLKPSEELIQGPSTFVEATNFPVKTTLSAAHVHAEQINPSNYSSYTDGYDDGSTMQFKDAASAARAAAESAERAASAAKAAADLVNKTHSSDEVEYRRTSLDESTHSSKRQSMSNSSRSSRKEDVVAFDELNTQGRRASHTGNFIESNHIKDNEDTEPVDLSARRMRKRNSRATRKVRSEIKVDDSEGLNSETEDESDTEIQSTERPAPRSEPYPVSRHSEDEEKENYEFPDLPKATLSSRVHPNMPLDYETLTARFEALKSGKLPYEKFDALAVICRALEDHN >LPERR09G14400.1 pep chromosome:Lperr_V1.4:9:14224693:14230943:1 gene:LPERR09G14400 transcript:LPERR09G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEELAVRHCRERSELLAMAIRHRYALADTHRAYAESLAGVGAVLHDFLHGVRSLPPPPPEPTLHLPGHRKGDNLPTAASPAPAIASSSSSGQPPPPAVAKQVRIAAPPDDGHIHFQSDEDDSDSEGGGGGGGGHIKFHSDEEGGEPVQRRPQIIRSAGEPQMGPPPQMGPPPYGSGYAPPPPYGSGYGYGYGPAPDYGGGGMGGVANGGGGYDPGYGGMGGASGGGGGGYPPGYGGMGVGGDGGGGGYEPGYGGMGSYGQSFFNISYARSQPPPASVSYEHRLQSTDARVHYYAGEGNPQAPPRGYGGGGYPYPPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPPPPSPPRVSTWDFLNPFETYESYYEQPTAAQALSYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYSNDKHMAANGYIGKGKTAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGSEKTYIDDAEVMLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEERMRALYDRQREELKILDEKGAEAHKLEATERSIRKLSTKISIAIQVVNTISGKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISQAKNIDSMIDGAKFGEAHMDLIKRLELQHLDWITCFASWVNAQKSYVSTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAIGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQTKKLVLISNQSGVSLSAQALHDAGPHGGTGSLQLSLRNIFEAMENFTANSANTYKGLHLRAEEEKIRVAQETERVS >LPERR09G14410.1 pep chromosome:Lperr_V1.4:9:14236348:14238804:1 gene:LPERR09G14410 transcript:LPERR09G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHQRIEESNRLMEESPAAMNGGVEKSRFRRVCVFCGSSSGKRSSYRDAAVDLGKELVARKVDLVYGGGSLGLMGEVAVAVHKGGGHVIGVIPTTLMGKEITGETVGEVREVTSMHERKAEMARSSDAFVALPGGYGTLDEVLEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVGDGFIRPSQRHLFVSAPDATSLVRKLEEYVPVQDEEDQETPKLRWEMEQQPAIFQKEIEQPVVGYS >LPERR09G14420.1 pep chromosome:Lperr_V1.4:9:14241343:14245945:1 gene:LPERR09G14420 transcript:LPERR09G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSDMMSPPGSKLARASDGSASGKDVFGCLPDELIHHVLSFLPMVEAVRTSVLARRWRNLWVSMPCLNVDFDDFDNEPQFESFMAQALPLLDDTAPLRTFRLRSLLGLCSSLWISHAIKRKVAVLEYSEHPTGSVHDGLNLASSYLTKLVLKHVELDAYHFGPLNNACSVLETLELLSVFILDSGEISSSSLKHLRVVSCLFESEFRICTPNLLTMCLDDIHGFGSLALENLSSLTTASISAYDCFFPEGDIQIELHILDGLSHARNLKLVAPLHEALFDVALPTCLVFSNLKCLVLGDWCMAFDLYPLRCVLKQSPMLEELCVELRDKECKDCKERAPDFSHEEILPFRISELLLLWHGKLSVPLFLNSINNGYRLQRHMKTSIKVLKQRLGSVDMIDSNMLYCNPISISCVGCTFPATSTIPVSTSDTEVWTPGKKTAGKMSRRRQPQGSVSGDGDDDDDDSFLYRYPLPSAAAAPGSSSSHGGGGGGSGGLAPSKSTVYVSNLDYSLTNSDLHTLFSRFGRVARVTVLKDRGSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGGGGRGASWQSDDEEESAMAFEDDKWASVVDTRGEEEEKAAGKGEGKSAKKKKEKRKGYFSDESDEDED >LPERR09G14430.1 pep chromosome:Lperr_V1.4:9:14243657:14251294:-1 gene:LPERR09G14430 transcript:LPERR09G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDPQPSISLARTPSEGASAVDLDLLEQLLSADNAWLEVAANSSRSPNFFASPSNILTDAVTTTTPANTWWIQSGSTSTSVRERFDQALGLIRETQSEADVLVQLWVPVKGDDGKLVLTTSGQPFTLDQRSDSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRVSHAQYLDVHGTMGLPVFEKGNYSCLGVIELIMTKQKLNFTSELNTICCALQAVNLTSTEVSNIPRKKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDAACYVNESQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSATMRSVCQTLRVVTDKEMEDEAMRETNELNSFSPHGKNKVEELSFGDNTTEHREESSWTSLVGPSQKGSDLAELHAHGMLSHGGQGSTQAGDQTSKEGSKVKRRTKMEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSITVPPANQSNLTDFEKHQYHRVSRTIPSTSLSHSSCSQSSGSSPSCSGGATKHPPQDGADLVKSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVSEKAIGGQHMSQSPSSPKQTAHVGMRVKVTFGSEKVRFRLKPDCDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLGANPTTRVAIGHTDLLTIFIARDLRIDVANILESRLQYQMLIQGLWKWPGRCIQHNSAIGLRTRKDVKIVYPDPPVQNSKQKLIMVLESRSDAKGAHNTPSGVQSSHQNFFHLIEEKIRDFEDILKIQNMETELVTVRCELKQSREAVNGSKKAALDSALNQLEAYHKLEPLKPII >LPERR09G14430.2 pep chromosome:Lperr_V1.4:9:14243659:14251271:-1 gene:LPERR09G14430 transcript:LPERR09G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDPQPSISLARTPSEGASAVDLDLLEQLLSADNAWLEVAANSSRSPNFFASPSNILTDAVTTTTPANTWWIQSGSTSTSVRERFDQALGLIRETQSEADVLVQLWVPVKGDDGKLVLTTSGQPFTLDQRSDSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRVSHAQYLDVHGTMGLPVFEKGNYSCLGVIELIMTKQKLNFTSELNTICCALQAVNLTSTEVSNIPRKKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDAACYVNESQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSATMRSVCQTLRVVTDKEMEDEAMRETNELNSFSPHGKNKVEELSFGDNTTEHREESSWTSLVGPSQKGSDLAELHAHGMLSHGGQGSTQAGDQTSKEGSKVKRRTKMEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSITVPPANQSNLTDFEKHQYHRVSRTIPSTSLSHSSCSQSSGSSPSCSGGATKHPPQDGADLVKSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVSEKAIGGQHMSQSPSSPKQTAHVGMRVKVTFGSEKVRFRLKPDCDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLGANPTTRVAIGHTDLLTIFIARDLRIDVANILESRLQYQMLIQGLWKWPGRCIQHNSAIGLRTRKDVKIVYPDPPVQNSKQKLIMVLESRSDAKGAHNTPSGVQSSHQNFFHLIEEKIRDFEDILKIQNMETELVTVRCELKQSREAVNGSKKAALDSALNQLEAYHKLEPLKPII >LPERR09G14440.1 pep chromosome:Lperr_V1.4:9:14257116:14258327:-1 gene:LPERR09G14440 transcript:LPERR09G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKEPKNVGNQQPGTSPFSKDKASQTVGIKRPQPNGPSNPANPGTNGHLVYVRRRLETDHSKISSSANAESINSLSSKKAVVDKPQKQNLKHQNSLPQTHLAPVSASPALAAATSPTPVSASPALAAATSPASPFGGLPAKNSPRNQSPGKVAVQTSIVVTASPPPRNLVSTTTVPQNSVAANLAYCNVPGTGTSRDAIATTTTRYLAGPQGSSNQDWKERFIKLHAFLRSNEQSGQEEYIRMLRSLSSVGRSKHAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPADALPLPTQPASVRHLAFPPR >LPERR09G14450.1 pep chromosome:Lperr_V1.4:9:14261555:14263533:1 gene:LPERR09G14450 transcript:LPERR09G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKKRVAIVGAGTSGLAACKHLLARGFRPVVFDAGDSIGGQWTRTLASTRLQSPRAGYRFSDFPWPESVVAAGGDDDDCYPRHDQVVAYLAAYARRFAVDECVILRSTVLSAEFVGGDGDADEWELWNGNGDAFGDGSGVWRLTVRHDDGETSTTQVYEFDFLVLCIGRFSGVPNIPAFADGGGPEVFRGRVIHSMEFPDMDDADAAALVKGKRVVVVGSGKSAFDIAAESARWLLHGTNVWGKVNLGYIFMNRFAELMVRKPGAGYASNLLATLLAPLGWLISKLTEAYYKKEIPMEKHNMSPEHGLASSFSSCRIGMLPDRFYDMVNDGSIVIKRSPTPFTFCADGLMLAGGERAVTPADLVILATGFRGDEKLRRMLATSRRVRDAVVVASRLYRECVHPRVAQMAVVGYTESLTNIHSCEMMAKWVARLVDGAFRVPPVRRMEESVAEWHGNASCIGGVNIWYNDELCRDMGCEPRRKKGMIAEWFQPYGAADYADIQ >LPERR09G14460.1 pep chromosome:Lperr_V1.4:9:14266013:14274381:1 gene:LPERR09G14460 transcript:LPERR09G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGMESDQEVVQMVGRDPRYGDLLHPSIQECAFERIYTQALQYMDDKVMYPGTGTQKEGRSKSILHDVFVAHVLILRVIFSNFIFVQVLSRLSYMASLGYMTRITPHFEKTRKTSGPHALQPSQVRIKW >LPERR09G14460.2 pep chromosome:Lperr_V1.4:9:14265994:14274381:1 gene:LPERR09G14460 transcript:LPERR09G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGMESDQEVVQMVGRDPRYGDLLHPSIQECAFERIYTQALQYMDDKVMYPGTGTQKEGRSKSILHDVFVAHVLILRVIFSNFIFVQVLSRLSYMASLGYMTRITPHFEKTRKTSGPHALQPSQVRIKW >LPERR09G14460.3 pep chromosome:Lperr_V1.4:9:14265994:14270230:1 gene:LPERR09G14460 transcript:LPERR09G14460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAADDGGDSEGYKNVKAGQPSVKFEYNVEKITPQFSENHLIYQATGLITSVVSFFLSACLVPITKSPFSYQLSCLLNMFLSTFRYSAPVMVDIEYTVGKQYELKMKQNFIIGYLPIMLRSHACILSQKDEAELARYGECPLDPGGYFIVKGTEKECAFERIYTQALQYMDDKVMYPGTGTQKEGRSKSILHDVFVAHVLVNSGNFRPKCIYTTVMIRRMMDAILNSDTFDDKVLFKTMNSNAVERLNHSSERFRSSPLDLSQFFNLLTSTSINLKTDARNIAISNVLTLFYAVEIHTQVGVTVPPHFGCLGDLGA >LPERR09G14470.1 pep chromosome:Lperr_V1.4:9:14280667:14284792:-1 gene:LPERR09G14470 transcript:LPERR09G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASPSEGSTASRCSSLASTRRRAPWHLLWPSSVLPSPSLPTSRRGGGAPPCQAWWRMSRTCCRISTMLELVGYGQAWFEAGGPNTSSSIDPWLIIGRWRTLDTLQVSFILVLEKSPPFAWNQCRSRVSIIILDFELLEYAWISNSAIVEALQTSTLSSDDINVNSLSINTLESLIKKTKTNDLFQQ >LPERR09G14480.1 pep chromosome:Lperr_V1.4:9:14307378:14310027:1 gene:LPERR09G14480 transcript:LPERR09G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKMMKRVAIVGAGASGLAACKHLLERGFRPVVFEAGDAVGGVWARGAIASTRLQTPRPYFEFSDHPWPPAMAAAELYPDHGQVTAYLRSYADRFGVLECVRFGCRVAGMEFAGAGEVEVMRWEEWAGNGEAFGTGRGEWRLTVHHGDNVETHVTDFVVLCIGRFSGAPNMPRFAPNKGPESFAGTAIHSMDYANMGAAKAAQLIKGKLVTVVGYQKSAVDIAAECANANGASHPCTIVLRTKRWIIPDLYAWGFPIPVFYITRFSQLLLHKPGDGLILGLLATLLSPLRWLFCKFVESYYKWALPMEKHDMVPDEDFLEAMCSCSVMKLPDKFYDKVEEGSIVLKKAKKFSFCKEGLIVEGHSSSETIKSDVVIFATGFNSDQKIREMFKSPLFRDIVAGSPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSIREMVEDIGEWDKYMKRYAPGRFRRSCIGPVNVLCSDRLCQDMGVKQRRKKWLLADWLVPYGPADYADINLNN >LPERR09G14490.1 pep chromosome:Lperr_V1.4:9:14312739:14313146:-1 gene:LPERR09G14490 transcript:LPERR09G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRDNGLLLHWYTPQAELMMSQSQPSAGQAVVLTDNDLLAEVLIRLRTLADLGRACAVCASFRRVVTGAAFLRRVRALHPPTLLSLVPFSGGLYPAEPPLRPLRPLPARRRRWPDPVAPPRQTPAHATAGGG >LPERR09G14500.1 pep chromosome:Lperr_V1.4:9:14325341:14327040:1 gene:LPERR09G14500 transcript:LPERR09G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSLRSYILVIYIMFLGHQIEGGEPWFVQALQAKGGGVTKSYKKYFARHDTHVGSYFGLVATMEAYGFELGYGQITSTMIWVDNSLDDVQETNAIWVGWQVNPGMYGDSRTHFFTHWTRDGYRKTGCFNMNCTGFILTDGSQIAPGGIINPVSDVDGARQKITLKVFRESSIGDWWIHYGFNSAPKAVGYYPANLFTELSKGATRIAFGSNSVAMNGYSAPPMGSGLLPSILSDKSASIEEISFVDKDGKIRPFNVDTIKTETMSSCYVMTPLFGERGTRCLYGGPGNCVL >LPERR09G14510.1 pep chromosome:Lperr_V1.4:9:14329192:14332261:1 gene:LPERR09G14510 transcript:LPERR09G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKKMDRKSVGIIGAGVSGLAACKHTIDKGFNPVVFEADETIGGVWAHTLESTRLQAPTTAFRFSDLAWPASVTEKYPSHRKVMEYLRSYACKFDLLRCVRFNSQVLGVEYLGATEEDIMEWEQWSGNGEAFGAGKDGAWRLTVKDMKIGNIEVFQVDFLIVCIGRHSGSPNIPEFPANNGLDLFRGEILHSIDYSYMDNPSEFVKGKKVTIIGSGKSAFDIAAEVAKVNGATHPCTMIYRTKHWLVHKSSIWGIDLSYFYLNRISQLLVHKPSEGFLYYVLATALSPLRWAVSKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFSNDGIILEDGNEHTKSDIIILATGFRGDQKLRDIFTANWCKKIVAGSSATSVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVAHLLAGRFKLPSIRCMEESISEWAKYKDLYNGKYFRRSCISTVNIWFNDLLCQDIGCKPKRKKGLLAEWFQPYGPADYADIN >LPERR09G14520.1 pep chromosome:Lperr_V1.4:9:14330634:14336984:-1 gene:LPERR09G14520 transcript:LPERR09G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAARTGVVVRQRPAPLGAALLRGGGEVVGWARRATLRGAGLSLSPAARRRVVSAAGGHFLPRRAALRAAAAGDGEFRREDPAGEQPFERLSSHSDDAKDVTALRSLDGDRPREIRKELMNLALPAILGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSRHDSSQFTSEGNISSETGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASAMHNPAKVFLSLRALGAPAVVISLATQGIFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSYAKLDYEKVKEVTYYVLKTGLFVGAALALVLFASFGRLAELFSKDPMVLQIVGSGLLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMGLRMTAGFFRPLVVFAPERTNIQDDSIIREAEPLGFLENNAAFINLIIKPLW >LPERR09G14530.1 pep chromosome:Lperr_V1.4:9:14338576:14341018:-1 gene:LPERR09G14530 transcript:LPERR09G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHPPRPVVYTSVFLVLACVAAVSPAATAARFVCNATAPRASTCQALVSYAPPNATTLAAVRALFQLRSHRALLASNGLPITTPPSSPAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHFTYVVPPGSSVAGIAQDFATTEETLLAVNRMPDAKSLLAGQVLDVPLRACSSAISNTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPAAKCGDMFLGNITTSPASSCEATTCSYAGYTNSTSFSILANLTTSSTCNAAAMSPNTQPAHSSAFRLASTRLRWTELVVYLHVILLCVGLLNHV >LPERR09G14540.1 pep chromosome:Lperr_V1.4:9:14343593:14347167:-1 gene:LPERR09G14540 transcript:LPERR09G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWRRRIHHHHHQYQFPRRIALPAAALLLLFLAVSLLSFSLPVPPLTGHLGLASSSRRSLGSSRSRRRPPNSSDGEMDGGFSDIRKNERAAPIDLWGSKLASNFYGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQRSFWKDSSNFSEIFDIDWFISFLAKDVNIIKEPPEKGGKTVKPFKMRVPRKCTPRCYLNRVLPAILKKHVIRMTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRYFIALHLRFEPDMLAFSGCYFGGGEKEKRDLSSIRKRWKTLHINDPEKGRRQGRCPLTPEEVGLIDAFVTNNNGNMAKILAGRRRYFGHKRTIMPIAKQLYPLFMNRANISWDAFSSQIQIVQKRFIGEPMEIMPGRGEFHANPAACICEKTDIKSLVELNSSSNRESVRSTEISKPIGEPTYPIYTDEEADRSDTEDDPAERGEMIEMEAEDDSLVRQEDSELEEILSD >LPERR09G14540.2 pep chromosome:Lperr_V1.4:9:14343593:14347167:-1 gene:LPERR09G14540 transcript:LPERR09G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWRRRIHHHHHQYQFPRRIALPAAALLLLFLAVSLLSFSLPVPPLTGHLGLASSSRRSLGSSRSRRRPPNSSDGEMDGGFSDIRKNERAAPIDLWGSKLASNFYGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQRSFWKDSSNFSEIFDIDWFISFLAKDVNIIKEPPEKGGKTVKPFKMRVPRKCTPRCYLNRVLPAILKKHVIRMTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRYFIALHLRFEPDMLAFSGCYFGGGEKEKRDLSSIRKRWKTLHINDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGEDTLAPLKLLFPNFHTKETLSTKEELTPFLTHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIMPIAKQLYPLFMNRANISWDAFSSQIQIVQKRFIGEPMEIMPGRGEFHANPAAYRSDTEDDPAERGEMIEMEAEDDSLVRQEDSELEEILSD >LPERR09G14540.3 pep chromosome:Lperr_V1.4:9:14343593:14347167:-1 gene:LPERR09G14540 transcript:LPERR09G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWRRRIHHHHHQYQFPRRIALPAAALLLLFLAVSLLSFSLPVPPLTGHLGLASSSRRSLGSSRSRRRPPNSSDGEMDGGFSDIRKNERAAPIDLWGSKLASNFYGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQRSFWKDSSNFSEIFDIDWFISFLAKDVNIIKEPPEKGGKTVKPFKMRVPRKCTPRCYLNRVLPAILKKHVIRMTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRYFIALHLRFEPDMLAFSGCYFGGGEKEKRDLSSIRKRWKTLHINDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGEDTLAPLKLLFPNFHTKETLSTKEELTPFLTHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIMPIAKQLYPLFMNRANISWDAFSSQIQIVQKRFIGEPMEIMPGRGEFHANPAACICEKTDIKSLVELNSSSNRESVRSTEISKPIGEPTYPIYTDEEADRSDTEDDPAERGEMIEMEAEDDSLVRQEDSELEEILSD >LPERR09G14550.1 pep chromosome:Lperr_V1.4:9:14348201:14349284:1 gene:LPERR09G14550 transcript:LPERR09G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRCMGCIRKIEKAMVCIGSVSGVQTSVADVDTGIVAVTGKVNPTQLCIWLKKRIRKDVKIVYPDLPVQNNKQKLIMVLGSSSNAKGAHNTPSAPPLQDHMSWHSVPPGVQSNHHSLHLVEEKIRELENVRDMLKIQNLETQLVAVKCELKHSREAINESKKAVVDSALNQMETYHKLEEALSHSPYGLCYLVNKMHLHCKWTLFSVF >LPERR09G14560.1 pep chromosome:Lperr_V1.4:9:14349533:14349901:-1 gene:LPERR09G14560 transcript:LPERR09G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKRYVLRLFISLKYVTANVVDRRCGRVVATASSVEKPLRDGLECGRACNAKAAAAVGEVLAMRLKVDGLAREPIHADAAKEVEKKGFKNRTKVWAIFNALRDHGVNLHLDDNGDHRPHV >LPERR09G14570.1 pep chromosome:Lperr_V1.4:9:14350393:14351597:1 gene:LPERR09G14570 transcript:LPERR09G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNGGDGEVDDEIESAFAAGELPPTWRPRLMASGLSSSDVSVIAAAIAKTHPILRATSSMEAVAFNALGVLGGAFSCLVAVAVRDTKGLVMVQISSSMDDGDDEEIEAAFVAGAMPPEWQPRLMASGLKENDVKLIAAAIAQTHTATASQRRRLNWSRALGFLAGLFIVFVTLTRGGGVFFLGEIEANGRLIVALFVGGLIGVVMVPIMVMVDECEHRRAVTIRRNVRIVLKHFLLSPV >LPERR09G14580.1 pep chromosome:Lperr_V1.4:9:14352451:14352924:1 gene:LPERR09G14580 transcript:LPERR09G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTIDLDFHEPHSNSSISRHIDDEIEAAFAAGKMPPDWKPRLLASGLSKGNVSAIVTKIDKVHEILHRPRHKVAISVAAMVFFFLAGASAGVVGLVFLLIGSEHNKEWMGLLLFVALLLAACTALIAYYAARDLKDAIKIRDSTRRVLKRNLLPPV >LPERR09G14590.1 pep chromosome:Lperr_V1.4:9:14356848:14357324:1 gene:LPERR09G14590 transcript:LPERR09G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIIDLDFHVPRSSSSRSRHIDDEIEAAFVAGEMPPEWKPRLLTSGLTEDNISAIAMKIAKINSPASPTVVISLAAMAFFFLAGVSAAVVAIVFLLDEHGQQNGWMWALFIVGTIVAMFTAIIVPRFGARSHEEATKIRDSTRCVLKRNLLPPV >LPERR09G14600.1 pep chromosome:Lperr_V1.4:9:14367736:14372160:1 gene:LPERR09G14600 transcript:LPERR09G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERQPPPPPPPPPQPALMDTELPRPQKLDRLRQSVPLIKGISDIDSEGFLRMAARYLSNEHGGRIYAKPEKEVMVQYDTICEVAKDRVKTSEAGRVASAPELPHEIQGEDLEIKKMLDRLVVLKINAESGKRMGSRSPRTLIKFPDGSIPLDHFVKEIESLNIAYKCDIPLLVMNSFMTMDDTLKVITRYKDSNVKLFLFSQSCQLTKSVQSKYPAIDDNFYPVAFNGKYPDDCWYPPGDGDVYASLYNNRRLHNGNKFVFIASGDNLGATIDLSIQPYVGGLRIIKLETDAEDAQKTKDEVRSVWYENMFWFGTVICYGFVVRRRRHLRHMPAALPVDARRWADEGDAEILIQSGMETA >LPERR09G14600.2 pep chromosome:Lperr_V1.4:9:14367736:14372160:1 gene:LPERR09G14600 transcript:LPERR09G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERQPPPPPPPPPQPALMDTELPRPQKLDRLRQSVPLIKGISDIDSEGFLRMAARYLSNEHGGRIYAKPEKEVMVQYDTICEVAKDRVKTSEAGRVASAPELPHEIQGEDLEIKKMLDRLVVLKINAESGKRMGSRSPRTLIKFPDGSIPLDHFVKEIESLNIAYKCDIPLLVMNSFMTMDDTLKVITRYKDSNVKLFLFSQSKYPAIDDNFYPVAFNGKYPDDCWYPPGDGDVYASLYNNRRLHNGNKFVFIASGDNLGATIDLSIQPYVGGLRIIKLETDAEDAQKTKDEVRSVWYENMFWFGTVICYGFVVRRRRHLRHMPAALPVDARRWADEGDAEILIQSGMETA >LPERR09G14600.3 pep chromosome:Lperr_V1.4:9:14367736:14372160:1 gene:LPERR09G14600 transcript:LPERR09G14600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERQPPPPPPPPPQPALMDTELPRPQKLDRLRQSVPLIKGISDIDSEGFLRMAARYLSNEHGGRIYAKPEKEVMVQYDTICEVAKDRVKTSEAGRVASAPELPHEIQGEDLEIKKMLDRLVVLKINAESGKRMGSRSPRTLIKFPDGSIPLDHFVKEIESLNIAYKCDIPLLVMNSFMTMDDTLKVITRYKDSNVKLFLFSQSCQLTKSVQSKYPAIDDNFYPVAFNGKYPDDCWYPPGDGDVYASLYNNRRLHNYVGGLRIIKLETDAEDAQKTKDEVRSVWYENMFWFGTVICYGFVVRRRRHLRHMPAALPVDARRWADEGDAEILIQSGMETA >LPERR09G14600.4 pep chromosome:Lperr_V1.4:9:14367736:14372160:1 gene:LPERR09G14600 transcript:LPERR09G14600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERQPPPPPPPPPQPALMDTELPRPQKLDRLRQSVPLIKGISDIDSEGFLRMAARYLSNEHGGRIYAKPEKEVMVQYDTICEVAKDRVKTSEAGRVASAPELPHEIQGEDLEIKKMLDRLVVLKINAESGKRMGSRSPRTLIKFPDGSIPLDHFVKEIESLNIAYKCDIPLLVMNSFMTMDDTLKVITRYKDSNVKLFLFSQSKYPAIDDNFYPVAFNGKYPDDCWYPPGDGDVYASLYNNRRLHNYVGGLRIIKLETDAEDAQKTKDEVRSVWYENMFWFGTVICYGFVVRRRRHLRHMPAALPVDARRWADEGDAEILIQSGMETA >LPERR09G14610.1 pep chromosome:Lperr_V1.4:9:14374804:14375040:-1 gene:LPERR09G14610 transcript:LPERR09G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAWTVEDYREYRRAVRDIAIMLSFAAVFALLGFVIPDISMRFQAICWQSVIVGVFCAGVIIRRHPIVWHLPPPRRN >LPERR09G14620.1 pep chromosome:Lperr_V1.4:9:14376249:14381078:-1 gene:LPERR09G14620 transcript:LPERR09G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQQQTAAAAMSAPPRKRKKKGRPSLLDIQKRTLRLEKLQDPQPPPPPPPPPQPGPPPRRRSTRRNPALDSGDDDDDDDAEGTGRREKKVRLVMGLPDGSAKGEKTRKATDGREEPSDSGPTTPLPDKKLLLFVLDRLQKKDTYGVFSEPVDHEELPDYHDIIKHPMDFSTIKKKLDKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQDLAKKDFENLRQDSDASEPEPEPEPKPDPEPTKLPPRRGRPPNKNNIKQKVGKQSVERATADFSGATLASVGNSGHRTHPVFDLQRQVMDRSLIADVLRASFANRNNEYNWSNERKMERMEDYSGTMGKWSAKSGKKPVMMEESSRSTYCQPQPSSSIYELPVSSSYNETKKLLVGVQLQQSYARSLARFAAQLGPVAWEIASKRIERVLPPGTKFGRGWVGDGEAPNTFQRPELTTSSTALTPPSSTVTSSEQATHNGPANTSHSAGPQPSSAPYASTITAQRVDCQAIPSQQHGSIPQVSVERGEHGVDVKGNHNLHERPAIQHTINGFNAVSGSTIFPSAAQMVANRIQTHTAD >LPERR09G14630.1 pep chromosome:Lperr_V1.4:9:14382533:14385976:-1 gene:LPERR09G14630 transcript:LPERR09G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIFACIPVLLLVILSWTLPCSSDDRLAVGKTLSPGETLISDGGAFAMGFFSPSSKSNSTTTTSSGLYLGIWYNNIPKLTVVWVADQVAPISDHPSSPASTLAVSNDGNLVLSDGATGRVIWKTNVTGGGANASATAVLENSGNLMLRLPAEDGGAVLWQTFDHPGDAFLPGMKIGVTYRTHGGVRLVSWRGATDPSPGNFSFGGDPDRPLQVVIWRGRHVYWRTNPWKGYMVDSNYQKEGGKSAIYTAVVSTDDEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSNESSSWATFAKYPTRACSVFGSCGAFGYCAGDAAASTCHCLEGFEPASGGDWRRGDFSMGCRRSRPVECSDGFVAVTNLKLPDWYLHVGNRSYDECAAECRRNCSCVAYAYANLTGSSNRDSTRCLVWGGDLVDMEKVVNTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASILVPVCILICAPKIKEMVKKKYGEKNKRRALRVLSIPDELGHEIPAKDLEFPFVEYGKVIVATDNFSEASLIGKGDFGKVYKHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKCNRKPVLDWSIRFRIVKGIARGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGMARIFGDNQQNEVTKRVVGTYFGVLLLEIVCGSKISSTDLIEDSPNLPLYAWNLWNEGKAENMIDSTIMANCLLDEAMLCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPNRPDILHKTIMK >LPERR09G14640.1 pep chromosome:Lperr_V1.4:9:14387999:14391328:-1 gene:LPERR09G14640 transcript:LPERR09G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGCTEAAILLFLLLALPLCSSDDRLAVGKTLSPGETLVSDGGAFAMGFFSPFNSTPNKLYLGIWYNNIPKLTVVWVADQVAPITDHPSSSSKSKLTMSNDSNLILSDSTGRVLWSTNVTIAAGGGVNNSVAVLVNSGNLVIRSSPDGTPLWQMFDHPSSVFMAGMKLGVDFRTRSGNMRIVSWTGAGDPSPGRFSFGVDPERPLQAKIWVNDNGSSSSRVHWRSSMWTGYMVDSNYQNQGAGAGAGASSAIYIAVVYTDDEIYASFTISVGAPPMHYLMSYSGELFLQSWSNDSSSWVTNAVYPRRNCSLFNNCGAFGYCGNVTGDVSTCHCLDGFEPASGGDSWRRGDFSMGCRRKEEVRCDDGFAAFPDMKLPDGYTLVGNMDAGECAAACRRNCSCVAYAYATVSSSTKRDSTRCLIWGGELLDMEKVEQSWGDLGETLYLRMAGAGRGSKTGAMKFALPIVLASIIIPTCILICVPKLKEEMIVKYVGKNNKKRAMRVLSISGEFGKEIPAQDLDFPFVQYDEIVTATDNFSEASMIGKGGFGKVYKHRNLVRLVGCSIEGDEKLLIYEYMANKSLDASLFDSKRKSALDWSMRFKIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDTEMNPKISDFGMARIFGDNQQNEITRRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIISGSRISSSDFIEEFPNLSIYAWNLWNEGKAKNMIDSSIVASCLLDEVMLCIHVGLLCVQENLNDRPLMSSVMLILENGSNSLPSPNRPAYFAQKDIEIVQPRDDTQNSNNTVTLTVMEGR >LPERR09G14650.1 pep chromosome:Lperr_V1.4:9:14396962:14401879:-1 gene:LPERR09G14650 transcript:LPERR09G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWAVASGGRALFLLLPALCASDDRLVSAGKSLSPGATLISDGGDFALGFFSTSNSTPTKLYLGIWYNNIPNRTVVWVANRAAPATLSSSPSLAVGNNSNLILSHADGHVIWTTDASASSSNSNSTAVLMNTGNLIVRSPNGTMTWQSFDNPTDTYLPGMKLRSSYKTNTSQGLVSWSSPEDPSPGSFYTAIDRDNFLQYFIWNGPRRHWRSTVWTGYSIASNYFEGKGNNSSTTSSSASVTVYMAYLFTEEEFAMVFTVADGTRPTRMVLSHSGTMDLLTWNEASSEWVSLAASPDCDCSRYGYCGPSGYCDYTENNSPTCKCLDGFEPASTEEWRSGRFSQGCRRKESSRCGGDGFVAMTEMQAPDKFVRVRNRSMEQCAAACRGDCSCSAYAYATLNSSMSTTGDTTRCLLWLGDQLIDAQKIGPCWDTAGANSRETLYLRVSGLSGQRTKTNAVKTVVLVVASIMIITCILLTFCKFKGKKRVGKRQRKGAFDGLNTINGLVGNTTHDLVFPFLKFEDIVAATNNFAQTSIIGQGGFGKVYKHKNLVRLLGCCVEGDETLLIYEYLPNKSLDVVMFNSARNAPLYWPERFNIIKGVARGLRYLHHDSRLTIIHRDIKASNVLLDAEMRPKISDFGMARIFDENKKNANTRLVVGTYGYMAPEYAMEGIFSIKSDVYSFGVLLLEIAWNFWKEGKTEDLVDPSIVESCLLHEALLCIQMGLLCVQENPDDRPSMSSIVFNLENRSTTLPTPNHLAYFAELRDDLLNSTNT >LPERR09G14660.1 pep chromosome:Lperr_V1.4:9:14406388:14408678:-1 gene:LPERR09G14660 transcript:LPERR09G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIADFGMAKIFGENQQKANTKKVVGTYGYIAPEYSTEGSFSIKSDVYSFGILLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLVDSSIVESCSLDEVLLCIHVGLLCVEDNPNHRPLMSSVVSILENGSTTFLAMPNQPAYFAHTSCETDKMTDENSRNTMTVTIIQGSKPSVLARLQTNTGLLMASPEYIQLNRQWTERTEVALR >LPERR09G14670.1 pep chromosome:Lperr_V1.4:9:14411712:14415549:-1 gene:LPERR09G14670 transcript:LPERR09G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMDRSAALACIIISVILLLLLLPPPCSSDDRLVPGKPLSAAATVVSDGGAFALGFFSPSNSTPANLYLGIWYNDIPERTVVWVANRESPVTNGTTLSLTNSSNLVLSDADGRVRWSTNLTTTAGSTTTAAVLLNTGNLVVRSPNGTMMWQSFDHPADTWLPGMKIRIKYTTHAGERLVSWRDHDDPSPGSFTYGVDPDTLLQMFVWNGTRPVRRDGPWNGYMVSSQYQANTSDIVYLAVVDKEEEIYIAFSVSDGSPHTRYVLTYNGRHQLQSWNATSSAWAVLGDWPTWDCNHYGYCGPNGYCDNTVKLPIVPTCKCLEGFEPASTEEWSSGRFERGCRRKEAVHCSTDRFLAVPGIKSPDNFVLVANRTFEACAAECSSNCSCVAYAYANLNNSRSKGDTTRCLVWSGDLIDTEKVGEWLGSDTLYLRLAGLDAGKKRNREKHRKLILDGMSKSKEVGEGNHVQDNEFPFVRFADIALATLNFSEAYKIGQGGFGKVYKAILGGQEVAVKRLSRDSQQGNEEFRNEVILIAKLQHRNLVRLLGCCVEGDEQLLIYEYLPNKSLDATLFDGSRKLLLDWTKRLNIIKGIARGLLYLHQDSRLTVIHRDLKAANVLLDTEMKPKIADFGMARIFGDNQRNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGMRRNCTCNIMGFPNLIVLSWNMWKDMKTKDLADSSIMDSCLPDEVLLCIHVALLCVQDNPDDRPLMSSVVFILENGSSTSLPAPNCPAYFTQRSSEIEQLRDNIQNSKNTFTLTNIEGR >LPERR09G14670.2 pep chromosome:Lperr_V1.4:9:14411712:14415549:-1 gene:LPERR09G14670 transcript:LPERR09G14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMDRSAALACIIISVILLLLLLPPPCSSDDRLVPGKPLSAAATVVSDGGAFALGFFSPSNSTPANLYLGIWYNDIPERTVVWVANRESPVTNGTTLSLTNSSNLVLSDADGRVRWSTNLTTTAGSTTTAAVLLNTGNLVVRSPNGTMMWQSFDHPADTWLPGMKIRIKYTTHAGERLVSWRDHDDPSPGSFTYGVDPDTLLQMFVWNGTRPVRRDGPWNGYMVSSQYQANTSDIVYLAVVDKEEEIYIAFSVSDGSPHTRYVLTYNGRHQLQSWNATSSAWAVLGDWPTWDCNHYGYCGPNGYCDNTVKLPIVPTCKCLEGFEPASTEEWSSGRFERGCRRKEAVHCSTDRFLAVPGIKSPDNFVLVANRTFEACAAECSSNCSCVAYAYANLNNSRSKGDTTRCLVWSGDLIDTEKVGEWLGSDTLYLRLAGLDAGKKRNREKHRKLILDGMSKSKEVGEGNHVQDNEFPFVRFADIALATLNFSEAYKIGQGGGQEVAVKRLSRDSQQGNEEFRNEVILIAKLQHRNLVRLLGCCVEGDEQLLIYEYLPNKSLDATLFDGSRKLLLDWTKRLNIIKGIARGLLYLHQDSRLTVIHRDLKAANVLLDTEMKPKIADFGMARIFGDNQRNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGMRRNCTCNIMGFPNLIVLSWNMWKDMKTKDLADSSIMDSCLPDEVLLCIHVALLCVQDNPDDRPLMSSVVFILENGSSTSLPAPNCPAYFTQRSSEIEQLRDNIQNSKNTFTLTNIEGR >LPERR09G14680.1 pep chromosome:Lperr_V1.4:9:14420810:14424711:1 gene:LPERR09G14680 transcript:LPERR09G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRSHHLLLHHAAILRLPSTRSHRLLLLPHHSRSPAPPLPRLARSPRMSSSNASSPAPSPPPPVVVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECQLFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRLGTGASDLRSFDIDNHLSV >LPERR09G14690.1 pep chromosome:Lperr_V1.4:9:14425242:14430192:-1 gene:LPERR09G14690 transcript:LPERR09G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADVKLENLRAAVDKLDQIRRVHPPSPLPLDLAARAIISENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSPAPEDLSETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYTNSNIEIHTFNQSQYPRIVTEDFSPLPSKGKTDKDGWYPPGHGDVFPSLNNSGKLDTLLSQVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLNLALLTASGNRWVNLKAIKRLEVDGVKVLQLETAAGAAIRSDLYTLVDGFVIRNPARTNPTNPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVYFGSGITLKGKVTITAKSGVKLEIPDGAVLENKDINGPEDL >LPERR09G14700.1 pep chromosome:Lperr_V1.4:9:14439755:14440519:1 gene:LPERR09G14700 transcript:LPERR09G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR09G14710.1 pep chromosome:Lperr_V1.4:9:14441218:14443056:1 gene:LPERR09G14710 transcript:LPERR09G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNWGRKQFGGTDLSPWESSGRQRVGETATILLRIGPVLGAQLNALACDHAAAPGVTGHGRDELQTLGQRLLESSTWGWNSFRWSLRGQV >LPERR09G14720.1 pep chromosome:Lperr_V1.4:9:14442687:14444894:-1 gene:LPERR09G14720 transcript:LPERR09G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRVNYQSRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGVQDSYALCRIFKKNVVLGEFDKQGECSSSQAKGNQEQVTDFGDAGQSSGANENDKDNSWMQFIADDLWCTNKLK >LPERR09G14730.1 pep chromosome:Lperr_V1.4:9:14446446:14448414:1 gene:LPERR09G14730 transcript:LPERR09G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEQAFGTNGKDSNNLQALVLQPQQYAPAMATQEVLSSPPSPALLAYQASSEMNCIQDSSFSGSSSASAPPEVDPTATSGGDGVSYCSTWLPPLVASSCWQAPSSSAMLHCPCSSSTSLQIAFFLKTRHSAKEIS >LPERR09G14730.2 pep chromosome:Lperr_V1.4:9:14446093:14448414:1 gene:LPERR09G14730 transcript:LPERR09G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEVLSSPPSPALLAYQASSEMNCIQDSSFSGSSSASAPPEVDPTATSGGDGVSYCSTWLPPLVASSCWQAPSSSAMLHCPCSSSTSLQIAFFLKTRHSAKEIS >LPERR09G14740.1 pep chromosome:Lperr_V1.4:9:14447345:14449650:-1 gene:LPERR09G14740 transcript:LPERR09G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQASCEGEGIYKREAKGVHPIDQNLVGGMTMSTGVPTVTVPPTPAVADANNLLIRVLAAAVWKGRGNAEEEAKFLWAQPPAPVVEEEEAAGQLQTPPPPPLLLSSLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVSQQQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTIPIQDTYALCRVFKKNAICNEVEELQGQCSMALLEGACQQLLATSGGSQVEQYETPSPPEVAVGSTSGGADAEEDPEKDESWMQFISDDAWYASNAGDGGEESTSCVAIAG >LPERR09G14750.1 pep chromosome:Lperr_V1.4:9:14452584:14454063:1 gene:LPERR09G14750 transcript:LPERR09G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPLVENDAGSYLAWSGKEVAGEKLGCGVLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLTVGVHAEEKVARLVSGDVIAVRAGEVSWWYNDAEEDGDDVTIVFMGDTSGAVSPGDITYFLLAGTNSVLGSLDAALLAAAASSSTSPEQANGAFRSQPATLLTRLRRGVVVVRPREHDRHGLVVNAGAAGASGETRTVITAAQLPALGEIGISVGITRVDEAGGVVGPWVVRDGASQAVYVARGSGRIQVAGAGGATTLVDEDVAAGGMIVVPRYAVALVGAGAGGMELVSLIKSPRAEVEHFTGKGSVLGGLSPEIVEAALNVSTELVEKLRK >LPERR09G14760.1 pep chromosome:Lperr_V1.4:9:14457244:14460941:1 gene:LPERR09G14760 transcript:LPERR09G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNGGNCSSGSEGEEDEDEGMEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTHLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVLQLLDNFKHAGPNGQHVCIVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHREIGIIHTDLKPENVLLVSTINPSKDPVRSGLTPILERTVSNQYSGSVISFSEKMLKMRARRAVAKISRRRVSLGGVAAEMEKERNLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGSGYSYSADMWSFACMTFELATGEVLFAPKTCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKEYFDRHGDLKRIRRLKFWPLELVLVQRYNFTEPEAHGFADFLRPILDFTPENRPTAADCLKHPWLN >LPERR09G14770.1 pep chromosome:Lperr_V1.4:9:14462766:14463878:-1 gene:LPERR09G14770 transcript:LPERR09G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRRTYWAQDRRRGFDGGDLGGKIVFGGLEERWGGIGPLRAGRPIGDLRPGQQIGGLGIGARRSSGSVELKYRVDSIERKFDVHNHEKQLRIRAWEESQRVLFKTVADKQAAKKLQALQDMKERYIVDIMKRCNIPHLFDHIDQHIIRDHAEFLVHVDIQLGFINDIEGKFKKSNMSLAEKSIIVGTMLLVGGIILLSILCVPELPKSLKIVCWATSMVIFFVATLCYYHGSSTTSHPSTSPTETPDLENPAPVTSY >LPERR09G14780.1 pep chromosome:Lperr_V1.4:9:14463404:14467543:1 gene:LPERR09G14780 transcript:LPERR09G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQMSKAGAMEAAGETATFIRCVRQWIHSVHLLQIWVSTSLSLREGSEF >LPERR09G14780.2 pep chromosome:Lperr_V1.4:9:14463404:14467543:1 gene:LPERR09G14780 transcript:LPERR09G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQMSKAGAMEAAGETATFIRCVRQWIHSVHLLQIWVSTSLSLREVLH >LPERR09G14780.3 pep chromosome:Lperr_V1.4:9:14463404:14466487:1 gene:LPERR09G14780 transcript:LPERR09G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQMSKAGAMEAAGETATFIRCVRQPLELEDFGQ >LPERR09G14790.1 pep chromosome:Lperr_V1.4:9:14466391:14468026:-1 gene:LPERR09G14790 transcript:LPERR09G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKWAAAKAQALHDLEERFKQQTARILRRCDLPEHIRLDLQEQHYNDHKVPDDLRLKFINAPFEGNLNIFGQKGILEKIFGQKKLKVQAQKESEKFWVEMAGAAKKAQALQDMEERLIQEVGAVYNV >LPERR09G14800.1 pep chromosome:Lperr_V1.4:9:14469730:14478121:1 gene:LPERR09G14800 transcript:LPERR09G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKRLPKSVHSSLRSSIILFDLSRVVEELVYNSIDANASKIDISVNVRACYVKVEDDGCGITRDELVLVGEKYASSKFENVMIDGEPSSRSFGLNGESLASLSDISVVEVKTKARGRPNSYCKIIKGSKCSHLGIDEQREVSGTTVIVRELFYNQPVRRKQMQSSHKRELHHVKKCVLQVALIHPQVSLRLIDIESEDELLCTIPSSSPLPLISNIFGNNVSSCLHEIATSDQHLALSGHISRPTNVFCNKDFQYLKSPIHNMLNNLASSFQSSIRNEEIDVRSKKRQKNEAYPAYLLNLCCPRSSYCLHFEPSQTIVEFKDWRAVMYFFEQTITNYWKKHAPQLREVKSIDDGTRVPLGRDVKSNQDLLRHHDVQKMEGAADLNTVRDMNIDTGAPAAPKGNYLSLDMEPSTWRFHNQISGVSNKDDVARIDWKFGHEQIFSPQSYNYGWLEDGSSPLVDSDLSGASPIISKRHKTKDIFHAYSGNIGFLQDPELENGPETEIQESCFEYPNKPNRMTCDFVKNQTNIETRVSGCDGFYVDFDELNEDGLLSEISETATDISFPQMSHFHDGFYPKDVSTSRGFCSVMKKFSKRQKNSNAIAQMNFHDMQAVCESDDMDKSSIKDMCLHFFHPFSFAADTPRCHSHARMELHGRSNKSINNWSCESIDCDSRFTPDRSNIDSSIIFEGSKHLNNFDSGTQPPSHFNHEYCSVDPFASEQDQIPLKSENTARMASDISHEKSSTGCHLSVPFSQLANGGKITEDQYGGNQRPRFSKGRYRSRSAPPFYKGKRKFLRLNEPLTKLTTKGDKDFTVNGSVDITDVQDYTSHVNATQPNPVSCSSEFSDINFSLKENVKMYEEQCSDELEDSTASDEITKWRDNSEQHTVLELQHGPYESCDDVLSISSGPLHLSCSVLVPEYVDKNCFEEARVLMQLDKKFIPVISEEVLLLVDQHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMEQIQKWGWIINNSSNSSESFKKNMNVLRRQARLLTLAAVPCILGVNLTGKDLMDFIQQLDETDGSSAIPPAVIRILNFKACRGAIMFGDPVLPSECSLIIEELKTTSLCFQRLGMLSLRQEETWHGLSHHGPSFERAQTRLRKLRKLRGGL >LPERR09G14800.2 pep chromosome:Lperr_V1.4:9:14469730:14478121:1 gene:LPERR09G14800 transcript:LPERR09G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKRLPKSVHSSLRSSIILFDLSRVVEELVYNSIDANASKIDISVNVRACYVKVEDDGCGITRDELVLVGEKYASSKFENVMIDGEPSSRSFGLNGESLASLSDISVVEVKTKARGRPNSYCKIIKGSKCSHLGIDEQREVSGTTVIVRELFYNQPVRRKQMQSSHKRELHHVKKCVLQVALIHPQVSLRLIDIESEDELLCTIPSSSPLPLISNIFGNNVSSCLHEIATSDQHLALSGHISRPTNVFCNKEIDVRSKKRQKNEAYPAYLLNLCCPRSSYCLHFEPSQTIVEFKDWRAVMYFFEQTITNYWKKHAPQLREVKSIDDGTRVPLGRDVKSNQDLLRHHDVQKMEGAADLNTVRDMNIDTGAPAAPKGNYLSLDMEPSTWRFHNQISGVSNKDDVARIDWKFGHEQIFSPQSYNYGWLEDGSSPLVDSDLSGASPIISKRHKTKDIFHAYSGNIGFLQDPELENGPETEIQESCFEYPNKPNRMTCDFVKNQTNIETRVSGCDGFYVDFDELNEDGLLSEISETATDISFPQMSHFHDGFYPKDVSTSRGFCSVMKKFSKRQKNSNAIAQMNFHDMQAVCESDDMDKSSIKDMCLHFFHPFSFAADTPRCHSHARMELHGRSNKSINNWSCESIDCDSRFTPDRSNIDSSIIFEGSKHLNNFDSGTQPPSHFNHEYCSVDPFASEQDQIPLKSENTARMASDISHEKSSTGCHLSVPFSQLANGGKITEDQYGGNQRPRFSKGRYRSRSAPPFYKGKRKFLRLNEPLTKLTTKGDKDFTVNGSVDITDVQDYTSHVNATQPNPVSCSSEFSDINFSLKENVKMYEEQCSDELEDSTASDEITKWRDNSEQHTVLELQHGPYESCDDVLSISSGPLHLSCSVLVPEYVDKNCFEEARVLMQLDKKFIPVISEEVLLLVDQHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMEQIQKWGWIINNSSNSSESFKKNMNVLRRQARLLTLAAVPCILGVNLTGKDLMDFIQQLDETDGSSAIPPAVIRILNFKACRGAIMFGDPVLPSECSLIIEELKTTSLCFQRLGMLSLRQEETWHGLSHHGPSFERAQTRLRKLRKLRGGL >LPERR09G14800.3 pep chromosome:Lperr_V1.4:9:14469730:14478121:1 gene:LPERR09G14800 transcript:LPERR09G14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKRLPKSVHSSLRSSIILFDLSRVVEELVYNSIDANASKIDISVNVRACYVKVEDDGCGITRDELVLVGEKYASSKFENVMIDGEPSSRSFGLNGESLASLSDISVVEVKTKARGRPNSYCKIIKGSKCSHLGIDEQREVSGTTVIVRELFYNQPVRRKQMQSSHKRELHHVKKCVLQVALIHPQVSLRLIDIESEDELLCTIPSSSPLPLISNIFGNNVSSCLHEIATSDQHLALSGHISRPTNVFCNKDWRAVMYFFEQTITNYWKKHAPQLREVKSIDDGTRVPLGRDVKSNQDLLRHHDVQKMEGAADLNTVRDMNIDTGAPAAPKGNYLSLDMEPSTWRFHNQISGVSNKDDVARIDWKFGHEQIFSPQSYNYGWLEDGSSPLVDSDLSGASPIISKRHKTKDIFHAYSGNIGFLQDPELENGPETEIQESCFEYPNKPNRMTCDFVKNQTNIETRVSGCDGFYVDFDELNEDGLLSEISETATDISFPQMSHFHDGFYPKDVSTSRGFCSVMKKFSKRQKNSNAIAQMNFHDMQAVCESDDMDKSSIKDMCLHFFHPFSFAADTPRCHSHARMELHGRSNKSINNWSCESIDCDSRFTPDRSNIDSSIIFEGSKHLNNFDSGTQPPSHFNHEYCSVDPFASEQDQIPLKSENTARMASDISHEKSSTGCHLSVPFSQLANGGKITEDQYGGNQRPRFSKGRYRSRSAPPFYKGKRKFLRLNEPLTKLTTKGDKDFTVNGSVDITDVQDYTSHVNATQPNPVSCSSEFSDINFSLKENVKMYEEQCSDELEDSTASDEITKWRDNSEQHTVLELQHGPYESCDDVLSISSGPLHLSCSVLVPEYVDKNCFEEARVLMQLDKKFIPVISEEVLLLVDQHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMEQIQKWGWIINNSSNSSESFKKNMNVLRRQARLLTLAAVPCILGVNLTGKDLMDFIQQLDETDGSSAIPPAVIRILNFKACRGAIMFGDPVLPSECSLIIEELKTTSLCFQRLGMLSLRQEETWHGLSHHGPSFERAQTRLRKLRKLRGGL >LPERR09G14810.1 pep chromosome:Lperr_V1.4:9:14478816:14487430:1 gene:LPERR09G14810 transcript:LPERR09G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGDNDFATPPPPARAPGSSSGALVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGNTRSAPLPPPPTTNSRKLPIDPFLHGGGFVPEETQKQQQATPGVPEKAAKKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKSVCMSGNIDDQCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLVKVGRKVKGCPYFASQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDADEESLHSLRQELQGLVTDEAVAMIYGPLHDVVQELTSWIDERGNDLQNNEFQHPASFWTGEEAMKELQSAGITPVNFPILQECATKAIKAASDAESEGVHLSGGPAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAQREGNSVIGWKSVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINVGSQVFATVLSSGPTGRRLNASYRTANEHSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWSQTGQWARLEAQKHVCVEPRGSTEELEPVLKGYYNAILGKAPPKKGRGGAKQIVKNRVTKGSSQDSAKGGAAFLAVCRGKVSEGIDFSDDKARVVVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIKNCSTFQETMDGLTRFFQTAEEQTRIKAQDVSRKDRLDACVLPSHGDKRKLPWPEPNLSNQTVVQNNKDVKSECHIDGVAAVDYMKLSDTSSQACHISSKHSGLVRKQISPVPENMPIACQLLPSYKVQYNLEGEADNGTNYEVNVNVIDLEECDTKPRYAKLTIFSPSEHITQHSAVLGNIQRSAVVDEIYEEVPIASPSNQNEENASTVINIDDGLTSDLPISLSAANRDISCVSTSAATPERLGSRSHLENESLTNRSVNSNCQKRRKLSSPTSCCTYTEHSSSPSKPLLHGSSDASMLSGDLRTDGMRCKSMKMSRYENIKVERNNNLVEFPSKESMQEKLFICCARCKTALGLQEDGFLVSCSSSLSTKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSLNKMILGNLRNEGSANNSGFWSEKDGCVFKPVTCHTCSCKNACVTILGAQVVATDSSNQQFCDKVFLFDDLLDVKHGLVKDQAASIALESIKPILPPPVIDLESFAYKPMKKDPVPVNARRSKLRLPGTAKSRNGA >LPERR09G14810.2 pep chromosome:Lperr_V1.4:9:14478816:14487430:1 gene:LPERR09G14810 transcript:LPERR09G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGDNDFATPPPPARAPGSSSGALVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGNTRSAPLPPPPTTNSRKLPIDPFLHGGGFVPEETQKQQQATPGVPEKAAKKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKSVCMSGNIDDQCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLVKVGRKVKGCPYFASQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDADEESLHSLRQELQGLVTDEAVAMIYGPLHDVVQELTSWIDERGNDLQNNEFQHPASFWTGEEAMKELQSAGITPVNFPILQECATKAIKAASDAESEGVHLSGGPAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAQREGNSVIGWKSVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINVGSQVFATVLSSGPTGRRLNASYRTANEHSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWSQTEPRGSTEELEPVLKGYYNAILGKAPPKKGRGGAKQIVKNRVTKGSSQDSAKGGAAFLAVCRGKVSEGIDFSDDKARVVVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIKNCSTFQETMDGLTRFFQTAEEQTRIKAQDVSRKDRLDACVLPSHGDKRKLPWPEPNLSNQTVVQNNKDVKSECHIDGVAAVDYMKLSDTSSQACHISSKHSGLVRKQISPVPENMPIACQLLPSYKVQYNLEGEADNGTNYEVNVNVIDLEECDTKPRYAKLTIFSPSEHITQHSAVLGNIQRSAVVDEIYEEVPIASPSNQNEENASTVINIDDGLTSDLPISLSAANRDISCVSTSAATPERLGSRSHLENESLTNRSVNSNCQKRRKLSSPTSCCTYTEHSSSPSKPLLHGSSDASMLSGDLRTDGMRCKSMKMSRYENIKVERNNNLVEFPSKESMQEKLFICCARCKTALGLQEDGFLVSCSSSLSTKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSLNKMILGNLRNEGSANNSGFWSEKDGCVFKPVTCHTCSCKNACVTILGAQVVATDSSNQQFCDKVFLFDDLLDVKHGLVKDQAASIALESIKPILPPPVIDLESFAYKPMKKDPVPVNARRSKLRLPGTAKSRNGA >LPERR09G14820.1 pep chromosome:Lperr_V1.4:9:14488539:14490132:-1 gene:LPERR09G14820 transcript:LPERR09G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNADARLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPTVKQVSVIGKAGGDKWKSMSEAEKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPTTSGDSDKSKSEVNDEDEEGSGGDE >LPERR09G14830.1 pep chromosome:Lperr_V1.4:9:14499366:14504660:-1 gene:LPERR09G14830 transcript:LPERR09G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRKQQPTAPPPPWKLAAAAKKGKASPKAAAAAAKRRVPKKQELLESSSDDSELEQQKLQEEEEESGSELEASSDSGAEGEELSDSDDASMEGGDSDEDDDDEEEEEEEEEEEDDDPLADDFLGGSDDESAGGDDSGVDSDESDDLEKKSRAIDEAREKAEHEALDDIQINVRSESDEFRLPTEEELKDETVMPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMAYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIQENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKADPSSDDPKKQSIQSEKHKDMKKTNEETSILDGVSDDKQQPRDRPEKTLKNHKNGEKKRNGLHSAKIKGDKKETHNEQEEPTSENKQPVSAKIKKPVPKRISGVKEKKLDTDQGGKRKRNWMVRREWEAYKKSRSKMIMICPLPGFARGSARLFRGFRLVSLLK >LPERR09G14840.1 pep chromosome:Lperr_V1.4:9:14505888:14508424:1 gene:LPERR09G14840 transcript:LPERR09G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSATFTFITIIITIFLLLPLPCSSDDRLVPGKPLSPDSTVVSDGGAFALGFFSPSNSTPTKLYLGIWYNDIPERTVVWVANRESPVTNSTTLSLTNSSNLVLSDADGRVHWSTNVTTTTGVAGAGNVTAVLMNTGNLVVRSANGTVLWESFDHPTDSFLPSMKLGIMYRTRVSDQLVSWRGPGDPSPGSFTYGADPDTFLQVFTYNNVTRRPVMRDGPWTGYMVDSQYQTNNTAINYLAILNRDDEIYIEFRVPNGAPHIRYVLTYAGKMQLQSWNATSPSPAWAVLEEWPEGCNVYGSCGSNGYCDNTAVPAATCRCLAGFEPASAAEWGGGRFESGCRRKEAARCGDGFVTVTGMKPPDKFVRVANRTLDACAAECSGNCSCVAYAYANLSSSRSDGDTTRCLVWAGELIDTVKVGLGSSDSDTLYLRLPGATTKDNTVKIVLPVLAGILFVLCISFVWLKIKGKRRNRQKNMELILEVTSTSEGVGEENIVQDVEFLSIKFEDIALATHNFSEAYKIGEGGFGKVYRKYTYTQISISSQFLRQCLGLKKLLSRD >LPERR09G14850.1 pep chromosome:Lperr_V1.4:9:14509015:14514177:1 gene:LPERR09G14850 transcript:LPERR09G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMEGIFSTKSDVYSFGVLLLEVVTGIRRSSTSNIMNFPNLIIYAWNMWKEGKTKDLADSLIIDSCLLDEVLLCIHVALLCVQENPNDRPLMSSTVVILENGSSTALPAPSRPAYFAYRSDELEHSRENIQNSMNTFTLTNIEGRVLLLLLPPPCAPDDRLVPGKPLSPDSTVIVSDGGAFALGFFSPSNSTPTKLYLGIWYNDIPERTVVWVANRESPVTNGTTLSLTNSSNLVLSDADGRVRWTINVTTTGAAAGTGSVTAVLMNTGNLVVRSPNGTVLWQSFEHPTDTFLPGMKLKITYATRVSDQLVSWNGPGDPSPGSFSYGADPATFLQVFLRNGTRVLMRDGPWAGYTVDSQYQTNTSAIVFLAIVRTDEEIYMTFTVADGAPHTRFVLTYAGKYQLQRWNATFSAWVVLQEWPNECDAYDFCGSYGYCDSTAVPVATCRCLEGFEPASPAEWSGGRFESGCRRKEAVQCSDRFLAVPGMQSPDKFVLVANKTLEGCAAECTGNCSCVAYAYADLSNSRSKGDTTRCLVWSGDLIDTGKVGEGALGSDTLYLRLAGLDAGGRKKSNAVKIVPPVLASSILILICVYSAWLKIKACKKRNREKHNNQFLYGTSASEEVGEGNPVQDLEFPSVRFEDIALATNNFSEAYKIGQGGFGKVYKGMLGGQEVAVKRLSRNSQQGTHEFRNEVILIAKLQHRNLVRILGCCVERDEKLLIYEYLPNKSLDAILFDGSRKLLLDWTMRFNIIKGVARGLLYLHQDSRLTIVHRDLKAGNVLLDADMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVLTGIRRSSTSNIMAFPNLIIYAWNMWKEGKTMDLADSSIIDNCLLDEVLLCIHVALLCVQENPNDRPSMSSTVFILENGSSTALPAPLCPAYAYKSDELERSTENINSMNSFTLTKIEGR >LPERR09G14860.1 pep chromosome:Lperr_V1.4:9:14540804:14543764:1 gene:LPERR09G14860 transcript:LPERR09G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTDCSSRKKLEPWHIRVRPPSHGDSYDAHHPTWILLDVRAYIDDRQNETTAFVELSNGHQIQVTFCTASPPLVSYICVWCPTGLDPVELFRSSPTVEAVNADLVLIRINARPVEYLVYQARGPSLTLLEKRVKRPYCYLPEPYNIALLPHGGGLGFKICTLDSDFTRDASNFNLCVFDSVSRKWNRQPLCLDQLNNPPDDEMLHVTEKVVYLGEQAIAFVDLWRGMVICNELGNRTGSYVPLPEEMIQLERARGSHNTRDVAVIGGRLTAVRLRTCFYSDLGWCWDLCTWSKPVACLDEEDWREDFKLHSCDLLVDEETRNIELLPTLPDRPPTAKLNVALPTICLTDANVIYIMGKVRPSDHKAVVLTVNVANRRLLEVSVYDAERIITFFDFSYTQSTISQYFTNSSGVNKNLKRPGVIPASYPRKKQAGNEPLQLDTGRDSETEDGDAMVLE >LPERR09G14870.1 pep chromosome:Lperr_V1.4:9:14550873:14554852:1 gene:LPERR09G14870 transcript:LPERR09G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPTAAAAPNPTNLVLSRRRREGLLLRFPSSSSPSSTRLRLRLTPARPRAAASMSAEARAAPVAPPPHPTYDLKAVIDLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVIAGISLADMIFKQVDPSLKGMIQHMSWDGIDNIFWAILQVEWFENDGNYVYKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRLIDKWAVIIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMKSVDQFLEKEKLTLPVEVETRTLEEVKDLLDYAAENKTSLTRIMLDNMVVPLENGDVDVSMLKDAVQLINDRFETEASGNVTIDTVRKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >LPERR09G14870.2 pep chromosome:Lperr_V1.4:9:14550873:14555964:1 gene:LPERR09G14870 transcript:LPERR09G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPTAAAAPNPTNLVLSRRRREGLLLRFPSSSSPSSTRLRLRLTPARPRAAASMSAEARAAPVAPPPHPTYDLKAVIDLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVIAGISLADMIFKQVDPSLKGMIQHMSWDGIDNIFWAILQVEWFENDGNYVYKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRLIDKWAVIIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMKSVDQFLEKEKLTLPVEVETRTLEEVKDLLDYAAENKTSLTRIMLDNMVVPLENGDVDVSMLKDAVQLINDRFETEASGNVTIDTVRKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >LPERR09G14880.1 pep chromosome:Lperr_V1.4:9:14557170:14558027:-1 gene:LPERR09G14880 transcript:LPERR09G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMRPPAQYQMWPAPPLPPPSAAAAMEMLPVLFVGGGKPARPAWKRVARQPGWKRKAPPAAAARWGSAAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPVREVVGEMAKEKWGVDGYGSMKGLIRLRSQVADVLPGDDSGSGESDVEEHVEVERRLDHDLSRFEMLQLPAAAGEDEDEEDDDDDEARAARLEEENLTLRERLFLMERDMDDLRRRLLAVESLCRDRHRDGCVVDAAAGVGAAEETVVSESVAGVDLAGVGGDDTDTAADAMKA >LPERR09G14890.1 pep chromosome:Lperr_V1.4:9:14560160:14562440:1 gene:LPERR09G14890 transcript:LPERR09G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGKPDMQLFDLLSALLQQVESMSNQEEVELRAKIEALGLEVSKVPEQTPKHLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRGFVGTSGESSKEEQERSE >LPERR09G14900.1 pep chromosome:Lperr_V1.4:9:14562898:14565597:1 gene:LPERR09G14900 transcript:LPERR09G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKPNDQILQELDALSHTLYQAHTTRRTASLALPRSAADVNGGGGGDVIVRAESRPRSRRLSLSPFRSRPKHDKNDVIAIAADDDDDGDGDVGPRRAAAPSKSLSFAAVTTTAITATPATAAAAAKKGLLSWKPIRALSHIGMNRVGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAVQTMPSRVSQCAADFEETLFVRCHLYCTGGGAGAGGKAIRFEPRPFLISAIAIEAPELDFGRSAVDLSLLVKESAEKSQQGERVRQWDMAFPLAGKAKGGELVVKLSFQIMDDGGVGLYSQPVGEAAEESKTKTSSSASSLFARKQSKMSFSITSPKISRRETTLTPVKGSPSPDLRDIDDFKLDEPSPPLLEEKEKHPPEPVLETEEKGDDSEFPDFDVVDKGVEGQEEEKVESKHDGKEEEEKSAAEEAAGAGAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDTPPSAAAADDDVNDAARLDTEEEEVTRDFLLLLEQGDGRNPLSKSVSSLKSGARRDGDAGDGAACYVSDLGKGLGPIVQTRDGGYLAATNPFDIPVERKEQPKLAIQLSKPFILRGAGENRLPGGGGADLFQRLCNGGCDAMVAKLAELIATDDDEVVGKMAEQIAFEGMASAIISARSASSSAAHSVSLLRTMSAAMSEGRDERIATGIWNAHDAAVGVDEILAFSLQKIESMAVEALKVQADMADVEPAPFDVSPSPSPAGGPHILDTAVPPEEWPIACVGVNTVTMILVAQLRDPLRRYEAVGAPSIVIIQAVRVAGGEVDGEPVFKVANMHVGGLRLKSAADRRNVWDGEKQRRTAMHWLVAYGLGKAGGRKGRTSAAAAKGGGGGHDVMWSMSSRVMADMWLKPMRNPDVKIPIK >LPERR09G14910.1 pep chromosome:Lperr_V1.4:9:14566390:14569371:-1 gene:LPERR09G14910 transcript:LPERR09G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGEAGAGVGRVGRAGGMRMFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFYGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDMLYKNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIHAVKSIGIRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEVNI >LPERR09G14920.1 pep chromosome:Lperr_V1.4:9:14571560:14572111:-1 gene:LPERR09G14920 transcript:LPERR09G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQQSSEHIAAAMPPPASSSGGGVHTDTFLILAAVLCFLLCVVGLALVARCSRLCNPSAFAVEPEEAGTAMASSNKGIKRKALQSIPTVAFEEETEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDAWLVSSSTCPSCRRALVVVVATPSPATSSARQPELGGGGGGGGCRTSEP >LPERR09G14930.1 pep chromosome:Lperr_V1.4:9:14577596:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFREDGFLVIASGGFYANRSGPTDSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14930.2 pep chromosome:Lperr_V1.4:9:14577228:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASSYSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14930.3 pep chromosome:Lperr_V1.4:9:14577596:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFREDGFLVIASGGFYANRSGPTDSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLVSHVMVSPVHIFRESDLSEMQEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14930.4 pep chromosome:Lperr_V1.4:9:14577596:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFREDGFLVIASGGFYANRSGPTDSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14930.5 pep chromosome:Lperr_V1.4:9:14577228:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASSYSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLVSHVMVSPVHIFRESDLSEMQEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14930.6 pep chromosome:Lperr_V1.4:9:14577613:14581529:1 gene:LPERR09G14930 transcript:LPERR09G14930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASSYSKILTSSALRDMNKVVFTVFTPSLMFASLAKMVTLSDVISWWFMPVNIGITFVVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSHCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHLAQGDDHVKIGNGEATYADEEAPLPVSAKLTEHNEENQLVSHVMVSPVHIFRESDLSEMQEAPLLSCESGIANKGIWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIITIVCIRFVIMPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >LPERR09G14940.1 pep chromosome:Lperr_V1.4:9:14595142:14600326:1 gene:LPERR09G14940 transcript:LPERR09G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVIQVLLIGVVGAFLASGYSKILTSSALSDMNKVVFTVFTPSLMFASLSKTVTFSDVISWWFMPINIGITFMAGSTLGWIACTILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCNEDGNPFGGDRSRCRSLGLSYSSLSMAIGALYIWTHTYTLMKKRCKMYHQSQSIQCSGDSDEEHHEQKVKENGEDACVDEETPLPLSAKLAEQNEENQMRRLLNLSEMQEAPLLSCKSEVAGKGSWTYLKETIHHVVKELMAPPTLSTILGFVFGLVPWLKSLIIGDGAPLRVIQDSIQLMGDGTIPCVTLILGGNLIKGLRKSELKRTVIIAIICIRYVILPVVGIAVVHAAYWVGFVPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASISLTTWSTIFMSILS >LPERR09G14940.2 pep chromosome:Lperr_V1.4:9:14595142:14600325:1 gene:LPERR09G14940 transcript:LPERR09G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVIQVLLIGVVGAFLASGYSKILTSSALSDMNKVVFTVFTPSLMFASLSKTVTFSDVISWWFMPINIGITFMAGSTLGWIACTILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCNEDGNPFGGDRSRCRSLGLSYSSLSMAIGALYIWTHTYTLMKKRCKMYHQSQSIQCSGDSDEEHHEQKVKENGEDACVDEETPLPLSAKLAEQNEENQMEAPLLSCKSEVAGKGSWTYLKETIHHVVKELMAPPTLSTILGFVFGLVPWLKSLIIGDGAPLRVIQDSIQLMGDGTIPCVTLILGGNLIKGLRKSELKRTVIIAIICIRYVILPVVGIAVVHAAYWVGFVPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASISLTTWSTIFMSILS >LPERR09G14940.3 pep chromosome:Lperr_V1.4:9:14595142:14600325:1 gene:LPERR09G14940 transcript:LPERR09G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVIQVLLIGVVGAFLASGYSKILTSSALSDMNKVVFTVFTPSLMFASLSKTVTFSDVISWWFMPINIGITFMAGSTLGWIACTILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCNEDGNPFGGDRSRCRSLGLSYSSLSMAIGALYIWTHTYTLMKKRCKMYHQSQSIQCSGDSDEEHHEQKVKENGEDACVDEETPLPLSAKLAEQNEENQMVL >LPERR09G14940.4 pep chromosome:Lperr_V1.4:9:14595142:14599279:1 gene:LPERR09G14940 transcript:LPERR09G14940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVIQVLLIGVVGAFLASGYSKILTSSALSDMNKVVFTVFTPSLMFASLSKTVTFSDVISWWFMPINIGITFMAGSTLGWIACTILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCNEDGNPFGGDRSRCRSLGLSYSSLSMAIGALYIWTHTYTLMKKRCKMYHQSQSIQCSGDSDEEHHEQKVKENGEDACVDEETPLPLSAKLAEQNEENQMRRLLNLSEMQEAPLLSCKSEVAGKGSWTYLKETIHHVVKELMAPPTLSTFHG >LPERR09G14950.1 pep chromosome:Lperr_V1.4:9:14604476:14605543:1 gene:LPERR09G14950 transcript:LPERR09G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADAEAEAAIGAAPTPPTHAAADAGDEKADSLPLETRWPPFPLRRLGGFWIPEPLLPAVAAIHAGGKFVPTANDMLLASFPKSGTSWLKALAFAVANRADHPPSAADHPLRRRSPHDLVNFFEMTTLTTMNSDDIAAVDDAPPPPRVLATHLPYSMLPESITGGDGGGGCRIIYVCRNPKDTVVSFWHFSKKMALTMGVDPSSFTFDQAFDLFCSGNCTAGPQWRHVIEYWEASHHRNPNVLFLRYEEMLRRPAMSVRRMAEFMGCPFTAAEEDAGVVDAVVELCSIGELRKMEVNKKGTDVLGVRNESYFRKGVAGDWVNHMSPEMAARLDRIVDDATRGSGLTLTDSSVY >LPERR09G14960.1 pep chromosome:Lperr_V1.4:9:14607032:14610437:1 gene:LPERR09G14960 transcript:LPERR09G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHNVQPVDRKAYVTVMVAATMLNTYFIEEVLQLLNQLCPGCLTLKQKGDTKKADGTTIKATCKYCSVTHESNIKAPRETLTQDFWDFEPDNHPPQSFVPKKILSAYQGLSIASKGNSGLLDIHRLSALAGCGRLPVCKWTVPWAKQLRFKAAKDLRPAHSFINAAKQDSVDNLSGTLDATALGKEPCIGTSGHFKILYSGKSRETKQNENIYEFVHNPEVRAFKTIVLDTYSQTTERSYKQRSTLNSQGNAPINGGTISINQKILGAKIGVNPGQPNPNSRCFHCTKEWRYYCRLLLQQVCLWCCKCYLT >LPERR09G14970.1 pep chromosome:Lperr_V1.4:9:14612232:14613950:1 gene:LPERR09G14970 transcript:LPERR09G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGGNGLQRSGSNTLASLLRADPPPPPTNAGKHQHPKKRTSRRKSRRSCLRLLPPLVVGAGGCRVCACDELDPAAPPPRRRPPEEKDYDDEEEGEIDDGEADAVVMVVEAVSISELPDDVVEMVLSRLPLSSLLAARCVCRRWRDITVSPQFARMRRPHRTPWLFLAGVEGDDGWGAASAGGADTAVVHALDVESQRWRRVEAEWLKGRYLFSVAGVGDELYVVGGRSDAGATAANANAKTNTHKGVLVYSPLAGAWRKAAPMRTARSRPVLGVFEMGNNLSRSILHSTGGENHLRRHVNSGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLLDAADDSSELAMSDANFAGGRLALIAVGGRGRWDEPLVSGEVYDSVRDKWFEIAGFPTDVGLACSGAVCGRMFYVYCESDTLVAYHLDRGFWSVIQTSRLLPPRLRDYPPALLCCSSRLLMLCVSWCDGEGERRRREKVVRKVFELDTGSRRQWREASSHPDAPMDANAAFAAGEDTIYAVEMFRIFGKVLDFVTACRVSEDDDGSGRRWCRLARKNAVHDADAMSGRLKSMAALHL >LPERR09G14980.1 pep chromosome:Lperr_V1.4:9:14616316:14618223:-1 gene:LPERR09G14980 transcript:LPERR09G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSAGGASRHVRELLRRCGSVRRINQLHAHLVVHGCLAVDAAVASQILASYCAFPGGLCYARHLFDGIPDPDRFMYNCLVRAYCNSHCPQEALRLHRGMIGRGILPNEFTLPFVLKACARAQTWGHGMATHGVVVKLGFVGQVFVGNALLHCYALAGSLEDSRRFFDEMVDRNVVSWNSMINGYAQAGKTREACSLFGGMRRHGILADEFTLVSLLFACSVEGNLEFGKLLHCHLLVSGCPADLILGNALVDMYGKCGNLLMARTCFDMMPIKNVVSWTSMLCALAKHGFVDAARDWFEQMPEKSIVSWNAMISCYVQGGRCRDALDLYNHMKSLGLTPDEFTLAAVLSACGQHGDLASGKMIHDYIRARVNNPGVALFNSLLDMYARCGQVETAISLFSKMPSKNVISWNTIIGALAMHGRAQDALMFFRSMVSDSFSPDEITFVAILSACSHGCLLEAGQYYFQAMRHVYNVKPDLEHYTCMVDLLGRGGLLAKAVDLIKDMPVKPDVVVWGALLGACRIHGQIHIGKQVIKQLLELEGMSGGLFVLISNLLYETHQWEDMKKLRKLMREWGTKKDMGVSSVETNDSVHEFGVEDIRHEGSAEMYASVDRLPHHLIFPHPLAVPPELLDVE >LPERR09G14990.1 pep chromosome:Lperr_V1.4:9:14618341:14618961:1 gene:LPERR09G14990 transcript:LPERR09G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGKHVFPRQVAMLAAGAVFLGATTYDVHRSIKNNEQPPTKEQMEALQDYINSKKQN >LPERR09G15000.1 pep chromosome:Lperr_V1.4:9:14619828:14622601:-1 gene:LPERR09G15000 transcript:LPERR09G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAFDRCGEVCKEYAKTFYIVWCRRTDDLVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSHTVSTFPPFRDTIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMSVPVMGISPESKATTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELETAGLSEADIFNGRVTDRWRSFMRGQINRARAFFRQAEECATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKKACVPKARKIVALSKAYCRSLMLPSSQTHCSR >LPERR09G15010.1 pep chromosome:Lperr_V1.4:9:14625116:14627798:-1 gene:LPERR09G15010 transcript:LPERR09G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTSAAGSSPAYGLRRLFVDAPRRTATFLAVAVKLATPACSVHAAAAGSNPIGCLEVADQPWISGGATPALPGLTAPPAEDDGVLFAAVVPSEQRVHDVVMKQAALAAASVAAAPLTPARTGRGAGGGGMNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSHTVSTFPPFRDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMSVPIMGISPESKASTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFNGRVTNRWRSFMRGQITRARAFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSHSNCSR >LPERR09G15020.1 pep chromosome:Lperr_V1.4:9:14630827:14639675:-1 gene:LPERR09G15020 transcript:LPERR09G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRGLPLSPPPLVAPPPCASSHRRLAPSRRGRSGASGVLRAAAAADPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHAVDNCTIQLIQRSHGEDVVVRDLELPLSLQPCPPAVILVASAGQDADHITAIELLSEVKSAGKLAASIFLKPFCFEGQRRQLEAADLIGKLQMASNFHIVVEADSLLETEVETLAEALESANNAVLSTISMISIMMSGINQMFRSSNNAQIMEVHPEELGKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMISTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSSDVNVASVKEGFLSGLALHFPFISSLMRGDIPEQKQAMLKHSDSKTPGSGSSLAEKEFSQLSSAFIDAAVNSLYPDETEIMKSERECKEEIHTESQDARFEPDGGISKDSEREHIGSEKEHNFWSSSPGFGIAQLWAKVQMTSDGSTQSNDVNIITLPVGVKSTEVQCGADTTEAHNSASSSSIASGHAAIGVPFSEIGLEKVTEMYSSAVTFLKGRMDRSRKRGSVANRAALMLDAERESEKTWSPIVEIQFGGGIYRGKCQEGVPEGKGRITFSNGSFYDGHWRYGKKSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGECLLIDANGLRNCYEIDLVLLLFNLSCWL >LPERR09G15030.1 pep chromosome:Lperr_V1.4:9:14646468:14649873:-1 gene:LPERR09G15030 transcript:LPERR09G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSASLFGLADTQMPPPPPQNPNNPPAPAPAPPKKKRNQPGNPNPDAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKESRRRVYLCPEVSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIDTGVYGGVGNMSLSLTDATMAAPQLTGAGIFPDQHQASSAQPMASSGNVLRLGGSSNSSVGGGASQFDQIMASSSPGASMFRSQGSSSSSYYLTNAAHSEFPEVDGQITPAVSHGGGSLLHGKPASFHDQLMQFPTVQHHHHQQNSNGNNNNLLNLSFLSGNNTNNAAAGAAGNGTIVTTGGGLAGGFQSMYNSSESGGGGLPQMSATALLQKAAQMGATTSSYSAGAASERQYQNIIMGSMASGGACFADGFSLDTGKLSTRDFLAGVSGAGSSAMAPPRHGVAGLHVGSLDPANMN >LPERR09G15040.1 pep chromosome:Lperr_V1.4:9:14651635:14652612:-1 gene:LPERR09G15040 transcript:LPERR09G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIDAGVYGDTGNMSLSLTGATMLRHPPWLLRRTGAGECTHADSGVHGGAGNIYEPQPHRATMAAPQLSAGIFPYHHQACAHPTVSSGDVLRLAVEATPVWVAPPNLITSWHRPP >LPERR09G15050.1 pep chromosome:Lperr_V1.4:9:14654020:14654208:-1 gene:LPERR09G15050 transcript:LPERR09G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDVGVYGGVGNMSLSLTGATMGAPQLSTGILPDHHQASQRPANGIIRRRPLSWRWKQHR >LPERR09G15060.1 pep chromosome:Lperr_V1.4:9:14654221:14655792:-1 gene:LPERR09G15060 transcript:LPERR09G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIDAGVYGGAGHMCLSLTGATMAAPELSAGIFPDHHQASTQPMVSSGNVLCLGGGSNVGVGGASQFDHLMASSFAGSFMFRSQCVSSSSYYLTIAAHNEFPEVDMRDSFVTQRVFCDVLV >LPERR09G15070.1 pep chromosome:Lperr_V1.4:9:14655805:14657390:-1 gene:LPERR09G15070 transcript:LPERR09G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESPGWRDSFVTHHALCNKLENTRVPPIDAGIYGGADNMSLSLTSTTMPAPQLSAGIFPDNHQASAQPTASSGDVLCLGGGSNAGVGGASQFDHLMASSSTGSSMFRSQCASSSSYYSPSLATINSPRRDSFVTRRAFWEVLV >LPERR09G15080.1 pep chromosome:Lperr_V1.4:9:14658195:14658407:-1 gene:LPERR09G15080 transcript:LPERR09G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIDADVYGGAGNMCLSLTGATMAAPELSVGIFPDHHQARAQPMASSGDVLCLGGDHLMASSYAGSSMF >LPERR09G15090.1 pep chromosome:Lperr_V1.4:9:14659223:14661695:-1 gene:LPERR09G15090 transcript:LPERR09G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRESFVTHHTFCNELVYENTRVPPIDANVYGGADNKTLTLTSTPMAAHNSPSEYSHIIIKPAPSQRRHPATSSALAVEATPGWAAPPNSITSWHRPPRAPPCSDRRCT >LPERR09G15100.1 pep chromosome:Lperr_V1.4:9:14673986:14675943:-1 gene:LPERR09G15100 transcript:LPERR09G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLSRAASVYADRTSVVYGSTTFTWRQTHQRCCRLAAALQSLAVSKNDVVSVLSPNTPALYEMHFAVPMAGGAVLNAINTRLDAAGVATILNHAHPKLLFVDYQYIHVATDALKSIVHVTTSPPLLVIIDDIDNPTGINNSDHLEYEQLVARGDTASHPPRCQVDDEWDAVSLNYTSGTTSSPKGVVYSHRGAYLNTIGLLLQWGVGHEPVYLWSLPMFHCNGWTLTWGVAARGGANVIIRSPTADAIYSAIDAHHVTHMCAAPVLFHILLDAPRRRGRRRGVVEVLTGGAPPPAPLLERVERLGFRVTHAYGMTEATGVVMVCEWRRQWDALSPPDRAKIKARQGVSAVTLADADVVNATTTASVPRDGVTMGEVVIRGSSVMKGYLNDERATAEAFGRDGAWFRTGDVGVVHPDGYVEIKDRCKDVIISGGENISSVEVEAALYGHPAVREAAVVAMPHPHWGETPCAFVALKPEFAAAGEVVTGQELVSFCRGRMAHYMVPRKVVSVDELPKNSTGKVQKLKLRDMARGLCVKPAAEVKARVVVAGEPARHGALTQAARL >LPERR09G15110.1 pep chromosome:Lperr_V1.4:9:14689313:14692746:-1 gene:LPERR09G15110 transcript:LPERR09G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDQFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >LPERR09G15120.1 pep chromosome:Lperr_V1.4:9:14693425:14694726:-1 gene:LPERR09G15120 transcript:LPERR09G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAANKLPLVFALLLFVPFISVVAPGVPKVGRLPSSSVGGRGSSHGWKDLVRDYFLNQGGRDYSNEGGQSNSTTPGLSSSSWTSHAAAGDGGGVQEDHVALHVSLGIGTPVVNITLVFDTTSDLLWTQCQPCLSCLPQAGDIIYDPTKSKTFTNLTNNAYNYTYSKEAFTSGYLATDTFTLGDATVSNFTFGCGTRNQGYYDNVAGVVGFGRGKVKYSFLNQLGLDRFSYCFSPSGSVVFLGESAALATNTTTTVASTPMVANAVLKSGYFVKLLAVTVGRATRVDVAAAHVIDSTSPVTFLDEATYAKVRTALVAQLAPALKEASAKASAGVGLDLCFDLAAAGGATATAAAAAVANVTVTLHFDGGDLVVFPVNYLAVDTESGLLCLMMKPSKGVPVLGNWVLMDTLVVYDLAKNVVSFQPLDCAALLAA >LPERR09G15130.1 pep chromosome:Lperr_V1.4:9:14695688:14700353:1 gene:LPERR09G15130 transcript:LPERR09G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGGGGKSGDHGGGGGKPSLDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHECALLNYEKAIKLLPRGHTDIAYLHSNIAACYMQMNPPDHYRAINECNLALEVSPKYSKALLKRARCFEALGRLDLAHRDVSKVLAVEPNNLTALDVADRVKKAMDEKGIVIDDKEVMPTPEEVVAAAPRQKPRKKKGRKAAAKEAAAAVEEVEEAKAVEPVKEVEEPPRQVKLVFGEDIRWAQVPARCGMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTDEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQELVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQQFEQAKLSWYYAIGSNVDLDKCSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENAVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLALPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQEGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >LPERR09G15130.2 pep chromosome:Lperr_V1.4:9:14695688:14700353:1 gene:LPERR09G15130 transcript:LPERR09G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGGGGKSGDHGGGGGKPSLDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHECALLNYEKAIKLLPRGHTDIAYLHSNIAACYMQMNPPDHYRAINECNLALEVSPKYSKALLKRARCFEALGRLDLAHRDVSKVLAVEPNNLTALDVADRVKKAMDEKGIVIDDKEVMPTPEEVVAAAPRQKPRKKKGRKAAAKEAAAAVEEVEEAKAVEPVKEVEEPPRQVKLVFGEDIRWAQVPARCGMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTDEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQELVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQQFEQAKLSWYYAIGSNVDLDKCSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENAVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLALPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQEGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >LPERR09G15140.1 pep chromosome:Lperr_V1.4:9:14701240:14704740:1 gene:LPERR09G15140 transcript:LPERR09G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVCGTERRVAVAVAEVETVAFMAIAAVAAQRFLAVLALAMEAVNVGVDIFNDDQQAIYKLLGRVKSETTPENKDAGSDDDDDDEDDDEDDDGGDDDDAEEDFSGEEGGEDEDDDDDDPEANGEGGSDDDDDDDEDGGDEDGEEDDDEDDEDEEDDDDEDQPPSKKKK >LPERR09G15150.1 pep chromosome:Lperr_V1.4:9:14703376:14710823:-1 gene:LPERR09G15150 transcript:LPERR09G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQAERCLLVVGGAGPRRHRLLRMPPPPLLRRRRRWTPSVRASTGDGGGGGGLAGAVEKRPDTGVEEEEKAGKVEEEGEGEVAAEALELRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHKYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEVGVVVWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLSGNEGILNLGQSQSPAGSSDHFSSSVSPKSSESSLEELINSLQDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEVVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTVVWLAFASSEPQEFSKSDSEARLVLVIIIFLIFIILIIILFTIFITTIFIVVIVIIAPSFTICLWVIIVIIFILTAFFPREVLLSIIIITTIIILVIIFIIVVII >LPERR09G15150.2 pep chromosome:Lperr_V1.4:9:14704644:14710823:-1 gene:LPERR09G15150 transcript:LPERR09G15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQAERCLLVVGGAGPRRHRLLRMPPPPLLRRRRRWTPSVRASTGDGGGGGGLAGAVEKRPDTGVEEEEKAGKVEEEGEGEVAAEALELRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHKYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEVGVVVWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLSGNEGILNLGQSQSPAGSSDHFSSSVSPKSSESSLEELINSLQDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEVVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTVVWLAFASSEPQEFSKSDSEA >LPERR09G15160.1 pep chromosome:Lperr_V1.4:9:14711694:14717924:-1 gene:LPERR09G15160 transcript:LPERR09G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRAASLLPLLLSSPARARLPHRAAPTLTPPPLLRPHRLYSPKPSSSAAFAASNGAAAERAPELHLYNTKSRRKELFRPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMAHLQCLPPSVEPRVSDHIDQIINMIKQILDNGCAYVIGGDVYFSVENFPEYGELSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPYWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLVFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKDIQLSQVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSNGGDPLPVSTTNCIQKLHDEFEASMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMQEKIRMVLSVLGLLPSSYYEALQQLREKALRRASITEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGVVAST >LPERR09G15170.1 pep chromosome:Lperr_V1.4:9:14722278:14732651:1 gene:LPERR09G15170 transcript:LPERR09G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSNAASVIDLTRASPLRGEEPLPKHPRHGMAASSSVEQSSCLGASFQNRNGNAQGSFLGECSVNNGISQGAIQFQDSSACAVQKLPSKPTLRHHPALLGDQIRVSCLNVGGEFFVGEAGIFGVQCFCHQLRMSVAKFCEHSGGPAEKASEIVIMDNGMTIGKWLKYSMAVGASISDTKWDWPEWACIRYSPEEYWKKNLLITNNKMEKTGLFSGHGKKTGPIDNSFYSSEMFGGFTSVEKLVNKPDETYYRKSVGLHEAFTKKQALHQSSKINLANHMVHDMNLNSISRPSERTYSTANTGLTYSRNHLAHNYANLLEKNLNNLSRSPGPSSTRVLSYDSRACMPDIPHKIFQDGSVRPSNTELKLGQSSYHQSTLFPSVQSTTIEFQKPQKYPQFTNPNAYQKQTTKAIENIEPSFGTGDRKRPLEVANGISHSGLDEITDDTAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYISDDLKDASTQVERRANDNQLKLAPTIIHTKRISDGRSLPVVSKGYVHQDILHANSQDSSINGDCPTHLLPSQPNAGFSKICAGVPSPVKCRCCNYVADKFYLTRAETGAPCFHDRSENQYIDAVAKLRKILPDLVLELAISANLISVTMVLQFQPIGLSLKSCCRAWPIDGFHYRSSMGHIASSLTKNPLVDIPNNTEPSTCWNGKCCCSLVPKCLAGYGFTKHCVAKIDQTDHTIQKSKDEQVPARCCTLGESERLRCQCSSEIIASRSDPKASFRKEVSTKVLDQPSVPISEKLKNVTEASAIGGCWSYETAREKESGCRDSGIFKQLKSGFSSGFSSDVVTKFSASPELNNISSCTAKYGLEHKNLVFDEGSRIEKCSSSSYVPISTGCEEAQNSFSRFHLVPSSVKHKSNQISEGSTLKEHENEEQRSEMPKKTRTLRCCIKQSESDDCIRKINLSCLEGDSQPQHEVGPFSHRVSKTKRKHPPMHLNKRVKRLHSNRKVLNVDDEQSDDEGILPIESNFSDRKKEEDIMITLDRTQCQQQGSRLFVRKLPKYVSLNCIVNEPYSEDACSGNASIDSCLIATGLTNDNRKSPKIVPLNLILKKAKRFHAVKPLCKRQNIHFSDEKSSDCSADKSSSSNRSFGPQDELWSPKKNRYSSDVLRPHIKSDRQSPGCVLEEDESHSLTDTETSRLSLSASRSRDASCCVCGLSNLDPCNQLIECNKCNIKVHQACYGVLKVPRGQWLCRPCKINDQDTVCVLCGYGGGAMTRALKAHNILKSLLRGITTATRSDKYVYSSGNVNSECTSKLHGEYVRHESFHGPRSRSCNTISSIDIKEASIGSACGNIITKMWTSSRNSSLLGPRTRQWVHVVCGLWTPGTKCPNAITMSAFDISGASPGKRNTECSICKRTGGSFMGCRDGLLQSESEGEHNENVGFYGRCLDHATIDPNHFNPEKCLRSNDWTCARAEGFRGRKGDWFGANHSRKPEEKFGECGVSQEQINAWLRINGSKSCMRGQEYVHYKQLKGWKHLVVYKSGIHGLGLYTSEFIPRGSSVVEYVGEIVRQRVADKREIEYQSGKRQQYKSACYFFKIDKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNTKKVIFFAERHINPGEEITYDYHFNREDEGQKIPCFCRSRGCRRYLN >LPERR09G15170.2 pep chromosome:Lperr_V1.4:9:14732641:14732933:1 gene:LPERR09G15170 transcript:LPERR09G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDMAIVEGHLTLVRLCTCHCSDFGWCLPAWDLWLSAHGVNRRIREDLMFDSCDLEVDEHTRNIELLPRLPDRPPMAKLIGSSHYQLY >LPERR09G15180.1 pep chromosome:Lperr_V1.4:9:14732987:14733927:1 gene:LPERR09G15180 transcript:LPERR09G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSVSDPSTWTPGGLKRYQSMTPESSTCLISPTRPSTICQYFTYASGVNGNLKRQGMFDVPYPPMQQVGNRPLQLDAGRGLETEDGDIMVLG >LPERR09G15190.1 pep chromosome:Lperr_V1.4:9:14734400:14736360:-1 gene:LPERR09G15190 transcript:LPERR09G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLQDTIATLCKSILPFGSFRSGRRLTADQAAARRHADAIKWQQESFHRILHLSALHREGIVPASDVDAFRADMLAALAAAPLPPAHPDPPAILRDKLLFLQELLYAKCISAAEYNSTKRPLAERLAAFGVVVECPDADVGDDGGVAAATSSSSAVEEWSEIDLRDPPPTAPASDKPSKHKAFVPPWKSRGKKEQHDANRAPLAQVDQNSSSKSASVLMAESLPSESIPSAKAEKVGKRRHLTAMFHSENKEPAAAAAMEGVAEEKDAVKGKKKSSWGFDGLKKWKKAAGSDEAAAGGERPECAAPPRSSYSECRLEASPAIGKDAKRAKKKLHMTTGDDDSASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKAWCDEHGDNVINAAKKEFKEHVEEMEKQRDITGADGWVAFGDNHDENFNPRAFSQPQGSVKGNVHDSLSGSQHFTNPFYDEKNPFLNPTYD >LPERR09G15200.1 pep chromosome:Lperr_V1.4:9:14738465:14738782:1 gene:LPERR09G15200 transcript:LPERR09G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTFPYRWTRTKHLTISPPTHGAGHLPPPWILLDITICIAPPPLVSYICAWSPGNDPANIFAKEPYVGSVNADLLFLR >LPERR09G15210.1 pep chromosome:Lperr_V1.4:9:14738907:14741392:1 gene:LPERR09G15210 transcript:LPERR09G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADSTSALLLVISSSLHLAASSSASDSVTTKWSHHTIFLWQLRNIGRIDLTTVLHFTEKVIALDEQQVVAFIDPWRGMIICNVRDVVACKTPPASYLPLPSELINNDRSYSSSFSRDIAIVNGNLTVVRLRRCFDSDTDCWSWDLSTWSKPVARLDDSDEAWNKGSMVDSDEILVDRKTGNVDLLPKLEVRPAMARLQVANPTLSLTDANVIYVMGKVDVSDEKAVVLTVDIATKRLQTVSVYDAERIIENLIMPKHNHLKRQGEFHMQFPHKWQCKIISRKPLQLDAGSDTESIDETEDTDNPMDLD >LPERR09G15220.1 pep chromosome:Lperr_V1.4:9:14748963:14751410:-1 gene:LPERR09G15220 transcript:LPERR09G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKASPSFSTAVVPLRSPMDEHLLRLLRSEISYISDHRPPYPPPKTFKSFGVEDRPGEQWVRLRAAGGGAEEVKIDATILIVEVTRADRVLGFICSAWPDELVVRHVLTLREANGSSTGNGGRDFMKLGAKERESVRKFLQEREVDDELAEFLHEYIANKEKMELLRWLKTECLAGISMTLDLFHPWELKSNRPRASGSGVKELLYCAPFAQLVPVGIVIFTHQIV >LPERR09G15220.2 pep chromosome:Lperr_V1.4:9:14748963:14751410:-1 gene:LPERR09G15220 transcript:LPERR09G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKASPSFSTAVVPLRSPMDEHLLRLLRSEISYISDHRPPYPPPKTFKSFGVEDRPGEQWVRLRAAGGGAEEVKIDATMFDGAAVPPPDAPLFQRVESLERGPRLHLSLIVEVTRADRVLGFICSAWPDELVVRHVLTLREANGSSTGNGGRDFMKLGAKERESVRKFLQEREVDDELAEFLHEYIANKEKMELLRWLKTECLAGISMTLDLFHPWELKSNRPRASGSGVKELLYCAPFAQLVPVGIVIFTHQIV >LPERR09G15230.1 pep chromosome:Lperr_V1.4:9:14752787:14754790:-1 gene:LPERR09G15230 transcript:LPERR09G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRGGGHGDGDDGQQHHIVHVPTSASEVTAIEETPSEPADYTTLHQKASKIIASTIETYRSKPFSFWLLLFLSAGAMLTAFPASSLLSRLYYNGGGNSKWILSWSAVAGWPLPAAILLPCYLIGKSPPTKLSPRLFAWYVLLGFLSAGDNLMYAWAYAYLPASTASLVAASSLAFSAMFGYFIVNNKMNLSSVNAVVVITAGVAIIALDSQSDRYAGITDKQYTLGLILDVLGSALHGLIFALSELVFVRISEGRQRRSSSSFHLVLEQQAMVSLMAFAFTSVGVAVNGDFAAMRQEAAAFKNGGEVGYVMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVILFHDPMSGFKILSLVITVWGFGSYMVGHSSTKKPSTN >LPERR09G15240.1 pep chromosome:Lperr_V1.4:9:14756166:14758829:-1 gene:LPERR09G15240 transcript:LPERR09G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFHLALIMAMAFVSSAAAASCPHTNLTAGYTVDFTMLQHQLRGRVRLAADGACELHLTRFDLLAASSSARFWAADGPSLAALSSGRAFSPRPLNSTFRNASLVLPFSRPLPPLLALFDPDTSSDLGHLFLPNSTVSDSNSTDSGVAAAAQPVSVPTMFDNCLPLAENKYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIRSDVVVAGFTEDGMPFAEDYYISDYSECSMGTDDSPVSGVCPDRVYDEGRNDSVLVYGHRRDGVSFVRYQRKLDSGDAKYDVPVSATEEMAVVWAIGKLRPPDTLRSLYLPQNHGGPRDTTYGFARVNLSEMVDSCNGPLDADNKEDQDRIIADAKTPLVVTSAPAVRYPNPPNPDKVLYINKKEAPLLKVERGVPVKFSVQAGHDVALYITLDAIGGNATLRNKTEVIYAGGPEAHGVPATPTELVWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSRDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKTYWIDGKSAGGIHPTSENLTYVRCKSENGIITFEFTRPLKPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTGSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAVLQPLNAYFRPKRPANGEASSRNRVLWEYLHVITGRSAIVVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVIALYLEYKEVKRRIGDSSFRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >LPERR09G15250.1 pep chromosome:Lperr_V1.4:9:14760574:14766757:1 gene:LPERR09G15250 transcript:LPERR09G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEMLRWLAAAAALVALLAAAPAAGFYLPGVAPTDFGKGDLLPVKVNKLTSVKTQLPYSYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKMAVGEKEAKELKEKIEDEYRVNMILDNLPLVVPVIRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFIVKFHKDDDSELSRIVGFEVKPYSIKHQLDDKWDGVNTRLSTCDPHANKLVTSSESPQEVEADKEIIFTYDVRFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >LPERR09G15260.1 pep chromosome:Lperr_V1.4:9:14766349:14770184:-1 gene:LPERR09G15260 transcript:LPERR09G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFMCVDWILAAGVGFGWGGVMGVYLSTPKTEKYFGDGENDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVSKSFFRMDEMMKGQRGWRELAELGDKGQKFAGMLEGIIWSPKPGDSDKVEDSWTEEVEFDQTALTKLLNQGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAHDLSKDHKPDLDVEKERIMNAGGFVVAGRVNGSLNLTRAIGDMELKQNELLPAERQIVTAEPELKTVKLSEDDEFIILACDGIWDCMSSQEVVDFVHEEMNTEDSLSAVCEKLLDRCLAPVSGGDGCDNMTVILVQFKKPSKSATTSSSNQSASSEEMRPK >LPERR09G15270.1 pep chromosome:Lperr_V1.4:9:14774339:14776453:1 gene:LPERR09G15270 transcript:LPERR09G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHGVLHLLAAHHPWLCPWHHLRSLCTCCSRLRPAPEGILYPCLAHLYSVKTYAYTEHPCSNVELVSGNFVGVF >LPERR09G15280.1 pep chromosome:Lperr_V1.4:9:14780809:14784559:1 gene:LPERR09G15280 transcript:LPERR09G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLPDADAPPLAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAQEVSAHCAMENAAASAAGAKPGSNAAKTPNQCKNKIESMKKRYRAEFAAAARAGPAAAGGGPSWRFFSRMDGLLKGPAGSGSGSGQPAQPEFSNSIDLRAAPPPPAKLEVDVDADFVNQLADAGPGALSDLVNAYANGSIQEKFEKVENSGQLEGRAAESDVNVNSPRIKEANDDAEEVDKVWDMSKKRKKSTEFDIAKSIELLASSFLKIERARMDLYRETERMRVESEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNLAKKGENVTVDI >LPERR09G15290.1 pep chromosome:Lperr_V1.4:9:14785506:14790374:1 gene:LPERR09G15290 transcript:LPERR09G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRVQDEVEMQRRITNRIFPDERQDQFKLPFQATRADRFGPNRIDAKTTEKIKVLNEGNRPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVNILNEDTKQSCISKDQRLSTTITILRTFADLFYMLNIMVKFHTAYIDPKSRVLGKGELVLDLNKIQRRYLRTDFFIDLLATIPLPQVTVWIIMPAIKKPNYNIRNTIFALVIMIQYLFRMYLIVPLSNQIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLSNSTCRLNFISCDNIGSGNYVDWGNQTNIFTNCDASADPLPFNYGMFSTALSKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLVTSAFIAENTFAIAIGALSLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDNQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLDSSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLTESLSRWESYSWWSEEHPAADKPKQEGASSSSTKTIAESAIAQIHKFASASRRFRADDTAIRRLQKPDEPDFSADHFD >LPERR09G15300.1 pep chromosome:Lperr_V1.4:9:14795724:14796146:-1 gene:LPERR09G15300 transcript:LPERR09G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPAQVVNGGVVNLNLRVEEITSPRRRSSSAAAAAGDPREAFSCNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDIAGDGVSPPPPPPSRAIHGIDGVIGAVGAFWPPPPPYETSAAAIGSDGGRNNGEEIDLSLKL >LPERR09G15310.1 pep chromosome:Lperr_V1.4:9:14800475:14805746:-1 gene:LPERR09G15310 transcript:LPERR09G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGAPSAMDLLAALLTGRNPEGAAWPVSLLGENRQLLVLLTTSLAVLVGCGVALLVRRSSSSSASPLSTKQDAAPRALAAGAKKQREEAAEADPDDGRQRVAVFFGTQTGTAEGFAKALTEEAKARYDKAVFKVLDLDEYAADDEEYEQKLKKENIALFFLATYGDGEPTDNAARFYKWFAEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKLVDKLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDHTSATPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTGLTYETGDHVGVYAENCTETVEEAENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPTPCTVRTALARYADLLSSPKKSALIALSAHASDPKEAERLRHLASPAGKKEYSQWVVSSQRSLLEVMSEFSSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPTRIHVTCALVYGATPTGRIHKGVCSTWMKNSTPLEESQECSWAPIFVRQSNFKLPTDTTVPIIMIGPGTGLAPFRGFLQERLALKEAGVELGHAILFFGCRNRKMDFIYEDELNNFVDTGALSELIVAFSREGPTKEYVQHKIAEKAPEIWGIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNFNTESYVKSLQMEGRYLRDVW >LPERR09G15320.1 pep chromosome:Lperr_V1.4:9:14806902:14817139:-1 gene:LPERR09G15320 transcript:LPERR09G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLAGGSVDKLSAVSGMPATEFDFLAKAYQQIAEGRRLLRWTYAYGYYYLAAGDGDDGERRRTMVECAQGEAERWLEKLHECAENERKDLEGLLTNPPPNGEGVVSGDDEEEEEEEKAAEMVVAYREKLAGLTGVCEKFFRNLLKPFQDGFDGDDRCETNKRGRSDEDDDYFEEAEDDGAMPTTEKPYAFLTDDVVRTRQEEDITNVSDLLSINSGFAFLLLRRFRAVIPRRAPHPRRRRPAAVAVNGGVRREPAAAHLRHLLRPVRRRRARSAWCGAHFYCVKCWRGYIHVEDSSGAIKWCPAAGCSLAVAGDGATDAFCACGHAFCISCGEDAHRPVSCSTVRLWLEKNVSESETATWLLAHTKHCPKCRRPIEKNLGCMHMTCRAPCLHQFCWICLEPWAGHNCASYRAVNADAAVVNSTDDEIRREAKASLDRYIYHYERWDANLKSLHVATRDMAELEAMARAADVAVKELRFVTEAYEMVGEGRRVLGWAHAYGYYLDPVNDFTKRQLFEYLQEDANASLERLRRAGEGGALRRQKGRFRRQRRVYRDKLSGLTKVTRNYFGNLVRAFETDLAEVSSSS >LPERR09G15320.2 pep chromosome:Lperr_V1.4:9:14817145:14818711:-1 gene:LPERR09G15320 transcript:LPERR09G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEEYYSGGEDYYTDDDVDSNIDDDGGENWQPESDDDEEIGADKKAVGAVDRYRILTEDEVRARQDADTAKVGELFAIPVGVAAVLLHHYKWSLDELQDKLFSSSSAAAATGVSLDVDPAVSVNAHPLVCGICFEEHAAGEMRSTGCNSHFYCLTCWRGYAHFAILDGARCLSLRCPDTSCSAAVVRELLDDVITDADDKSRYDNFLLRSYIEEGTKFKWYPGRGCSLAVEFIGGGGGDKHNDYNQDDVECTHGHAFCFRCGEEAHRPATCETVVAWAEKNAENSETARWVLANAKHCPKCRLPIEKNQGCMHMTCRPPCLHEFCWLCLGSWVEHKDPNFDSCNVYEQAKANGEATDDKLRTCTSTSGGQRTGRQGREH >LPERR09G15330.1 pep chromosome:Lperr_V1.4:9:14819492:14820933:-1 gene:LPERR09G15330 transcript:LPERR09G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHRKTPVAAPDVATTGCSALISCLSLHRRATPSPPPSTRANVVGGAPPMRPAAEEYWRRVQQLEEEVRRLGKRINAAAAAAAGDHVTTAENKCSSVGVEEMVKLHDGGGYLHEIKQVVGMPWTRLALQVSQPAVAENAATASEVLDKMTETSADDLCKFLSKMMPIKDIAGRKNHHHHPNAGNVIRKSARLNSGDDFIKALLFKAMDHKMGILIQQGLKIQMASMSDSNSSMVAGSGEEKRQPATSPASRKDCMVYVVLIQVRDPDQGYASIGDPMIGLMEAVMEKKDGKVKLEMQGMHVAGIIFGGSRKTASNVCRGMIWSTAFCHCSYARNPNRVFKRFAISFVICTSV >LPERR09G15330.2 pep chromosome:Lperr_V1.4:9:14819742:14820933:-1 gene:LPERR09G15330 transcript:LPERR09G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHRKTPVAAPDVATTGCSALISCLSLHRRATPSPPPSTRANVVGGAPPMRPAAEEYWRRVQQLEEEVRRLGKRINAAAAAAAGDHVTTAENKCSSVGVEEMVKLHDGGGYLHEIKQVVGMPWTRLALQVSQPAVAENAATASEVLDKMTETSADDLCKFLSKMMPIKDIAGRKNHHHHPNAGNVIRKSARLNSGDDFIKALLFKAMDHKMGILIQQGLKIQMASMSDSNSSMVAGSGEEKRQPATSPASRKDCMVYVVLIQVRDPDQGYASIGDPMIGLMEAVMEKKDGKVKLEMQGMHVAGIIFGGSRKTASNVCRGMIWSTAFCHCSYARNPNRVFKR >LPERR09G15340.1 pep chromosome:Lperr_V1.4:9:14824266:14826518:-1 gene:LPERR09G15340 transcript:LPERR09G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGWTAVQWWDEWQLRILVLGSLAIQWFLLLTAPMRKYTIPHWLRTFIWLAYISSDALAIYALATLFNRHASATTATRRSHCINGSVLEVLWAPVLLIHLGGQQEMSAYNIEDNELWRRHTVTLVSQVTVAIYAVYKSWPANGGDTRLLASAILLFIIGVLSFTERPWALRRASINRLAAVSSMVQAGKAVSKWSFCFTELENHKSDILGRCYKKEDKQQTPPPRVDDIVKRRQHSLTDRDKVLLILSDLSLLAADSELKKEQRRRRQRRSLVGEEISMEEVEEDLLGTLSPIAEKGSKRWLRRAFGLIYTRANVVLTPAYLAYHILLVPFLHITAITLFATSRKRHYSTIDVKTTYMLLCLTAALDILAESIRQLVFKLMSMAGVSALCETVPQYNNLVRLALHRRTGPGGLLLKCAAQVGYTEGFFVCRRRNLYHMLAGLIFSDLVEANARGLDFTSYRSFTPGCHNWVLNENLRKACGDEVRDSLRGSFDRGVILWHIATDLCIRCMTTDNVIDGIDRRFLDCTEAISDYMAHLLNLRPDMLMTGSRKHLFTQAMEEVELILKNIESQQQLHSLKTLSADVLAKKIMEKAKRAEVDAEIAVEREGELGRDVRVDEPPPPRYPLVHDACRLAEELMGIRNSKTRCQVMYHVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEQEPKPGSGSFPGQEIQGETTAPVISTDDGEDLSFLLPPKSRSVFQ >LPERR09G15350.1 pep chromosome:Lperr_V1.4:9:14828691:14830190:1 gene:LPERR09G15350 transcript:LPERR09G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEICCVHHTSSSSTGTVKPASVKNIGTWDEFTEHFVESDKKLVVLVFMAPWSEPWKLMKPVVDKMASRLDSSEAEVCTISVDRFNSLGRLLRVEALPTFVLVRKHRAVARVIGVNRDDLHTSIYKHLPQDVPVTTTT >LPERR09G15360.1 pep chromosome:Lperr_V1.4:9:14831638:14837273:1 gene:LPERR09G15360 transcript:LPERR09G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALGAAPAAGAPIPAAAVGDQQQQQAAAAAPRAERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARTNPNATPKWQDVLGQYSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENATILPVMLSSKLLPEMEVEETTKRETLLSGITNLPVPAQIEKLKARIDMIGSACETAEKVIADCRKTYGLGARQGTNLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQMSSLPSHLVDVLPFGDGAHNFGDNSGVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSTMPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMTQNQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGTMQSQSLLPQMQTLNQYNLTGGHPQRNHPSQMLTDQMFTMGGTNTTGLMGMQQQQQQGVYSNMQTNAQNLQGMTGLQNQQQNPNFPQQRQQNQQ >LPERR09G15370.1 pep chromosome:Lperr_V1.4:9:14839617:14842759:1 gene:LPERR09G15370 transcript:LPERR09G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGAYSWGIVSDNYGRRQLFLLLVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPGFGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAEQVLETMARLNRVALPSGKLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHASKSEMGGLNAILKLLSPNLIRSTLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGAVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFCARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVSLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >LPERR09G15370.2 pep chromosome:Lperr_V1.4:9:14838969:14842759:1 gene:LPERR09G15370 transcript:LPERR09G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPGFGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAEQVLETMARLNRVALPSGKLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHASKSEMGGLNAILKLLSPNLIRSTLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGAVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFCARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVSLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >LPERR09G15370.3 pep chromosome:Lperr_V1.4:9:14839617:14842759:1 gene:LPERR09G15370 transcript:LPERR09G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPGFGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAEQVLETMARLNRVALPSGKLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHASKSEMGGLNAILKLLSPNLIRSTLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGAVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFCARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVSLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >LPERR09G15380.1 pep chromosome:Lperr_V1.4:9:14843100:14849269:1 gene:LPERR09G15380 transcript:LPERR09G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVGDDPLPAWTLLCPCSPCCAMARRHRWPPLVAGLTLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELSGWKSSGGDPCGDDDEWKGIECSDSSVTEIDLSGLGLSGTLGYQLSNLKSVTKFDVSKNNFNGEIPYQLPPNMVQLNLQGNSFTGVVPYSISQMTDLETLNLGKNHLSGQLTDMFSQLPKLSTMDLSFNRFSGSLPQSFQYLKNLKTLNVESNQFSGHIDVLAKLSLEDLNVKNNKFTGWIPNKLKNINSLETRGNPWSSGSAPPGMDKESSAGGSNGGDDSGINGFAIGAMVIAVLLAALILLSVLKRNHSSPVSSHYYMDESGRRNSSAIDMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNYSRRSTDPISLMTHSSADLQAATGNFHSSRQLGQGTTCRVFKAKYADGRVLAVKKFDPLSFSGSSDFMDIVNGISKLRHGNISELVGYCSEPGHYMLVYDYHMNGSLYEFLHLSDDYSRPLTWDTRVRIAVGTARALEYLHEVCSPSVLHKNIKSSNVLLDADLNPRLSDCGLSFFYEDASENLGPGYSAPECTRPSAYMLKSDVYSFGVVMLELLTGRKPYDSSKPRTEQSLVKFVTPQLHDIDALEALADPALRGLYPPKALSRFADCIALCVQSDPEFRPSMSEVVQSLLRCVQRTVSNKRTAGFPSASQRSEDSDW >LPERR09G15380.2 pep chromosome:Lperr_V1.4:9:14843100:14849269:1 gene:LPERR09G15380 transcript:LPERR09G15380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVGDDPLPAWTLLCPCSPCCAMARRHRWPPLVAGLTLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELSGWKSSGGDPCGDDDEWKGIECSDSSVTEIDVSKNNFNGEIPYQLPPNMVQLNLQGNSFTGVVPYSISQMTDLETLNLGKNHLSGQLTDMFSQLPKLSTMDLSFNRFSGSLPQSFQYLKNLKTLNVESNQFSGHIDVLAKLSLEDLNVKNNKFTGWIPNKLKNINSLETRGNPWSSGSAPPGMDKESSAGGSNGGDDSGINGFAIGAMVIAVLLAALILLSVLKRNHSSPVSSHYYMDESGRRNSSAIDMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNYSRRSTDPISLMTHSSADLQAATGNFHSSRQLGQGTTCRVFKAKYADGRVLAVKKFDPLSFSGSSDFMDIVNGISKLRHGNISELVGYCSEPGHYMLVYDYHMNGSLYEFLHLSDDYSRPLTWDTRVRIAVGTARALEYLHEVCSPSVLHKNIKSSNVLLDADLNPRLSDCGLSFFYEDASENLGPGYSAPECTRPSAYMLKSDVYSFGVVMLELLTGRKPYDSSKPRTEQSLVKFVTPQLHDIDALEALADPALRGLYPPKALSRFADCIALCVQSDPEFRPSMSEVVQSLLRCVQRTVSNKRTAGFPSASQRSEDSDW >LPERR09G15390.1 pep chromosome:Lperr_V1.4:9:14847356:14852099:-1 gene:LPERR09G15390 transcript:LPERR09G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAVTSKGKAVFELKNRLVQAINKVADRDTYQIGLDELEKAADTLAPEMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVSSIVKRLKDADSVVRDACVDTCGTLAICARDYGDGGAALVALARPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLASALTSIMDALKSSDWTTRKAASVALSSIAVSSGYLVASFRTSCLRSLERCKFDKVKPVRDAIIHAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDARSLHDGGSRDTSFRRVDPVSSVSVISGSSITSSKKRSPLSINKIALNNAVNQQRSKLSDWHVEISIPKQNMTPLVDFEEKISGNVSMLKDSKISPYEIVDDESKYDYDPMDDKQDCSSVSEVASRSCETKHVTSTQECIEDCDSARAIEQFPRAQKSKSIDSTVTDITSHGTHMCCLSAMKELSHIRKHLQEMERKQANIFDMLQEFMSNSVENMSVLSMKVHNLENAVDKSVYTITQSESRYHLPGSKFVKNQSATSSPRVSTSTPRSSVDANYKPPPVPHLKQEKKWMHDQPSKSLSMGVKEGEFLKSHTHDKTRKPGVVRLESTLGRYAPNSARTRATGVKGNFRVSLADSCEKSELQNVLCASKELGEFHGTDDMESAYVDALNSGDCDYLIDLMDRTGPVLEKLSRQTTNELLRVIAGEFLNKKFFDLALPWLQQVADLSMIYKPGQLFVSARTQKEFLSLLEEAATSGSTEPSIRFAIAQLAFKLTKVCEVAPCRKVSTRVCRGNETIMATAINLKGDFAPDLKAVSFCAMP >LPERR09G15400.1 pep chromosome:Lperr_V1.4:9:14853937:14876297:-1 gene:LPERR09G15400 transcript:LPERR09G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGGEPSVRRVAPCRSVRREPPCRSVRRRRCLENTRAKIDPLCQQGDISEGARFDFDRLPEDILCHIHSLFPLRDAACLAGLSHRFLRSWRSFPNLTLNHETFNLNIFDGTPFERAKKLVDRFDNILHNHSGTGVKTLDLDVSHCVKLITADHINNWLHAAVKPGILEIAMTFPVQDKPMFNFSCSLLSSAGSSLQSVSFFACGFHPTLRTGCFKSLRSVYFKFVHITSEELGCLLFSTVSLEKLEISRCDQLTFLNIPSHLEQLSTLHVLVCQNLQLIEIYAPKLTTFCFRGPPIKILTSDSSHLKHVSLSGVPFNGMIQYATAILHSIASNLETLNLASSKEAFSTIMLPEKFLHLRNLNINFDGIRFQRYDYFPLASFLEACPALESFYIWAGEYDLSWKDLALQDSNADSLQIRRIPEFRHANLKKVSITRFFPSKSLIELTYLIIENASSLECLTLDTGYGFDTSGVCRRMNKFDVIQALSGVEAARRYIEGKVPSSVKFTVLEPCKRCHIAKLSQLSTVGNDVDPLCQQGDISEGARFDFDRLPEDILCHIHSLFPLRDAACLAGLSHRFLRSWRSFPNLTFNHETFNLNIFDGTPYERAKKLMDRIDNILQNHSGTGVKTLDLDVSHCVKLITADHINNWLHAAVKPGILEIAVTFPVQDKPMFNFPCSLLSCAGSSLQSVSFFACGFHPTLRTGCFKSLRSVCFKFVHITSEELGCFLSSTVSLEKLEISECDQLTFLNIPSHLEHLSILHVLVCLNLQLIEIYAPKLTTFCFRGPPMKILAGDSSHLKHVTLSGAPFYGMIQYATAKLHSIALNLETLTLASSKEAFSTLMLPEKFLHLRKLNIYFDGSRFQRYDYFPLASFLEACPALESFYIWAGEYDLSWKDPALQDSNADSLQIRRIPEFRHANLKKVSITRFFPSKSLIELTYLIIENASSLECLTLDTGYGFDTSGVCRRMNKFDVIQALSGVEAAKRYIEGKVPSSVKFTILEPCKRCHIPKLYEL >LPERR09G15400.2 pep chromosome:Lperr_V1.4:9:14853937:14876297:-1 gene:LPERR09G15400 transcript:LPERR09G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGGEPSVRRVAPCRSVRREPPCRSVRRRRCLENTRAKIDPLCQQGDISEGARFDFDRLPEDILCHIHSLFPLRDAACLAGLSHRFLRSWRSFPNLTLNHETFNLNIFDGTPFERAKKLVDRFDNILHNHSGTGVKTLDLDVSHCVKLITADHINNWLHAAVKPGILEIAMTFPVQDKPMFNFSCSLLSSAGSSLQSVSFFACGFHPTLRTGCFKSLRSVYFKFVHITSEELGCLLFSTVSLEKLEISRCDQLTFLNIPSHLEQLSTLHVLVCQNLQLIEIYAPKLTTFCFRGPPIKILTSDSSHLKHVSLSGVPFNGMIQYATAILHSIASNLETLNLASSKEAFSTIMLPEKFLHLRNLNINFDGIRFQRYDYFPLASFLEACPALESFYIWAGEYDLSWKDPALQDSNADSLQIRRIPEFRHANLKKVSITRFFPSKSLIELTYLIIENASSLECLTLDTGYGFDTSGVCRRMNKFDVIQALSGVEAAKRYIEGKVPSSVKFTILEPCKRCHIPKLYEL >LPERR09G15410.1 pep chromosome:Lperr_V1.4:9:14859673:14861167:1 gene:LPERR09G15410 transcript:LPERR09G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIPSHNAGFGDHHKPPDERPAELAADDAAAAAATDEDHEPIPDGWWFDDAGIVAEVAAEEEGGDEDEEPAAEGDGGEDEQPAVDEGSDGEDDEEFAPEAVAEEASPIHRAPGEDYIEVTSLDDIMSVVDFPQMYSRFDLICILGFTFVDAPRLLEMVLNVGRAEDRPWLVGNLGLLKEVGLFRGSFMRVSSSVERLLMLWKRHRVLEIDWDFVHTIQNAVALLPPLSDQQPENLRDTVAELLERLKVMLPVQPADGSNNSDALASSELISDMEKLVIDMSALELLHIRYTPLEPSACTSGSRGDATKLVNKLHDALALGWRVGNMNTLKKTSSLRVTLSRMAESLTYVTMALDEKEIDWFEVKRIREGKDKLIVLCEDQPPNLCGAVLAFVANVDLVLSDLPPKPDDTHADYQIEYHADVLNPLADKLKNLQDEIKTIQVLRVEYSDAPMSEL >LPERR09G15420.1 pep chromosome:Lperr_V1.4:9:14867177:14867486:1 gene:LPERR09G15420 transcript:LPERR09G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYDYHMNGSMYEFLHMSDDYSRRTWDTRVQIAVGTACVLEYLHEVCSPSVLHKNIKSSNVLLDADPNPHL >LPERR09G15440.1 pep chromosome:Lperr_V1.4:9:14883756:14885132:1 gene:LPERR09G15440 transcript:LPERR09G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTLQPPLPCPATAAAAHDIFAGDEQQQQKKKPFYLEEEEDDLVDDDLLYLDDDLRQQVMSAERHLCSLDEKYDHALQEIHNLTKEFVADEAEMRLMLPPFAPLVAAELDEILSDDLAVVTIPHHHGGGGCGERFVVPVLPSLDRALLTPPASVALHGGKSMALVAVLPPDAAATAAAAFEVSGADMPELTYDDIGGMEEQKREVREAIELPLTQPELFAAAGIDPPRGVLLYGPPGTGKTMLVKAVARATANAAAFFRLTGADLVAGGGARMVRDVFRLAREKSPSIVFIDEADAVAAARRDGGDGDGGAARHVNRVLIELLTQMDGFDGDGDAGVRVIMATNNAGDMDPALLRPGRIDRKVEFTAPRSTEERRMVLDACAKGMSLDGGVDLDDVAKRRDGMSAAEIAAVCREAGMRAIRDGRCTVTGDDFDQGYHAVVGKMPRDVAAEFQFYN >LPERR09G15450.1 pep chromosome:Lperr_V1.4:9:14885600:14887917:-1 gene:LPERR09G15450 transcript:LPERR09G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGAGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >LPERR09G15460.1 pep chromosome:Lperr_V1.4:9:14891784:14893181:-1 gene:LPERR09G15460 transcript:LPERR09G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAGVEVVETPYWDLSPSPILDTSKLTKWTLCRALIAEFMATLIFLYVSIATVIGYKNESTAVNACMGVGYLGVAWSFGATIFILVYCTAGVSGGHINPAVTIGCFFEGKISPVNTVLYVVAQCLGAMCGAGIVKGIMKHPYNSLGGGANAVADGYSVADADAAADRVRGVRGASSDDTDHRNGDKSGEEPRRRRAVQPAESLARPLDLLGGAGDRCVLGGGVSQAGAAWGGGQGASLVLEHRRDGVRKTTMLMRASYCLLVLG >LPERR09G15470.1 pep chromosome:Lperr_V1.4:9:14894256:14898609:-1 gene:LPERR09G15470 transcript:LPERR09G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLLKLDLSDEKYCCSETGYRLPLKCVQVQNGTKEGYNNKQWKVLDDASTSRSCKALHNLQELLTRDQTSQSELLTTLASCNLKELAEAAEDPRMNAGHQGPNLAEIQTDRARTRDGSMDAYLVELEGDDAAEAGRVREGRRGLAVDVRARSGLSGAGGVAASTLLVLQTL >LPERR09G15480.1 pep chromosome:Lperr_V1.4:9:14898626:14901392:-1 gene:LPERR09G15480 transcript:LPERR09G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAATTASGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCVEQNVTKEGNNNKQRKVLDDASTQVVAKHYTTYRSCVPLEDEEKLSVLGYDGWNVASKWPIRIFSKKAYRCNAGGFKNPNKPS >LPERR09G15490.1 pep chromosome:Lperr_V1.4:9:14901691:14911172:1 gene:LPERR09G15490 transcript:LPERR09G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIYIYYQLDKFYQNHRRYTKSLSDMQLRNPKNMKSTRQCNPEATANGRPVVPCGLIAWSLFNDTYSFARGNETLRVNKHGISWKSEREHRFGKHVYPSNFQSGSLIGGGKLNPSKPLSEQEDLIVWMRLAALPTFRKLYGKIDMDLQAGEVVLVTMQNNYNSYSFDGKKSLVLSTTGWLGGKNAFLGRAYVIFGMACFLLALLLTFLCIVFPMKEEHLSLDYSPALLLR >LPERR09G15500.1 pep chromosome:Lperr_V1.4:9:14911314:14917312:1 gene:LPERR09G15500 transcript:LPERR09G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGVGGGGSGGEELEPAATVRSILIYPIKSCRGISVPQAPITSTGFQWDRQWVVVNSKGRAYTQRVEPKLALVEVELPPEAFTEEWHPTPDSYLVVKAPGMDPLKIVLSTERPTVDDVSVWEWSGSAYDEGAEAAKWFSSYFGNPSRLVRFKEASEIRPTNPDYAQGYKIMFTDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCDPYSEDLWKTMKINKLTFQGVKLCNRCKVPTINQENGIFGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCRESLSSKGKGRIIRVGDPVYAFGISSKPPMDKGAAHLAGGSGEAPPSPVAATVKSILVYPIKSCRGISIPQAAITSTGFRWDRQWLVMSSKGRAFTQRVEPKLALIEVEMPQEAFTEEWQPATDSHMVIRAPGLDPLKIPLGAKRATVDDVSVWEWSGSAYDEGDEAAEWFSSYFGKPSRLVRFNEASEVRQTNPDYAEGYKVLFADAFPFLLASQGSVDALNSILKEPVPMNRFRPNIIVDGCHPYSEDLWKTIKIDKLTFLGVKLCDRCKVPTINQDNGIPGTEPTEALQALRSDEVLRPSHKNKSRVYFGQNLVCKESLSAKDKGRIIKVDDPVYVLESYPSSDEVPA >LPERR09G15500.2 pep chromosome:Lperr_V1.4:9:14911314:14916977:1 gene:LPERR09G15500 transcript:LPERR09G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGVGGGGSGGEELEPAATVRSILIYPIKSCRGISVPQAPITSTGFQWDRQWVVVNSKGRAYTQRVEPKLALVEVELPPEAFTEEWHPTPDSYLVVKAPGMDPLKIVLSTERPTVDDVSVWEWSGSAYDEGAEAAKWFSSYFGNPSRLVRFKEASEIRPTNPDYAQGYKIMFTDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCDPYSEDLWKTMKINKLTFQGVKLCNRCKVPTINQENGIFGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCRESLSSKGKGRIIRVGDPVYVFETFPSSNEAPA >LPERR09G15500.3 pep chromosome:Lperr_V1.4:9:14911314:14917312:1 gene:LPERR09G15500 transcript:LPERR09G15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGVGGGGSGGEELEPAATVRSILIYPIKSCRGISVPQAPITSTGFQWDRQWVVVNSKGRAYTQRVEPKLALVEVELPPEAFTEEWHPTPDSYLVVKAPGMDPLKIVLSTERPTVDDVSVWEWSGSAYDEGAEAAKWFSSYFGNPSRLVRFKEASEIRPTNPDYAQGYKIMFTDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCDPYSEDLWKTMKINKLTFQGVKLCNRCKVPTINQENGIFGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKDKGRIIKVDDPVYVLESYPSSDEVPA >LPERR09G15510.1 pep chromosome:Lperr_V1.4:9:14917270:14919365:-1 gene:LPERR09G15510 transcript:LPERR09G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGNSFMRPLHLISVVIAFASFSQCGARVLRPDELLLDHYYYHHSSSSDPYYSTPVLPPYGDAFSPPNPPPPPMSPPPGCHLPPMTPSPPSIIPAPTFTYSSPPPPQLYYPPQYLSPYPPDISPSPPPTVTPLPPIIYPSPPEVTPSPPEIAPIPSPPEVAPSPPEITPYPSPPEVAPSPPEITPYPSPPEVVPSPPEITPYPSPPEVVPSPPEITPFPSPPEVVPSPPEITPYPSPPEIMPSPPTVTPLPPIIYPSPPEATPSPPEITPYPSPPEVTPSPPEITPYPSPPEVTPSPPEITPYPSPPEVVPSPPEITPYPTPPEVTPSPPEITPYPGPPEVTPSPPEITPYPSPPSYEPSPPAYVPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSSYVPSPPVYAPYPPGITPSPPEYTPEPPPASGGGGGGYLPPVAFPPPLAPPATTRAVWCVAKPSVPEAIVQQAMDYACGSGADCDSIQPPSGPCFRPDNLIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGSCHYSMM >LPERR09G15510.2 pep chromosome:Lperr_V1.4:9:14917270:14919461:-1 gene:LPERR09G15510 transcript:LPERR09G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAFHRLQLQLLLPLKSSCHLRRLLLHPAMKKGNSFMRPLHLISVVIAFASFSQCGARVLRPDELLLDHYYYHHSSSSDPYYSTPVLPPYGDAFSPPNPPPPPMSPPPGCHLPPMTPSPPSIIPAPTFTYSSPPPPQLYYPPQYLSPYPPDISPSPPPTVTPLPPIIYPSPPEVTPSPPEIAPIPSPPEVAPSPPEITPYPSPPEVAPSPPEITPYPSPPEVVPSPPEITPYPSPPEVVPSPPEITPFPSPPEVVPSPPEITPYPSPPEIMPSPPTVTPLPPIIYPSPPEATPSPPEITPYPSPPEVTPSPPEITPYPSPPEVTPSPPEITPYPSPPEVVPSPPEITPYPTPPEVTPSPPEITPYPGPPEVTPSPPEITPYPSPPSYEPSPPAYVPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSSYVPSPPVYAPYPPGITPSPPEYTPEPPPASGGGGGGYLPPVAFPPPLAPPATTRAVWCVAKPSVPEAIVQQAMDYACGSGADCDSIQPPSGPCFRPDNLIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGSCHYSMM >LPERR09G15520.1 pep chromosome:Lperr_V1.4:9:14920148:14924409:-1 gene:LPERR09G15520 transcript:LPERR09G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEIPSIRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMVRPPIWPAPPPQPWFTQQPAVSVPQMASGLAPQQPLFPIQNIPSPMTSAPANLLQTSFPMAHVGIPSPVTTQVSQPLFPVNTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGFAATNQGTGAGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSVDAAIDRRISESRLAGRMALHTSAY >LPERR09G15520.2 pep chromosome:Lperr_V1.4:9:14920526:14924409:-1 gene:LPERR09G15520 transcript:LPERR09G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEIPSIRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMVRPPIWPAPPPQPWFTQQPAVSVPQMASGLAPQQPLFPIQNIPSPMTSAPANLLQTSFPMAHVGIPSPVTTQVSQPLFPVNTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGFAATNQGTGAGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSVDAAIDRRISESRLAGRMAL >LPERR09G15530.1 pep chromosome:Lperr_V1.4:9:14925726:14950486:1 gene:LPERR09G15530 transcript:LPERR09G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVVVVMQQLVWSAEAQVAVAVGTGPPPGCPDRCGDVHVPFPFGIGDGCSLPGFALTCNTTTNRPPRLMIGNTTLQVVNISLPNSTLRALDTAGAVHIKYGIIDGNGTWPGVSGAGAGGPYVVSEDNNQLLVTGCNILVTLFGNAGNVITGCASLCAINDQWAGAVILNPRDKCSGIGCCQTPIPIGRTTYRVNLTNLERTRELSGQVLEAVRIAERGWFDGVAAELLKNQSTLSDTTARIPVPVVLEWAVASTPVITAGVPQFDNASCPTPAAARKSACVSSNSKCVNVTGNYRTGYVCLCKDGYEGNPYVAAGCQDVDECKRPAEVNRCFGECINTPGSFRCWCPRGESGNWTIPGGCVKSKLGLTIGIGIGSGAGLFILALGAAFLTRKIKRQRERMLRQKFFKLNRGHLLQQLVSQNADIAEKMIITLSELEKATNNFDESRELGGGGHGTVYKGILSGLHVVAIKKSKLAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWEDRLRIATETARALAYLHLAVAFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVITAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKSYSYRSQQDDSLVAHFTTLLAHDNLVDILDPQVMEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMSLESIRSSFLRQEVQHSVGKKKSMENHVIRSNMAIDGTNLETTRQYSLEEEYLLSSSILVKGDQRVMLAVACVKLKAEERSTIRQVEMSLESIRKKCNIVWARRNPCMENHVFRSSMAINGISLESTRQYSLEEKNGYQQQCPALAGDCLSGGVACTPCVGSGSAAAGAGMLSPIYPFGIGAGCARDKDFQLECINDNSNNNVSTTPRLILSIHQLQLLSLSLDDGEARALFKAKRQCYNNTDGAIVSTNDVNLNMSLSGSMAYLFSARNRLVALGCPNLGYIVHGLGIYVSGCTSICWPKQQETNTNVSLGGCNGEGCCQSRIAPSIIDYYKPFIVGLKQQDQDPILRNGLTTPCRYVFLAEDEWIQTTYRHPLNYSHENSIYNRTDDFAVPVVLDWAIRRNLHNCSTATGNMTEYACRSDNSVCIDAINTTNGVGFGYRCRCSEGYEGNPYLADGCQDIDECQRIREYPCYGECTNTPGGYECMYRHGARGNATVPQGCIVDRTNLGLIISIGVGSGVGLLAMAVGAAFLTRKIKNKRERMLRRKFFKQNRGHLLQRLVSQNADITERMIIPLAELEKATNNFDISRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEIAILSQINHRNVVKLFGCCLETEVPLLLKAEERPTMRQVEMKLESIRSIFWQQVQHTEGSTKSMENHVSRSNPPNNTTNVEGTRQYSLEEEYLLSSSGRDPPRLMLGNGTLQVVDISLADSTMRAVDITNYEVYATGGNGTWVGVGADADASSPYVVSETLSELLITGCNIQVTLVGGTGNVIIGCSSFCSINDMWTGTVLSIPGDKCSGLGCCRTPIPIGRPSYHVQLKNLDTSREHDNKVPHAVRVAERGWFDGVADELLSPTATNASLRKPVPVVLDWAVASTPVIFPGVPQFDNSSCPSTTAGAATKSVCVSSNSKCFNVTGNYRTGYVCRCDDGYEGNPYVAGRDGCHDINECDRPAEVNRCFGECINTLGSFQCRCPRGASGNWTIPGGCVKSKLGLSIGIGVGSGAGLLVIALCAVLLTRKIKNQRARIMRQKFFRQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVKEEGGKEIKEVALLAVACVKLKAEERPTMRQVEMTLETIRSSFVQEEVLHTTSMKKSKENHVAWRYPADEGTSIYSTRQYSLEEENLLSSRFAIYFSGCEAKVNKETRGIEDGENREQRNYYGGYRAAAAASGRHKHKASRPSCPKRQEMRHRGNDWAKHKVTATTTTMMIPAVSLLLVLLFQLCSVEAQVGGAGSPPARCPDKCGDVDVPFPFGIRDGCSLPGFGLTCDTATNPPRLMLGNGTLQVVEISLTNSTLRAVDLAGAVNITYDAPPNGGGRWASLGVTGAVAAGPYVVSEKRNRLVVTGCNVQATLAGENTNVITGCSSFCPISEMFTPLAVDDNDVTTGGCSGTTCCETPIAIGRPSYLVNLTNLDPTNQELTGRLPIAVRIAEKGWFEAVAGRLLNNSGAGGDAASLRTPVPVVLDWVVSSTLEAVLQGVTGGQFADDRNWSCPADAARSVCRSSDSLCVNVTGNYRRGHVCRCRRGYDGNPYVAGGCQDIDECKIAGNCFGECTNTPGDYECRCPRGARGNARIPNGCVKTNLGLSVGIGVGSGAGLLVMALCAAFLTRQIKNRRAKMLRQKFFKQNRGHLLQQLVSQKADIAEKMIIPLAELEKATNNFDASRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQRETDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHSDGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEKTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPKDDGLVSHFTALLTQGNLGDILDTQVKEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMTLESVRSSSLQQEVLQSVEAKKSKENHVSWTYPVSEGTSMQSTRQYSLEEEYLLSSRYPRHGVGCGRLVFPPGIGTGMIRAC >LPERR09G15530.2 pep chromosome:Lperr_V1.4:9:14925726:14950486:1 gene:LPERR09G15530 transcript:LPERR09G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVVVVMQQLVWSAEAQVAVAVGTGPPPGCPDRCGDVHVPFPFGIGDGCSLPGFALTCNTTTNRPPRLMIGNTTLQVVNISLPNSTLRALDTAGAVHIKYGIIDGNGTWPGVSGAGAGGPYVVSEDNNQLLVTGCNILVTLFGNAGNVITGCASLCAINDQWAGAVILNPRDKCSGIGCCQTPIPIGRTTYRVNLTNLERTRELSGQVLEAVRIAERGWFDGVAAELLKNQSTLSDTTARIPVPVVLEWAVASTPVITAGVPQFDNASCPTPAAARKSACVSSNSKCVNVTGNYRTGYVCLCKDGYEGNPYVAAGCQDVDECKRPAEVNRCFGECINTPGSFRCWCPRGESGNWTIPGGCVKSKLGLTIGIGIGSGAGLFILALGAAFLTRKIKRQRERMLRQKFFKLNRGHLLQQLVSQNADIAEKMIITLSELEKATNNFDESRELGGGGHGTVYKGILSGLHVVAIKKSKLAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWEDRLRIATETARALAYLHLAVAFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVITAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKSYSYRSQQDDSLVAHFTTLLAHDNLVDILDPQVMEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMSLESIRSSFLRQEVQHSVGKKKSMENHVIRSNMAIDGTNLETTRQYSLEEEYLLSSSILVKGDQRVMLAVACVKLKAEERSTIRQVEMSLESIRKKCNIVWARRNPCMENHVFRSSMAINGISLESTRQYSLEEKNGYQQQCPALAGDCLSGGVACTPCVGSGSAAAGAGMLSPIYPFGIGAGCARDKDFQLECINDNSNNNVSTTPRLILSIHQLQLLSLSLDDGEARALFKAKRQCYNNTDGAIVSTNDVNLNMSLSGSMAYLFSARNRLVALGCPNLGYIVHGLGIYVSGCTSICWPKQQETNTNVSLGGCNGEGCCQSRIAPSIIDYYKPFIVGLKQQDQDPILRNGLTTPCRYVFLAEDEWIQTTYRHPLNYSHENSIYNRTDDFAVPVVLDWAIRRNLHNCSTATGNMTEYACRSDNSVCIDAINTTNGVGFGYRCRCSEGYEGNPYLADGCQDIDECQRIREYPCYGECTNTPGGYECMYRHGARGNATVPQGCIVDRTNLGLIISIGVGSGVGLLAMAVGAAFLTRKIKNKRERMLRRKFFKQNRGHLLQRLVSQNADITERMIIPLAELEKATNNFDISRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEIAILSQINHRNVVKLFGCCLETEVPLLLKAEERPTMRQVEMKLESIRSIFWQQVQHTEGSTKSMENHVSRSNPPNNTTNVEGTRQYSLEEEYLLSSSGRDPPRLMLGNGTLQVVDISLADSTMRAVDITNYEVYATGGNGTWVGVGADADASSPYVVSETLSELLITGCNIQVTLVGGTGNVIIGCSSFCSINDMWGYGFVPTIPGDKCSGVGCCRTNITIGRPNYGVLLKRIDESLELDGEVPTSVRVAERGWFDGVANELLSRTRTDTSFHKPVPVVLEWAVASTRVVLPGMTPGNSSCPKDVGKSACRSSHSYCRNVTGNYRTGYVCRCEKGYDGNPYVVGGGGCQDINECERAEENGCFGECSNTPGGFLCRCPDGARGNANVPNGCIKSNLEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEKRSMMFPAATTAAAALMLLVLQLSWAEAQVGGGAARCPDRCGNVSVPFPFGIRDGCSLPGFGLTCDTTTNPPRLMLGNGTLQVTNISLADSTVRAVDLAGAATMVNGSIDANGTWAGVGIDGPYVVSETRNQLLVTGCNIQVTLLGSSSNGIIGCSAFCSISDRWTGTVLSIPGDKCSGLGCCRTPIPIGRPSYHVQLKNLDTSREHDNKVPHAVRVAERGWFDGVADELLSPTATNASLRKPVPVVLDWAVASTPVIFPGVPQFDNSSCPSTTAGAATKSVCVSSNSKCFNVTGNYRTGYVCRCDDGYEGNPYVAGRDGCHDINECDRPAEVNRCFGECINTLGSFQCRCPRGASGNWTIPGGCVKSKLGLSIGIGVGSGAGLLVIALCAVLLTRKIKNQRARIMRQKFFRQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHEGLTSLSWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTSKVSDFGASRCIPAEKNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYKSPKDDSLVAHFTALLIDDNLNDILDSQVKEEGGKEIKEVALLAVACVKLKAEERPTMRQVEMTLETIRSSFVQEEVLHTTSMKKSKENHVAWRYPADEGTSIYSTRQYSLEEENLLSSRFAIYFSGCEAKVNKETRGIEDGENREQRNYYGGYRAAAAASGRHKHKASRPSCPKRQEMRHRGNDWAKHKVTATTTTMMIPAVSLLLVLLFQLCSVEAQVGGAGSPPARCPDKCGDVDVPFPFGIRDGCSLPGFGLTCDTATNPPRLMLGNGTLQVVEISLTNSTLRAVDLAGAVNITYDAPPNGGGRWASLGVTGAVAAGPYVVSEKRNRLVVTGCNVQATLAGENTNVITGCSSFCPISEMFTPLAVDDNDVTTGGCSGTTCCETPIAIGRPSYLVNLTNLDPTNQELTGRLPIAVRIAEKGWFEAVAGRLLNNSGAGGDAASLRTPVPVVLDWVVSSTLEAVLQGVTGGQFADDRNWSCPADAARSVCRSSDSLCVNVTGNYRRGHVCRCRRGYDGNPYVAGGCQDIDECKIAGNCFGECTNTPGDYECRCPRGARGNARIPNGCVKTNLGLSVGIGVGSGAGLLVMALCAAFLTRQIKNRRAKMLRQKFFKQNRGHLLQQLVSQKADIAEKMIIPLAELEKATNNFDASRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQRETDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHSDGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEKTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPKDDGLVSHFTALLTQGNLGDILDTQVKEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMTLESVRSSSLQQEVLQSVEAKKSKENHVSWTYPVSEGTSMQSTRQYSLEEEYLLSSRYPRHGVGCGRLVFPPGIGTGMIRAC >LPERR09G15530.3 pep chromosome:Lperr_V1.4:9:14925726:14950486:1 gene:LPERR09G15530 transcript:LPERR09G15530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVVVVMQQLVWSAEAQVAVAVGTGPPPGCPDRCGDVHVPFPFGIGDGCSLPGFALTCNTTTNRPPRLMIGNTTLQVVNISLPNSTLRALDTAGAVHIKYGIIDGNGTWPGVSGAGAGGPYVVSEDNNQLLVTGCNILVTLFGNAGNVITGCASLCAINDQWAGAVILNPRDKCSGIGCCQTPIPIGRTTYRVNLTNLERTRELSGQVLEAVRIAERGWFDGVAAELLKNQSTLSDTTARIPVPVVLEWAVASTPVITAGVPQFDNASCPTPAAARKSACVSSNSKCVNVTGNYRTGYVCLCKDGYEGNPYVAAGCQDVDECKRPAEVNRCFGECINTPGSFRCWCPRGESGNWTIPGGCVKSKLGLTIGIGIGSGAGLFILALGAAFLTRKIKRQRERMLRQKFFKLNRGHLLQQLVSQNADIAEKMIITLSELEKATNNFDESRELGGGGHGTVYKGILSGLHVVAIKKSKLAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWEDRLRIATETARALAYLHLAVAFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVITAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKSYSYRSQQDDSLVAHFTTLLAHDNLVDILDPQVMEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMSLESIRSSFLRQEVQHSVGKKKSMENHVIRSNMAIDGTNLETTRQYSLEEEYLLSSSILVKGDQRVMLAVACVKLKAEERSTIRQVEMSLESIRKKCNIVWARRNPCMENHVFRSSMAINGISLESTRQYSLEEKNGYQQQCPALAGDCLSGGVACTPCVGSGSAAAGAGMLSPIYPFGIGAGCARDKDFQLECINDNSNNNVSTTPRLILSIHQLQLLSLSLDDGEARALFKAKRQCYNNTDGAIVSTNDVNLNMSLSGSMAYLFSARNRLVALGCPNLGYIVHGLGIYVSGCTSICWPKQQETNTNVSLGGCNGEGCCQSRIAPSIIDYYKPFIVGLKQQDQDPILRNGLTTPCRYVFLAEDEWIQTTYRHPLNYSHENSIYNRTDDFAVPVVLDWAIRRNLHNCSTATGNMTEYACRSDNSVCIDAINTTNGVGFGYRCRCSEGYEGNPYLADGCQDIDECQRIREYPCYGECTNTPGGYECMYRHGARGNATVPQGCIVDRTNLGLIISIGVGSGVGLLAMAVGAAFLTRKIKNKRERMLRRKFFKQNRGHLLQRLVSQNADITERMIIPLAELEKATNNFDISRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEIAILSQINHRNVVKLFGCCLETEVPLLLKAEERPTMRQVEMKLESIRSIFWQQVQHTEGSTKSMENHVSRSNPPNNTTNVEGTRQYSLEEEYLLSSSGRDPPRLMLGNGTLQVVDISLADSTMRAVDITNYEVYATGGNGTWVGVGADADASSPYVVSETLSELLITGCNIQVTLVGGTGNVIIGCSSFCSINDMWGYGFVPTIPGDKCSGVGCCRTNITIGRPNYGVLLKRIDESLELDGEVPTSVRVAERGWFDGVANELLSRTRTDTSFHKPVPVVLEWAVASTRVVLPGMTPGNSSCPKDVGKSACRSSHSYCRNVTGNYRTGYVCRCEKGYDGNPYVVGGGGCQDINECERAEENGCFGECSNTPGGFLCRCPDGARGNANVPNGCIKSNLEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINETEVPLLVYEFISNGTLYHHLHEGLTSLSWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTSKVSDFGASRCIPAEKNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYKSPKDDSLVAHFTALLIDDNLNDILDSQVKEEGGKEIKEVALLAVACVKLKAEERPTMRQVEMTLETIRSSFVQEEVLHTTSMKKSKENHVAWRYPADEGTSIYSTRQYSLEEENLLSSRFAIYFSGCEAKVNKETRGIEDGENREQRNYYGGYRAAAAASGRHKHKASRPSCPKRQEMRHRGNDWAKHKVTATTTTMMIPAVSLLLVLLFQLCSVEAQVGGAGSPPARCPDKCGDVDVPFPFGIRDGCSLPGFGLTCDTATNPPRLMLGNGTLQVVEISLTNSTLRAVDLAGAVNITYDAPPNGGGRWASLGVTGAVAAGPYVVSEKRNRLVVTGCNVQATLAGENTNVITGCSSFCPISEMFTPLAVDDNDVTTGGCSGTTCCETPIAIGRPSYLVNLTNLDPTNQELTGRLPIAVRIAEKGWFEAVAGRLLNNSGAGGDAASLRTPVPVVLDWVVSSTLEAVLQGVTGGQFADDRNWSCPADAARSVCRSSDSLCVNVTGNYRRGHVCRCRRGYDGNPYVAGGCQDIDECKIAGNCFGECTNTPGDYECRCPRGARGNARIPNGCVKTNLGLSVGIGVGSGAGLLVMALCAAFLTRQIKNRRAKMLRQKFFKQNRGHLLQQLVSQKADIAEKMIIPLAELEKATNNFDASRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQRETDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHSDGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEKTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPKDDGLVSHFTALLTQGNLGDILDTQVKEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMTLESVRSSSLQQEVLQSVEAKKSKENHVSWTYPVSEGTSMQSTRQYSLEEEYLLSSRYPRHGVGCGRLVFPPGIGTGMIRAC >LPERR09G15530.4 pep chromosome:Lperr_V1.4:9:14925726:14950486:1 gene:LPERR09G15530 transcript:LPERR09G15530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVVVVMQQLVWSAEAQVAVAVGTGPPPGCPDRCGDVHVPFPFGIGDGCSLPGFALTCNTTTNRPPRLMIGNTTLQVVNISLPNSTLRALDTAGAVHIKYGIIDGNGTWPGVSGAGAGGPYVVSEDNNQLLVTGCNILVTLFGNAGNVITGCASLCAINDQWAGAVILNPRDKCSGIGCCQTPIPIGRTTYRVNLTNLERTRELSGQVLEAVRIAERGWFDGVAAELLKNQSTLSDTTARIPVPVVLEWAVASTPVITAGVPQFDNASCPTPAAARKSACVSSNSKCVNVTGNYRTGYVCLCKDGYEGNPYVAAGCQDVDECKRPAEVNRCFGECINTPGSFRCWCPRGESGNWTIPGGCVKSKLGLTIGIGIGSGAGLFILALGAAFLTRKIKRQRERMLRQKFFKLNRGHLLQQLVSQNADIAEKMIITLSELEKATNNFDESRELGGGGHGTVYKGILSGLHVVAIKKSKLAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWEDRLRIATETARALAYLHLAVAFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVITAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKSYSYRSQQDDSLVAHFTTLLAHDNLVDILDPQVMEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMSLESIRSSFLRQEVQHSVGKKKSMENHVIRSNMAIDGTNLETTRQYSLEEEYLLSSSILVKGDQRVMLAVACVKLKAEERSTIRQVEMSLESIRKKCNIVWARRNPCMENHVFRSSMAINGISLESTRQYSLEEKNGYQQQCPALAGDCLSGGVACTPCVGSGSAAAGAGMLSPIYPFGIGAGCARDKDFQLECINDNSNNNVSTTPRLILSIHQLQLLSLSLDDGEARALFKAKRQCYNNTDGAIVSTNDVNLNMSLSGSMAYLFSARNRLVALGCPNLGYIVHGLGIYVSGCTSICWPKQQETNTNVSLGGCNGEGCCQSRIAPSIIDYYKPFIVGLKQQDQDPILRNGLTTPCRYVFLAEDEWIQTTYRHPLNYSHENSIYNRTDDFAVPVVLDWAIRRNLHNCSTATGNMTEYACRSDNSVCIDAINTTNGVGFGYRCRCSEGYEGNPYLADGCQDIDECQRIREYPCYGECTNTPGGYECMYRHGARGNATVPQGCIVDRTNLGLIISIGVGSGVGLLAMAVGAAFLTRKIKNKRERMLRRKFFKQNRGHLLQRLQTTLMSRVNLEEEDTVKEEGGKEIKEVALLAVACVKLKAEERPTMRQVEMTLETIRSSFVQEEVLHTTSMKKSKENHVAWRYPADEGTSIYSTRQYSLEEENLLSSRFAIYFSGCEAKVNKETRGIEDGENREQRNYYGGYRAAAAASGRHKHKASRPSCPKRQEMRHRGNDWAKHKVTATTTTMMIPAVSLLLVLLFQLCSVEAQVGGAGSPPARCPDKCGDVDVPFPFGIRDGCSLPGFGLTCDTATNPPRLMLGNGTLQVVEISLTNSTLRAVDLAGAVNITYDAPPNGGGRWASLGVTGAVAAGPYVVSEKRNRLVVTGCNVQATLAGENTNVITGCSSFCPISEMFTPLAVDDNDVTTGGCSGTTCCETPIAIGRPSYLVNLTNLDPTNQELTGRLPIAVRIAEKGWFEAVAGRLLNNSGAGGDAASLRTPVPVVLDWVVSSTLEAVLQGVTGGQFADDRNWSCPADAARSVCRSSDSLCVNVTGNYRRGHVCRCRRGYDGNPYVAGGCQDIDECKIAGNCFGECTNTPGDYECRCPRGARGNARIPNGCVKTNLGLSVGIGVGSGAGLLVMALCAAFLTRQIKNRRAKMLRQKFFKQNRGHLLQQLVSQKADIAEKMIIPLAELEKATNNFDASRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQRETDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHSDGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEKTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPKDDGLVSHFTALLTQGNLGDILDTQVKEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMTLESVRSSSLQQEVLQSVEAKKSKENHVSWTYPVSEGTSMQSTRQYSLEEEYLLSSRYPRHGVGCGRLVFPPGIGTGMIRAC >LPERR09G15530.5 pep chromosome:Lperr_V1.4:9:14925726:14950486:1 gene:LPERR09G15530 transcript:LPERR09G15530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVVVVMQQLVWSAEAQVAVAVGTGPPPGCPDRCGDVHVPFPFGIGDGCSLPGFALTCNTTTNRPPRLMIGNTTLQVVNISLPNSTLRALDTAGAVHIKYGIIDGNGTWPGVSGAGAGGPYVVSEDNNQLLVTGCNILVTLFGNAGNVITGCASLCAINDQWAGAVILNPRDKCSGIGCCQTPIPIGRTTYRVNLTNLERTRELSGQVLEAVRIAERGWFDGVAAELLKNQSTLSDTTARIPVPVVLEWAVASTPVITAGVPQFDNASCPTPAAARKSACVSSNSKCVNVTGNYRTGYVCLCKDGYEGNPYVAAGCQDVDECKRPAEVNRCFGECINTPGSFRCWCPRGESGNWTIPGGCVKSKLGLTIGIGIGSGAGLFILALGAAFLTRKIKRQRERMLRQKFFKLNRGHLLQQLVSQNADIAEKMIITLSELEKATNNFDESRELGGGGHGTVYKGILSGLHVVAIKKSKLAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWEDRLRIATETARALAYLHLAVAFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVITAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKSYSYRSQQDDSLVAHFTTLLAHDNLVDILDPQVMEEGGEEVKEVAMLAVACVKLKAEERPTMRQVEMSLESIRSSFLRQEVQHSVGKKKSMENHVIRSNMAIDGTNLETTRQYSLEEEYLLSSSILVKGDQRVMLAVACVKLKAEERSTIRQVEMSLESIRKKCNIVWARRNPCMENHVFRSSMAINGISLESTRQYSLEEKNGYQQQCPALAGDCLSGGVACTPCVGSGSAAAGAGMLSPIYPFGIGAGCARDKDFQLECINDNSNNNVSTTPRLILSIHQLQLLSLSLDDGEARALFKAKRQCYNNTDGAIVSTNDVNLNMSLSGSMAYLFSARNRLVALGCPNLGYIVHGLGIYVSGCTSICWPKQQETNTNVSLGGCNGEGCCQSRIAPSIIDYYKPFIVGLKQQDQDPILRNGLTTPCRYVFLAEDEWIQTTYRHPLNYSHENSIYNRTDDFAVPVVLDWAIRRNLHNCSTATGNMTEYACRSDNSVCIDAINTTNGVGFGYRCRCSEGYEGNPYLADGCQDIDECQRIREYPCYGECTNTPGGYECMYRHGARGNATVPQGCIVDRTNLGLIISIGVGSGVGLLAMAVGAAFLTRKIKNKRERMLRRKFFKQNRGHLLQRLVSQNADITERMIIPLAELEKATNNFDISRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEIAILSQINHRNVVKLFGCCLETEVPLLLKAEERPTMRQVEMKLESIRSIFWQQVQHTEGSTKSMENHVSRSNPPNNTTNVEGTRQYSLEEEYLLSSSGRDPPRLMLGNGTLQVVDISLADSTMRAVDITNYEVYATGGNGTWVGVGADADASSPYVVSETLSELLITGCNIQVTLVGGTGNVIIGCSSFCSINDMWGYGFVPTIPGDKCSGVGCCRTNITIGRPNYGVLLKRIDESLELDGEVPTSVRVAERGWFDGVANELLSRTRTDTSFHKPVPVVLEWAVASTRVVLPGMTPGNSSCPKDVGKSACRSSHSYCRNVTGNYRTGYVCRCEKGYDGNPYVVGGGGCQDINECERAEENGCFGECSNTPGGFLCRCPDGARGNANVPNGCIKSNLEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEKRSMMFPAATTAAAALMLLVLQLSWAEAQVGGGAARCPDRCGNVSVPFPFGIRDGCSLPGFGLTCDTTTNPPRLMLGNGTLQVTNISLADSTVRAVDLAGAATMVNGSIDANGTWAGVGIDGPYVVSETRNQLLVTGCNIQVTLLGSSSNGIIGCSAFCSISDRWTGTVLSIPGDKCSGLGCCRTPIPIGRPSYHVQLKNLDTSREHDNKVPHAVRVAERGWFDGVADELLSPTATNASLRKPVPVVLDWAVASTPVIFPGVPQFDNSSCPSTTAGAATKSVCVSSNSKCFNVTGNYRTGYVCRCDDGYEGNPYVAGRDGCHDINECDRPAEVNRCFGECINTLGSFQCRCPRGASGNWTIPGGCVKSKLGLSIGIGVGSGAGLLVIALCAVLLTRKIKNQRARIMRQKFFRQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHEGLTSLSWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTSKVSDFGASRCIPAEKNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYKSPKDDSLVAHFTALLIDDNLNDILDSQVKEEGGKEIKEVALLAVACVKLKAEERPTMRQVEMTLETIRSSFVQEEVLHTTSMKKSKENHVAWRYPADEGTSIYSTRQYSLEEENLLSSSHGVGCGRLVFPPGIGTGMIRAC >LPERR09G15540.1 pep chromosome:Lperr_V1.4:9:14947380:14958296:-1 gene:LPERR09G15540 transcript:LPERR09G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPLRLVLVAATLVRLLQHAVADAGVAVRPGCPDRCGDVAVPFPFGIGAGCYHSDSRGFNLTCDRSTDPPRLLLGDAGVFQVHEISIVNATVRVGGININMSTDEEGHGAWRGLGDGGPFTLSDGRNELIVVWGCDVLAMLTDGSSTNVTISGCASFCPPTTDGGRNRLSLTVDGSCTGVGCCQMPITVGRDSYDVRLRRLSQPQTVDEKPPVVLIAEMGWLAEESKRRGGSLPSDLSTTAVPVLLGWTIASTRRGTDDKLPVVNSTCSAAACKSSNSSCRGVVTAAHAGYVCDCHAGYQGNPYLAGGCQGLSIFIGASSGVSIILLVIMVILLTHKHKQRRAKKLRKKYFIQNRGQLLQQLVSQRADIAERMIIPLKELEKATNKFDRARELGGGGHVEVAKAIAYLHSSVSIPIIHRDIKTANILLDDALISKVSDFGASRCIPVDQTGMTTRVQGTLGYMDPTYYYTQRLTQKSDVYSFGVILIELLTRKKPFSYLATEGEGLVAHFVTSFTKGNLVDILDPQVMEEADIKVVEKLAALVGTCVKLRGEDRPTMRQVEMALEGIQASREQFSGNLLGELFGESNNAAGNFMPTQERRSTKDSTRQYSLEKEFLLSTKYPRGKQAGGFGFMAWSMPRRILFAATVFLHAVAGAAAGAGLRPDCPTTCGDVTVPFPFGIGAGCYHSDSRGFNLTCDRSTDPPRLLLGDAGVFQVHEISIVNATVRAATVGGINITYGGGSNASAGEGRGAWRGLGGGGPFALSENRNELVVVWGCDVMALLLTDGSTNVTISGCASFCPGTGAGGEAIAGQPGSTLSLTDDGRCTGVGCCQMPINVGRDSYDIRLRRLNTQIATAAAGENPTVVLIAEQGWIAEASKGTRGSPLPVTFDGRAVPVLLEWTIGEAENSTCPADAARSACKSRNSSCRDVQTAGTVGYVCDCDAGYQGNPYLAGGCQDINECERPEEHGCFGECINTPGSFQCQCPAGTQGDHTQRRGCVETRKTINPIALGLISAVSLILVLLVAVFIIRKHKRRTAKKAEAENRGQLLQQLVSQRADIAEKMIIPLEELKKATNNFDKARELGGGGHGTVYKGILSDLQVVAIKKSNIVVQREIDEFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHGNGQSSLSWVDRLRIATKTVKAIAYLHSSVSIPIIHRDIKSANILLDDTLTAKVSDFGASRCIPVDQTGITTRVQGTLGYMDPTYYYTQRLTEKSDVYSFGVILIELLTRKKPFSHLTHDGEGLVAHFVNLFTEGNLVDILDPPVMKEACIKVVEEVAALAVTCVNLRGEDRPTMRHVEMALEGIQTSRQQSPGNLSANNLEESNNVARNFLPTQQITSMKEGTRQYSLEEEFLLSASDDDRTLSSVISTCLIGMTRPSEGRQAL >LPERR09G15550.1 pep chromosome:Lperr_V1.4:9:14971540:14974856:-1 gene:LPERR09G15550 transcript:LPERR09G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKCDTRASSCGTSCHAAMTWNKQPATGDAADDHGRLRELGYKQELRRNLSVVSNFSISFTILSVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAIGLSMAEICSAFPTSGGLYFWSARLSAHHRLSPFASWITGWFNIVGQWAGTASVDFSLAQLIQVIVLLSTGGKNGGGYLASKYVVFAFHAGILLSHAIINSLPIAWLSFFGQFATAWNMLGVFVLMIAIPVVATERATARFVFTHFNTENSAGIHSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADRSGPLGIISAIGISIVVGWGYILGITFAVAGKDNIPYLLSPENDAGGYAIAEVLYLAFKGRYGNGAGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPLSSVWHKVNKQQVPINAVWLSAFISLCMALPSLGSLVAFQAMASVATTAVCIAYALPILFRVTLGRNHFVPGPFSLGRYGLLVGWIAVLWVATVTVLFSLPVSYPVTKDTINYTPVAVGGLFTLVMSSWIISARHWFKGPIPELVIDPPQPQAQTPGVKKLRQKYFKQNPGQLLL >LPERR09G15550.2 pep chromosome:Lperr_V1.4:9:14971538:14974402:-1 gene:LPERR09G15550 transcript:LPERR09G15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKQPATGDAADDHGRLRELGYKQELRRNLSVVSNFSISFTILSVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAIGLSMAEICSAFPTSGGLYFWSARLSAHHRLSPFASWITGWFNIVGQWAGTASVDFSLAQLIQVIVLLSTGGKNGGGYLASKYVVFAFHAGILLSHAIINSLPIAWLSFFGQFATAWNMLGVFVLMIAIPVVATERATARFVFTHFNTENSAGIHSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADRSGPLGIISAIGISIVVGWGYILGITFAVAGKDNIPYLLSPENDAGGYAIAEVLYLAFKGRYGNGAGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPLSSVWHKVNKQQVPINAVWLSAFISLCMALPSLGSLVAFQAMASVATTAVCIAYALPILFRVTLGRNHFVPGPFSLGRYGLLVGWIAVLWVATVTVLFSLPVSYPVTKDTINYTPVAVGGLFTLVMSSWIISARHWFKGPIPELVIDPPQPQAQTPGVKKLRQKYFKQNPGQLLL >LPERR09G15550.3 pep chromosome:Lperr_V1.4:9:14971853:14974856:-1 gene:LPERR09G15550 transcript:LPERR09G15550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKCDTRASSCGTSCHAAMTWNKQPATGDAADDHGRLRELGYKQELRRNLSVVSNFSISFTILSVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAIGLSMAEICSAFPTSGGLYFWSARLSAHHRLSPFASWITGWFNIVGQWAGTASVDFSLAQLIQVIVLLSTGGKNGGGYLASKYVVFAFHAGILLSHAIINSLPIAWLSFFGQFATAWNMLGVFVLMIAIPVVATERATARFVFTHFNTENSAGIHSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADRSGPLGIISAIGISIVVGWGYILGITFAVAGKDNIPYLLSPENDAGGYAIAEVLYLAFKGRYGNGAGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPLSSVWHKVNKQQVPINAVWLSAFISLCMALPSLGSLVAFQAMASVATTAVCIAYALPILFRVTLGRNHFVPGPFSLGRYGLLVGWIAVLWVATVTVLFSLPVSYPVTKDTINYTPVAVGGLFTLVMSSWIISARHWFKGPVTNLDGQSSSFVTNG >LPERR09G15560.1 pep chromosome:Lperr_V1.4:9:14975278:14977762:1 gene:LPERR09G15560 transcript:LPERR09G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVGRDSYDVRLRPLSDGGGVYPPVVVVIAEKGWIAEASRDAGAIGKTAAVPVLLGWAIASTRRGPNGDVPVNSTCLANARAACKSSNSSCRGATSFARAGYVCACDAGFQGNPYLADGCQGLSIGIGASSGVSIVLLVIMAILVTRKRKRQRVKKMRRKYFEQNRGQLLQHLVSQKADISERMIIPLEELENATNNFDIARKLGGGGHGIVYKGILSDLHVVAIKKSKIVVQREINEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSIGTLYNHLHVDGPRSLPWSDRLRIAIETAKAIAYLHSSVSIPVIHRDIKSANILLDDTLTSKVSDFGASRCIPIDQTGVTTRVQGTLGYMDPSYYYTQHLTEKSDVYSFGVILIELLTRKKPFSHLHNGEGLVAHFVTLFKEGNLVDILDPLVMEEAYTEVVETVASLAVTCVNLRGEDRPTMRQVEMALESIQISREQSLGNISTENLEEHNNIARNFLPTQERRSMKGGTRLYSLEEEFLLSARYPR >LPERR09G15570.1 pep chromosome:Lperr_V1.4:9:14978877:14981763:1 gene:LPERR09G15570 transcript:LPERR09G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYTEVVEIVASLAVTCVNLRGEDRPTMRKVEMAPESIQISREQSLGNISTEKLEERNNVARNFVPTQERRSMKEGTRQYSLEEEFLLSASLTRGLFMASMRRLLVLFAAAVALQQQATVLALQPAAVLPGCPTTCGDVAVPFPFGIGAGCYYSGSPGFNLTCDNRNTDGSPRLLLGDAGVFQVLNISIANATVRAARVIGMNITYGAGNSSDEGRGAWRGLGDGGGPFALSEDRNELVVVWGCDVVALLTDGGSNDDVTISGCASFCPKDIGHTFTGVVYDVHLCSLDPTQQINIPRYTSTQVLIAEQRWLVDMVPFPTQFDETVLPP >LPERR09G15580.1 pep chromosome:Lperr_V1.4:9:14981817:14993914:1 gene:LPERR09G15580 transcript:LPERR09G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVMVPFPFGIGRLLLLRLAGVQPHLRPAHPPAAAAPRRRRRVLDISIANAMVRAGRVGVGINIMYYADASVPDEGRAMWRGLGDGGLFTLSDNSNDFIIFQECDCDGCFGECTNTPESFQCRCPEGTQGDYTQSHGCIRTPPPPSTAIKKSKITIQREIDEFINEVAILSQINHRNVVKLFGSISMYELRSLSWSDRLRIATETAKAIAYLHSSVSIPIIHRDIKSANILLDDTLTSKVSDFGASRYIPVDQIEVTTKVQGTLGYMDPTYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTHEGEGLVAYFVTSFTQGNLVNTLDLQVMKEANVKVVEEVATLVVACLQLRGEDRPTMRQVEMTLDGIQTYKDQASGNLSVEKFGGINSTVARNFLPTQEERCLQDGTRQYSLEEEILLSSSSMAGGLFMVSMPRLVFFAAALAVAEAVILVRHPGCPTTCGDVAVPFPFGIGAGCYYSESPGFSLTCDRTTHPPRLLLGNAGAFQVLNIFIANATVRAARVGGVNITYGGNMSSSPSSTDEGRAEEQGWLAQATISVRLDETVVAVSLGWAIASAHLGQDGAAAPENATCAGNACESKHSSCRHVVAAATDGYVCDCDDGFQGNPYIAAGCQRNPTNGSCQGCYSNTRAPIFFLSLGLSIGVGALLIILGILIFRNHKHRRTKKLRQKYFRQNRGQLLQQLVAQRTDIAERMIIPLEKLEGATNNFDKSFEIGGGAHGTVYKGILSDLHVIAIKKSRIVVQREIDEFINEIAILSQINHRNVVKLIGCCLETEVPLLVYEFVSNGTLYSHLHVNGSRSLPWSDRLRIATEIAKAIAYLHSSISIPIIHRDIKSTNILLDDSLTSKVSDFGASRYVPNDQTGITTKVQGTFGYMDPTYYYTQKLTEKSDVYSFGVILVELLTRKKPFLPHIIEGEGKMLLLIAILALSVHLVAAAAAMQPHETCLRRCGDFEIPYPFGIGSGCHLETGDWTFVLSCNRSEDGRLRVYNYQIEVVDISVRLGQLRIYSAINPWCYNGSTGAMNDQSNWGYDMSITNFRINDALNRFTVIGCNSLAYILSPDGTPSADGYMTACMATCPGVGRLENGSCAGVGCCQTAIPGGLNGYQVVFEEKFNTSGTAMFSRCSYTVLVDSRAFNFSTTYVTTDELMVAHGGQLPLVLDWAIGNKTCKEARQNASAITASVSTPSTVMPVASSAIAPPVTRATPTSSTDAKEDSWRDQRHGRFCSPPVFLHALARLPDHVRRRGRAVPLRHRCRAAATTRTRRGSTSPAIGTPTPPRLFLGDAGVFQLLDISIANATVRAACVGAINITYGGGGGNNTAGREEGVDRGGVQGDPRVLPLPLFFETSTTYTAVPVLLGWTIQSTRRGPNGEQLQVPCRHRGAEPARAATVLAATSPDPNALATSFLSIWRFTNTLTKSDSFGIILVEFLTRKKPFSHVTPYSESLIARFVTTFTKSNMPGIIDLQVMKEVDMKIVALAVTLGKAKRRRPAYHEAS >LPERR09G15590.1 pep chromosome:Lperr_V1.4:9:15004725:15008959:1 gene:LPERR09G15590 transcript:LPERR09G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTVWAVAALLVLQLIISSAGGGGPPAPPWCPDRCGEVSVPYPFGIRDGCSLEGFGLTCDNTTDPPRLMVGNGTLQVVEISLANSTLRAVDLAGAVNITYDSSTRGPDGNGTWASLGGGGDGPYVVSEQHNQLVVTGCNVQAMLSTNLITGCSSFCPVSEMFRSVASITNLECSGTNCCETSIAIGRPSYVVQFRYRDPDHEHDGKLSINVRIAERGWFDGVAARMLDKEAEVATARTPVPVVLEWALASTRERVLWSSEPPLTNWSCAAATACLSSDSLCVNVTGNYRSGHVCRCRDGYDGNPYVTGGCQDIDECKIAGKCFGECTNTDGAFLCRCPRGARGNASIPNGCVKTNLGLSVGIGVGSGAGLLVMALCAVFLTRHIKKRRAKMLRHKFFKQNRGHLLQQLASQNAEIAERMVINLYLVLQQVRGARGTH >LPERR09G15600.1 pep chromosome:Lperr_V1.4:9:15011342:15011940:-1 gene:LPERR09G15600 transcript:LPERR09G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMDKMAMLAPIQKHDEYYTFRDKAAENKCLMVVEFISPRSVPCKEMERPFKDMITSKFQNNNKVLFYTADLNNLVNLARELESEGAPSFVLVKDKAVKKHMVITRAEKLPTLQKEIERQLEDI >LPERR09G15610.1 pep chromosome:Lperr_V1.4:9:15019946:15021617:-1 gene:LPERR09G15610 transcript:LPERR09G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHRSSDFSSSPTIPCRHPKTPIITSEPRKPNIAVFAFIVLAGRRKTRLSPATRRPNQRPDSCTDGRDQLRSLPPPFVAAVNRFPPLRVIAGGMLGGRSEDRLI >LPERR09G15620.1 pep chromosome:Lperr_V1.4:9:15028682:15030799:1 gene:LPERR09G15620 transcript:LPERR09G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVWSAVRWWDEWQLRILVLGSLSVQWFLLVAGPMRKHTIPQWFRWCIWLAYISSDALAIYALATLFNRHAKASGGGCSDYSSNGGKEAGILEILWAPVLLIHLGGRELTAYNIEDNELWLRHTVTLVSQVAVAVYAFSKSWPASADSRLRASAILLFIIGVLSFCEKPWAFNRARVNRLAEAASSLIRTKSRSVRWWSLKLCFTELELEEDEWWTSLIRWWRSEHSAAGKELPIKKRVHMLLSDMSLLAANYELKKMPITALTRWVGDESFSLAWLRKAFALIYTRQNVVWTPAYLIYHMMMLPALHAAAIVLFAQSHKRGRYNATDVKTTYVLLCFTAALDVTSHFLSDLLHWLMVVVAGVPSLCEWISQCNLMGEVLRIRRQPVTGCLIWCARKMGCYEGFFLCKRDNLYDKVAGYLVLEVIDKEYQGMIKGNDLGTYRNLGSKWWPPTLSLGSSYTPTTRNEVDEDLAQSSTMIQRTLQRESFDKSVLLWHIATDLCFCKDPPPAPINSSEVITEAISNYMAHLLNFRPDMLMTGTRQRLFTEAMDEVEQILQGGKAGRVRHVRPGIIDDQDLANTILKGGMLRIHSCHGHRPSCRSKDCPPQYPLIHDACRLAQGLLAQGDNRWELMYTVWMSMLFYSATMCRGYLHAKSLGQGGEFLTFVWILLSIKGAMTLPDKLQMPDQAILPQEDEEEETKDASD >LPERR09G15630.1 pep chromosome:Lperr_V1.4:9:15038315:15045811:1 gene:LPERR09G15630 transcript:LPERR09G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVTRKHNQRRVKKMRRKYFEQNRGQLLQQLVSQRADIAERMIIPLEELENATNNFDIARKLGDGGHGIVYKGILSDLHVVAIKKSKIVVQREIDEFINETEVPLLVYEFVSNGTLYNHIHVDGPRSLPWSDRLRIATETAKAITYLHSSVSIPIIHKDIKSTNILLDDTLTSNVSDFGVSRCISVDQTGITTRVQGTLGYMDPSYYYTQRLTEKSDVYSFGVILIELLIRKKPFSHLTHNGEGLVAHFVTLFEEGNLVDILDPQVMEEAYTEVVEIVASLAVTCVNLRGEDRPTMRKVEMAPESIQISREQSLGNISTEKLEERNNVARNFVPTQERRSMKEGTRQYSLEEEFLLSASLTRGLFMASMRRLLVLFAAAVALQQQATVLALQPAAVLPGCPTTCGDVAVPFPFGIGAGCYYSGSPGFNLTCDNRNTDGSPRLLLGDAGVFQVLNISIANATVRAARVIGMNITYGAGNSSDEGRGAWRGLGDGGGPFALSEDRNELVVVWGCDVVALLTDGGSNDDVTISGCASFCPKDIGHTFTGDINECERAEENGCYGECINMPGSFLCRCPAGTQGNHAQPHGCVNVSLSSSSSSTGFSIGVGVSSATGVILLIIVAIMIIRKHKRQRAKKLRQKYFKQNRGQLLQQLVGQRTDIAERMIIQLEEIEKATNNFDKDRELGGGGHGTVYKGILSDLHVVAIKKSKIVVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYNHLHVKEQRSLSWSDRLRIATEIAKAIAYLHSSVSIPIIHRDIKSANILLDDTLTSKVSDFGASRFIPVDQTEVTTKVQGTLGYMDPTYYYTQRLTDKSDVYSFGVILVELLTRKKPFSHLTPEGEGLVAHFVTLFTQGNLVDTLDLQIMEEADAKVVEEVAALAVTCLQLRGEDRPTMRQVEMALEGIQASREQASHNLSVEKLGGSNNVVERNFLPAQEERYMKEEGTRQYSLEEEFLLSSSFLSLKFIMASMRWLLVFFAAALALKYAEADAGLIGVHPGCQTTCGNVTVPYPFGIGAGCYYSGSPGFNLTCDNGSIDGGNNDTNIIIGVCASFCPGSTNTSSTVLSMRGAGSTRWRCSSRSKAFNQHHDDDDGGGLSTHFNETVAPVLLEWMIQSTPLDTNNEAPESSKCPAPPRSACKSIHSSCRNVSTSTRAGYVCYCHEGYQGNPYLHGGCKGT >LPERR09G15640.1 pep chromosome:Lperr_V1.4:9:15047378:15048399:1 gene:LPERR09G15640 transcript:LPERR09G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLDELEKGTNNFDRARELGGGGHGTVYKGIMSDLHVIAIKKSKINVQSEIGEFINEVAILSQINHRNVVKLFGCCLETEVSLLVYEFISNGTLHGHLHADGARSLTWSDRLRIASEIAKAFAYLHSSVSIPIIHRDIKSTNILLDDTLTSKVQGTLGYLDPEYFYTQRLTEKSDVYSFGVILIELLTKKKPFSHLTSEGEGLIAYFVTSFREGNLTGMLDMQVIEEADMKVAEEVAALAITCVNLRGEDRPNMRQVEMALEGIQASRENASGNLSAHIFEESNSVAVDPLRTQKGSVIQGTRQYSLEGEFFLSARFPR >LPERR09G15640.2 pep chromosome:Lperr_V1.4:9:15046392:15047377:1 gene:LPERR09G15640 transcript:LPERR09G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRIGAAAVAAGHQRLSIVIGVGTAASLLLLGIVAIFINHKHKKWRAKKQREKYLKKNRGQLLEQLVTQRADIAE >LPERR09G15650.1 pep chromosome:Lperr_V1.4:9:15048904:15050495:-1 gene:LPERR09G15650 transcript:LPERR09G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSKRPPPCLLLLILAVSFLGYSSARPHHMAGDTVAIDVEATMMERFQRWKAEYGRSYATADEERRRFGLYARNVRYIEATNAAAGLAYELGETAYTDLTNDEFVAMYTAPPLRSSDGDDEVAAMAFITTRAGPVDERTEVYFNESAGAPASVDWRASGAVTDVKNQGRCGSCWAFSTVAVVEGIQKIKTGKLVSLSEQELVDCDDLDSGCDGGVSYRALQWITTNGGITTRDDYPYTGLAGAACDRSKLAHHAAAIAGFRRVATRSEASLANAVAGQPVAVSIEAGGDNFQHYVKGVYDGPCGTRLNHGVTVVGYGEEAAGGDKYWIVKNSWGKRWGDEGYIKMKKDVAGKPEGLCGIAIRPSFPIM >LPERR09G15660.1 pep chromosome:Lperr_V1.4:9:15051387:15057447:-1 gene:LPERR09G15660 transcript:LPERR09G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPIQTPKRLRRPSYDQPRVPHGELLVDEILTRLPIAAAVRFRAVCREWHAALTSDHFIRAHHARTTAVMAARNLEMLFLAPCSGAGGHHRATSFNACSIHDGAAAARELLTVADLSAEHAVFSPTPCRGLTLVFDGRSSEYHVINLSTGEHVVLPPATAAEARLEERPFIDGRLNIYATWSYLPPFTPWIPFELSTTGIGFDTTTGEHKVVRLFKNLNGEYACEVCNPRGLTTGWRRCVGRLPPCVASLIPALPPVFVDDGYLYWLLDHQPTTTVTTHRILSFSMATEQFGWVYVPPRLSSRICHLANLDGFLCAVVDNHLFGGVYGLFTWSGRLSPSWSMRCCINLKTLHPQQVSDELANELVIVPLCTSAGGNKILVATGCHKVFACDIERNAVERVFRMQDFVDVPDCYLHAPLLLRVGLHDDQRSKGAARRLNRILSFSMATEQFGWVYVPPRLSHRICYLANLDGFLCAVFDNHIFAGVYGLFTWGGRLSPSWSVRCCINLKSLQPQQVSGELAKERVIVPLCSADGGNKILLATGRHKVFAYDIERDTVERVFRMQDFVDVPSYYLKSPLLLSVGLHDAQRSPECTDTTPPKSKRLRRSEPDSHHTRAPHGELLVDEILTRLPVAAAVRFRAVCRDWNAALTSDHFIRAHHARTTARELLTVADISAEHAVMSPSPCGSGLTLVFDARASEYYLFNLSTGHHLTLPPCQHPAASYVESTSRFTHVNQRSFLPAFTPWFPFEFSTTGLGFDAATGDHKVVRLLEKRDGGYACEVYTYPPTPRAGTRRNSTWRPCVGRLPPCVAKLIPALPPVFVDGYLYWLLRPSTAVGHHRILSFSVAREQFGWVYVPPQLSSSMRHLANLDGLLYAVVDDRVVGHLYALFTWSGRESSPSPSWSVRCRINMSSLPEEVSDELVNERAVVPLCSAGAGGNKILLATGRHKVFVYDADRDTVERVFRMQDFVGVPRSYPTQQARLLLNVGLHDERIADVRGESRRLKVRMGRSDDTVVKREAPSVSVNNQHRVHDEFDVFWDQITRL >LPERR09G15670.1 pep chromosome:Lperr_V1.4:9:15060288:15061578:-1 gene:LPERR09G15670 transcript:LPERR09G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDEGSPPPSDVPHPIPAAAAVGVGDGRSPLVAPGPSRWFIFADAQQGRLPALLAVATRAVLDDIGLGGFTLSSTDGDAFAVRRDARSGPNPGGEMRNSISWFVVTRCRATSEDAAAAASPYVVVSTRTRDRSWRASVLVATDERQNGGELYDIDVLDGYVQASLREFFGTLDDDGGGYRVLAVRNDATDTFHIFFELSVSGEIVQSGGGGVIRGAEAAERSSSRLHCKRPRFQEQRRVAGVIWRSRSSSRPPSEHRRRRWDQAPAPLACSSCPRIQPEYHIGEDCVIGGAIKLRRSGWQQFSAIRRGFDVWYCFYNLEDVRHRRDTGIRFQMHRYEAMLGSRSWRRFHCTILRYPCPLPMCIFSFPPFVDHTPPLVSVVWRWMMSRRSSSSA >LPERR09G15680.1 pep chromosome:Lperr_V1.4:9:15062287:15067192:-1 gene:LPERR09G15680 transcript:LPERR09G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQVLEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPVNSDGLQGINLPQKGNSTIGTQSPTSTCRSSLDGHSNHQGSSIREANVNLSSQLPKKRSSCFFLGGLVCALFVKEDCRKPDESEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRLAIEFGVGIAVIVLCFVDKNALINIQDKLGNGMTRAPFAVIVGIFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLEPGMVPSTVDPDAAGYAERANKSKRPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARRGHEADLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLTSHSQFHAPPHQPPPPERPAPGIVPGIVRPPVPTTQIANPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRTVTGVDLPARTPRFLANPTGESSNQVKNLAPANASSSAMSSGQPSERLTYSGQSIFFGGPILSTSGINTQRSEAGTRARPDGSRDPPNAYQRDTRGEKARTGSLPVFAPGAYQKNPPFDK >LPERR09G15690.1 pep chromosome:Lperr_V1.4:9:15069886:15076184:1 gene:LPERR09G15690 transcript:LPERR09G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSTNGQSGKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFCNIFPHNIEDTEQVIGALSLIIYSLTLIPLIKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQELTTYSRRIYEEKSLAAKFQMWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEVPRMGNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPIYVYRYFKRGKNSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTMVVFPCLLLQYTGQAAFIAANKEEITHAFYSSLPACILWPAFVVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVFVFTVLSLLVEIPYFSAVMRKIDQGGWVPLVFAAAFLLIMYIWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLLLFIRLESMMEEYSDSDEYSTRELSLANPRISNGVTTTSSNNNNNMELMSYTSSHDSIVPVNSPSNDTSSSQVVPASGQTAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFIKKMAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >LPERR09G15690.2 pep chromosome:Lperr_V1.4:9:15069971:15076184:1 gene:LPERR09G15690 transcript:LPERR09G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSTNGQSGKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFCNIFPHNIEDTEQVIGALSLIIYSLTLIPLIKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQELTTYSRRIYEEKSLAAKFQMWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEVPRMGNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPIYVYRYFKRGKNSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTMVVFPCLLLQYTGQAAFIAANKEEITHAFYSSLPACILWPAFVVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVFVFTVLSLLVEIPYFSAVMRKIDQGGWVPLVFAAAFLLIMYIWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLLLFIRLESMMEEYSDSDEYSTRELSLANPRISNGVTTTSSNNNNNMELMSYTSSHDSIVPVNSPSNDTSSSQVVPASGQTAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFIKKMAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >LPERR09G15690.3 pep chromosome:Lperr_V1.4:9:15069886:15076184:1 gene:LPERR09G15690 transcript:LPERR09G15690.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGSTNGQSGKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFCNIFPHNIEDTEQVIGALSLIIYSLTLIPLIKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQELTTYSRRIYEEKSLAAKFQMWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEVPRMGNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPIYVYRYFKRGKNSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTMVVFPCLLLQYTGQAAFIAANKEEITHAFYSSLPACILWPAFVVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVFVFTVLSLLVEIPYFSAVMRKIDQGGWVPLVFAAAFLLIMYIWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLLLFIRLESMMEEYSDSDEYSTRELSLANPRISNGVTTTSSNNNNNMELMSYTSSHDSIVPVNSPSNDTSSSQVVPASGQTAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFIKKMAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >LPERR09G15700.1 pep chromosome:Lperr_V1.4:9:15080789:15081266:-1 gene:LPERR09G15700 transcript:LPERR09G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKAYKYQADFMRDYP >LPERR09G15710.1 pep chromosome:Lperr_V1.4:9:15081657:15084507:1 gene:LPERR09G15710 transcript:LPERR09G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPIQTPKRLRRPSYDQPRVPHGELLVDEILTRLPIAAAVRFRAVCREWHAALTSDHFIRAHHARTTAVMAARNLEMLFLAPCSGAGGHHRATSFNACSIHDGAAAARELLTVADLSAEHAVFSPTPCRGLTLVFDGRSSEYHVINLSTGEHVVLPPATAAEARLEERPFIDGRLNIYATWSYLPPFTPWIPFELSTTGIGFDTTTGEHKVVRLFKNLNGEYACEVCNPRGLTTGWRRCVGRLPPCVASLIPALPPVFVDDGYLYWLLDHQPTTTVTTHRILSFSMATEQFGWVYVPPRLSSRICHLANLDGFLCAVVDNHLFGGVYGLFTWSGRLSPSWSMRCCINLKTLHPQQVSDELANELVIVPLCTSAGGNKILVATGCHKVFACDIERNAVERVFRMQDFVDVPDCYLHAPLLLRVGLHDDQRSKGAARRLNRILSFSMATEQFGWVYVPPRLSHRICYLANLDGFLCAVFDNHIFAGVYGLFTWGGRLSPSWSVRCCINLKSLQPQQVSGELAKERVIVPLCSADGGNKILLATGRHKVFAYDIQRDTVERVFRMQDFVDVPSYYLKSPLLLSVGLHDAQRSPVSS >LPERR09G15720.1 pep chromosome:Lperr_V1.4:9:15087714:15089417:1 gene:LPERR09G15720 transcript:LPERR09G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGDNESRRFEAFKANARYVNEFNKKEGMTYELRLNQFADMTFEEFAVKYAGAKVDAAALSSVPEADEEELVSFAPTVWDWRHHGVVTPVKNQNPCGSCWAFSSVGTVESAYAIATKRLLRLSEQQVLDCSDAGDCNGGWPSKVLQDFAVKKGIALDQRGYPPYYPAYQAKKLQCRTVAGKPVVKINGFGAVPFSDEIALKQRVYKQPVSVLVKADGVYSGPCGASLNHAVMVVGYSVTRDNIKYWIVKNSWGTGWGERGYIRMKRDVTAKEDFCGIAMYGMYPIMKTVPISMVADE >LPERR09G15730.1 pep chromosome:Lperr_V1.4:9:15093575:15097789:1 gene:LPERR09G15730 transcript:LPERR09G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQELPKRSYREDAPMEGAIFMSNTATRELCFRTGIFGLPIEYQSFVENIRQGMPLFLFDHTERKLYGVFEAVSDGGLNINRSAFSSIGCSYPAQVCFKIVWKCRPLTKDEFSPAINENYYSPWKFYFDLSYQQVVHLYQLFDEKRVEHPICNRPKSVNLEKDHFRKGTKERKSLSPNIPQFPADHPGHGFSIPASNPRFSTVEASYCASTSMHQAVPQPNMSMPLGTKPFGVKFAPVHSSHQDQAELPYNNNMLFPNAAPVDATATQVAMPCSQTTKYHPDQFTASQSYLLSHKHMDNSLLSGCVARDPTDELKLSSANHSYPPSGYACSYLPPPGYKTRDTIGVDINYVGSTLAPSYPQFPLANAQGNATNCRDYYDDHCKQCQLEDIYGSEHQHFSKTKASPSKLNRQDIPVYPVIPELAFDQRKESFNEKDYENTHYFHRTDTVSSGLGNSIGAYMPDHVNRSPDIRSERNIIAAGQNAQSSVFSRLSRIPPPLPQEIPGPSLNQLVHSLSQKAGQWSNKDEIITNALSEQLVSEQVMGISCPLAELNQPGFIEEVSTGLPFMNFKRRSETGKLDANLGEEINGKVKRRKLVRPAFGEDNNSASSEKELQANRLEEKKHCHAEKKFSIDLNRPASTDGILAKEDDTTALLFPSVFTMTHTDKPCEVNKSEPNSSNTTEEKKKQDPSFNIDTQTEKISLDLSVADLSTIDKSELQAILSTTLSQAIDNIRSGKLNNSEETVSKICAKDSSNLIVSESSEGDSKL >LPERR09G15740.1 pep chromosome:Lperr_V1.4:9:15097894:15099495:-1 gene:LPERR09G15740 transcript:LPERR09G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVDPDLISRIRVCFFCGSKEEAAAWDFTGRSLLDFLTTSGTMQTSALGTKQDSLWGLTNVILYGDSAVIKHVTKLFAPLLSFECEVIELMQLTQDYSPGSNLVADLFMRATGKQPCIIVISQIDEIKDQELISAICAEMDRQSRGVVVFGTARWWQRIHKSFMRHGRFTKIFCGRDSVKDEWECAGELAIDA >LPERR09G15750.1 pep chromosome:Lperr_V1.4:9:15109951:15111141:-1 gene:LPERR09G15750 transcript:LPERR09G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATMPSWSDLPGDLLREISGHICDAADYVRCQAVCKHWRDAVSPPPAMFFFPWIVGPCIYQPWPGRADNDELLFRSVSLNATFRVPRDSCLGETCSVRATDGAGGRVLAVGSWHGVSLVNPLTGDTTLLPWGFPDHIARCLGDLHGAVTGDGTVLLYTGYRDGTYDAAIHRPGGGDEAWTSVANAEIDHITRCQWGPWRHCATYHDGKVFQAGSTFSLVEMLTIAPGDKFAEKPEWRSLPRVGPQSSGYGYFFDLAGEMMWAYVDAAPPLVVKISVYSLEKDQSGGERWVKRDDAPRLLSSSVLFLGGTSSFAVEAAQLAGHHAGGEVYLMIDTIGRSLGYLKMCRVYRYRWEDDTVAMVDEANRWISPQYCNWFVPRPTLHVASHPPLHQSS >LPERR09G15760.1 pep chromosome:Lperr_V1.4:9:15111881:15113292:-1 gene:LPERR09G15760 transcript:LPERR09G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTGGSTTKMLGSSGPLGDITNLSAAELKNKRARERIILQWHNNKNFFYNNKLYLLSATDEGAAFE >LPERR09G15770.1 pep chromosome:Lperr_V1.4:9:15122434:15123907:-1 gene:LPERR09G15770 transcript:LPERR09G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNPLAIGLLAVMMAAMAVVAVQGHVDVPFTDEDLESEETMWNLYERWRALYTSSSMDLGDIESRFEAFKANARYVNEFNKKKGMTYELGLNKFADMTFEEFAAKYAGAKVDAADLASVPEGNYEEELVGDVPVTWDWRQYGVVTPVKDQKQCGSCWAFSSVGAVESAYAIATKQLLRLSEQQVLDCSNAGDCSGGYTSSVLQDFAVKKGIALDQSGNPPYYPAYQAEKLACRTVAGKPVIKMDGAASVPSSNEVSLKQSVYKQPVSVLIEADNNFQLYVKGVYSGPCGTSINHAVLAVGYGVTTDNTKYWIVKNSWGTGWGESGYIRMKRDIAAKEGLCGIALYGMYPIKKTAAISMVVDN >LPERR09G15780.1 pep chromosome:Lperr_V1.4:9:15123958:15139884:-1 gene:LPERR09G15780 transcript:LPERR09G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLMLAVLVAAMAVTAVHSIPMTDKDLKSEESIWNLYERWRAVHTVSRDLAEKESKFETFKANARYIHEFNKKKDVPYKLGLNKFSDMTPEEFTRKYTGALPEADDDNHDNAGLNIVGSDRSLSKQPLVPAGDVPSVWDWRDHGAVTQVKDQKQCGSCWAFSVIGSVEGINAIRTGNLLTLSEQEVLDCYDQGSCDGAATYDAFDLIIQKGTTLDQNGSPPYYPAYVAEKEQCRFDPTKPPVIKIDGKYRGRYADENALKQGVSMQPVSVRIEASSYAFQSYREGVFTGPCGTAVNHAVVVVGYGETSDGVPYWIVKNSWGETWGEKGYIRMLRNIDSKAGICGIALYPMYPVKDAPAAAWASSTVSVVEGINAIVTGKLLSLSEQQLLDCSGAGDCGGGNPFEALEYFGNPPYYRPPYEGRTMPCRAVPGKPPEVKLDGIAKVPYANEAALKQSVYRQPGVYNGPCGSGTMVNHVVVVVGYGITEDNNLWGTIWGEGGYIRMKRDVANKEGLCGIAISSSIKGGYHCIDLHTRAT >LPERR09G15790.1 pep chromosome:Lperr_V1.4:9:15147278:15148193:-1 gene:LPERR09G15790 transcript:LPERR09G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYTYNINIGSCCAECAYSAVGAVEGINAIETGKLLTLSVQQVIDCAGAGNCNVRSTYDAFQYAIENGITVVGNYPAYDKEKNCTCRFDPNKPPIVKITGISFVKSEEDLMQAVYWYGPVSVLIEASSAFMMYKEGVFTGPCGTALNHAVLVVGYGKIPNGTRYWIVKNSWGQMWGEKGYIRMVRDIEVDAGICGITTYAIYPTGVVTSPAAATAAY >LPERR09G15800.1 pep chromosome:Lperr_V1.4:9:15152480:15161024:-1 gene:LPERR09G15800 transcript:LPERR09G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKQVLLLAVVIGMAIATAKGSTIAFTEKDLASDATMWKLYEKWRGVHTVSADLAEKKTKFEVFKKNAVYIHNFNSQKGKPYKLGLNRFADMTLDEFKKKHTGAVIKPAAAAAKIAAPKIVVAGDGDLPASYDWRDHGAVAAVKDQGQCGSCWDFAGTAAVESINAIVTGNLLTLSEQQVLDCSGAGNCEDGGTTPGVFDYAAANGITLSCNYPAYTATDEPCRLDGAATPLVKIDGYAAAPANNEKAMKRRVYAQPVTVYIEASYDFMLYTEGVFTGDDCGTSLDHAVVVVGYGVTQSGVRYWIVRNSWGNNWGEGGYIRMIRDVDAKEGVCGIAMYPYYPIKNCPCAVDGVAGKRVLALLVAAAMAMAASSHQDLPLTDKDLESEESMWNLYERWRAVYASSRDLSDMESRFEIFKANARYINEFNKKKGMSYWLGLNKFSDMTSEEFMAKYTGAKVQPESVLANYSDSAEQPLVGVPPATWDWREHGAVTDVKDQVCCGSCWAFSAVGAVEGINAIMTGNLLTLSEQQVLDCSGAGDCSGGWPDKAMQYIVKNGITLDQCGKQPYYPGYEVKKRACRTVQGKPPIIKIDNVLSVKPPTEAALLLKVFQQPISVAVDATWWQSYKGGIFTGPCNIPPKLNHAVLVVGYGVTTTPDKTKFWIVKNSWGDDWGEKGYIRMKRDVITPGGLCGIATYATYPTKKCPCPVPPPSVITSY >LPERR09G15810.1 pep chromosome:Lperr_V1.4:9:15163249:15170600:-1 gene:LPERR09G15810 transcript:LPERR09G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGACRWADLPSDLLGEISGRLHDAVDLVRFHAVCTAWRRHTKPLLQYSQPVLPWLLALGGRVPMPYILPHLDRFPYSQAQLHSVFTSNHPSTWYAPAPTRDMWLPTADGTGGALVLTTKPGGSSSSLVDPLTGATVRSSLPRLPHAAAGVVYTNGVVCRDGTIVAYAVVPLKYMDTIQAAILRPGDAAWTTVKSSAMRDSDFDCCCSATYHRGSLVFVDLYNEFAVKVRVDDADAAGVEVVKTTSWRENRYSYVLATYTLEFRGELMCACVDGSRPLSVSLYTLQETQRRWPDGGTLLDDHALFLGIPTSFAVDAARFATGGGGEVTGGCAYFFLGTRHLGGPEACHLYRYNFHDNVTTVITSKLPPGWIQKPDRTVWFVFSSLVPASTHFSPNRVGTDWLQRVFFDHGDVTYDGFHHQDEGSFDVIEMGEVIMETVKEPADTVSTFYRKEILDGRRMLVVEFAEQMRPQQRHNSNIYFIIYVDNLPWHVDRCRLLQIFGEHGRVSRAQVVCDRLTGRSRGFGFVTMVTWKKPGDIIASTNGQISGRLHDAVDLVRFHAVCTAWCRGTKPPPPQSQSVLPWLLSRGSPPGRVSCSLLHSVFSSNHPSTWYAPASGCRVIATYAVEYRGKLMCACVECPRNDDDGPLSLSLYTLHETTAQSEHPWVKTADGGTLLGDHALFLFAVDAARFGTGGGGEILDGRPLLIVEFVEEMGPQLDATPTSVHIFTAKVFIIYVGNLPWHVDRRRLLNFFSEHGRVSLARVVCDRLTGRSRGFGFVTMATWKEPGDIIASLNGQLSVEL >LPERR09G15820.1 pep chromosome:Lperr_V1.4:9:15171124:15179050:-1 gene:LPERR09G15820 transcript:LPERR09G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAKEDDDVAPWADLPIDLLGAISRRLHVAGDFVRFHAVCTSWRLAHPQNPPLFLPWLLSPRDATGHRTARCVLSNSSRRAATPRLRVPDTRIVIGKKDDEPSLLYPLTLPGVAAAIPLPDEINGWLKKDGAAAAAECVVSGDGTILLYSFDFYRRSIRYRRDEPNWHALILHPDEDDDDHIFVQGRDMNLSRECHARAAYVDGKIVLYNEWVWWHFVTPEHIAGDVKGQWRWTPLPPTPAYSREYNQSTSSTHVLVSRGELLWVLVHVDPNVARGRRVQGRSLASAISVSVFALDRDTTETFVRRDDRSMADRVLFLGRPTSFAVDAAELGVGDDDGGCAYFVVRWSWACVQRRCQVFRYRFNDGTSELVGPLPRKAAARWNDDGVIWLAPQPAIAPIEAQHETVKHPHLRAELHDLLCFRIKMIFCSFLLLFLTIFSRTVRAKRDEIEELKRSNLDLQSFDTLDQVKEALEKVGLESSNLIIGVDFTKSNEWTGKHCFNGRSLHHISENSMNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQDVFSFYTYRRQYCNGVPEALRRYREIAPHVRLSGPTSLAPIIETATRITQDSGYQYHILLIIADGQVPTCCCANSANNRDENYLEERTLQALVDASHFPLSIVLVGVGDGEMPEAEKEEQFALEALKKIPSQYAAIISKRISDLAEVAPGRMPLPPPLPLPGRGDDLECEMEDKCTLDYFQSRRNINLRTNVKLLFTQVWSYGLWIWLGPWNWALGIRKAKGIGKISSTQHLNLVLVVVLLSSAPKIPRLSRHRLPYPHSLPFLLSPPSPTMASSIAMSLAATDAFLPKPTRFPSSAPFLLLLSPSTPRLHLHLRSTRRLPLAPLAASDSFDSASSSSAAALEFAEPGAVAEVEEEGEDDEEPVEASAEAEEEEVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGVVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVNGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQMFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLEGRALRVNVAEERPPRRGF >LPERR09G15820.2 pep chromosome:Lperr_V1.4:9:15171124:15179050:-1 gene:LPERR09G15820 transcript:LPERR09G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAKEDDDVAPWADLPIDLLGAISRRLHVAGDFVRFHAVCTSWRLAHPQNPPLFLPWLLSPRDATGHRTARCVLSNSSRRAATPRLRVPDTRIVIGKKDDEPSLLYPLTLPGVAAAIPLPDEINGWLKKDGAAAAAECVVSGDGTILLYSFDFYRRSIRYRRDEPNWHALILHPDEDDDDHIFVQGRDMNLSRECHARAAYVDGKIVLYNEWVWWHFVTPEHIAGDVKGQWRWTPLPPTPAYSREYNQSTSSTHVLVSRGELLWVLVHVDPNVARGRRVQGRSLASAISVSVFALDRDTTETFVRRDDRSMADRVLFLGRPTSFAVDAAELGVGDDDGGCAYFVVRWSWACVQRRCQVFRYRFNDGTSELVGPLPRKAAARWNDDGVIWLAPQPAIAPIEAQHETVKHPHLRAELHDLLCFRIKMIFCSFLLLFLTIFSRTVRAKRDEIEELKRSNLDLQSFDTLDQVKEALEKVGLESSNLIIGVDFTKSNEWTGKHCFNGRSLHHISENSMNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQDVFSFYTYRRQYCNGVPEALRRYREIAPHVRLSGPTSLAPIIETATRITQDSGYQYHILLIIADGQVPTCCCANSANNRDENYLEERTLQALVDASHFPLSIVLVGVGDGEMPEAEKEEQFALEALKKIPSQYAAIISKRISDLAEVAPGRMPLPPPLPLPGRGDDLECEMEDKCTLDYFQSRRNINLRTNVKLLFTQVWSYGLWIWLGPWNWALGIRKAKGIGKISSTQHLNLVLVVVLLSSAPKIPRLSRHRLPYPHSLPFLLSPPSPTMASSIAMSLAATDAFLPKPTRFPSSAPFLLLLSPSTPRLHLHLRSTRRLPLAPLAASDSFDSASSSSAAALEFAEPGAVAEVEEVEEEGSDVPEESEGEEEEFAPEEGEDDEEPVEASAEAEEEEVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGVVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVNGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQMFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLEGRALRVNVAEERPPRRGF >LPERR09G15820.3 pep chromosome:Lperr_V1.4:9:15171124:15179050:-1 gene:LPERR09G15820 transcript:LPERR09G15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAKEDDDVAPWADLPIDLLGAISRRLHVAGDFVRFHAVCTSWRLAHPQNPPLFLPWLLSPRDATGHRTARCVLSNSSRRAATPRLRVPDTRIVIGKKDDEPSLLYPLTLPGVAAAIPLPDEINGWLKKDGAAAAAECVVSGDGTILLYSFDFYRRSIRYRRDEPNWHALILHPDEDDDDHIFVQGRDMNLSRECHARAAYVDGKIVLYNEWVWWHFVTPEHIAGDVKGQWRWTPLPPTPAYSREYNQSTSSTHVLVSRGELLWVLVHVDPNVARGRRVQGRSLASAISVSVFALDRDTTETFVRRDDRSMADRVLFLGRPTSFAVDAAELGVGDDDGGCAYFVVRWSWACVQRRCQVFRYRFNDGTSELVGPLPRKAAARWNDDGVIWLAPQPAIAPIEAQHETVKHPHLRAELHDLLCFRIKMIFCSFLLLFLTIFSRTVRAKRDEIEELKRSNLDLQSFDTLDQVKEALEKVGLESSNLIIGVDFTKSNEWTGKHCFNGRSLHHISENSMNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQDVFSFYTYRRQYCNGVPEALRRYREIAPHVRLSGPTSLAPIIETATRITQDSGYQYHILLIIADGQVPTCCCANSANNRDENYLEERTLQALVDASHFPLSIVLVGVGDGPWHEQLMHCQEDGQLFDNFQEKCLKLRRRNMIWLKWRLDGCLSLLPFLYLAGAMIWSVKWKINAPWIIFRADEILTLGPIVWSYGLWIWLGPWNWALGIRKAKGIGKISSTQHLNLVLVVVLLSSAPKIPRLSRHRLPYPHSLPFLLSPPSPTMASSIAMSLAATDAFLPKPTRFPSSAPFLLLLSPSTPRLHLHLRSTRRLPLAPLAASDSFDSASSSSAAALEFAEPGAVAEVEEVEEEGSDVPEESEGEEEEFAPEEGEDDEEPVEASAEAEEEEVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGVVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVNGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQMFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLEGRALRVNVAEERPPRRGF >LPERR09G15820.4 pep chromosome:Lperr_V1.4:9:15174240:15179050:-1 gene:LPERR09G15820 transcript:LPERR09G15820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAKEDDDVAPWADLPIDLLGAISRRLHVAGDFVRFHAVCTSWRLAHPQNPPLFLPWLLSPRDATGHRTARCVLSNSSRRAATPRLRVPDTRIVIGKKDDEPSLLYPLTLPGVAAAIPLPDEINGWLKKDGAAAAAECVVSGDGTILLYSFDFYRRSIRYRRDEPNWHALILHPDEDDDDHIFVQGRDMNLSRECHARAAYVDGKIVLYNEWVWWHFVTPEHIAGDVKGQWRWTPLPPTPAYSREYNQSTSSTHVLVSRGELLWVLVHVDPNVARGRRVQGRSLASAISVSVFALDRDTTETFVRRDDRSMADRVLFLGRPTSFAVDAAELGVGDDDGGCAYFVVRWSWACVQRRCQVFRYRFNDGTSELVGPLPRKAAARWNDDGVIWLAPQPAIAPIEAQHETVKHPHLRAELHDLLCFRIKMIFCSFLLLFLTIFSRTVRAKRDEIEELKRSNLDLQSFDTLDQVKEALEKVGLESSNLIIGVDFTKSNEWTGKHCFNGRSLHHISENSMNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQDVFSFYTYRRQYCNGVPEALRRYREIAPHVRLSGPTSLAPIIETATRITQDSGYQYHILLIIADGQVPTCCCANSANNRDENYLEERTLQALVDASHFPLSIVLVGVGDGPWHEQLMHCQEDGQLFDNFQVWHHSVNLYGRIFS >LPERR09G15830.1 pep chromosome:Lperr_V1.4:9:15180926:15182780:-1 gene:LPERR09G15830 transcript:LPERR09G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRSMNRTKRTTSPHGLTSRSISSPKSHAADDFVRFHAVCTSWLRAHPPNPPRFLPWLCDADTGGEHRTARSVDFSNSTTSIHRRRAAPATLCVPDKRVYIGDKARGSLYPLTFFTGSVPPSPVSLPRIVSAWAKRGECVVSDDGTVLLYTFNLDPSVHDDEYNCHALIVHNGSRWQWTLASWRLSGLSPRCGAVYVGDEIIVYDEGRWWCFTNAIVRDVDGDALLSVSVYALDLQGGGEFVRCDDRSMADRVLFLGKPTSLAVDAADLGIAGGCAYFVHRWTRTSPCHVVRYSFEDGRSEVVEQLPNPKAGFWKDDNFIWLTPSQLAIAPIELTNTSNKQN >LPERR09G15840.1 pep chromosome:Lperr_V1.4:9:15186774:15194438:-1 gene:LPERR09G15840 transcript:LPERR09G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDDDAVLSDVDEDPLPPPPPPAAAQPHDGDGQRVRELEARLEEESRLRRAAEETLADTETRYGRLKAFAQDVLRKRDDLTADAAASSRSLAALQAEASTASSMLTSGFDRISAKASPSASASPAPLPTSQKYSSGLPALAYGVLKRANDIVDELLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSSDCESLSKSLSEKEAEISDLRDKLKSLESKLDAQRPVLAEQIGCASKVYDEIREVVKLVDEDAASALSDSVFVWKETDVEESLKVSLEGTKMAFDLATTAFHKVGAWVDKRESRVRELEARVDELMKEKEHIGVLLRSALQSNSSEALKVAEDGLREAGIEVGLKDRRVHRPGSMEKDEVYTLAGALGNSMKESQVKIIELQHLVEALRAESGLLRTRLEGQEKEMLQLRKQIKHLEEKERVANESVEGLMMDVQAAEEEIKRWKMAAEEEAEAGKAIEQEFETQISSLHKELDEAKQAMLELENKLKFKEETATAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNRTGSSNRNGHSAGAYHPPVFLPWLLSPLNANRNRTARSVFSKSIRYGPTPTLSVPDKKLVIAGVTVVHGDDPRFLYPLAGVDNDEKLSLLYPLALVPGGSTPIHLPPFPDGMGKWVKRARCIVSNAGAIVLYSFRHADFHAAVLRPGDEAWTLVDRHVAKLCRVNRVVYSDDGRLLLHGQRHWCVVTVAAAGETKMWCRTLTERDKEVQSSHVIESRGELLWAVVHVTADSYRDVRDRCHVTVSGVFSVSVHALEHHGGGRMRWVRRDGRSMADRVLFLGGPTSLAVDAVDLAGGGGCAYFVHGWRGFTTAGRRVNGVRRVFRYRFEDGTSEAIEWLPPRASWNDDDDGYIWLAPPLPAIAPIEDIRERLIAIRRQAEAHKFEVESLKYNWLRWTHGVGAFGFNHHSDHYNGRPNFYAAVLRPGADETWTLVDRRIGRRNFSLYGRVVYIDGRLLLYWRWLWCVVTLFPAAGETEMQYWRNMLKEPDMRGQSIDGHVIVSRGELFWFAVYADYNFIRNGCRVSGSGVPSMSVHALEHDGRCWRCVKRDIRSMADRVLFLAGPTSFAVDATHLGGGGGCAYFVHRWRGFTTVTGRHMDDTYSVSKCSFKDDTWGMVERLTPKAGWKIDYYHGDDSFIWLVPPLPAIAPIEVYN >LPERR09G15850.1 pep chromosome:Lperr_V1.4:9:15197009:15199087:-1 gene:LPERR09G15850 transcript:LPERR09G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKKRTLRRPSPLLLEPSGSRLPIVPKWPLPSPPPPGPAAAGRSSPAPVAAPPLLSKYDPCKSDRVLVRLKQIPEKWLLNASFVERTSTEEKHKKTIHGGVGSSIPEHRHPSMMQTQNKLLDLNLLPHNDSLRSIGDNALVPADTYSDKEKNTDNGVLADTNSDKDKADNGGVLADTIQTRRRRLTMVVFRCRTQTSTLHSTASTLLVAALFSTRDG >LPERR09G15860.1 pep chromosome:Lperr_V1.4:9:15202015:15206326:1 gene:LPERR09G15860 transcript:LPERR09G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELAAALVPSWSAVVVLFSYLGYLTAAGAILPGKLVPGAVLPDSSRLHYRCNGLLSLLLLLGLSSLGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYSSGLRSRHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAIVNCFVFVIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYCLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >LPERR09G15870.1 pep chromosome:Lperr_V1.4:9:15209841:15211076:1 gene:LPERR09G15870 transcript:LPERR09G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASSPAPRRPAQIRRSTARPPPPSATPFSRADWQTTCAAILSNSNSPAPRVNGDTNSNPALAAAAPPPPAELDLLPVSNLPRPLSITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASARAADLYGLHVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDGEGTSVLFKVLSAFAFRGISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDSNSTSSTSAARRNNNTTSS >LPERR09G15880.1 pep chromosome:Lperr_V1.4:9:15212629:15218429:-1 gene:LPERR09G15880 transcript:LPERR09G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASARRSAAPDPKKPRLAQHPPPGPPRDPRSSYPAANGTAVDQAQVDELLAQYRTALGELTFNSKPIITNLTIIAGENLHAAKHIASLICANILEVTFAFFFSSSTICLWRYSPLVLYEYLHVSSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYRQVDSAIHNSMRHLFGTWKGVFSTTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPAHSIHGILGAGAKATTISDPGDDIERASRTAIDRGAGRRVDALNSRPNVQRAQRDPFGNPIHEKQDRDTRIPGFPNILQQPVVGTSHVHAKPKGQDGIGGPYYGAGVGSSEEQFDRRNSSYANKDVRPSGARLDGPLLPTPVGNSDRIGRLPPSNKSWKNSEEEEYMWDDVRQGADYGGTSSTRKREWMSDDGNIGSFQRVDNFPRYGNAPGQDRRIAAYMDHEEYLHGKRELEPRIDREMLPEGQPFSSSRGSSLWLSQEKPLPDIGSDPRLSTFSNQSADRSTIYSGTLSTSINSSLPVGLSGAYAGRSSLEGATIVPTRSTETIGQQKHRYWSDSSPPVQSSSASFARQGSPSPAELDYPSRSFSQLGQNPLEDYTQRAQALAQNAALSQGRPNLLGAPSHASQQIEKHPSLESKPHLKILDQPQASYALENPSSLFKPSIQLPISVGVGHRQPEEISLSSDSTLMSSDHISASNLLAGLIKSGFKPNDPNDLASLRAQPPLPSGPPPHVSSSLPAASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSLPFVCPATETSEKAPPLSSLLSTLVAKGLISSPSVDSTVAAPQQPSKSDLNTADATASAPSLPFVQPSVKKETSNQKSSAPTKAPVPQPVEVKIEPAEIKMVDLIGSEFKPEVLRKYHEHVVSTLFDDQSYQCNTCGLRFRIEEELSVHTACHVSKQLESRNTGIAPEKWYPNKNRWIDRSHEMQNGVLESASSDADLSSAEEVCDLMVPADESQIICVLCGELFDDIYSVEKDNWMYKDAVYFDYSKVEGSSGDSAESKERGPIIVHARCGISAKLTTRHAVQVNFGKMLFEFIPQSPPFIIEKVLTQNRFKSSSALVNWPCLQSRSPGPDNVFLVQSKGSDRSVYRRPAWKEIDDAAIKLLLFLTNFSDLPRTSDYVSKKN >LPERR09G15890.1 pep chromosome:Lperr_V1.4:9:15222330:15229191:1 gene:LPERR09G15890 transcript:LPERR09G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEISEKTIADYSLRPPTLGSATAGASVLLDLRAYVADHGNATTAKSKTRSGQPIEVSFWTAPPPRVSYMCVHCPGLDPNEFATEPKIVATDADLVLFRVALGPQRYEFMSSRHDYFVYQATTSPPSLRLIPPPPVDNFVGLLRCGSVRTCPPNSRILGLHPHTAPDDGTYIVAALCNTSNSGHVLHLYHSDAKGWTSHSTSIHGLVDPKAFSHFNTKVITVGGEVGTMGWVDIDRGGILFCDLLRDTTKLHYFALPPPLYVDDDILVPDPGPLRDIALVHGRIKYIGMQVHARPHNIKRNTYISQGWIAATWSAPATNPWKHGWRQDFQLVASNLSVDDDTMNFELLPKLFNDQATPQQTLERLHVGLPTISLHSDDVVCFMAKVDLWDRNAAWVLAVDMKNMKLIDVAEYDAQRTLGISFAYMSSRISGYLPTAAPGKKSKLKRQGVVLTVPSYKKQSHMVHLSPPSWKGGDQQNSGTSKKREGDNMDLDLYIKDASPYPPVHKAKGGLSPSWVLFDRVAYIAAADLRNATAAVSNTRDGHEIRVIQCTSAEPPLVSYMCVHSPTLDPSRDFAMEPEIIAAHDDLLLFRLALGHATVEPSADLLHRSSSIPLLSPPPLPR >LPERR09G15900.1 pep chromosome:Lperr_V1.4:9:15229317:15232708:1 gene:LPERR09G15900 transcript:LPERR09G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLPRVSRAREHTDPPSLRLSSRRSCDTLAQTPSSVHELDRGNLRTGNQDSSGENRVLNF >LPERR09G15910.1 pep chromosome:Lperr_V1.4:9:15232983:15233858:1 gene:LPERR09G15910 transcript:LPERR09G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPSRSSPIHPSSLTLLAWHPTIPNNTYHRCPRGNYNLHLYHSRTKLWTTKVLPLGRVGTMPPSPGLTFFLQCTSKVINLSQHSPGLMGFADLWRGILLINVLHDQPPAIMPLLPPLKHGKMLAGDCGMFETSSLTYKVYVELDVHILTHAHTPRPSSYVADGWTTASWSWHIESACWRKDHEIHASDLSPTMPRLPNYSEPTPQPTLERLHIGHPVLSLHGDVVILMAKVDHLDHKAWILPVNLSKRMMQQPIEFVGASRTSGIEFTYVQNHHLQLSMY >LPERR09G15920.1 pep chromosome:Lperr_V1.4:9:15243688:15249291:1 gene:LPERR09G15920 transcript:LPERR09G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVAGRRTTSYSLLSQFPDNAATVLQRQSSGSSYGAGSSLSTSSDFPFHLPSAAAAGGSPCKSWAQQAEETYQLQLALALRLCADSACAADPGFLDPGDSASASGMAFPLPPPTPSAESLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDVLEENRIPSMESLKSVRPDDSSIQAILIDRRADFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGTIVLHLGKLPIGFCKHRSLLFKILADKVNVPCRVVKGCKYCKNDDATSCLVRFGLEREYLVDLIGDPGQLFDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGAAPSAANVTDPPYSRKHDTRDDIMSSWVPVKGQAAVNSDVILPEAPREVFPLITSSDLKPDKKKEFKLIEGNTHLRSSVNDLSLAADDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVATLIESCWANEPWRRPSFANIMESLRSLIKVPMPQLIRSDS >LPERR09G15930.1 pep chromosome:Lperr_V1.4:9:15252813:15254080:1 gene:LPERR09G15930 transcript:LPERR09G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILDASLRPPTHGGGGEARPTWPTSPATTPTPPPPNPKPGILLHRASPTCASTALDWIPTSSPRNPRFWPRMLDLVPFRVTLGPRSNCFDIKYSDLFLYQANTAAPPSLRLIALPAIDRFFDYKVGILRRHDKAGAGAGAGGKRQRPALCKTLNLDYVLHLYRGGGGGSDGGWSWHPLSIHGPVDVDFTHIRVITIGGTNGTMGWVDLYRGILFCDLLPISDTTTPVLRYFPLPPPLRANDKFNGEPRTTRDIALVHGQIKYAEIESHLIKKINIDGSYNVSRCWTAATWSAPAANPWSQGWRHDCKLASSDLSVDDDTRNFQLLPKFGERPQHTLARLHTAHPTLSLDTDDVVFFMTKVDLRVLAVDMKNKRLKDVSEFGAERTLGIRFAYTSSRISDHLPTTYSR >LPERR09G15940.1 pep chromosome:Lperr_V1.4:9:15255657:15256052:-1 gene:LPERR09G15940 transcript:LPERR09G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHATRCRFRDDGVEHEVAVVCRGGEDWGSARRDGEVAVSIDGKKVVEARRVKWNFRGNRTVVLGDGAVVEVMWDVHDWWFAGGGGGGAQFMVKARGVGDAGGGRVWMDEEMASKGQPPGGFFLHVQCYRR >LPERR09G15950.1 pep chromosome:Lperr_V1.4:9:15260339:15267551:1 gene:LPERR09G15950 transcript:LPERR09G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIVSKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGATFTYEILLIATGSSVIKLSDFGTPGADYNNILYLREIDDADKLVAAIQAKKGGKAIIVGGGYIGLELSAALRLNDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVKLKDGNVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDSFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >LPERR09G15950.2 pep chromosome:Lperr_V1.4:9:15260339:15264268:1 gene:LPERR09G15950 transcript:LPERR09G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIVSKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGATFTYEILLIATGSSVIKLSDFGTPGADYNNILYLREIDDADKLVAAIQAKKGGKAIIVGGGYIGLELSAALRLNDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVKLKDGNVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDSFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >LPERR09G15950.3 pep chromosome:Lperr_V1.4:9:15264318:15267551:1 gene:LPERR09G15950 transcript:LPERR09G15950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGISFSSPRLVVTPWCWGRNYGGRRRMTAQCVAAKGERRKTTVRSKEGDSLEVCRVVNGMWQVSGSSWGQYSPPTAVDAMLRYADGGLSTFDMADICKPLIITPLPPFWIRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGLFINKVRRERPPELLQEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPIVSNQVQHSIVDMRPQRRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSVKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEASSKGRNLMDIIGDCGDEYRA >LPERR09G15960.1 pep chromosome:Lperr_V1.4:9:15269185:15274599:1 gene:LPERR09G15960 transcript:LPERR09G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHRRLAVRPLRGHIRLCINKTDSSSCPFRHQLPPTQTAPTSHAIPPPIDSIPPTSAPMAATALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIAAELEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSREGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >LPERR09G15960.2 pep chromosome:Lperr_V1.4:9:15269185:15273091:1 gene:LPERR09G15960 transcript:LPERR09G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHRRLAVRPLRGHIRLCINKTDSSSCPFRHQLPPTQTAPTSHAIPPPIDSIPPTSAPMAATALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIAAELEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSREGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >LPERR09G15970.1 pep chromosome:Lperr_V1.4:9:15276012:15280997:1 gene:LPERR09G15970 transcript:LPERR09G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIMDEGRIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVKKIYLPVRAVDAETANRRVQTEVVGKELFGLLKEQHGKGFQSFVDDKIVSLAADMMHHNLGLDDSVLRELTRDLNIIVNGAATTNFYERYDVALDVNVMGVKYLCQLAKECANLEVLLHVSTAYVCGERQGVVPERPFREGETLSKGTYLDIDAELKLAGKRRRQLEEDGDGKAERKAMKELGLERARYFGWPNTYVFTKAMGEMMLQQQLHGGPISVVIARPSIITSVHKDPLPGWIEGTRTIDAILIGYAKQSLSCFLADLDLIMDVVPGDMVVNAMMAAMVSPSPSSSPTVYHVTSSLRNPAPYAVLYRTGLRYFEENPRVGKDGRTVRTRPVHFFRTIAAFRAFMVVVYKLPLQLLRLLSLLCFGLLFARRHAEMSRKYAFVMHLVDLYGPFSLFKACFDDLNMERLRLSMAVAPPPEKEMFCVDPRTIDWDEYFYRVHIPGVMKYVLK >LPERR09G15980.1 pep chromosome:Lperr_V1.4:9:15281747:15290434:1 gene:LPERR09G15980 transcript:LPERR09G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGTRFATGGGDQKIRIWSMKSVAKDGDTEDSSQRLLATVRDHFGSVNCVRWAKHGRYLASGSDDQVIQIHEKKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLNWSPDDLTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKSVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSTGQDIKAAPAGWANGSSKASSKEHQPYNVIAIGSQDRTITVWTTASARPLSPDGYSLFACSLDGSVATFHFEAKELGYRLSDVELDELKKNRYGDVRGRQSNLAETPAQLLLEEASAKQSASKKVPSVQQFQSPPKVSIDTPNPSASVPNQKASEALPEDEKKTAGSTADDINKAPRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDISNRSQNQVVDFSSLDQRMVIGENGARPSYGTSSNCNNCGVRERSGTTARTNISESLVIQKASAGAGSDGRLSIEQTGSVVPGSVASCSSLSIHVFNKKDNEDSVPVCLEAKPVERSAGDMIGLGGAFSTKETEITCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDECWKLLLVTRRGLMYIWDLYTRSCILHDSLASLVTSPDDAAGKDTGSVKVISAKFSKCGSPLVVLASRHAFLYDTAMKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASSADLKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEAADTNVEQMDVTPAATEANNAS >LPERR09G15980.2 pep chromosome:Lperr_V1.4:9:15286735:15290434:1 gene:LPERR09G15980 transcript:LPERR09G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSGGRLGSIIGQIVAGDDDGRNAGGGGAAAQRLLEEVDLGADVALEVARVQHAGAAHGEVHAAGQKPAAAAPTQLSVRTRPSEKRPVGRRGKYHACVRSLPKFPRFPVSAYESPNTHSGLSPLPLGQPPLPAAAVESSGAAAAATSTTRPEEQRRRTTIAMVIVQVDRRRHVMLSSMLIPKSTSIDLTAAGVV >LPERR09G15990.1 pep chromosome:Lperr_V1.4:9:15287071:15290123:-1 gene:LPERR09G15990 transcript:LPERR09G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVLLLCSSCLVVEVAAAAAPDDSTAAAGNGGCPSGKGERPLWVFGDSYADTGNLGNLGRDLTHAWYYPYGLTFPRRPTGRFSDGRVLTDFLASAVGIPTPVAYKLRRRGGGGLLSRGMNFAVGGSGVLDTGYFQRNISSQIDLFQKTLRGCAPTAGVAAVVVSGNDYSAVVDKNNGTSEAAIAYIPTVMRGLREQLRRLRDDVGMGKVIVTNLHPMGCTPYFTRTLNYSGCDTVANAGADQHNAALRSVLHDLDPTNRTFLLLDLHTPFLNDNSERFPVRLRPCCETFKADGYCGQEDEGGKKLYTVCDDPERHFYWDDVHPTQAAWAAVADAFTPAIHDFLST >LPERR09G16000.1 pep chromosome:Lperr_V1.4:9:15294027:15296408:-1 gene:LPERR09G16000 transcript:LPERR09G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEGMNGHQIPPSPETTKITDQDIKVIIDTDPGIDDSVAIMMAFQAPGVQVVGLTTIFGNCTTSYATRNALLLCERAGRPDVPVAEGSHEPLKGGKPHVADFVHGSNGLGNTSFPDPTTNKIEQSAAEFLVDKVSESPGEISLLALGPLTNVALAMKRDPSFASKVKKIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQIYFTDKDMLELSNSKGKHAQFLCDICKFYRDWHVHSYGVDGTHLKLIISNLLISSDLGEMFHIMVSVISSALFLHDPASFTALVHPEYFTFKKGVVRVETQGICKGHTSMDLGLKKWNSENPWTGYSPISVAWTVDVPKVLAYAKELLFTAQ >LPERR09G16010.1 pep chromosome:Lperr_V1.4:9:15296514:15298769:-1 gene:LPERR09G16010 transcript:LPERR09G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENDDPLDLWIHQGRLPTSSSTRGRGVLLDRAAYFADADLRNATIAVSKTREGHEIQVTLCTSAEPPLVFHIDFAMEPRIIVTHNDLLLFRLALGHGNAQNRSFFNYFLYQAAASNDDGPPSLTLITHPPSFPDNHVGLLHDHDHDDDVPLALAPHNPKQHYIIAALVPIPLPGVITTSTSTTLEPSYGQSMCFPLVGSTPCYHRQVSPAKSSTSPNTLLASWVLLTYGGASCSSTSSMTTNRQPSFPCRHRSNTVKGLRTTHGKFGTSSLTYKALSDMWSWMSIFLHTPILHVHPATLLMDGQPPPGVGTPSPPHGARTTRYKHLILLLPCLVYPITSNPILSLRGSMLAIPCSVCTAMLSSLWLRWTIFDKKAWILPVDLSKRMMYQPVDFVGASRTAGIEFTYMQTTISSYPGKKRKQKQLKGSSSKKRPESVVLPLPGDGGGGRKQPNGEGGSMEIEFPIKYSLDNAEKENAHSQSTPAIIV >LPERR09G16010.2 pep chromosome:Lperr_V1.4:9:15297241:15298769:-1 gene:LPERR09G16010 transcript:LPERR09G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENDDPLDLWIHQGRLPTSSSTRGRGVLLDRAAYFADADLRNATIAVSKTREGHEIQVTLCTSAEPPLVFHIDFAMEPRIIVTHNDLLLFRLALGHGNAQNRSFFNYFLYQAAASNDDGPPSLTLITHPPSFPDNHVGLLHDHDHDDDVPLALAPHNPKQHYIIAALVPIPLPGVITTSTSTTLEPSYGQSMCFPLVGSTPCYHRQVSPAKSSTSPNTLLASWVLLTYGGASCSSTSSMTTNRQPSFPCRHRSNTVKGLRTTHGKFGTSSLTYKALSDMWSWMSIFLHTPILHVHPATLLMDGQPPPGVGTPSPPHGARTTRYKHLILLLPCLVYPITSNPILSLRGSMLAIPCSVCTAMLSSLWLRWTIFDKKAWILPVDLSKRMMYQPVDFVGASRTAGIEFTYMQTTISSYPGKKRKQKQLKGSSSKKRPESVVLPLPGDGGGGRKQPNGEGGSMEIEFPMLL >LPERR09G16020.1 pep chromosome:Lperr_V1.4:9:15298932:15304170:1 gene:LPERR09G16020 transcript:LPERR09G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPPPDSWETADLDEPMSRLLLSSARRISSSPDLADADHDDQAPQQPSSGRHDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPRQTQIEFVALPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSSECRLPAVRLSDIPVNMPQDDSNSIAKVAIKQRPQKHYHSNSSAGGHSSRGNLQKSVEERKEEYNKARARIFNNNSNSSNAADGRLADEVTLPSTLHRSSSLDLNSGNRLGDGPEITLERSLTTTSSSSRSNRNKAEKDPVVNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQPIPQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVYMHTPQQYAMPSRPGVTFVHPQEPMRPFAQTHQQQSEATLRLARPR >LPERR09G16020.2 pep chromosome:Lperr_V1.4:9:15298932:15304170:1 gene:LPERR09G16020 transcript:LPERR09G16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPPPDSWETADLDEPMSRLLLSSARRISSSPDLADADHDDQAPQQPSSGRHDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPRQTQIEFVALPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSSECRLPAVRLSDIPVNMPQDDSNSIAKVAIKQRPQKHYHSNSSAGGHSSRGNLQKSVEERKEEYNKARARIFNNNSNSSNAADGRLADEVTLPSTLHRSSSLDLNSGNRLGDGPEITLERSLTTTSSSSRSNRNKAEKDPVVNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQPIPQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVYMHTPQQYAMPSRPGVTFVHPQEPMRPFAQTHQQQSEATLRLARPR >LPERR09G16020.3 pep chromosome:Lperr_V1.4:9:15298932:15303036:1 gene:LPERR09G16020 transcript:LPERR09G16020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPPPDSWETADLDEPMSRLLLSSARRISSSPDLADADHDDQAPQQPSSGRHDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPRQTQIEFVALPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSSECRLPAVRLSDIPVNMPQDDSNSIAKVAIKQRPQKHYHSNSSAGGHSSRGNLQKSVEERKEEYNKARARIFNNNSNSSNAADGRLADEVTLPSTLHRSSSLDLNSGNRLGDGPEITLERSLTTTSSSSRSNRNKAEKDPVVNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQPIPQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVYMHTPQQYAMPSRPGVTFVHPQEPMRPFAQTHQQQSEATLRLARPR >LPERR09G16020.4 pep chromosome:Lperr_V1.4:9:15302605:15303853:1 gene:LPERR09G16020 transcript:LPERR09G16020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTSGLFAATRFTFQPEAASDELETCGLKMHRSASTFHSHYSSFTRREEVLGLLALRASKEMEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQQRQPATHGHGHGDHEDDDKPASSASSDGCGRSSLPTH >LPERR09G16020.5 pep chromosome:Lperr_V1.4:9:15302231:15303853:1 gene:LPERR09G16020 transcript:LPERR09G16020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIRMGLLTNFQTNDPASTFHSHYSSFTRREEVLGLLALRASKEMEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQQRQPATHGHGHGDHEDDDKPASSASSDGCGRSSLPTH >LPERR09G16030.1 pep chromosome:Lperr_V1.4:9:15304502:15306148:1 gene:LPERR09G16030 transcript:LPERR09G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQLLRPSLFFAAGQSPPTSPYWRNPNLVSASYGAAGSKQDGARIAVAATLGHKNTQFEKRGLQIERGRQRAQRHGGPVAGGGGWSGGGGGWFRWFTSGGFWDAAKQTLLTIFGIIAAFFLIANFNVLVAAIVNSLLAVLRQIRRALSFIAHCVVQSIQSSAPGRTPASLDSGNHNQAASVVKDRVGKSAKERVVRKWGMD >LPERR09G16040.1 pep chromosome:Lperr_V1.4:9:15310299:15312545:-1 gene:LPERR09G16040 transcript:LPERR09G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKAEKGSQPQPAQAAAEVEELPKAIVRRLVKEKLAAGGAEVIVNRDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSGTTQKKAEKKRKIDKESEPQEQNDAADDANETMAGVTKPWIIDGHGNDNPS >LPERR09G16060.1 pep chromosome:Lperr_V1.4:9:15316649:15317368:-1 gene:LPERR09G16060 transcript:LPERR09G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLLVLAGIFLSATAGDPDILTDFVVPTNTDPTTIDGSFFTYKSLIATNPGDPDKFTLTKATHAEFPALLGQSVSYAALVFGAGTANPPHIHPRASELLAVVQGPLVVGLVDAARNGTLYTAELQTGDVFVFPKGMVHFQFNNGTAVARAFSAFGSASPGTISFPLALLESGIDDVILEKSLHADQGTVDELKQDNAPPPPTPAGPSSSADGGGMASRSGVVAVICLAVSYIYFS >LPERR09G16070.1 pep chromosome:Lperr_V1.4:9:15318424:15319068:-1 gene:LPERR09G16070 transcript:LPERR09G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHSSSLLFLLLAISSPLSLVMAGDPDILTDYVIPANTNADNITGDFFTFTGFRKLFDNASAPAPENFTVTKATAAEFPALNGQSVSYATLVFPSGSINPPHTHPRAAELLLLVDGALSVGFVDTANKLFAQELAVGDMFVFPKGMMHFQFNSGNKPAMAISAFGSAAAGVVSVPVTVFGSGIDDAVLAKSFKTDVATIQKLKAGLTPAKKA >LPERR09G16080.1 pep chromosome:Lperr_V1.4:9:15325284:15325928:-1 gene:LPERR09G16080 transcript:LPERR09G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLLLALLAVSAAPLVMGGDPDILTDYVIPANDNAGNITGDFFTFKGFRTALTMNMNAPMPNNANFTVTKATMMEFPALNGQSVSYAVLMFPAGAVNPPHTHPRAAELLLLLNGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMVHYQFNSGSQPAMALSGFGSAAAGAVSLPVAVFGTGIDDAVLAKSFKTDVPTIQKLKAGLALPPKKP >LPERR09G16090.1 pep chromosome:Lperr_V1.4:9:15326777:15328138:-1 gene:LPERR09G16090 transcript:LPERR09G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLISEVPKYKQITPSVLSERLRINGSLARRAIKDLVERGLIRMVAVHSSQQIYTRATNT >LPERR09G16100.1 pep chromosome:Lperr_V1.4:9:15328444:15331277:1 gene:LPERR09G16100 transcript:LPERR09G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARTQGRAAARFAQRRLFSSGGKVLGEEEKAAENVYIKKMEHEKLEKLARQGPSPGEQASAPVGTAANAVKTGSSPTGSTSAGVSTDKHRNYAVLAGTVAALSGLGWYLLSKPKKSEEIVD >LPERR09G16110.1 pep chromosome:Lperr_V1.4:9:15331917:15332936:1 gene:LPERR09G16110 transcript:LPERR09G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVAVETTTIITSVDAKNETPAIMAPSPAAVFQPGKLAVEVIKVDHELEPRPPIPILIVSPKDAGTYPVAILLHGFFLQNSFYKGLLTHLASHGFIMVAPQFHLNLISTSDTDDIAAAANVVAWLPAALPTVLPAGVEPDLTKLALSGHSRGGHTAFALALGHAQGAGGDKNLPFSALVGLDPVAGKSKSWQLPPKILTFEDSSMEFAGGGMPVMVIGTGLGEEAKNFFCPPCAPRDVSHEEFYRECRAPCYHVVAKEYGHLDMLDDDAPALVTCLCKDGGCKEKMRRTVGGVMVAFFRAALNGEDADLVAIVKDHSAASGIAPITLDPVECRLA >LPERR09G16120.1 pep chromosome:Lperr_V1.4:9:15334215:15336655:1 gene:LPERR09G16120 transcript:LPERR09G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIDTVSASTSIIAYHLFDQRSKTTPHLRRTLHVVSCRPLATDFAGRRLVARLPRQHHPRLADWTVKALAMGVTKEASPRREYRGIPGDGGDLGDIGMNNQKTSWPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRVEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIHCNLRGSAFYQMRNGSREFMSTLANYKIPLAVTSTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEKEVSSPSTSVGVDDLFW >LPERR09G16130.1 pep chromosome:Lperr_V1.4:9:15337899:15343687:1 gene:LPERR09G16130 transcript:LPERR09G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGVKEEEEEEEEDDYYLEEADQHQQQMVVGRRGRAQEEKERTKLRERQRRAMTGRILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSSSNSSHPHQAVGRPSIAIPAPAPAPLPLPLRGVSPVAARPISSSTAGLLLDPPHAVPVPVPDPLLSLPPMDPQVPDLLPERDFAGTPYVPVYVMLPLGVVNANGEVVDADVLVGQLRVLKASGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQVLVDHADRALMLARLAFEASHIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIAVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLSKVLFERANFLEFERFVKRMHGEAVLDLQV >LPERR09G16140.1 pep chromosome:Lperr_V1.4:9:15342739:15345277:-1 gene:LPERR09G16140 transcript:LPERR09G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLAAAADFAPVPRLCRLIMANYGDHHHPSLDARFLVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLAIETDYALLLDNRLGKRRFDGGYVHNGLLRAAACVLDAECDLLRDLLVQFPGYTLTFTGHSLGAGIAAMLAVVVVLNLDKLGVHLHRSRIRCYAIAPARCMSLNLAIRYADVINSVVLQLAMPAVYQMFEGHLHTRRCYVKRSKEALRTRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERCESFPSATTLARQRMSWNDLIERVFDRDESGHIVLRSSSPSP >LPERR09G16150.1 pep chromosome:Lperr_V1.4:9:15346164:15353180:-1 gene:LPERR09G16150 transcript:LPERR09G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKYARYTLTSASTICAPPTSCSDSLGVAPTIDAVLLSHADIMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYYLSRWQVSDFDLFTLDDVDAAFQNVVRLKYSQNHILNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERNVTLIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQLSNYKVFPLQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEMKASLGSNAKASDPMIIDASTSRKPSNAGSHFGGNVDILIDGFVPPSNSVAPMFPFFENTSEWDDFGEVINPEDYMMKQEEMDNTLMPGAGDGMDSKLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVRSKKLGEHEIAWVDAEVGKADDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITLRKIGDANQKGGTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >LPERR09G16160.1 pep chromosome:Lperr_V1.4:9:15353378:15355108:1 gene:LPERR09G16160 transcript:LPERR09G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGSAEPTANGEKKPEEQLFDPSRRIIKRKALIKELAAAYHAECVACCKELLQLQRKWEEEQYVEFKIAEEAPTRTLTMKSSKRRKR >LPERR09G16160.2 pep chromosome:Lperr_V1.4:9:15353398:15355108:1 gene:LPERR09G16160 transcript:LPERR09G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGSAEPTANGEKKPEEQLFDPSRRIIKRKALIKELAAAYHAECVACCKELLQLQRKWEEEQYVEFKIAEEAPTRTLTMKSSKRRKR >LPERR09G16170.1 pep chromosome:Lperr_V1.4:9:15358641:15364283:1 gene:LPERR09G16170 transcript:LPERR09G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSARFPLPSSSPLLPLFPESLPGDERMFEPEGSTGTRSLREIVEEEEDDDGGGKTHVAVGKDFKDGKVNIQWAARKLQLQQSDLKKLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKNDMNKLLDQYLSCCRAFAKVQAEILVIEKNNVANGIAELIDQNHITRLVMGTSSFSVKRQLPKSKVAASVHQQAKPYCQILYICKETLACTREANQFAEKGHPPRSRSGGSLPDQPQFPPRSVSYSSCYPGLLDPLDKQSLRQRSNSVSYPSSRHLENGMENISPTRWHSVHVTPKGCSPNSSPTLNDFDTMDVPSAAVSIASCEEHQHSTFRAREDSLCKEKIEKEERLTREKARLEKEHLQIYNELQKANEQRVELERKLMHANSSMEGLQNVQGELQHVKDNAVKEDNNMHEVHCDNVFGSTGSVALTEFSYTEIKEATNDFNESKKIGHGGCGSVYKGFLRHTIVAIKKFNREGITGEKEYDDEVETLGRMRHPNLVTLIGVCREAKVLVYEFLPNGSLEDRLQCKHQTDPLPWRTRIKIAADICTALIFLHSNKPKGIAHGDLKPDNVLLGDNFVGKLGDFGISRPLNLTNTTITPYHRTSQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTSKSPLGLPSVVEAALNNDMLQEIIDVSAGEWPPEYTKKLAILALRCCRFNRKERPDLAKEAWGVLQDMVNHPDNKCETPSFFICPMTQEIMRDPHIAADGFTYEGGAIKDWFQRGHKMSPMTFLSFTHHELIPNNALRFAIQEWKMKQQL >LPERR09G16180.1 pep chromosome:Lperr_V1.4:9:15367397:15368792:1 gene:LPERR09G16180 transcript:LPERR09G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKGGAILQILQDKHHSKEQHAGVCFTDHRFLQKNAVFAGKHRVDDLTVAHMRSRLQGLYEKNCSGDAADYRLYIRNIASVTAHMRSRSQVAYEKNCSDDTAGKSLRRWRAYAQQGAGHS >LPERR09G16190.1 pep chromosome:Lperr_V1.4:9:15374271:15380059:1 gene:LPERR09G16190 transcript:LPERR09G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPTSSSPYTVDSPEPSSSGEKVYVAVGAEESSRATLLWALHKFPQGTAFVLLHVYSPPKFLPILGARIPVGQLREQELIAHKKMDLQRISANLDQYKLICAKQKVQAEKLMVESDDVAQGLVDLIAEHNVSMLVMGAADDKHYTKKVAPFSHDMMQNCRQSATSALSSVDSSNSLPETWCVSNTWLQKLILEPHIERTSSYRCHDEEKEDIKELDESDNELQHILMELKRVRQEAYEEKGRREKAEQDLFEALQKAQASEKLYFGERKQRNEIEVKLASTMEEVEKLSRTTAGLAEKLQEECEKILVLEKRSAHSDRIIKDLMLQRDKAVREVEAIRVKNGESTATADRTVYITELSISEIQEATSNFDQSLKVGESVYGSVYKGFLRHTNVAVKKLNPESTQSQSQFNQEVEILSKVRHPNLVTLIGACKDARAVVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKATNILLDGNNVAKLSGFGVCRILTDEFKATTTLYRHTHPKGSFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVEKGCLQAILDSSAGEWPLIHAEQLARVGLRCCEIRRKNRPDLQSEVWTVLEPMLKSASSMLYSLSFKSVSEDFGNVPSYFICPIQQDIMRDPLIAADGFTYEAEAIREWLDSGHCTSPMTNLDLPHRDLLPNHALRSAIQEWLQTNPGEQEYRESNIQGKEKDTRAKCRMIIQAFNGCTPEFKALHPIQ >LPERR09G16200.1 pep chromosome:Lperr_V1.4:9:15375001:15378189:-1 gene:LPERR09G16200 transcript:LPERR09G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADFNMGSSTVQTSDCRSGRFFLLISQHLRPTRANCSACIRGHSPADESNIACKQPFSTARCTSFKRPNPDLPVKRRRSMIPKEYTSERGVRSPEIAYSGSMYTKEPFGWVWRYKVVVALNSSVNIRHTPKPLSLATLFPSRRICFQVTVNNAVRFIGMEKNECRANVGSNAGPFSCVQSALALAVGQQESWLRLYTTMETA >LPERR09G16200.2 pep chromosome:Lperr_V1.4:9:15374918:15378189:-1 gene:LPERR09G16200 transcript:LPERR09G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADFNMGSSTVQTSDCRSGRFFLLISQHLRPTRANCSACIRGHSPADESNIACKQPFSTARCTSFKRPNPDLPVKRRRSMIPKEYTSERGVRSPEIAYSGSMYTKEPFGWVHFLVCNQLLLSQLANRNPGSDWE >LPERR09G16210.1 pep chromosome:Lperr_V1.4:9:15378958:15383355:-1 gene:LPERR09G16210 transcript:LPERR09G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASILLAVLLASTLFCFLPLCDWFLLLRSAECTYFGHPLSAAEASRASKGEAVGFEFSKKLLSKKLSYITEGMVRQKITVG >LPERR09G16210.2 pep chromosome:Lperr_V1.4:9:15381398:15382885:-1 gene:LPERR09G16210 transcript:LPERR09G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIWKLELIGLCCIWATFYPPGNRRSNGRANGFFSVASVQVSATQLSRQANGHASILLAVLLASTLFCFLPLCDWFLLLRSAECTYFGHPLCEDSFLNTVCLCVAVDIWE >LPERR09G16220.1 pep chromosome:Lperr_V1.4:9:15380650:15387407:1 gene:LPERR09G16220 transcript:LPERR09G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKETRTMEKMLSRYKNHCGRRKIRAHYLSHDDVLAGVVNLIKKLKIKRIVIGSRSMSKDVILRKCCQVWVVINGKHMSTSNDHLEHTGSIGYGGSPESLASIHELSNDSNGYTTPPSDFTDEIIYDDGVIPMDGADELATEAETGDEEIIGEPNSHEEVEQFTEETARQTDEIESFRSITERAEELMEEIDRLQRKLKELQEEEGHNSHEKSILSPRQKAAASLKNEKSLSTPRYPELQLPEHISRFSMSNISKATDNFYPRNLIGEGGYGPVYKGKLGGKSVAIKLLRPHGRQGFPEYKQEVVVLSRIEHPHIVKLMGVCPESCGLVYEHLPNGTLLDRLSLSKGLPWKDRVRILAEQRWALAYLHSCRPHAIIHADFKLTNILLDANNISRLGDFGTARTVHMKPLEEETICRRTNPMGTTGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLHDLNIADQVREAVKMDAVHCVLDTSAGSWPEVQTEKLLRLALRCCSLERKRRPAITCDADWRSLDILHAMAKSTSKSRRWTAHGS >LPERR09G16220.2 pep chromosome:Lperr_V1.4:9:15381306:15387407:1 gene:LPERR09G16220 transcript:LPERR09G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMNNSSLLFNTAVGGKVLYKFADEKEKEMHRAKETRTMEKMLSRYKNHCGRRKIRAHYLSHDDVLAGVVNLIKKLKIKRIVIGSSNDHLEHTGSIGYGGSPESLASIHELSNDSNGYTTPPSDFTDEIIYDDGVIPMDGADELATEAETGDEEIIGEPNSHEEVEQFTEETARQTDEIESFRSITERAEELMEEIDRLQRKLKELQEEEGHNSHEKSILSPRQKAAASLKNEKSLSTPRYPELQLPEHISRFSMSNISKATDNFYPRNLIGEGGYGPVYKGKLGGKSVAIKLLRPHGRQGFPEYKQEVVVLSRIEHPHIVKLMGVCPESCGLVYEHLPNGTLLDRLSLSKGLPWKDRVRILAEQRWALAYLHSCRPHAIIHADFKLTNILLDANNISRLGDFGTARTVHMKPLEEETICRRTNPMGTTGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLHDLNIADQVREAVKMDAVHCVLDTSAGSWPEVQTEKLLRLALRCCSLERKRRPAITCDADWRSLDILHAMAKSTSKSRRWTAHGS >LPERR09G16230.1 pep chromosome:Lperr_V1.4:9:15384509:15386999:-1 gene:LPERR09G16230 transcript:LPERR09G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLQSASHVIAGRRFRSRLQHLNANRSSFSVCTSGQLPADVSSTQCTASILTASRTWSAMLRSCRPVSTCKMTTPKAYTSDSVVSSPVMKKMGSMYPVVPMGLVRRQMVSSSSGFMCTVRAVPKSPRRLMLLASRRMLVSLKSAWMMACGRQEWSLTSSLFRELFHLLVDNTSKNIIM >LPERR09G16240.1 pep chromosome:Lperr_V1.4:9:15387880:15396722:1 gene:LPERR09G16240 transcript:LPERR09G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPLGLLPFPSFFGKKGRIGTIAGESRRAAAAEGLGSGEFGGKREEREEEVAARERETTGSPHLAILLLLLLLVRVRFASSSLACPPQPPGSQSRSESNPKAMPHARSSPVADLPGWPLFSPPKLQLQKCTKCSREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDRLTLDQAKTILSLADVDIEGVSGPSILAALTTWTCKPGYASLPNAYARAGNQLLDLIETTPSRLPVSSNELFSMLDEASENTFLCTNPTASIKKFIFNGEADKVATELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKKQAELIERKRTKKLRQKVQRLKDLKDEDAIDHLPETLDCAADSSGTLSLKATLDPGLYEQEDPQYLSLPTPVASEDNNSFVDLSVENGIPDPGHEVDSGVVLSQQAFSRHRLGRKENLAQNSFASGSAIGSKHAALARHSHYRGTNVSAVSNKTKTWAWKARTEIEEHIAKDELNIDDGQDSKESPTATPASQLNIGNNPVTKMVQPISDGEDGNGHTVHNDVVVNITPTEENDSSSSVMPDGSSRSSCCNEGLAEDGVLRGTMFSSKEAAAFLSQRWKEAITSDHVKLVLCPDS >LPERR09G16240.2 pep chromosome:Lperr_V1.4:9:15387880:15396722:1 gene:LPERR09G16240 transcript:LPERR09G16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPLGLLPFPSFFGKKGRIGTIAGESRRAAAAEGLGSGEFGGKREEREEEVAARERETTGSPHLAILLLLLLLVRVRFASSSLACPPQPPGSQSRSESNPKAMPHARSSPVADLPGWPLFSPPKLQLQKCTKCSREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDRLTLDQAKTILSLADVDIEGVSGPSILAALTTWTCKPGYASLPNAYARAGNQLLDLIETTPSRLPVSSNELFSMLDEASENTFLCTNPTASIKKFIFNGEADKVATELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKKQAELIERKRTKKLRQKVQRLKDLKDEDAIDHLPETLDCAADSSGTLSLKATLDPGLYEQEDPQYLSLPTPVASEDNNSFVDLSVENGIPDPGHEVDSGVVLSQQAFSRHRLGRKENLAQNSFASGSAIGSKHAALARHSHYRGTNVSAVSNKTKTWAWKARTEIEEHIAKDELNIDDGQVIGLNKKSRVLIGSISVAIEDNSECLQDSKESPTATPASQLNIGNNPVTKMVQPISDGEDGNGHTVHNDVVVNITPTEENDSSSSVMPDGSSRSSCCNEGLAEDGVLRGTMFSSKEAAAFLSQRWKEAITSDHVKLVLCPDS >LPERR09G16240.3 pep chromosome:Lperr_V1.4:9:15387968:15396264:1 gene:LPERR09G16240 transcript:LPERR09G16240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARSSPVADLPGWPLFSPPKLQLQKCTKCSREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDRLTLDQAKTILSLADVDIEGVSGPSILAALTTWTCKPGYASLPNAYARAGNQLLDLIETTPSRLPVSSNELFSMLDEASENTFLCTNPTASIKKFIFNGEADKVATELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKKQAELIERKRTKKLRQKVQRLKDLKDEDAIDHLPETLDCAADSSGTLSLKATLDPGLYEQEDPQYLSLPTPVASEDNNSFVDLSVENGIPDPGHEVDSGVVLSQQAFSRHRLGRKENLAQNSFASGSAIGSKHAALARHSHYRGTNVSAVSNKTKTWAWKARTEIEEHIAKDELNIDDGQVIGLNKKSRVLIGSISVAIEDNSECLQDSKESPTATPASQLNIGNNPVTKMVQPISDGEDGNGHTVHNDVVVNITPTEENDSSSSVMPDGSSRSSCCNEGLAEDGVLRGTMFSSKEAAAFLSQRWKEAITSDHVKLVLCPDS >LPERR09G16240.4 pep chromosome:Lperr_V1.4:9:15387968:15396722:1 gene:LPERR09G16240 transcript:LPERR09G16240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARSSPVADLPGWPLFSPPKLQLQKCTKCSREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDRLTLDQAKTILSLADVDIEGVSGPSILAALTTWTCKPGYASLPNAYARAGNQLLDLIETTPSRLPVSSNELFSMLDEASENTFLCTNPTASIKKFIFNGEADKVATELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKKQAELIERKRTKKLRQKVQRLKDLKDEDAIDHLPETLDCAADSSGTLSLKATLDPGLYEQEDPQYLSLPTPVASEDNNSFVDLSVENGIPDPGHEVDSGVVLSQQAFSRHRLGRKENLAQNSFASGSAIGSKHAALARHSHYRGTNVSAVSNKTKTWAWKARTEIEEHIAKDELNIDDGQVIGLNKKSRVLIGSISVAIEDNSECLQDSKESPTATPASQLNIGNNPVTKMVQPISDGEDGNGHTVHNDVVVNITPTEENDSSSSVMPDGSSRSSCCNEGLAEDGVLRGTMFSSKEAAAFLSQRWKEAITSDHVKLVLCPDS >LPERR09G16250.1 pep chromosome:Lperr_V1.4:9:15397709:15402116:1 gene:LPERR09G16250 transcript:LPERR09G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSGKQLEMKYRITPQNKCTCSSPPGDKFSRLITRTFLVHSYSRSTAMEQRLQLSGRFHQPVVDSSRDYLTRRFYSASIKKRRIECFVSSDPINNGWIKPRRWDNFNTLETACVQPEYRIPVRTRADCKAEQYEITGSPLSPSDVPGEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGDKVLE >LPERR09G16250.2 pep chromosome:Lperr_V1.4:9:15397709:15402116:1 gene:LPERR09G16250 transcript:LPERR09G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSVHSYSRSTAMEQRLQLSGRFHQPVVDSSRDYLTRRFYSASIKKRRIECFVSSDPINNGWIKPRRWDNFNTLETACVQPEYRIPVRTRADCKAEQYEITGSPLSPSDVPGEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGDKVLE >LPERR09G16250.3 pep chromosome:Lperr_V1.4:9:15397709:15402116:1 gene:LPERR09G16250 transcript:LPERR09G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSGKQLEMKYRITPQNKCTCSSPPGDKFSRLITRTFLVHSYSRSTAMEQRLQLSGRFHQPVVDSSRDYLTRRFYSASIKKRRIECFVSSDPINNGWIKPRRWDNFNTLETACVQPEYRIPVRTRADCKAEQYEITGSPLSPSDVPGEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGDKVLE >LPERR09G16250.4 pep chromosome:Lperr_V1.4:9:15397654:15402116:1 gene:LPERR09G16250 transcript:LPERR09G16250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSGKQLEMKYRITPQNKCTCSSPPGDKFSRLITRTFLVHSYSRSTAMEQRLQLSGRFHQPVVDSSRDYLTRRFYSASIKKRRIECFVSSDPINNGWIKPRRWDNFNTLETACVQPEYRIPVRTRADCKAEQYEITGSPLSPSDVPGEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGDKVLE >LPERR09G16250.5 pep chromosome:Lperr_V1.4:9:15397651:15402116:1 gene:LPERR09G16250 transcript:LPERR09G16250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSGKQLEMKYRITPQNKCTCSSPPGDKFSRLITRTFLVHSYSRSTAMEQRLQLSGRFHQPVVDSSRDYLTRRFYSASIKKRRIECFVSSDPINNGWIKPRRWDNFNTLETACVQPEYRIPVRTRADCKAEQYEITGSPLSPSDVPGEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGDKVLE >LPERR09G16260.1 pep chromosome:Lperr_V1.4:9:15403147:15407383:1 gene:LPERR09G16260 transcript:LPERR09G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPPPPPQSQSGGLVMSESEWDGSSREGSPSPSPSFAARRWHDESPSSSSAAAAQISRDCGVHMAARDRDPPPSARLRIRGRQARLELVMRMAADRHAELHRLSLHRAVSDFPHRNRIHALLRGRFLRNGGLPVDDTRPPSTAATELGQLRQRHPVSALREEFRFRLENVVRGQAVSQSDDSELQNVDLSTNGHSESSPSSSSEYTLERHQQRLNVGLQQTEGASTVSESGSNTPSIAEGLYEPHSQAESWQDDLEQERRDWEQFSHAIIGEGSERNWHENTYNGSSHEGTEAGGGQDTHLPEAHDELPSENLPPESHGEQQDNNHLPEPEEHEELHDSDLQQSRGEWNEGNRPFVPPEVHNEWHSDDRFQGVNEEWHDDDESNDSADNWHDDNFGQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPVSWDLEGAPPAPDSPEQSQEQHRDDEERELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNMHRSDIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGERGESKETIDDGSKWINVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >LPERR09G16270.1 pep chromosome:Lperr_V1.4:9:15408049:15412748:1 gene:LPERR09G16270 transcript:LPERR09G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSANPPPLSSPSSSSSQSSQSSDSDSDISAWDPQELRAQAECLESEELAAMSINNSLLPILDDLLLQVYTMLRPKPLDYEQRTNLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTDDTEDKYARKKKISVVRKIAKRLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTMSSMSIISLVAFHLQQAGSTLSHSDLMIKSLADGSDFASVERNALSFEGFGSKNKETVAELFLSLISKLLSADSLWEHGLCASNFEASWISKTWKKGVGNLNVEDFLDRSQNFARSVGKKEMQKISRCLRDCALNFLDFMRGKLEISKLKILLFGRLKPYELVSKPRLKCGKRKHKAQTNPNRRYGLQKEKHVVHQVGSDQHANFTIAKAPQVVQQHPTQAKVSTQCVNKPKPWLVIIPSGFGYSLSVQLPVAPQLSRGLLGPPPPFYLAHLNNGAQLQQQGPLLPLPSEQAVGSNSGVSYAGAQQLQRSKN >LPERR09G16280.1 pep chromosome:Lperr_V1.4:9:15418375:15419571:1 gene:LPERR09G16280 transcript:LPERR09G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILNVTVDTSSSGRVDQIGDGSPEPEPEQSPAAADVALGVRHLCDSGITTLPDRYVLPPADRPGNISPAVLPVVDMAALRAGDPSQLATLDAACRDHGFFQVVNHGVSPEIGRAMLEAARRFFFELPLAERKRHMSGYIRAAVRYGTSFNQANDGVLSWRDFLKLLVRDARRLADDDWPREVRAAAAAHAAACQGVFRELMGAALDALGVVSRRGELLEECDAGSQMMMVNCFPACPQPELTLGMPPHSDYVLLTILLHDDVTGLEVSYGDGRWASVEAAAGAVVVNVGDHLEILSNGRYRSVVHRVRVNGRRARVSVASLHSFAAERVISPAAELVDDRDRPRRYMDTDMAAFLDYLATAEGKHKSFLHSRRINVSPSSVSSSKDDGDSCGCQFSP >LPERR09G16290.1 pep chromosome:Lperr_V1.4:9:15420036:15420662:-1 gene:LPERR09G16290 transcript:LPERR09G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKAAVKKVKVVASAVKTTVVQVSATELEMEVEAEPPISKTSSTSVQVVQVEAEKTPSAPPVVSLQSQETQDLNEDEEPTAAEKNKLKKATTTAAAEHKKRNSKKKKKRNKGVENGGYKRYVWRVLKQLHPDLGMSSRTMDVLDMMMADMFERLAEEASRLSKISGRATLTSREVQTAVRLVLPGDLANHAISEATKAISKYLS >LPERR09G16300.1 pep chromosome:Lperr_V1.4:9:15421571:15423914:-1 gene:LPERR09G16300 transcript:LPERR09G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKPSDEVVQVRHGDVAGEPTVVSISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGKWCYIVLWVVARPGRPMRWDLLKDRLVHLCPVAAPFAFDAAAAASPQVFLLKFCCYDRIGLLHDVTRALCELELTIRRVKVSTTPDGRVLDLFFITDARSNPSYDICNDFRELLHTKNRREETYEKLQSVLGDSLTSCEIESATEEMSSCLQASALLPHSAVEQMFNVEVVEEQSRSCGSLSVAMDNSLSPVHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIIDQNRQRALCSRLRMELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEENHELSSSLRSKIVDGVTNMLMGWDSPS >LPERR09G16310.1 pep chromosome:Lperr_V1.4:9:15426683:15430012:-1 gene:LPERR09G16310 transcript:LPERR09G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLSFPEMSPAQIAEALHHYGLAPTSNLRADDIANQQPDLLPAVFSAFLHPTGDDQQQLGFQALAALDNPEHHVEALRVLRLHRRAKAFLESIHFPGFTLRDLIRPDPRRLLQVLSALVNYLYYLEEKLALLQPIINHFPNSHDRRIELKAKIADVSLFSLAFPLTISILPNSFIYPLSSLQHQKAIEDHELQAQMEEPMVLKLQEEVNSLKLKLVDYNKQQLALRAKANSINEKKEEKLRKISEADFELMKLAEENSKLHSKIVQSPEKLQRALEEKKAARAELKNAEKIAMQSVQEKTATLDIYNKAYEKLSKHFSKIQVLQEQVWTSAKLIFILVTAAKTLEKEVKARKAKISDESVTIMALDAKIVEWDRKEREMDERAKAKMKERDQIITDENQKLAALRSEAERKLQHFQLREMEVEETVAKADKLFADADLVSIVAAEEQRRIYAKFQEIGQAFNIDKDNFSTVLDQVDEVSKETLERFGRQDAETFDTSTALKREPLVSLFFFFELQAL >LPERR09G16320.1 pep chromosome:Lperr_V1.4:9:15437224:15439079:-1 gene:LPERR09G16320 transcript:LPERR09G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAVKEEAAVAGDGRSSKTTVSDESSSSSKKKKEMRRVAVVYYLCRSRQGGLEHPHLMEVEVEVEQLLRLRDVTRRLDALRGKGMSAMYSWACKRSYRSSGYVWHDLCHPDDLLLPNHAGDYVLKASLLHLVPIKIPNSRRHHQQHHSSSRSSSSRAAHHHFHHHHHNMPPAVAAAADGCSSVVYNGATATDNNNIAVDVGIAAAGDQCTSCRTPPRSSSSSSSLSSSSVSCSSSSSSPNHNNKQEEKQVAPAAVVVASAATQTDADYFTGSTAAPSGSAQKQGTAAARGGGRGSSSSRSLESLIMAEISSSRSTILEDDEEEYQEEEDDDDELGGGGAAHAEDDRRSCSSMSMSSIYRVKPANLLMRLIACGCGTTITMPPSSCATNIKPLHPAPATAGYAQAQPQTVESLPLSPVLSPLPNLLFNHPQPPAAIQSPPHHHHQQFSGVINTTAAAATIDTDAGKLRVADDAPPPVLVQVQCSNATEDLVDLATAAAGKIKLAHSRPVVVAFRLDKDDDKLTKIQERLASGARVTISSTVHPAAG >LPERR09G16330.1 pep chromosome:Lperr_V1.4:9:15437685:15439555:1 gene:LPERR09G16330 transcript:LPERR09G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKVMMSSPAAAASGGGVMLLMVAPRIGNFDGYEMKKGSLEDVVAGVVGEEEVVGMAEIMPHVAAAAVAALARPRVHGRHALASQGVQPPRHVSQAKQLLHLHLHLHQMRVLQPSLPTPAQRAQGKGTTDNKE >LPERR09G16340.1 pep chromosome:Lperr_V1.4:9:15440968:15441888:-1 gene:LPERR09G16340 transcript:LPERR09G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWIDSGAKCSTRVDIDNGENPTWDDKLTVPLPPTSRLDDAVLYLDVVHANAADGVKPLVGSASLPLRDVLADAGIGGRASRSLRLKRPSGRPQGRAEVRVAVREPARYYDPSPAPAGYPAPYQQQQQYSRDPYAAGGAYGGGYGGGYGAAPAPYAAAPPAGYPSAYGAPQPAAAGVPYVGGGAAPPTYGAAPPTAGVSYGADAKKKNSSRMGLGGGLAVGAAAGVLGGLALAGGASYLENKFEERVAERVEDNLESSYGGGGGFDDDGDDDGY >LPERR09G16350.1 pep chromosome:Lperr_V1.4:9:15443880:15444418:1 gene:LPERR09G16350 transcript:LPERR09G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNPRVFLDILIGKAKAGRVVMELFADTVPKTAENFRCLCTGEKGMGASGKALHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGLLSMANAGPNTNGSQFFICTARTSWLDGKHVVFGKVVDGYAVVQKMEKVGSESGTTAERVLIEDCGQLAADD >LPERR09G16360.1 pep chromosome:Lperr_V1.4:9:15444952:15447294:-1 gene:LPERR09G16360 transcript:LPERR09G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLRGRLIGHHQSLPPALAHRYLSKSNPPPPSSDQPTTTTPGTGESPSEEEEDDDEGKAALPAAAWTRWRPDVAWLSKALEPALHLYNHYNWTKPFLTGSAGADSIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASTKEAFKGLHISCNHKVQDLIYHLELARGCYKGNATGLARHSMLRKRNVLKFIKDSSILRPGYYIAVDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPRGFSTHFGTYEAARWYLRHELGIIRKCLENHKGYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCVSKEIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVTSIQDVARKLADYAKIVTVSTSSDAIKDQDRPASSSVLSPNNKEDVYVPEDLYLPGTLYYLKRDIEDVNGVDDETYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKIMPPQDDK >LPERR09G16370.1 pep chromosome:Lperr_V1.4:9:15448954:15449343:-1 gene:LPERR09G16370 transcript:LPERR09G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQDAGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQQHQQNATRPPGSSSSAAGSNNARSPPIEFEYLDDQVLQDMLRDAGNNNK >LPERR09G16380.1 pep chromosome:Lperr_V1.4:9:15469973:15470638:1 gene:LPERR09G16380 transcript:LPERR09G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDREVDMRILSSLMADMDAAADSDSSSSSSFSSASDDSTTSLQQKNQNQQQQQQLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPVHRVQESLRALALGAAGGSPVLALKRRHSIRKRRKPTKSQIMQQQQQPHQQQQQQQQTAVVELEDLGADYLDELLRLSESSSSSSFPSSSSSTYFTAPPPPSTPHW >LPERR09G16390.1 pep chromosome:Lperr_V1.4:9:15473746:15474807:-1 gene:LPERR09G16390 transcript:LPERR09G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSDAVPASSTASRSRRSSIASAAAAASASAATTSSSSSSSRPLSSSISASTASAASSTSLAAARASLPDPPVLYPFQEVAAATNNFLARRAPASDYWPCTLRGRSAALFQLRPRPRQRDELKAALAATGRFHHTSIAPLLGACVAGSHHVYLAYELPPGGATLASCLRSPRNPRFTSLRTWLSRVQVAADVAQGLEYIHHHAAAVHGRVSTSTVLISDPGLRARLTHMGAAQLADMEEEASREADVRAFGLLLLELLSGETYRLLETAAAARASGRVRSWVDRRLGDSFPQAAAERLVGVALRCAAEEQPTPPEMTWVAGKVSKAYIESRAWDHTVRPPADFSVSLGPR >LPERR09G16400.1 pep chromosome:Lperr_V1.4:9:15476222:15480234:1 gene:LPERR09G16400 transcript:LPERR09G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQAQAKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMAGGDMEDMPGGVQRYQLAADAEAASPRQFQFELYKRRTTLLLPRHLFLRLVCQALALYKYVAPDQRADLHRACRIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVDEKQNPLLYASTYHAGECLDPVAVAEAKAKRKDKKRSGMSTTSATDYNTIKALNDKSDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSGADGKCTSENDSKKSVGDPIYGPLNIGRAESVNLQFGNFGISAWPTDAGGTSQAGIVNESRDNANEGTGSHVPSSSGSPKRLDGHCKEIKEPTAASSGSDVDDEEEEETAGAPPNSGSEGDLKDIRDIHEEMEGSVDEDCNRSDEEYDDLAMRDSMENGFLTDDGVVHTVLRQSSSNRLFDGNEQKHITLRKRHENLRTLSKVDLDFPDTARSSSSIGASSKRNGMRRWKRTLSDSFRSRPRSGSAPSLVDLASKNKGSAVPVAPDR >LPERR09G16400.2 pep chromosome:Lperr_V1.4:9:15476222:15480234:1 gene:LPERR09G16400 transcript:LPERR09G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQAQAKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMAGGDMEDMPGGVQRYQLAADAEAASPRQFQFELYKRRTTLLLPRHLFLRLVCQALALYKYVAPDQRADLHRACRIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVDEKQNPLLYASTYHAGECLDPVAVAEAKAKRKDKKRSGMSTTSATDYNTIKALNDKSDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSGADGKCTSENDSKKSVGDPIYGPLNIGRAESVNLQFGNFGISAWPTDAGGTSQAGIVNESRDNANEGTGSHVPSSSGSPKRLDGHCKEIKEPTAASSGSDVDDEEEEETAGAPPNSGSEGDLSEEDIRDIHEEMEGSVDEDCNRSDEEYDDLAMRDSMENGFLTDDGVVHTVLRQSSSNRLFDGNEQKHITLRKRHENLRTLSKVDLDFPDTARSSSSIGASSKRNGMRRWKRTLSDSFRSRPRSGSAPSLVDLASKNKGSAVPVAPDR >LPERR09G16410.1 pep chromosome:Lperr_V1.4:9:15486067:15489166:-1 gene:LPERR09G16410 transcript:LPERR09G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFLVHRLGHLESLCISFHNHTPEAKETKGRPSFIYLRRYGLLGLNGCGKSCLLKAIGCRELPIPPHMDIYHLTHEIEASDMSALEAVISCDEERVQLEKEAEILAAQDDGGGEALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTCDFSGSWRMRIALARALFMNPTILLLDELTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQISSMKVYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDRVLTFRFTNVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTADLVPLDGMVRRHNHLRIAQFHQHLGEKLDLDMSALQYMMKEYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCEKQAVTRWEGDIMEFKENLRSKSGLDD >LPERR09G16420.1 pep chromosome:Lperr_V1.4:9:15489125:15494176:1 gene:LPERR09G16420 transcript:LPERR09G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHVQQQQYIDPYRTMVLSPQPDHLNALQYNQQQQTQRTPQATPPPPQHHHASLASHFHLLHLMTRLADAIGKGTRDQHSDALVEDLTSQFARCQQLLNSISGTLSSKSITVEGQRKSLEETQQLLDQRKDLITKYRSSVEGHLKGDTTR >LPERR09G16430.1 pep chromosome:Lperr_V1.4:9:15496209:15503379:1 gene:LPERR09G16430 transcript:LPERR09G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRAAWQAVRCKVLVPTLQLAVYVCVAMSLMLFVERLYMALVVTGLWLFRRRRRRIIRKDTDDDLEANAHPMVLVQIPMFNEKQVYRLSIGAACGLTWPSEKLLIQVLDDSTDSAIREMVERECMRWAGKGVNIRYENRRNRSGYKAGAMREGLEKPYARECEFVAVLDADFQPDADFLVRTVRVLVGDPSVALVQARWRFVNADECLLTRMQEMSLDYHFSVEQEVGWAWHGFFGFNGTAGVWRVSAVEDAGGWKERTTVEDMDLSVRATLRGRWRFVYAGDVCVRNELPSTLRAYRYQQHRWSCGPANLFRKMFRDVLLSRVTPWPKKLHLLYSFFFLRKLVAHLLTFGFYCLVIPSCVLLQGVWLPKYVALYVPALITLLNAACTPRSWHLIVFWILFENVMSMHRSKATLVGLLEATRANEWVVTEKLGNTTTDAAVMHVSNKPKTRSSGRGFHVAEMPALARAGPHAAVVSYRHRRVAEEILKIGKGKVTARAFTYGELSEATGGFRAESVLGEGGFGPVYRGRLSLNGKEVDAAVKQLDRNGMQGTREFLVEVLMLSLLEHPNLVTLLGYCTDAEHRMLVYEYMPRGSLEDHLLDLPPGAAALDWTTRMRIAQGAARGLEHLHDAARPPVIFRDFKASNILLDASFQARLSDFGLARVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEMVTGRRAIDMSRPADQQNLVQWAAPRFKDKKRFAEMADPLLHGAYPTKGLYQALAIAAMCLQEDASMRPAISDVVTALEYLTLAGATSDAAPRPQNPPHHPDAT >LPERR09G16440.1 pep chromosome:Lperr_V1.4:9:15502026:15508593:-1 gene:LPERR09G16440 transcript:LPERR09G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAWTVQTEERRKENPEEEEEKVGRRKGRNSPFMASSPHQAPQSGAGWSADQFWSLLEKAERRFGRVRELPVFGRREPEEYGKAFCIYTKLWRMQQEHRHRLVDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLADAADHHHHPHPPIEIAPTKHLRFLARFLLVALLLARRADTVPRLAAQIRTLLDDSNKTLQEAEYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEVKFTDLTIDVFRMLQCLEWEPCGSFALNNGYNTHDESRQNHLNLLKDLRDAALPPNPLKTVLYRPSVTHFLTRRRKANGGGTGRANGAQRHLNHLRLLAGGHLSSVLATKCEELPSNGMMLIYLSAAGEVGSSGFCTDTKEMVVNSFNKFDISNTSTIDVKEDNGSCLWLGCREGEGKGSNCIYPCDLIPFTRRPLFLSIHGFEKGETTAMFLSPSCRPSSLGFSGDSARQIGSQFTMFLTAPLQAFCHLIGNSGVDIDRDAYNKAEEVLSLSLNEWGTTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAALSLLKVACHKVEFLPSCAPPLPESVDAESMLSQCCVLRVASLFGAANQFSFAEATTWPEADTEEANPSISM >LPERR09G16440.2 pep chromosome:Lperr_V1.4:9:15502026:15508593:-1 gene:LPERR09G16440 transcript:LPERR09G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAWTVQTEERRKENPEEEEEKVGRRKGRNSPFMASSPHQAPQSGAGWSADQFWSLLEKAERRFGRVRELPVFGRREPEEYGKAFCIYTKLWRMQQEHRHRLVDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLADAADHHHHPHPPIEIAPTKHLRFLARFLLVALLLARRADTVPRLAAQIRTLLDDSNKTLQEAEYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEVKFTDLTIDVFRMLQCLEWEPCGSFALNNGYNTHDESRQNHLNLLKDLRDAALPPNPLKTVLYRPSVTHFLTRRRKANGGGTGRANGAQRHLNHLRLLAGGHLSSVLATKCEELPSNGMMLIYLSAAGEVGSSGFCTDTKEMVVNSFNKFDISNTSTIDVKEDNGSCLWLGCREGEGKGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAGETTAMFLSPSCRPSSLGFSGDSARQIGSQFTMFLTAPLQAFCHLIGNSGVDIDRDAYNKAEEVLSLSLNEWGTTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAALSLLKVACHKVEFLPSCAPPLPESVDAESMLSQCCVLRVASLFGAANQFSFAEATTWPEADTEEANPSISM >LPERR09G16440.3 pep chromosome:Lperr_V1.4:9:15502026:15508593:-1 gene:LPERR09G16440 transcript:LPERR09G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAWTVQTEERRKENPEEEEEKVGRRKGRNSPFMASSPHQAPQSGAGWSADQFWSLLEKAERRFGRVRELPVFGRREPEEYGKAFCIYTKLWRMQQEHRHRLVDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLADAADHHHHPHPPIEIAPTKHLRFLARFLLVALLLARRADTVPRLAAQIRTLLDDSNKTLQEAEYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEVKFTDLTIDVFRMLQCLEWEPCGSFALNNGYNTHDESRQNHLNLLKDLRDAALPPNPLKTVLYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCTDTKEMVVNSFNKFDISNTSTIDVKEDNGSCLWLGCREGEGKGSNCIYPCDLIPFTRRPLFLSIHGFEKGETTAMFLSPSCRPSSLGFSGDSARQIGSQFTMFLTAPLQAFCHLIGNSGVDIDRDAYNKAEEVLSLSLNEWGTTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAALSLLKVACHKVEFLPSCAPPLPESVDAESMLSQCCVLRVASLFGAANQFSFAEATTWPEADTEEANPSISM >LPERR09G16440.4 pep chromosome:Lperr_V1.4:9:15502026:15508593:-1 gene:LPERR09G16440 transcript:LPERR09G16440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAWTVQTEERRKENPEEEEEKVGRRKGRNSPFMASSPHQAPQSGAGWSADQFWSLLEKAERRFGRVRELPVFGRREPEEYGKAFCIYTKLWRMQQEHRHRLVDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLADAADHHHHPHPPIEIAPTKHLRFLARFLLVALLLARRADTVPRLAAQIRTLLDDSNKTLQEAEYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEVKFTDLTIDVFRMLQCLEWEPCGSFALNNGYNTHDESRQNHLNLLKDLRDAALPPNPLKTVLYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCTDTKEMVVNSFNKFDISNTSTIDVKEDNGSCLWLGCREGEGKGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAGETTAMFLSPSCRPSSLGFSGDSARQIGSQFTMFLTAPLQAFCHLIGNSGVDIDRDAYNKAEEVLSLSLNEWGTTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAALSLLKVACHKVEFLPSCAPPLPESVDAESMLSQCCVLRVASLFGAANQFSFAEATTWPEADTEEANPSISM >LPERR09G16450.1 pep chromosome:Lperr_V1.4:9:15505223:15512881:1 gene:LPERR09G16450 transcript:LPERR09G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEPEMVEVTLRAVGPSRPSTIRLPPSLSVSDLRRHIAHDRRLPEDRLRLVLRGRNLPCQDDALVNLRHGDSLMVAVAPKPPANHLRDDADDDDDEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMALFSLNMKAWVLIAMWFLFAPIAQMYEVGPLYVGDAGGDLAHLPPPEPGVDEAVAVLLLHAPQLGVDAERLPVLLRLPPPEHGELAHAPEPPLCLFQQRPELIRRPAGAGLGSLILGTGFVVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGGKMINPPSNRQKYSLSLTPL >LPERR09G16450.2 pep chromosome:Lperr_V1.4:9:15505223:15512881:1 gene:LPERR09G16450 transcript:LPERR09G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEPEMVEVTLRAVGPSRPSTIRLPPSLSVSDLRRHIAHDRRLPEDRLRLVLRGRNLPCQDDALVNLRHGDSLMVAVAPKPPANHLRDDADDDDDEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMALFSLNMKAWVLIAMWFLFAPIAQMYEVGPLYILGTGFVVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGGKMINPPSNRQKYSLSLTPL >LPERR09G16450.3 pep chromosome:Lperr_V1.4:9:15505495:15512881:1 gene:LPERR09G16450 transcript:LPERR09G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEPEMVEVTLRAVGPSRPSTIRLPPLMVAVAPKPPANHLRDDADDDDDEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMALFSLNMKAWVLIAMWFLFAPIAQMYEVGPLYILGTGFVVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGGKMINPPSNRQKYSLSLTPL >LPERR09G16460.1 pep chromosome:Lperr_V1.4:9:15510711:15516475:-1 gene:LPERR09G16460 transcript:LPERR09G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKALHGRLLRSGALLGGDPSAAAPLAAAASLVSLPYALSVLRAHPTTFSYNTAIRALARGPRPHLALHLYRSMLLLSSSQHSPNKYTYPPLLAACARLIAGPQLAIAATVHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDQTPLSHRDLPLCNSLLHAYLSRGLCLHVLRLFRRMPSADQVTLLALVSACAHLGALHAGRWAHAYLARARIPITTNLATALLNMYMRCGDVHSAWSVFHTTRHKDVRTWSVMISGLAVNGFATDALNLFAEMKHHGIQPDSVTLTAVLSACTHAGMVDEGKRILQRMPLDYHLQPTIEHYGCTVDLLGRAGQLEEALALIRTIPLKADVALWGALLVACRCHKNVDMGQMVAMEILKLDPRHAGAWVFLSNVYAAAGKWDLVQEVRSSMKEHSIYKPPGSSVVELDGNRQWVNCDDRPY >LPERR09G16470.1 pep chromosome:Lperr_V1.4:9:15516742:15521800:1 gene:LPERR09G16470 transcript:LPERR09G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGDHGADLPTLWESLPTIAVVGGQDYFFLVLPFHSLIFGGCGGVDKRNDRVRASPPCSRALLPKTSFPVALLHQIEKGAHEYAEFLHLPKTRFSDFGANFKSILPSCTFTYTLSFAISEPSTKLIVTALFFPLYCGAALVRQEIADETDRMTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQFPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKAVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALENFREDGRCTTLRLVDMEAYLTVEFFRKLPQDPDSKVGNHPASSEAAPVTVDRYGDGHYRTIASNVSQYIKMVGDELLHKIPKAVVHCQVREAKKSLLNHFYVQIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTR >LPERR09G16470.2 pep chromosome:Lperr_V1.4:9:15516575:15521800:1 gene:LPERR09G16470 transcript:LPERR09G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGDHGADLPTLWESLPTIAVVGGQSSGKSSVLESIVAKDFLPRGSGIVTRRPLVLQLHQIEKGAHEYAEFLHLPKTRFSDFGANFKSILPSCTFTYTLSFAISEPSTKLIVTALFFPLYCGAALVRQEIADETDRMTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQFPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKAVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALENFREDGRCTTLRLVDMEAYLTVEFFRKLPQDPDSKVGNHPASSEAAPVTVDRYGDGHYRTIASNVSQYIKMVGDELLHKIPKAVVHCQVREAKKSLLNHFYVQIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTR >LPERR09G16470.3 pep chromosome:Lperr_V1.4:9:15516742:15521800:1 gene:LPERR09G16470 transcript:LPERR09G16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGDHGADLPTLWESLPTIAVVGGQSSGKSSVLESIVAKDFLPRGSALVRQEIADETDRMTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQFPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKAVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALENFREDGRCTTLRLVDMEAYLTVEFFRKLPQDPDSKVGNHPASSEAAPVTVDRYGDGHYRTIASNVSQYIKMVGDELLHKIPKAVVHCQVREAKKSLLNHFYVQIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTR >LPERR09G16470.4 pep chromosome:Lperr_V1.4:9:15516575:15521800:1 gene:LPERR09G16470 transcript:LPERR09G16470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGDHGADLPTLWESLPTIAVVGGQSSGKSSVLESIVAKDFLPRGSALVRQEIADETDRMTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQFPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKAVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALENFREDGRCTTLRLVDMEAYLTVEFFRKLPQDPDSKVGNHPASSEAAPVTVDRYGDGHYRTIASNVSQYIKMVGDELLHKIPKAVVHCQVREAKKSLLNHFYVQIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTR >LPERR09G16480.1 pep chromosome:Lperr_V1.4:9:15522451:15522971:-1 gene:LPERR09G16480 transcript:LPERR09G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGSAMMVMATIILLVSSTSWSVAALGTRVPDFFVEGSVYCDTCRAGFETNATTAIKGARVRLECRHYMSASGAVERSVEGTTDAAGKYRIELLDNRGAEEPLPGCRETEAGRDRAPVTLVHDASLATMLRRANPLGFLKDHPLPICGHLLRSYALSTAPSY >LPERR09G16490.1 pep chromosome:Lperr_V1.4:9:15523513:15523986:-1 gene:LPERR09G16490 transcript:LPERR09G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMASAATYNVGAPGGSWDLNTNYGNWVSKKKFHPGDEMVFNYTGGQHDVVEVSKAGYDSCSTSGSIASHTTGNDVIPLNSTGTRYFICGFPAHCTTTGTGKMKIQIDVVARASPAPDDSTGPGATTTPPSSAANHPPPASFIVVVLLLLLLMAA >LPERR09G16500.1 pep chromosome:Lperr_V1.4:9:15532938:15533329:1 gene:LPERR09G16500 transcript:LPERR09G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVMVSWANDLKNVNWRNGDLKPYAVLWIDSGAKCSTRDDKLTVPLPPTNRLDDAVLYIDVVHANASDGVKPLIGSARLPLRDVLADAGKGVSFGFRISNHQSRWVVYSLQ >LPERR10G00010.1 pep chromosome:Lperr_V1.4:10:6353:9271:1 gene:LPERR10G00010 transcript:LPERR10G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPRKTSLDAVLSISTGHPLGKPNTSFTGKDDDALPQPPTASAVEEPTAVPTGFQSESVDGDVPSTTLPPSPQVTAMEVCPAAAQVTTSSTITSPVNTTPPATASTVPAAAAPSPALALTTTVDVPSANKGKQVQSSPMAIELSAGSDSERTASDEIIRWRHGLELDQVSILDRIEDQKNMTRLIQLMAESSDLVLKVVKNSSAKDTLLERIAPLAEIADQVQEELAILRNEVAGYRNIRNEFKDKLRDFLGHDPALFEAKKQAEEQVQKLQAELTQLKDKNEELTKAKDSTEKKLAHSITLNVKSHEQANYYKDKLETLSKKHEDLKKKAANELSTMKAKHNEVFMKIKAELEEARRMNAELCQAAEPILDNLHAATAESNTSSLQSVVERLQSAPSKLKK >LPERR10G00020.1 pep chromosome:Lperr_V1.4:10:59144:67809:-1 gene:LPERR10G00020 transcript:LPERR10G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVKATISLSTAAAAGCCMAACPYRRYTLRRRSRSVCSSSSSLRHAPSAAARVHATASTSTSPEPNKTKKEKDLVFVAGATGKVGSRAVRELIKLGFRVRAGVRSAQRASSLVQSVEQLKLDDATSPAEKLELVECDLEKQPQADIVSAIGNAEIVVCSIGASEKDILDVTGPYRIDYIATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALISSGLPYTIVRPGGMERPTDAFKETHNLVVAAEDTYVGGLVSNLQVAELIACMASNRKVAYCKVVEAVAETTAPLQPMEDQLFNIPSNREPLPELDVKEGEIKLQAPPKPISNEKTGADQQRPLSPYTAFVELKPPSSPSPSPPTTAPTAAPAPDSPGTGAEASSAVLDSSATGNPISRDGDKLNQQRPLSPYTRYEELKPPSSPSPSPPSAASTVSASPHAPAAAEASSTAFDSRANGIPITGDQISQQQRPLSPYTRYEDLKPPSSPTPSAPKL >LPERR10G00030.1 pep chromosome:Lperr_V1.4:10:74509:75304:1 gene:LPERR10G00030 transcript:LPERR10G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKECYCMLFLTPDNDFAGEDQACLFHIVSCTTNRNTRAFHYEDMLANHQ >LPERR10G00040.1 pep chromosome:Lperr_V1.4:10:87355:89790:1 gene:LPERR10G00040 transcript:LPERR10G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASVLVLVVLLPALAAGQQMESFSANDGAWRPSDSNRTLVSKKGDFAAGFVPSSSQGQGGKFRFAVWVVNSSDDSTVWYAHDPPSYAAVEGDERSVLSIDAAGRLSWTGAGGGNSSTTPLWSLNSSANASVLSLNDSGSLLYGGGGWSSFAQPTDTLMALQAMPGNGNGTTLTSRNGRFKLVNSMTLQHGESMYANMTGAAPLRNLTADGTLQLNGANPLIASDKGALRLRRLRLDDDGNLRLYSLQPRTRQWRVVWQLVQELCTIRGVCGDGAICVPQGADSKTCVCPPGYHRPQAAEGGCVPKRSYSGKGDDDKFVRMDFVAFSGGALTSVSDPGGYVTNLTPQNLGDCETRCRRNSSCVAFGYKFGGDRACLLYSRLVDGYWSPATEASTYLRVVASDRDSNNFTGMTSMIDTVCPVRLALPVPPKQGRTAIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSHAELKAATKDFSDLVGRGAYGKVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKDQRMLVYEYVPNGSLDKYLFGGGEEADSSSSRGKPPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIEDIIDPRIVQAEAYDDDAASSATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITDPVKPTIFCVQDD >LPERR10G00050.1 pep chromosome:Lperr_V1.4:10:99089:101401:-1 gene:LPERR10G00050 transcript:LPERR10G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGQPEKKQGILPLLLPLLLFLLCFSPTLCRSSSRRRRVVRQLPGFDGELPFELETGYVEVDHAAGVHLFYYFIKSERRPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFLHEAGGGLPKLVYKPESWTRISNVIFLDSPVGTGFSYADTDAGFRSSDTIAVHQILVFLNKWFQEVHPEFHSNPLYIAGDSYCGMIVPPLTFAIATQQQPSLNLKGYLLGNPVTDHDFDAPSKIPFAYGMGLISDELYEAYKKSCSVQDNTQQQSCVKDIYENHILEPSCTFASPHHPHQLTKPFTSSGVRQMLQLQDLHLSEISSECRTAEYMMSTIWANNDTVRDALGIHQGTVPSWQRCNYDIKYTYDIKSSVSYHLELTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWKPWFVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCFAMLSRWVSGDPL >LPERR10G00060.1 pep chromosome:Lperr_V1.4:10:104585:106983:-1 gene:LPERR10G00060 transcript:LPERR10G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSLLLLLLVVVVTAAATAAAASGRRNVVTQVKGFEGRLPFHLETGYVEVDEHAGVELFYYFIQSERRPSDDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYEEGFPRLVYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVSLTQTGRQLVVFLTKWVDDHPELASNPLYIGGDSYSGYTVPVAALEIAKDEEAKKKLNLKGYLVGNAATDIKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNAECASALQAINSATFAINPVHILEPICGFALQQHPRRGRAMTMAEMPRLRLPVECRDNGYRLSYLWADDPEVRATLGIHNGSIGAWSRCTALPSFRHDVESAIPYHVDLTQRGYRALVYNGDHDMDMTFVGTQAWIRTLAYKVVSPWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGQL >LPERR10G00070.1 pep chromosome:Lperr_V1.4:10:114769:119443:1 gene:LPERR10G00070 transcript:LPERR10G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAVGVAGEAIRQKKLKRKKQAEAEMATAEQDLSLTPPNPAPVPSAGPQTPILGEAVAGRRKERKRKRDQVAAPSPQPATPSTIRREEEEAAGVGKKKKQQRERKKDPFLEAVTARRKEQPCDEQGAQCIHPLPLGGGQDNAPKGTRVKKRSSNSSNKKPRVLSDREIIKERIELLRKQQPLHQNLLDTLPIASNSDSISIQQQDSNYSSPFGAFFHQFCYKPNHSPPIPTTPDRLTRPPPRDHLSSASSQLMASDTCKINNSKKISKTKKPGSGPVSASLNQENTKLTKMEKPVREARVKKERKPPPLLSRAEQRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGIQVRRKVKGFFKRYPDAQTAFNADTEKMAKYLAPLGLQRVKAYRIQKFSKEYVEKEWTYITELCGVGKYAADAYAIFCAGKATEVVPKDHKLVDYWKYVCFELPMIQQSQDMQEAGVTEMEHAVPKVEELAFCC >LPERR10G00080.1 pep chromosome:Lperr_V1.4:10:120836:121348:1 gene:LPERR10G00080 transcript:LPERR10G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPTVIVILSSDSEADTCPTPRTSKMKKKSRQGAGGHQSSSSSGSGSAPGPIVLSDSDSDSDSQQPPKKVSRENWQFFDQVKILKALADHRRAGRELPKAKELFGELQGKLRRQSFTPKDLGSKIEHLRERFVSELYMPPAARHRQYDSMLFNLSNEVWPELLPDSQPQ >LPERR10G00090.1 pep chromosome:Lperr_V1.4:10:126596:126970:1 gene:LPERR10G00090 transcript:LPERR10G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHFDDGDDQHAGQESTAAAGLEERQKKQQRQLLMVERPYRRRIKPPPPKIHHVHPSRFRRFVQKHTVASCSSSPAPATPVQDPNRPATLVVPSSWSMQDAYVAWCSSNGIVLSPGTMADLMA >LPERR10G00100.1 pep chromosome:Lperr_V1.4:10:132583:132870:1 gene:LPERR10G00100 transcript:LPERR10G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQQEHPSPARPHWRRRDPSEAEVYVVHPTQFRSVVQHLTGAAAAATAAQRGSGGGTSVDTSKNNNGSGRGMTLGQMHQECMAWAAQDDQHH >LPERR10G00110.1 pep chromosome:Lperr_V1.4:10:152859:153959:-1 gene:LPERR10G00110 transcript:LPERR10G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRTRTRNLLLATAAAATATAGYGLYRIYRHNRRRVAAVLSLADAVSQVGSDLADFLRSDSDHVPRSLLQLSKLAASDPISSAASSLSHSLATGLLRALSNSDSDSDSKSEGFADRILDRLFSPAGTGFASALVASFATNLVLSYHQNASRSTQGKPDWLAALCSDRGKVAAADLVRVFVSTAVAAYLDRTSAVPVRTSDQLLAGLTNPKHEARLKDLLVSLCNGAVETFVRTTRQVAREEASIEAAIVLTSDSPQGSGPPSPRPSSCVMERVSSTLAVPSNRRFVLDVTGRVTAETVRSFLDFLAQRVSDGARKTMSTARNELADRGLVAVKYMGAKSMAIFTICLALCMHISMGTRFLLPA >LPERR10G00120.1 pep chromosome:Lperr_V1.4:10:158794:166658:1 gene:LPERR10G00120 transcript:LPERR10G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSHQQQPSSSSPPSRNELLSMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFMRGRISKRREEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPMLEKININSGEADWERSFYLNLIAHTSYTVTVAICSIRDLRNRAEKNKSLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDSEHCYCVILNAHDGAAFPEDAELKTSSTNVLSGINTGSNQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSLLGHGHTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSNKDPGDSFRDLVHRAASAASKLAKHAYEAASTNRRLDDELVPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >LPERR10G00130.1 pep chromosome:Lperr_V1.4:10:177185:178279:1 gene:LPERR10G00130 transcript:LPERR10G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSAGGLPLGDEVILLVMSLLHMGDLVRCAATCRRWRRLISSDAAFLCQRAPTTPSSSGRCISSLALGFFLNHKLDMPNLLFVPRRPQMRMPCKLGRVVVEVGGRSRSRLALRLCVSNPMTGELHSLPHLRRPPLLYACALLTADDNSNHINIEHTWASSFVVVVIYNRRGFTAARCFSSDTGQWGAEARVKGARVGRMQQHAAAIVVDGGGLLLWPRLSFALVMPMMQGLSTHRFYGQEEGLLGLLPDGRLCWDEVSWNANIRLLFRTTTNHGMTLSLRQTPYESLHGCKLQRTVVLGQLMPELSRRPIVPPVKLRCFSERSGLILFTAANACYTLNVLTMEVDKRPHLAHGTGHGLQHVCL >LPERR10G00140.1 pep chromosome:Lperr_V1.4:10:181728:182299:-1 gene:LPERR10G00140 transcript:LPERR10G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGVKYTCVLIHLFRLSLHVPRVGEEVTLTGHWVVDADVGPALRPPPPVGFTAAERNEAAVVRDGIVFVEDDGCDAKHEGREAALHQRRRGGRGRSSRPTSGREGTTRRRKDGLRMSRRRSFDAAAHRTTVPASDRATISSTSSAGSNGCRVGSGAAGTHARRRFRGGGIVSFVSNLAR >LPERR10G00150.1 pep chromosome:Lperr_V1.4:10:192268:193792:-1 gene:LPERR10G00150 transcript:LPERR10G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKELGLALGLSLASGGGGGHHEHELVTTRSEPPPLSLTLSLPSDSGLTTPPPSVRTTQVKRELQQHGEEDEYYDGGERALYSVASSVAAADDDDGCNSRKKLRLSKDQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALSHPHAATTAGFFMAPLPAATLTICPSCELLAGDAAPADRTKKSAHFFGPFAKSAAC >LPERR10G00160.1 pep chromosome:Lperr_V1.4:10:224280:229067:-1 gene:LPERR10G00160 transcript:LPERR10G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHEEVMSNEKEEEAAEDLMAFSPPPPAAAAAAMGQRLVVGYALTKKKVKSFLQPKLLALARKKSIHFVSIDETRPLSEQGPFDIILHKLTNKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNNADDAELDPSIAELPPRPLLEKLGRELRRRLGLRLFNLDIIREHGRKNRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQNKYKRRLSGN >LPERR10G00170.1 pep chromosome:Lperr_V1.4:10:294746:297366:-1 gene:LPERR10G00170 transcript:LPERR10G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNRLVRHALSGGSSCSIRFRSAPGSSTQVQIGDFFGGPGGVFCDGFPRGFSTIGTAGNGEPPLLDSSPPGGNGDFSGLSLGKGDSASFRRKLDEGVDHMRDFFDLPSLKKTFYRDVYPLLPKHLILPGQGRLNKDYESFHHKFCELLKRPPVYLSFWDVMYTYTVLGRPELPWFLDHIAEKDVVLVPNWLKSYGKVEILFHSKGSLETFKAETDASYDEKTKEAKLAHGIFVGGELVHADMIYLAILFLEYKLDEHISTPKIYWFRVDINLTSLAKRLETKRVKVERLKAERLESKRLKAERPEAKRQETERLEAKRQEVKKLKEKRPEAKKLKKGWEAKKGRAGGEGGDEGAVKRLP >LPERR10G00180.1 pep chromosome:Lperr_V1.4:10:310143:311552:-1 gene:LPERR10G00180 transcript:LPERR10G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILGVAVFGVLLAVSCACCKRKKKKPPPPPMNMPFFTDEKGNVYYPSPNPNPHQGGGGMWQQHGSNNSIPPPPGWHMHGPGGNSLQSAAPLSGEMYSSGPYGPPLPPPSPNVQFSSNKSCFSYEELAAATSGFSSANVLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSQRLLVYEFVANNTLEHHLYNNKSRVLDWATRHKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELIAGRRPIDSTSMMEEADTLVDWARPLLQQPNKLVDERLAGEYSPVEVERMAACAAASIRHSARRRPKMSQIVRALEGDASLDDLHDGGGVKPGQSGAFFSGSGSASDISRLRQVAFDDSADYSHHYSSSSLPPTHNNAKR >LPERR10G00190.1 pep chromosome:Lperr_V1.4:10:311620:312518:-1 gene:LPERR10G00190 transcript:LPERR10G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDRERYAVAVEKGDENCVCRLLPFGPVCPQFALDPFLLLPQLLIIAGKRKKREGPGWALATPAGRTDKFDKLNGTVAGVFSVFFFVVVVSTAVVEQITPTSLRIAAAATATIIIIIIKIIIVVETHA >LPERR10G00200.1 pep chromosome:Lperr_V1.4:10:314388:319499:-1 gene:LPERR10G00200 transcript:LPERR10G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSDAPSRRASTRKRAASAKVAEADLDQPSTKRARKAAAAPKAPARTKKEKEVEDDVCGEEPDEEEIAMGEEAEAEQEQQLEAPALPAKKRVAQPSRVRHNADGDHEPEFVGDPIPANEARAKWPQRYQRGAAARRPDEEEELKARCHYRSAKVDNIIYSLGDDVYVKAEEGQVDYIGRIIELFEGTDLARYFTCRWFFRPEDTVISSMMMENVHDHKHDLKRVFLSEEKNDNVLDCIISKVKMVHIDPNMDAEARAQRVADCQLYYDMSYTVAYSTFANIPFENGASGSDTASGISSDDVDSAKGKVASDSEASSSVGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDLNSYACESLKYNHPKTEVRNEKADEFLALLREWAKLCDQYIKNDIDSDLAGSSENEEEDDEPLEKNEFVVEKLSGICYGGNGRDGGLYFKVQWKGYGREEDTWEPIENLSECPLKIKEFVQDGYRRKILPQPGDVDVICGGPPCQGISGFNRFRNRKEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSVHNNQPNEVMEYGSSPKTEFQRYIRLSRREMLDTSFEVGADPNVGKLLDHQPLRLNNDDFERVKQIPIKKGANFRDLTGVKVGVNNIVEWDPNIERVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLSVRENARLQGFPDYYKMYGPIKEKYIQVGNAVAVPVARALGYCLGLAYRGETDESSPLFALPDSFTALGQRVAPIVDSSVAIPAGEVVEE >LPERR10G00210.1 pep chromosome:Lperr_V1.4:10:322249:330308:-1 gene:LPERR10G00210 transcript:LPERR10G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLAEAAAAPSSSSSAIWSRRRDEITFDRLDKFWSALPPQARHELLKIDKQTLIEHARRNLYCSRCNGLLLECFTQIVMHGKSLHQEGSGEPDNVQDISVHNWGGLSTTKDGILTLLDCFVNAKSLHVLQNVFDNARAREREREMLYPDACGGGGRGWISPVIVNYGRGHGMRDTCALHTARLSCDALVGFWFDLGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREPRCTSWFCVADTAFQCEVFEDAVLVDWRQSFLDQDISYKHFELAIGTDEGKSDILEFENVGISGQVHKKGLDLDQFEDYFFTLKACRREGEPTELCVKAHALKGQSCVHRRLIVGDGFVTITEGESIESFFEHAEEAEEEDEDDAMDRDGNDPDVDGVHPQKHAKSPELAREFLLDAATVIFKEQVVYVMQFIVAKETHDFATLAVEKALREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQAKLLEEEEKEKHEEEERRERRRTKEREKKLRRKERLKEKEKERMPVQSKPSNDALPSPLSNSAVPINDQSLDIPHSKYPVSDDEDEDSAVVTESFSPDISVDQSLIKESDGQSNGFYCSTTPEFVPSDCNGSFMCEQSTSSRRKLRFRRDSLHEQNTGFWYEDCQDDPGGIGDIHWQSRERARNAGRGCNSVFSTNNRTRERYEYNACSCGQQEDYRYFSSTARSSREMKMSRKTVVEKPRLQYRRCYPLDSFVVSKGSRVGSTSNKNPAPKQVWEPMDARKKASLGSSNGAPETVNSADRSNQVECSKDIIDSNQKLDAEGEALAEASSDGSEEACISNADQLCDNDENNRAACNDEPLVVNKPDCSLTKDGGQTANMTSSDSSSCLSEGDRDSSMSSTTSLSAQNPESSSTSDSEGSSERNNISPGNPPTKSSSRSLLEMCAGNGFREYQPQNIRPPDGSQFGFGATPFQEQLLHHQKVHAAPYPSTLMGFHNHPMPVQTNGYLPYPQPGHFYPNPVGYGVAGNQFVDFSLQYSNVHPYAGSEFGYVPAQPVIHKTPVSFNAMPPTVLFRNGAPEVINHAIVKPDRQHDHTLPPESKLAGLQNGCSDDNNKPQDDSAPFSLFHFNLPISSPAQASSASSKDETSGGSLAPRTPTPTAQAQPCAREETNNIKEYNLFTGVKFSFF >LPERR10G00220.1 pep chromosome:Lperr_V1.4:10:346823:350080:1 gene:LPERR10G00220 transcript:LPERR10G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDSEVSCNDDELDVAGATLQCSDMLGDNQVVSEVTLEELEIEEPPVDETEAAKKEAGISRLMAGYAQHLQQRSAYHLGYPLNLDYDFSLLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLHWFANFWEAQRDQYWGYITSGGTEGNLYGLLVGRELFPDGILYASCDSHYSVFKAAKMYRVKCIKIASTISGEMNYADLKSRLLQNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDGALSGMMMPFMKQAAKVSFKKPIGSISVSGHKFMGCPMPCGVVITRLEHTKVLSTDIEYIASKDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVQICLGNARYLELLLKQVGISASCTLLSNIVVFERPKDEKFVCRWQLACEGNLAHIVVMPNVTFEKITIFVEELAEKRRDWHQDKGFNIPCLAIDIGKENCYCNLHAKKLRIPKM >LPERR10G00230.1 pep chromosome:Lperr_V1.4:10:350788:358335:-1 gene:LPERR10G00230 transcript:LPERR10G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTARRGNPEMVTPARATPRETKSLSDMDDHPGHLVYIPLLEFFRRREAAAAACLRAPEDYDDTAKAVKAALAEALVWYYPIAGRLREIAGGKLVVDCTAEGVAFVEADADVRLEELGVPLLPPFPCVDELLCDAGDIGVVVGKPVIFLQVTRFRCGGFVMGFHISHCIADGFGMIQFMKAIVDIARGQQAPMPLPVWERDLLTARLVAEQPSTNVIYPKLKSVIKNSTSIIDDIMVSAPQQPMVGKYFFFGLNEISALRSHLNNVRNSDDDDSNIGTKTTTRLELITAVIWRCRTVALGYNPGQRVHFLFTANARRHRGDGTLQIPEGYYGNALTYHVAGGVTVNELCCSKNTLSHTVGLIREAKMDTTEEHVRSTVDFLASLRGRRFPADLGFDKTYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRYKLMTDSDGDGDGEEVVVASMMLPKPSMDRFDKELAVWLTMDHGNHQAQVVVMLMFKANRSDPKLVSPASPTPQEIKVLSDVDTQLALRFYATGVEFFCQSHIADGHVEPKDPAKVIKDALAKALMYFYPMAGRIRELSTGKFIVECTGEGVIFVEADVDMQLDKFGNPIIPPYPCVDEFLCDPADTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHIIVDAFGHTQFLKAIADIARGDDHPTVFPMWERELMTARNPPDVTRLQHFITSKLYLGEDSAVQPMLATNDMVGEYFLFGPREIAALQHHAQVQHSSTTFEIITAAMWKCRTVALGHAPDQKASLLITMNARGKWKHDPPLPQGFYGNGFVYLVVETAASDLCKNSLGYAVDLVQKAKLDMTEEFTKSMVDFIALHGGPPYVPGWTFVVSDITRIGEDALDFGWAKRIGGGVPMVGDIKSKQVSYQMRCKNDNDEDCVVASMFLPKLAMEMFAKHIFMLSKEIK >LPERR10G00240.1 pep chromosome:Lperr_V1.4:10:361545:364843:1 gene:LPERR10G00240 transcript:LPERR10G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLIVRRSKPELVAPSRPTPHDTKLLSDLDDLRNHYEYTPILAFFRSAAGANTNSNMPAVIRKALGEALVYFYPMAGRLRELPPSSCRKLKLAVDCTAEGVLFVAAEADLTLADLGDPLLPPFPGSGELVCDDVGDSCIVVVDKPLVFMQVTEFKCGGFSIGMQWNHCMADGFGSNQFLKAIADLARGEPRPLLLPVWERHLLMARAPPSVAAAFPTFKPLIDASYSSTDDVMLTTPLHNMVTRHFIFGRREIAALRRHLSRRHRCTDLQLLAAALWRCRTAALPYLPHRRVRVYIPMNTRGRHVHLPDGYYGNALAYSIADASAGDLCGGELGDAVDLVSEARLRVTEEYVRSTVDLMAASTRAMVFDGVYVVSDLTRLFTGEDMDFGQGREWVVSGMAHPMLASFLVRCRINNDGEDAVAASMVLPPAVMDRFAEELHGLLLITGEHHDINVGRNNITARTSSRM >LPERR10G00260.1 pep chromosome:Lperr_V1.4:10:379411:380670:-1 gene:LPERR10G00260 transcript:LPERR10G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRPSESVTNGVAFADKMIELSSFDKPLVGIETKVLLVFEHPINDPVETIRRSLSRALVDYYPLAARLAVDSNDYIDCGNLDGVTFAAASANCSIREFITTVDNNPPEASTAMLRELAVNYSAKGGCGRADPLLTLQVTVFTCGGFVVGVAWDHGAADGFGMAQFLLAVGELARGLPTPSVVPLRQSPTKLVVPPFTSAVQRFIGGMPSCDVGMVNVTIPSTFINRIKSTGSDVAFTTFEIVTAVLWQCRTRTVMTDPAAPAVLLFSANGRKQLGLDDAYYGNCTTLQMAMESSGQVASADISDVVRMIRRAKEQISDQDRSGELLRTISELGDRRVGYESVVFVTCWRNIGFEDVDFGGGKTARVTTFSQNLLRPFCVICLPCKWEKGAKVLSGCVAAQHSDAFLKEISTLTNNLTD >LPERR10G00270.1 pep chromosome:Lperr_V1.4:10:387545:392856:1 gene:LPERR10G00270 transcript:LPERR10G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIACWIPPPASTLIRIVCAIHPHRIPRRLRLHDPFMRSRRHDPSSRRLRLRHPIPHPRATAVPVSVFDGFHRRTPPSLSVPAVPVPSSPTSILRHTVAQTRHQPPPVSHHPSFDLTPFLRSNSSTGRWLLCSDGSDPMDAGPGGSGHGAGEQGGEEEHPGGRSLEEDVAAHAPVTSGQFYQMYGNHDRFPAVLEDQGVTEQWITEQRENCFLNQRQREEMRATWRSVEETVVAEEMTKEEEIRATRDGDDPGISTNFTTTVEVVLASS >LPERR10G00290.1 pep chromosome:Lperr_V1.4:10:407707:410856:-1 gene:LPERR10G00290 transcript:LPERR10G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEHGELQHGDDADPSAACAVDLGGAGYAAGALGLGTSVARPLGGGGSIGDELERGEVAPTSSSAPPSHARRQPPPAAPRPPSRTSPRRHRPPTRAMAGFAAVPPPLSGWRRTYISLPASPHATVRSSIAFPGGAPTSIRRRRRRRAPRRDPVDAIAFDPHLYTEAQNH >LPERR10G00310.1 pep chromosome:Lperr_V1.4:10:417501:440134:-1 gene:LPERR10G00310 transcript:LPERR10G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEERLLSHHLPPQVTKYCTSISQNYSADAPQFPSLTYTIDLLAPENAAGVEFTECLCFFGVSKNLVTYLTGVLQESNIDAAQSVSIWIGSCFFIPLLVAFLADTYWGRYWTVVNSLFVLVIGMLVLTVSASPLFLNASFYNGDISHATVYIGLYLVALGMGCMMPCIPALGADQFDSANLEEQATKGSFFNWYYFAVNMGSLLSTTVLVWVQDNIGWCVCFAIPMLLLGFGFAMFVTGRKVYRYKKLGGSPLTRVFQVVVAAVRNHKMKLPDDSSLLHELPGVTEGDHRTQYTTQFRFFDKAAILPNENCMVQSSPWRLCTVSQVEELKMLLRMFPLWASLLIFFMVTAQMMSTLIEQGVMMDGHVGRFTVPPASLATFDVISVLIWVPIYDAVLVPLARRVTGKDRGISYLQRIGIGLALSTVAMAYSAQVEAWRLAAAALPMNIMWQAPSYLVLGVAEVFTIIGMMEFFYEQSPESMKSLGAALVQLAIAVGNYLNSAMLSMVAAATTLGSGTGWIPDKLDEGHLDYFFWMMAALSVLNLLLFLHCSTRYRGLILTFHLGCVDEGSQYTSDGTVDINNQPALKYSTGNWRACFFILGVEFSEFLAYFGVAMNLVTYLTSVLHESNINAARSVSTWMGCCYFTPLVGAFLADTYWGRYWTIIIFLSIYIIGMLILTVSASLPLLLHLSYNTGTHHVVVYLGLYLVSLGTGGIKPCASALGADQFDVTDPHERVKKSSFFNWYYFSINIGSLLSATVLIWVQDNIGWVVGFAIPTILMSLGFVVFVAGRRLYRYKKPGESPMIRVSQVVVASVRNRHLKLPDDSSILHELSLPSEASFKIQHTSDFRFFDKAAIVATPSDNKGEVAMQTSQWRLCTVSQVEELKMLLRLCPVWVSLVLFFMVNAQMATTFIEQGMVMNNRIGPFVVPPASLSSFDIASNLILIPMYDAILVPLAKRITGNERGISQLQRLGIGVLLSTIAMVYSSLLESKRLAAAKAGNPMNIMWQVPSYFIFGAAEVFLCIGMIELFYDNSPHSMKSLSSALVQLAVAAGNYLNSALLTIVALVTSQSGAPGWIPSNLDEGHLDYYFWMMAAFSSLNLAQVRTMEGSTLLPLLHGTPSQEEHGPCTGNGSVDVKGNPASKRHTGKWRACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIASWQGNCYLTTLLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTFSAVIPPNLHASLVISPEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDSSEKGQKELFYNWYYFAVNGGFFVASTVIVWVQDNLGWGLGFGIPTLFSVIGIVGFLASMRVYRHQKPGGSALTRICQVVVAAFRKIQVDVPSDSSLLYEMPGKDSSIVGSRKLMHTDGLRFVDRAATITASDKASSGEDAPSPWKLCTVTQVEELKILARMLPIFFTAIIFNTAEACFPLFVEQGGAMDNHIAGGKFALPPASLMTFTCVCILILAPSYNKLITLAGVKRIGGFSELHRIGVGMAFAVLALAAAASVETARLRSPAMSIMWQAAQYGLVGVAKVFSVVGYIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSALLTIVSGWIPEDLNEGHLDRFFWLMAVLQFLNLIAFVCCATRIKQDSKQQEMDQLMPRGILHSRTLPAAGEHALAFFGIQYNLVTFLTTQLHQKNSEAARNYTMWQGTCYIAPLAGAILADSCLGRFRTIVTFFSIYIIGMGTMTLSAASPAAISRSIQPAVFSVGLYLMAIGSGCIKSCVGPFGADQFDGGDAAERVKKSSYFNWFYFAMYVGGLVSATATVYLQDNIGWLIGFAVPSFCTLLAIASFLLGTDVYRYQHPRGSPLVRAFKVLVAAVRKRHVGGEDQQLVLYDDPSAEKRLQHTDQFRFLDKAAAVAVDGGESTCTVTQVEEVKSIVRMLPVWATGIVYCMVLVQQSLFLVQGRSMRRRLTHSFSIPPASLNAIYAVAVLLLVPLYDRAVVPIARRLITGTDRGLSELQRIGAGLLLSVAAMAAAAFVEERRLHAAGEMSIVWQVPQYTLLGASAVFAYVGQLEFFYNQAPDSMRSLCSALGHMTWSLGSYLSTIIVTVVSAVTARGGSPGWIADEINDGHLDRFFWLVAGLSSVNFVVFLFCARRYKDKNQISS >LPERR10G00310.2 pep chromosome:Lperr_V1.4:10:417501:440134:-1 gene:LPERR10G00310 transcript:LPERR10G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEERLLSHHLPPQEQCSQYTCDGTVNIDNEPALMQNTGNWRACFFILGVEFTECLCFFGVSKNLVTYLTGVLQESNIDAAQSVSIWIGSCFFIPLLVAFLADTYWGRYWTVVNSLFVLVIGMLVLTVSASPLFLNASFYNGDISHATVYIGLYLVALGMGCMMPCIPALGADQFDSANLEEQATKGSFFNWYYFAVNMGSLLSTTVLVWVQDNIGWCVCFAIPMLLLGFGFAMFVTGRKVYRYKKLGGSPLTRVFQVVVAAVRNHKMKLPDDSSLLHELPGVTEGDHRTQYTTQFRFFDKAAILPNENCMVQSSPWRLCTVSQVEELKMLLRMFPLWASLLIFFMVTAQMMSTLIEQGVMMDGHVGRFTVPPASLATFDVISVLIWVPIYDAVLVPLARRVTGKDRGISYLQRIGIGLALSTVAMAYSAQVEAWRLAAAALPMNIMWQAPSYLVLGVAEVFTIIGMMEFFYEQSPESMKSLGAALVQLAIAVGNYLNSAMLSMVAAATTLGSGTGWIPDKLDEGHLDYFFWMMAALSVLNLLLFLHCSTRYRGLILTFHLGCVDEGSQYTSDGTVDINNQPALKYSTGNWRACFFILGVEFSEFLAYFGVAMNLVTYLTSVLHESNINAARSVSTWMGCCYFTPLVGAFLADTYWGRYWTIIIFLSIYIIGMLILTVSASLPLLLHLSYNTGTHHVVVYLGLYLVSLGTGGIKPCASALGADQFDVTDPHERVKKSSFFNWYYFSINIGSLLSATVLIWVQDNIGWVVGFAIPTILMSLGFVVFVAGRRLYRYKKPGESPMIRVSQVVVASVRNRHLKLPDDSSILHELSLPSEASFKIQHTSDFRFFDKAAIVATPSDNKGEVAMQTSQWRLCTVSQVEELKMLLRLCPVWVSLVLFFMVNAQMATTFIEQGMVMNNRIGPFVVPPASLSSFDIASNLILIPMYDAILVPLAKRITGNERGISQLQRLGIGVLLSTIAMVYSSLLESKRLAAAKAGNPMNIMWQVPSYFIFGAAEVFLCIGMIELFYDNSPHSMKSLSSALVQLAVAAGNYLNSALLTIVALVTSQSGAPGWIPSNLDEGHLDYYFWMMAAFSSLNLAQVRTMEGSTLLPLLHGTPSQEEHGPCTGNGSVDVKGNPASKRHTGKWRACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIASWQGNCYLTTLLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTFSAVIPPNLHASLVISPEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDSSEKGQKELFYNWYYFAVNGGFFVASTVIVWVQDNLGWGLGFGIPTLFSVIGIVGFLASMRVYRHQKPGGSALTRICQVVVAAFRKIQVDVPSDSSLLYEMPGKDSSIVGSRKLMHTDGLRFVDRAATITASDKASSGEDAPSPWKLCTVTQVEELKILARMLPIFFTAIIFNTAEACFPLFVEQGGAMDNHIAGGKFALPPASLMTFTCVCILILAPSYNKLITLAGVKRIGGFSELHRIGVGMAFAVLALAAAASVETARLRSPAMSIMWQAAQYGLVGVAKVFSVVGYIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSALLTIVSGWIPEDLNEGHLDRFFWLMAVLQFLNLIAFVCCATRIKQDSKQQEMDQLMPRGILHSRTLPAAGEHALAFFGIQYNLVTFLTTQLHQKNSEAARNYTMWQGTCYIAPLAGAILADSCLGRFRTIVTFFSIYIIGMGTMTLSAASPAAISRSIQPAVFSVGLYLMAIGSGCIKSCVGPFGADQFDGGDAAERVKKSSYFNWFYFAMYVGGLVSATATVYLQDNIGWLIGFAVPSFCTLLAIASFLLGTDVYRYQHPRGSPLVRAFKVLVAAVRKRHVGGEDQQLVLYDDPSAEKRLQHTDQFRFLDKAAAVAVDGGESTCTVTQVEEVKSIVRMLPVWATGIVYCMVLVQQSLFLVQGRSMRRRLTHSFSIPPASLNAIYAVAVLLLVPLYDRAVVPIARRLITGTDRGLSELQRIGAGLLLSVAAMAAAAFVEERRLHAAGEMSIVWQVPQYTLLGASAVFAYVGQLEFFYNQAPDSMRSLCSALGHMTWSLGSYLSTIIVTVVSAVTARGGSPGWIADEINDGHLDRFFWLVAGLSSVNFVVFLFCARRYKDKNQISS >LPERR10G00320.1 pep chromosome:Lperr_V1.4:10:451215:452809:-1 gene:LPERR10G00320 transcript:LPERR10G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKQRTGNWRACFFILGLYLFALGTGGIKPNIPAFGADQFDSADPVERVTKGSFFNWYYFSVNMGSLLSATVFVWVQDNIGWFFEKAAILSEENLSAQSSPWRLCTVPQVEEVKMLVRMLPVWASFLIFFMVTAQMSSTLIEQGVAMDGHVGSFTMPPASLATFNIISVLIWVPIYDVVLVPLACRVTGKERGISHLQRIGVGLMLSVVAMVYSALVEA >LPERR10G00330.1 pep chromosome:Lperr_V1.4:10:464168:467451:-1 gene:LPERR10G00330 transcript:LPERR10G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEERAPLLHPHPPLLQEEGDSEYTGDGSVDINNQPALKRSTGNWRACFMILGVEFSENLAFYGISKNLVTYLTKVLHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPLYILGLLTLMLSTSLPTSMTSSEAGHQLHSIAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDTAELRSKGSFFNWYTFMINSGSLLASTVLVWMQDNVGWGISFVIVVVVMAFFLVVFLAGSRVYRYRPVGRSPLTGLSQVVVAAVRKWHLELPEDSSLLHETQVAGHRIKHTDEFRFFDKAAISVVPSASNGDEIIKGGTVSPWRQCTVTQVEELKMVLRMCPIWASLVLFFSVSAQMSSTLVEQAMAMDNRVGSFSVPPASLSTFHSIGVLLWIPVYDTILVPLARRVTGNPKGITQLQRIGVGLALAAVMMAYSALVEERRRARAGDMSILWQVPAHVVHGVAVVFTSIGKSEFFYDQAPRGMRSLCTAIGQLGIAAGNYFSAFLLAVVASATTRGGAPGWIPDDLNKGHLDYFFWLMASLLLLDLAFFVYCARRYKGNMAA >LPERR10G00340.1 pep chromosome:Lperr_V1.4:10:469244:473036:-1 gene:LPERR10G00340 transcript:LPERR10G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSSFCEVTIAATVFQFLVTMTLAKGLIALPGCPESCGGIQVPYPFGIGDKCSYNGFNLTCDDAHQMPKLFMAKENGVVEVLNISLLDGTNSMLNNLSNSLPFTVESVPVVLEWWLDLIRDEALLPLSIGPNTTDFRCLSLNSSTYYNDLNYDRRRCNCSQGYEGNPYIRDGCQDIDECKQPDIYPCHGTCINMPGTYRCFAKISVKSLPGLITIIAVSAGFGLLSSLLCVAKITSKIKRRRTNKLRRKYFKKNHGLLLQQLISSNRDIAERMKIFSLEELDQATNSFDQNRILGGGGHGTVYKGILSDQHVVAIKKSKIVVQREINDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSLHLHGQNENPLIWKDRLRIALETARAIAYLHSAASISVLHRDIKSANILLTDTMTAKVSDFGASRDNRLSDIMDPQIVDEVGAKNAEVVGKLAEACLRLKGEERPTMRQVETTLEDVQRSQVQLKHQIARASNNTLKDQTYKGNKCYEGTRQYSLENEFIQSSEFPR >LPERR10G00340.2 pep chromosome:Lperr_V1.4:10:469244:473036:-1 gene:LPERR10G00340 transcript:LPERR10G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSSFCEVTIAATVFQFLVTMTLAKGLIALPGCPESCGGIQVPYPFGIGDKCSYNGFNLTCDDAHQMPKLFMAKENGVVEVLNISLLDGTPDIYPCHGTCINMPGTYRCFAKISVKSLPGLITIIAVSAGFGLLSSLLCVAKITSKIKRRRTNKLRRKYFKKNHGLLLQQLISSNRDIAERMKIFSLEELDQATNSFDQNRILGGGGHGTVYKGILSDQHVVAIKKSKIVVQREINDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSLHLHGQNENPLIWKDRLRIALETARAIAYLHSAASISVLHRDIKSANILLTDTMTAKVSDFGASRDNRLSDIMDPQIVDEVGAKNAEVVGKLAEACLRLKGEERPTMRQVETTLEDVQRSQVQLKHQIARASNNTLKDQTYKGNKCYEGTRQYSLENEFIQSSEFPR >LPERR10G00350.1 pep chromosome:Lperr_V1.4:10:479995:481612:1 gene:LPERR10G00350 transcript:LPERR10G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSKEQAVRRYLYQIEDIGDIVLVDNSYFFYGMSISLCILVWCDL >LPERR10G00350.2 pep chromosome:Lperr_V1.4:10:479995:481612:1 gene:LPERR10G00350 transcript:LPERR10G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSKEQAVRRYLYQIEDIGDIVLVDNSYFFYGMSISLCILVWCDL >LPERR10G00360.1 pep chromosome:Lperr_V1.4:10:493907:494356:-1 gene:LPERR10G00360 transcript:LPERR10G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNSNDDMRTHVGAPFDWSENDLAILIRRTVGVSALELTQLPPGLIPLCQDPFRPEILQALQVVGDGMGSLSATPGANPVGGDDDYDDADDKVPIGLLGCQGSGRSGPRGPDPKGKRKRPEFDSAPPPSPPRGGGAERVAPNHGSGDP >LPERR10G00370.1 pep chromosome:Lperr_V1.4:10:499849:503633:1 gene:LPERR10G00370 transcript:LPERR10G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSRPPRLAWPIPSPTPSRARINPLPPHAHKSPTPRDRCPNRATAAAAASPRRSSPSRAAAARSSPSHAISAKSSPSRAVVVLPCCRRPPTTTPPPDHISSATTASSPFRQAAVAPPSRIAGSVVPSLERHRQFALLSSQGGTYIQVKGNKHVRQVRHRLHRVAVHFCQLN >LPERR10G00370.2 pep chromosome:Lperr_V1.4:10:499849:503544:1 gene:LPERR10G00370 transcript:LPERR10G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSRPPRLAWPIPSPTPSRARINPLPPHAHKSPTPRDRCPNRATAAAAASPRRSSPSRAAAARSSPSHAISAKSSPSRAVVVLPCCRRPPTTTPPPDHISSATTASSPFRQAAVAPPSRIAGSVVPSLERHRQFALLSSQGGTYIQVKGNKHVRQWLRPCKPMAGF >LPERR10G00380.1 pep chromosome:Lperr_V1.4:10:504820:506619:-1 gene:LPERR10G00380 transcript:LPERR10G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVISKNLVTFLIAVLHESKIDAAKHVSAWVGASFLTPVIGAFLADTYWGRYWTVIVFLLVYIAGMLILTVSVSLPMFSTSSEHGNVNSLLVYVGLYLAAIGSGGIRPCTKSFGADQFDSTDLGEIARKASYFSWSYLVINISSLLSGTVLVWLQDNVGWGVGCAIPTVLVIISFPLFVAGSRVYRFRKQGFSPLKSICQVIVAAVRKCNMQLPENKSLLYEPSSSSAPEGSYKIQHTNQFRCLDKAAISLPDKTCIPPISSWRLCTVTQVEELKMLLRMFPVWVSFVIFNTSSMSPTLVEQGMVMDNYVSSFAIPPASLSTIGVLTVLVMIPIYETISVPLLQRLIRQGNGFSQAPRIGIGIGLSAVTMVYAALLEMKRLAIVQSSGLSDHNVPAPLSILWQAPAYFLHGLSEVFTCIGTAHFFYDQAPDSMKSLCTAIGMLGMSSGAYLNTLILDIVAVATTSSGSPGWIPDNLNEGHLDYFFWTMAALCLINLVMFVLACMRYRGNTAS >LPERR10G00390.1 pep chromosome:Lperr_V1.4:10:519756:522911:-1 gene:LPERR10G00390 transcript:LPERR10G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAIIATVEASLSFVAEAAEAGGLQILAVVKMSRAEKGRADLARVSVAARPPARGAAKRGGGVKEEAGTAWLAADRRQRANTERGRRGDTDGKERTYTARKRQRKNTHDTTHTVREILQMTSGRSSKWQWHCRPWAFSETPGTRRTRSPKSDPPRQQAVERKSKGIVASAVGERARGVAVLARRGRRVRRLGFRGRRGPRRKKTSVGILILTVSASLPMFSTSSENGNGHRSVVYLGLYLAALGSGAMKPCTSSFGDDQFDSTDIEELPKKASFFSTVLTWLQDNVGWGVGFTIPDVLMIISFPIFVAGSRVYRFRKLGFSPSKSLCQVIVAALRKCHLQLPENKSLLYELSSSRSATEGSHTIQHTNQFRFLDKAAIVPPPPHQTTLAWSP >LPERR10G00390.2 pep chromosome:Lperr_V1.4:10:519756:527296:-1 gene:LPERR10G00390 transcript:LPERR10G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding METADEERPLLHLQSQLIDAARRRPREIIRLISSPQGDAEGVVAGPRIVRLIWKDLRLSIGEFKDFRILMQDVGSEYSRDGSVDINKQPALKHSTGNWRACFFILGVEFCVNMAYFAIARNLITFLTTVLHESKVDAARNVSAWVGASFRTPVGILILTVSASLPMFSTSSENGNGHRSVVYLGLYLAALGSGAMKPCTSSFGDDQFDSTDIEELPKKASFFSTVLTWLQDNVGWGVGFTIPDVLMIISFPIFVAGSRVYRFRKLGFSPSKSLCQVIVAALRKCHLQLPENKSLLYELSSSRSATEGSHTIQHTNQFRFLDKAAIVPPPPHQTTLAWSP >LPERR10G00390.3 pep chromosome:Lperr_V1.4:10:521532:522911:-1 gene:LPERR10G00390 transcript:LPERR10G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAIIATVEASLSFVAEAAEAGGLQILAVVKMSRAEKGRADLARVSVAARPPARGAAKRGGGVKEEAGTAWLAADRRQRANTERGRRGDTDGKERTYTARKRQRKNTHDTTHTVREILQMTSGRSSKWQWHCRPWLTHMHGDSVHG >LPERR10G00390.4 pep chromosome:Lperr_V1.4:10:523302:527296:-1 gene:LPERR10G00390 transcript:LPERR10G00390.4 gene_biotype:protein_coding transcript_biotype:protein_coding METADEERPLLHLQSQLIDAARRRPREIIRLISSPQGDAEGVVAGPRIVRLIWKDLRLSIGEFKDFRILMQDVGSEYSRDGSVDINKQPALKHSTGNWRACFFILGVEFCVNMAYFAIARNLITFLTTVLHESKVDAARNVSAWVGASFRTPVVGAFLADTYWGQILRQLLFSFRFTLL >LPERR10G00390.5 pep chromosome:Lperr_V1.4:10:519756:520460:-1 gene:LPERR10G00390 transcript:LPERR10G00390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSENGNGHRSVVYLGLYLAALGSGAMKPCTSSFGDDQFDSTDIEELPKKASFFSTVLTWLQDNVGWGVGFTIPDVLMIISFPIFVAGSRVYRFRKLGFSPSKSLCQVIVAALRKCHLQLPENKSLLYELSSSRSATEGSHTIQHTNQFRFLDKAAIVPPPPHQTTLAWSP >LPERR10G00400.1 pep chromosome:Lperr_V1.4:10:536448:536687:-1 gene:LPERR10G00400 transcript:LPERR10G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLDEYSVSSCGSCMTMVVRDAGGKVCEIVGILPLRKIRDQGSSVSAFERGYGILGYPRVFSEWMMAAKIARYWSRD >LPERR10G00410.1 pep chromosome:Lperr_V1.4:10:544289:550141:1 gene:LPERR10G00410 transcript:LPERR10G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASFWLLAGVLLQAAASAAESVTGRASAAGGCQAKCGEVEIPYPFGIGPGCFRSAGFEIACSNTTTSDGGRLVPTLAATSKDIKVKSLVVDPRPEAKVMLPVAYQCYNSSGNVTGSFDGDVQLNDKGVYRISDTRNKFVILGCNTVAWTQNGNSQGRGLYSSLYYTGCVTYCRDSESARDGKCAGVGCCHVDIPPELTDNVVAFQTWPRGAQVDFSPCDYGFLVARDEYRFLRADLNMERNRTMPVWLDWAIRDTDNNASSVSSCPAPDLKKTMLQSGYACVSVNSECVNSTNGPGYYCKCSDGYEGNPYIDDPNKGCKDIDECVRPRDKYPCYGVCRNTEGDYDCSCRAGYQPSGGGPKKQECSPKFPVAARLALGFMASIRRIASHGRGRCNPPVSVIRGRAATWSRRPCRTVGVVIVLAPLCKRGQELTRRAATEDAVHAAALDCPVLVSAGTRATLRVSVWQRQSRRTGQARLTQTTGPRGARSQRAGCTGRVGDVWCDRVRAGRRTTLGSARDGVYTREEHGRQSATAAAHRENRASAVRSGISLGFSFLIVAILFTTMMLQKRKMNEYFRKNGGSVLQKVDNIIIFSKDDLNKILKNDSEVLGQGGFGKVYKGRLKDNTLVAVKTSTEVDVPILVYEFAANGNLKDILHGDANRRVALTLDLRLDIAIESAEGLRYMHSSISHTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTKIVAGSMGYIDPVFYMTGHLTQKSDVYSFGVVLLELISRKTTVYDKNCSLIIEFQKAYKQANSGMALFDKDIIAIEEDILILEEIGRLALDCLKENIEERPDMKEVTARLMMLRRSRNLTHENYNVSTQRNFEEISFEEVHKSFGDDLSTSSSATLLTQFSSTQEVWNP >LPERR10G00420.1 pep chromosome:Lperr_V1.4:10:551451:552528:-1 gene:LPERR10G00420 transcript:LPERR10G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPASKLPESSSSAPPPAAPASAPPEPVTGVPVMGVFYPAPPTARVAFRVAPAGGAWTTGLCDCDDDCNSCCMACWCPCIPVGQIAEIVDRGTSSCALNAVLYCLVFHVSGGLCQWVYTCAYRARLRASYDLPETPCSDCIATFCCQTCALAQMYRELKNRGLDPSLGWEVNSRRMMTPPQTMEGMTRL >LPERR10G00430.1 pep chromosome:Lperr_V1.4:10:568857:570970:-1 gene:LPERR10G00430 transcript:LPERR10G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQEDEEKKRPLLRLQTSSGKDDDVDSRSSSSSSDGGGWRACLVILGTELSDCLAFAGIARNLVSYLTDVLGESNVAAARDVSAWTGTCFLTPLIGAFMADSYWGRRTTIIVFLSIYTMGMLTLTLSASLSTAHGTTSDAEPIGVVRATGYIGLYLVALGVGGIKPCASPLGADQFDDDSATAPAARVSFFNWYYFSINVGSLLASTVLVWVQDRAGWCLGFAIPTATMAISFAVFVFGLRTARTPSPSGSPITRLCQVLVAAVRNCGVDLPGDSSLLHQLPSERRIIEHTDQFAFLDKAAVVVDGDGNTSAWRVCTVTQVEEVKMLLRLSTVWPTVVFFFAVTAQMSSTFVEQGKAMDTRVAGSFFAVPPATMSTFEVITILLTVPAYDALLVPLARRFTGDRRGRGISQLQRLGVGLAMSALAMAYSSLLEAIRLRRAAVMAATSILWQAPAYVLLGAAEVFTSVGLLEFFYDQAPDSMRSLCTAVSLVAVAAGSYLNSAIVAVVAWATETPEKGGWISDDLNKGRLDCFFWLMAGLSCVNLLAFLFSSMRYKYKEG >LPERR10G00430.2 pep chromosome:Lperr_V1.4:10:568857:570949:-1 gene:LPERR10G00430 transcript:LPERR10G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQEDEEKKRPLLRLQTSSGKDDDVDSRSSSSSSDGGGWRACLVILGTELSDCLAFAGIARNLVSYLTDVLGESNVAAARDVSAWTGTCFLTPLIGAFMADSYWGRRTTIIVFLSIYTMGMLTLTLSASLSTAHGTTSDAEPIGVVRATGYIGLYLVALGVGGIKPCASPLGADQFDDDSATAPAARVSFFNWYYFSINVGSLLASTVLVWVQDRAGWCLGFAIPTATMAISFAVFVFGLRTARTPSPSGSPITRLCQVLVAAVRNCGVDLPGDSSLLHQLPSERRIIEHTDQFAFLDKAAVVVDGDGNTSAWRVCTVTQVEEVKMLLRLSTVWPTVVFFFAVTAQMSSTFVEQGKAMDTRVAGSFFAVPPATMSTFEVITILLTVPAYDALLVPLARRFTGDRRGRGISQLQRLGVGLAMSALAMAYSSLLEAIRLRRAAVMAATSILWQAPAYVLLGAAEVFTSVGLLEFFYDQAPDSMRSLCTAVSLVAVAAGSYLNSAIVAVVAWATETPEKGGWISDDLNKGRLDCFFWLMAGLSCVNLLAFLFSSMRYKYKEG >LPERR10G00440.1 pep chromosome:Lperr_V1.4:10:573679:577161:-1 gene:LPERR10G00440 transcript:LPERR10G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLLLGLALLLLGCGCAAADSHRYRPGDPVPLYANKVGPFHNPSETYRYFDLPFCAPDKVKDKIEALGEVLNGDRLVDAPYKLDFCVDHDARSVCTRKLTKDDVVKFRNAVSKDYYFQMYYDDLPLWGFIGTKPEKADAGDKYYLYRHIIFDIHYNNDRVIEVNVHTDQNSVVDLTEDKEVDVEFLYTAKWKETQTPFEKRMEKYSSSSVMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEEPDDQEETGWKYIHGDVFRFPSNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIMLIWMLVTFPLLVLGGIAGKNSKNDFQAPCRTTKFPREVPPLAWYRKTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYHKRSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRASLLFVRHIYKSIKCE >LPERR10G00450.1 pep chromosome:Lperr_V1.4:10:605688:624775:1 gene:LPERR10G00450 transcript:LPERR10G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSITDKMPASSLLPTMPRIGMGTAAFPFTSSEETAAAMLHAIELGYRHFDTARVYATESCVGEAVAEAVRRGLIASRADVFVTSKLWCSDAHGDRVVAAARETLANLGMDYVDLFVVHWPAASVSPGKYEFPLPKEEISPSFDMEGVWRGMEECHRLGLARHIGVSNFSAEKLQKLLSLAVVWPAVNQVEVNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGTASVMDSGVLQEIAEAKGKTLAQICLRWLYELGDVLLVKTYNENRMKENLDIFDWELTDEEKEKISQLPQQRGLTGLQFVSDNGPYKCVEDLWDAIFLFLNMAASGEAQGVTTEMPEVALSSGKPMPVIGLGTASYPIGSTEPSTVKKAILRRLPPHGHGEAVAEAVNAGLVASRDELYITSKLWISDAHPGHVLPAIKWTLQNLQMEYIDLYLIHCPVSMRVPENPQSALFTKEDLLMMDMEGVWKEMEECQKLGLTKAIGVSNFTCKKLDTLLSFATIPPAANQVELHPYCRQNKLRDFCREKGIQLCAYSPLGARGTAWANNAVMDSPLLKQIALDRGKTVAQVCLRWVYEQGDCIIVKSFNESRLQENIGIFDWELTDADRDSISTIKESRGCLDTFVHESGPYKTIDEFWDGEITGDK >LPERR10G00450.2 pep chromosome:Lperr_V1.4:10:598142:609897:1 gene:LPERR10G00450 transcript:LPERR10G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSITDKMPASSLLPTMPRIGMGTAAFPFTSSEETAAAMLHAIELGYRHFDTARVYATESCVGEAVAEAVRRGLIASRADVFVTSKLWCSDAHGDRVVAAARETLANLGMDYVDLFVVHWPAASVSPGKYEFPLPKEEISPSFDMEGVWRGMEECHRLGLARHIGVSNFSAEKLQKLLSLAVVWPAVNQVEVNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGTASVMDSGVLQEIAEAKGKTLAQICLRWLYELGDVLLVKTYNENRMKENLDIFDWELTDEEKEKISQLPQQRGLTGLQFVSDNGPYKCVEDLWDGA >LPERR10G00450.3 pep chromosome:Lperr_V1.4:10:605688:620361:1 gene:LPERR10G00450 transcript:LPERR10G00450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSITDKMPASSLLPTMPRIGMGTAAFPFTSSEETAAAMLHAIELGYRHFDTARVYATESCVGEAVAEAVRRGLIASRADVFVTSKLWCSDAHGDRVVAAARETLANLGMDYVDLFVVHWPAASVSPGKYEFPLPKEEISPSFDMEGVWRGMEECHRLGLARHIGVSNFSAEKLQKLLSLAVVWPAVNQVEVNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGTASVMDSGVLQEIAEAKGKTLAQICLRWLYELGDVLLVKTYNENRMKENLDIFDWELTDEEKEKISQLPQQRGLTGLQFVSDNGPYKCVEDLWDAIFLFLNMAASGEAQGVTTEMPEVALSSGKPMPVIGLGTASYPIGSTEPSTVKKAILRRLPPHGHGEAVAEAVNAGLVASRDELYITSKLWISDAHPGHVLPAIKWTLQNLQMEYIDLYLIHCPVSMRVPENPQSALFTKEDLLMMDMEGVWKEMEECQKLGLTKAIGVSNFTCKKLDTLLSFATIPPAANQLLLGNLSPYYCLRVRMLPQGCLFLSSVANEG >LPERR10G00450.4 pep chromosome:Lperr_V1.4:10:620661:624775:1 gene:LPERR10G00450 transcript:LPERR10G00450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPCKQAYFLKHMQVELHPYCRQNKLRDFCREKGIQLCAYSPLGARGTAWANNAVMDSPLLKQIALDRGKTVAQVCLRWVYEQGDCIIVKSFNESRLQENIGIFDWELTDADRDSISTIKESRGCLDTFVHESGPYKTIDEFWDGEITGDK >LPERR10G00460.1 pep chromosome:Lperr_V1.4:10:628749:629885:-1 gene:LPERR10G00460 transcript:LPERR10G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLEARQQHTVKKNSISTKQNAPSIPDEILLRLPPKSVLRCRAVCRSWRRVTSDPKFLLDHHLRQPELPLVSSYRIPVPADQLCPSCLDAIHLPSALRRPIYRLPQLHGEIIASCDGLFVIHEYNCPYIYNPTTRQCAPAGISRLKREQFAGFYRHQPSGEYRFLYWKCANIWHEIYCLNYFYVLTVGSNKPRQISCSIAPMDEEIISGMGPRIIGSPVFLHGGLHMHWKKKHHDALGYNRILVFDTVAESFRQLRPPPLNPRNYTQLFAMDGMLAMSTCKEPLMSIDIFMLEDYDHEVWAFRCKIKLPMMEIGCLQLVSAEVVSEQGDILVSCFGWLLHCDNKGNLLSRFHYNDDLPAISRHRIKESLIQHAFFKR >LPERR10G00470.1 pep chromosome:Lperr_V1.4:10:632987:634096:-1 gene:LPERR10G00470 transcript:LPERR10G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFSSNLTDAASGFHLFKINGYSATIATARSDTLRSKRLAIGGYDWEVHYTPSMAGVSNDDDDCWIAFKLVLLTPPRRSDVKASLKCRLHYYYSDQQHLRLLSSIANGGSKTQISHAFKRAGESSGWVPLCRRSSLVAMGIIMEDSFTVQCTITVITDPAHNDYTTTANNNLLSRCSSSGLSHQLDELLRRGTGSDVTLVVSSSGECFAAHKAILASRSPFFMAMFFCADMMEKDLPRVEINDDDMDAAVFRAMLAFIYTALVPELDHQQDGIIMAQHLLAAADRYGLNGLKIMCEDKLRNGATVETVATTLALAEQHGCSRLKASCIDLIAANLDAVMATEGYNHLMESSPLLMNDLLRAVRGRNS >LPERR10G00480.1 pep chromosome:Lperr_V1.4:10:636438:637891:1 gene:LPERR10G00480 transcript:LPERR10G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSSNLTDAACHAHLFNINGYSATIAMARTDSLPSKRLTIGGYDWEVHYSPSIAVESNYWIAFKLVLLTPPRRSNVKAALRCKLVDPFQTQRPGGVVDAKMSHAFKRADESSPWLPLLRRTSLESSNVIIADSFTVECTITVITDNIVTTTATAIGNAANLMPRCSSGIHQSSLNHHLGELLRRGTGSDVTLVVSGKSFAVHKAILASRSQFFMAMFFGQMKEKSMRRIEINDDMDAAVFGAMLGFIYTDLVPELDGNQQQQNGVILAQHLLAAADRYGLDGLKIMCEGKLSNDATVETVATSLALAEQHGCSRLKARCIDLIAANLDAVMATEGYKHLMESSPLVMNDLLRAVRGRNS >LPERR10G00490.1 pep chromosome:Lperr_V1.4:10:639992:643488:-1 gene:LPERR10G00490 transcript:LPERR10G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPIIPVLLCLIFASSVIVPPPSVVAETDAEALLRFKAFIHKDPNGFLSSWKDPSGGPCGSWRGVTCNGDGRVTSVDLAAGGLAGRAEIAALSGIDTLCRLNLSGNGELRGDAVDLVKLPRALLQLDISDAGVAGKLPDGFPACYPNLTDVSLARNNLTGELPSMLLASSANIRSFDLSGNNISGDISGVSLPATLVFLDLSGNRFTGVIPPSLPAGLRTLNLSYNGLAGAIPASIGAVAGLEVLDVSSNHLAGEIPGGIGNACASLRVLRASSNNISGEIPDSLSSCRALRLLDLANNNVSGAITAAVLGNLTALESLLLSNNFISGELPATIANCRKLRIADLSSNKISGDLPAEICSPGAALEELRLPDNLVAGRIPPGLSNCSRLRVVDFSINYLTGPIPPEFGRLRALEKLVMWFNGLDGRIPAEIGQCRNLRTLILNNNFISGEIPVELFNCTGLEWVSLTSNRLTGTIRPEFGRLSRLAVLQLANNSLGGEIPVELGNCSSLMWLDLNSNRLTGEIPRRLGRRLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLVEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTQYQMTLEYLDLSYNSLDGEIPEELGDMAVLQVLDLARNNLTGDIPASLGRLRNLGVFDVSRNRLKGSIPESFSNLSFLVQIDVSDNDLSGEIPQRGQLSTLPATQYAGNPGLCGMPLLPCSDRLPITATTMSSGLAAASPPRRAVGATWANGVILAVLVAAGLSIAAAIWAVAARARRREVRDAMMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMPHGSLEDTLHCSPETKKTTPMSWETRKKVARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDGEMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGDGKEVLDPDLLVHVIDDGDGDGETRKSEMARFLDMALQCVDDFPSKRPNMLQVVAMLRELDAPTVVVAAGDDSNGGDVGLAV >LPERR10G00500.1 pep chromosome:Lperr_V1.4:10:647374:651330:-1 gene:LPERR10G00500 transcript:LPERR10G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGNITLRGWGGFYEPPPPPPRNLGLQLMSSVPADRDTKQLLSASPFMHHHAHQHVPHHQHHARECGGAGGNGGAPNGGMPPTEAPSMNMNFVRNDMWMHPQHHSRETKVLHTLNVGHGGHIAHSAHHDPVGYGMIPGTHSGHTLQMMQQPESQPQPQPPPPPKEECISSPLIEENVPVISEPPPPKKRQQGRQPKVPRPKKPKKPAAPREDGAPNPPATRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGSPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISITK >LPERR10G00510.1 pep chromosome:Lperr_V1.4:10:659741:665486:-1 gene:LPERR10G00510 transcript:LPERR10G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGNITLRGWGGFYEPPPPPPRNLGLQLMSSVPADRDTKQLLSASPFMHHHAHQHVPHHQHHARECGGAGGNGGAPNGGMPPTEAPSMNMNFVRNDMWMHPQHHSRETKVLHTLNVGHGGHIVHSAHHDPVGYGMIPGTHSGHTLQMMQQPEPQPQPQPPPPPKEECISSPLIEENVPVISEPPPPKKRRQGRQPKVPRPKKPKKPATPREDGAPNPPAPRRWGPRKNIGMVINGIDLDLSRIPTPVCSCTGSPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRLHYKGFSSKSKATVTINGKQPGSNVTLPPVSVGAYIKNLRKEKKKESAEEAVFPCVLKIMPNCVFNKDPIVLGVHVLEGIAKIIGSNPDEQQKSFGRHFEMEDELDDLSMDD >LPERR10G00510.2 pep chromosome:Lperr_V1.4:10:659743:662190:-1 gene:LPERR10G00510 transcript:LPERR10G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEVRLRNLLVRLQGFSSKSKATVTINGKQPGSNVTLPPVSVGAYIKNLRKEKKKESAEEAVFPCVLKIMPNCVFNKDPIVLGVHVLEGIAKIIGSNPDEQQKSFGRHFEMEDELDDLSMDD >LPERR10G00510.3 pep chromosome:Lperr_V1.4:10:662837:665486:-1 gene:LPERR10G00510 transcript:LPERR10G00510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGNITLRGWGGFYEPPPPPPRNLGLQLMSSVPADRDTKQLLSASPFMHHHAHQHVPHHQHHARECGGAGGNGGAPNGGMPPTEAPSMNMNFVRNDMWMHPQHHSRETKVLHTLNVGHGGHIVHSAHHDPVGYGMIPGTHSGHTLQMMQQPEPQPQPQPPPPPKEECISSPLIEENVPVISEPPPPKKRRQGRQPKVPRPKKPKKPATPREDGAPNPPAPRRWGPRKNIGMVINGIDLDLSRIPTPVCSCTGSPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRST >LPERR10G00520.1 pep chromosome:Lperr_V1.4:10:670753:671304:-1 gene:LPERR10G00520 transcript:LPERR10G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKGDYRLYMRNIASVTAHMRSRSQVAYEKIAAMILHGNRSGDGVHTRSRVQVLYKGSIASATAVHTR >LPERR10G00530.1 pep chromosome:Lperr_V1.4:10:678090:678666:-1 gene:LPERR10G00530 transcript:LPERR10G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEPPPTLPQKMVELMPSSSVHHINQRLRMVVGKSRTALALPRRPETMMIPPPPAKHARVRFFQGKSRDANLPSG >LPERR10G00540.1 pep chromosome:Lperr_V1.4:10:683875:688621:-1 gene:LPERR10G00540 transcript:LPERR10G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGSSGMMRGNPDAGGMQSRSNKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLEFKPPVEKRKLPAYTGMSQFVSHFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLEQGAAKVAEELEKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRHRIYAKTNNNCKLKSTIFLSQSRLVSGKTVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTSSMWADQDRRSLREMIAVQIETGKSPMKGHGKEIVKREPVNAPMTVLVSVIQEKIDTITGIGIGLGKGNEERTGIGKENMVVTVTVIVVIEIGTGIVVGIMTGTVTAVMIAIVREAGTAREIMSVQVMNGTAATCMREMLIMPTEYGYNQYEQHKSHDAYETERSKRHEHEYYQMQPMNTEPEGPEEGEAYEEGDYQYQQADEHNN >LPERR10G00540.2 pep chromosome:Lperr_V1.4:10:683877:688621:-1 gene:LPERR10G00540 transcript:LPERR10G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGSSGMMRGNPDAGGMQSRSNKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLEFKPPVEKRKLPAYTGMSQFVSHFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLEQGAAKVAEELEKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRHRIYAKTNNNCKLKSTIFLSQSRLVSGKTVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTSSMWADQDRRSLREMIAVQIETGKSPMKGHGKEIVKREPVNAPMTVLVSVIQEKIDTITGIGIGLGKGNEERTGIGKENMVVTVTVIVVIEIGTGIVVGIMTGTVTAVMIAIVREAGTAREIMSVQVMNGTAATCMREMLIMPTEYGYNQYEQHKSHDAYETERSKRHEHEYYQMQPMNTEPEGPEEGEAYEEGDYQYQQADEHNN >LPERR10G00540.3 pep chromosome:Lperr_V1.4:10:683875:688621:-1 gene:LPERR10G00540 transcript:LPERR10G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGSSGMMRGNPDAGGMQSRSNKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLEFKPPVEKRKLPAYTGMSQFVSHFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLEQGAAKVAEELEKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTSSMWADQDRRSLREMIAVQIETGKSPMKGHGKEIVKREPVNAPMTVLVSVIQEKIDTITGIGIGLGKGNEERTGIGKENMVVTVTVIVVIEIGTGIVVGIMTGTVTAVMIAIVREAGTAREIMSVQVMNGTAATCMREMLIMPTEYGYNQYEQHKSHDAYETERSKRHEHEYYQMQPMNTEPEGPEEGEAYEEGDYQYQQADEHNN >LPERR10G00540.4 pep chromosome:Lperr_V1.4:10:683877:688621:-1 gene:LPERR10G00540 transcript:LPERR10G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGSSGMMRGNPDAGGMQSRSNKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLEFKPPVEKRKLPAYTGMSQFVSHFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLEQGAAKVAEELEKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTSSMWADQDRRSLREMIAVQIETGKSPMKGHGKEIVKREPVNAPMTVLVSVIQEKIDTITGIGIGLGKGNEERTGIGKENMVVTVTVIVVIEIGTGIVVGIMTGTVTAVMIAIVREAGTAREIMSVQVMNGTAATCMREMLIMPTEYGYNQYEQHKSHDAYETERSKRHEHEYYQMQPMNTEPEGPEEGEAYEEGDYQYQQADEHNN >LPERR10G00550.1 pep chromosome:Lperr_V1.4:10:718436:720413:-1 gene:LPERR10G00550 transcript:LPERR10G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYINCKPAYKFRLGNNDPFEYLPEDVLCIILSKLPLKEQVRASAVSRKWRCLWTVCPKLNFDSIAMYDKNNYGRQLLIQKFIDSVNAILAQFHGRVVEELSIKCDFDTILIDHLDSWVSFAVMSWTKFLSLDLTRPYLEVFCDKYIFPFKLLDSGIITRLQKLQLGRVSIGLPTQFGGFPNLRRLDLKFVDVNVTDLQDMLSKCCKLEWLNIMRCHLSDGLKVNSPLPCQIYLSVEYSQITEITFNAVKLKTFKYKGKPVPINLIQSSELEIVDIFFSKDTLVHISTLLCNVLINVQKLTFTASCEQQPEPIFGFFSEIGTFYRKVSTAFWFLLSPPPPVQEPIKRLPECPFNYLKRLYFGGYNGSNGQVEFLIHMVENAPALEALTLKQDGLFDSDVHSAARRYLDGKISPQCAIRYL >LPERR10G00560.1 pep chromosome:Lperr_V1.4:10:720439:721924:-1 gene:LPERR10G00560 transcript:LPERR10G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILDLMQPRNALEHSHPNETHHGGITTDHKFEAFDEKGIADVRSQLWSTISRRAMSELRRRRRVYGKGISNHQCQLFCILIFRTISECICYKAIYEKGITDVPFQLWSILFCRAMSEYMCRKLNYEKGISDCHF >LPERR10G00570.1 pep chromosome:Lperr_V1.4:10:726372:730979:-1 gene:LPERR10G00570 transcript:LPERR10G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVGEGSVVRRVVRPETQYKPLRAEQNEEKARLLSKMEALYADACGRVGAVHGRFVTVARLVDAGVCIGLLDPVSNIVANTLATTDLSPDTTCPFPLHSLEDQLPDLGRRSLDGLTGFLLSSSPTSPPGRPSATCSAPTPTSSSPRASSWRTAPWSISQSPPPHRAFEEALTLAARMAGHPDPHRLLRLWASLSTRLPEALNKMSELQGFHRRQTIVYQFRDWLLQKSPAAAAAACGDPPPPPAVVVVDLAQSWDIAASRPGCSGSRITNTMFSYQHIRCLKMVLLNTIHGFYLRALARLPRRELRHRFHRSLPKAGSCYGPMDPVSNIEPMYQLHIICGLNEFVCGPEYCHDKDDALSVSPCKYRYTHVNFLVTRKDFLSAGKRPILFFAEFDNEEEEVAPLLCCCVDAPTPFAEHVRCLYCEAQGAKIVHPCLEKFHGGDEDFEEVIRGKRYFTNARLICKSEFFVQRLGGNEEDFMYVNTRQIT >LPERR10G00580.1 pep chromosome:Lperr_V1.4:10:735541:738366:1 gene:LPERR10G00580 transcript:LPERR10G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGQPRQRRTRRRDLSREGQGEGEDKYVYRSSTGEDPSSDEDGDLPLVEDGNDEDDGDESLVEDGDEDYDGDEDDSGDDDDEEEEETEDEFVDYSSSSSEEEGVDKIIINITDITIEDEETRGSSSFNTDTPRPKPSKQTQTEPLP >LPERR10G00590.1 pep chromosome:Lperr_V1.4:10:761764:764334:-1 gene:LPERR10G00590 transcript:LPERR10G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSKGRRVVRPETRYRPLREEIDEERAHLLSKIQSFYAQARDRLAGVGVGAGGICVGLLDPVSNIVIANNLPDDDEPVEEEELARRSLEGLVAFLLYFFPYLAAWDAVRYLLLADADLLVAARLVVSSRGMAPAFSIASAASTNAFRPALRLAAHVAGHPHPERLVRRWMSSDNLVLEQSWRLAASREQVSDAPCINNTWSLMLVHLDAIRVFYLRALARLPPCELRTRYHEVMVKAGHCYGPMDPVSNIIINTVWYHAAFPSAALPPVLDMIGPRILTRVESRSMYGLISFLQTRHHHLSQHQIVQSIVAASGDLSKIAADSCDCDAGVQEAYEAAAMAAWHPEPEAQAAFLATCKAKLQESPAAMSLLLHNTDRVLSPEDVRFLAGVLLADQMPSPQPVVKKSFWPVLRGKMRSMAEQNKTSAKVRAALNQQCFLQDDGEPMYELHVICGANYSVGVTELCADEDDFLSLTPCKFKYMHVNFLATEKGASSQSPLLFFAEFLNISAEGEQPVLCCKVDMPLPYAEHVRCMYCENRGAKVVHPAFEKFHGGDKEFEEVRLGGHDEDFMGNMMSMGRVGCGRSKNMPTNINERHEVKYR >LPERR10G00600.1 pep chromosome:Lperr_V1.4:10:764785:771889:-1 gene:LPERR10G00600 transcript:LPERR10G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATRGEARQGLLADGAPPNPTAYYGKPPRGQSLEQHSCPCERYQFCGSFKFCLGEIKANGKTVVGEVQDQGELSQCVVYSYAKAVEIIERVSKVAEGTDPDLVDCIDPMDLHNKFDEKFPEVLSVDCLTRDFGLHRVLHTGLILRSEGAIKLESGKRYIASDVSTIPRDDFERICHNLAEGIPLIATYIAGERRSHLRYCQIYKAPPQFGPDGKRLEQLGHAVVLIGAGMKRGRRFFYFMSSWGEKFCPRKNKQGEIVTSGIGKLRETDLTKNVVRLSLPSETGQYGLRALITKPNRYDSICTKPPNPDDEMGTKMDELEQSVNDLKAEMGTEVPTKKVDEAKPADST >LPERR10G00610.1 pep chromosome:Lperr_V1.4:10:776106:776426:1 gene:LPERR10G00610 transcript:LPERR10G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDRRSSQIQMYSPSMQLQMETELAPMRSDCSPELSGLSAGPTRRANPSPPQAYSSFLPAVPDPICCWMRVAPALAKQTHCAAQSSPTTSRSPDWKERRKWRGED >LPERR10G00620.1 pep chromosome:Lperr_V1.4:10:776604:780405:1 gene:LPERR10G00620 transcript:LPERR10G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILDLLQPRNALVHSHPNETHHGGITDDQVEAFYEKGITDVRSQLWSTISRRAMSELIRRKRVYGKGISNYQCQLFCILIFRTISECICYKAIYEKGITDVPFQLWSILFCRAISEHICRKLNYQNGISDRHFRLCTFLICGVMSDYINCKPAYKFRLGNNDPFEYLPEDVLCIILPKLQLKELFHGRVVEELSIRCEFATILVDHLDSWVTEITFNAVKLTTFIYKGRPVPIDLIQSSELEIAEIFFGNNDTLVPTSTLLRNVLTNAQKLSFAASCIQPEVPFLTDNACKFSQLKHMGLVLLYFDDLHSLSLVSFLRSAPFIEKLDLRFSASSPPPAVQEPVKRLPECPFNYLKRLYIDGYKGSNGQVEFLVHMVENASALEILTLKQIVQDALFDYDIYRAARKYLDGKISPKCAIRCLQ >LPERR10G00630.1 pep chromosome:Lperr_V1.4:10:789360:794230:1 gene:LPERR10G00630 transcript:LPERR10G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLGVGARKEIKSKTMSGKVGSLRRVVTPERRYMPTEQEQDDERTLLLSKMQSFYAQARDRLVDVGGGTGGICIGLLDPVSNITANALLLLDVPVASVDDADELARRSLDGLVAFMLYFFPYLADWDAVRHLLLADADLLVTMRLIVATRGMTPAFSITSAASSSAFRPALRLAAHVAGHRQPERLVRFWMSLSSPSRLHQAANMLKNLDNIQTFLAAADDDDDQPAPDLEQSWCLAATRQPAGINIISALPVNTWSLMVVFLDTIRGFYLRALARLPSGERRTRYHEVMVKASHCYGPMDPVSNIIINTVWYHAAFPSAAGPPVLGMIGPRILTRIESRSMYGLISFLQTRHHHLSQHQILQCLVASCGDLSEADHNLTDAMETDDDDKAKQQEAYEAAAMAAWHPNPEAQAAFLFTCKDKLQESPAAMSLLLHNIDRVLSPDDVRFLAGVLLADQKPCPQPVCKKNSWPVSDGKTRSMIQQRRISGMVKAALNQGHFLQDGEPMYKLHVICGANDSVCGPDYCSKEEDCLSFAPCEYRYTHVNFLATPTSSSSSPPVLFFAEFDNKSAEGEPPVLCCKLNMPLPSAENVRCLYCEVEGAKVLHPALEKFHGGNREVEEVIREKHSLKNDEIISLNKYALSRLCAHDEDFVYVDVCLYCEAQEAKIVHPCLQKFHGEEIIQGKRSFTNTHLICKSEFFVQRLGGNEEDFMYVYTRLII >LPERR10G00640.1 pep chromosome:Lperr_V1.4:10:797194:800736:1 gene:LPERR10G00640 transcript:LPERR10G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSASIVTAMAVALLFLAAMASSELTHLEHPVVDGEPLRLLVVGDWGRKGGYNQSRVAQQMGKVAEEVDIDFVVSTGDNFLEDGLASVDDMGFHESFMDVYTAKSLQKPWYLVLGNHDYRGDVLAQIDPALRKIDSRFICMRSFILSAGIVDFFFVDTTPFQIKYWTDPGEDHYDWRGVAPRDAYITNLLKDVDAAMKKSTARWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENDVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGIFRQNDDKLEFFYDGQGFLSLELNEKEAHIIFYDVFGHALYNWSLSKANLHKVQSSARVAEE >LPERR10G00640.2 pep chromosome:Lperr_V1.4:10:797194:800734:1 gene:LPERR10G00640 transcript:LPERR10G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSASIVTAMAVALLFLAAMASSELTHLEHPVVDGEPLRLLVVGDWGRKGGYNQSRVAQQMGKVAEEVDIDFVVSTGDNFLEDGLASVDDMGFHESFMDVYTAKSLQKPWYLVLGNHDYRGDVLAQIDPALRKIDSRFICMRSFILSAGIVDFFFVDTTPFQIKYWTDPGEDHYDWRGVAPRDAYITNLLKDVDAAMKKSTARWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENDVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGIFRQNDDKLEFFYDGQGFLSLELNEKEAHIIFYDVFGHALYNWSLSKANLHKVQSSARVAEE >LPERR10G00650.1 pep chromosome:Lperr_V1.4:10:799853:803597:-1 gene:LPERR10G00650 transcript:LPERR10G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGPGWLLQAAPQPQPVVDGGFVAWLRGEFAAANAIIDLLLAHIRETAAAAEFDPVAAAIQRRRHHWSPVVHLQHYFPVSEVAIALQHASAARQRGEPHPPLPPPRRHGANATSSCSAGAEGDSAASGSGAVTVKEVEKLAQPSQNSQLDSHISHSTQAQPQKGVHVNSNVVPVPTGFAVNEVIDGRMVNVLEGLKLYKGLVDVTEIGKIVCFANEAKNMRREAGLEGQTFVVAKRPMKGHGREIIQLGLPITVGPPEDEHLRDVKVDPIPVVLKDLFDRLVHQKVVPSSPDYCVIDFFGEGDYSHPHHHPPWYGRPIFTLCLTDCDMVFGHVISGDSRGDHVGPLKLSLTTGSVLVSEGKSADIAKRALPATSKQRVLLSFGKSVSRKHVQSESSLLISPPLTPPPMSWGAPLRPGNIAIHPSSPKQLLYSPSNRVPAVSTLGLHHIPSNGIQTVFVAPAPVTPKAVPFATGVTLPSTTVTWMAEAAPRPASPRLPFQGTGVFLPHGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARLHKANGSVSSKPTRKDDTADPKPKCNGSSDDKATGGMEERNIVSK >LPERR10G00650.2 pep chromosome:Lperr_V1.4:10:799853:803597:-1 gene:LPERR10G00650 transcript:LPERR10G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGPGWLLQAAPQPQPVVDGGFVAWLRGEFAAANAIIDLLLAHIRETAAAAEFDPVAAAIQRRRHHWSPVVHLQHYFPVSEVAIALQHASAARQRGEPHPPLPPPRRHGANATSSCSAGAEGDSAASGSGAVTVKEVEKLAQPSQNSQLDSHISHSTQAQPQKEGLKLYKGLVDVTEIGKIVCFANEAKNMRREAGLEGQTFVVAKRPMKGHGREIIQLGLPITVGPPEDEHLRDVKVDPIPVVLKDLFDRLVHQKVVPSSPDYCVIDFFGEGDYSHPHHHPPWYGRPIFTLCLTDCDMVFGHVISGDSRGDHVGPLKLSLTTGSVLVSEGKSADIAKRALPATSKQRVLLSFGKSVSRKHVQSESSLLISPPLTPPPMSWGAPLRPGNIAIHPSSPKQLLYSPSNRVPAVSTLGLHHIPSNGIQTVFVAPAPVTPKAVPFATGVTLPSTTVTWMAEAAPRPASPRLPFQGTGVFLPHGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARLHKANGSVSSKPTRKDDTADPKPKCNGSSDDKATGGMEERNIVSK >LPERR10G00660.1 pep chromosome:Lperr_V1.4:10:806635:810178:-1 gene:LPERR10G00660 transcript:LPERR10G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVLLLLLLSPLVQVSAAGVSEEEEEEAARLPRPPAVSFREGYTQLFGDSNLALHGHGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPADYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERYGLWFDPTEDFHRYAILWSHDRIVFYIDETPIREVQRTKSLGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFIAEFSELMLNGCAIDTLTRAPTCTPDNAKIHNAMAMSGKQRSAMERYRMKYMTYGYCYDRLRYPTPLSECNIGSEAELFLPTGEARSMDRRRRVRRHRRGPADSAF >LPERR10G00680.1 pep chromosome:Lperr_V1.4:10:834743:841294:1 gene:LPERR10G00680 transcript:LPERR10G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTPPAPPDQPSAPHPPPPPVETAWARALRKLLPAGAPLPNEEQLDYSFSVDLADTAEEADRPLPRASADGPPLLPLARHRRRISRLLRRPSPPPRSRRRSPPHQRVPSPSPPSSSPDATSPASSPPRCSSSSPPPPPQPAPSATASSKWRGACARCGKGGIGMGIIGEREECLACGARYCGGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRAERGCAANQVRPEEIVVNGRGLSQAELDLLLGCAVPPERLAAGRFWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTSLYSSRFVPEYLEQKQVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEDRGFGNLSDGEPKLAPSKSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDKVVEISSNEYEPTETDILYAEGVNQWNGLSILEFSLDDRGRHSDSFADKVDNPTIKTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFDDTPCVLLLNKYDAFEEKISRVPLTVCSWFSDFSPVRPHHISQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGFGSADESSYSVDMTSPS >LPERR10G00690.1 pep chromosome:Lperr_V1.4:10:851462:861026:-1 gene:LPERR10G00690 transcript:LPERR10G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEAAVVVSQPQKTLPVPTAGPSLLPQQEVTQSQGISNNNAFKHASSGSGFQPFVRSKDSQITKEPIKAEDILNASVATTSMVTNHSSDGVAKVIEPSNQVSQAVEREEGEWSDADIASDTAGSSASNKEESAGTATTQVKRDLQESEPTAVKSGDMIKDDTAAEPSDTEMTDVPKESVVRDPTGLESMKNLENKGNQPGDDSDLSNKSRDVRGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKASTPRRQTSFPAPIVTRTVKEAFRSAGGIAERAAEKPSQPATRDQRQSEMLGSERSNSADPVDQISESNGDAEMGSQGRSKKMNADEAPQDGYQQSMPRQLKGRHLSSQRSVVTGQITADQKPSNKRSLVSKKQIPANNMQYQDSSVERLIREVTSDKFWHNPEEAELQCVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESASRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAILSFPRPGSASQSSRSNRRNAGSNEDTESECGRLVGTVRRHTPIDTRDPMGAIIHFHLGDLFDSSSIETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQSAILQPSPDHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNASNSLEAVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIGLLQRELTMVAAAGRSQGSVGVDPDVLAQRDRNRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDVSHGRESHRGGSSSYQNVHEAQFVLRLYEYLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWASLIGDAKARKCFMDLDSIPKDFLAMKISNTPGRNSSNNIRNMRTGGPRQRHLEMLPDPRISMRPDEDERSSSVPRNGSYRNLDDLGRPVDRSRDNLPFGMPRRPNSSNGSRREVRGQPWMDNVASFKKMCTCSAVDGTDAGSLFIFGSSLDAGCVMVVICVSEAWIGSETLFLLPSRPEDKSKHSQTQQQSKLQHPSVAVCGDCRVSAGKLDGGSRRRSRKNTTMPVKNSASFRLMALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWEAPHLVYTAHPLFMVIGLIICTGEAIMAYRIILGPREVKKAVHALLHLVALAFAAVGLYASFKADYAPWHIFFGIVIFLMAVCTAETGLAKFIFPFNHFPKEAFVVNFTGLAILMFSVAVVLAAILPSRY >LPERR10G00690.2 pep chromosome:Lperr_V1.4:10:853627:863746:-1 gene:LPERR10G00690 transcript:LPERR10G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNHSSDGVAKVIEPSNQVSQAVEREEGEWSDADIASDTAGSSASNKEESAGTATTQVKRDLQESEPTAVKSGDMIKDDTAAEPSDTEMTDVPKESVVRDPTGLESMKNLENKGNQPGDDSDLSNKSRDVRGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKASTPRRQTSFPAPIVTRTVKEAFRSAGGIAERAAEKPSQPATRDQRQSEMLGSERSNSADPVDQISESNGDAEMGSQGRSKKMNADEAPQDGYQQSMPRQLKGRHLSSQRSVVTGQITADQKPSNKRSLVSKKQIPANNMQYQDSSVERLIREVTSDKFWHNPEEAELQCVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESASRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAILSFPRPGSASQSSRSNRRNAGSNEDTESECGRLVGTVRRHTPIDTRDPMGAIIHFHLGDLFDSSSIETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQSAILQPSPDHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNASNSLEAVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIGLLQRELTMVAAAGRSQGSVGVDPDVLAQRDRNRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDVSHGRESHRGGSSSYQNVHEAQFVLRLYEYLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWASLIGDAKARKCFMDLDSIPKDFLAMKISNTPGRNSSNNIRNMRTGGPRQRHLEMLPDPRISMRPDEDERSSSVPRNGSYRNLDDLGRPVDRSRDNLPFGMPRRPNSSNDPDVWRMLVRSSSLVAAWMLVVLWLSSASQKHGLGLRLYVLLEGKKWGFEVVDQFGWR >LPERR10G00690.3 pep chromosome:Lperr_V1.4:10:853629:861026:-1 gene:LPERR10G00690 transcript:LPERR10G00690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEAAVVVSQPQKTLPVPTAGPSLLPQQEVTQSQGISNNNAFKHASSGSGFQPFVRSKDSQITKEPIKAEDILNASVATTSMVTNHSSDGVAKVIEPSNQVSQAVEREEGEWSDADIASDTAGSSASNKEESAGTATTQVKRDLQESEPTAVKSGDMIKDDTAAEPSDTEMTDVPKESVVRDPTGLESMKNLENKGNQPGDDSDLSNKSRDVRGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKASTPRRQTSFPAPIVTRTVKEAFRSAGGIAERAAEKPSQPATRDQRQSEMLGSERSNSADPVDQISESNGDAEMGSQGRSKKMNADEAPQDGYQQSMPRQLKGRHLSSQRSVVTGQITADQKPSNKRSLVSKKQIPANNMQYQDSSVERLIREVTSDKFWHNPEEAELQCVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESASRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAILSFPRPGSASQSSRSNRRNAGSNEDTESECGRLVGTVRRHTPIDTRDPMGAIIHFHLGDLFDSSSIETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQSAILQPSPDHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNASNSLEAVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIGLLQRELTMVAAAGRSQGSVGVDPDVLAQRDRNRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDVSHGRESHRGGSSSYQNVHEAQFVLRLYEYLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWASLIGDAKARKCFMDLDSIPKDFLAMKISNTPGRNSSNNIRNMRTGGPRQRHLEMLPDPRISMRPDEDERSSSVPRNGSYRNLDDLGRPVDRSRDNLPFGMPRRPNSSNDPDVWRMLVRSSSLVAAWMLVVLWLSSASQKHGLGLRLYVLLEGKKWGFEVVDQFGWR >LPERR10G00690.4 pep chromosome:Lperr_V1.4:10:855140:861026:-1 gene:LPERR10G00690 transcript:LPERR10G00690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEAAVVVSQPQKTLPVPTAGPSLLPQQEVTQSQGISNNNAFKHASSGSGFQPFVRSKDSQITKEPIKAEDILNASVATTSMVTNHSSDGVAKVIEPSNQVSQAVEREEGEWSDADIASDTAGSSASNKEESAGTATTQVKRDLQESEPTAVKSGDMIKDDTAAEPSDTEMTDVPKESVVRDPTGLESMKNLENKGNQPGDDSDLSNKSRDVRGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKASTPRRQTSFPAPIVTRTVKEAFRSAGGIAERAAEKPSQPATRDQRQSEMLGSERSNSADPVDQISESNGDAEMGSQGRSKKMNADEAPQDGYQQSMPRQLKGRHLSSQRSVVTGQITADQKPSNKRSLVSKKQIPANNMQYQDSSVERLIREVTSDKFWHNPEEAELQCVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESASRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAILSFPRPGSASQSSRSNRRNAGSNEDTESECGRLVGTVRRHTPIDTRDPMGAIIHFHLGDLFDSSSIETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQSAILQPSPDHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNASNSLEAVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIGLLQRELTMVAAAGRSQGSVGVDPDVLAQRDRNRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDVSHGRESHRGGSSSYQNVHEAQFVLRLYEYLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWASLIGDAKARKCFMDLDSIPKDFLAMKISNTPGRNSSNNIRNMRTGGPRQRHLEMLPDPRISMRPDEDERSSSVPRNGSYRNLDDLGRPVDRSRDNLPFGMPRRPNSSNGSRREV >LPERR10G00690.5 pep chromosome:Lperr_V1.4:10:851462:852797:-1 gene:LPERR10G00690 transcript:LPERR10G00690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKNSASFRLMALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWEAPHLVYTAHPLFMVIGLIICTGEAIMAYRIILGPREVKKAVHALLHLVALAFAAVGLYASFKADYAPWHIFFGIVIFLMAVCTAETGLAKFIFPFNHFPKEAFVVNFTGLAILMFSVAVVLAAILPSRY >LPERR10G00700.1 pep chromosome:Lperr_V1.4:10:889176:900260:1 gene:LPERR10G00700 transcript:LPERR10G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYLTGILTELHEEHSHSSFLGINFRDGDKRSQFADVLHEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIYKKLHSAEHKTLDLIYREAPKGFLHLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIDKNAGMSNMIFSMSKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHIDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDRYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTSSMGNVLVNTSSPPPPPCGSLSTEENQLPSEVQHEPPNNRKLLPPSPTAAVPPMQPPPPPSSGNKPVFSPPPPPPPPPPLPRSNNAFSESPPPPPPPPLPNRSVPPPPPPPPXXPPPPPPLPNHSASPLPPPPPPPPSLPNHSACPPPPPPPPPALGIGNKFPAPPPPPPPPRPSSTTPTGAATSSKGPPPPPPPPPPANRTNGPGAPSAPPPPPPPPPVNRSNGPSAPAPPPPPPLPAAANQRNPPAPPPPPPMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGGIGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDMSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKDVLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARSAELEKKKLEKDKEKASLSAKKVLE >LPERR10G00700.2 pep chromosome:Lperr_V1.4:10:889176:900260:1 gene:LPERR10G00700 transcript:LPERR10G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYLTGILTELHEEHSHSSFLGINFRDGDKRSQFADVLHEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIYKKLHSAEHKTLDLIYREAPKGFLHLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIDKNAGMSNMIFSMSKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHIDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDRYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTSSMGNVLVNTSSPPPPPCGSLSTEENQLPSEVQHEPPNNRKLLPPSPTAAVPPMQPPPPPSSGNKPVFSPPPPPPPPPPLPRSNNAFSESPPPPPPPPLPNRSVPPPPPPPPXXPPPPPPLPNHSASPLPPPPPPPPSLPNHSACPPPPPPPPPALGIGNKFPAPPPPPPPPRPSSTTPTGAATSSKGPPPPPPPPPPANRTNGPGAPSAPPPPPPPPPVNRSNGPSAPAPPPPPPLPAAANQRNPPAPPPPPPMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGGIGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDMSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKDVLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVVSPYLKNVFTKFFVFGKILTALVFQGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARSAELEKKKLEKDKEKASLSAKKVLE >LPERR10G00710.1 pep chromosome:Lperr_V1.4:10:903290:903748:1 gene:LPERR10G00710 transcript:LPERR10G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEHQRLHLSNSIIPHLQWLMGGYDKLERRPSDAMVPYLPDEYEPYEWLVDMDENDDALLIAHEDEIRAMLTVPSSSGKGPSHSSEKRKPSNASCLKEAKKPWCDSQHMSSKEDHGGRDEGPARCSKMNKQSDVSCPKQAKNPSDVADYGS >LPERR10G00720.1 pep chromosome:Lperr_V1.4:10:907016:907421:1 gene:LPERR10G00720 transcript:LPERR10G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKRSLQQQQEEEQVASSGGLNKRLRLGSIYNYDKLTAVGEGRDGVVFKAKHRPTGELVAIKWIRGAADERAFVREVGCLAACRGHANIVHIRDIVEDAITGDMFIVMDYHDXFG >LPERR10G00730.1 pep chromosome:Lperr_V1.4:10:910166:910480:1 gene:LPERR10G00730 transcript:LPERR10G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEFYGPAVDMWALGCIMAEILVGWPLFDDVSSDEERIQEMSDMDHRIKSTGTCKLFDELPELSPAGREVLAGMLAFDPDERMTAAEALQHRWFTGDKPQRR >LPERR10G00740.1 pep chromosome:Lperr_V1.4:10:925135:926007:1 gene:LPERR10G00740 transcript:LPERR10G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVVSKSPAVVVRPSEPVTPATRKINLSPFDKPFSIIPINVLLAFDHSINEPVEIIRKSLSQALVHYYPIAGRFAGDDYNDLHIDYTGNDDEGGVTFVAASADCTINDLLRDIDGRSPDPSTALLHELLVDYPASMMSFSHADPLLLMQVTAFSCGGFVIGVTWNHGIADGFGIAQFLQAVGDLTRGMPAPAVVPVRWDTSTQQVTGYESVLFLTCWRNIGFERVDFGCGGEGTTPMRVMTVHKQSLVRPTCVVCLPCKCEEGGGGARVLSSCVTAHHSDAFLREIATL >LPERR10G00750.1 pep chromosome:Lperr_V1.4:10:947127:947604:-1 gene:LPERR10G00750 transcript:LPERR10G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGATLFFVCGGTALFSFPDQSTRVFFVTNAVLKSTRKLLAGGGGGCFCQGENLDSFGSDDVAVLSA >LPERR10G00760.1 pep chromosome:Lperr_V1.4:10:952075:954372:-1 gene:LPERR10G00760 transcript:LPERR10G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSLLSRRRATPELELPVPPEFRCPISLDLMRDPVVGPTGITYDRAGIEAWLLAAARCPVTKGDLRADDLVPNHALRRVIQAWCVANRCRGVERIPTPRVPLTPAQAAEALAARRLQAVVIKEILASGAAIDVVTENLDAIIEVLVETIKDRISPQATKASLIAAYHLALAAADDHAAATRLAEAGIVAALVELLIDSGDRNTAEKALAALDATLVSDAGKARARADALAVPVLVKKMFRVSDTVTELVVSALHRICKKCGGDDEATAAARRSAVVDAVQVGAFQKLMMLLQVGCKEEATKEKATELLKLMIKYEAAGGGGCIDAMDFRGLKRL >LPERR10G00770.1 pep chromosome:Lperr_V1.4:10:962762:963138:-1 gene:LPERR10G00770 transcript:LPERR10G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRSYSAFSDLEYRRDRVAEEDQHKAIQEALAGFLYDEALDPKGEHYYDGRLEPASVDCNIDLDDPNFD >LPERR10G00780.1 pep chromosome:Lperr_V1.4:10:965369:966334:-1 gene:LPERR10G00780 transcript:LPERR10G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLPPPPPMPMPLPYPQLFPQPPPQQAYVTVPAFNPRTPALLLRRCTGAGQLRSLTAANMNAEMQLIGHLMSHYTYISIDVEYAGIVYHPAVASRNLTPDERYALVKANVDEVPIVQLGITLSDSQGNLPMFHDHLGLIHEMAWEVVFSDFDASRGHAHAVNSVKFLESQGIDFDLARLTGVSSTLFGQRLLAILPPSPEELTWSAFGAAYDMAYILKMLTGGQPLPESRQEFIAQTKSRLGGGRIFDSKYMVEHDQNDLRDVGLRQTATRLGVGQQVQMTPMLAGYKTILASRIFSICRLPRGGNDNHHEGHIDGLV >LPERR10G00790.1 pep chromosome:Lperr_V1.4:10:967372:970299:-1 gene:LPERR10G00790 transcript:LPERR10G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLHGATSRRRSTLQAASSPCRHEPLPLHVLRRRCSTAPTATGVELHRAGISPTALEFFQFFEKLIPR >LPERR10G00800.1 pep chromosome:Lperr_V1.4:10:970529:971755:1 gene:LPERR10G00800 transcript:LPERR10G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSTGERKISIETIFSSTGRDPASSSRRHLVVRQQRPPLPPPREEVMAPAPAPAPSSYARNPAATPPQAAFPPPSFVFFLRGAPRSRVTEYAAPPPCSIKQSGSHRRPPPLRPPRLPLSDPRG >LPERR10G00810.1 pep chromosome:Lperr_V1.4:10:982792:983763:-1 gene:LPERR10G00810 transcript:LPERR10G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPPPPPIPFVANYYPASMASYPTPQTYVAAPPFFPPVDGHQHYFFAATPPPLQLRSITAANINAEMQMIGGLMLQFPYISIDVEYAGVVHHPAVAARNLTADERYALVKANVDEVPIVQLGITLSDSNGNLPLGFHHAGPRHLRQLVWEVVFSDFDASRGEAHAANSVKFLESQGVDFDLARLTGVSSTVFGERLLAILPPRPDELTWSAFGAAYDMAYMLKMLTGGQPLPDNRTDFLLQIQSRLRGGRIFDTKCIVDYNHHALRDLGLKQTASRLGVGQQMMPMLAGYKSFLASRIFTICRLPRVDNNNYHQGHIDGLV >LPERR10G00820.1 pep chromosome:Lperr_V1.4:10:988252:990706:1 gene:LPERR10G00820 transcript:LPERR10G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRSIIHVENGHIPTLVKAGPNNRATKTVASGEDEKVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRAVIACSVTSNEGSSLRDPINNLKVAIEKLLI >LPERR10G00820.2 pep chromosome:Lperr_V1.4:10:988437:990706:1 gene:LPERR10G00820 transcript:LPERR10G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTKTVASGEDEKVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRAVIACSVTSNEGSSLRDPINNLKVAIEKLLI >LPERR10G00830.1 pep chromosome:Lperr_V1.4:10:990880:995233:1 gene:LPERR10G00830 transcript:LPERR10G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFPYARDPVAETMFSAAAVAEPTADKLQKEREMMQKKEKKERKKEKKRQKKAAQLDEKYKTSDHHSKLGHKKRKHEDCEIVGQETRNVYKEHLEKSSLSEEHEAPSYSKALRCTPESSLDSGKRLRIDLSSSPNQTRNGFLRLKFTPTNQRRDPEGTTGMSMKPRVTEQSPAGMDLSMANRKRELQPHVKTVSAVKHVVAQQKNMSIRNGNCLGEPRKVSQQHDAKSMQRVSIVQKGSTESTPIVMQKVDRHLFQKVAMQRANPVPEKVMQGVEAAPVKARQRANPAPTKVIQGVEASSVKAMQRAGHLTPLEVLNRESTTQVHLRKETGAAPLSQFNIERPTLLNKPNVCADPPVMLSKPKVCVKPPCLPNKPVSVCVESPALLNKPKVHVQPPVIKQHQQILPRAEDRCSVGSVTPAKEAEQSSSDRKSRKIEKKERKLADLFVNWKPSPIQMEVTEGTYVGEQDWLFSCGSTSKMNCRTFDGSARCQPTEQNFSLQPRAVYLPDLHICQMPFVVPF >LPERR10G00830.2 pep chromosome:Lperr_V1.4:10:994545:995786:1 gene:LPERR10G00830 transcript:LPERR10G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGSVCITSSGMLPSSPALLQIGADTGGLHRPRHLHGLVHSENPHQNHPRNPKNAGINDRQARTPSNLHLKNPKNQEKNQENQTKNRRSLSCRKGEQTYEIDGLVEHGLQIVSLPGHLPLLSLPTASAREKRKKQPLPRKPPLPPLTAGFRRRPPGWTPHTATDSVVAAPLPNSPGNVERTGPRRGSWWAAAAEVGRRKRRVEQQAVGRRRMQEEMWRQKTTPWRMRTVAAACSAFIAAVRRGIWGAAMTVVLAFCSAATAVLRGFGVGFGGGGGGGSREPPSSSIFQSSSAGEVNGTEEEERGIRKKEENDGRTRRKRKTFELEGNHGSGMTRQRAVCGDFVNL >LPERR10G00840.1 pep chromosome:Lperr_V1.4:10:994485:995099:-1 gene:LPERR10G00840 transcript:LPERR10G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKADNLEAVLNESVDLAWPEGAAMAIGWGILVLMGSCNASHFFHGRHTDEAVEMPRSMQSAGVRADLEQSGRRRKHATAGYAYAALVHRGGVEWLRSGQRGRQRAQL >LPERR10G00850.1 pep chromosome:Lperr_V1.4:10:996683:997514:1 gene:LPERR10G00850 transcript:LPERR10G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTIIHLRKETGVAPLSQFNVERPTLLNKSTVCADPPVMLSKPKVCVKPPCLLNKPVSVYVESPDVLNKSKVHVEPPAIKQHQQILPRAEDRRSVGSVSPAKEVEHSSSDRKSRKIEKKERKLADLFVNWKPSPIQMKTLDGSARCQPTEQHLLQPRAVYLPDLDIYQMPFVVPF >LPERR10G00860.1 pep chromosome:Lperr_V1.4:10:1000871:1010554:1 gene:LPERR10G00860 transcript:LPERR10G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKHADIKVKEEKEERKPNEVLKHAPPMLPYQSGKLLPQKRSRSETISGSNPHLYAPQHSPTDACKKQKSEVYYLPLLSPSALSEPSCFSMDRGLFPFPVMGSKGAEGRDDEQGLGSSQEFGDLPFLDRKMNTMPEGFLMRMRVLVVDNDESNLTSLSQMLIGFLCHVTTCLRGAEALAMLVDRRDNFDLVLSEAHMPDMNMFILTEHVGLKMYVPVADTANIKKMAVMSDDSSTNSFVNALKCGSLSYLVKPIAEEELKTLIFHVSRIKLCNNYNELVQSTSLYHFGQRRQVSNPRMGKAQQKEEISGYTISISSVILAVLFLTKLRRFETRFTRVKEETSGSKSNSYIEFVQSTSTYQSGQSRQLSNPHKCKTEWKVPSRIKSPNMKKFSSAILAIIFILRLTFLTRLDRLKEVSSRQCKAFSTAFLAILFLAKLRRLKISKSETRFKRVIIFGSTSRNDNRLVRPMPTSIYFSGQSRQVGIPHLVKARWRILFCVAIFVAQLRGLKMSRSKTRLTRVKEEEISGSKTLRFVWTPAFHERFINVILRQGLYKADPQKVMDLMDVEGLSREIIAIHLKKYHLSLKRLAHIYHLSLKRLAHIPLSKAVSDIHGDTRPAGFWIQDINKAKLLQEVRDSVYYVNEHQFVTESIRLQSNMVPEEYMTFSYQKEFNKLMELLGVSPRIAINRNKAGPENVSVLPLVGHGGVGKTTLALQIFNDKNVLDYFDRRCWISASEVFHEQDLIKKFIRAVAECEMESDDLGCIQRVLTGGIVDQSKRFLFVVDNIQECACDGISAGWMGSLSILQHAKFGSMVLMTTRSMKVANTFGTMNAFLLGGFPEVTLWKFLKICAFGSDTFISNHFLEDIGRRIVEKLNGIPFAAKILGRLLSLHLSSEFWSNILRSELWEWPEEETGVSPYLLLSYQYLPSHLKQCFSFCSTYPKGYKFDKDILVDCWEAVGLVVAHKKIPIQDVGGSYFDQLVNRSFFQKIPSSSKYVMNDMLHDMALVVAKNECIMIRDKTDLLMVHPNILHLSVHSKSCLDLGSLESLSRYNKLRSLVCNGVDSSIITRAAEVWFHKLREVRMLSFDCCQLKELPESIGNLKYLRYLNISSCTFDKLPSSFWCLSKLEILYAHGCTFQHLPKDITKLTNLRRVKLNDSLTNQFRYMPEVGKLQFLQELPYFDVGNEHGRYIGELKNMNHVVGSLEISGLVNVMSKDEAAEAQLHQKNYLDTLILSWDESVTTYTKQLITGLALEIIEGLCPSYYLKHLGIKCYKGFELHPSWFNKEKLHCLSSISIYSCPNLVSLPFQSTASSCNRSITRFPWLTKLSISRCRKLTSLAKFLESDCFPAMKSIHIEKCESLVSLPAQGFAGFAHLEEVEFSECWNLNWPPDLVFPSSLKELKLEACGDISESTFSCLHSLCALTTLKLQFCHLVKSIPAQAWSSLVSLENLTIFYCKGLQSVGGSEAIAKVENVDISDCPCLQDLVQPFRKGSNAQQYL >LPERR10G00860.2 pep chromosome:Lperr_V1.4:10:1000871:1010554:1 gene:LPERR10G00860 transcript:LPERR10G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKHADIKVKEEKEERKPNEVLKHAPPMLPYQSGKLLPQKRSRSETISGSNPHLYAPQHSPTDACKKQKSEVYYLPLLSPSALSEPSCFSMDRGLFPFPVMGSKGAEGRDDEQGLGSSQEFGDLPFLDRKMNTMPEGFLMRMRVLVVDNDESNLTSLSQMLIGFLCHVTTCLRGAEALAMLVDRRDNFDLVLSEAHMPDMNMFILTEHVGLKMYVPVAVKPIAEEELKTLIFHVSRIKLCNNYNELVQSTSLYHFGQRRQVSNPRMGKAQQKEEISGYTISISSVILAVLFLTKLRRFETRFTRVKEETSGSKSNSYIEFVQSTSTYQSGQSRQLSNPHKCKTEWKVPSRIKSPNMKKFSSAILAIIFILRLTFLTRLDRLKEVSSRQCKAFSTAFLAILFLAKLRRLKISKSETRFKRVIIFGSTSRNDNRLVRPMPTSIYFSGQSRQVGIPHLVKARWRILFCVAIFVAQLRGLKMSRSKTRLTRVKEEEISGSKTLRFVWTPAFHERFINVILRQGLYKADPQKVMDLMDVEGLSREIIAIHLKKYHLSLKRLAHIYHLSLKRLAHIPLSKAVSDIHGDTRPAGFWIQDINKAKLLQEVRDSVYYVNEHQFVTESIRLQSNMVPEEYMTFSYQKEFNKLMELLGVSPRIAINRNKAGPENVSVLPLVGHGGVGKTTLALQIFNDKNVLDYFDRRCWISASEVFHEQDLIKKFIRAVAECEMESDDLGCIQRVLTGGIVDQSKRFLFVVDNIQECACDGISAGWMGSLSILQHAKFGSMVLMTTRSMKVANTFGTMNAFLLGGFPEVTLWKFLKICAFGSDTFISNHFLEDIGRRIVEKLNGIPFAAKILGRLLSLHLSSEFWSNILRSELWEWPEEETGVSPYLLLSYQYLPSHLKQCFSFCSTYPKGYKFDKDILVDCWEAVGLVVAHKKIPIQDVGGSYFDQLVNRSFFQKIPSSSKYVMNDMLHDMALVVAKNECIMIRDKTDLLMVHPNILHLSVHSKSCLDLGSLESLSRYNKLRSLVCNGVDSSIITRAAEVWFHKLREVRMLSFDCCQLKELPESIGNLKYLRYLNISSCTFDKLPSSFWCLSKLEILYAHGCTFQHLPKDITKLTNLRRVKLNDSLTNQFRYMPEVGKLQFLQELPYFDVGNEHGRYIGELKNMNHVVGSLEISGLVNVMSKDEAAEAQLHQKNYLDTLILSWDESVTTYTKQLITGLALEIIEGLCPSYYLKHLGIKCYKGFELHPSWFNKEKLHCLSSISIYSCPNLVSLPFQSTASSCNRSITRFPWLTKLSISRCRKLTSLAKFLESDCFPAMKSIHIEKCESLVSLPAQGFAGFAHLEEVEFSECWNLNWPPDLVFPSSLKELKLEACGDISESTFSCLHSLCALTTLKLQFCHLVKSIPAQAWSSLVSLENLTIFYCKGLQSVGGSEAIAKVENVDISDCPCLQDLVQPFRKGSNAQQYL >LPERR10G00870.1 pep chromosome:Lperr_V1.4:10:1029027:1031777:1 gene:LPERR10G00870 transcript:LPERR10G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLTAPAISGCNDWVDLIQKLFSAGSSVVSLLGGEHRQQLKHELDRLERCMLHLPALINQAEWFIHKDTVAPLLHKLKDRVLDAHDLLDTFDYYYKRKVAAEGTRHLRADFSREIVNSDQVRKIREDIEFLDDKLALLIDRRAEMKRFDRFIRPDMDHSCDESRIRGREDQVEELLQWLGVLPWLQIGLACYASPSKRRIDATSIASTSKRTRRNTTMAVTTTVAATSSGQTRGFQCDDHRTNNVSALAIVGIGGIGKTTLAQKIFNDKRVHDHFDLRIWISVSNDFDVLRLTKEFTRRVLENEMHSDNLSCLQDFLAGGIIGAKKFLLVLDDVWDDMYMNHVSKWHKFIKPLQSSQLGSMILLTTRSQKVAQEVNKSKNFALEGLPPAIFKELFNDCAFGTDRSQYDPELDNIGSRILPHLKGCPLAAKTLGRLLNCMLNQDSWNDIARSELWELDQKNDDILPVLRLSYQYLPSHLRNCFSFCSMYPKGYQFDIHTLVENWKAAGLLESRSGTPSINGHTYFNDLLSRSLFQKAPSSSKYVMHDLIHDMAQLVSGNECFIIRSQTDLKNIPENVRHLSILESSGLNPNNCEILCKCKKLRSIVCHGIPSDIITPAVECWFKELTNIRILSFISCQLDHLPESIGNLKLLRYLNIADCTFENLPRSFWHLYNLQTVDAQNCRFHVIPEDYNLLVNLQKFNLRGNLVRGPGLVP >LPERR10G00880.1 pep chromosome:Lperr_V1.4:10:1045611:1046501:1 gene:LPERR10G00880 transcript:LPERR10G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGVYVRRVTAYNLAAEMSTISSFLHQFPIITIHVDHPIDILLPSSHRRRRRRGTKKGNDSPSSSNNNYRQAKARIDELDALQLGITLCDANGRLPLTVAPVGGGGGAVETAWQIGFSDFDLAAHYHHAVVDTDAVARHRAAGVDFEGLRARGVPAAAFARALFAAAIGHRRLTWVAFGGLYGFGFLLKILTGGAPLPDTAEGFVSWLTEFLGGEVYDGEYVAARLKDAGVVDMGGELVSVARALGAPAAEVAVPRQAGEKSLVACQVFMRMTGLFFAYHNVAVHRAKIHGLHCH >LPERR10G00890.1 pep chromosome:Lperr_V1.4:10:1048163:1048543:-1 gene:LPERR10G00890 transcript:LPERR10G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSLKEFVLVCSSYTGRAYLTLENGAMPKLEKLDIPFHISMAKSQGANHSDTEVATAAIRKEANVNPNHPRLAIVEAYTKESSSKESDDMDEIGDEQGVADN >LPERR10G00900.1 pep chromosome:Lperr_V1.4:10:1048583:1052706:-1 gene:LPERR10G00900 transcript:LPERR10G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSASHGALGPLLGKLNNMLAVECAKLKGIRREIQFLKLEPSNMQAALYKYASIDDPDIEDKTWITELRELAHDIEDCIDKFIHQLGANDEQHDTSTGIKEFFKNKNKSMKQCKVLSIVGFGGLGKTTLANERIFFRDRIFPEDYMIERDMLLWRWISEGFILEDYRHNFEDVANDYFHEQINKSLVQPVDIGFDGKLSHLKYLRIRNWGTVCLPTQIAKLQNLLTLDLSETSLEEVPTETFRLSKLQRLLGNNLKLPDGIGSMRNLQVLRGIDICPYLETAVVELGELTNLRDLKIRLYYEPSKRTEEMLLASHCKLSSYKLQSLHIIDDSSHDFLDRWFPFPCSLRSFCMTTNYYLPQLPNWIKPSLTVIAYLNINIRDMKEEDLRTLGELPALLSVHI >LPERR10G00910.1 pep chromosome:Lperr_V1.4:10:1055998:1058019:-1 gene:LPERR10G00910 transcript:LPERR10G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQALSSDRLSALPDDLLRRIMSFLNARHTVQMCLLSRRWCYLWRSLPRINAEFTEFYYEDAARFKKFINTLLLRRDPVPLDMFWLRYEQSNGNDLQEGSEEAGLWISHALQLQASVVEVVTLEAPLVLDHSVFTSRSLRRLRLSTANIPEGFFEQLKTGCPNLEDLLLYDCLILDDEISSNSLKILNINDSRFCEDYDASISIPSLTSLTLYRPGARVPLLSTKIPSLVSASVILAGSDGAMKFDHFCQLLMNLSDVRNLDLDYDPEKIEIKDNMQWCPEFKKLVNLTLGPWCLDSNFYALTGFLQNSPNLEKLTLEPEGIIDELEERSFKCEHLKIVEVICSKRSPLLKRVNDFFVNSGMSSLQINRKGSNEIEYCPDYFRFEYRAHMWK >LPERR10G00920.1 pep chromosome:Lperr_V1.4:10:1059115:1061744:-1 gene:LPERR10G00920 transcript:LPERR10G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQSLSSDRLSALPDDLLRRIMSFLNARNTVQTCVLSRRWRYLWRSLPRINAEFTEFYYDEVPQFKKFVNTLLLRRDPVPLDTFWLRHLIEDSDFYEGCSEAGLWISHALQLQASAVEFVSLDQAVEFNYAVFTSQFLRRLRLHNVHLIKGFFKQLMTGCPNLEDLLLYDAVILDDEISSSKSLKILNFYESRFSEDYDASISIPSLTSLTLYDPAARLLKNLSDVRNLDLDYDGEKNSPNLEKLTLEPSKGIIGELEERPFKCEHLKIVEVICPKGSPLLQRVKDFFT >LPERR10G00930.1 pep chromosome:Lperr_V1.4:10:1063294:1075512:-1 gene:LPERR10G00930 transcript:LPERR10G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAPSTDMLSGLPEGVLHHIMSFLTLRQAVHTCVLSRRWRNLWRSMPLINADYKQFQVTNSDDEALPLFKTFVSRLLELRDPVASLDKLCLCYSISDDNSDDDSDSQDAVANRWISQALQKQARVLEVHVDLVYADLYTLVIDHSVFTSRHLTKILFSNVILGDEVFKQFETGCPALEDLSLDACIISGGKISSQTLKVLTISDTKFSMEHETNVSTPSITSLTLWNPGDRIPVLKDMVSAVTASVKLSVFSDDFDARGLHQYLWALSGVKSLEFYYLGRKSSPKLEMLTQKLNPFRYQQTSQRIVGELNERSFTCRHLTIIEVICSDNDPLANHNRRDGFLRGRGVSLAARRHRHRHRHRHRRSSVHAHHLFDETPLRDQANMATEYGRNMDEEQESSVYMLNDLPDDLMRPILSLLDSRQAVRMCLLSRRWNNLWCSLTCIRVDFCEFSGETDTWEGDQARFRKFVNNLLLRRDPVLLDKFCLRSCIPSGANDQQASADANLWISHALQLQAQVVEVDQDILTKDTLELGAHAIFTTKYLRKLVLSAVSFTEVKVLFIDNSEFSYDYDISISTPSVTSLTLIDPGGRLPLLKDMGSLVSASVYLSHDADNLDTAINIDQWLTGLSSARRLVLDFPVNAIEIKDNMQWCPKFFNLVKLTLGRWCIDTRLYALIVFLQNSPKLEKLTLEIDEGLIKKSQASLKKDHLHFVEVTTVEDDPLKDKSLEGFALINRVKMLFRNSGMTSLQIDILHLDYYQRYESELPRPPPVRRNALATPAATGARASTPTTCSTKRLSVAIMEPGKSHGCRGRDRLRLRINDLTDDLILRIMSSLDAHLAVRTCVLSWRWHDLWCSLTRISADTIVFKGENEISMRHPGQFKKFVNTLLLRRYPFPLVDKFWLRCYIPTGAWFKEASADAHLWISHVLQLQTPVLEFLVLQVKLRPKLTVFTSQYLKRLALSNVDISEGFFNPLEMSCPKLEHIYLGECNIQDYNISSQSKALKILTIGISDFVARKDITNESNTQWCPKFNNLVRLYLGWWCLELNYYALTVFLQNSPKLEKLILELNYDYTRIISVKLKQRSFTCEHLKVVEVICIVDDPLVNRGPRPPPVRRNASAS >LPERR10G00930.2 pep chromosome:Lperr_V1.4:10:1063294:1075512:-1 gene:LPERR10G00930 transcript:LPERR10G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAPSTDMLSGLPEGVLHHIMSFLTLRQAVHTCVLSRRWRNLWRSMPLINADYKQFQVTNSDDEALPLFKTFVSRLLELRDPVASLDKLCLCYSISDDNSDDDSDSQDAVANRWISQALQKQARVLEVHVDLVYADLYTLVIDHSVFTSRHLTKILFSNVILGDEVFKQFETGCPALEDLSLDACIISGGKISSQTLKVLTISDTKFSMEHETNVSTPSITSLTLWNPGDRIPVLKDMVSAVTASVKLSVFSDDFDARGLHQYLWALSGVKSLEFYYLGRKSSPKLEMLTQKLNPFRYQQTSQRIVGELNERSFTCRHLTIIEVICSDNDPLANHVIDFFVSSEETASFEAGVSASPRADTAIAIAIATGARVLLLSCSVHAHHLFDETPLRDQANMATEYGRNMDEEQESSVYMLNDLPDDLMRPILSLLDSRQAVRMCLLSRRWNNLWCSLTCIRVDFCEFSGETDTWEGDQARFRKFVNNLLLRRDPVLLDKFCLRSCIPSGANDQQASADANLWISHALQLQAQVVEVDQDILTKDTLELGAHAIFTTKYLRKLVLSAVSFTEVKVLFIDNSEFSYDYDISISTPSVTSLTLIDPGGRLPLLKDMGSLVSASVYLSHDADNLDTAINIDQWLTGLSSARRLVLDFPVNAIEIKDNMQWCPKFFNLVKLTLGRWCIDTRLYALIVFLQNSPKLEKLTLEIDEGLIKKSQASLKKDHLHFVEVTTVEDDPLKDKSLEGFALINRVKMLFRNSGMTSLQIDILHLDYYQRYESELPRPPPVRRNALATPAATGARASTPTTCSTKRLSVAIMEPGKSHGCRGRDRLRLRINDLTDDLILRIMSSLDAHLAVRTCVLSWRWHDLWCSLTRISADTIVFKGENEISMRHPGQFKKFVNTLLLRRYPFPLVDKFWLRCYIPTGAWFKEASADAHLWISHVLQLQTPVLEFLVLQVKLRPKLTVFTSQYLKRLALSNVDISEGFFNPLEMSCPKLEHIYLGECNIQDYNISSQSKALKILTIGISDFVARKDITNESNTQWCPKFNNLVRLYLGWWCLELNYYALTVFLQNSPKLEKLILELNYDYTRIISVKLKQRSFTCEHLKVVEVICIVDDPLVNRGPRPPPVRRNASAS >LPERR10G00930.3 pep chromosome:Lperr_V1.4:10:1063294:1075512:-1 gene:LPERR10G00930 transcript:LPERR10G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAPSTDMLSGLPEGVLHHIMSFLTLRQAVHTCVLSRRWRNLWRSMPLINADYKQFQVTNSDDEALPLFKTFVSRLLELRDPVASLDKLCLCYSISDDNSDDDSDSQDAVANRWISQALQKQARVLEVHVDLVYADLYTLVIDHSVFTSRHLTKILFSNVILGDEVFKQFETGCPALEDLSLDACIISGGKISSQTLKVLTISDTKFSMEHETNVSTPSITSLTLWNPGDRIPVLKDMVSAVTASVKLSVFSDDFDARGLHQYLWALSGVKSLEFYYLGRKSSPKLEMLTQKLNPFRYQQTSQRIVGELNERSFTCRHLTIIEVICSDNDPLANHVIDFFVSSEETASFEAGVSASPRADTAIAIAIATGARVLLLSCSVHAHHLFDETPLRDQANMATEYGRNMDEEQESSVYMLNDLPDDLMRPILSLLDSRQAVRMCLLSRRWNNLWCSLTCIRVDFCEFSGETDTWEGDQARFRKFVNNLLLRRDPVLLDKFCLRSCIPSGANDQQASADANLWISHALQLQAQVVEVDQDILTKDTLELGAHAIFTTKYLRKLVLSAVSFTEVKVLFIDNSEFSYDYDISISTPSVTSLTLIDPGGRLPLLKDMGSLVSASVYLSHDADNLDTAINIDQWLTGLSSARRLVLDFPVNAIEIKDNMQWCPKFFNLVKLTLGRWCIDTRLYALIVFLQNSPKLEKLTLEIDEVQDFYTWFNQEITGELKERSFTCEHLKFVEVTTVEDDPLKDKSLEGFALINRVKMLFRNSGMTSLQIDILHLDYYQRYESELPRPPPVRRNALATPAATGARASTPTTCSTKRLSVAIMEPGKSHGCRGRDRLRLRINDLTDDLILRIMSSLDAHLAVRTCVLSWRWHDLWCSLTRISADTIVFKGENEISMRHPGQFKKFVNTLLLRRYPFPLVDKFWLRCYIPTGAWFKEASADAHLWISHVLQLQTPVLEFLVLQVKLRPKLTVFTSQYLKRLALSNVDISEGFFNPLEMSCPKLEHIYLGECNIQDYNISSQSKALKILTIGISDFVARKDITNESNTQWCPKFNNLVRLYLGWWCLELNYYALTVFLQNSPKLEKLILELNYDYTRIISVKLKQRSFTCEHLKVVEVICIVDDPLVNRGPRPPPVRRNASAS >LPERR10G00930.4 pep chromosome:Lperr_V1.4:10:1063294:1075512:-1 gene:LPERR10G00930 transcript:LPERR10G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAPSTDMLSGLPEGVLHHIMSFLTLRQAVHTCVLSRRWRNLWRSMPLINADYKQFQVTNSDDEALPLFKTFVSRLLELRDPVASLDKLCLCYSISDDNSDDDSDSQDAVANRWISQALQKQARVLEVHVDLVYADLYTLVIDHSVFTSRHLTKILFSNVILGDEVFKQFETGCPALEDLSLDACIISGGKISSQTLKVLTISDTKFSMEHETNVSTPSITSLTLWNPGDRIPVLKDMVSAVTASVKLSVFSDDFDARGLHQYLWALSGVKSLEFYYLGRKSSPKLEMLTQKLNPFRYQQTSQRIVGELNERSFTCRHLTIIEVICSDNDPLANHNRRDGFLRGRGVSLAARRHRHRHRHRHRRSSVHAHHLFDETPLRDQANMATEYGRNMDEEQESSVYMLNDLPDDLMRPILSLLDSRQAVRMCLLSRRWNNLWCSLTCIRVDFCEFSGETDTWEGDQARFRKFVNNLLLRRDPVLLDKFCLRSCIPSGANDQQASADANLWISHALQLQAQVVEVDQDILTKDTLELGAHAIFTTKYLRKLVLSAVSFTEVKVLFIDNSEFSYDYDISISTPSVTSLTLIDPGGRLPLLKDMGSLVSASVYLSHDADNLDTAINIDQWLTGLSSARRLVLDFPVNAIEIKDNMQWCPKFFNLVKLTLGRWCIDTRLYALIVFLQNSPKLEKLTLEIDEVQDFYTWFNQEITGELKERSFTCEHLKFVEVTTVEDDPLKDKSLEGFALINRVKMLFRNSGMTSLQIDILHLDYYQRYESELPRPPPVRRNALATPAATGARASTPTTCSTKRLSVAIMEPGKSHGCRGRDRLRLRINDLTDDLILRIMSSLDAHLAVRTCVLSWRWHDLWCSLTRISADTIVFKGENEISMRHPGQFKKFVNTLLLRRYPFPLVDKFWLRCYIPTGAWFKEASADAHLWISHVLQLQTPVLEFLVLQVKLRPKLTVFTSQYLKRLALSNVDISEGFFNPLEMSCPKLEHIYLGECNIQDYNISSQSKALKILTIGISDFVARKDITNESNTQWCPKFNNLVRLYLGWWCLELNYYALTVFLQNSPKLEKLILELNYDYTRIISVKLKQRSFTCEHLKVVEVICIVDDPLVNRGPRPPPVRRNASAS >LPERR10G00940.1 pep chromosome:Lperr_V1.4:10:1081670:1084922:-1 gene:LPERR10G00940 transcript:LPERR10G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDSGSTAPRRLVGSISMQHSGCGWQWQYLIMVDDVWTIRAWEKIQSVLLENNRSSRIIVTTRIETVAKACSPTIGGHYIHQMQPLKFEDSKKLFISRTFVNKNCPQELEDVTNNILKRCGGLPLAIVSIASVLAGYTSAGSKDKWESIYKSIGSEMESNPTLEGMRQIVTLSYNHLSHELKSCMMYFSIFPEDYEVHKDRLLWRWIAEGLVQEKRGLSLMEVAESYMDELVNRNMIQLRVDFEYYWMAQLYRVHDMFLEVMVSKSLESNFVSLLGGQYATMSYDRIRRLSIQGDDDRLEIDAGLQEARKNNVTASSGIDGISDFGHIRSLSMFQHSGQKLLDQLGKFTLLRVLDLESFRGLTMAHMRYICRLYLLRFLSLKGTDVSEIPPQIEKLEHLQTLDVRRTSIPGLPETVKRLYGLERLQISYSGEANHMWRLPLGLKKMKALREVGFSVLGNDVQVARDVGELEHLQELVVYVDDIVFDEEVLDEFAKSLSKAYSLRRLIVGDVGYGKTLNFLDRLHAPPRLLRYLMIAGGIDRLPSWIKSLTYLVQFNMSWGKVVGDQLFDVLCELPSLKTISIHNYCYAGNDLVARARHRFPELINLRIASGSQFPNVVRFEKGSMGKLEALLVNFSDNDAKRMVGIQHLTCLKEVQLWGNKNNPALTGALKQLKSLNKRRLAESNNQFQIVVRYD >LPERR10G00950.1 pep chromosome:Lperr_V1.4:10:1086892:1087146:1 gene:LPERR10G00950 transcript:LPERR10G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKPAITNNQAMNAFFRGCRDLKFKEDWYKKPPASLEAMPFRADLYAYRYQWSGMRDPSDNDSDSGNSVAYGEGEECQVAFLN >LPERR10G00960.1 pep chromosome:Lperr_V1.4:10:1103938:1105350:-1 gene:LPERR10G00960 transcript:LPERR10G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTASSLVGTVGQLLGEEYRQLSGVGREVAELRDDLATMNALLRMQSEAADGAVDHFIREWMKQLRELAYDAEDCVDHYRLRVRCRPGDGFRGSLKRRISTLLLRRRLAAEITALRSRALSIGDRHARYGINRDALQHHRLLITSSSSSSTSAPMLPAANAPRRDNNNDDDDDDSKNINNEQQLVGIKGQADALVELLKVQQQQQHTKVLSIVGFGGVGKTTLAMEVCRLLETDFPYLAKVSVSQAFDPVRDLRELLKRVLLQVVEVKTENEILINEDGKEIPRRLEPNIDGAKDKFLDEIDKWDADTMILKLQDYLKDKRYSVCPS >LPERR10G00970.1 pep chromosome:Lperr_V1.4:10:1116352:1118980:-1 gene:LPERR10G00970 transcript:LPERR10G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTIGIRAARRFVWAFNAAPLTVLVRNHGARFPRESRGCNSGIEVEVHQVRNEHKKFGVDPTTIEPLIANLMKISIASCQGFSIKWEKKIPIRCQGFSSKRGKNSPFPGALETRVERRPSLEFPSPQAHHLFDETPLRTWPPWRGVPGINVDCKEFDFLGFRASEVAFKRFVNRLLELRDPIAMMDTFWLRYHILDIDTTYSADANRWISHALQKQARVLEVIMYPWHQLGLDHSRFASCYLRRTGFSTVILDQGFFKQLEAGCPALEDLFLHQCTIEDDEISSQTLKVLTIDRTNFSIAVNATEVQKKFISVPSVTSLTMSTPKGLLPMLKDMTLLVTASVTFSEFGVRFDANDFYQYLWSLSGVTNLEVNYEGPKVLLLHSTITAITYIHSSLFWYHGAAPNGLTARHLLWLSTAGSRGSRA >LPERR10G00980.1 pep chromosome:Lperr_V1.4:10:1123729:1126062:1 gene:LPERR10G00980 transcript:LPERR10G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTGRSDLLLGGCLHAGFLSPDRSPLHALTLLPPDSGREPIQWRLTRDFAADKLDTAHYFFSLHVQHSVHDGADAEEANMDGKARRGSCCTAGTQLPPNNLFMEPHLLNEAFKKENNIKTLSDARPVWTSKHTIRCTGTRLDLVRRKKQAMMETLIVKMNQGFCYDMIEQYCEYIVKRLNNLQKQRNSCKARNQVHPDTAGSGNNQPNNG >LPERR10G00990.1 pep chromosome:Lperr_V1.4:10:1127160:1134943:-1 gene:LPERR10G00990 transcript:LPERR10G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSVSATMQRPPPPEGASNRKRKVCNSENDNTDNNIAAESSSAVVVDQKVGDDEWVVNEMAPGTVFAIDTGGRKYKPYYVQYMSEVDGFKKILQASGEDSKSPYVWFCVEESREHQRYRHIRCCYNNMYWVARTVNGVCYIFCDATQPVEDLSSPSCTLFSITDLKDSRSISCFAQANDDGNAFKPQDYNDGYLIKQFEGKTSYNWPIMFEVTKKEPDQPQLPMHVCFKGDNGKYLSGQSGSNYLKFWSNDIGDPTVKHTIYSNPKEGTIRIWSDYLGKFWNGFSSSNWILADTSSGYEYAKEVKFRVVKLGDNKFALKNIGKNMFCKRMSNTGNNNTEDCLSASVESITSDAQLTIEEAVLSRKIYGAEYHLEDAKMYNHKALIMASSHAVNRSSKDNTAKMTIKYGSTRQSSWNATVSLKLGVKITVEIGVPLISSGKVETQLEFSGSYQWGSTVTSSEEHSVDYDITVPPNKAVKLSVLATQATCDVPFSYYQEDVLTDGTTRVSKLNDGIYRGINSYDFTYDVKEEPLTADSLIRYKDFLST >LPERR10G01000.1 pep chromosome:Lperr_V1.4:10:1142779:1144715:1 gene:LPERR10G01000 transcript:LPERR10G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVVSPYDIMALVIVLIKRFYIVQEDDAIEAGRWDGEPLLGRLVVVAHAAFLHAGFVPCAGDCRYLPDEIGAVASSLSLRYTIRELLQDKHAAAAGAETVVVRLTAVTGHVIFYGYLTGGDHPNSKWAATTYYYWVLIDASLAAPVLSSDLDATAHTLSNSNSNGGVGVRLWKGELARRLFTDICWNRAVMPPRLTSLPADLQAAILSRLNAAVDLARVQCTCTELRDLVAGRELLKGKFKKKYTQVYKP >LPERR10G01010.1 pep chromosome:Lperr_V1.4:10:1144740:1145033:1 gene:LPERR10G01010 transcript:LPERR10G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQYSVLFGPIPDAYDNLGRRYVRRRCRRAQLRQQQYQDKESIERFLELEKSKVVVTASGGQRPVMVTAAARGGRGPRWRKGAVQAPSSRHRWMHR >LPERR10G01020.1 pep chromosome:Lperr_V1.4:10:1147087:1148438:1 gene:LPERR10G01020 transcript:LPERR10G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQDVIRVESLGSPSATPPAASLSRSNFDGAVVELTWEVDFSDFDLQRHRVHAPESVDFLRSPSISSPRATSVCAPRRLPPRSTSLGSGGDVLTRGGRWRRRCGTVLASWGWTARGPSDESRQAASVDASIPTHGGGQRPRTIGAAVKEGGSRRQLAPARLRACSGKEGKAKRHQQRPGVDVVPLGTIKIPIFN >LPERR10G01030.1 pep chromosome:Lperr_V1.4:10:1148657:1149568:1 gene:LPERR10G01030 transcript:LPERR10G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSMAPPPPPLMTTTPLFFYTHQRPPNVRVIKITSHNLHQELAVIASLLPRFPFVAVDTEFPGEVHHHQQRGLFGLTPDEQYTVVKANTDELHLLQLGITICDASGHLPVALDFDGSAVELTWEVDFSDFDLHRHRHAQESVAFLRAQGFDFVAARHAGVRAAAFAAALHVAGGILQLARGGGVTWITFGGMYDLAFLIKLVTGGAPLPETRAGFVARVGVFLGHQVFDGRLMARSAPFGFHGSVTAVADTLRLPPLLPRLHLAGPNSVMALHVFMELRRRLLHSGGHGVFSLQIEGLT >LPERR10G01040.1 pep chromosome:Lperr_V1.4:10:1151183:1153305:-1 gene:LPERR10G01040 transcript:LPERR10G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCSKRRRVADPAHANGGDLLSAVPDDVILHVMSFLTTRQAVRTSLVSRRWRNLWRSVPCINVNINEFLPSGTELTDDDLEREFAFKMFMEKVVELRDPTALIRTFLFRCCRLDGFEGIADMEDINGWISHALQKQPRVMDIAVMYDALHLDHSVFTCSYLTRIKLTNIILMDGFFKQLETGCPVLENLFLFGGVVVDTEISSRTLKDLTIIETDFHNKRISFSTPSVTNLEFWRQDICVTNLPLLVTSLLVLKDIQNSSDFCRTLKSLSAAKVLKFDYFGRKLRINNNLQWYPKFNNLVRLTLGQWCLDANFYGLIVFLQNSPKLEELTLELEKETTQRIIGELEERSFTCEHLRSVEIVCWEDDPQVKDVVEFFVDSGLTSDQVRKVHWY >LPERR10G01050.1 pep chromosome:Lperr_V1.4:10:1155690:1157156:-1 gene:LPERR10G01050 transcript:LPERR10G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGGGGWTRLRGIDSGASGAAVSLAIDDASGELFVVKSACSGERAGAAKQQLRREWGVMSELSSPHVLRCLGFVAGDDEHRLFLEFAPGGSLADVAARNGGRLDEVAVRAYAADMVRGLDYLHGKRVVHGDLKGSNVLVGADGRAKLADFGCSKKQQQSTAAVIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEIATGRAPWSGADNVLAALRMIGYTDGAVPEVPPWMSPEAKDFLNKCLQRRSGDRATAAQLLQHPFVSTSSCGVNNMEVVKATWVSPTSALDAALWESESTSSSSLTGDEEDDDLSSNSNSPISRIRAMACSGETLPDWDSDRHCWIEVISSDANLNITYKTTATAADNNESSECLAAKMVIRSMASSPSSVPADWGSHQGWIDVLGASPAVDNEEFDVESGADEIFSEALGSIVVGVGSEQSVVAENQEVVFTSLSSCSERVLLVAAHAADNVASRKAGIKPCSNFSS >LPERR10G01060.1 pep chromosome:Lperr_V1.4:10:1159125:1167444:1 gene:LPERR10G01060 transcript:LPERR10G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPLHKVINARQWDAERLLGRLVILAHAAFLDAGFVPAVADDDQNSVRLPRKIGRTAATLPLRYAAPQLLHRAAAAVQLRLCAHSGRLLVFYVCISRKFFTMSPWLDTYWICLDDAVASAIAPLLAGGLDDTARALRRDATPLAELWSALTDGLCRRVLVDLCARNGVSLEPTFMSLPGDVMEAILARLDSGEDLAMVECTCAGLRRLVEDRDAVLWKSRYEKLPFLLRLLVLGEDDEPTEVSWKARYVAARRWPFAAHFVSTRQRPRRRPLNPWLWLRMYSRIRFRPEPEPEPEEKETVLLPRRRRRRSRAISRDALVVGGGGHKKMQRHGAGAVHSPSSRYRWKHRMASALRLRYTAPQILHRPEKATVELRLRAYGRSLVFYVCSPIRGWTRTGSASTTRSPQPICSPAIWTTREARYGATQRTITDGLCRRVLADVCGNNGVTLEPDPNFMSLPGDLKAAILARLAGDDDLARVECTCAGLQRLVAERDAELWKPRYDNHYQLYSLVRRLLGHGDDDEVSASTEEVSWKERYVAVARLERECPLAYYLSMKRCPIFSKWDHTFPLIDSFFRFLLEPMPKEIGVVCQGMPATMAVRCCLAARGSSGMAPARFIHRLHDTDGITATKQSSQFIRSIAMAKTSPLHRVIDAARWDAESSLRRLVILAHAAFVDAGFIPASASASIHLPRQARRTFSLRYTLPLPLDDAAVHLRLSKRGRSDLVIHVSITRSTTPNFQNPWVDTALSDGVCRRVVVDQCARNGVTLEPTFMSLPDDIKALILARLARADDLARVECTCAGLLRLVEDRDAVLWKPRYEKKLPSLQWLRKRRDDGDGEPTTELRHWKKRYMAARQLPFPSPGRFVSIRRRRQPILSSFMDLFDPMDSFVPFWPWYDLAPELETPREHTVPRRQRRRWRAMPRDGGGHGRDLVHNGNNKKKQRHGAGAVHSPSSRFRWKHR >LPERR10G01070.1 pep chromosome:Lperr_V1.4:10:1160625:1161536:-1 gene:LPERR10G01070 transcript:LPERR10G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALHLRYALPQLLLHRRPDAAAVQLRLRALGRHIIFYVCTCTTMSMRFSNMYWICLDALAAAPLLAGALDDTARALRRDARLAALWSRLTYRLCRRVLADHCDKNCVPVGRTFMSLPADIKAAILARLVDGEDLAAVECTCVALRRLVAEHDAALWKPRYEELLLIPGVLISDEDTTMSPEMIWKERYVAAARRRPMPSESRAATMAHLAYLRELEPILAELWQRHHRLPLDSFAPFDSDDSLELREETAPRRRKRWRAMHRDAGSQSWPHGHMERCVVAPAQFTPRLPGSDGITVDHARL >LPERR10G01080.1 pep chromosome:Lperr_V1.4:10:1169880:1170253:1 gene:LPERR10G01080 transcript:LPERR10G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISPLLAVIDGSTPDSGTPSSPLAALKSSPTPPSFMPVSYSSLPTTMKTPSMPLTMSSTDKAEKPGRLPAEVGRTTSTLPLRYTALQLLHRPEVATVAMKVNALRRSLIRPWLIYNF >LPERR10G01090.1 pep chromosome:Lperr_V1.4:10:1171046:1171450:1 gene:LPERR10G01090 transcript:LPERR10G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGGSKSGHGSHHDSDEEEVTMPVFDANLPVDVKDGLEVACRYMEKHVDFNFRKLERSIATIIDRLPPPCGSHAGHDRRTPLEHRAYDAEDESPGLHSDGVVHHRLQRHPPRRGRANVERDATNVHHDRVAL >LPERR10G01100.1 pep chromosome:Lperr_V1.4:10:1183308:1184636:-1 gene:LPERR10G01100 transcript:LPERR10G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGPVIDFFNEMSNDEEEEAVKAATAATTIHADSLQGRKRKLIIANDSDNEAADQSAPAPRLSSPSPPPAPKARPFSPRPAKCGRLKVSTVKPNTSFTGKDNDALPQPPTASAVKEPTAVPTGSQSELAEGERPSTTLPPPPQATAVDICPAAAQVATSSTITPPATASTSPAAATPSPALALTTTVNAPSTNKGKEVQSSTKATEPSAGSDSERTASDEIIGWLYGPDLDQVSILDRIEDQKCMTRLIQLMTESSDLVLKVIKNSSAKDSLLERIAPLAKKAVQAQEELAILRNEVADFRNIRSDFKEKLRDFLGHDPAIFEAKK >LPERR10G01110.1 pep chromosome:Lperr_V1.4:10:1196280:1198911:-1 gene:LPERR10G01110 transcript:LPERR10G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLAMLENPDQEVNSVMLVDGQLNYVAEKSATSSVYNRYEIDEPPEDRQADVERQLCTEKLLAQYRQHLQDKSSHHLGYPFNLELNVGPLQQFQNLHINNLGDPFIESNYGVHSRQFEVAVLDWFARLWDLPKDQCWGYVTNGGTEGNMHGLLVGRELFPEGIIYASRDSHYSIFKAAKMYRVQCIKIDTYSTGEMHYADFASQLLQNTGRPAIVNVNIGTTMKGAIDDLDEIIRILQDCGYEDKFYIHCDAALAGLMMPFIKHAPRVTFKKPIGSISVSGHKLMGCPMPCGVTINRSKDVTAVMSTNIEYVASRDATITGSRNGHAPIFLWYTLKSIGYKGICREVEMCIKNAQYLTSRLKKIGVSAFLNKASSTVVFEKPGDQAFVRKWQLACERSIAHVVVMPNVTTKMLRIFVQELAESRHAFLSRTEANIPCVAMDIGQENCLCSLHDVVVHHSRI >LPERR10G01120.1 pep chromosome:Lperr_V1.4:10:1214492:1218896:-1 gene:LPERR10G01120 transcript:LPERR10G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIGPLVSMVKEKVSSYLLDQYKVMEGMEQQRAILERKLPAILDVIEDAEEKGVFRPGVSAWLRALKKVTYEANDVFDEFNYEALRRDARKKGQYNMGKKVGKIVKSIEVLVSEMNGFGFIHRQQPPPSNQWRQTDSIISDFEKDIIRRSRDKEQKRIVKILLDHVNSNRDLLVLPILGLGGLGKTIFAQLIYNDPEIKNQFEFRRWCCVSVDFDIGNIANSICNNTGKDHEKALMDLQEEISGKRYLIVLDDVWNRKADKWGKLRTCLQLGSRGSAILTTTRDAEVARIMVAGVVEAYILENLADNYVKEIIQSRASSLANSDELSEILGKFVCRCHGSPLAAKAFGSMLSTKTSILEWKNILAKSDICNEKTGILPILKLSYEDLSSHMKQCFAFCAIFPKGYEIDVENLIQLWMAHEFIPLEENGHFEMLGKEIFKELAWRSFFQDVKRSPPQCRNGERAQLCYTSTCKIHDLMHDVALHVVGKECVTITDGRNHKELLSNHPTYHLLFSRYRTGALLDGFVRKQSSNLRTLLCPKVTEYCSIPHLSKCNSLRALQLFEITELPIGPMKLKHLRYLNISENSEIKELPKDISILYNLQTLNVSHCTNLRRLPKDMKYMTSLQHLYTNGCTKLKCMPPDLGCLTSLQTLTYFMVGSIPGCSTVRELQYLNLCGELELCGLENVSEAHAGSVSLEYKVNLTHLSLEWSSNHLVDESNCNKRVLDALKPHDGLQMLRIGFYQGTVFPTWMTDLNVLQNLTELYLVDCTMCEEFPQFCHMKALQVLCLTRLDKMQNLCRYTTSTVFPALKDLQLNDLERLQGWLATDGKEKLACPLLEKVDITNCPMLKSIPEVPKLQILKLDEKKAQLSLSVLGSRYISSLSKLILSVSDTNAILQLDQNSEISLLEMELCGCSFFFPSGPFHPAVGIWKWFGQLVDLKIESCDVLIYWPEEEFAYLVSLKNLTIGKCNNLICPRPVNRESTRVSSDQLLPCLTSLSIRSCGSLQQLFRLPQTLTHISIYGCKSLESIWGEDDTDSTRVLQVERNEKFTWSEHCNNLASTSALEKSPSQRNNSLPCLERLAIGACHSLVALQHLPPSLKILRIMFCENLLSVQVDALKHSLERLLIVECEKLCSISGQLDALQCLRIERSKLESLDCLGDLQSLEGLYLKDCRCLTSVPGCHGRYPLLQDITIKYCPAINLKPLYERLQPRFDNLEIRDLSDAHSRNPINGPMLRDPWSWKYAIPGCGYWCSEDD >LPERR10G01130.1 pep chromosome:Lperr_V1.4:10:1221217:1224513:1 gene:LPERR10G01130 transcript:LPERR10G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLHLSSSNACTHATCSTKGLYVRGSTTMAAAHAPSTDMLSDFPEGVLHRIMSFLTLRQAVQTCILSQRWCNLWRSMPHINADSNEFDDLWMFRRFVKRTLKLRDPVATMDSFCLWYSIDDPYIYDTAPEDANKWISHALQKQTSAVEVYFELLISDFIPLALDHSAFTSLYLTKVCFSNVMLDYGFFKQLEMGCPALEHLSLHDCNILDEISSQTLKVLTIIDTHTAWEDTISISTPSVTSLTLSSPMDGMAVLKNVESVVTASIKLIDHFVSDDDDVDLISDIRCLRQYLWTLSGVKNLEFYYLGRENSPRLEKLTLKICQQRSEGIIGELNERSFTCEQLKFVEVICSKNDPQVSIVEDFFLNNGMTAAQIHIRNVSIHSLRH >LPERR10G01130.2 pep chromosome:Lperr_V1.4:10:1221359:1224513:1 gene:LPERR10G01130 transcript:LPERR10G01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAPSTDMLSDFPEGVLHRIMSFLTLRQAVQTCILSQRWCNLWRSMPHINADSNEFDDLWMFRRFVKRTLKLRDPVATMDSFCLWYSIDDPYIYDTAPEDANKWISHALQKQTSAVEVYFELLISDFIPLALDHSAFTSLYLTKVCFSNVMLDYGFFKQLEMGCPALEHLSLHDCNILDEISSQTLKVLTIIDTHTAWEDTISISTPSVTSLTLSSPMDGMAVLKNVESVVTASIKLIDHFVSDDDDVDLISDIRCLRQYLWTLSGVKNLEFYYLGRENSPRLEKLTLKICQQRSEGIIGELNERSFTCEQLKFVEVICSKNDPQVSIVEDFFLNNGMTAAQIHIRNVSIHSLRH >LPERR10G01140.1 pep chromosome:Lperr_V1.4:10:1225592:1236708:-1 gene:LPERR10G01140 transcript:LPERR10G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYWALLGGVTIPFTMPSTPAIGTPSLPSAASSSSPTPPSSTPAPFVPCKPASRHRHLPDNIGAVASSLSLRYTIPELLPLLRHRRAAAGGETAVLRLLPHGHLVVFYANLTGGDRYHPTTRHWSCVDVRLATRVLAGDLDATARALSNSDDAGGFSIWNDLAGGLARRLFADMCWKNGDLLPPRFTSLPADLLSKILSRLADKDLAKVECTCSELRDLVAGRELRKDTYIAAATRWRWWQRSNRHFDFVHGLDDDVCWRLEELYRFLPLPVVHPVYFDRPHRQQDQDSSPTKHLTESALQIRSKFPAGGDGGRKQQVTAARRRRQQRHHPLHDAIDAGDWDAEPPLGRLVVLAHAAFLHSGLVPFATGGKPASRHHHLPDRVDDEGIAGMRDLIIGCDILMNKYQTELFWLGMGCDWRSLDWLLVSSSSRRRRRGDSCSRWRNLTKHVRMSERFMEKRSELLVTAEWRRHGKGAPSSRSVCVCVKGNQHHPLHDAIDAGDWDAEPLLGRLVVLAHAAFLHAGLVPSATGGGGAGGARPGSRHHHLPEHLGAVASSLSLRYTIPELLPRKRCGALSGAAETAVLRFSPHGDHLVVFYGNLAGGNAENPKTRHWSCVDARLAARVLAGDLDAAAHALSNSGGGGVSLWNDLAGGLARRVFADMCWENGDLLPPRLTLLPASLQENILRSLTDVEDMARVYFTCKGIRNLIDGCHVMKNRYLWGELSMSWLRIFSPPKEIVRSRLAGDGGDSCSRWADMTKQMTMRERFLEKRGKIPASYGGGGWPVVRHEASGGGVAKARVEAKDTRLRSKKAIIKKRKRPPHDVFTTRTYY >LPERR10G01150.1 pep chromosome:Lperr_V1.4:10:1236859:1243725:-1 gene:LPERR10G01150 transcript:LPERR10G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSHHHALQDVIDAGDWDAEPFLGRLAVVAHTAFLQAGFVAPCTGGKPASFRRRLLPEQIGSVASSLSLRYTIPDLLPRQRRRRRRYTETAVLRLCAPHGDYVILYGYLTGDGDRRPTTHWAYIDMSLIAHVLSGDLDETVHALYHDAMGVRLWNALAGWLARRLFADMCWKNSVVMPSRLTSLPDDLQATILSRLSGEDLAKVECTCTKLKDLVAGRELWKAKYMAVRRWWWFFSSSSKSKLEASSWNWKEGYARERKSWPYYRFRKLRIGRLLCELTTHTRFREFRFFGDNQGWWNELYRRLDARVELGRDTRQDPTKHLTERFLHNSKVLAGGGVRRLPVMAERREDRRRGKGAAPRSQSKNTLSIMPTRNDPLHIAIDAVRWDAEPLLGRLVAIAHATFLDAGFVPCTNRKPASRTRHHLPEHVGPAASSLSLRYTIPELLRCGHTATGVETAVLRLCGQGDNVIFYGYLTGDGNRPATHWACIDAPFVALVLSGDLDATAHALSHDAMGLRLWRALAGGLSRWLFADICQKSHKSRRWPQPPQFTSALPTELQVAILSRLAGEDLAKVECTCTELRDLIAGHELWKVKCIAARNWWWLSFCFYYKTDALSWKEKYLKSRSFGMEPWDLPNLGFRDWEFSDFSRGWNEKWWDELNRRLDARVDLHRDRQLDPTKQLTERFLHKSQFTARGGGRRRPVTAGRDAETRGGVGERSCSRPVFSSSMERSKHHPLHDAIDAGDWDAEPLLGRLVVIAHAAFLHTGFIPCAGDGDRRYLPDEIGAVASKLALHYTTRELLEIRDERAAPAATTVGADTVMALRLTAVAGLVILYGYISGDGVNRTTATRWASIDASLAAPVLAGDLDATARALSDDDDHLWKELAGGLVRRLFADMATQLPSRLMLLPAELQLEIMRRLAAKELVHVVCTCMELRDLVAVCKPAIFRGRSLVTGG >LPERR10G01160.1 pep chromosome:Lperr_V1.4:10:1247977:1252097:1 gene:LPERR10G01160 transcript:LPERR10G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVSQDELTLLLGVSGEIDNLEDKLCSLKKLLADAERRRITDQSVQGWVRRLKATMYDATDILDLCHLKATTAQYRSFDIGCLNLNPLLFCLRNPLFAHDIGSRIKALNAKLDAICNSAAAFSFLKLEVYEDVTAAARRSRPVDRKTDPVLERSAIVGEKIEDDTRILVERLTNIKDQKVAMIVVVAIVGTGGIGKTTLAKKVFNDEAIQERFDKKIWLSVTQDLNDTELLRTAIKSVSVGGGGSDTTPDSDRSLLVPALVNAIRDRKFFLVLDDVWSERAWNNLLKAPLSHGAAGSRVLITTRHDDVARGMQAIHPFHHVDKMSREVAWSLLKKQVASNDTDEVEIDDTLKDIGMEIIHKCDGLPLAVKVMGGLLRRREKRRADWEQVLQDFLRSVPLLPAELNDAIYLSYQDLNPCLKQCFLHYSLLPKNVPFFKNTVISMWMSEGFLHEADTDDLEQLGEGFYKELIQRNLTELDVTYVGEWLCTMHDVVRSFAHHLARDEALLVSSIDELGKSALKSQEFFRLFVESNDGEFGWELLQGQKSLRMLIVIGELKIKPKDSFINFSSLRTLHIQNYNCTISLVESLHQLKHLRYISLNCNDVTRLLQNINKLKLLQYLEIKSKYLVKLPDSIVKLGQLRHLNLIGTSINGIPRRFHRLTNLRYLYGFPAQVDDDWCSLQELGPLAQFRQLGLINLEDVSATSLAAKARLSEKSHLSYLRLFCTSRFGEDGLVEDVSKNEQHHIEEVFDELTPPLCLEHIDIRGYFGQRPPRWMMSRAACAYERLLIVTMGDLACCTQLPDGLCWLPCLHTFQVNRAPAIKCIGHEFMTIHPSSSQRHRAHAFPRLKSLMLFNMVEWEEWEWDQQLDNVQAMHGLEELHLQNCKLRRLPLGLSSHAIALTVMRLYNIKQINSVESFPFLVELELLDNPDIERVASLPRLRSLVVVRCPEVRALEGVPELQRLVLEDVHMEELPAYLLKDVTPRHLVLYCSLKLLTSIAAGESGPEWCKLSHVLHVYAYAKMKRWHVLYTRDPYSFETNIGNNSSFE >LPERR10G01170.1 pep chromosome:Lperr_V1.4:10:1268374:1268796:1 gene:LPERR10G01170 transcript:LPERR10G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALHLNGDDIKTFDQYKFMPIKTLVTVEFISDISDNEWLNFYSLVSSCGNGSKVIIISPLEKLASYLFKVLAFGSSIPLDHPQLAIIGNEIAKTLQGSLVAINPLDHHHLHTHSADISR >LPERR10G01180.1 pep chromosome:Lperr_V1.4:10:1274105:1275523:1 gene:LPERR10G01180 transcript:LPERR10G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVISAVASEIVNRFMSFLVSKYGNNSCLKKKLEKLQHLLLRVHIVSEEAEGRYITNSGMLMQLKMLTEAMYEGYHVLDTYGPLEHIGAVGEISDSYALDFHYVRRFRLAGNTIVSREVESSLENLETIIDNIKEFVSLLNGCERMFRNPYSTYLYIDNFMFGRQVERQQIMSILMLDSHPRIPAVLPIIGGCRVGKKTLVWSVCADEKIRSHFSAILHLNGDDIKTFDQCKFMPVKTLVTVEFISDISDTEWLNFYSLVSSCGNGNKVIIISRLEKLARFGTVNPIDIRSFSHEEYSYLFKVLAFGCSNPLDHPQLAIIGNEIAKTLQGSLVAINIYANVLRNNFSVPFWVCVLKLYKQMMASNLSLHGEHPKSLLEKDATVVDITAFSPSLATNSYRITLLTGEKFRYDSKKELPVMGFGDIIAGSVTLPKKFQLIWESRLAPYTVISATCGAEEIISTTPMRKKMKIM >LPERR10G01190.1 pep chromosome:Lperr_V1.4:10:1284357:1285787:-1 gene:LPERR10G01190 transcript:LPERR10G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIVSDQINRLMSFLMKKYTEYTSLDDKMKRLKELLLRLHIVVEEADGRCITNPRMLMQLKMLSDSMYRGYYMLDTIKYISPNDEVRRSSTMSVSLKRSRTILGIPRSPVIDNELQTVLNNLEAVLSNMNEFVLLLMGCERMCRRPYDAYLYVDNFMFGRHAERQQIVNILMQKPGPHDDPLVLPIIGGCRVGKKALVSHACNNERVRSYFSSILYINGDSRQGIDHAKFKIGRTLIVAEFFMDVDEDDWVKFYSTISQMTASGSKIIIISRAEKLARFGTVKAVHLNSLSQEEYSYLFKMLAFGSIDEKDHPKMASVANDLAVVLGGSLITANVISDLLRRNPNIHFWLHILRRFEGMVKNNLSKYGEHPKDILEKEKPIDITRFLSSYPTCLQLMPPRVEKDHIPNRKKPRMSFGDLVIGCSAIPDGDFELVTWQSRIPPYTKYVQSVAVFVDGKNGCTTSTRKRRSSS >LPERR10G01200.1 pep chromosome:Lperr_V1.4:10:1285171:1286641:1 gene:LPERR10G01200 transcript:LPERR10G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSFLSYPAATDNRKDKRIVMRTSFLLDHPVLSIFITGLLVHGLARTLARRSLLSAILSCGTLHRK >LPERR10G01210.1 pep chromosome:Lperr_V1.4:10:1310551:1311958:1 gene:LPERR10G01210 transcript:LPERR10G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWHRHLCGGMRENWTKDQQQNTSTYFPQNHVSGGETPNAQVHSNVKGSFSGRHGFENAKQGYSNNGSVSSADHQNNTYHQIYQQGPHPERHEASEKVTEKRTSSSRMVQEPVEITNKDDSQDMNNNTVMSGIDRQHCCVPEQSARADHMDLAMHSARVYLGTLNDGQKVAVKIPMRATETDKNDFKTELRVQSRIKHKNVVKLLGYCLEGGAPKLVYEFAANGNLYDKLHGNCRAPMLLDVRLRILLECAEALAYIHSSTDMYPSWRC >LPERR10G01220.1 pep chromosome:Lperr_V1.4:10:1332362:1335488:-1 gene:LPERR10G01220 transcript:LPERR10G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKILLIWLSLINVLVEATSMSIDQADKVSTYIVHVAYTHAAPLVDTTTSNLSHAHYNSFLHAILPSSINEPSPRIIYSNSHAATGFAARMTKHQALHIAGHPGVLTIYRDKRVELHTTSSPGFLHLSPYSELVESLARGTRAVIVVLDSGVYPKDRRSFTAYPTLPPPPLTFRGGCVSTNTFNAAAYCNNKLVRMKYFYNGHNYLGHTDIASPLDTNGHGTHTASIAAGSAVRGANLFGYADGTAKGMSVGAHIATYKVCWTSNGGKPGCFYTDILAGMDEADVISISIGREERELYHEPISIGAFKAMEKGIIVSTSAGNNGPDKSTANNLAPWLITVGASSIDRQFPAHVVLGRLTTPVVDALCWSKQADSFMPLIYGGDAGYNSCESGKLSHNKVVGKIVLCDGGYAPKQEAAVHQAGGLGTIVPSTVARLEFLGTVISKSPSAPRVAAFSGRGPNCFAPEIVKPDIIAPGVDILAAWSGENSPSSLSIDTRRVEFNIISGMSMACPHVSGIAAMLKVVHPSWSPAAIKSAIMTTAYGVDNRSNTIKSARRRPVGDLNYPAFSVVFGRSGGRVTQRRSLTNVGANTNAVYNVTYFEPPGTTLVVTPSTLTFNAENKTLDYTITLSGGAANSTKYQWGAIDWNDGKHKVRNPVVATWN >LPERR10G01230.1 pep chromosome:Lperr_V1.4:10:1357589:1358579:1 gene:LPERR10G01230 transcript:LPERR10G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVTRELIWPPDSPSRRPQPIGAIAMPAQATTALANQLLATVVATPSPGHRHTAHPHLYQPPPLPGCQICFGERCRFVVATPSPRRMPLLGIGGVRRLQRLAAKRPYVNGLQRRTSSSRAAAADEWGGSSPPRWSDGRGAGWLQ >LPERR10G01240.1 pep chromosome:Lperr_V1.4:10:1359219:1363267:1 gene:LPERR10G01240 transcript:LPERR10G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISAVIGDLTSRFISFVMNKFSDHLCSEEKVKRLEQLVLRVHMVVDEADGRYITNSLMLAHLKMIVAAMYSGYHVLDTIKYMKNKEVIDDLVNDSSSVSFAAPLKRSRTTTVCTERKNKFSMELQDALENLEAVIGDMNEFVILLAGCERMSHKPYDTYLYIDNFMFGRHVEKQHLVNFLMENNTAGPPAVITVIGGRGAGKRTLVAHVCNDERVRSHFSLIFHIKGENLGEIAENTNLPAARTLVIVEFVSDIDDNDWGTFYSSLTSLNRGNKVVIILTRIEKLKRFGTVKPITLDKMVYEEYRYLLKTLTFGSANPKDHPQLVPIVEEFAMLLGGRLIPANILGYVLRKNLNVHFWLSRLKGVRFTVKKNMSVSGSHPNELFDQGFPAHLTDYILYPPANTSTNALKNDLPQLVFGDLMAGQIVPPKGDFNLVSWESRIPPYTTFVHMARFCPRLEMELAVSAVTGELVSRFISFLLSKYTNSSHEISEEKQLERLQQLLLRVCTVVEEADGRYITNSGMLMQLKVLADAMYRGHRVLDMFSCRTLVQKNPIIEVSNPCPPLKRLRGIADIAGNNNARYSELHKTLRRLETVVDHMAEFVVLLGGCNRMSRRPYDSYLYMENFMFGRHTEKQRVLNFLLEYSPPGEPSVLPIVGSLAVGKKTLVAHVCADERVQSRFSSILHMNEDDLLRIAHHDTLLAGKMLVVIEFISDVDDMSWKEFYTSLSRMNEGSKVIIISRFRKSEKLGTVKPIFLDNLSCEEYIYLFKSLAFGSSNPKDHPHLKCITAAERNLFLYGEHPRNLFEQGHNIDITSIASSPAAPLHFIPCTSLRTLTSKKNLPRVTFRELLQDPSSRPNGEFNLESRLPPYTSFVHFVPNYADDMVEGTTLSGRKRRGVPS >LPERR10G01250.1 pep chromosome:Lperr_V1.4:10:1373928:1375870:1 gene:LPERR10G01250 transcript:LPERR10G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQHLLLKVHMIVEEAEGRCITNSKMLLQLKKIMEAMYKGYHVLDIIKHRTLCSSLFEEEVEKQQIINILMQDNIPPFAPTVLPIIGPSRVGKRTLVADVCNNEIVRSHFSSILHLTTENIWKMEHATFRQNRDLVVVEFTADIDDDNWKTFYASCTHMARGSKIIIVSKIRKLSRFGTVRPIHLNSLSLEEYSYLFKVLAFGSINPEEHPRLASIANEMSVLLGGSFITANVCADMFRKNQNVHFWLHVLKKYRTAVQNNFTVFREHPKLLMEKDHQIDITKFVSSPSPLLLMPPCDGDEPKRELSKVMFGDLIAGTAVLPKEDFELIAWESRLPPYRRFAGIATYYDDKNLQYTALTQKKRRRLDK >LPERR10G01260.1 pep chromosome:Lperr_V1.4:10:1393791:1395230:1 gene:LPERR10G01260 transcript:LPERR10G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVSAIAGDLVKRFISFMIKKYESQANLERKMKRLQHLLLKVHMIVNEAEGRCITNSMMLLQLKKIVEAMYEGYHVLDIIKHRTLCSSKPEEEVSRSNTLSNTTFYGNPFCIGKSAIRHDQLQSTLDSLETIVSSMTEFVFLLGGCERMSRRPYDMYLYFDNFMFGRQVEKQQLINILLQENLPPSAPTVLPIIGPSRVGKRTLVAHVCNNEIVQSHFSSILHLHGENIRKMERGTFAQRRILVVVEFTADMDDDIWRKFYLSSTHMGWGSKIIIISRIERVSRFGTVRPINLNSLSLGEYSYLFKVLAFGSTNAEEHPQLVFIANELSVLLGGSFLSANVCANVFRKNQDVHFWFSVLKKHRFMVQKNFLEFREHPKLLFEKEHQVDITIFAPSSSPLRLMPPSEGGKKKRELSQVMFEDLITDPILLPKEDFELVWESRIPPYRRFVSIASYHEDEQKTSQHVASPCKKRQKLDK >LPERR10G01270.1 pep chromosome:Lperr_V1.4:10:1396511:1401034:-1 gene:LPERR10G01270 transcript:LPERR10G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSGGGGSGGGGRELNGGGPPCGQVRVLVVGDSGVGKSSLVHLILRGSAITRPPQTIGCAVDVKHVTYGSPGGSSNSIKGDSERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLAPREDTILPVETTNDDDLFQRKSYAGQSYKYNGVSPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRVPANSLKSDFWAANEYFSLQGESSLLVSTMQS >LPERR10G01270.2 pep chromosome:Lperr_V1.4:10:1396924:1401034:-1 gene:LPERR10G01270 transcript:LPERR10G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSGGGGSGGGGRELNGGGPPCGQVRVLVVGDSGVGKSSLVHLILRGSAITRPPQTIGCAVDVKHVTYGSPGGSSNSIKGDSERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLAPREDTILPVETTNDDDLFQRKSYAGQSYKYNGVSPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRASRADINI >LPERR10G01280.1 pep chromosome:Lperr_V1.4:10:1405285:1406523:1 gene:LPERR10G01280 transcript:LPERR10G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAEPTLPDDILEEIFLRLDALADLARAAASCATFRRLITARAFLRRIYALHHRRRPLLGLLLKSEGTSPCEFVPAEPPHPSAPAARGVAQQADLAFSFLPAVPGGWLLRNVRGGLALLSTGDSPTPRGDFPDLVVCDPLHRRFVQIPPIPDDLAAAPIRSRCVLSFDYLLLREEDDSEDDSSSFRVVCRAIVAEGDAILFVFFSSAGTAGDGIWRSATLENCCRNSTKLFMSQCTDNRYVSWQFPLSCRLLMLDIHEMEFFYIFFFRRGPSSTAIGEVVEGRFRLFDLYDDKVEIFSYPIRASVYEQSGDDKRANLCEQCRHDKTIQLLPGYNWKFSKPAEYEVCLLLQAHLGDDASQFMPGTELQYFTLDLKTCLLEKLCSIKSDGTARSPQLELYTSFPMPLSLSSI >LPERR10G01290.1 pep chromosome:Lperr_V1.4:10:1409684:1410685:1 gene:LPERR10G01290 transcript:LPERR10G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSRQQAAASSPPLLVLYDDGTNADHQRRTTLYSVADDVHRPYDIDDELLRTKRSWVTTSHGGWVLTFDPETLATFLWNPHATTNVITLPSFGQSPPTLKASCTLSNKPTSRRFTVVMVDTNSNVMWYCHVSSPAAVSSSLSSWTKQEYDDDDDELRSICCLAPSPCGGDGKFYYLISRGLYGVLDFSAPDQPVFGTVRLKPISLFAMNDILVYSPFLLDINGKLCRVFIFHGDTCNIVIDVAIDRVDIEKQRHTAIRSIGDRAILVGGTHDFAGWCRASCHGLLPNSIYWMNPRDSTLRVYRIGHNTEEIRTRLIVSPTPSSNLCPAQQ >LPERR10G01300.1 pep chromosome:Lperr_V1.4:10:1412304:1413934:1 gene:LPERR10G01300 transcript:LPERR10G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPRSHEAKAHIILVESQRRCVELLIIGHRRFSSFLGLRGASGSSIGQDSTSEFLIEHNKCITITNDQGP >LPERR10G01310.1 pep chromosome:Lperr_V1.4:10:1423309:1428437:1 gene:LPERR10G01310 transcript:LPERR10G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPMRSYRERESQFLWKPFVFQIQVLRKNSRSKQKSRIEIELRNRHGCFFFLSPLLPNSPPLIPPPQRRGHRTPCAGDWSLSIARREERWRSIRLGDCRAREREGEAHMPQVQSTPATATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVREAFKDVQLSIDHCLFKGQYSDIGTKESYEQNSRGVEIFSKCWYPENHRMKAIVCVCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDATEHFTKIKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNKWDGAILVAPMCKIADDVVPPWPVQQVLIFMARLLPKEKLVPNKDLAELAFKEKNKQELCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGESDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDETIFQVLDDIISWLDQHSTKEVPLS >LPERR10G01310.2 pep chromosome:Lperr_V1.4:10:1425461:1428437:1 gene:LPERR10G01310 transcript:LPERR10G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVCVCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDATEHFTKIKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNKWDGAILVAPMCKIADDVVPPWPVQQVLIFMARLLPKEKLVPNKDLAELAFKEKNKQELCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGESDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDETIFQVLDDIISWLDQHSTKEVPLS >LPERR10G01310.3 pep chromosome:Lperr_V1.4:10:1423309:1426980:1 gene:LPERR10G01310 transcript:LPERR10G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPMRSYRERESQFLWKPFVFQIQVLRKNSRSKQKSRIEIELRNRHGCFFFLSPLLPNSPPLIPPPQRRGHRTPCAGDWSLSIARREERWRSIRLGDCRAREREGEAHMPQVQSTPATATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVREAFKDVQLSIDHCLFKGQYSDIGTKESYEQNSRGVEIFSKCWYPENHRMKAIVCVCHGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDATEHFTKIKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNKWDGAILVAPMCKMMWFRLGLFSKS >LPERR10G01320.1 pep chromosome:Lperr_V1.4:10:1429510:1431499:-1 gene:LPERR10G01320 transcript:LPERR10G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLQLTDLPKEILVEIFHRLGDLARTSAASSPPAISSAASTSSTVRAAFSNPSNAEARSGSSSRPPPRGKGQPQSTSPSPSSQAAADAGASATSAAALPSYAPAMPPPAAIPPIPNDLAASPICSLMLQGLELEYLLAPSQQDEEEEGLPHVPHDPHTKGVLRQAIGGSGEGSVGVVTIADRNVYLHTKAIVGGTADERWRHEKTMPLLPGYYKWRFVKPLEGDGYLVLQGTNLEVVAGMEGQYFTLDLKTFRFEKLLLSTICENGGRSKKIAARFQILKQLADDSCGARNGWHLRID >LPERR10G01320.2 pep chromosome:Lperr_V1.4:10:1429510:1431499:-1 gene:LPERR10G01320 transcript:LPERR10G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLQLTDLPKEILVEIFHRLGDLARTSAASSPPAISSAASTSSTVRAAFSNPSNAEARSGSSSRPPPRGKGQPQSTSPSPSSQAAADAGASATSAAALPSYAPAMPPPAAIPPIPNDLAASPICSLMLQGLELEYLLAPSQQDEEEEGECGSSFRLVCRPRLGKDQRYVTVFVFSSDAGIWRAATISDACPMLFAAGCVHGYVYWQTILLDRLLILDTDKMKLFWLTGLPHVPHDPHTKGVLRQAIGGSGEGSVGVVTIADRNVYLHTKAIVGGTADERWRHEKTMPLLPGYYKWRFVKPLEGDGYLVLQGTNLEVVAGMEGQYFTLDLKTFRFEKLLLSTICENGGRSKKIAARFQILKQLADDSCGARNGWHLRID >LPERR10G01330.1 pep chromosome:Lperr_V1.4:10:1444690:1445243:-1 gene:LPERR10G01330 transcript:LPERR10G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTSLAPPSPAPHSAASSPPAPSSAASEHSTAGPSSGSCTSTTRPASSSPPTHPPLHPCRPCRRKPISPSPSSRNPAAGASATSATTSPSYKRGMSPATAASRIWWSAIPCSGGMFRSPQSPMT >LPERR10G01340.1 pep chromosome:Lperr_V1.4:10:1447572:1448754:1 gene:LPERR10G01340 transcript:LPERR10G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLGFLASPFVSRVLVALKLKGVEYEMLEEKMGTKSELLLKSNPVYKKLPVLLHHGNPISESLIIIEYIDEIPSAVRVLRSEDNAEHKEKVAREMSTTLQYLEEAFVKCSQGKNYFGDDDIGYLDIALGALLGWIKAAEKIAGIKLLEEAKVPNLISWADRFCSHPAVVDVFMDADILVEFSVKYATLVKALDMGLPVEFGSPVQNP >LPERR10G01350.1 pep chromosome:Lperr_V1.4:10:1450220:1450636:-1 gene:LPERR10G01350 transcript:LPERR10G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHPNIPAPRSRCCRLRCRYPLSAAAPVVFLVLGGGDCGSAIPDLAGVGSTSPNLAGAGSASPDLAGTGSASLDLAGVGFTSPDLAGGGSASTEGLQPPLAGGADIFVIVIHSVSPLPLSSSSSPPLPPSLWFARH >LPERR10G01360.1 pep chromosome:Lperr_V1.4:10:1452493:1452756:-1 gene:LPERR10G01360 transcript:LPERR10G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAPTIATLTRATPRRGSRYRHTDQLERRLRQKQDDSRPDDQAQPTGQPLPPPSVDAATANVSTPQSTPWHQIHAGDVQQSALTS >LPERR10G01370.1 pep chromosome:Lperr_V1.4:10:1453673:1456973:-1 gene:LPERR10G01370 transcript:LPERR10G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTATLLELLLAPARGDEEGGSCSSSSSSSFRVVCRARADNFTVFVFFSGTGIWRAATFAACHYDFAGGFILPHFLHGCVYWRCWTHVNRMLMLDICDMDFFFFTLPSRTGIHRAIGDGGEEGRFGVFDLDCDDKVYMLSKPIRGSADEQWRHDGTIPLLPGLTWRTVKPVKGYLLLEGDLGYYSPVLHYFTLDLKTFVLERLCSLPRWNNHTSLPLYTSFLSSLSPNGLRDQQPTLELIVSAVSGELVSGFISFLKSKYPSHEMSEEKQLERVLELLLLRVKALADAIYRGQDVRCRILIHENPITEVSNPFPQTFSQNCRCFLERKRQNFLFFWVDANACCGGHMIENIILKSRGS >LPERR10G01380.1 pep chromosome:Lperr_V1.4:10:1458404:1461466:-1 gene:LPERR10G01380 transcript:LPERR10G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPRVTFRDLLLDPSVKPKGEFNLVSWESRLPPYTLFTLFRIILRICMKIHHCQGGSVKKFLPNLTNGLNNKNNSSQTFPHCPLLPTSQLAVAEIAGVALRLNPFRTLPVFDHMPAGRRLASSLPQPLLLITFPDELLMEILVRLGDALADLAHAAAACKTLCRIITSCSFLHRIRRPIPPPQINLRWRLASP >LPERR10G01390.1 pep chromosome:Lperr_V1.4:10:1461490:1471367:-1 gene:LPERR10G01390 transcript:LPERR10G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPIFRSLLDPPDLVPTERFQLRLAEYEGGNVRCRRMIYGSRHGRVLLFIRKIREIVVWDPDTGDQRRVAVLPELDDEEKNVFNGAVLCTAAADDSHVHGGFSSCPFKVVLVGIYWNYTQMFACVYSSGTGKWSDLISAQGGCLGIVTLYCDLIQIWERKRDSLNKVLELGSGVETVDSVVRGYAEDNNAVFLSVDSSIFMLQLDSMWSKKLRENNTMSYLYPYTSTYGDDMTRRRRRRQTSPAAAAAASPPDDNDLIQEILLRLPSSPSSLLRASLVCKQWRRLVSDPVFLRRFRANHLHPPLLGFFRDEYGSPVFRSVLDPPDLIPRDRFSVGRWRRGVVQIVGCRHGLALLFNYSIRELVVWDPVADDRRYVPVPPELDRGEKSVLNCTVLCASAGDDGHVHGGGFRSCRFFKVVFIGAERRNQRIFASVYSSATEDDNVGLAILSYRGFQMWERKVSFGGGAEWVLRKTVNLHDILGLSSVVQREKMDIMGYAEDLNVFFLMVDTGLFMVQIDSMKSKKLSLYDSYNITRCYPFTSFYTSVTFDLFFLVNLENLVMEHVISAVTSEVINRFISFLMNKSSSQEISVDKQLERLQRLLLRVSMVVEEADGRYITNSGMLMQLKVLADAMYRGHNVLDIFRCRTQIQENPINEVSNTFRATKRFCKIVDASWKDKARRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPSVQPAVLPIVGGLAVGKKTLVAHVCADERVQSQFCSVLHLNEDDLLRIAQNCHSLLAGKLLVIVEFVSDVNEMNWQEFYTSLAQMNGGSKVITISRLRKSETVGTVKSIILDNYSYHHMKNCTLAFGSANPNDHPQLVQIAKEFSLQLQLMGTLAGANTIADVLRRNLNINFWLCILKKCITVAEKNISLYGGNPKLLFEQRLSYRHNKLCFVSSCSITCNSLY >LPERR10G01390.2 pep chromosome:Lperr_V1.4:10:1461490:1471367:-1 gene:LPERR10G01390 transcript:LPERR10G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPIFRSLLDPPDLVPTERFQLRLAEYEGGNVRCRRMIYGSRHGRVLLFIRKIREIVVWDPDTGDQRRVAVLPELDDEEKNVFNGAVLCTAAADDSHVHGGFSSCPFKVVLVGIYWNYTQMFACVYSSGTGKWSDLISAQGGCLGIVTLYCDLIQIWERKRDSLNKVLELGSGVETVDSVVRGYAEDNNAVFLSVDSSIFMLQLDSMWSKKLRENNTMSYLYPYTSTYGDDMTRRRRRRQTSPAAAAAASPPDDNDLIQEILLRLPSSPSSLLRASLVCKQWRRLVSDPVFLRRFRANHLHPPLLGFFRDEYGSPVFRSVLDPPDLIPRDRFSVGRWRRGVVQIVGCRHGLALLFNYSIRELVVWDPVADDRRYVPVPPELDRGEKSVLNCTVLCASAGDDGHVHGGGFRSCRFFKVVFIGAERRNQRIFASVYSSATGEWGNIIFRVTGPVSTFCHLGSPSVLVGNSLYWLLHVSRHHILEFDLERLSLDVIDADWPQINFSTDCRFCIMRAEDDNVGLAILSYRGFQMWERKVSFGGGAEWVLRKTVNLHDILGLSSVVQREKMDIMGYAEDLNVFFLMVDTGLFMVQIDSMKSKKLSLYDSYNITRCYPFTSFYTSVTFDLFFLVNLENLVMEHVISAVTSEVINRFISFLMNKSSSQEISVDKQLERLQRLLLRVSMVVEEADGRYITNSGMLMQLKVLADAMYRGHNVLDIFRCRTQIQENPINEVSNTFRATKRFCKIVDASWKDKARRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPSVQPAVLPIVGGLAVGKKTLVAHVCADERVQSQFCSVLHLNEDDLLRIAQNCHSLLAGKLLVIVEFVSDVNEMNWQEFYTSLAQMNGGSKVITISRLRKSETVGTVKSIILDNYSYHHMKNCTLAFGSANPNDHPQLVQIAKEFSLQLQLMGTLAGANTIADVLRRNLNINFWLCILKKCITVAEKNISLYGGNPKLLFEQRLSYRHNKLCFVSSCSITCNSLY >LPERR10G01400.1 pep chromosome:Lperr_V1.4:10:1479663:1513539:-1 gene:LPERR10G01400 transcript:LPERR10G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRRPSSPVPAQPLDDDDLLTEIILRLPRLQPLAPPRLRPRLPPPPPRAPPESTPHRLRYPSFRSVLDPPDLIPIGRFQLRLAEDEHCNMPYRWMLFGCRHGRVLLLSRGTKMIVVWDPDTGGYRWVAVPPAMTTIRTSKVLCAAAADDSHVHGGFSSCRFKVVFVDMPSNQGEMFAACVYSSETGEWSDLISIAAPFSASAIFDPAVLVGHALYWLPVGGNESCILQFDLVIQTLAVIEWSRKPNCTTHILAAVGRRWLSWRCHLSHVCSEGVSKWVLQNTYDQDSNMLLLWVDSSVFMLQLDSLQSKKIWENNIIKKIHPYTSTYITESGQVMSGATTSSRRFSSASRRSHPPSLAPPPSASDGAASSLTTPSSAASDRHHRKPPLLGVFKFDPCPPQLHPRAGSVGLDPRLPRMIRGGEMIRRRRPTSPAPALPLDDDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDPGFLRRFRSRHRKPPLLGFFNDVVGCPVFNPTLDPPDRIPAAHLSWRPRGDDNRFDDFHRLLGCHYGRALIYMGMPSRLIVWDPLTSDRRAVGIPGAFHDRSVVYYAGEVRCVDGACHSSPFEVTIIVGITGRIRREAFACVYSSETGNWGNAIAISTEFDLDDLICCFSTMVGNSLYWLLMDFWSISILQINLDKMIIVQIEVPPDVHHPSGDGYCRIAPAEDGGLLLIVATHYVLNLWKSKISNDGVVGWVLEKTIELDRLLSLEPGPEKREPMILGFAGEHNVRKTKERKETGKPSWREGKRSEAEMIRRRCPTSPASAPAPATPLDNDDLLSEILIRLPPQPSSLPRASLVCKRWRRLVSDRGFLRRLRAHHRRPPLLGFFKGGFPPMPEPRQESAPTFIPTLNPPDRIPAACFCWRLPGRDINFEIDCDLFGCRHGRALVYDSQFDRLMVFDPLTGDRRSVDIPDAFCAREVVLLCGEVRCVDGEEGHVHGSCHSSPFEVAVIGSNLNQTHAFACVYSSETGNWGNLISATFNWHTMFYSTSTLVGNSLFWALRTEGISVLQFNLDKEIIAQVDAPPDVHRDRDYSYQMAPAEDDGLIFLAVTHFNLIIWKSKTNTDGILTGWVLDKTIQLDRLLPMETGIQTRATTILGFVEEHNIVFLRTDIAIIMVNLESMQFKSLAQTVEAGIYYPFTSFLHGRTVAWVMGDHVVFDHGGAKSYTLLILMAKYLSRRRAASPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDPAFHRRFSARHRNPPLIGVFVGDMERPFFRSVLDPPDLIPTERFRMREADDEGGNTVDRWRLFGCRHGCALLKDRQRKELVLWVPDTGDHRVVAFPREIDDDEKFLWNGAVISADAADDGHGHCGFSSCPFKVVVVGVTKDNTQMFACFYSLETGKWSDLIFIAAQFVVYAFVDPGILAGNALYWSATGDGNAILQFDLNRHSLAVIDWPSNAINHNNHTSQILKTEDGGLGLATISRDSLQLWERKVCSQGGVAEWVLQKTHELNMVLDLGSRVKIGHSVRLGYDEDTKVMLLWVDFSVFMLQLDSLQSKKLLKTNILCKLPPYTSAYVADCWRWFVHSVCGGGCVTYEVVPMWKLIILNLEMNRHRRRAPSPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDHAFLRRFRSRHRKPPLLGFFQQDDNLEPVFIPALDPPDRIPASRFSWRPPGPGGDNIDHCDLSGCRHGRLTLFNRDIRHQCVVWDPVTDERRAVDLPVAFLVDMYIYYGSVCRVDGDPDHVHGDCHSSPFELAILTGDDDNAFTCVYSSVTANWGNVISIEFEFGNLSCHSDALVGNSLYWLLQWGSNAILYFDLERQRLGQIDVPPLDEHTNWDDCCQIASAEDGGFLFLVVTYYSLNLWKNKKNGDCFAGWVLEKTIEMDRLLSFGPGPAGTIIILGFSDEHNAVFLGTHIGAFLIYLESMQSKNLSQTNGLHYCPFSSFDTKECQS >LPERR10G01400.2 pep chromosome:Lperr_V1.4:10:1479663:1513539:-1 gene:LPERR10G01400 transcript:LPERR10G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRRPSSPVPAQPLDDDDLLTEIILRLPRLQPLAPPRLRPRLPPPPPRAPPESTPHRLRYPSFRSVLDPPDLIPIGRFQLRLAEDEHCNMPYRWMLFGCRHGRVLLLSRGTKMIVVWDPDTGGYRWVAVPPAMTTIRTSKVLCAAAADDSHVHGGFSSCRFKVVFVDMPSNQGEMFAACVYSSETGEWSDLISIAAPFSASAIFDPAVLVGHALYWLPVGGNESCILQFDLVIQTLAVIEWSRKPNCTTHILAAVGRRWLSWRCHLSHVCSEGVSKWVLQNTYDQDSNMLLLWVDSSVFMLQLDSLQSKKIWENNIIKKIHPYTSTYITESGQVMSGATTSSRRFSSASRRSHPPSLAPPPSASDGAASSLTTPSSAASDRHHRKPPLLGVFKFDPCPPQLHPRAGSVGLDPRLPRMIRGGEMIRRRRPTSPAPALPLDDDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDPGFLRRFRSRHRKPPLLGFFNDVVGCPVFNPTLDPPDRIPAAHLSWRPRGDDNRFDDFHRLLGCHYGRALIYMGMPSRLIVWDPLTSDRRAVGIPGAFHDRSVVYYAGEVRCVDGACHSSPFEVTIIVGITGRIRREAFACVYSSETGNWGNAIAISTEFDLDDLICCFSTMVGNSLYWLLMDFWSISILQINLDKMIIVQIEVPPDVHHPSGDGYCRIAPAEDGGLLLIVATHYVLNLWKSKISNDGVVGWVLEKTIELDRLLSLEPGPEKREPMILGFAGEHNVRKTKERKETGKPSWREGKRSEAEMIRRRCPTSPASAPAPATPLDNDDLLSEILIRLPPQPSSLPRASLVCKRWRRLVSDRGFLRRLRAHHRRPPLLGFFKGGFPPMPEPRQESAPTFIPTLNPPDRIPAACFCWRLPGRDINFEIDCDLFGCRHGRALVYDSQFDRLMVFDPLTGDRRSVDIPDAFCAREVVLLCGEVRCVDGEEGHVHGSCHSSPFEVAVIGSNLNQTHAFACVYSSETGNWGNLISATFNWHTMFYSTSTLVGNSLFWALRTEGISVLQFNLDKEIIAQVDAPPDVHRDRDYSYQMAPAEDDGLIFLAVTHFNLIIWKSKTNTDGILTGWVLDKTIQLDRLLPMETGIQTRATTILGFVEEHNIVFLRTDIAIIMVNLESMQFKSLAQTVEAGIYYPFTSFLHGRTVAWVMGDHVVFDHGGAKSYTLLILMAKYLSRRRAASPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDPAFHRRFSARHRNPPLIGVFVGDMERPFFRSVLDPPDLIPTERFRMREADDEGGNTVDRWRLFGCRHGCALLKDRQRKELVLWVPDTGDHRVVAFPREIDDDEKFLWNGAVISADAADDGHGHCGFSSCPFKVVVVGVTKDNTQMFACFYSLETGKWSDLIFIAAQFVVYAFVDPGILAGNALYWSATGDGNAILQFDLNRHSLAVIDWPSNAINHNNHTSQILKTEDGGLGLATISRDSLQLWERKVCSQGGVAEWVLQKTHELNMVLDLGSRVKIGHSVRLGYDEDTKVMLLWVDFSVFMLQLDSLQSKKLLKTNILYCWRWFVHSVCGGGCVTYEVVPILEMNRHRRRAPSPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDHAFLRRFRSRHRKPPLLGFFQQDDNLEPVFIPALDPPDRIPASRFSWRPPGPGGDNIDHCDLSGCRHGRLTLFNRDIRHQCVVWDPVTDERRAVDLPVAFLVDMYIYYGSVCRVDGDPDHVHGDCHSSPFELAILTGDDDNAFTCVYSSVTANWGNVISIEFEFGNLSCHSDALVGNSLYWLLQWGSNAILYFDLERQRLGQIDVPPLDEHTNWDDCCQIASAEDGGFLFLVVTYYSLNLWKNKKNGDCFAGWVLEKTIEMDRLLSFGPGPAGTIIILGFSDEHNAVFLGTHIGAFLIYLESMQSKNLSQTNGLHYCPFSSFDTKECQS >LPERR10G01400.3 pep chromosome:Lperr_V1.4:10:1479663:1513539:-1 gene:LPERR10G01400 transcript:LPERR10G01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRRPSSPVPAQPLDDDDLLTEIILRLPRLQPLAPPRLRPRLPPPPPRAPPESTPHRLRYPSFRSVLDPPDLIPIGRFQLRLAEDEHCNMPYRWMLFGCRHGRVLLLSRGTKMIVVWDPDTGGYRWVAVPPAMTTIRTSKVLCAAAADDSHVHGGFSSCRFKVVFVDMPSNQGEMFAACVYSSETGEWSDLISIAAPFSASAIFDPAVLVGHALYWLPVGGNESCILQFDLVIQTLAVIEWSRKPNCTTHILAAVGRRWLSWRCHLSHVCSEGVSKWVLQNTYDQDSNMLLLWVDSSVFMLQLDSLQSKKIWENNIIKKIHPYTSTYITESGQVMSGATTSSRRFSSASRRSHPPSLAPPPSASDGAASSLTTPSSAASDRHHRKPPLLGVFKFDPCPPQLHPRAGSVGLDPRLPRMIRGGEMIRRRRPTSPAPALPLDDDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDPGFLRRFRSRHRKPPLLGFFNDVVGCPVFNPTLDPPDRIPAAHLSWRPRGDDNRFDDFHRLLGCHYGRALIYMGMPSRLIVWDPLTSDRRAVGIPGAFHDRSVVYYAGEVRCVDGACHSSPFEVTIIVGITGRIRREAFACVYSSETGNWGNAIAISTEFDLDDLICCFSTMVGNSLYWLLMDFWSISILQINLDKMIIVQIEVPPDVHHPSGDGYCRIAPAEDGGLLLIVATHYVLNLWKSKISNDGVVGWVLEKTIELDRLLSLEPGPEKREPMILGFAGEHNVRKTKERKETGKPSWREGKRSEAEMIRRRCPTSPASAPAPATPLDNDDLLSEILIRLPPQPSSLPRASLVCKRWRRLVSDRGFLRRLRAHHRRPPLLGFFKGGFPPMPEPRQESAPTFIPTLNPPDRIPAACFCWRLPGRDINFEIDCDLFGCRHGRALVYDSQFDRLMVFDPLTGDRRSVDIPDAFCAREVVLLCGEVRCVDGEEGHVHGSCHSSPFEVAVIGSNLNQTHAFACVYSSETGNWGNLISATFNWHTMFYSTSTLVGNSLFWALRTEGISVLQFNLDKEIIAQVDAPPDVHRDRDYSYQMAPAEDDGLIFLAVTHFNLIIWKSKTNTDGILTGWVLDKTIQLDRLLPMETGIQTRATTILGFVEEHNIVFLRTDIAIIMVNLESMQFKSLAQTVEAGIYYPFTSFLHGRTVAWVMGDHVVFDHGGAKSYTLLILMAKYLSRRRAASPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDPAFHRRFSARHRNPPLIGVFVGDMERPFFRSVLDPPDLIPTERFRMREADDEGGNTVDRWRLFGCRHGCALLKDRQRKELVLWVPDTGDHRVVAFPREIDDDEKFLWNGAVISADAADDGHGHCGFSSCPFKVVVVGVTKDNTQMFACFYSLETGKWSDLIFIAAQFVVYAFVDPGILAGNALYWSATGDGNAILQFDLNRHSLAVIDWPSNAINHNNHTSQILKTEDGGLGLATISRDSLQLWERKVCSQGGVAEWVLQKTHELNMVLDLGSRVKIGHSVRLGYDEDTKVMLLWVDFSVFMLQLDSLQSKKLLKTNILCKLPPYTSAYVADCWRWFVHSVCGGGCVTYEVVPMWKLIILNLEMNRHRRRAPSPATLPDDDDLLTEILLRLPPRPSSLPRASLVCSRWRRLVSDHAFLRRFRSRHRKPPLLGFFQQDDNLEPVFIPALDPPDRIPASRFSWRPPGPGGDNIDHCDLSGCRHGRLTLFNRDIRHQCVVWDPVTDERRAVDLPVAFLVDMYIYYGSVCRVDGDPDHVHGDCHSSPFELAILTGDDDNAFTCVYSSVTANWECQS >LPERR10G01410.1 pep chromosome:Lperr_V1.4:10:1517604:1524801:1 gene:LPERR10G01410 transcript:LPERR10G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVNGERYEAVGVDPSMTLLEFIRMRTRFRGPKLGCGEGVLGSRVSHADKPAGGCGACVVIVSKYDATIDEVTEFSASSCLTLLGSLHYCAVTTSEGIGNSRDGFHPVQRRLSGFHASQCGFCTPGMCVSIFSALTKADRVPLASPRPVPPPGFSRLTTTEAMKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKRDSDERADVSKLPAYSRDSVATFPEFLKSEIKSSIGQTNGGAPAVVSTGDGWFHPRSIEEFHELFASNLFNESSLKIVASNTGSGVYKDQDLHDKYINISQIPELSAIKRSSSNGVEIGAAVPISKVIEVLVSDDGDSVVFRRIADHLSKVASTFVRNTATIGGNIIMAQRLLFPSDIATILLAAGSTVTIQKLVASKSKRTCLTLEEFLKKPPCDSMTLLISISIPDWGSHHHEGITFETFRAAPRPFGNAISYVNSAFLAKSSVDAASGSHIIVDVRLAFGAFGTEHTIRATEVEEFLKGKLVTAPVILEAIRLLKGVVSPAQGTTHPEYRVSLAVSYLFRFLSSLANGLDEPEKDSNVPNGSCASNGTTDSSIESSSPEKHLRVDSSDSSIKSRQEMVFSDIYKPVGKPIEKVGAELQASGEAIYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTGGQNVGSCFPMLGDEALFADPIAETQKYAYMAAKQAVMEYSTENLQPPILTVEDAVHHNSYFQVPPFLTPKPIGDFNQAMSEADHKIIDGEVRLGSQYYFYMETQTALAIPDEDNCITVYCSAQMPEVTQDIVARCLGIPLHNVRIITRRVGGGFGGKAMKATHVAAACSVAAFKLRRPVRMYLDRKTYDNGGRAASNEGLQDEHLINISSKSAMRAPGEAQGSFIAEAINEHVTSALSVGTNTIRRKNLHDFESLKVFYGDSAVSLVTGVAAEDRHGRALQQQQQVEEAGHFLRAHHLRGESIS >LPERR10G01420.1 pep chromosome:Lperr_V1.4:10:1537241:1538695:-1 gene:LPERR10G01420 transcript:LPERR10G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSSSFSAPLHRVQLASLGFVTAFLGGGIDAANNDETNTDGTMSSQSYGLKDCFNILLVWEILVQKLNENP >LPERR10G01430.1 pep chromosome:Lperr_V1.4:10:1541195:1542540:-1 gene:LPERR10G01430 transcript:LPERR10G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIGFDVQENRVVQQIADSYDGDDDDDNYEPKAMIMPIQHDAETSSSNNPPQWTDESWSDDDFDQERDAISQSLAQA >LPERR10G01440.1 pep chromosome:Lperr_V1.4:10:1554574:1555161:-1 gene:LPERR10G01440 transcript:LPERR10G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSSAADFDDEEDEKFDIFTDMSTYAETKDPHGEDSDKLSDSDDCKEVAALTAGASQVSSSNTSNLKPNKKSFKRCGKPMTLPQSRNDKGKIKAKLTPTLHGDDDVDALISSTLVGIKDNLAKLVQIAAPQDPNAPLWEMLKKIALEPDDKMRVGLHLCKPEFETHRSFLVSMGQEYLERWIYKFLSGDDPCL >LPERR10G01450.1 pep chromosome:Lperr_V1.4:10:1562620:1569857:-1 gene:LPERR10G01450 transcript:LPERR10G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAALLLAWRFGPKENNVRSIIPPRRACVTATDNQVYKFAVKRPRVIGFVENPCSVLVQTELGTYMLSQSIHFTTIYPYMSFYTTDLPNDILKSILVRLPSEPGYLSVAVAVAKNWRRQILGSDGSFLRAFRAAHGGVPPLLGFFANIEGYGRPPCPFFTSTTAFGTMDLTPPPALESKHRRFVYDVRHGRVLLADGQLIVWDPLARRQDMIPTPRWCYFTNDSCGAAIICGCEHAGDVDCHLAPYQIVVAFSALPCFCPDEWNLDRICARIWSSETREWSELYSMTGSCDFDFKPSAVVAGAVHWLVGEISGILQFNLITKKLALIETPLDIAEFMLFPAENGKLGFVGVLGSHIIFFHLDISSTDAIAEGKTTWNIADTIPVDRFFPTHHGPYGSFASPWVVNYDFSESNEDAYDADDDDDDDYEPKAMIMPMQHDAEASSSNNPPQWTDDSWSDDDFDQERDAMIPIISTGVNVIGFIEEENAVLLHAAGMGGVKRMLQKRMGRPQMVPGADNLMD >LPERR10G01460.1 pep chromosome:Lperr_V1.4:10:1569888:1575519:-1 gene:LPERR10G01460 transcript:LPERR10G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVPAASTKESVSPPPPQPIPYLPATVLQNSLLCLAPEPAHLAKAMAVSKRWRSTVHCEKTFGRVFRQAYLGPPPVLGLVSNSKGTPFFTPAAGTRVVGLTPPEEAVSHDEGGRAVKYVYDARHGRVLMDSSDEKALIVWNPLSGGRDIIEMPPDYFLGDGFGGAVICDADHAAGDDCHAARYRVVFAYGGRLAGRRCRTLACVYSSSTKTWGPVASMDGYVSFNFKPTAVLNKAVYWLITKSTGILQLDLETNTLTLFTTPVDLPDFVIFPMADGRLGYAGMMGPFVRVFAVHEIHDSGLATWVDVTTIHLDAMRPDFDEASASEPDSGAEFDEEMVVAALLLARRIAPKEGNIRSIIPPCRACVTDTDNQEYKFAVIRPRVVGFLENPCSVLVQNELGTYMVFIESNEHKRLSQSIHFTTVYPYTSFHTSGMMGMFVRVFIIDETHDNGVATWMDISTIHLDVMRLDFDEVSAS >LPERR10G01470.1 pep chromosome:Lperr_V1.4:10:1575571:1576315:-1 gene:LPERR10G01470 transcript:LPERR10G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVISSCFGPHRRPPFLTDDILIEIFIRLAPHPVCLWRVSLVNRRLVTSRLHGCAPAAPLVGFFQNRHHGENNRFVPIGIDGAIVHRNIRFRRRHGRCPAAVGRRGVFAPGEQDWNVLGCRRGRVLLLSPERLRLLVLDPLMGRRQYINTPVWPDFRPVFFSNGAVVSAPGGHDELRPHLFRVVFVASDAANRRTTTFIYNSVTFRWTKVATDQRP >LPERR10G01480.1 pep chromosome:Lperr_V1.4:10:1593710:1594108:1 gene:LPERR10G01480 transcript:LPERR10G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNLLSCELELEITILGFADEHNVVFLDTDIGVFMVNLDIIQFKFLSLSEGTGFYYPFTVRIRGICLC >LPERR10G01480.2 pep chromosome:Lperr_V1.4:10:1592944:1593703:1 gene:LPERR10G01480 transcript:LPERR10G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGTPGTSVVPDWYHRGTRSSTSPATIATRIWFPAARFAWQLHDDNNGKGDDDYELFGCRHGRVLLHSSKLFRVVVWDPITGDQRAADIPRAFRNDHDNNSIVFVQTGTVRRVGGDHSSLFDVAILGTDMHSTRVFACVYSSETSSWGNIMSTASDSGHLACRSGTLVGNSLYCLLQGGKISILQGKSGSDFPLDMPADSDYWSGCCEIAPAEDGGFVFLVGACSVLKLWKHRTNCDGVAGWML >LPERR10G01490.1 pep chromosome:Lperr_V1.4:10:1598053:1599657:-1 gene:LPERR10G01490 transcript:LPERR10G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTWLLLLATILLPTTLIIFFHGKKCCDATSTGGKKRRRLPPGPATVPVIGNLLWVTNSGMDIMRAVRRLHARHGPLLGLRMGSRFEVMVADRRLAHAALVESGAAMANRPEFVSRGLLGLNSATISNSNYGPTWRLFRRNFVAEVAHPARLRMFALARAAVLTELKDKLRRRHEDGVDGADGAGTVVETFQYAMFFLLVAMCFGEVLDERAVRDIATAQRDLLLYSSTKLRVFAFLPAVTTRLFAGRMKALVSMRQRLKAIFMPLINARRERHGDDGGEKKTTKLPHSYVDTLLGLRINDDDSNGGGERALTDDEVVALCSEFLNGGTDTTSTALQWIMAELVKNPTIQDKLHDEIKSISGINTVGEVTEDELHKMPYLKAVVMEGLRRHPPGHFVLPHAPADDMELGGCVIPKGTLVNFMVADIGMDETTWERPREFVPERFMPGGDGEGVDITGTREIKMMPFGAGRRICPGLGVATLHLEYFVANLVAAFEWREAEGEEVDVDGEKLEFTVVMAKPLRARLLPRGVVCV >LPERR10G01500.1 pep chromosome:Lperr_V1.4:10:1606043:1618436:-1 gene:LPERR10G01500 transcript:LPERR10G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVSLAEAQQEKLPLLPLLHGTPSQDGDSQCTNDGTVDINKKLAPKHSTGNWRACILILGVEFIECLALAGIGTNLVTYLTTVLHESNIDAASTVSTWNGSCYFTPLIGAFLADTYWGRYWTLVIFFFVYAAGMLVLTASATLPSLHHYVVYLGLYLVALGTGGVKPCTWALGADQFDSTDPAEQAAKASFFNWFYFAMTIGSLLSSTVLAWVQYSIGWGVGFAVATALIGIALAVFVSGKRVYRYRVMGEISPMTRLFQVVVAALKKRHLKLPDGQNHETSISIVGDGEGRMCAVTKVEELKTLVRMLPIWASMAFFTMVSAQMSSTFVEQGMAMDNRVGSFAMPPASMSSFEVVSTLVLIPLYDAVLVPTARRVTGNSRVISQLQRLGVGLVLSVIAMVYSALLERMRLVAAPARVSIMWQAPSYVIFGAAEVFTNIGMMEFFYDQSPGTMQSLSAALGQLAIAAGNYLNSGVLALVSSATARGGAPGWIPDDLNEGHLDYFFWVMAGFAALNLLLYLRCSMSYRRRTSSEHQEKLPLLPLFHGTPSQDEGSQYTSDGTVDINKDPAPKHSTGNWRACILILGVVFSGSLAGSGIGMNLVTFLTTVLHETNVDAASSVTTWFGTCFFTPLLGAFLADTYWGRYWTLVIFLFVYTFGMLVLTASATVPLLLHHGIVYLGLYLVALGTGGVMPCASALGADQFDSADPSEQAAKASFFNWLYFAITVGSVLSSTVLVWVQDSIGWGVGFAAAMAVMCIAVAVFIAGRKVYRYMPLGESPIASVFHVMVAAVRNRRVKIPDDERSIHGDGELVVPVVCDEGRGMCTVAQVEELKTLQRMLPVWASMVFFSMASSQMSSTFVEQGMAMDNRVGSFAVPPASLSSFEVGSTLVLIPLYDAVLVPIARRATGNSRGLSQLQRLGVGLALSVVAMTYSALLERTRLAAARSETAPAQVNIMWQVPSYAIFGTAEVFTNIGMLEFFYDQSPGTMKSLSAALGQLAIAAGNYLNSGVLALVSSATARGGAPGWIPDDLNEGHLDYFFWVMAGFAAVNLLLYLRCSMSYRRSTSSCQ >LPERR10G01500.2 pep chromosome:Lperr_V1.4:10:1606043:1618436:-1 gene:LPERR10G01500 transcript:LPERR10G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVSLAEAQQEKLPLLPLLHGTPSQDGDSQCTNDGTVDINKKLAPKHSTGNWRACILILGVEFIECLALAGIGTNLVTYLTTVLHESNIDAASTVSTWNGSCYFTPLIGAFLADTYWGRYWTLVIFFFVYAAGMLVLTASATLPSLHHYVVYLGLYLVALGTGGVKPCTWALGADQFDSTDPAEQAAKASFFNWFYFAMTIGSLLSSTVLAWVQYSIGWGVGFAVATALIGIALAVFVSGKRVYRYRVMGEISPMTRLFQVVVAALKKRHLKLPDGQNHETSISIVGDGEGRMCAVTKVEELKTLVRMLPIWASMAFFTMVSAQMSSTFVEQGMAMDNRVGSFAMPPASMSSFEVVSTLVLIPLYDAVLVPTARRVTGNSRVISQLQRLGVGLVLSVIAMVYSALLERMRLVAAPARVSIMWQAPSYVIFGAAEVFTNIGMMEFFYDQSPGTMQSLSAALGQLAIAAGNYLNSGVLALVSSATARGGAPGWIPDDLNEGHLDYFFWVMAGFAALNLLLYLRCSMSYRRRTSSGVVFSGSLAGSGIGMNLVTFLTTVLHETNVDAASSVTTWFGTCFFTPLLGAFLADTYWGRYWTLVIFLFVYTFGMLVLTASATVPLLLHHGIVYLGLYLVALGTGGVMPCASALGADQFDSADPSEQAAKASFFNWLYFAITVGSVLSSTVLVWVQDSIGWGVGFAAAMAVMCIAVAVFIAGRKVYRYMPLGESPIASVFHVMVAAVRNRRVKIPDDERSIHGDGELVVPVVCDEGRGMCTVAQVEELKTLQRMLPVWASMVFFSMASSQMSSTFVEQGMAMDNRVGSFAVPPASLSSFEVGSTLVLIPLYDAVLVPIARRATGNSRGLSQLQRLGVGLALSVVAMTYSALLERTRLAAARSETAPAQVNIMWQVPSYAIFGTAEVFTNIGMLEFFYDQSPGTMKSLSAALGQLAIAAGNYLNSGVLALVSSATARGGAPGWIPDDLNEGHLDYFFWVMAGFAAVNLLLYLRCSMSYRRSTSSCQ >LPERR10G01510.1 pep chromosome:Lperr_V1.4:10:1633915:1638151:1 gene:LPERR10G01510 transcript:LPERR10G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVTRSPSVAIRPSEPSATSSKLVLSSFDKFFVGAPSTVLLVFEHPIHDPVETIKRALSHALHHSTPSPVALHGVLSLARVGSGWGREERSPSVAIRPPEPSATSRKLVLSSFDKFFVGAPSTVLLVFEHPIHDLVETIKRALSHALQHYHPFAGRLAGGEGDGDEEEVHIACTGEGATFVAASANCALRDVDFSEQQELLFELAVYYPGCKGCSRGDPLLMMQVTVFTCGGFVLGASWHHVVADGAGMAQFLQAVGELARGLPAPTVVPIRHDESLPAVPPTTLALKGLPTGDYHTDQPPEGHALLHVTIPWGFINRVRDELNFHPRCTAFEAVAAAIWRCRTRAVMTDPDAPALLLFTVNLRGYLGAKDGYYGNCIGMHMVVAPSCGMVANADVAELVGMIRRAKEQMSGTFGGDDADQLRAISELRHVGYDNLLYVSCWRNLGFEEVDFGGGKTTRVMAYTEMALGPPTGFLCMPCKGEEGANVTMSATGCVKPEHADAFVREIATLK >LPERR10G01520.1 pep chromosome:Lperr_V1.4:10:1644441:1645973:-1 gene:LPERR10G01520 transcript:LPERR10G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVWTSVTLVLPLALLIILSNVVNNRRRRLPPGPAAVPLLGNLAWLTITDGQQFMATLRSFHARYGPVIALRFGSTLEVSVADRRLAHTALVQLGAAVADRPLLASHDLLGHNSAFTITSSNYGALWRLLRRNLVAEMARLRLFAAERERALADLTHRLGCRKQGDEAIMDMFQHAMFCIFVSMCFGQSVDEHAVRDITAALRQLMLYSTTELNVFVFVPAITTRVFGGRRRAMDAMRSRLKDLYLPLIDARRRRRLLQEAGAGNDDDTMFPHSYVDALLDIRLNHDGGRGLTDDEISALCSEFLSGGTDLPSSALQWTMAELVKNPAIQDKLYREIRAVTGGDKVSEEDLQRMPYLKAVVLESLRRHPPGHQLVPHAAAADVELGGYVIPKGATVNFLAVDFGLDEVVWERPMDFVPERFMPGGHGEAVDVTGTREIKMMPFGAGRRICPGLAVATLHLEYFVANLVNTFEWQEVEGMEVDVIAERFDFSAVMKKPFEVNLVARAEKC >LPERR10G01530.1 pep chromosome:Lperr_V1.4:10:1671878:1673308:-1 gene:LPERR10G01530 transcript:LPERR10G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCIDDMTPTPADAVRSGDLTIRVVSRRLVKASDTSIQPHVAPVSNLDLYAGDVQVSILCLYPEIPATANGGFDAVVATFEAFLPSILNQFYPLAGRIGTNPVTGLPELLCHNQGAELIVGEVDVALGSLNYGLASESLKKIMLPYPADMALSVQLLRFACGGFSVVWGNNHLLNDGHAITMFVRMWSELARTGKIAGGMPNHNRLVFQSRSPPSYGASLAAALTTYEERRLINVLTAHDSFIDRLYYVDAADIARLRDTASTKQQRATRVQAVSAYLWKVLAGVVAASRVREERCRMGWWVGGRRRLTSPELVPAMHNYFGNVTTYALSDATVSEILEKPLAEVAGMVRDAIMSPDYDEHIQELVDFVEAHKKEGLMETAVVGVGSPTLSQSVFDSFAVDTNFGFGQATLAMPISNFGRLCSAYLSVSSRPGGDGSWLVSAYIWPRLAAALESDEPRIFKPLTAAYLGLKNST >LPERR10G01540.1 pep chromosome:Lperr_V1.4:10:1684669:1686138:1 gene:LPERR10G01540 transcript:LPERR10G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVEQQQPLHILFFPFIAHGHLIPVADMAMLFATRGVKCTILTTPGNADVIRSGVNRANAASRGNGIGAPEIYITLFPFPDVGLPAGVESIPTISGANEMEKMSEALTRFREPFERFLAEHHPDAVVSDSFFHWSADVAAEHGIPRLAFLGSSLFARACNESMVRHNPMEASTDDVVSLPDLPHRVELRRSQMMDPAKMEDEWAFLQLVNAADQRSFGEVFNSFREMEPGYVEYYHTKLLRRAWLVGPVALAGNTATPVDTRPGTDAERCLRWLDEKAPGSVVYICFGTLARLLPAELTEVARALTLSGRNFLWIITREDTDESEWMPEGFAELMAHDERGLIVRGWAPQVLVLNHPAVGGFVTHCGWNSMLEAVSAGVPMVTWPRYTDQFFNEKLIVEILKVGVGVGANEFASFMDDRSQVICGEVIGDAIERVMGEDGEGKTIREKVKELSKKARSAVQEGRSSYNDVGRLLNELMARRSFAMA >LPERR10G01550.1 pep chromosome:Lperr_V1.4:10:1702365:1703759:1 gene:LPERR10G01550 transcript:LPERR10G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVPASEMNIRVQSRRLVKASDVSIQPHVTAVSNLDLYPDNVQASIVCLYPKLPNASDFDAVVTTFETVLPSLLNDFYPLAGRIVINPDSGLPELHCHNQGAELIVGDVDVALGSLDYGLAEESLKKIMLPYPEDVTLSVQLLRFACGSFSVVWGNNNLLNDGHAITMFVRAWSQLARTGRIADGATPINHDRSVLRPRNPPSYSAELGAMFATYDDSRLVNVLTAHDSFVERLYYVEAADIARLRDMASTKLHPSSRVQAVSAYLWKVLAGVVATSRVPEEHCCMGWMVDGRRRVKSPELVPAMRNYFGNVTAYALAGAAVSEIREKPLAEVAGMVRETITSIDYDEFLQELIDWVEEHKTERLMETGVLGLGTPTLNQTVFASFPLDTDFGFGQAALAMPMCDYERLCSGYLSVGARPGGDGSWLVSAYIWPRMAAALESDEQRIFKPLTAKYLGLIA >LPERR10G01560.1 pep chromosome:Lperr_V1.4:10:1706666:1708207:-1 gene:LPERR10G01560 transcript:LPERR10G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVTSWTPWLVTAIVLPLASFVILSNVRNRLRRLPPGPPVVPVLGNLVWFTITSNMHLMETLRRMHERYGPVVALRFGSDLVIDISDRRLAHAVLVQSGAAVADRPFLASLDLLGHNNALTITSSNYDAHWRLLRRNFVAKMAQPAQLQLFSPAREWALADLTDKLRCRKINGGDAILAMFYHTMMCILVAMCFGEWLDEGAVRELKAALHELMIYSITNLWVFDFVPSISTRFFSGRRRQMDAYRRRLKGIYLPLINARRERRKGLREDSPTTFQLSYVDTLLEIRLKDEGNRELTDDEISGLCSEFLSAGTDIPSSALQWTMAELVKNPAIQNKLYSDIKAVTGGSGKVSPEDLHKLPYLKAVVLESLRRHPPAHQLLPHTAAEDVELSGYVIPKGATVNFMVVDFGLDKNVWDRPMEFVPERFLPGGDGELVDVTGTREIKMIPFGAGRRICPGISVGSQHLEYLVANLVSAFEWREVKGMEVDFSEMFEFSAVMKKPLEVNLVARDE >LPERR10G01570.1 pep chromosome:Lperr_V1.4:10:1709288:1710867:1 gene:LPERR10G01570 transcript:LPERR10G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEYLKYRGWGCYPDEAWPEGPVPPPFPVPLCLCGIPAMVKQSRKPRTAGRVYYVCRDKYDSECPCYFFLWIDGQDKYDPRIRLFPYDEKELKPYNEFKRWVPSSPNPARMTVGEKSEASCIRVKNPPLCHCGYPCKLQRPNIGVPAKFTPFFRYKLSTHDGWPMCDFQEYIHGPKSFWPTDEEEWLFETEKTHWPSERRPHPRCKCGILATVGVVPSELGYRYYCGNAYGKYWEGRTCNWEDFPGRTKLREQLGRQSEPLKSNTIEKIRRKLRNKYDIPLPEREVEAMLXDREDTEKVEKQREKYPTDLTPEEKIAKGQKIEEERERQKRLSKEKARKDPNTVYPYGTWEHYFKTVEDRERKDKEEEKETRARDAQMEAVRALVAELPSQLPVGKKGKGIANDNRNLTCIMVVTMTGRR >LPERR10G01580.1 pep chromosome:Lperr_V1.4:10:1722948:1723613:1 gene:LPERR10G01580 transcript:LPERR10G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLIGQFTGGFDDYSCQRAIGNGCGRLAVNSPMPPPLGSIYNSFTYAPTPPFHYTPYERLYSSLSFPLHLPYSYPVVTPAPHIRHMPAPAAASPTKHSSFPYHPSPPPQPSSAAIKGKAGEAVVMMRRRMGDCKRKKPRTPRLGEEAPRAPQRRKPLERATPLPPAPAVAEALDDLEREVTRGFVEDLLHALAPPPSSLPLPTFSLVRAKGTAASCAAV >LPERR10G01590.1 pep chromosome:Lperr_V1.4:10:1732670:1734063:-1 gene:LPERR10G01590 transcript:LPERR10G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAVPSGEMNIRVKSRRLVKASDVSIQPHVAAVSNLDLYLDNVQASIVCLYPKLPNASDFDAVVATFETVLPSLLNHFYPLAGRIVISPDSGLPELHCHNQGAELIVGDVDVALGSLDYGLAEESLKKIMLPYPEDVTLSVQLLRFACGSFSVVWGNNNLLNDGHAITMFVRAWSQLARTGKIADGATPINHDRSRRARRHVREYDDSRLVNVLTAHDSFVERLYYVEAADIARLRDMVSTKLHRSSRVQAVSAYLWKVLAGVVATSRVPEEHCCMGWMVDGRRRVKSPELVPAMRNYFGNVTAYALASAAVSEIREKPLSEVAGMVRDTITSIDYDVYLQELIDWVEEHKTERLMETGVLGLGTPTLNQTVFASFPLDTDFGFGQAELAMPMCDYERLCSGYLSVGARPGGDGSWLVSAYIWPRMAAALESEEERIFKPLTAKYLALVA >LPERR10G01600.1 pep chromosome:Lperr_V1.4:10:1744792:1746222:1 gene:LPERR10G01600 transcript:LPERR10G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCIDDITTTAADVVRSGDLTIRIVSRCLVKASDTSIEPHVVPVSNLDLYTGDVQISILCLYPEIPATANAGFEAVVATFEAVLPSILNHFYPLAGRIGTNPVTGLPELLCHNQGAGLIVGAVDVALGSLNYGLASESLKKIMMPYPADMALSVQLLRFACGGFSVVWGMNHLLNDGHAMTMFVRMWSELARTGNIASGMPNHDRSVFQTRSPPSYGASLAAALTTYEERRLINILTAHDSFIDRLYYVEAADIARLRDTASTKQQRATRVQAVSAYLWKTLAGVVAASRVREERCRMGWWVGARRRLTSPVLVPVMHNYFGNVTTYALGDATVSEILDKPLAEVAGMVRDAITSPDYDEHIQELVDFVEAHKTEGLMETAVVGVGSPTLSQSVFDSFAVDTDFGFGQANLAMPISNFGRLCSAYLSVSSRPCGDGSWLVSAYIWPRLAAALESDEHRIFKPLTAAYLGLKNLN >LPERR10G01610.1 pep chromosome:Lperr_V1.4:10:1762753:1764138:-1 gene:LPERR10G01610 transcript:LPERR10G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAIVPAGEMNIRVKSRSLVKASDVSIQPHVAAVSNLDLYPDNVQASIVCLYPNNSDFDAVVATFESVLPSLLNHFYPLAGRIVINPDSSLPELHCHNQGAELIVGDVDVALGSLDYGLAEESLKKIMLPYPEDVTLSVQLLRFACGSFSVVWGNNNLLNDGHAITMFVRAWSQLARTGKIADGGTPINHDRSVFRPRNPPSYSAELGAMFATYDDSRLVNVLTAHDSFVERLYYVDAADIARLRDLASTKLHRSSRVQAVSAYLWKVLAGVVATSRVPEERCCMGWMVDGRRRVKSPEIVPAMRNYFGNVTAYALGDAAVSEIQEKPLAEVASMVRDTITSIDYDEYLQELIDWVEEHKTERLMETGVLGLGTPTLNQTVFASFPLDTDFGFGQATLAMPMCDYGRLSSGYLSIGARPNGDGSWLVSAYIWPRMAAALESDEQRIFKPLTANYLGLID >LPERR10G01620.1 pep chromosome:Lperr_V1.4:10:1765623:1767044:1 gene:LPERR10G01620 transcript:LPERR10G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCIVDDMSAAIRSGEMNIRVVSRRLVKASDTSIQPHVAPVSNLDLYAGDVQVSILCLYPEIPPTANGVFDAVVATFETVLPSLLNHFYPLAGRIGTNPVSGLPELLCHNQGAELIVGEVDVALGSLNYGLASESLKKIMLPYPADVPLSVQLLRFACGGFSVVWGNNHLLNDGHAITMFVRMWSELARTGNIAGKLPNHDRSVFRARSPPSYGASLTAALTTYEERRLINVLTAHDSFVDRLYYVEASDIARLRDTASTGQQRSTRVQAVSAYLWKALAGVVATSRVPEKRCRMGWWVGGRRRLTSPATAIDHNYFGNVTTYALADATVSEIREKPLAEVAAMVRNAITSPDYNEHIQELVDFVEAHKTEGLMETAVVGVGRPTLSQSVFDSFAIDTDFGFGKATLAMPISNFGRLCSGYLSVSSQPGGDASWLVSAYIWPRLAAALESDEQCIFKPLTAAHLGLKHSTY >LPERR10G01630.1 pep chromosome:Lperr_V1.4:10:1795218:1796783:1 gene:LPERR10G01630 transcript:LPERR10G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIWTLVTTLVLPLALLLILSNVVTNRRRRLPPGPAAVPLLGNLAWLTITDGQQFMATIRRFHKRYGPVITLRFGSELVVNISDRRFAHAVLVQSGAAVADRPFLASLDLLAHNNAFTITSSNYNAIWRLLRRNFVAKMAQPAQLQLFAPAREWALADLMDKLRCRKNGGDAILGMFYHTMMCILVSMCFGEWLHERAVRELTAALRELMMYSVTMLDVFVFVPAITTRVFVGRRRAMDALRRRLKGIYLPLINARRERRKRLNEESPTTTFSLSYVDTLLDIRLKDEGNRELTDDEIAGLCSEFISAGTDLPSSALQWTMAELVKNPAIQDKLYSDIRAITSGGKVSEENLQRLPYLKAVVLESLRRHPPAHQLIQHAAAADVELDTYVIPKGATVNFMVVDFGLDEAAWERPMDFVPERFMPGGDGEAVDITGTREIKMMPFGAGRRICPGIGVATLHLEYLVANLVAAFEWREVEGMEVDVVSEMFEFSAVMEKPLEVNLVARAERDVNDECRRMQE >LPERR10G01640.1 pep chromosome:Lperr_V1.4:10:1797123:1799424:-1 gene:LPERR10G01640 transcript:LPERR10G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTILLFLLVLAGAVAVDALLGAPPAPGAAAKVPAVFAFGDSVVDTGNNNYIRTIVRSNFPPYGRDFPGHKATGRFSDGKISVDFLASDLGVKELVPPYLDKDLTMDELKTGVSFASAGSGYDNATCRTMMTPMTVERQLQLFAEYKAKVGGVIPDRSLFLLCWGTNDIVQHFTIADGMSEPQYAEFMAGRAVTAVQALVSQGARLFVVVGAPPVGCTPAQRIIAGGVKRQCATDRNQVSLLYNRNLGQEINRLNGQLAGVKIVFVDLYNILSDVMHRYQALGFKNGREACCGFIGLASSVLCNFASPLCDEPSQYVFWDSYHPTERAYKLIVNEVVTRYLQFL >LPERR10G01650.1 pep chromosome:Lperr_V1.4:10:1801750:1812860:-1 gene:LPERR10G01650 transcript:LPERR10G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLPLVAMVAVALLGAEAYNTSVGTVAGKLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALAKDPARKFVFVEQAFFQRWWAEKSPTIQAIVRKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKTPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEVFDDFVPVQDDMMLFDYNVEQRVNDFVAAAIKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRMLSGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGASQVEKGVNTALTCLTSSKGTCMSSATKFSQCQLLNISYCPSTEEGISAKSLVIVVYNPLAWERSDFVRVPVNDAHLVVKTSDGTSLVSQLVEVDNVTANLRKLYVKAYLGITPDKPPKYWLVFEASVPPMGWNTYFISKSTGTGSDGTGYVSTMVSPSKDTIEVGAGPLKMSFSSSSGQLKRMFNSISGVDLPIQQSYLWYGSSTGDSSDSQASGAYIFRPNGATPTILSRSVPLKVIHGPLVDEVHQQFSSWVYQVTRLYKDKEHAEVEYTIGPIPVDDGIGKEVVTRLTANMVTNSTFYTDSNGRDFLKRVRNHRDDWDLQVTQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRILNDDSRGVLEPLDEVVCVDERCDGLVARATYYINVNKQGQGAHWRRTYGQQIYSPFLVAFAHEDERGWKSNNIAKASMIEANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAGEDTQYSVIAKVELRKLFGKRTIKELTETSLSANQKKSDMKKLDWRVVADTKNEPAPLKGGPVESHALVVELGPMEIRTFLLKF >LPERR10G01660.1 pep chromosome:Lperr_V1.4:10:1826982:1836599:1 gene:LPERR10G01660 transcript:LPERR10G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPPSHRRRDDAGEEPSPVSVLPEEVLVEILHLLPPSPCSLPHLSLVCKRWRRLVSDPAFHRRFHSPHRRRPPLLGFFHNSFNVPCFVPIGDPPDRVPAEAFSLRRHPGRWLFLGCRGGRALLASPFSAWRHLMVWDPLSGDHHEIPVPRAFHQRYFRGAALLCSGAGECCNRPTPSLVAFAFIDQRLRPSACIYSSESGEWGEVVYGKAAMPGDLTFILDWYFRHIDETISVKRISAIDMKPPVLVGDVVYWLLVRNHILEFNMDAEKLAVDVISGPDPMYFLSPDWSVQIMPAEGGTKLGFGAVRKLYLHLWVFENNSDNTTCWMISRIIPPDLFLPPELWPSEEDLGRGLKARFGLLGFNEDGNVAFMQTTIGVFMVQLDAMQFKLVLPSEKLHAVHPYSSFYLTEKPAPCFSLKPYFSSPSLIAQGVTMAYLLPEDVLIEIFLHLPKHPTCLLGASLVCKQWHCLITDQKFIQRFRAIHQTPPVLGIFTNSISIARFLPIGNSPECVTAGAFSLPDPYWQVLGCRRSRVLLASSSWTSLQVWNPMTGNRQAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGHAYISKYSSEKRTWELMASSPAPSEIDSRPGILVGNAMYWPLKSKHILAFELGTSRLYHIKCPSETHNVYRRNVHIMKAEDGGLGLADLTGFDLRLWAWEIHSENVTGWVLRRTIELGAVLPLEVPSLLSTGNHWARRPPIRILGLVEDDDLFFISSEIGVFAVQLKSLQFKKVFEADVSATIFPYTSFYTAEA >LPERR10G01660.2 pep chromosome:Lperr_V1.4:10:1826982:1836948:1 gene:LPERR10G01660 transcript:LPERR10G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPPSHRRRDDAGEEPSPVSVLPEEVLVEILHLLPPSPCSLPHLSLVCKRWRRLVSDPAFHRRFHSPHRRRPPLLGFFHNSFNVPCFVPIGDPPDRVPAEAFSLRRHPGRWLFLGCRGGRALLASPFSAWRHLMVWDPLSGDHHEIPVPRAFHQRYFRGAALLCSGAGECCNRPTPSLVAFAFIDQRLRPSACIYSSESGEWGEVVYGKAAMPGDLTFILDWYFRHIDETISVKRISAIDMKPPVLVGDVVYWLLVRNHILEFNMDAEKLAVDVISGPDPMYFLSPDWSVQIMPAEGGTKLGFGAVRKLYLHLWVFENNSDNTTCWMISRIIPPDLFLPPELWPSEEDLGRGLKARFGLLGFNEDGNVAFMQTTIGVFMVQLDAMQFKLVLPSEKLHAVHPYSSFYLTEKPAPCFSLKPYFSSPSLIAQGVTMAYLLPEDVLIEIFLHLPKHPTCLLGASLVCKQWHCLITDQKFIQRFRAIHQTPPVLGIFTNSISIARFLPIGNSPECVTAGAFSLPDPYWQVLGCRRSRVLLASSSWTSLQVWNPMTGNRQAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGHAYISKYSSEKRTWELMASSPAPSEIDSRPGILVGNAMYWPLKSKHILAFELGTSRLYHIKCPSETHNVYRRNVHIMKAEDGGLGLADLTGFDLRLWAWEIHSENVTGWVLRRTIELGAVLPLEVPSLLSTGNHWARRPPIRILGLVEDDDLFFISSEIGVFAVQLKSLQFKKVFEADVSATIFPYTSFYTAGADINMLFLQFVFRWCAK >LPERR10G01670.1 pep chromosome:Lperr_V1.4:10:1838223:1843661:1 gene:LPERR10G01670 transcript:LPERR10G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGAKARAGVLQIGGSNIETPALLLSTRKGLPAFISRDLLASLPLPDSLLLHVCPTHFMDGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNRVSVERTLRWLDACIALDADFGANTLGVVVGGSSIEQRKLCATEVAKRNVSGFWIGGLGLGDSPEERCSILDAAVGCLPPEKPRLVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVGKKMDNGALNNSVGDFTKINLRATAYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDSIKSGEFDGFRQQFVQDRRAHLAAAVM >LPERR10G01680.1 pep chromosome:Lperr_V1.4:10:1844969:1849968:1 gene:LPERR10G01680 transcript:LPERR10G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEKNRRFLIVRLPRPRPPQFSAWLRRLPDLGSQPPACAHLPRAEKSRAEQGRPCPAHPHRMGGCSSTKSGLCNSCN >LPERR10G01680.2 pep chromosome:Lperr_V1.4:10:1844969:1849968:1 gene:LPERR10G01680 transcript:LPERR10G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEKNRRFLIVRLPRPRPPQFSAWLRRLPDLGSQPPACAHLPRAEKSRAEQGRPCPAHPHRMGGCSSTKSGLCNSCN >LPERR10G01690.1 pep chromosome:Lperr_V1.4:10:1850011:1851243:1 gene:LPERR10G01690 transcript:LPERR10G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTSASTIKTHPSPPPPEKPEMDETPVNDDVLREILLRLPPLPTSLIRAGAVCRRWRRVTGEPEFLRRYRAHHGKPPLLGFFVNPRGREPLFRSIMDDPDRLPADRFALRLDEDSELGGNWYYHGCCHGRLLLINWKSGIGCRHILIWDPITGDVTHLSPPPPLAPEKKGVFFQGTVVCANHNDDHVHGDGCRSGPFKVVLVGTDRERAYSFVYSSVTGEWGDVVEIPVDCISIGSRCIQIGGYLYWMLFGYDNDVLEFDLVNQSMDVVDVPQHIHEKHDGLYLIALREGTELGLIAMSGSCVQIWQWLIDYNGVGGWLPAEPIYLEDLLSLRAGECVNPPKVLGYAQEDNVIFVATFSSLFMVHLETLEFKKICNINEFADSPESRPIYAVYPFASFYDAGSSSSSA >LPERR10G01700.1 pep chromosome:Lperr_V1.4:10:1853617:1855659:-1 gene:LPERR10G01700 transcript:LPERR10G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSVVEWWQEWQLRILVLSSTAIQVFLNIFANRRKHITSSRFRSVIWLAFLGSDAVAIYALATLFNRHKNQDSCSSTLGSTSILEVVWAPALLIHLGGQDAITAYNIEDNELWKRKVVTMVSQVTVSIYVFCNSLFLFYCCRQLLCCLFLEFSNASRSHALRSASINSLVSSDSIVPMTGKGNEEGDAISLETYVKRARKIVMENGDPLDDSKNTSSNVDDNEEPYKLCVDLSLPYYTRLVALNSWWNITGTDAHHRLAKNLADIFYRLYTKSKVIEKRESILFFFANLLRIGSVYLPFAAIGLFHNSHREAYNNYDTKVTYTLLCCTAVVEAFNAYGFNTPVVDKYLAWLYKVSQCNIISSYASITIKRCNCIYIIESVLQHIKSGWKDYITDATSYRAFNDHRGQWVLRRHNCDREDLAWSLRVPFDESVLLWHLATDFCFVSRRYDDEGATRSIEISNYMMYLLLNNPEMLMAGTRRNLFTTATAELKDILGKGPLEEEKDLANKIKIKVESITKGRCSFTRDDCALSEKLLSLGEDKMWKVIKGVWLEMLCFSASRCRGYLHAKGLGTGGELLTFVWLLLLHMGMEPLAERLQRVEFPSGAGNRVTDASPLPSDETTLADRQHKTEISSREGNIGDVPSTSKDSIAIDIEEDLESTMLIDIISSVFLNLCFLFT >LPERR10G01710.1 pep chromosome:Lperr_V1.4:10:1858379:1860430:1 gene:LPERR10G01710 transcript:LPERR10G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSAVQWWEEWQLRILVLGSVGVQLLLLISAYTRKLSIPFWVRTLIWLAYLGSDATAIYALATLFNRHRTQDCSCAQSSSALEVVWAPILLMHLGGLDIITAYDIEDNELWKRHIITAVSQITVSIYVFCKSWPPGGDKRLRQAAILLFVPGILKCIEKPWALKSASINSLVSYRGTVLRTRRTEGEGDGVSLESYVQQAREIVSQTDTNHPAVIMPFAALGLFHNSHREEYNGHDIKVTYTLLCCTAVVELYSFSHQQLTTSIWSCQFSRRNLLQSYAGRSMKPSISSSSITELVLQHVKQGWKDYITNIASYWAFNDHRGQWALQRNNCDRGDLAWSVRLPFDESVLLWHLATDFCLACTEPIHEGGIHITEISNYMMYLLYDNPEMLMAGTRRNLFTTAIAELKDILREEQFEDQELAGMIIAKVESTTEGRPGFIRDACALSKVLLSLGDEKMWEVIKGVWVEMLCFCASRCRGYLHAKSLGAGGELLTFVWLLLLHMGMEPLAERLQRADLPRGGGNGATDAAPMSSDETLAESQQNTEVPSGEGNNDTDALLSSDQTMAERQQNTEVSSGEVNIGDVPSTCQDRIAINIKEENTF >LPERR10G01720.1 pep chromosome:Lperr_V1.4:10:1873698:1873994:-1 gene:LPERR10G01720 transcript:LPERR10G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVSAIVIVLVSDAATTTTTATRPAAMAWPSRYGGRWERDATCVRVRVSGMSKQDRRGRKGSEEGENGLQLDRKMARVFAAIMRGKGGRGDRAWADL >LPERR10G01730.1 pep chromosome:Lperr_V1.4:10:1889058:1892224:-1 gene:LPERR10G01730 transcript:LPERR10G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGAAGRLMVQDEELQLRRQEEIGSGQGIAVRCAVVSGGSPDAAARGGYGADEIGAWEKRDAGSWSFGSGGDGNFGSMSSGILGRIKMILPED >LPERR10G01740.1 pep chromosome:Lperr_V1.4:10:1892253:1895484:-1 gene:LPERR10G01740 transcript:LPERR10G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTGGNFSSPAAAAESAPDDVLAEILLRLPPHPSFLSHACLVCKRWRRLTRDPGFLRRLRAFHRTPPVLGFFHNSPGLPSFVPAEGPSGRIATEASSLRRDGEDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGDRRCITVPEQMQEGAFDRSAAVFCCTASGGEEDCHSSPFHLVFVFTSHGRLFACVYSSRIGSWGDLVSAPAPSSCELYDEPPALVGEALYWLVNDGSCILEFQFGSQSLNLIEQPVVMHSNNKRNIRLVSLEDDVLGLAFIKDSNLHLWARVVADDCASKWIPHRAIELDKLLTGPMVTLPNCYRVMPVWINGFSEDGNVVFLRTLAGIFFVSLETLKINKMSSSLLLKTLYPYASFYVPKVLLLLLCSYVFQLLSDACRRLPPGPRPLPVIGNLLDFAGRFPHRSLACVADKYGPLVTLRLGTMLAVVASSLAMAQDAWRTEGHARGNLPVRAHDVPQQVACAPTARRRDAVRRCGPATRVRAVRLGRAGARWAGGVRAHGEPDVPVAVLCGHRRRDVSCRLRDAAREFSLLSLAPNVSEFFPLVAGRRSAGTAAEDGEAD >LPERR10G01740.2 pep chromosome:Lperr_V1.4:10:1892253:1895484:-1 gene:LPERR10G01740 transcript:LPERR10G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTGGNFSSPAAAAESAPDDVLAEILLRLPPHPSFLSHACLVCKRWRRLTRDPGFLRRLRAFHRTPPVLGFFHNSPGLPSFVPAEGPSGRIATEASSLRRDGEDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGDRRCITVPEQMQEGAFDRSAAVFCCTASGGEEDCHSSPFHLVFVFTSHGRLFACVYSSRIGSWGDLVSAPAPSSCELYDEPPALVGEALYWLVNDGSCILEFQFGSQSLNLIEQPVVMHSNNKRNIRLVSLEDDVLGLAFIKDSNLHLWARVVADDCASKWIPHRAIELDKLLTGPMVTLPNCYRVMPVWINGFSEDGNVVFLRTLAGIFFVSLETLKINKMFPHRSLACVADKYGPLVTLRLGTMLAVVASSLAMAQDAWRTEGHARGNLPVRAHDVPQQVACAPTARRRDAVRRCGPATRVRAVRLGRAGARWAGGVRAHGEPDVPVAVLCGHRRRDVSCRLRDAAREFSLLSLAPNVSEFFPLVAGRRSAGTAAEDGEAD >LPERR10G01750.1 pep chromosome:Lperr_V1.4:10:1899386:1901901:-1 gene:LPERR10G01750 transcript:LPERR10G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLPSPPRPRPCPHPRAAPPSPPPPDDLLFEILLRLPPDPHHLHRASLVCKRWRRLIHSPLFLPSFRAFHRTPPVLGFYHNNSPSFGSHVGPSFVAAASVGPSVVFPDNDWRILGCRHGRVLLRSDPGWLQLLVWDPITGHRRSVRLGRLGSHVQSCNAAVLGDQDSIPRREGSFRVAFVFTGEGRASACIYSSETETWGRLIMAAEIRCGDVGRNPSALAGGNKLYWMLDDGDILELNLTKETLAVVAPPPDAMSLYCGNIQLMANGGGGEVGLVGMEVFSLQMWAREEASGSWVLRKTINLDVFAPRPRARLIHVPPVRLLGVDEDGRIVFVWTVDGIFMDCVCCQNGIITNYASVYMSLFALILNLVVKVKMIVH >LPERR10G01750.2 pep chromosome:Lperr_V1.4:10:1899381:1901901:-1 gene:LPERR10G01750 transcript:LPERR10G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLPSPPRPRPCPHPRAAPPSPPPPDDLLFEILLRLPPDPHHLHRASLVCKRWRRLIHSPLFLPSFRAFHRTPPVLGFYHNNSPSFGSHVGPSFVAAASVGPSVVFPDNDWRILGCRHGRVLLRSDPGWLQLLVWDPITGHRRSVRLGRLGSHVQSCNAAVLGDQDSIPRREGSFRVAFVFTGEGRASACIYSSETETWGRLIMAAEIRCGDVGRNPSALAGGNKLYWMLDDGDILELNLTKETLAVVAPPPDAMSLYCGNIQLMANGGGGEVGLVGMEVFSLQMWAREEASGSWVLRKTINLDVFAPRPRARLIHVPPVRLLGVDEDGRIVFVWTVDGIFMVHLEDGMLRIVSAARMVEFVYPYSSFYVAGGKGEDDSALV >LPERR10G01760.1 pep chromosome:Lperr_V1.4:10:1910471:1910710:-1 gene:LPERR10G01760 transcript:LPERR10G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPLSSPQPPPQTEGPRMQISCSGWEHVASAPTGRRWYCLLRSPRPPPPMEGSRLQISCEEGGIKPWCVKECTSLGE >LPERR10G01770.1 pep chromosome:Lperr_V1.4:10:1916647:1918479:-1 gene:LPERR10G01770 transcript:LPERR10G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVVSPRSVTVLVLFFFIFSREGETATLTFVNRCGDTVWPGVLSNAGSARLATTGFELPPGAARACSGAGAAPPATLAEFTLDGTGAGLDFYDVSLVDGYNLPLLVEPSGNGAVNGGSLTSAATCAPAGCAADLNAMCPAELRAGGGAACRSACDAFGRPEFCCSGAYANPTTCRPTAYSQVFKSACPRSYSYAFDDPTSTFTCSGRPDYTVTFCPAATPSSSQKSTTTTPPATMMPGTATPATTTTIPGTMPGATMPGTATATTMPGTTFTDANPDTSMPMPMSGGGAGGEPGEAVVLSGSETWIANMATGEFTAAAPMSPSRPSAAAAALAILLFLLR >LPERR10G01780.1 pep chromosome:Lperr_V1.4:10:1916671:1917141:1 gene:LPERR10G01780 transcript:LPERR10G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAAADGRDGDIGAAAVNSPVAMFAIHVSLPLSTTASPGSPPAPPPLIGIGMLVSGLASVNVVPGIVVAVAVPGIVAPGIVPGIVVVVAGVAVPGIIVAGGVVVVDFWLLLPRDTQEKGKGKKQAHMVSSRAKMYVYASGIKSMAASLQATVN >LPERR10G01790.1 pep chromosome:Lperr_V1.4:10:1954048:1956825:1 gene:LPERR10G01790 transcript:LPERR10G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAHLRAVAVLVFAVVVLAPVAMAATFTITNNCAYTVWPGLLSSAGSAPLSTTGFALAPGASQAVPAPSGWSGRMWGRTLCSATDGAGNKFACATGDCGSGDVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLINPSSSSSSSAGKCAATGCLAELNAACPADLRVASASAAATSPAVACRSACEAFGSAEYCCSGAYGNPNTCRPSTYSQFFKAACPRAYSYAYDDSTSTFTCASGATNYDIIFCPAAPTSVKSSGQNPQAAGLQQLNDTMVYFGGGGAGNPHSSGATAASSYYPISGVLVAVALAALL >LPERR10G01800.1 pep chromosome:Lperr_V1.4:10:1959132:1964772:1 gene:LPERR10G01800 transcript:LPERR10G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAAAARAPCSPNRHFLLLLLSSSHLSTTTSSSSSHPSCSYDPINQFLPFSHHRRLSLPASLRRDAVLALARLLKLSPPCHAALHALTASPSPPPRFAAALRLAASDPALRPLASLLVAALLLPDAAPDLLAFCDGGGGGGDGGFRGRSGRHAARRLALHAFLAAGMAGEALDVLSRVRGAGDTPSLSALAALLRLLFRGGEVRAAWKVFEEMAARGPRPNLAIFNAMIFGLCHRGLVRVSAGLLGVMGRFNIVPDACSYNILMKGLCVYGQADDAFKLFDEMRAAGCCPTIITYNILMNELCREGRMVEARRLFDEIVRAGVEVNTITFNVLIDGYVKAGQMDKANMACTEMKDMGLMPDCWTLNILSAGAYKFGNAASSGNVSEALKLEQMMRQSGLNLLVPDTRTLDQRPNLNETA >LPERR10G01810.1 pep chromosome:Lperr_V1.4:10:1978766:1984899:1 gene:LPERR10G01810 transcript:LPERR10G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRSGGFADEKAAAGAATMEAMGLEQELAGGEQSEGGESKAGSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAVASLMHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSASAAYWAFGDALLTHSNALALLPRDAWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHGCASLCRRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPGVVLSPPAVSPAMPPAALFNSTGIFGGAPVPSPSPSPAPAPSLFVLGHHHHHRHHRHGF >LPERR10G01820.1 pep chromosome:Lperr_V1.4:10:1985754:1986770:1 gene:LPERR10G01820 transcript:LPERR10G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNSASLAEMLILLAVITFTAAPAAASPAQAPAGSDCPNDITGLMTTCYKFVTKDGPMLQPSPDCCAIMEEVNVPCVCSYLGSPGVKDNISLDKVFYVTKQCGISIPGNCGGSMKV >LPERR10G01830.1 pep chromosome:Lperr_V1.4:10:1987756:1989732:-1 gene:LPERR10G01830 transcript:LPERR10G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLSDEVAKQCNAARCFRAECGELKSRADKLAALLRQAARADLYDRPAARIMAGATQALVKASSLAARCASGHPRLRRLFTLSPAAGFPRTLALLDTALEDVAWLLRISSPHSTGGAGGGGDDDDDDMDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGVPPLLKLLKEGTDDGQEAAARALGLLGCDDESVDKLVQAGVCSVFASALKDPPMRVQAAVADAIATLADRSATCQELFAQSNAVRYLVGHLASGTIQEHSRYSVGSSSSKNSAAAPQHMMSLHSVVLAKTLSMRHGGDRDTSSSADEPPRVSGEQQDAKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAAKALWKLARGHLVVCKSITDSRALLCFAVLLEKGDGGMGTAVQHYSAMAIMEISRVAEHNLALRQSAFKPSSPAAKAVVDQLLHIVSKGDFDDLLLPSITALGCLARTFTASENRVISPLVELLDEREPPIIKEAVLALTKFACSENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMVQDIRVDALLPDAKGRLELFQSRAAR >LPERR10G01840.1 pep chromosome:Lperr_V1.4:10:1999867:2018120:-1 gene:LPERR10G01840 transcript:LPERR10G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLKSVDNFQALVERKNACECSGWRECDICLLHGAVLLLTVIAAVTMAAAASETAAALVVVGAAKCAGCSRKNMDAEAAFKGLKVVIKCKNGSSEEYESKAVGELDGAGTFAVPLAAGDLHGGADCVAQLHSAATNSPCPGQEPSKIHPMPESPGTFVVAVAGNKTAQYNAASTPPECASVTICFPCGHKHHKKPFFMHKKPMPEYQPPSPDYGTPAPAGAGLPSRRKPRIWAAGARLPSSYAGAGGPNSDTGIRSSGTGMPIPHAGIRNSGTGMPTSHAGIWDFAAGMSPSHAGIRHSAAGMSPSHAGIWFAGAGMPPSCDARVRNSAAFASTWQAGADSDLPRASTMGALPRALILLGVCAAVLSATVLAVAAEEHAAAPMVVGLAKCADCTRKNMKAEAAFKGLRVAVKCKNVNGEYETKATGEIANSGEFVVPLNAGDFHNSDDCIAQLHTAANEPCPGQEPSKIVPMSTSGTFVAVAGKTHYPSALCSSAFLCDPFHKKDFFFHNYNKPSPVPSYKPPSPVPVYKNPTPVPTYKNPTPVYSHPDKPPSTPIYHPPAAAEEMN >LPERR10G01840.2 pep chromosome:Lperr_V1.4:10:2018274:2019004:-1 gene:LPERR10G01840 transcript:LPERR10G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARALLLGAVVCAAVMAVAATTDGEAALIVGQAKCGDCTRKNMKAEDVSMVAIKCKNADGEYETKAVGDLEGNSAFRVPLAAGDLHVSACFAQLHSATSSTPCPGQEPSKIVLLSTTNNVNDDEGGKAAANTFIAVAGKSAPRLSSFLCDPVVDYFRKHPFFEYFHKKPKPEPQPLPQPDPKPMPSPENGGGGAGNCGSG >LPERR10G01840.3 pep chromosome:Lperr_V1.4:10:1999867:2019004:-1 gene:LPERR10G01840 transcript:LPERR10G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARALLLGAVVCAAVMAVAATTDGLRVAVKCKNVNGEYETKATGEIANSGEFVVPLNAGDFHNSDDCIAQLHTAANEPCPGQEPSKIVPMSTSGTFVAVAGKTHYPSALCSSAFLCDPFHKKDFFFHNYNKPSPVPSYKPPSPVPVYKNPTPVPTYKNPTPVYSHPDKPPSTPIYHPPAAAEEMN >LPERR10G01850.1 pep chromosome:Lperr_V1.4:10:2022483:2023658:1 gene:LPERR10G01850 transcript:LPERR10G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARALLLGAVVCAAVMAVAATTDGEAALIVGQAKCGDCTRKSMNAEDVFKGLQVAIKCKNADGKYETKAVGDLDGNGAFGVPLAAGELHGADCFAQLHSATTNAPCPGQEPSKIVLLSTTNNVNDDEGGKTADNTFVAVAGKVNYSSSPAAECASAFLCDPVVDYFRKHPFFEYFHKKPEPEPEPLPQPDPKPLPSPENGGGAGNCGGGAAPPPSSSVYH >LPERR10G01860.1 pep chromosome:Lperr_V1.4:10:2027727:2028668:1 gene:LPERR10G01860 transcript:LPERR10G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKRSLQQQQQQEDQQVSPSGSVKRLRLGSIYDYDKLSAVGEGRDGVVFKAKHRRTGELVAIKWIRGAADQRAFVREVGCLAACRGHPNIVHIRDIVNDAITGDMFIVMDYHDRSLRDDLEQQQQQLSGEDTARSIMRDLVSAVNALHAAGIMHRDIKPDNVLVSDTDGLKLCDFGSATPVKAAGKAYEESRVGTLIYTSPEQMADSEFYGPAVDMWALGCIMAEILVGFPLFDDVSSDEERIQEMADMGHRLKSTGTCKLLDELPELSPAGREVLAGLLAFDPDERMPAADALQHRWFTDEKPVKRQRR >LPERR10G01870.1 pep chromosome:Lperr_V1.4:10:2033825:2037240:1 gene:LPERR10G01870 transcript:LPERR10G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPSKTLVTRPSPLPPRREAAAAGRRRRASAPDPEKSNPNHGDLLLRSPHGESSRVRVSFAPHPRSSGEILPRASLPSQGWRGGVPEWGWEGGGGARRQGGGGGGRRPPEASRDPHAPAQEARHPLQAKEANFEFCPQVSSWSLEAPSRT >LPERR10G01880.1 pep chromosome:Lperr_V1.4:10:2038856:2039902:-1 gene:LPERR10G01880 transcript:LPERR10G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVAALLAVLMAVAAYGEAASVVVGLAKCADCTRKNMKAEAAFKGLHVAIKCKNDAGEYETKAAGKLDGAGAFTVPLAADLHGADCVAQLHNAASNAPCPGQEPSRIVQLSESTFVAVAGKTHYAGSSECASATICEPIKKHFMDHFHHKPAPAVPAVKPAPKPHYEPHPEPQPHPEPQPHPEPQPHPEPQPHPEPTPSYGSTPSPYHPPAKN >LPERR10G01890.1 pep chromosome:Lperr_V1.4:10:2054363:2054740:-1 gene:LPERR10G01890 transcript:LPERR10G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVHGEAASVEVGTANFGGPIKKKIMDHFHKKPVPPKPEPKPEPPQPKPEPEHPILDHIHRKEKHFFDHFHKKPVPPKPEPQPEPKPQPKPQPAPEYHNPSPPAKN >LPERR10G01900.1 pep chromosome:Lperr_V1.4:10:2061792:2062127:-1 gene:LPERR10G01900 transcript:LPERR10G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVHGEAASVEVGTSKAGCGPIKKKILDHFHKKPVPPKPEPKPEPPKPKPEPEPEHPILDHIHRKEKHFFDHFHKKPMPPKPEPQPEPKPQPKPQPAPEYHNPSPPAKH >LPERR10G01910.1 pep chromosome:Lperr_V1.4:10:2064251:2064628:1 gene:LPERR10G01910 transcript:LPERR10G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLILLGVCAVLMAVAVHLEAASVEVGSAKFGGPIKKKILDHFHKKPVPPKPEPKPEPPKPKPEPEHPILDHIHKKEKHFFDHFHKKPVPPKPEPQPEPKPQPKPQPAPEYHNPSPPAKH >LPERR10G01920.1 pep chromosome:Lperr_V1.4:10:2076570:2076947:1 gene:LPERR10G01920 transcript:LPERR10G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLILLGVCAVLMALAVHVEAASVEVGSAKFGGPIKKKILDHFHKKPVPPKPEPKPEPPKPKPEPEHPIIDHIHRKEKHFFDHFHKKPVPPKPEPQPEPKPQPKPQPAPEYHNPSPPAKH >LPERR10G01930.1 pep chromosome:Lperr_V1.4:10:2080122:2080487:1 gene:LPERR10G01930 transcript:LPERR10G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPRGIVLLGVCAVLMAIAMHGDAASVEVGTAKFGGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPILDHIHKKEKHFFDHFHKKPVPPKPEPQPEPKPQPAPEYHNPSPPAKH >LPERR10G01940.1 pep chromosome:Lperr_V1.4:10:2096049:2096327:1 gene:LPERR10G01940 transcript:LPERR10G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGCIMGELLTGAPLFGGDMTAEELHDDLSKNLGDIIDELKFEVLPELSPAAGEVFSGLLAFDPEKRMTAAEALNHRWFTEEAKKSEFAD >LPERR10G01950.1 pep chromosome:Lperr_V1.4:10:2097730:2101649:-1 gene:LPERR10G01950 transcript:LPERR10G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRRDGSDEPPEEEEDPDERQVEGEDDGPQEDAEPVAGGDDEMDKAAGGDEGPEDEEEKMKWDELLALPPQGSEVFIGGLPRDTTEEDIRELCESLGEINEVRLMKDKETKENKGFAFVTFTAKDAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKAGHKRDFGFVHFAERSSALKAIKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDGRGDRRDSGSRGGEGSHGRRYRPY >LPERR10G01960.1 pep chromosome:Lperr_V1.4:10:2102836:2103474:1 gene:LPERR10G01960 transcript:LPERR10G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDMFLVMEFVGRWSLRDFIGGSPFSEAETRALMRQLLAGVRAMHAAGMAHRDIKPGNILVGAGCGLKICDLGMATTAPPPYEEFMVGTLWYNSPEQLTGRGQYDAKAADMWALGCVMVELLTGGPVFTSETAEEHLDELTDLRNYEIACQDSLAFRGLPGLSPAGCEVLAGLLAFDGDKRMKAETALQHRWLTEEADSPAVLECLAKLAS >LPERR10G01970.1 pep chromosome:Lperr_V1.4:10:2108960:2114641:-1 gene:LPERR10G01970 transcript:LPERR10G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLLAGLLLMASAAESIGSRPADCQARCGDIDIPYPFGIGPNCYREEGFEIACSKSGSAYVATLAAANRAITVKNLSVQPHPVVKVMLPVAYKCYNSSDKVTEKFFGEVDLNNNNVYRISDSRNMFVVIGCNTLSYTNNGKSEGNLHYGSLYYTGCVSYCNDSLSAQDGMCAGVGCCHVDISPGLTDNVVSFGPWSRSFQIDFSPCDYSFLVDKEEYEFRRSDLKMDLNRTMPVWLDWAIRDGNTTMITCPPPDQKPTGPYACVSANSECVNSTNGPGYYCTCQKGYEGNPYEDDPTKGCKDIDECTAPNKDVLYPCYGVCNNIPGDYECHCRTGYQHSGDGPKSQKCSSKFPLAARLALGITLGFSLLIVTILFTLMMLQKRKMNEYFKRNGGSVLQKLDNIKIFSKDEIKKITKNNSEVLGQGGFGKVYKGTLDDNTMVAVKTSIEVNEARREDFTNEVIIQSQMMHTNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLSVDLRLDIAVESAEGLRYMHSSTNRTIRHGDIKPANILLTDKFTPKISDFGTSKLLTADKDFTMFVVGSMGYIDHLTQKSDVYSFGVVLLELITRKPTIYGENCSLIIEFQKVYDQENGARTMIDKEITNEDDILILEEIGRLAMECLKEKVEQRPDMKEVAERLVMLRRSRKRGHGNYSTSPQHFEEISVEGTPTRFDEEISCRAVSSPATPVK >LPERR10G01980.1 pep chromosome:Lperr_V1.4:10:2119510:2122081:1 gene:LPERR10G01980 transcript:LPERR10G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVWVSWLVLSLLSVYLLAHSRRHLPPGPRPLPLIGSLHLLGDQPHRSLATLAKTYGPLMSLRLGAVTTVVVSSPAVAREFMQRHDAVFATRSTPDALRDHARNSVTWLPLGPTWRDLRKVMTTELFAPHRLDALRQLRQEKVAELVEHVARLAREGEAVDVRRVASTTSLNLLSRTIFSRDLTSLDDRGTSKEFEQLMADILEAIGRPNLSDFYPALAAVDLQGWRRWQSRLFARLHPLIDAEVDQRRKQRAGRQNGLKKDEADFLEVLLDLAARDDEKARGLDRDMLRAIFTDLFAAGSDTSSSTIEWAMAELLRSPLAMAKVCDELRRVIGSGRTIEESEISRLPYLQAVVKETLRLHPPGPLLLPRQATSTIQIMGYTIPKGAQVFINVWAMGQDKDTWPEPEKFMPERFIEKPIDFKGGDFELIPFGAGRRICPGLPLAFRMVHVVLASLLIHFKWTLPVEVERNGIDMKEKFGATVAKAIPLCAMATTT >LPERR10G01990.1 pep chromosome:Lperr_V1.4:10:2147961:2152514:-1 gene:LPERR10G01990 transcript:LPERR10G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEVATTAMEAVACDETPVDWTVLGSAMAQQGKECWGGGG >LPERR10G02000.1 pep chromosome:Lperr_V1.4:10:2156001:2159216:1 gene:LPERR10G02000 transcript:LPERR10G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRREVKNGIKEIVKTNINDLPNDVLEHILSYLPTREVVQTYVLSQMWRYIWKSVPTIKITESIEDCHNILDPHVILHRGDISIHTCQLHFVDYFSHQKRKANSWIFYALLVCNVKELNICVSFDDDNLKMANQTIISKHLRKLVLDTIELKTNFVDFTSCPLLEDLEMSYCIITGNKIISNSLKHLRMEDMVFRTYEVDDLAVQILICVPNLVSLSLFGFDGWTPLFESMPSLVSATVVCNDECSDACMYSKFWDCGNEDCRGCYARSDHKYGCLLLDRLSSTTHMELINDYRMVPDNYNIANIFYRDLRWSPLFRNLKTLVLNEWFLDNGLWGLLCIVKCSPSLEKITLKLYTDPEQMVDNKESYDTMVQPFVTKQLKKIKVKCEKEMEWVKNIVITLTKFGIPQQIICVEEVSSSSRTLGLHN >LPERR10G02010.1 pep chromosome:Lperr_V1.4:10:2160434:2160781:1 gene:LPERR10G02010 transcript:LPERR10G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATRRGCIAAIPWSSSMRHCRCCALSEGRCCCPWRRGCSRRLYRRAAAAAAAHRGYVAEERETPSQGCRCSPRRRGQRQRCRLPPTPIVYPLLLPSEDPLLQMLLLLLLHGYER >LPERR10G02020.1 pep chromosome:Lperr_V1.4:10:2166627:2191901:1 gene:LPERR10G02020 transcript:LPERR10G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAPSTAAASKTAPASLWWDPFVDLSDDLDRAAASTSPSAGVPQALAERIKAHRAWLHGSVSMFVKPNDSSRSALDASEVVVGSHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALDADTDGFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSTDAIREEASVLVSEEVEQRLLSIVGDSLASTFSVKGGAEFTISWLEETLIEINLIFDILFLFFYDNLSRCNGGLWITLCSIFKDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIETLDFESLLRMVRDEVPFSGGYSTFSVVDILEMDAEVSKLPEFAVESGPLILAWAVFLCLVMSLPGSNTNLEIDHTSYAQRSFEFTPFNYLQGVLFSRIFRDSDGPVSGFRGILRTFVSAFVASYEISYQAEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRSVLHMVEKEYPFQISELIRFLSAVCHGSWPAQCVFNYLERMNRVTTLYAVSRSVTDNMNYRDQIETHSPISIPGIEGIAIPSGSHGYILKLLEDDVALVRWEFQHSGVFFLLVILAQDLHACNYEEACDIMDLLYKMISSNKDLCFALLNADKSLAVQASQNLGYIEEHVRIDIAKIFCTSIFKYMEDVNNACVMSKTLGMLAEMLNCIPYHVFDVALECGLFTQSGGVSRHTATNQIVHYRTARAISGRLEIKFTTCLQGDWLLSGALARMLFATSEDSGDCSSLTIAGMQSCYLSSYNVLRKGAAADDIISSFIVFSVQYIMVNHMNWKYKKHSRWKITLKHSHGYCHDLKEIEDIQLVLCCGLDIVFYMLSNLSEELVPSPPFVTMVLSSSSKPFPFITAVISLMSFQDSGRVSVVEVGGSIIVAAYKAQPQLMETANFVVNGSEIWRLQASISCILDEVDKINDCLVLAIFNLLTSAARYQPALLISLIEQSTREADSDNAMHKPNSKHSDLNSSGSNPRLVEQILDYIGRCTELMARSPSILSGVLDLLKALWESGVQFICILEKLRSSKTFWENLSCCVHSTFASYPIDTVETVDEKNSLRYYCLGTIFEIMSYELFLQGRLLTESKTSDPSPDGSKEQNKHSVAPCSSDVVLKWFDSATVEDLIIRLSSSGYQNDILHRAKVASCLCIIRLLTKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFLALRSQYAHHGYGGEKDVTNLIISDLYYHIHGELEGRQITPGPFQELLCFLLEFKLFEHNPLEQLQKTFPAAKGISLFDVLHIRDELGIELWNHSDWRTYKEVAEKMLDIMHKTNLMKCQVDAKLCVLRSFITLLSVCTGTSSYKKIGLSGGGIPITTTQSAVKSACKCLQSAVDSLPPEVDNIEVFFPSLSGQVELLLTITRILLNHAMQSKSSRHLYPLIVLLIKTSGASTSFLFNHIPSSPALKQPVKSLLVLLLSLFEFIYSKDDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQIILQKCQNGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKLWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPVMSSYLSAHSVNTHQSKKRTLLPKSQTSLSALSLTENILALLCILAKYHFPRDTGMKEVDSELREIVIHLLAFISRGTARTGDSTNWNSSFICPPVIKEEMMLNEEPPFIRSKYGWFRYAASCTLSTPSVSGPHNSSLVVRDKSPGDSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFIDLAHFPELPMPDILHGLQDQVVSIVTELLEANVSTTLSAETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEDFSKGIKAMVNVSEKHSSFKPLVRSLGQIVTLLYPGIVQSNDLM >LPERR10G02030.1 pep chromosome:Lperr_V1.4:10:2199080:2199631:1 gene:LPERR10G02030 transcript:LPERR10G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSNPRVFLDIEIGGERVGRVVIELFADKVPKTAENFRRLCTGERGMSRSGSNKSKLHYKGSTFHRVVPGFMCQGGDITAGNGTGGESALDGGATCFADEGFDVRHDGAGVVSMANAGPNTNGSQFFITVDKAPWLDGRHVAFGRVVAGMDAVRAVDKTGTWSGKTVKPVVIADCGQLL >LPERR10G02040.1 pep chromosome:Lperr_V1.4:10:2201761:2202876:1 gene:LPERR10G02040 transcript:LPERR10G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVHFIAIAVLLSSSLASAVTGPPGTIERVVKQQILASIPPSGHPPVLFLTSPSGKYAAYFVRSHTVPGAGGLGADFCYVEVVATKAGASVGDGEAAAMGVSVWESECRPVSTVNTCTLLFSWHGLEVFDGSQEVWHGETNTDGTNFLQRLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATAFPPFAEPIGAHSSDLPFGMFPGGNGRAGAAVLPEATDLGTGAIGGAGVVAPLPGAMGGDAAAFSPLPGAVGGDAAAVAPVAGDIGGGDAAALAPLPGALGGDAAAFAPLPGYDQADPAAAAGVAAGVGGVGAGAATGAFGSQPLVDNSPYDSAAWKISGHLAAIVVALCVSVIGAI >LPERR10G02050.1 pep chromosome:Lperr_V1.4:10:2203370:2203726:-1 gene:LPERR10G02050 transcript:LPERR10G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASMAMMEETTTAVVVLRCFDGIKVAAPAGMAAARSGLVAAAAAAGGGVVVDVPGNVSGVDVAAVVAYWTGRAAAADGEGFDGEFIGGLTHDARIDLIHAAHRLADKALFGLLA >LPERR10G02060.1 pep chromosome:Lperr_V1.4:10:2206133:2211327:1 gene:LPERR10G02060 transcript:LPERR10G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEMLRRRRLPTTTPPPPSPLDDDDLLQDILLRLPPNPSSLRTASAVCNRWHRLVFDPRFRRRFVEHHREPPLLGFFDPVAGFRSTTQHLPSSDRIPTDRFLPAKEAGLRWEIVNCCKGLVLFRITFRGDRKCKEFLVVDPISGDRRLVPFPLVDGKFVCATVVPAAGDRRSFCVVAVFAERGAFTSVFASVYSSEAGVWGDYVSSLSLSWEVWVMGPSVLAGNAVHWFLDGHKVLMFDLESQRLEFSGLPLDVKDDQDFDHRCRFQIIPVGDGRRLGLAVIVESTMQLWERKIGDGCDAKWLLSRTLQLDFPPLQPEGRKLIVGVAEENNSILLWTCVGLFMVHLKYMQMVARW >LPERR10G02070.1 pep chromosome:Lperr_V1.4:10:2213600:2217441:1 gene:LPERR10G02070 transcript:LPERR10G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAARSHLAFPFLLAAAAATAFELEEATVDSIRRPFAHGDLTSRGLVELYLRRIAALDPALHAVVELDQDGALAAADRADAARRLAGDGALSALPLLHGAPVLVKDNISTAGGGSALNATAGSLALVGSRPAGDAGMSSGSGVPARWFLVRLASASGVTSAPPASPPDGVPAAARARSRSHQISPPPLPFNPYVPSATPCSSSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEATVGYDPRDAEATRMASQYIPEGAYRKFLNIDGLRGKRLGILRKDFFWFPSGSVQQKVFHDHFNTITQESMDTINDAVQSGERALMLAEFKLSLNSYLSELAASPFRSLKNIIEFNNRHPLEERMDEFGQSYLLQSEATDGIGPTEKKAIAKLSKLCERSLEKIMRVHKLEAIVAPGASAHSLLAIGGYPAITVPAGAAVEAI >LPERR10G02070.2 pep chromosome:Lperr_V1.4:10:2213600:2215868:1 gene:LPERR10G02070 transcript:LPERR10G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAARSHLAFPFLLAAAAATAFELEEATVDSIRRPFAHGDLTSRGLVELYLRRIAALDPALHAVVELDQDGALAAADRADAARRLAGDGALSALPLLHGAPVLVKDNISTAGGGSALNATAGSLALVGSRPAGDAGMSSGSGVPARWFLVRLASASGVTSAPPASPPDGVPAAARARSRSHQISPPPLPFNPYVPSATPCSSSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEATVGYDPRDAEATRMASQYIPEGAYRKFLNIDGLRGKRLGILRKDFFWFPSGSVQQKVFHDHFNTITQDGRIVRKAN >LPERR10G02070.3 pep chromosome:Lperr_V1.4:10:2215689:2217439:1 gene:LPERR10G02070 transcript:LPERR10G02070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIWVDNLEIESMDTINDAVQSGERALMLAEFKLSLNSYLSELAASPFRSLKNIIEFNNRHPLEERMDEFGQSYLLQSEATDGIGPTEKKAIAKLSKLCERSLEKIMRVHKLEAIVAPGASAHSLLAIGGYPAITVPAGAAVEAI >LPERR10G02070.4 pep chromosome:Lperr_V1.4:10:2215689:2217017:1 gene:LPERR10G02070 transcript:LPERR10G02070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIWVDNLEIESMDTINDAVQSGERALMLAEFKLSLNSYLSELAASPFRSLKNIIEFNNRHPLEERMDEFGQSYLLQSEATDGIGPTEKKAIAKLSKLCERSLEKIMRVHKLEAIVAPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPKLIEISYSFEQATEVRRPPTLQHSVI >LPERR10G02080.1 pep chromosome:Lperr_V1.4:10:2217460:2219950:-1 gene:LPERR10G02080 transcript:LPERR10G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIEEAEEMLELSNGRITAKIATWGATITSLLVPDAHGNLADVVLGFDTLEPYMQGISPYFGCIVGRVANRIKDGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVEHKGGECPSITLQYHSKDGEEGYPGDVVVRATYSLPDSTTLRLDMEATAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKDTPFDFTTEHKIGSRINNVPGGYDHNYVLDCGDEKNGLKHAAKLKDPSNSRTLDLWSDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPGEKYKHSMLFEFSA >LPERR10G02090.1 pep chromosome:Lperr_V1.4:10:2232729:2237084:1 gene:LPERR10G02090 transcript:LPERR10G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCHLLLLALLLLLSPLATSASSPPSNTSAAAVLLSFLDSLPPSSQRILLPSWRRTSSSSRKSINGSAPPPPPPPHCAFRGVTCSDAGEVAALNLSGAGLAGELAVSAPRLCALPAGALSSLDLSENGFTGEVPAALAACAGVSTLLLAGNNLSGGVPPEILSSRQLRVVDLSGNALTGEIPAPSGAGGGTPVLEHLDLSGNSLSGAVPPELAALPGLWYLDLSTNQLTGPMPEFPANCRLNFLGLYSNKIAGELPKSLSNCGNLTVLFLSFNNMSGKVPDFFSSMPNLVKLFLDDNHFVGELPASIGELVSLEKLVVTANRFNGAVPDTIGKCQSLIMLYLNNNRFTGKIPLFIGNLSRLQMLSMGENGFTGSIPPEIGKCRQLVDLQLHNNSLSGMIPLEIGELSRLQKLYLYNNLLHGPIPPALWRLSDMVELFLNDNRLSGEIHSDITHMRNLREITLYNNNFTGELPQALGMNTTPGLLRVDFTHNRFHGAIPPGICTGGQLGVLDLGFNQFDGPFPGGIAECQSLYRVNLNNNQLRGSLPADLSTNRGLSHIDMSGNLLEGMIPSVLGSWRNLSWLDVSGNKFSGSIPTELGALSVLGTLRMSSNRLTGAIPHELGNCKRLLYLDLGNNLLNGSIPAEITALDGLQHLLLGGNKLTGAIPDSFTTTQSLLELQFGSNNLEGPIPRSLGNLQYISQCLNISNNRLSSQIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSSMISLSVVNISFNELSGQLPDGWGKLATQLPEGFLGNPQLCIPSCNAPCSKYQSGKNRRNTQIIVALLVSTLVVMVASLVVIYYIVKRSRRLSANRVSMRNLDSTEELPEDLSYEDILRATDNWSEKYVIGRGRHGTVYRTEVAVGKQWAVKTVDLSQCKFPIEMKILNMVKHRNIVKMAGYCIRSNFGLILYEYMPEGTLFELLHERTPQVALDWNIRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIMDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPTFGDGVDIVTWMRSNLNQADHSSIMSCLDEEIMYWPEHEKVMVLDLLDLAMSCTQISCQLRPSMREVVSILMRIMK >LPERR10G02090.2 pep chromosome:Lperr_V1.4:10:2232729:2237084:1 gene:LPERR10G02090 transcript:LPERR10G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCHLLLLALLLLLSPLATSASSPPSNTSAAAVLLSFLDSLPPSSQRILLPSWRRTSSSSRKSINGSAPPPPPPPHCAFRGVTCSDAGEVAALNLSGAGLAGELAVSAPRLCALPAGALSSLDLSENGFTGEVPAALAACAGVSTLLLAGNNLSGGVPPEILSSRQLRVVDLSGNALTGEIPAPSGAGGGTPVLEHLDLSGNSLSGAVPPELAALPGLWYLDLSTNQLTGPMPEFPANCRLNFLGLYSNKIAGELPKSLSNCGNLTVLFLSFNNMSGKVPDFFSSMPNLVKLFLDDNHFVGELPASIGELVSLEKLVVTANRFNGAVPDTIGKCQSLIMLYLNNNRFTGKIPLFIGNLSRLQMLSMGENGFTGSIPPEIGKCRQLVDLQLHNNSLSGMIPLEIGELSRLQKLYLYNNLLHGPIPPALWRLSDMVELFLNDNRLSGEIHSDITHMRNLREITLYNNNFTGELPQALGMNTTPGLLRVDFTHNRFHGAIPPGICTGGQLGVLDLGFNQFDGPFPGGIAECQSLYRVNLNNNQLRGSLPADLSTNRGLSHIDMSGNLLEGMIPSVLGSWRNLSWLDVSGNKFSGSIPTELGALSVLGTLRMSSNRLTGAIPHELGNCKRLLYLDLGNNLLNGSIPAEITALDGLQHLLLGGNKLTGAIPDSFTTTQSLLELQFGSNNLEGPIPRSLGNLQYISQCLNISNNRLSSQIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSSMISLSVVNISFNELSGQLPDGWGKLATQLPEGFLGNPQLCIPSCNAPCSKYQSGKNRRNTQIIVALLVSTLVVMVASLVVIYYIVKRSRRLSANRVSMRNLDSTEELPEDLSYEDILRATDNWSEKYVIGRGRHGTVYRTEVAVGKQWAVKTVDLSQCKFPIEMKILNMVKHRNIVKMAGYCIRSNFGLILYEYMPEGTLFELLHERTPQVALDWNIRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIMDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPTFGDGVDIVTWMRSNLNQADHSSIMSCLDEEIMYWPEHEKVMVLDLLDLAMSCTQISCQLRPSMREVVSILMRIMK >LPERR10G02100.1 pep chromosome:Lperr_V1.4:10:2241650:2246019:1 gene:LPERR10G02100 transcript:LPERR10G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALHFLLLLHLLVSSVARPPEEEDAAVLRSFLASLPPPSRRVLRPSWHHPSSAPTHCAFLGVTCDAAGEVAALNLSGLGLSGELAASAPRLCALPELASLDLSRNGFTGAVPAALAACSAVATLVLSSNHLAGEIPPELISSHLLKKVDLNNNSLTGEIPTAGAGASVLEYLDLSVNSLSGAIPPELAAALPELIYLDLNSNNLSGPMPDFSPGCRLVFLSLYSNQLAGELPRSLANCGNLTVLYLSYNKFGGNLPDFFASMTNLQKLYLDDNAFVGELPASIGELVKLEELVVSTNAFTGVIPEAIGWCQLLTMLYLNGNGFTGSIPKFIGNLSQLQLFSIADNGFTGRIPPEIGKCRGLVELELQNNSLSGMIPSEIAELSQLQKLCLFNNILHGPVPPALWRLSDMVVLQLNNNSLSGEIHSDITQMNNLRNLTLYDNYFTGELPQALGLKTTSGLLHVDLTRNRFHGAIPPGLCTGGQLVVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNKVNGSLPADLATNAGLSYIDMSRNLLEGTIPGALGSWSNLTMLDLSSNSFSGPIPREFGNLSNLGTLRMSSNKLTGPIPHELGNCKKLALLDLGNNLFSGSIPAEIITLDSLQNLLLSGNNLSGTIPDSFTATQALLELQLGDNSLEGDIPHSLGNLQYISKTLNISNNQLSSQIPSSLGNLQDLEVLDLSKNSLSGIIPSQLSNMMSLSIVNVSFNKLSGPLPARWAKLAAQSPEGFMGNPQLCVNSSDAPCLKSQSVKNRSSKTRIILGLLLSSLSAMVASLFAIRYILKRSQRLTNRVSVRSMDSTEELPEELSYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKEWAVKTVDLSQCKLPIEMKILNTVKHRNIIRMAGYCIRGSVGLILYEYMPEGTLFELLHSRKPQVALDWMVRHQIVFGVAQGLSYLHHDCVPMIVHRDVKSGNILMDTELVPKLTDFGMGKIVSNEDLDATVSVVVGTLGYIAPEQGYSTRLTEKSDVYSYGVLLLELLCRKMPVDSAFGDGVDIVTWMRSNLAQADCRSIMNCLDEEIMYWPEDDQAKALDLLDLAMSCTQLACQSRPSMREVVNTLMRMNK >LPERR10G02110.1 pep chromosome:Lperr_V1.4:10:2249062:2259425:-1 gene:LPERR10G02110 transcript:LPERR10G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATGEATALNRKRAAADAPDPRACGSSPASGAKRRRYNLGSADDYEKLEVVGQGAFGVVMKARDRRTGKMVALKRLIGVDEGARFAPDFDALRVEVACQHACRGHPSIVEIKDVVGDGKTGEIFLVMEFVGNSLREELPRARPEDLVRVMMRQLVGAANKMHASRVIHRDIKPENILVSFFGELKICDFGAATLMKLPGKPYDLPRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTAEELHDDLSKNLGNMIDELRFEVLPELSPAAGEVLSGLLAFDPEKRMTAAEALNHRWFTEKAKKAEYPGFGTAAAAMASKRPAPDGDATASPQPLTSIYDYESIDIVGRGAYGVVRLARHRHTGDTVAIKCFYDKPGCFDEDGYGQQDAVAFNRELDCLAACQGHPSVVQLRDVAVEPASHDMFLVMEFVGRHTLRDLIFCRRFSDAETRVLMRQLLTGAKAIHGAGLIHRDVKPGNVLVGTGCTLKFCDFGAATPAASTPFEEVVVGTLRYTSPEQLAGNRCYGQAVDMWALGCVMIELLTGRFVFTSSDTVDEHVLDLFDLEDCNIGSRDSPAFGGLPGLSPAGREVLAGLLDFDHKKRMTAEAALEHRWFTEEADCPARPAPDGDAPILQPCYKKPRRQFTSIFNYEIPTETLGAGTYGVVYKTRDRHTGETVAVKWVRPRRGLVHGLPANLAAFARERDCLAKCRGNPSVVQIKDVAANPRNWDMFLVMEFVGRWSLRDFIGASPFSEAETRALMRQLLAGVSAMHGAGMAHRDIKPGNILVGAGCALKICDLGMATTAAPPYEEFRVGTMWYNSPEQLTGRGQYDAKAADMWALGCVMAELLTGGPVFTSETAEEHLDELTDLRNYEIACQDSLAFRGLPGLSPAGCEVLAGLLAFDGDKRMTAEAALEHRWFTEEADSPAVLECLAKLVS >LPERR10G02120.1 pep chromosome:Lperr_V1.4:10:2269200:2271642:1 gene:LPERR10G02120 transcript:LPERR10G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGCQASATDKPLEEGQNKCKSHQDISNPLVDCLAVCLAGAAASVGRHVHGLCRRHLPARCRRENDGEHEQEKHQRMRT >LPERR10G02130.1 pep chromosome:Lperr_V1.4:10:2271656:2272567:-1 gene:LPERR10G02130 transcript:LPERR10G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGSRKSAAPEQEPSAKKRRYALESVDKYEQLDVIGEGTFGVVIKARDRRTGNNVALKKFHGVPDFDAVRIEAACQHACRGHPSIAEVKDVVADAKTGDIFLVMELLGNSLRDEIPYARPEHMVRTMMRQLVGAANKMHASHVIHRDIKPENILISGFGMLKLCDFGSATFVNPPGKPYDECRIGTLPYTSPEQLAGKHCYGPAVDMWALGCIMGELLTGAPLFGGDVTEEELHADLSKNLGDIIDELRFEVLPELSPAAGEFFSGLLAFDPEKRMTVAEALNHRWFAEDAKKAEFAGL >LPERR10G02140.1 pep chromosome:Lperr_V1.4:10:2274833:2275051:-1 gene:LPERR10G02140 transcript:LPERR10G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVHTFVLFLFLFTAVLSPAARREVAAEAMQAANARRRQSSGRPDGKTIDQGIGYILMALALVLTYVLH >LPERR10G02150.1 pep chromosome:Lperr_V1.4:10:2291103:2292746:-1 gene:LPERR10G02150 transcript:LPERR10G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVMERERLTAEMAFPPAPAVGGGDKKPPPSIVIKIRRRLPDFARSVNLKYVKLGLLSAGFPSPSTSLTLATPVMAAAAAACYYYASFFNLNLDINVAATCATWLCLAALLLAVTYLNRPRPVYLVEFACYKPAGNEHEITKSEFLDMAESTGFFNTEALEFQTKITRRSGLGDRTYLPPGIQSRPPRLSMVEARAEAEAVMFGCLDSLFAATGIDPTRDIGILVVNCSLFNPTPSLASMVVNRYKMREDVKSFNLGGMGCSAGLIAVDLARDLLQANADSLAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAAVLSNRRADARRAKYRLLHTVRTHKGAADECYRCVYQREDGGGRVGVSLARELMAVAGDALKTNITTQGPLVLPLAEQLKFLKSLVLRRVLRARGARPYIPDFRRAFDHFCVHAGGRAVLDEVQRSLSLGDGDMEASKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWLALRDVPPVCAGDEEDGERRRMRCNPWVDSVESYPPKAYM >LPERR10G02160.1 pep chromosome:Lperr_V1.4:10:2295660:2296859:-1 gene:LPERR10G02160 transcript:LPERR10G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVVASYAPVSRSPLMRQASSGTRQVSHSLSLRQPPLSPFFPASAATVKCRRPNLSVVCAGPGPEKHRPAFTIPPTALLYPFPPPDGKERWEIKHGEDSVQLWLQVPGLSEDNLEITTTEDLLEIKWKGGHGGAGGGPPRPEDVHGVGPFHVRLLLTKEFDSSQVTAMLKAGMLEVTIKYNKEVKPKKVKIGKQSPAVAGGKRPAGDTKTSPPPPLQMKAEGE >LPERR10G02170.1 pep chromosome:Lperr_V1.4:10:2300997:2302249:-1 gene:LPERR10G02170 transcript:LPERR10G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLSGRPAAMPVASLSKKPAPVVSLSSEGKSRPLSLCFAGDSPKGGDYNPKIDLPPFSISPVELVNPKPVVGERWQVEEKTDAVTLWFDVPGLSKEDLAVEIDEDVLIIKKKDIAKSSTPNSSTTTPATKNTVNASTATSGGKGAVYARLLLPAGYSKEGVEAELISGELRVTIAKVKESARRRINVEITVKPPSY >LPERR10G02180.1 pep chromosome:Lperr_V1.4:10:2309986:2317681:-1 gene:LPERR10G02180 transcript:LPERR10G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATANGNGVHSNGAGAGGTQGKPIKCKAAVAHGPGEALVIEEVEVAPPARMEVRVKVLFTSICHTDLSAWKGENELQRKFPRILGHEAAGVVESVGEGVKDLAPGDHVVPIFTGECGACAYCESSKTNLCKTYRVNPFKSTMVNGGDTRFTIIDCSSGERQPVYHFLNTSTFAEYTVIDSACAVKISPKASLEKMSLLSCGVSTGVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFVNSKACGKPVHEVIMEMTDGGVDYSFECTGILDVLREAFVSTRDGWGLTVVLGIHPTPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPELVEKWMQGEIKINFDGFITHEMPFADINKAFQLLEEGKSLRCLLHV >LPERR10G02190.1 pep chromosome:Lperr_V1.4:10:2317723:2318686:-1 gene:LPERR10G02190 transcript:LPERR10G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVLVAMLCSSFKEPDDEELDDDDVQQEAWATAMTNAGKEATHPRIPVLIGKSKQVETQEKTN >LPERR10G02190.2 pep chromosome:Lperr_V1.4:10:2317839:2318686:-1 gene:LPERR10G02190 transcript:LPERR10G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVLVAMLCSSFKEPDDEELDDDDVQQEAWATAMTNAGKVIIKPTKGSFPKAIAI >LPERR10G02200.1 pep chromosome:Lperr_V1.4:10:2325285:2328209:1 gene:LPERR10G02200 transcript:LPERR10G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASHRRHPYDHEEKVVVPPSVTPRARWWLSSSSSHNTIVIELLSDIPEESVYYEKDPETVTDLHIRLVQPRELQL >LPERR10G02210.1 pep chromosome:Lperr_V1.4:10:2340403:2347376:-1 gene:LPERR10G02210 transcript:LPERR10G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLQAASCLPCTAEEERELVVALTREAEENVKDGDLRYLVSYSWWINWQRYVGLIRADDNDAEMLPQSPSRPGEIDNSKLVSEESSSVDNEPDLQRTLREGEDYTLVPQEVWRKLHEWYKGGPEISRKVICNSPTSKSYIVDVYPLRLKLFDERGSSERIIRISRKAKVRELYSLVCSLMNVEQSKMSILDYYQMTKRQKLTNLNETVEEAQLMMDQEIIVEMKPDDDWCSDLSTRSNNELALIPLEPSTSAFSIAGGPAFSNGFSPRFGSSFSQDNSFNPLLRDTEDGYSSFSNGSKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYTREINTENPLGLQGELATAFGELLRKLWSAGRTSVAPRAFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPHCHKISVTFDPFMYLSLPLPSTVTRMMNVTVFSGTGDALPMPYTVKVQKNGVCGDLIKSLSDMCCLQSSETLLLAEVYDHRIYRYWNPSEPIFNIKDEDKLVAYRLPVGSENLLRVEILHRVVDSNTSESLFNLRKLIGSPLVTCIPNDFTRKTDIYATVSALLARFVRAKVHTSDESAAKLNGNGPSLDGIVLTDNGASCEEDVSTSHVDEEAVEEEHLPFQLWLTDDKASRRDPIDVDSNGAPGSTIRLLMDWSDKEHEVYDIKYMDELPVVFRPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMWYCPRCKEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYAKHSSSDGQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEEIRTSSAYLLFYRRVGSNSCTSKNDKMDTDMVDSLDA >LPERR10G02220.1 pep chromosome:Lperr_V1.4:10:2354747:2356011:-1 gene:LPERR10G02220 transcript:LPERR10G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLPVAALLLAAATVVDATSSALLGINYGRVGNNLPPPTAVPQMLSALGVGRVRLYDADPTTLRAFANTGVELVVGVPDECLAAVSTPTGATSWVRNVIQPALPATKIAVLTVGNEVLTGPNATTLSRSLLPAMQCIHDALVQAGLDKQVSVTTAHNLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTASPFLVNAYPYFAYAEDPTGVELDYALLEPGYAGVADPSSGLHYPNLLVAQVDAVYHAIAAANTAAARAVEVRVSETGWPSAGDANETGATPRNAARYNGNVMRLVADGKGTPLRPATPLRAYMFALFNENTKPGPTSERNYGLFKPDGTPVYDLSYRLPKDNTNSGGGGGGIAGGGGYNGHGEDGGYYSISGSAKMAI >LPERR10G02230.1 pep chromosome:Lperr_V1.4:10:2362114:2364451:1 gene:LPERR10G02230 transcript:LPERR10G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDYLPTLLELDFDLECSYGRWCAALTHRDVKNHGMGYDWRGIQRVDFEDQTWVMIGEARPRATRRGLRGRCKRCDRLISFKNSFCSPCCKVLMVVTGRGRHIVQRLVTADFSRSHLRDRFCTHCLSFFGSAQCIDHTLPTTQTMSYPICRFYISSGTTAGCSYLKSSYLHI >LPERR10G02240.1 pep chromosome:Lperr_V1.4:10:2365394:2372980:1 gene:LPERR10G02240 transcript:LPERR10G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWELKYAPCCLTS >LPERR10G02240.2 pep chromosome:Lperr_V1.4:10:2365394:2372980:1 gene:LPERR10G02240 transcript:LPERR10G02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding RAAVVDKRSGRPAEYKTAGVCFRSEWERTGCWTFVLWRPTSRDLIASVHDHPSFHQFPRRCRRRARTRQCRHHATPPPTPPTTYPRGSGAAAYHRSDRLLPPPSKPSTRRSPSSPTPSPNLIYSTWLEFAGEDEDASRGTAVTKTYDAWRRSALSVRRSYYDAHQSTNRTQSSSFHVQLPIFTRNQPEPQRCL >LPERR10G02250.1 pep chromosome:Lperr_V1.4:10:2374996:2376312:1 gene:LPERR10G02250 transcript:LPERR10G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRARARHAAKTPYNAAGWLSRRRSSSSCEEESDNDGSGALLTSLSDDVLFDILPHVLSDASDVARFASTCPRWGRFVATHAARISRVIRSPTRFLPRIALGFFHHENGVNRFLRARARLVGSAQPRFFPAASASQFVRIAQPLGGDVLFDYAQPVASRNGHVVFELRRDARTDGLRLGVFSPMTGDMAVLPSLSGDDSPGSYACTILAGDDLTDNALVDGSFFFRVLLIYNRRSFTALRCYSSDTGSWSPERRKPGGKMRSHTLRRLGHAVVVDGVAYWPLPGEAFGVRMSDSSMDVSSVPYRLPGNWPDLRLLGVSSDGKKLRYITAGFVSRVTLSVSLRATYFEDVDRSIHDVHVRVPGLRVTGTTPIKLRWFGEKSGTVLFTVGEADGGVFALNMANGTVEKLADGGGFHVCSNIYGYEMDHAALLASLAY >LPERR10G02260.1 pep chromosome:Lperr_V1.4:10:2397042:2398591:-1 gene:LPERR10G02260 transcript:LPERR10G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSSFRLAVFNPMTGDMVVLPCLSGQDCPGSYACAILTGEDLDNHDHNFFRVLLIYSRPSFTALRCYSSNTAHWGLERRKPGRKMRQHTLQQLGHAVVVGGVAYLPLLWEAFGVRLSDPTTMDVCSVPYMEKGNLPDFRILGVSPDGKELRALCRCGEKKLVIYIPRSAFL >LPERR10G02270.1 pep chromosome:Lperr_V1.4:10:2409501:2409884:-1 gene:LPERR10G02270 transcript:LPERR10G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIAAAVASAAAVAAASGAELLACDCADQPQQEASAASVVGRCDAFVVRHNKSSSSSRADRAGEHDQVKEGKKFAPRFDGLRFIETLVTAHR >LPERR10G02280.1 pep chromosome:Lperr_V1.4:10:2418281:2418585:-1 gene:LPERR10G02280 transcript:LPERR10G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAAAAAAASAAATAVASAATAATAAGPSPTGSPASREDGGWRRRTEERFAPRFDGLRFIETLVTAHR >LPERR10G02290.1 pep chromosome:Lperr_V1.4:10:2419582:2420019:1 gene:LPERR10G02290 transcript:LPERR10G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKVKIRALAAAVEGINAVTHVAPAPVSPRPSPPCLPRRRITDTVVRAMRSSQLVFVLASPASPPSSPMLRPPMHVAGKRFMFMARRGARSFYGSWVEFLAVTATTAIGPAVGAEIALMTTHFPKPDDVWINIEDTSSKDSLSP >LPERR10G02300.1 pep chromosome:Lperr_V1.4:10:2440306:2448175:1 gene:LPERR10G02300 transcript:LPERR10G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSNGDALKSSNQAEQDEQVPKFVQISPERYANLTESEEQVKILFDKVNVLNEKLSAAQSDVTTKDSLVKQHVKVAEEAVSGWEKAEAEALALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMLKVELEGKLASFEQELIRVGAENDALSRSLEEQENLLMKVGGEKAQAEAQIEVLKCTIQSELEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHAENRLRRSPSRSSNFHHPMSPSPDNSLENLQHMQKENEFLTARLLSMEEETKILKEALSKRNNELQASRNTCANTAGKLRSMEVHMVSARQYKSPTNSNLDGALSLNGSNPPSLTSMSEDGVDDAASCAESWANALISELSLIKKDKGGKGSLTENSNQMVLMDDFLEMERLACLAPVGKGCGSFTDNKKTTKVDTTLTTVTKRESDRDSWPSLKFPDTPSSSEHLPENSPLSKLHSRISSLLGSPSPQNNVGKLLDGIRNILRDIEEEAESVNAKMNQLDNMNEVADNGSSTKKSRNLGDMDHGLRQAILDILDFLQSFKRQLSEFQGKIFYRNTMFEKIEQFNTLADKVVFNENGLTEMVIALAEILSESCAIKLILLGDSVNEAESNNLDCVDKVTLLENKVRHEPIKDGLTDVCSLLPHLSSDHDFEGSSDAFDIKTTVRICSQEEYEQLKLDKRKLEIELAKCNETIECTKLEFSEMEKNMEELATKLSACEKLNSLTETQLKCMAESYKSLESQKLKLESEIESLHNQIDTLRTELAEERENHQEDLKKYMDLKEKIERYENEKNTACLDEDADAKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLDSMLNKQPVGVFSEDQTRPTQGLHLKKLSDVDNAWIHQILVFA >LPERR10G02300.2 pep chromosome:Lperr_V1.4:10:2440306:2448175:1 gene:LPERR10G02300 transcript:LPERR10G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSNGDALKSSNQAEQDEQVPKFVQISPERYANLTESEEQVKILFDKVNVLNEKLSAAQSDVTTKDSLVKQHVKVAEEAVSGWEKAEAEALALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMLKVELEGKLASFEQELIRVGAENDALSRSLEEQENLLMKVGGEKAQAEAQIEVLKCTIQSELEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHAENRLRRSPSRSSNFHHPMSPSPDNSLENLQHMQKENEFLTARLLSMEEETKILKEALSKRNNELQASRNTCANTAGKLRSMEVHMVSARQYKSPTNSNLDGALSLNGSNPPSLTSMSEDGVDDAASCAESWANALISELSLIKKDKGGKGSLTENSNQMVLMDDFLEMERLACLAPVGKGCGSFTDNKKTTKVDTTLTTVTKRESDRDSWPSLKFPDTPSSSEHLPENSPLSKLHSRISSLLGSPSPQNNVGKLLDGIRNILRDIEEEAESVNAKMNQLDNMNEVADNGSSTKKSRNLGDMDHGLRQAILDILDFLQSFKRQLSEFQGKIFYRNTMFEKIEQFNTLADKVVFNENGLTEMVIALAEILSESCAIKLILLGDSVNEAESNNLDCVDKVTLLENKVRHEPIKDGLTDVCSLLPHLSSDHDFEGSSDAFDIKTTVRICSQEEYEQLKLDKRKLEIELAKCNETIECTKLEFSEMEKNMEELATKLSACEKLNSLTETQLKCMAESYKSLESQKLKLESEIESLHNQIDTLRTELAEERENHQEDLKKYMDLKEKIERYENEKNTACLDEDADAKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLDSMLNKQPVGVFSEDQTRPTQGLHLKKLSDVDNAWIHQILVFA >LPERR10G02300.3 pep chromosome:Lperr_V1.4:10:2442304:2448175:1 gene:LPERR10G02300 transcript:LPERR10G02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSNGDALKSSNQAEQDEQVPKFVQISPERYANLTESEEQVKILFDKVNVLNEKLSAAQSDVTTKDSLVKQHVKVAEEAVSGWEKAEAEALALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMLKVELEGKLASFEQELIRVGAENDALSRSLEEQENLLMKVGGEKAQAEAQIEVLKCTIQSELEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHAENRLRRSPSRSSNFHHPMSPSPDNSLENLQHMQKENEFLTARLLSMEEETKILKEALSKRNNELQASRNTCANTAGKLRSMEVHMVSARQYKSPTNSNLDGALSLNGSNPPSLTSMSEDGVDDAASCAESWANALISELSLIKKDKGGKGSLTENSNQMVLMDDFLEMERLACLAPVGKGCGSFTDNKKTTKVDTTLTTVTKRESDRDSWPSLKFPDTPSSSEHLPENSPLSKLHSRISSLLGSPSPQNNVGKLLDGIRNILRDIEEEAESVNAKMNQLDNMNEVADNGSSTKKSRNLGDMDHGLRQAILDILDFLQSFKRQLSEFQGKIFYRNTMFEKIEQFNTLADKVVFNENGLTEMVIALAEILSESCAIKLILLGDSVNEAESNNLDCVDKVTLLENKVRHEPIKDGLTDVCSLLPHLSSDHDFEGSSDAFDIKTTVRICSQEEYEQLKLDKRKLEIELAKCNETIECTKLEFSEMEKNMEELATKLSACEKLNSLTETQLKCMAESYKSLESQKLKLESEIESLHNQIDTLRTELAEERENHQEDLKKYMDLKEKIERYENEKNTACLDEDADAKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLDSMLNKQPVGVFSEDQTRPTQGLHLKKLSDVDNAWIHQILVFA >LPERR10G02300.4 pep chromosome:Lperr_V1.4:10:2442134:2448175:1 gene:LPERR10G02300 transcript:LPERR10G02300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSNGDALKSSNQAEQDEQVPKFVQISPERYANLTESEEQVKILFDKVNVLNEKLSAAQSDVTTKDSLVKQHVKVAEEAVSGWEKAEAEALALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMLKVELEGKLASFEQELIRVGAENDALSRSLEEQENLLMKVGGEKAQAEAQIEVLKCTIQSELEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHAENRLRRSPSRSSNFHHPMSPSPDNSLENLQHMQKENEFLTARLLSMEEETKILKEALSKRNNELQASRNTCANTAGKLRSMEVHMVSARQYKSPTNSNLDGALSLNGSNPPSLTSMSEDGVDDAASCAESWANALISELSLIKKDKGGKGSLTENSNQMVLMDDFLEMERLACLAPVGKGCGSFTDNKKTTKVDTTLTTVTKRESDRDSWPSLKFPDTPSSSEHLPENSPLSKLHSRISSLLGSPSPQNNVGKLLDGIRNILRDIEEEAESVNAKMNQLDNMNEVADNGSSTKKSRNLGDMDHGLRQAILDILDFLQSFKRQLSEFQGKIFYRNTMFEKIEQFNTLADKVVFNENGLTEMVIALAEILSESCAIKLILLGDSVNEAESNNLDCVDKVTLLENKVRHEPIKDGLTDVCSLLPHLSSDHDFEGSSDAFDIKTTVRICSQEEYEQLKLDKRKLEIELAKCNETIECTKLEFSEMEKNMEELATKLSACEKLNSLTETQLKCMAESYKSLESQKLKLESEIESLHNQIDTLRTELAEERENHQEDLKKYMDLKEKIERYENEKNTACLDEDADAKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLDSMLNKQPVGVFSEDQTRPTQGLHLKKLSDVDNAWIHQILVFA >LPERR10G02310.1 pep chromosome:Lperr_V1.4:10:2455066:2456717:-1 gene:LPERR10G02310 transcript:LPERR10G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVLSASSGGGGVGGGNGGGGGGNGGGGSGGGGGPCGACKFLRRKCVQGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLQQIPHHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQHQVAGLQSELNYLQAHLTSMEVPSPAPAQPQPLMPMTAATAGNFNFSNLPSSSSNVPVTADLSTLFEPLPATQPQWGLFQQQQPQQQQHHHHQQQLQLQHQHQQHPYDRMGDGSSSSRVGGAGDDDGSDGGDLQALARELLDRHGRSASSSKLDPPPHTQ >LPERR10G02320.1 pep chromosome:Lperr_V1.4:10:2491881:2496789:1 gene:LPERR10G02320 transcript:LPERR10G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADFKSNPKRRRSHSPVEHKDGHIKDSEISGRKNDLRDVENNISNARSGRGHEHDRHSDRHSSGASRESRRHDDHRRYHDRHADDNDRNYRTSWSERESRTDTYYDRSKRDSQSDRSRGDWRNVDSRYDDKPIRREHRSNPRYDGEYDKYSDGRKHGYTMRRYPEEKEGKGKETVKQEDALQKISGKEIENTSSVAEPEVETREKRRSLFSSVGPDVENAQLDENPDASGKKPSLGSGDGILVNSVPGFKEGGTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSTHWDSNLFPDRERQEKFNKLMGVKSSSLAQESKLIDNADGNSSDAKKQEDLDTDLEKHYIAGLRRRDGRTVGLGL >LPERR10G02320.2 pep chromosome:Lperr_V1.4:10:2491881:2496789:1 gene:LPERR10G02320 transcript:LPERR10G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADFKSNPKRRRSHSPVEHKDGHIKDSEISGRKNDLRDVENNISNARSGRGHEHDRHSDRHSSGASRESRRHDDHRRYHDRHADDNDRNYRTSWSERESRTDTYYDRSKRDSQSDRSRGDWRNVDSRYDDKPIRREHRSNPRYDGEYDKYSDGRKHGYTMRRYPEEKEGKGKETVKQEDALQKISGKEIENTSSVAEPEVETREKRRSLFSSVGPDVENAQLDENPDASGKKPSLGSGGFKEGGTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSTHWDSNLFPDRERQEKFNKLMGVKSSSLAQESKLIDNADGNSSDAKKQEDLDTDLEKHYIAGLRRRDGRTVGLGL >LPERR10G02330.1 pep chromosome:Lperr_V1.4:10:2497449:2499313:1 gene:LPERR10G02330 transcript:LPERR10G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFPCPANNSADPGCLSPPFPTAPATALTPATGHGVARASNTASTPAPLPSTVPALQAPSLPLGRSAVSGLGD >LPERR10G02340.1 pep chromosome:Lperr_V1.4:10:2506209:2513883:-1 gene:LPERR10G02340 transcript:LPERR10G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTTATPLIILLLQLPALLITSSDASNAAAAGDETTSSSTLAGCPNSCGGIAIPYPFGIGTGCFRNGFEIICDDDGGHGGAIPFLPSSTKPIPIIELSIETGEARVMLPIGWQCFNSSTTSNKAIASSNGDVQFNRDGLYRISHAHNHFVVVGCNTMGYTGSQRGEGVDSDYSDAYYTGCWCYCNSTADVVAGDCSGVGCCNVDIPLGITDNKVSFDDNYTRWEFSPTCDYAFLVEKESYTFSVADLRMDVNRTVPVRLDWAIRDNLTCGEAKKKKESYACLSDNSYCRDSINGPGYVCNCSIGYKGNPYLPNGCTDVNECEHPGKYPCNGICQNKQGSYECVCPKGSHSADPFNDPCNPKFPLAAQIAVSVAGGLFITSVLVFITLLRVERRKMKEFFEKNGGPILEKVNNIKLFKKDDLKPILKSSNIIGKGNFGEVYKGYINTGDGNNLVAVKKPINVNLAQKDQFANEIIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVPKGSLEDILHGNSRMPLNLDQRLQIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLIPKISDFGISRLVAMDNEHTMQIIGDMRYMDPVYFQTGLLTKKSDVYSFGVVLLELITRKKASHSDNNCLLRNFLDAYKKEKTLTEIIDMELVATNDLDLLDSLAGIIVQCLSLDVNQRPAMTDLAETLHYMAKRTRGK >LPERR10G02350.1 pep chromosome:Lperr_V1.4:10:2534973:2539902:1 gene:LPERR10G02350 transcript:LPERR10G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGSAFGDVALQQLKDFLKKEAALLQELPLHAKCIERELYMIRSFLMQSRAKIHSTENEILKSWVVRVRKVAYRVEDLMDQYSYNVALLLEEGVFSRMMHATYYATTFHGIATGLKEVDTEIKHLSELKIKYTEYFSELLRDTSDNTQAHLSRDSSFHTVKEGIVGMKDEIQSLNSWLGRDDCTRVVISVWGLFGLGKTTVVRKVYESNKELKNFDCYSWIEVPHKYNNDVMLRQLIKDLCQDQSQIPGNLDIMYGSQLADILCAVLSKKRYLIVLDNIWDAAAFHGLSSFFIDNDNASRIIITTRTSDVASLAQDAYKLKLKPLAHEDAMELFCRRAFQKSHMTCPQHLQSLCDKIVRKCGGLPSAIYAIGNLLAVREQTEVAWKTMDDQFQCMLEDNPGLGEVRSALSVSILFLPRHLMNCFLYCSLFPQNYPLSRESLVKLWTAEGFITRRGDSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLNEGDLHTDQKEDVRRLSISRCNKNVRSSLEFPRLRTFIATSRAADSDLLNSLIQKSKYLAVLELQDSPIDIIPANIGELFNLHYLGLRRTSVKSLPKSIEKLNNLETLDLKYTGVGELPKEICKLKKLRHLFADKIIDPSRSVFRYFKGMQLPHGFSHLDEIQTLETVEATKDSIELLGNLTALRTLWVENVNRADCTMLFTSLSAMENLSSLLISASNDYEALDFGTFNPLEMKLQKLIIRGCLDSGTFGKPLFSKHGSHIKYLSLSSSRVGNDPFPLLADYMPNLIYLSIRKWCCAEEITLRKGKFPRLTTLFLGDMKQVHTVIIEPSAIESLEALYLVSLTAMTTVPRGLELVTSLRKLNVWGQSNEFKLQWERENWGTKLHHVPEIRV >LPERR10G02360.1 pep chromosome:Lperr_V1.4:10:2551279:2551692:-1 gene:LPERR10G02360 transcript:LPERR10G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKIVIVVYMFVLALLFSHVSMALNEKCDNERAPGLTGCPGHFNCGKVCIMNRYKGGHCLDDGTDQPHCYCFTHCENEKEQFGRSKLLLEHA >LPERR10G02370.1 pep chromosome:Lperr_V1.4:10:2575170:2579766:-1 gene:LPERR10G02370 transcript:LPERR10G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATEDDTVDSRRYTQHAQGPAAILAISTENPTNIVPQDEFGGFYFGLTKCDHLTELKEKMKRICEKSGIEKRYMHLGDELIRAHPEIIDKNLPSLDARANIAATEVLKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSYDLQLASLLGLRPTISRTILSLHGCSGGGRALQLAKELAENNRGARVLVVCSEMILMCITSPDVTNIVGQTIFGDGAGAVIVGAGPFDNDGVERPLLEMVAASQNMIPGTEKEIGMQITSTGIVFQLSMKVPKLIRDNIQQCLIDAFRSIGNSDPPNWNDLFWAVHPGGRAILDSIEGTLQLQPSKLAASRHVLREYGNMSGATITFVLDEVRRRREKEDGQQQQQPEWGVMLAFGPGITIETIVLRTPQPRCLKEN >LPERR10G02380.1 pep chromosome:Lperr_V1.4:10:2601121:2602739:1 gene:LPERR10G02380 transcript:LPERR10G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERKRWMTGRMDGPADGQMMENWLRGSILALLGGGHGGVVPVTHKWFHLSYEKRGGHWAVITTAGSDTSSSTMEWAMAELLKNRVQLAKACDELVHVVGSKGRIEESEIVRLPYLQAVVKETLRLHPPAPLILRRAKMEMQIRGYTIPKGAKILINVWAMGRDKHIWPEPDKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLMHFKWRLPDEVEQNGIDMTERFGLTLVKAIPLSALVTPT >LPERR10G02380.2 pep chromosome:Lperr_V1.4:10:2596842:2603119:1 gene:LPERR10G02380 transcript:LPERR10G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLILGWLPWLLVSALTLYLLDLIVHSRRRLPPGPCPLPLIGSLHLLGDQPHRSLAGLAERYGPLMSLRLGAVTNVVVSSADVVREFVQKHDAVFSDRSIPDSIGDHRKNSIVFMNPGPRWRALRRIMATELFSPHRLDALHELRQEKVAELVNHVARLAREGTPVDVGRVAFTTSVNLLSRTIFSCDLTSLDDHGASKEFQHVIADMLEVAGSPNFSDFYPAFAAVDLQGLRRQGARLLSQLHRLFDAEMDQRKLCGTRDDRKEKDDFLEVLLRLGARDDDMTGLDGDTLRSLFSDLFAAGSDTSSSTMEWAMAELLKNRVQLAKACDELVHVVGSKGRIEESEIVRLPYLQAVVKETLRLHPPAPLILRRAKMEMQIRGYTIPKGAKILINVWAMGRDKHIWPEPDKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLMHFKWRLPDEVEQNGIDMTERFGLTLVKAIPLSALVTPT >LPERR10G02380.3 pep chromosome:Lperr_V1.4:10:2596842:2601084:1 gene:LPERR10G02380 transcript:LPERR10G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLILGWLPWLLVSALTLYLLDLIVHSRRRLPPGPCPLPLIGSLHLLGDQPHRSLAGLAERYGPLMSLRLGAVTNVVVSSADVVREFVQKHDAVFSDRSIPDSIGDHRKNSIVFMNPGPRWRALRRIMATELFSPHRLDALHELRQEKVAELVNHVARLAREGTPVDVGRVAFTTSVNLLSRTIFSCDLTSLDDHGASKEFQHVIADMLEVAGSPNFSDFYPAFAAVDLQGLRRQGARLLSQLHRLFDAEMDQRKLCGTRDDRKEKDDFLEVLLRLGARDDDMTGLDGDTLRSLFSVPGYLAR >LPERR10G02390.1 pep chromosome:Lperr_V1.4:10:2614758:2617639:-1 gene:LPERR10G02390 transcript:LPERR10G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTSLGENFRVGPAENPVERKHREYDTSKLVLSMHRSSPLRTLDRRNRQVCRRRPLGKDVSTQETLPPPVPRRSTLIPPNSSSRRWPREEIDAASFRHRQAAAVQVVPCAAPAISGISKTSIDFARGVTASNCVSCVVTALRRAARARGRRGQRIAFIFCNLVLFPVGLP >LPERR10G02400.1 pep chromosome:Lperr_V1.4:10:2622506:2625277:-1 gene:LPERR10G02400 transcript:LPERR10G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLILKLGDALGNEAGQLGSSLLGYEASALKGLFSEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDRQGMFLLQAIRRIRQIKTWYRLANSLQEIKINLKSAAERRCRYDLNGVRKDKKLMRLGSLNQRSTESVHFKREADLVGIAENKQFLMDCLKDEEQRHMIITIWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSHCYEADDLLKQIVVEFRKNDHKKEFPKDVDLTDYRSLVETIRLYLEKKRYVLVLDDVWSVNVWFDIKDAFSGGKHGRIIFTSRIYEVALLAPENQKINLQPLKNHYAWDLFCKEAFWKNENRTCPVELHPWAQKFVEKCKGLPIAIVCIGRLLSFKSAYLLDWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEAAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALNKAREENFCVVLDYSKTHVIGKARRLSIQRSDISQIAENVPHVRSLLVFHNSISFSSLCSFSRSVKLLSVLNLQDSSIESIPNDVFDLFNLRFLGLRRTNITYISRSIGRLQNLLVLDAWKSKIVNLPEEIIRLSKLTHLIVTVKPMITSMNFVPSVGIPAPAGLWSLSCLQTLLLMEASSEMVFYLGALVSLRSFRISKVQGRHCANLSVAITNMVHLIRLGIHANDQQEVLQLEALQPSHLLQKLILQGTLDKESLPLFFMSISKLKNLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSLVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEEIVGRVREKNEAKISHVKRVYVGFIRNGELAAERIQ >LPERR10G02410.1 pep chromosome:Lperr_V1.4:10:2636513:2638303:-1 gene:LPERR10G02410 transcript:LPERR10G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLAELTPQELLAALGVFHHHVLGYVKSMALKCAVDLGVPNAIHRRGGAATLADIAADTAVHPAKVADLRRVMELLSTTGICTRSVNGGDDGAIVYGLTTAGRFVVGDRNMSPLVKFVVSPLVVSCFFSLPDWLKTEPSAAGSPFEVAHGCSQWEMASKDAAFNDVINESMVADSQTFLEVVVATVGHVFTGVSSLVDVGGGHGATTKIIAREFPEIKCSVLDLPHVVAQAPAGDGNVQFIAGDMFESVPPADAVVLKNILHDWSDNDCVKILQRCKEAIPTRKDGGKVILIEMVRGSGPADSKIKQVEDIHNLFMLCINGIERDEHEWKNIFCAAGFSHDYKIMPVLGPFSVIEIYPCMNE >LPERR10G02420.1 pep chromosome:Lperr_V1.4:10:2662090:2667618:1 gene:LPERR10G02420 transcript:LPERR10G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATDDMVESRRYTQHAQGPAAVLAIGTENPTNIVLQDEFAGHYFSLTKCNHLTELKEKMKRICEKSGIEKRYMHMGDEFIRAHPEIIDKNLPSLDARADIAATEVLKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSYDLQLASLLGLRPTVSRTILSLHGCSGGGRALQLAKELAENNRGARVLVACSEVILMCFGCPDVTNIVGHAIFGDGAGAVIVGGGPFDDDVERPLFEMVAASQTMIPGTGKEIGMQITSTGIDFQLTMKVPMLIRDNIQQCLLDAFRSMGNTDPPNWNDLFWAVHPGGRAILDSIEGTLQLQPSKLAASRHVLREYGNMSGATITFVLDEVRRRREKEDGEQQKQPEWGVMLAFGPGITIETMVLRTPKPCGLKEN >LPERR10G02430.1 pep chromosome:Lperr_V1.4:10:2668622:2670012:1 gene:LPERR10G02430 transcript:LPERR10G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSNLTWFDRSQHKCLSCTTGTLKPAKKFINVKIKDEHYFRGEDLINIDVEELYQLFHRDALDKSLMLRHKREGLYDVGFIDPYVVHSTNVVDQAKETEHNILRFLRKQAHKTKIFFPYAFTNHWILLSINLDNSSCVIDDSLQKDQILYQNMLDLLQRVWQREGTNLCAFYVAESIMSRGQRTYSALSDLEYRRDRIAEEDKHKAIQEALAGFLNDEILDPKGEHYYDERLEPASVDYNVDLDDPNFD >LPERR10G02440.1 pep chromosome:Lperr_V1.4:10:2672722:2678473:-1 gene:LPERR10G02440 transcript:LPERR10G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPFPVRKNTRRDRPSSSPSIPNPTPTCRLLSCCGAAKSTKQPNQSPTTAAASASDSGDLLRLRLPLRRQSSPIWGRTTLKAFKWPWRGKSSQLSARLLSDIPPEIELSDYQRLPISVNECSSGLPHGEKSREEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWAALADLKCGVEALESGVKPCDLMKLIKDHPLVPFTFTKLITIGSMVILSTYGIINFIKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVRLQKSQRLCVVKDLTEHDIITRIMRKENYLIGMVNKGIIAFPIPCWLPGVGPTVGSRMHGKKCYLMLPKTLEWTLNWCIFQTMFDSKFYVKKDFLTSPSLLKKRLIFMGIAMLLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRRWSNLSKWILREYNEVDHFFKHRLNNSTVNSLNYFKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIAAVSRKVVVDELQVIDPEGAMSFVVQQTHYMPKRWRGKESSELVRREFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILSFISDFTVYVDGVGDVCSLSLFDFRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYISWEPNADGRKFICNLQKFKEKQIREYTFQTTEASQLGLSIRGQTADIFHRLLPRNIYPGNGVIFNFDPLGLLDADQRACPYILDWYYTYHLPRSDREADSFSHLDETSPEQQDDIWLPLSKPLIETEDEQIWDSNLYRRAQSNLEASTSSAFFQQASTFGHLGREQYSTSRHWWAPTSRQQADPRNSSVGHPQDSFLEPPDFRNHMAASHDSSHRSDWRLTSRGSSHPQDSFFEPPDFGNEYMSCHSSSQHDGDTSDVNLELDQSYSRSNNSWRSPHDLSKTRQMDDDDLEQGLSYHFTDDAPQKDGGSEEDGHGVADISSSTPASLPVRIIPRSSDPV >LPERR10G02450.1 pep chromosome:Lperr_V1.4:10:2685275:2689293:-1 gene:LPERR10G02450 transcript:LPERR10G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEETAEASSSSGGGGSSPAESVMLPASMVLVQLFCIVLVLLSKLALSTGMRPFVLLAYRNLVGAVAVAPFAFIFEWKNRKFPSIVEWVILSMGLYYYGLRSTSATYSVIFLNMIPIVTSVIAIIFRAEKLVLTNWAGKIKLFGIITCVGGTMIVRLAKVFPSRYWATTLTCLSGSLQAFVIGILISPTKSAWILKWDLQLLTVIYSLIGLRPDIMYTFHQGVFNTGVSFVLMSWAVKHRGPIYPSMFNSLSLVAMVIMDSVLFGTNIFVGSILGTSFIIVGLYAFLWGKGKELKQAAEGQNTDHKQGNIDCTEQGGDEIA >LPERR10G02460.1 pep chromosome:Lperr_V1.4:10:2692743:2698523:-1 gene:LPERR10G02460 transcript:LPERR10G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAMFPAMTPAHGEGKGGSGEDVVTSCAAEDALIPSELMKMPPPGCQGREEKGNGGTEEGENE >LPERR10G02470.1 pep chromosome:Lperr_V1.4:10:2711382:2715924:-1 gene:LPERR10G02470 transcript:LPERR10G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKRKCDKKDDFRNKRLDLAGELLGRELRVHIRHAERLMVKALQRDLNRIVRTLRRTNPLQMITDLRKTHQLNPSYWGKLCFMSTPDGEQCGLEKNLAVTAIVSSRVAQPLIDRFVPCGMNKLDEIPTEEVRKMDKIFLNGDWVGSCTDPASFVLRLRFMRRSGLIDRQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRIQKGGSYSFQSLIQQEIIEFIGLEEEEDIQSAWGIRHLFGRLSCGIIPFANHTFARRVLFQSAKHSQQAIGYFTTNPHYRVDTLSHQLYYPQRPLFKTVIADCIGGSEYAYGRKDDFARPEFFNGQNAIVAVNVHQGFNQEDSLVINRASLERGMFRTEHFRNYKAEVQNKGGSGGNKRLKMKDKIDFGKMQSKRGRVDKLDNDGLPYVGSSLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIVNPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGTESVLNGRTGERMQSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHEQLFMLSDFSQMHICQTCERVVSVVMMPLPGGKKIRVPYCGFCRSSENIVRINGPYGAKLLYQELFSMGICLRFQTEVC >LPERR10G02470.2 pep chromosome:Lperr_V1.4:10:2711382:2715924:-1 gene:LPERR10G02470 transcript:LPERR10G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKRKCDKKDDFRNKRLDLAGELLGRELRVHIRHAERLMVKALQRDLNSDCELQELDRYLDVSIITNGLNRAFSTGSWCHPSKRNERCTGIVRTLRRTNPLQMITDLRKTHQLFAYAGKAGDTRYPNPSYWGKLCFMSTPDGEQCGLEKNLAVTAIVSSRVAQPLIDRFVPCGMNKLDEIPTEEVRKMDKIFLNGDWVGSCTDPASFVLRLRFMRRSGLIDRQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRIQKGGSYSFQSLIQQEIIEFIGLEEEEDIQSAWGIRHLFGRLSCGIIPFANHTFARRVLFQSAKHSQQAIGYFTTNPHYRVDTLSHQLYYPQRPLFKTVIADCIGGSEYAYGRKDDFARPEFFNGQNAIVAVNVHQGFNQEDSLVINRASLERGMFRTEHFRNYKAEVQNKGGSGGNKRLKMKDKIDFGKMQSKRGRVDKLDNDGLPYVGSSLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIVNPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGTESVLNGRTGERMQSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHEQLFMLSDFSQMHICQTCERVVSVVMMPLPGGKKIRVPYCGFCRSSENIVRINGPYGAKLLYQELFSMGICLRFQTEVC >LPERR10G02480.1 pep chromosome:Lperr_V1.4:10:2715977:2720502:-1 gene:LPERR10G02480 transcript:LPERR10G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINNLTKAIPYKFMEEPPMDNGQSFFGADPELEPMMLDDGREGVPYIMAGANEKSSMDVERVHCSTDITGSSLCDDGNGKSDSYAQMPNDMSIPSLEKFCKDASRSSFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDSSNRGLGGWRHAIIKFGIVKLEVPQFWSHGCDIDEESLKLKPRHARLQNMTYSSKMKVEVHIQVYSVEKTDKAKTGNEKFGFKKDIINETHHLNIGRLPVMVMSNLCWLHKLKGSDCQFDSGGYFLVKGMEKVFIAQEQKCRSRIWVEDRPCWMISFSPPIKRRRIYIKLIDFTKNEDASGEKIISFSFLYANMPIWLMFFALGISSDKDVFDIIDMQECDACVINTIFATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPGIS >LPERR10G02490.1 pep chromosome:Lperr_V1.4:10:2723589:2724954:-1 gene:LPERR10G02490 transcript:LPERR10G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQLHRLIPLLFIPFFLFHFAEPHTKSQPSGLYPVVLLPGSTCSQLEARLTEAYTPPSLECAALRKVDGRWFRLWKNNTELEDPSVSPCVADQLRLIFDHVADDYRNVAGVETRVLDFGSTRGFLADDDADRDLCMGKLIESLERAGYRDGETLFGAPYDFRQAPAAPGQPCRAFSQFQRRLWALIEHASRTNGNRPVVLVSHSQGGYFALEFLNRSPIAWRRKHVKHFVMASTGAGGFVLPMQAMASSVSDESPLSRSWRSVPSKFTALPSPKVFDRDMPLVITRGRNYTAHDIPEFMMAVGLPAFEVTLYETRTLPVAMNFRAPVVPTTCINGVGVPTVEKLVYWDGNFSQASDVVYGDGDGLVNLASILALDTVIGDDPRQEYYKSVKISGTSHTKVISDGAAVQHVVNEILCEEFAGQE >LPERR10G02500.1 pep chromosome:Lperr_V1.4:10:2728446:2732879:-1 gene:LPERR10G02500 transcript:LPERR10G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVLIVEIERVLGKVTTTLLPIDELVDEIHTDLAHLVRRKDADGGCNGNCGNGEEEKEDEEHMLPSKYIEVSLSSLQLKNDVKQLRRVLDVNPDGGHRRHQGRWRHGTSSVARRPSLDQLWCPPEYSDMTALSLESLDEKLRMCIGCLGAFPDGEAVKKRLLLHWWIGEGLVESIDAAKSCFQELLSRGLLLPAHCREYCRQVHYCRVNPSIRQQVVDAARSDGFLEFDDEGSSNPMLSTRRLCLRDNERCREAVGVRRSGTDTSGRRRWRWRRRRNDDDNGKFVTIYNINQQYVGLESINWSATTVRSLEILQIGRWRTTVEPHHVELAGGDDILLRRVFMCKNLKYLSLRGVSFVEALPESISNLCQLVVLDLRACYNLETLPSSIGSLQRLEYLDVSECLLDDMPEELRGLSNLENMPRLRKLKLCTGRHSTVAGDDELRHLVQFNNLRSLAIVWGINGVASMISLPTSLEKLDLQRTPMEDLLQFIKPSTSSSVKKLYIRGGRLRTVASDAVRWTNIEILRVGYLKNLLCEWCDLESSFPNVMVVENWECDKLSSWPCNHHGVWKKGETVARPATSFVGVCYGMRRTDLPAPMEVVELYRSNNIRLMRLYHPDHEVLSALGGTGIGIILGVGDNSILESLAYEQTAATNWVRTNVQAYSPGVQIRYIAVGNEVKTGVDMRFILPAMMNIERALASAGLGKIKVSTVVTQTILAKSYPPSIGVFHQDAQPYITSIVKFLVATDAPLLANVHPYYAYQYDGGNNIAISYALFTSPDTVVKDGSYCYQNLFDAMVDALYAALERADGNNVRIVVAESGWPRGGMMAGTAENARTYYRNLISHVGNGTPRRLGVKLETYLYSMFNEDSKQFGLFCQDKTDLHSIAFS >LPERR10G02510.1 pep chromosome:Lperr_V1.4:10:2735645:2738080:-1 gene:LPERR10G02510 transcript:LPERR10G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPHMLLLLFLLLLASCPFSWIDGHALPPLHHHAGADGIDLHPIVLVPGDGCSQLDAELSEEYEPSSSAPARCGARKGKGWFRLWMNGTALRDPDEASCYADQLRMMYDPDLGDYRNVAGVQTHVVSFGTTHGFGPYVDDGNDPLDPKRGHCFKKLTEALEVMGYKEAENLFGAPYDFRYSPAPLGMHALIFSFFMANMTRLIEHASRKNGGKPVILLTHSNGGSMAVEFLTRSEIPWREKFIKHLIMISAGAGGIVVPLQSLPSSSNSDDRSPLTLAETMRSYGSVFSALPSPKVFGEMPLVVTRHRNYSAYDIPEFLEVVGFSGEDIQLYRTRALPVTLGFRAPRVPMTAIYGAGVPTPEQLVYWDGDFSKEPEVVYGDGDDTVNLASALALDMVVGQDPEQSFFKAVKTVNATHLGILAEEFAIERVISEILEANHATYEE >LPERR10G02520.1 pep chromosome:Lperr_V1.4:10:2756978:2757893:1 gene:LPERR10G02520 transcript:LPERR10G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSDLVLKVVKNSNAKDTLLSVLAPLVEEGENVKDELAILKTEMAKSKNSEQNFKDLLRDIAGPDPALVEAKKQAEEQVLKLQAKLTLLQGNNEELIKAKDSAKKKLAHAITLNVKYLEQANYYKDKLETLSKKHEEKAANELSAMKTKHNDEFMKMKAELEEARRMNAELCQAAEPILDNLHAATAESNTSSLQSMIEHL >LPERR10G02530.1 pep chromosome:Lperr_V1.4:10:2765068:2767563:-1 gene:LPERR10G02530 transcript:LPERR10G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPDQEVNSVMLVDGQLNFVAEKSATSPVYNRYEIDEPPEDRQAALEGRLCTEKLLAQFRQHLQDKSSHHLGYPFNLELDVGPLQQFQNLHINNLGDPFIESNYGVHSRQRKLFPEGIIYASRDSHYSIFKAAKMYRVQCITIDTYSTGEMNYDDFASQLLQNTGRPAIVNVNIGTTMKGAIDDLDEIIRILRDCGFEDKFYIHCDAALAGLMMPFIKHHSH >LPERR10G02540.1 pep chromosome:Lperr_V1.4:10:2777413:2778525:-1 gene:LPERR10G02540 transcript:LPERR10G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVSTAQRQLAADLASSSHRRRGSHATVSSSSRRLATRATAATRTGEVPPTGAQQDAPRDPPYAVPDARIRIEQLCDAPPASADAAPTESREKRRERRWKWKLSHDEEEGHVLAADETEKPS >LPERR10G02550.1 pep chromosome:Lperr_V1.4:10:2785328:2787626:-1 gene:LPERR10G02550 transcript:LPERR10G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALTNLLVLLLLITSGRPISLSVDAHALPPLHHRTGAPDADVDLHPIVLVPGNGCSQIDAELSEEYEPPSWAPASCGTRQGWFRLWRNGTALRDDAGHEAACYADQLRVVFDRQLGDYRNVAGVRTRVVGFGTTRGFGPDVAAENDDPSDPERGRCFKKMKEALQEIGYEEGGNLFGAPYDSRYSPAPPGMPAMVFSSFMADLRRLVEHASRKNGGKPVILVTHSKGGLVAVEFLTRSATPWCKKYIKHLVMVSTGAGGIVVPMLSLAASANAPRESLAATERSYGDVFWALPSPKVFGEMPLVVTRCRNYSAYDIPEFLAAVGFSDDDIELYRMRALPIALGFRAPRVPMTAIYGAGVPTPEQLVYPVDDFSKEPEVVYGDGDGAVNLASVLALDTVVGNDPEQGFFKAVKIVNATHRGIIVDEFALKRVISEILEANRATYEK >LPERR10G02560.1 pep chromosome:Lperr_V1.4:10:2785605:2786507:1 gene:LPERR10G02560 transcript:LPERR10G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGTLGARNPSAIGSALIRYSSISSSENPTAARNSGIGISPNTLGDGSAQNTSPYDRSVAASDSRGALAEAARLSIGTTIPPAPVETMTRMTGFPPFFLLACSTSRLRSAMKEENTMAGMPGGAGE >LPERR10G02570.1 pep chromosome:Lperr_V1.4:10:2792594:2796189:1 gene:LPERR10G02570 transcript:LPERR10G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSAQHPVVGILYYRAFDLLQLRCLETFPRTGPVTPASPERSTPKEKPQKRERNEGKKQKGGGSGSACGFLVPLQLSDDLVKFIGTGLS >LPERR10G02580.1 pep chromosome:Lperr_V1.4:10:2796200:2797720:-1 gene:LPERR10G02580 transcript:LPERR10G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRIEGMTAPVSCKETLDWDLAAARENATAACPSRMDKNAAASGVDGVARVRRQTSQFGRTQPVLASQVGAGIMAKIDGNCTAMTGEFFDDATTIGRGGQEKDPTARISSHSVPFSPALASSMEGHQLHPLADHEYDEEDITPHGSNLPVLTLR >LPERR10G02590.1 pep chromosome:Lperr_V1.4:10:2796667:2799679:1 gene:LPERR10G02590 transcript:LPERR10G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGAIHPPPPFLLPLPPPPPITGSHTGTDLGCEDWLCAAKLGGLPPHPGHTVDAACCCILIHARRTSCLEEKTVQDLLPLFTLDVNTILLAFADVDFFFSRGLFFSYNVDLLVSFSQVLRLSMALPSLSMSMASNALRTVAFSLAAARSQSRVSMLTDLDIWKLACGLLLGFGQQSLHYQGEKGFLFSSSRSRSTSLHDTGAVIPSILCRVIWASNTLKASGTYCKFTVEITDGYFRSKDNNSKESQAGIMRSNLDELDIGIACATLQRRA >LPERR10G02590.2 pep chromosome:Lperr_V1.4:10:2796743:2799679:1 gene:LPERR10G02590 transcript:LPERR10G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRVSPPPSSPTITLPPPPSTHRPIAVARRHRTSAGPSAQSNLPDVIDATPPPFLLPTPGRRHRQSISTLAPFPTLTPPIHVRRRRDPSTASFPPTAAASSSHHRISHRHRLGMRGLVVCGQIGRSAAAPWPHRRRRLLLHSYPCATDKLPGGKDSTGS >LPERR10G02590.3 pep chromosome:Lperr_V1.4:10:2797394:2799679:1 gene:LPERR10G02590 transcript:LPERR10G02590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSLSMSMASNALRTVAFSLAAARSQSRVSIRSRSTSLHDTGAVIPSILCRVIWASNTLKASGTYCKFTVEITDGYFRSKDNNSKESQAGIMRSNLDELDIGIACATLQRRA >LPERR10G02600.1 pep chromosome:Lperr_V1.4:10:2800408:2804655:1 gene:LPERR10G02600 transcript:LPERR10G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTPQQQNYQMVSLLPWLLWLVLSLLSVYLLDLLAHSRRHLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKKYGPLMSLRLGTVTTVVVSSPEIAREFLQKHDAVFASRSVPDATGQHARNSVPWLPNSPRWRALRRIMATELFAPHRLDALRHLRREKVTELVEHVARLAHEGHAVDVGRVAFATSLNLLSCTIFSHDLTSLDDHGASKEFQEVVVEIMEAAGCPNVSDYLPVLAAADLQGLRRRMAGLFARLHRVFDAEVDARLREREHDAGERIRKGDFLDVLIDGAARENDTSGMDRDTFRSLFTDLFGAGSDTSSSTVEWAMAELMRNPLCMARACDELSQVIGLGRNIEESEIWKLPYLQAVVKETSIIVATPSCNDNKNSRLYNTKRRTSRRICPGMPLANRVVHLVLGSLLNQFKWNLPIEIEPNGIDMSEKFGLTLAKAIPLCALVTPITVKPADH >LPERR10G02610.1 pep chromosome:Lperr_V1.4:10:2822835:2824034:1 gene:LPERR10G02610 transcript:LPERR10G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTNKPTRSSASSRFAGRVGSNVAVVLLLVSLGFVLGLTSSNAMFLKSFYDPSSFMTSVSTPIFLKLSSTTSRTPPPPPSSSSPPPQIRPAPMHNMTDEELFWRASMAPKTHRPPNNVLPKKVAFMFLVRGELPLRPLWEKFFSGQRIDHYTIYVHAHPSYNFTGSPDSVFHGRYVPSKIAKWGDASLVEAERRLLANALLDAGNQRFVLLSESCIPVYNFTTIHDYLTVRAANTSFVDSFENGGSRSRYREFFAGRNITYAKWRKGAQWFEMDRDLAVDVAADGDFVFSAFRDFCVGRSECLIDEHYVATLVTMLGWGKRNANRTLTYADWSRPVNRHPHTYTADEITEKVIGGIREDKRCGDKSGGICNLFARKFGRETLQPLLRLAPKVMGFG >LPERR10G02620.1 pep chromosome:Lperr_V1.4:10:2831444:2834827:1 gene:LPERR10G02620 transcript:LPERR10G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALILGWLPWLLLAFLTLYLLDLIIHIHRRLPPGPRPLPIIGSLHLLGDQPHRSLAGLAEKYGPLTSLRLGAVTTVVVSSADVAREFVQKHDAVFADRSIPDSIGEHTKNSVIWLYPGPRWRALRRIMATELFSPHQLDALQNVRQEKVEELVGHVARLAREGTPVEVGRVAFTTSLNLLSRTIFSRDLTSLDDRGASKEFKPVITDIMEAAGSPNFSDFYPAFAAIDLQGWRRQCAWLFRQLHRLFDAEMDQRKLRGARENGKEKDDFLEVLLRLAARDDDMAGLDGDTRRSLFIVRITFPVLLLISGSDTSSSTMEWAMAELLNNPVQLAKACDELAHVVGSKRRIEESKIVQLPYLQAVVKEKLRLHPPVPLLPHRAKMEMQIMGYTIPKGAKILINVWAMGRDKLIWTEPEKFMPERFIKRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLMHFKWRLPDDVERNGIDMTEKFGLTLVKAIPLSTLATPT >LPERR10G02630.1 pep chromosome:Lperr_V1.4:10:2843117:2848500:-1 gene:LPERR10G02630 transcript:LPERR10G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPNQTEGTNEDDANLSAHELRRKRDRDRYASLSAEQKEARVKKARENRLRKKEESQRLHQYTPTNIAEVPPKDTVWVVVT >LPERR10G02640.1 pep chromosome:Lperr_V1.4:10:2881344:2889908:1 gene:LPERR10G02640 transcript:LPERR10G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRPLAWLVLVLLWSWWIVDAQAQQAQKTDPTEVEALNAILGRWGKKASSEWNISGEPCSGFAADKTDWDYYPNVNPFIKCDCSFSNNTICHITKLRVTKLDVVGEIPAELQNLTHLDDLGLALNALSGPLPKELGNLTNLLSLGISLDNFTGGLPEELGNLTKLQQLYIDSSGFSGPFPSTFSKLQNLKILRASDNEFIGKIPDYLGSMTNLEDMDLSFNNITGQVPQSIMNLGKLEFLDLSYNQLTGSFPSWATHSKLQLNFVANNFVLGSTNNSNTSMRGSDNTIYEADPINLGSASYYVTGQTRWGVSSVGNYFQATDGNNIIYSSQHFHNVVNPELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDAKTWLSLGRRVFDMYVQGALKEKDFDIRKMAGGISFRPVNRSYIVTVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPNFIPTVRNGIPKGRNKLGAIAGILTGVIVLALASLFGVLMVVKKRRTLARQKQELYNLAGRPDIFNYAELKLATDNFSSENILGEGGFGPVYKGKLPDGRVIAVKQLSESSQQGTSQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGESNLNIDWTMRFKIILGIARGLCYLHEESSVRIVHRDIKASNILLDVDLTPKISDFGLAKLYDVKHTHVSTRIAGTLGYLAPEYAMRGHLSEKTDVFAFGVLVLETIAGRPNTNNSLEESKIYLFEWAWGLYENNQALGIVDLSLKEFDKHVAYRVISVALLCTQGSPHQRPPMSKVVAMLTGDVDVAEVVTKPSYITEWQLRGGGNNSYTTSSYAGSSNPEFVRQKEITEVYLQGRVDLKATQESPVSQVYRSSSKILVQWH >LPERR10G02640.2 pep chromosome:Lperr_V1.4:10:2881344:2889666:1 gene:LPERR10G02640 transcript:LPERR10G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRPLAWLVLVLLWSWWIVDAQAQQAQKTDPTEVEALNAILGRWGKKASSEWNISGEPCSGFAADKTDWDYYPNVNPFIKCDCSFSNNTICHITKLRVTKLDVVGEIPAELQNLTHLDDLGLALNALSGPLPKELGNLTNLLSLGISLDNFTGGLPEELGNLTKLQQLYIDSSGFSGPFPSTFSKLQNLKILRASDNEFIGKIPDYLGSMTNLEDMDLSFNNITGQVPQSIMNLGKLEFLDLSYNQLTGSFPSWATHSKLQLNFVANNFVLGSTNNSNTSMRGSDNTIYEADPINLGSASYYVTGQTRWGVSSVGNYFQATDGNNIIYSSQHFHNVVNPELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDAKTWLSLGRRVFDMYVQGALKEKDFDIRKMAGGISFRPVNRSYIVTVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPNFIPTVRNGIPKGRNKLGAIAGILTGVIVLALASLFGVLMVVKKRRTLARQKQELYNLAGRPDIFNYAELKLATDNFSSENILGEGGFGPVYKGKLPDGRVIAVKQLSESSQQGTSQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGESNLNIDWTMRFKIILGIARGLCYLHEESSVRIVHRDIKASNILLDVDLTPKISDFGLAKLYDVKHTHVSTRIAGTLGYLAPEYAMRGHLSEKTDVFAFGVLVLETIAGRPNTNNSLEESKIYLFEWAWGLYENNQALGIVDLSLKEFDKHVAYRVISVALLCTQGSPHQRPPMSKVVAMLTGDVDVAEVVTKPSYITEWQLRGGGNNSYTTSSYAGSSNPEFVRQKEITEVYLQGR >LPERR10G02640.3 pep chromosome:Lperr_V1.4:10:2881344:2889666:1 gene:LPERR10G02640 transcript:LPERR10G02640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRPLAWLVLVLLWSWWIVDAQAQQAQKTDPTEVEALNAILGRWGKKASSEWNISGEPCSGFAADKTDWDYYPNVNPFIKCDCSFSNNTICHITKLRVTKLDVVGEIPAELQNLTHLDDLGLALNALSGPLPKELGNLTNLLSLGISLDNFTGGLPEELGNLTKLQQLYIDSSGFSGPFPSTFSKLQNLKILRASDNEFIGKIPDYLGSMTNLEDMDLSFNNITGQVPQSIMNLGKLEFLDLSYNQLTGSFPSWATHSKLQLNFVANNFVLGSTNNSNTSMRGSDNTIYEADPINLGSASYYVTGQTRWGVSSVGNYFQATDGNNIIYSSQHFHNVVNPELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDAKTWLSLGRRVFDMYVQGALKEKDFDIRKMAGGISFRPVNRSYIVTVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPNFIPTVRNGIPKGRNKLGAIAGILTGVIVLALASLFGVLMVGKLPDGRVIAVKQLSESSQQGTSQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGESNLNIDWTMRFKIILGIARGLCYLHEESSVRIVHRDIKASNILLDVDLTPKISDFGLAKLYDVKHTHVSTRIAGTLGYLAPEYAMRGHLSEKTDVFAFGVLVLETIAGRPNTNNSLEESKIYLFEWAWGLYENNQALGIVDLSLKEFDKHVAYRVISVALLCTQGSPHQRPPMSKVVAMLTGDVDVAEVVTKPSYITEWQLRGGGNNSYTTSSYAGSSNPEFVRQKEITEVYLQGR >LPERR10G02640.4 pep chromosome:Lperr_V1.4:10:2881344:2889666:1 gene:LPERR10G02640 transcript:LPERR10G02640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRPLAWLVLVLLWSWWIVDAQAQQAQKTDPTEVEALNAILGRWGKKASSEWNISGEPCSGFAADKTDWDYYPNVNPFIKCDCSFSNNTICHITKLRVTKLDVVGEIPAELQNLTHLDDLGLALNALSGPLPKELGNLTNLLSLGISLDNFTGGLPEELGNLTKLQQLYIDSSGFSGPFPSTFSKLQNLKILRASDNEFIGKIPDYLGISWQTTLYLAAPITDYSFAVNCGSNTSMRGSDNTIYEADPINLGSASYYVTGQTRWGVSSVGNYFQATDGNNIIYSSQHFHNVVNPELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDAKTWLSLGRRVFDMYVQGALKEKDFDIRKMAGGISFRPVNRSYIVTVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPNFIPTVRNGIPKGRNKLGAIAGILTGVIVLALASLFGVLMVVKKRRTLARQKQELYNLAGRPDIFNYAELKLATDNFSSENILGEGGFGPVYKGKLPDGRVIAVKQLSESSQQGTSQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGESNLNIDWTMRFKIILGIARGLCYLHEESSVRIVHRDIKASNILLDVDLTPKISDFGLAKLYDVKHTHVSTRIAGTLGYLAPEYAMRGHLSEKTDVFAFGVLVLETIAGRPNTNNSLEESKIYLFEWAWGLYENNQALGIVDLSLKEFDKHVAYRVISVALLCTQGSPHQRPPMSKVVAMLTGDVDVAEVVTKPSYITEWQLRGGGNNSYTTSSYAGSSNPEFVRQKEITEVYLQGR >LPERR10G02640.5 pep chromosome:Lperr_V1.4:10:2881344:2889666:1 gene:LPERR10G02640 transcript:LPERR10G02640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRPLAWLVLVLLWSWWIVDAQAQQAQKTDPTEVEALNAILGRWGKKASSEWNISGEPCSGFAADKTDWDYYPNVNPFIKCDCSFSNNTICHITKLRVTKLDVVGEIPAELQNLTHLDDLGLALNALSGPLPKELGNLTNLLSLGISLDNFTGGLPEELGNLTKLQQLYIDSSGFSGPFPSTFSKLQNLKILRASDNEFIGKIPDYLGISWQTTLYLAAPITDYSFAVNCGSNTSMRGSDNTIYEADPINLGSASYYVTGQTRWGVSSVGNYFQATDGNNIIYSSQHFHNVVNPELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDAKTWLSLGRRVFDMYVQGALKEKDFDIRKMAGGISFRPVNRSYIVTVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPNFIPTVRNGIPKGRNKLGAIAGILTGVIVLALASLFGVLMVGKLPDGRVIAVKQLSESSQQGTSQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGESNLNIDWTMRFKIILGIARGLCYLHEESSVRIVHRDIKASNILLDVDLTPKISDFGLAKLYDVKHTHVSTRIAGTLGYLAPEYAMRGHLSEKTDVFAFGVLVLETIAGRPNTNNSLEESKIYLFEWAWGLYENNQALGIVDLSLKEFDKHVAYRVISVALLCTQGSPHQRPPMSKVVAMLTGDVDVAEVVTKPSYITEWQLRGGGNNSYTTSSYAGSSNPEFVRQKEITEVYLQGR >LPERR10G02650.1 pep chromosome:Lperr_V1.4:10:2896819:2900341:1 gene:LPERR10G02650 transcript:LPERR10G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLLWVPWVLLSLLFIYLLDLLAQSSRHLPPGPRPLPFIGSLHLLGDQPHRSLAVLAKKYGPLMSLRLGAVTTVVVSSPEVAREFLQKHDAVFAARSVPEAARDHARNSVPWLPPGAKWRALRKIMATELFAPHRLDALHHLRQEKVDELVSHVGRLAREGAPVDIGRVAFTTSLNLLSRTIFSRDLTSLDDHGASKDFQQLITDIMEAAGSPNLSDFFPALAAVDLQGLRRRLTRLFARLHRLFDGEIDKRRTLRGRNTGKRGVTDKKEDDFLEDLFAAGSDTSSSTVEWAMAELLQNPIRMDKVCNELVQIIGSRRKIEESEIGQLPYLQAVIKETFRLHPPVPLLLPRQATTTIQVLDYIIPKGAKVLINVWAMGRDIDIWSEPEKFMPERFLERSTDFKGGDLELIPFGAGRRICPGMPLAVRMVHVVIASLLIHFKWRLPVEVEGNRIDMTEKFGVTLAKAIPLCVMATST >LPERR10G02660.1 pep chromosome:Lperr_V1.4:10:2924481:2928563:1 gene:LPERR10G02660 transcript:LPERR10G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTVITADDLNYCIVSAATNRPNPISQCLVVDHHHTQTLTPFPPGSIVYSTTIKYPRPQYLPHPSYRPFVKFTETRSNGGRFASLAVMACALSALRLPPRPLRTLPPGPRPLPLIGSLHLLGDQPHRSLASLAKKYGPLMSLRLGTVTTVVVSSPEVAREFMQKHDTVFATRSTPDAVRDHARNSVPWLPPGPRWRELRKIMATELFAPHRLDAFHDLRQEKVAELIDHVTRLASEGAPVDVCRVTFTTSLSLLSRTIFSRDLTSLDDRGASKEFQNLITDIMEGAGKPNLSDFFPALAAIDLQGWRRRQSRLFARLHHLFDAEVDKRRRLQEHNSGEQGKDKDDLLGLLLRLAAREDDIAGLDGDTLRSLFTDLFAAGSDTSSSSVEWALTELLQSPLSMAKACDELQQVIGSRRKIEESEISQLPYLQAVIKETFRLHPPVPLLLPRQATTAIQIMGYTISKGAKVLINVWAMGRDKNIWPEPDKFMPERFLERSIDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLIHFKWRLPMDVQRNGIDMTEKFGVTLAKAIPLCVMATPT >LPERR10G02670.1 pep chromosome:Lperr_V1.4:10:2930159:2933203:1 gene:LPERR10G02670 transcript:LPERR10G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTSTNYELRLMGMRGDDEEDLEEERVEVFGNTESPWVDATPPEAELDDDGTGDGGLGGDECVPVWIC >LPERR10G02680.1 pep chromosome:Lperr_V1.4:10:2933383:2937758:-1 gene:LPERR10G02680 transcript:LPERR10G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIAPALIGKDPTAQAEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGAASFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPRSSL >LPERR10G02680.2 pep chromosome:Lperr_V1.4:10:2933663:2937758:-1 gene:LPERR10G02680 transcript:LPERR10G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIAPALIGKDPTAQAEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGAASFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPVEPY >LPERR10G02690.1 pep chromosome:Lperr_V1.4:10:2966192:2974595:1 gene:LPERR10G02690 transcript:LPERR10G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEISPPTPAADGETMPETADAGVTAGVESDGGKEMVPEEATALKPDAAEEPEEEDPEEAEEGTEEARAAAGGDAAAAVAESGVVREEAGDGDTALEVAITDGGDHETRVEPPVEVPKEMAVAMAISDGGNRKTGVEPVDEEEPNDVMEAADEEPIEMDDEEPEEEPEEVVEDAEEPDNEEPEELELEEEGPADKDAEEGDEEDEPMEEATNVSEEEAMVEDMNEIADKDSEEAQDEDKHGDSDKDEVADRLSNDEEAGLENDELDTSSRVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEIESIRIMKTSGTKRKKGFAFLCYADVNAAKKALAEFKDGIKVKGIEVRVSVADPHRKSSKKALMKYIWNIFLALGMRAELKNAAKDMGVFKMKSALACIEGINNAEISDGEVKLAASLARPPCKVQLANESSMGGLKVHTSSTPKSPDKSKMKKDHRDEIAVKKPQRKLLKGDESKLPYQDDVEVPQISTLSKGKAKVRKHQNTSFDEKPSKKARKNGDESKLPSQDEGKDGKRKNTSVNERPLKKAWKNRKLPSQGDLEEPETSNHSKGKRRVRKSKNTTVNEIPAEKAWRNRNMKYPAGSRYATNNQAYPSVGATSKSKLHAHDLEPHAGFIPPSNRVQRTRAHDRQRTAPYNIHHSSGFSYARERIAPQPAYSVHTSNAAGVERRVQ >LPERR10G02690.2 pep chromosome:Lperr_V1.4:10:2966192:2974595:1 gene:LPERR10G02690 transcript:LPERR10G02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEISPPTPAADGETMPETADAGVTAGVESDGGKEMVPEEATALKPDAAEEPEEEDPEEAEEGTEEARAAAGGDAAAAVAESGVVREEAGDGDTALEVAITDGGDHETRVEPPVEVPKEMAVAMAISDGGNRKTGVEPVDEEEPNDVMEAADEEPIEMDDEEPEEEPEEVVEDAEEPDNEEPEELELEEEGPADKDAEEGDEEDEPMEEATNVSEEEAMVEVYLEHFPCSWDESRIKECCKGYGSIQNVRIMRSKKKVFSFVEFSSRKSALACIEGINNAEISDGEVKLAASLARPPCKVQLANESSMGGLKVHTSSTPKSPDKSKMKKDHRDEIAVKKPQRKLLKGDESKLPYQDDVEVPQISTLSKGKAKVRKHQNTSFDEKPSKKARKNGDESKLPSQDEGKDGKRKNTSVNERPLKKAWKNRKLPSQGDLEEPETSNHSKGKRRVRKSKNTTVNEIPAEKAWRNRNMKYPAGSRYATNNQAYPSVGATSKSKLHAHDLEPHAGFIPPSNRVQRTRAHDRQRTAPYNIHHSSGFSYARERIAPQPAYSVHTSNAAGVERRVQ >LPERR10G02700.1 pep chromosome:Lperr_V1.4:10:2975857:2980132:-1 gene:LPERR10G02700 transcript:LPERR10G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATASPSPAHPSRVRVIHSGGGGKPGPVVYWMLRDQRLADNWALLHAAGLAAASSAPLAVAFALFPKPFLLSARRRQLGFLLRGLRRLAAHAAARRLPFFLLTGGPQEIPALVRRLGASTLVADFSPLRPVREALDAVVGELRRDAPGVAVHQVDAHNVVPVWAASGKVEYSAKTFRSKVSKVMDEYLVEFPQLPAVAPWDREQPEEVDWDALIDRVCSSEAENVPEIDWCEPGEEAAMEALLGSKDGFLMKRIKSYETDRNDPTKPRALSGLSPYLHFGNISAQRCALEAKKRRHLSPKSVDAFLEELVVRRELADNFCYYQPQYDSMSGAWDWARKTLMDHAADKREHIYTREQLENAKTHDLLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSIAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLSTQSKKRNSEQSPGSVPKHSKSKN >LPERR10G02710.1 pep chromosome:Lperr_V1.4:10:2993900:2996717:-1 gene:LPERR10G02710 transcript:LPERR10G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGNVASSTEYQLRKYLLLLATLVATVTYIAGLNLPGGVWQDTQSQHPVGDPILPDAYRQRYLAFYYCNATAFAASLVVCLLLLVLDKQSSNIAAVLRVVMVLDLLGLMGAYAAGSCRDLFTTIYSVVILSTVFVYILAAFFIFVISKLPTKKNINYDEKDKSNPDENKLEELREVLMLLTTFIVTITYVAGLNPPGGFWGNTEADHLVSFPILQKHHPQRYQAFFICNTTAFVASLLIIILLVDKKLSRLSPRFVALYVFIIIALFGLVGAYTAGSSREHDNTAYVIGLGIAVLAYIFLQVAITKAIHRGMKNKSCSQVLLTASCVASKCQQMIQSLFFVCTKKDTKDESRGIEHDQALEKARDLVMLLATLVASITYQAGLDPPGGLWPDDRDGHMGGDSVLLTTHPTRYKVFFYSNSAAFVVSLVVIMMVESRFLFRRHTLEAAMLMDLFGLICAYAAGSCRDVSTSIYVVSLAGVVLVYVVIHIIFFTLDYKDKPGDIEEMDNKREVLLLLAILTATLTYQAGLTPPGGFWSANDKSGHYAGFPVLLDNYPHRYKTFFYCNTASFMVSMALILLLVNPNLYRLGIRCYALYVCSVVGMFSLVGAYVAGSSRHLRTSIYVLVLAIAVFAFVIMQVLIFWRKRKNSSHSNENANKLSTDGTGSLVVKTSYKGGYQSYQQGDDEIHETRNELMAQGSAFDQGQGGTITMDVPSTPGGSSTSQNSFEQAASNKSNRSGSRKKELREYLMLLGILAANITYQAGLKPPGGLWQDDKRNVHDAGDPILHGTNKHRYLAFFYSNSTSFMASIVVIVLLLPSTLHSHQLPLWPMHTAILLDMLGLLAAYAAGSNRDMETTMKVIALIIPVLAYIAAYPALSFFGNMARLRCGKKTSQGDDKDAKNLQTNVSSSA >LPERR10G02720.1 pep chromosome:Lperr_V1.4:10:3003444:3003764:1 gene:LPERR10G02720 transcript:LPERR10G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLVVAVVLAVAMMMAATATAAYDAEPVEDCQTQTTYFSNCLGRGITEGCCGVVKEPGCLCQIKREAEVHCIPHRRCVVPKRLRIADMDLPCMRNLKCGKHA >LPERR10G02730.1 pep chromosome:Lperr_V1.4:10:3009677:3014371:1 gene:LPERR10G02730 transcript:LPERR10G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGAAPGDYVYFKSVVPLHKISIGQKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVISIDVPQIWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNDASVGSLMLPDSFITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDELHLRRVGVEPRPDLVQGFTHNNGSAGSSKDKKDGGNNFDNRPGDNGGTGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGL >LPERR10G02730.2 pep chromosome:Lperr_V1.4:10:3009677:3014547:1 gene:LPERR10G02730 transcript:LPERR10G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGAAPGDYVYFKSVVPLHKISIGQKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVISIDVPQIWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNDASVGSLMLPDSFITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDELHLRRVGVEPRPDLVQGFTHNNGSAGSSKDKKDGGNNFDNRPGDNGGTGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGMVSSTLQASLCMLLIRHYYVATLYISSRQLLDV >LPERR10G02740.1 pep chromosome:Lperr_V1.4:10:3020504:3024794:1 gene:LPERR10G02740 transcript:LPERR10G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYAFADIAADGAPLLNTAAGEELVRVERAAAVALASRAPEAPGTLFITTRRVIWVSEVDKGKGYAVDFLAISLHAVSRDPEAYPSPCIYTQIETEDGSDEESDESDSEVNGEIELSKVTEMRIIPSDPLDGLFEAFSHCAELNPDPNAESDEENGWVQGDEGDEDMTDGSDAECEFSDVNAIGQTDDHDLTHAVVELQINDQRFEDAEESEHETHGNGH >LPERR10G02750.1 pep chromosome:Lperr_V1.4:10:3029515:3032480:1 gene:LPERR10G02750 transcript:LPERR10G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >LPERR10G02760.1 pep chromosome:Lperr_V1.4:10:3034020:3042239:1 gene:LPERR10G02760 transcript:LPERR10G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPESHGRRRAWPLMFVAILLLHSLAIFLFTRGFLLTRTELDVHSHRDDQTGISPGGCSTWPRPAVDRLVIIVLDALRFDFVAPSTFFQEKQPWMDKLQVLQKLAAEEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFVKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDILIAHFLGVDHAGHIFGVDSTPMINKLEQYNQILEDLIDTLKSLSTPGGLHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKSPPNAVLSIFGKSSCNVDLLDFAVTVAALLGIPFPFGSIGRVNPELYALSAGTWDNHKIGASNCTQQNDLEAWMQRYAEALCVNCWQVKRYIDLYSATSVIGFRAEDLNHVADLYSKAQEHWSSALRPICPSETGSQDELKEECTSSALRMQIDAYSVFLESFAKLARSAWTEFDLWFMGIGLSLMILSISTQACMLVKLTTNRISDNDRANSSFIPKCFFAFALEFVFLLLNIFIRFGIEFGMSKQIAGPIISNDHPVSIICDIFGSSFCSNLMEIFPIISLTLVAYIILKFISYAICQRVLKYFVMYGSILSYIFVANHWASECYLFSHTKAIRETGLSFAPRLVYAIGGLSLAISALYRLFGSTDHLKTNERITSLSAAMLCSWSPTILILLGKQAWCIIKLQQKHQIELKLDTGIYIADSVSVTQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDDFHIIRQGILLFIDTFGISHILPVISLPFIAICWHNSASKNGKAKDTTVNSLILVWGLFAPKYVFDAIGLLLTDLLVVLASLYYS >LPERR10G02770.1 pep chromosome:Lperr_V1.4:10:3056435:3057685:1 gene:LPERR10G02770 transcript:LPERR10G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIVVLICPYPATDIAHALGLPKKKLWPLVDPKHVLSGNFAPVVEQPPTHCPVINGTIPSCLAGGAYIRNGPNPQHRLPKRTHHLFDGDGMLHSLLSLCPQQHRRQSPCSAHARYVQTYKYHLEHEAGAPIFPNFFSGFKGLAGLARLAVMSARIAFMHFASLTSPIPYTSTATGEVTTLGRCDFDDHRTIGMTAHPKMDPVNGELFSFRYSMLQPFLTYIWFDRAGNKVANVPIFSLQKPSMLHDFAITERYAIFPESQLVMSPLDMAVHGGSLVRLDREMVPRIGVLPRYARDESEMRWFEVPGFNMLHATNAWEEADGEELMLVASGNQQLVNQTHAS >LPERR10G02780.1 pep chromosome:Lperr_V1.4:10:3057691:3058363:1 gene:LPERR10G02780 transcript:LPERR10G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMQVRVEMIRINLRTGAVSCTTLSPESLEFGLIHQGYVGRNNRFGYFGVSGPMPKFSGIRKLDFARVGADDCMSAIHSHFFLLGT >LPERR10G02790.1 pep chromosome:Lperr_V1.4:10:3058603:3059130:1 gene:LPERR10G02790 transcript:LPERR10G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTEEATEEKAVEETTMADQIDRLHSTLVARRDFGPGCFVGEPFFVPDNVNEDSKEDNGYVVCYMHKEDSGESQFVVMDAWSSELDIITEVRLPGRVPYGFHGLFVMQAKLLSQQQ >LPERR10G02800.1 pep chromosome:Lperr_V1.4:10:3081004:3087192:-1 gene:LPERR10G02800 transcript:LPERR10G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFQLCAASLPLVVLTSYAIQPLADARRRLPPGPRPLPLIGNLLDVGENPHRAFARLAETHGAPLMSIRLGAVYAVVASTPETAREILQRQNAAMSSRRSLDAWRVMDHPSNSMIALPPRGKWRAMRQHTAAAMLGPRRLAEQRAAREEQELVLGGSSIPTAVEWAMAELLQNPKTMKKLQEELRTVLANKPHMEESDITQLPYLQAVVKETLRLHPPLPFSAGLADESVEINGYNIPEGTASFVNIWAICRNAEIWNKPDKFMPERFLQNKIDFSGTNFEFIPFSTGRRICPGLNLSSKLVPLMLGSLLHQFDWTLPEDVGGNGCTAETWAAGGEACKDDLLDVFLDMEGEVRGRVGDEPEETIRGNYFNPNCSRWAMAELLQNPKTMKKLQEELRTVLAVHEKIHNKPHMEESDITQLPYLQAVVKETLRLHPPLPFAAGLAEESVEINGYSIPEGTAAFNAEIRNKPDKFLPKSTGRRICPGLNLSSKLVPLMLGSLHHQFDWTLPEVVGGNGIDMSEKFGLVLSMAVPLTAVPKKVL >LPERR10G02810.1 pep chromosome:Lperr_V1.4:10:3105589:3106053:-1 gene:LPERR10G02810 transcript:LPERR10G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTTSLGENFCVGPTETSSRTQATGARHVEAGRGLRRSSPLLREKTPGEEP >LPERR10G02820.1 pep chromosome:Lperr_V1.4:10:3123583:3126665:-1 gene:LPERR10G02820 transcript:LPERR10G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVGRGTLGAWLAGPQSWTPKLLRVRLSGQMNRAEPKLLRNIHVLFHYYAAHEWGLGHSCPRPSSALGRSDNSRCVNATYGPAYLCKCKDGFDGNPYILDGCQGTALLYPCFGICKNTIGGYDCRGKFGMKGDAKAGICRASEELKPIIQSCNVIGKGGFGEVYKGLLDNQLVAIKKSINVEKSQEKQFANEIIIQSRVIHKNIVKLIGCCLEVDVPMLVYEFVPRGSFHDILHGSNNVSLTLEKRLNITPGAAEGLAYMHSKTSTTSMQKSLILGSPE >LPERR10G02830.1 pep chromosome:Lperr_V1.4:10:3127380:3128367:-1 gene:LPERR10G02830 transcript:LPERR10G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTPLLLLLHLTVLFGGMPPAVPPQRPITLPGCPEKCGNKTIPYPFGTKEGCYFDYSFNVYCNNNSFATLNMQFMLRTDAHYLFGPEQQQNTAVTTTNMTWWTVGLLGVDVARGEAMMAMPVSSDCSRNESYHDLTYYTMNLNGSTTFLFSATRNVLLGVGQSVIPVLFGQMIAGTNYSAALQVASLFDEPSTAGRDGMACVGLGCCEATLAPGLSLITTAMYAQRNTMWKTFPCTYSVAELYGYGAFDKKFPDGVPLVLDFAIRNDSCPADGTKTLPMGCRSR >LPERR10G02840.1 pep chromosome:Lperr_V1.4:10:3132385:3135996:-1 gene:LPERR10G02840 transcript:LPERR10G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTTRRSEPELLRPARPTPRETKSLSDLDDELTLRYYETVVGFFHRGDVDHDNGGDITRPAVVDPAKAIRAALAEALVYYYPIAGRLREEEVIDGGTGRLVVDCTVEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGDPRAVVGKPLLLMQVTRLKCGGFVLGFRICHNIADGFGMAQLTMAIADLARGEPAPTIPPVWSRHILTARRLAPPLTPSPSSSSSATITAHDDDTGRNAVDPAGPNGGGILPLRPAGGFNAPCPDPGAAGRVDDGVRAAHGGDVTMPHCCPRRVQLMITMNARGRWNDHTPLPLGYYGNAHVSPVAEAHAGELLARPLADTVELVRRTTRGMTRERMAAMVDTVARLREWPSLPLDMVYEVSDIKWTAVDVLKFGWAELAGGGIPLAGDLTSKLGSNHMRCWNAAGEVSTVVSMLLPRVAMARFKKEMAVCLNKDDEKSLTTTSSL >LPERR10G02850.1 pep chromosome:Lperr_V1.4:10:3148628:3149044:-1 gene:LPERR10G02850 transcript:LPERR10G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSTEQLAPPSSWLPASQLRRRRLLVVPAADTARDRPSCLATDLTLPPCRFGSDESIRLALYGWNIAVPISGLPSPPRSIRPLRAAQHRVGAVAPTISTAAAAPSSLPA >LPERR10G02860.1 pep chromosome:Lperr_V1.4:10:3153704:3159738:1 gene:LPERR10G02860 transcript:LPERR10G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSACKDRQGGYDCPCKTGMKWDGKAGTCTEKYPLVVIMIVGAVAGLLVLATLVYVYLLHKERQKMREFFIGNGGPIEHRQVNRLLPRGRCPNLGVRVCLEWEPKRRPTRRKKKVPLTLDNRLLIASGSAVGLAYMHSMTLTSIQHGDRTLEYILSNTANILLDNQFNPKIFDFGISRLIARGNPEHTINVIGDNKYMDPIYRQTGLLTNKSDVYVFGLVLFEIITGKEIVDVDVKNTLTVDTYLTKITTNKMLFDKEIGEKDIDHLRSIVDISKKCLDNDVNERPEMTDIAECLQYIRKARKVS >LPERR10G02860.2 pep chromosome:Lperr_V1.4:10:3151618:3153704:1 gene:LPERR10G02860 transcript:LPERR10G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPDGFEVTCNHTFQPPRAFLAQESRGYPYQQNADGNYMSYEATVMLNNVWTQPVELVDFSLARGEARAYGAITTDCSTNETFHVFLCQGTIFSESPFISSARNVLTGVSWDMEAQLTLGPLRSSGYILYCGLRLPTDGPAIYRERVLLKNGLLRGERDGRSPRELCHLCAQEQQLVVAKSLLLRDGGPEELDHYGYETLSRKYPRGVPFVLDFAIGNASRPPAPAQPNYACRSANSFCVNATNSPDIDECELRKQYPELWDVYPLLQ >LPERR10G02870.1 pep chromosome:Lperr_V1.4:10:3170782:3171162:1 gene:LPERR10G02870 transcript:LPERR10G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQGWLAVGLPPAPVLAVSAIVTFFLYLTWQMDEYEEQLRRRTQAGLWVLLVLGTVALLLLGTHALVDAGSGRVAVPVSWRWGAGDGGDGGASPWAVAAVVALLLVLASHKPEFKLFR >LPERR10G02880.1 pep chromosome:Lperr_V1.4:10:3182272:3185398:1 gene:LPERR10G02880 transcript:LPERR10G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWRAKLLLVLLVGGTLLSLAASIDQQVAATETPITLPGCTDKCGNISIPFPFGMKQSRCFLPGFEVTCNDTFSPPRLFLGNYRQHLYNYQEFEEGYYSMTEDDHSFHLSSDKFLFMELISINLKEGVARAYGPVSSDCNLNETYHLPLSLDVRLDIAAESAEGLAYMHSKTTSTILHGDVKPANILLDDNFVPKISDFGISRLIAIDKKQHTDYIIGDKSYMDPVYLQTGLLTKKSDVYSFGVVLLELISRKKATYSDNNSLIRNFLNAQKEKRRATELFDNDITEKAEDLELLDNLVKIAVECLNLDVNQRPEMTDVEERLVILKRSRAR >LPERR10G02890.1 pep chromosome:Lperr_V1.4:10:3210363:3210971:1 gene:LPERR10G02890 transcript:LPERR10G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSVKAATTACMPRLMRGSCRASTARPAASVSLLERIRDVVLRLIMLSAVSKASSANKRSNGGKSASSSPRAGGWRRERSSPAAPPPATCRRDDSIRNEAVEDCIEFLKRSSAEGDTAKLSSVTAAETFAVARAVAVTKLSSPLCVVTTDAVTALGEPAKLSSTCADAASMSASPEVTDAVVVVGRRRSSPPTATSSSES >LPERR10G02900.1 pep chromosome:Lperr_V1.4:10:3224162:3225412:1 gene:LPERR10G02900 transcript:LPERR10G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLPTVQRCAPPLRVSDGRTATGRQHIIGCVAFSTPTATRIEIDHDGDKSSSATAMRNFTGREIDRQRQSSGS >LPERR10G02910.1 pep chromosome:Lperr_V1.4:10:3228281:3228903:1 gene:LPERR10G02910 transcript:LPERR10G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPRTRHIRPRRTHAGVLRRCLKAAHKNHRANMARKAKDRTLAAAVEDTNGVMLAAPAPAPPPSSPPCPPRCRIADTVDSTSPALRCDGTRQPQQRAPESATTAWPQQPTATLPRASINGSRCCPRRSHRPTSRVD >LPERR10G02920.1 pep chromosome:Lperr_V1.4:10:3244084:3247887:-1 gene:LPERR10G02920 transcript:LPERR10G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQINSGDWLPPASNPSRKHQLVKELQFPPGFHFVPTDEELIDHYLRRKIHGLMSPLNVINEVDIMSIDPVKLIEIYKGYGENRWYFFTKRTQSKTKKQDEPNRKVVVEGVEEGSWSATGSLTYIRRTAGMDPGIAIGTKRVLTYRSARSPEEDKWSMHEYVMTDFTQMGQFVLCAIQLKQTYEAEKKAQEDGKIRGNKRKRRATRKGMKNMQPISQAQEEHQQETSQPGNTNGDPYGLSFNSSSMQMVCEDASGKCSCGNCQGNNGILQPADQTGNPAVFYNQQQQSMNLADGQLMNTPAGYSEHLRNYQNQFYLEGDNGSSSSGEGLHRRHNSNLTLENVNTYAGNIYQDGSIVPVGGALEQRDNTNLTWEDVHFYDGITLPEAVDQLHNTNFTWGDDDIFSGNTVLDGSMDDFPQDPLIGGVSIEDLVLCDAFLPNPGCDDSSGQSMGIQPVAEHRMGDYDYEKYDDEALVALFNSDSLSGSLPYVNFTGDNGGIPEESNQGSLICHSENR >LPERR10G02930.1 pep chromosome:Lperr_V1.4:10:3251293:3253933:-1 gene:LPERR10G02930 transcript:LPERR10G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQQQQVRRKGRRNGEVVVDGSEIFELVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTQGKKESVSKIEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEVAAIFSQIESGNSTLRQCLLAALRQLTVDHGMPPASDAWVMENVIEPSLQELSADNLEQPVSQEAFFQEFRKFLAIVMQRLQGHPVIVAHTENTFDGSGIKKLLSNKFELDKLLDSVWRGVPKEKDKTTKQFIRVGFDRMADSISLPPYGAVEQVDAVVDEAFKMAKADDGKPVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPASPMVSSPSE >LPERR10G02940.1 pep chromosome:Lperr_V1.4:10:3256468:3260791:-1 gene:LPERR10G02940 transcript:LPERR10G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFLSRVLLLGFGYAYPAYECYKTVELNKPEIEKLIFWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKKVAIVGQTTFFNILKYASSQSPAHSSRTRPSQSEETKIAPSNPKTRRLLPTKSAPTVSTRSIVAAAKPVNDLKSSGPKFAADEAPSPASNSDMPSSKPSTPPLPRAEEDDDMSIDEVDIPIEDVDEPVATPEVSPMEEAIRVTRGRLRQRVAAVSTADGRAAN >LPERR10G02940.2 pep chromosome:Lperr_V1.4:10:3256468:3260791:-1 gene:LPERR10G02940 transcript:LPERR10G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFLSRVLLLGFGYAYPAYECYKTVELNKPEIEKLIFWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTTYVYETFFRPYISQYENDIDCSILEFRARAGDMLVVYWQKVAIVGQTTFFNILKYASSQSPAHSSRTRPSQSEETKIAPSNPKTRRLLPTKSAPTVSTRSIVAAAKPVNDLKSSGPKFAADEAPSPASNSDMPSSKPSTPPLPRAEEDDDMSIDEVDIPIEDVDEPVATPEVSPMEEAIRVTRGRLRQRVAAVSTADGRAAN >LPERR10G02950.1 pep chromosome:Lperr_V1.4:10:3271754:3272494:1 gene:LPERR10G02950 transcript:LPERR10G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAESSVSIANLASPPTTNLPSVTPATNQPIPFSPSAESPSPPPSPHRSIWSETDEERILEILRAHLRRNDDLPRGVDLLMAVFGRLTRTDYSLAEVNALRRRFEETDALLCSGAGGPAPGHDVWGAAPVAVALPKPAPAAQPNPEIPAAKNANPARPAGRPRQMAALPPPAKRMRYEEMRVQYPMLAAKVDEVTRKALEGVSDMMAWSLELRLKNQRLAGGGGPTARTDDKAKQMASLISGLI >LPERR10G02960.1 pep chromosome:Lperr_V1.4:10:3296440:3296862:-1 gene:LPERR10G02960 transcript:LPERR10G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLANIHGAPDIQYSEPRKEDSLITFMWKSLQQSADLENRERKEAYKQQREQAKRRHPLAPSAGHQSPLRKKVWQQKQKAPQPVLKPNQKMAQLLQGVEEPFHVYLRRFNAIMEDEPAITNNQAIDAFFKGCRDLEFKED >LPERR10G02970.1 pep chromosome:Lperr_V1.4:10:3299466:3299876:1 gene:LPERR10G02970 transcript:LPERR10G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKTAMFPVLVITLSLLSLEAVKGCGDTSCSNPSPPPPAVPSPPSGTCPINVFDLAVCADVLEYVLKIRLNVPSSQQCCTLLGGLADVDAALCLCTAIKANVLGVISVDVPIDITLLLNYCNKSCPPGFTCPL >LPERR10G02990.1 pep chromosome:Lperr_V1.4:10:3311882:3314026:1 gene:LPERR10G02990 transcript:LPERR10G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEEHSNGGGGGGHHHGGGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGELRSLAGDASCDAGPGMARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAFYVGTVFYTFTH >LPERR10G02990.2 pep chromosome:Lperr_V1.4:10:3311960:3314026:1 gene:LPERR10G02990 transcript:LPERR10G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEEHSNGGGGGGHHHGGGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGELRSLAGDASCDAGPGMARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAFYVGTVFYTFTH >LPERR10G03000.1 pep chromosome:Lperr_V1.4:10:3349749:3356906:-1 gene:LPERR10G03000 transcript:LPERR10G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVPLAKNAVFPALVITLSILSMEAVNGCGDTSCSNSSPPPAVVPPLPSGTCPINVLDLAVCADVLEYVLKIRLNVPSSQQCCTLLGGIADLDAALCLCAAIEANVLGVTTLDVSVDITLLLNYCNNLLSMEVVNGCGDTSCSNPSPPPPAVPLPTSGTCLINVLDLAVCADVLEYLLKIRLNVPSSQQCCSLLGGIADLDAALCLCTAIKANVLGVITLDVPVDITLLLNYCNKSCPRGFTCPF >LPERR10G03010.1 pep chromosome:Lperr_V1.4:10:3377976:3378743:1 gene:LPERR10G03010 transcript:LPERR10G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTSASNYLCFAQIFTTCISSGKQPSGGEAKNRLSFSFPESLAGRKDNRQHTTSEEHNSESIIDPAASVVKRRDDGKLQQHCTVIVGTIFGRRSGHVTFCVQRDATVPPPFLFELSVPMQSLAAEMSSGLLRIALECHRPSATSGDGGGGLRGNLWKASCNGRDVGYAMRRRPTELDRRVLEIMRTTTTEVGELPSELISEGQGDGGDTLLYMRATYERVVGSKDAVSYHLISPDTGSPPQELSVFLLRTRGE >LPERR10G03020.1 pep chromosome:Lperr_V1.4:10:3383094:3383439:-1 gene:LPERR10G03020 transcript:LPERR10G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARATHRLSRGAAGADCGDVDAAVALKLGGSLDRIDRSDQSLVKGNAEAGWLHHCFVAPRHFYGPLKNPNP >LPERR10G03030.1 pep chromosome:Lperr_V1.4:10:3383552:3385132:1 gene:LPERR10G03030 transcript:LPERR10G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTAELHFLLVPLLAQGHIIPMVDLARLLATRGARVTVVTTPVNAARNSAVVDAARREGLTIELAEIPFPGPEFGLPEGAENMDQMTDLTMYLGFFKAVWKMEAALEDYVTSLPRRPDCLVADACNPWTRPVCDRLGIVRLVLHCPSTYFLLGVHQLTKHGVYDRVAGDLEPFDVPDFPVPAVANKATFRGFFQWRGVEQEARDVDDAEATADGLILNTFRDVEGAFVDAYAAALGRRTFAVGPTCAPRLHNADATAGRGNRADVDAGRIVSWLDSRPPASVLYVSFGSIAHLRDKQAIELAVGLEKSGQPFIWAIKEAKPGTAVGDWLAGEGYEERVGDRGLLIRGWAPQVTILAHPSTGGFLTHCGWNATLEAIAHGVPALTWPNFSDQFSSERLLVDVLGVGVRSGVTVPSSFLPPEAEGVQVTSAGVVRAVAELMDEGEEGMARRLRAKELAAKAMVAVEEGGSSHADLTDMIGYVSCRRKSAARGDAGAVARTDSPETIGDISGDKREADATRLSVQS >LPERR10G03040.1 pep chromosome:Lperr_V1.4:10:3389426:3391969:-1 gene:LPERR10G03040 transcript:LPERR10G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTTARARALALALAVVLAVVTAEDIGRIKEDDPTGRLKVYVYELPLKYNKNIVAKDPRCLSHMFAVEIFMHRFLLSSAVRTLNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIKFISKYWPYWNRTEGADHFFVTPHDFGACFWFQEAKAIERGVLPVLRRATLVQTFGQNNHACLQDGSITIPPYTPAHKMRARLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKSNPMFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWDEIAVFVAEDDVPKLDTILTSIPTEVILRKQELLENPSMKQSMLFPQPAEPGDGFHQILNALARKLPHGKGVFLKPGQRVLNWTEGSPDDMKPW >LPERR10G03050.1 pep chromosome:Lperr_V1.4:10:3401347:3402063:-1 gene:LPERR10G03050 transcript:LPERR10G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVREMVRSMGAERLDAAIRFATFELVGRDILLHDLLRLCDHQDHRRGMAMAEAVSAQPISDSSEESVIDLLRALQAVPMTFETLEKSKIGKTVTGLRKHPSEQVRSLAGELYNNWKAMVNDHLTSIRISKPSAPAPTKIAPALSSHHAKKAKTTAADKPAAAAAAKKKIASNESKEAPVLVNEAKLAAAKRKLQEGYKEAASAKKQRMIQVIDAPRKTNRRHVNHIHEVCLSI >LPERR10G03060.1 pep chromosome:Lperr_V1.4:10:3402471:3403274:1 gene:LPERR10G03060 transcript:LPERR10G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEAIPEAWKKLVRSLGSEQLVDAIYVAIDDLAARDTIPHEVLRRMAAVREQLPSVGEVEVATINTKLVATAGSSEATVVELLRALRALPMTFETLEKTKIGKTITSLTKHSSEQVRGLAGELYKKWRPLVSEHLRSSSKPTTKTSSAPLAVAAREPAASTTTAAIKTVSNKSTDSALAAAARRAVQATVAMKKTATNNKRKEAPEMEEARLEAATKKLREGYREAETAKKERKIQIINAPPRKVKPRFVVVERRAPVAASLRM >LPERR10G03070.1 pep chromosome:Lperr_V1.4:10:3404952:3413589:-1 gene:LPERR10G03070 transcript:LPERR10G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSRVCRLLRLSPHPAATRVLPAAIYLSSSASAAASDPAPARPAMAESTEWPASRVRETFVSYFESKSHTRWASSPVVPVDDPTLLFANAGMNQFKPVFLGNAGPESPLYHLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEDAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMAVDYGLTVDRDGFDAAMEEARQKARNARFKAGGDSIVMDANATSELRNQGLASTDDSPKYDYKKEHDSVVKAIYTGSEYVSSAFGDGDFGLVLESTSFYAEQGGQIYDTGSIEGSFGLFNVTNVQVFAGYVLHMGSFTKCSEALSVGDKVTCKVDLTRRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPDKLRFDFSHGKPVQPEDLRKIESIVNQQINEKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSDAKAFALVSEEGIAKGVRRITAVTAGCASEAMERASSFDSRINEASKLEGAILEKDLPIILFSTDEATNKAIVYAGVPPSSGDSLKVLDWLTPSIAPLKGKGGGGKNGVAQGQGSDASQLKEAMELATQIASMKLA >LPERR10G03080.1 pep chromosome:Lperr_V1.4:10:3420414:3431583:1 gene:LPERR10G03080 transcript:LPERR10G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGAGGVRTLDCRSFWKAGAFEAVSAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEIGNGATFVKVDKTVSLKDNSTMLVVQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFEDIVGHGTKVAMYNLWMNDDGLLELDFEDDDEASVHIIFIAIAMPDPANIGARHTKYKWCICFDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYISILYLKKFENFQIILRGKPVEQIRISDELKFKKAVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPATQQLRSQYKAALKDSGNPGPKSQHKASNNHRTGGHTSNMLPETYDDIEEVGLTANSAGSGVRSLRQAQGNSMEPAGLDENSVDIGSGVLDPNLMEKLSEENIGLFSRREELRQRETQLRLTVEELEQQLEETKRKCSQLADDLRVRKSQQQQQPRYI >LPERR10G03080.2 pep chromosome:Lperr_V1.4:10:3420414:3430271:1 gene:LPERR10G03080 transcript:LPERR10G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGAGGVRTLDCRSFWKAGAFEAVSAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEIGNGATFVKVDKTVSLKDNSTMLVVQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFEDIVGHGTKVAMYNLWMNDDGLLELDFEDDDEASVHIIFIAIAMPDPANIGARHTKYKWCICFDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYISILYLKKFENFQIILRGKPVEQIRISDELKFKKAVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPATQQLRSQYKAALKDSGNPGPKSQHKASNNHRTGGHTSNMLPETYDDIEEVGLTANSAGSGVRSLRQAQGNSMEPAGLDENSVDIGSGVLDPNLMEKLSEENIGLFSRREELRQRETQLRLTVEELEQQLEETKRKCSQLADDLRVRKSQQQQQPRYI >LPERR10G03090.1 pep chromosome:Lperr_V1.4:10:3432123:3434355:-1 gene:LPERR10G03090 transcript:LPERR10G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATATAAGGLRSGDIRRRRNVVVVAATSTGAGQAVPQEGSLERPAWSGETPVSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKQVLESDVYEVFDRIRDSNLVYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGSWLNAIEEHHMKYSGNRQINDILDVGCSIGVSTRYLAEKFPSAKAVGLDLSPYFLAVAAHKEEKLPRKNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAIIGLVNEAFRLLRPGGTIALTDNSPRSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETLSRAGFDNVHSILTDPRHRTVTATVPF >LPERR10G03100.1 pep chromosome:Lperr_V1.4:10:3439415:3441474:1 gene:LPERR10G03100 transcript:LPERR10G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHRPPAQPAGQPLPAPSVDPPLQPLPLRRHLRAAVPLPQHHDGRLLACRPPRARGPPDRGPNRRPPRPAPQGQRRHLPPQSPHYAAISDETSPPTVALFISGQMLWNIAYAKPGDEHWALIDESGWNLLPNQRVIRDGQQLRSIRYLSVVTRRGRIYFATFQGNLLRLRLHPKPRLVPIVKDQSDMVWDNVAGVLYENVISYLVEPEDTHNGRMLMVRYHQTLGHLSAQEQRRIKRRKKNNILIKQLINDRPRRYKWQVLEVFEVDLLSKRLVPVEDIGHRSLFVGMWHAFPSLPTSCPPSATSRTIVLSQSLCISSKIGAQNPLILGGPTPA >LPERR10G03100.2 pep chromosome:Lperr_V1.4:10:3440270:3441474:1 gene:LPERR10G03100 transcript:LPERR10G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNIAYAKPGDEHWALIDESGWNLLPNQRVIRDGQQLRSIRYLSVVTRRGRIYFATFQGNLLRLRLHPKPRLVPIVKDQSDMVWDNVAGVLYENVISYLVEPEDTHNGRMLMVRYHQTLGHLSAQEQRRIKRRKKNNILIKQLINDRPRRYKWQVLEVFEQKACTCGGHWPPLTVCWDVACVSLSAHKLPSICHLKDHSVEPKPLHFFQDRSTEPLDPGRTHPSLEKIVPAARPCSLEDYLIIINHMV >LPERR10G03100.3 pep chromosome:Lperr_V1.4:10:3439415:3440263:1 gene:LPERR10G03100 transcript:LPERR10G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRMIFSYTTTLRWHGRRDWRSLPSELTEEIAGRLLRHDVSDYLRLRAACKAWRDCTDHPRNPLDSRFRPRRWILLSNPYHYAATCAPRCRFLSTTTGACLHVDLPELEGHQIEARTDVLLVLRHKASGAICLLNPLTTRPSPTRPPHRRSPCS >LPERR10G03110.1 pep chromosome:Lperr_V1.4:10:3445250:3453685:1 gene:LPERR10G03110 transcript:LPERR10G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSAATPHAALTLLTSSPRFLHLPLCSPARRHVAGGGGGVALLLRPPPTRRKGERGGQARRAPSCFLGGGSAEAAAAGVLGVSVVAAAAALLAAALQLVWLRLSGGDSPEEEVLYEHGKSIVNKAFGTPTDLVDDSNYSSRRTHENVFSEQSISGRMIVDRMDCQTGIFSGVDTVNNTTQVGSVISTYRSLDTFEGVPANKSSIETVQHILPNSRSVSEGQLQSRHFANRGGLPHQFLSLSKRKEQVQNGQGPSDNKTDSDDANSLGCHQSDQGEYVDLTSLSSFKEHHLNFMPEAHASNLFQPSKALEFTNSYAGGSYLTAGRLAPVACLRDGPVSKRKKAVHDHDDAKLIGWSISNLLEKENPENSTSGNRVGLKGTKDMSDYLRRYNSLLVDGRLKDSVDLLESMEQKELLDMNKIHHASFLNACKKQRAVMEAVRFCRLIDNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGTKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMSKIGVQPDEMFLSALVDVAGHAKRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITSLCEGDQVLKSIQVLNEMTKLGVSPNQITYSVLFVACERNAEAQVGLDLFEQLKIDGINLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISAGLLPSSDEAASLGAVESISMKDTRIFIDARKSKIYTAEVSLLTTLRSLKHRLAAGARLPNVTILLPTEKKQVELDEKEKTLKLAGRVGQAVGALLRRLGIKYHGEESHGKMRINGLTLRRWFNPKFTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >LPERR10G03120.1 pep chromosome:Lperr_V1.4:10:3457996:3458415:-1 gene:LPERR10G03120 transcript:LPERR10G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPRSSPRGLDRRSSKLAVDAKARISKKPSSHPLQPAVTSVSFKKGDVVRVRTPLGKLGTTTLRLVMWLGAVVVSDTADDGHLQVIYDGDFPRDDPFRTVRVATKDVKLASAAIDNAVQGRPTTAGKSLRLLKSLAK >LPERR10G03130.1 pep chromosome:Lperr_V1.4:10:3459262:3460683:1 gene:LPERR10G03130 transcript:LPERR10G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRGRADDAAAQCHHNGCLSVTSRSRGCAKKERSWSAALEDDVLGAILASGLLTSADAVRCAATCRWWRRVVATRSDYISRGLPPLGRYMPHLAVGVFTAPVGTSSRRMPQFIPTTAGARRLGDLRRRMSLVDGIGHDVALLDHAHPVASRNGRLVLQLHRPRTNGLALCVCNPMTGELAMVPPLPTAGNNNKKGACFPYGCALLTADDFDQAPLQSSTFFRLILLYNNNHTTVLRCYSSSDGSWGQEVNITAVATISRQKMRQIGPAVVRRGASAFWALDHGALGVRVRLHLEAMDVHLLPYCSSPDRWPEGCLLGVSPDNRLFMVTFVMWRGFLSGSIAYFDIDGDDISTGRENSNPSADVLYPMFDMKMRRWDDMAWLATLNLRWFCEKSGLVLFTLGDSSGYPGTFALDVRSPAVEKVLNADGYLVSSRNVHLDSPAVVKVADGHSWSCFVGYEMDMATYLSTLAA >LPERR10G03140.1 pep chromosome:Lperr_V1.4:10:3463629:3464435:-1 gene:LPERR10G03140 transcript:LPERR10G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEAIPEAWKKLVRSLGSEQLVDAIYVAIDDLAARDTIPHEVLRRMAAVREQLPSVGEVEVATINTKLVATAGSSEATVVELLRALRALPMTFETLEKTKIGKTITSLTKHSSEQVRGLAGELYKKWRPLVSEHLRSSSKPTTKTSSAPLAVAAREPAASTTTAAIKTVSNKSTDSALAAAARRAVQATVAMKKTATNNKRKEAPEMEEARLEAATKKLREGYREAETAKKERKIQIINAPPRKVKPRFVVVERRAPVVGTARCR >LPERR10G03150.1 pep chromosome:Lperr_V1.4:10:3464874:3474774:1 gene:LPERR10G03150 transcript:LPERR10G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVREMVRSMGAERLDAAIRFATFELVGRDILLHDLLRLCDHQDHRRGMAMAEAVSAQPISDSSEESVIDLLRALQAVPMTFETLEKSKIGKTVTGLRKHPSEQVRSLAGELCNNWKALVNDHLTSSISKTKIAPDSAADHSKKTAAAAHKPAPAAAAAKKTTPNDRKEALAKLAVAKRKLEEGYKEAASAKKQRMIQVIDAPRKTNRRPIAVVERRRLAPVAAMPEDLQKIESIVNQQINEKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGLQLKQRWPPNPALAATIASIKGGLDKSQIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFALDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIEVKDQKDLPIMLFSVDEATNKAVIYADVPPSAGNSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGSDASQLKEAMELATKIASMKLA >LPERR10G03150.2 pep chromosome:Lperr_V1.4:10:3464874:3474774:1 gene:LPERR10G03150 transcript:LPERR10G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVREMVRSMGAERLDAAIRFATFELVGRDILLHDLLRLCDHQDHRRGMAMAEAVSAQPISDSSEESVIDLLRALQAVPMTFETLEKSKIGKTVTGLRKHPSEQVRSLAGELCNNWKALVNDHLTSSISKTKIAPDSAADHSKKTAAAAHKPAPAAAAAKKTTPNDRKEALAKLAVAKRKLEEGYKEAASAKKQRMIQVIDAPRKTNRRPIAVVERRRLAPVAAMPEDLQKIESIVNQQINEKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGIFSCTIASIKGGLDKSQIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFALDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIEVKDQKDLPIMLFSVDEATNKAVIYADVPPSAGNSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGSDASQLKEAMELATKIASMKLA >LPERR10G03160.1 pep chromosome:Lperr_V1.4:10:3479719:3483475:-1 gene:LPERR10G03160 transcript:LPERR10G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRPPAAPLRTPIHNHKLLNHRHRATSTSLPITCLRISNGNNPLLRPLSSIPSSRCRAAADPAPSKIPGEGGTSTLEAGAGWRDLLSQVGEVLSLGFPVWVASACAVALWRPRSFLWVSPTAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSVMPLSGFFVSKLLNLPSHYAAGLILVSCCPGGTASNIVTYLARGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFMSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFVAVATVAVLCGNAIAQNASAILSSGLQVVISVCCLHASGFFFGYVLSRMLGIDIASSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLVAGIWRSLPPNDKGQ >LPERR10G03170.1 pep chromosome:Lperr_V1.4:10:3486591:3505950:1 gene:LPERR10G03170 transcript:LPERR10G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFKESLSLLKPFCLQANESDLSTEVKKILHLTLTMYQIPNVENLIPNLGSAVISAVSKYVTASTKCMSRSWRQDLASCFTKDNVDSEGISNTLLMEASNELFDTWKNVSSVVDSSTFDDNGLAFRSEELPTIKHLFALFDNCFPYYRNCSSLDLECPVQNKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLLLGIVEHATRHVVGCEAFLGWWPRSDNNIPVGSSVGYCSLLKILLEKERHDIACLATYVLQRLHFYEILSRYESAVVNIVSNLPPDELSADGVKFLSSASVELAKLLKVINMCGPIEDPSPGVTARKIYKSGHLEGLLSYNSTIGLITSSKYNFVQFDADPYMLSLIQERGFFPLSAALLSSPLQRLASGPAAEILMEITSSIESIVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAYVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSFSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEILVADSTASSLKSWIGFSIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAIGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTADGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEDKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGLWLWKVEVPSLSAITSLSTSTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNSKVDETSLSEREIFKVSQLLRFVAKLSEHPNGKALLWKMGVTRILRKLLQGISNVCCMEDNMISEKGAYSNDLLMQRWMILLLRSIATVFSTQLSSKEPTIIEELWNENACVEECSSIMYHLLLLCQVLPVGRDMFACSLAFKEVASSYPGRIAVTSILSQIQTFNKDEQEKSETDACRYTSKVDNWCGFSPLLMCWKRLLQYISASRPTNYLVEIVYALTLGAIALSQSGENLEGTIILRCLFGYPFDPCSSEASDEVTVLLKTFEDKICQGFDNWSPYVGKPLLHQVRSSVRLLRSIIENTSPFTDSAQMALEESTIPVGVFHNIVMTSHLMPSVNFVSVSDEPVLLFSNAWKAFGDSEEPFGCQEDEGKMMVWELPDCSLDRQLMPGQSARRKLALGDSTSRRARDNQAHEPTGQFSRGLNTTNASIGHSRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDATQARSKATLDDNVCTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIVGENSPGPVDDTENQQNERNLFSGKIVSDSDEACEAGISSRTAMLQEASIPSERKFSVSSPEKIVFHEHGDGSPFISLITGSKVTHGHSTHAAQAKLEQLPPNRYRKRSPQKLGEKSLSSGSHGHDRTISNNQPPLPPMPPPVSSASLQNSDSIQRKPSSYNSRDGPPPFPSSYPMQSFDASMPSFLGHQVQTENVLPSTGDSSSNALPSIDPKFLWNTLPVNRIPMEHLSSGSSTRPASPLPLRPIPATQHPAMSSGPPGSLYNQGSGALQPSLPTSLISDATLGTNPASGGGFPSNSLPSLAPQFLIGRPSTPTSFFGTPLQVQLSSGLAQNVSNPQSSLSSMQPRPPPPPPPQQPHPSQTFQQLGSLQLPHQEQPMPYPLNTLQPQVPLQFPNQLHVPQLQFYHQGQPESVLQPTGHVSEQSLLLNHSAQQQTDSGMNLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQIYTPALWVGSKSDVANIGWVPVTERPTSVCHFLPFCGWPP >LPERR10G03170.2 pep chromosome:Lperr_V1.4:10:3486591:3505950:1 gene:LPERR10G03170 transcript:LPERR10G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDENASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFKESLSLLKPFCLQANESDLSTEVKKILHLTLTMYQIPNVENLIPNLGSAVISAVSKYVTASTKCMSRSWRQDLASCFTKDNVDSEGISNTLLMEASNELFDTWKNVSSVVDSSTFDDNGLAFRSEELPTIKHLFALFDNCFPYYRNCSSLDLECPVQNKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLLLGIVEHATRHVVGCEAFLGWWPRSDNNIPVGSSVGYCSLLKILLEKERHDIACLATYVLQRLHFYEILSRYESAVVNIVSNLPPDELSADGVKFLSSASVELAKLLKVINMCGPIEDPSPGVTARKIYKSGHLEGLLSYNSTIGLITSSKYNFVQFDADPYMLSLIQERGFFPLSAALLSSPLQRLASGPAAEILMEITSSIESIVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAYVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSFSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEILVADSTASSLKSWIGFSIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAIGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTADGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEDKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGLWLWKVEVPSLSAITSLSTSTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNSKVDETSLSEREIFKVSQLLRFVAKLSEHPNGKALLWKMGVTRILRKLLQGISNVCCMEDNMISEKGAYSNDLLMQRWMILLLRSIATVFSTQLSSKEPTIIEELWNENACVEECSSIMYHLLLLCQVLPVGRDMFACSLAFKEVASSYPGRIAVTSILSQIQTFNKDEQEKSETDACRYTSKVDNWCGFSPLLMCWKRLLQYISASRPTNYLVEIVYALTLGAIALSQSGENLEGTIILRCLFGYPFDPCSSEASDEVTVLLKTFEDKICQGFDNWSPYVGKPLLHQVRSSVRLLRSIIENTSPFTDSAQMALEESTIPVGVFHNIVMTSHLMPSVNFVSVSDEPVLLFSNAWKAFGDSEEPFGCQEDEGKMMVWELPDCSLDRQLMPGQSARRKLALGDSTSRRARDNQAHEPTGQFSRGLNTTNASIGHSRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDATQARSKATLDDNVCTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIVGENSPGPVDDTENQQNERNLFSGKIVSDSDEACEAGISSRTAMLQEASIPSERKFSVSSPEKIVFHEHGDGSPFISLITGSKVTHGHSTHAAQAKLEQLPPNRYRKRSPQKLGEKSLSSGSHGHDRTISNNQPPLPPMPPPVSSASLQNSDSIQRKPSSYNSRDGPPPFPSSYPMQSFDASMPSFLGHQVQTENVLPSTGDSSSNALPSIDPKFLWNTLPVNRIPMEHLSSGSSTRPASPLPLRPIPATQHPAMSSGPPGSLYNQGSGALQPSLPTSLISDATLGTNPASGGGFPSNSLPSLAPQFLIGRPSTPTSFFGTPLQVQLSSGLAQNVSNPQSSLSSMQPRPPPPPPPQQPHPSQTFQQLGSLQLPHQEQPMPYPLNTLQPQVPLQFPNQLHVPQLQFYHQGQPESVLQPTGHVSEQSLLLNHSAQQQTDSGMNLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQIYTPALWVGSKSDVANIGWVPVTERPTSVCHFLPFCGWPP >LPERR10G03170.3 pep chromosome:Lperr_V1.4:10:3486591:3505950:1 gene:LPERR10G03170 transcript:LPERR10G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFKESLSLLKPFCLQANESDLSTEVKKILHLTLTMYQIPNVENLIPNLGSAVISAVSKYVTASTKCMSRSWRQDLASCFTKDNVDSEGISNTLLMEASNELFDTWKNVSSVVDSSTFDDNGLAFRSEELPTIKHLFALFDNCFPYYRNCSSLDLECPVQNKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLLLVVNIVSNLPPDELSADGVKFLSSASVELAKLLKVINMCGPIEDPSPGVTARKIYKSGHLEGLLSYNSTIGLITSSKYNFVQFDADPYMLSLIQERGFFPLSAALLSSPLQRLASGPAAEILMEITSSIESIVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAYVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSFSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEILVADSTASSLKSWIGFSIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAIGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTADGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEDKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGLWLWKVEVPSLSAITSLSTSTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNSKVDETSLSEREIFKVSQLLRFVAKLSEHPNGKALLWKMGVTRILRKLLQGISNVCCMEDNMISEKGAYSNDLLMQRWMILLLRSIATVFSTQLSSKEPTIIEELWNENACVEECSSIMYHLLLLCQVLPVGRDMFACSLAFKEVASSYPGRIAVTSILSQIQTFNKDEQEKSETDACRYTSKVDNWCGFSPLLMCWKRLLQYISASRPTNYLVEIVYALTLGAIALSQSGENLEGTIILRCLFGYPFDPCSSEASDEVTVLLKTFEDKICQGFDNWSPYVGKPLLHQVRSSVRLLRSIIENTSPFTDSAQMALEESTIPVGVFHNIVMTSHLMPSVNFVSVSDEPVLLFSNAWKAFGDSEEPFGCQEDEGKMMVWELPDCSLDRQLMPGQSARRKLALGDSTSRRARDNQAHEPTGQFSRGLNTTNASIGHSRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDATQARSKATLDDNVCTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIVGENSPGPVDDTENQQNERNLFSGKIVSDSDEACEAGISSRTAMLQEASIPSERKFSVSSPEKIVFHEHGDGSPFISLITGSKVTHGHSTHAAQAKLEQLPPNRYRKRSPQKLGEKSLSSGSHGHDRTISNNQPPLPPMPPPVSSASLQNSDSIQRKPSSYNSRDGPPPFPSSYPMQSFDASMPSFLGHQVQTENVLPSTGDSSSNALPSIDPKFLWNTLPVNRIPMEHLSSGSSTRPASPLPLRPIPATQHPAMSSGPPGSLYNQGSGALQPSLPTSLISDATLGTNPASGGGFPSNSLPSLAPQFLIGRPSTPTSFFGTPLQVQLSSGLAQNVSNPQSSLSSMQPRPPPPPPPQQPHPSQTFQQLGSLQLPHQEQPMPYPLNTLQPQVPLQFPNQLHVPQLQFYHQGQPESVLQPTGHVSEQSLLLNHSAQQQTDSGMNLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQIYTPALWVGSKSDVANIGWVPVTERPTSVCHFLPFCGWPP >LPERR10G03170.4 pep chromosome:Lperr_V1.4:10:3486591:3505950:1 gene:LPERR10G03170 transcript:LPERR10G03170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFKESLSLLKPFCLQANESDLSTEVKKILHLTLTMYQIPNVENLIPNLGSAVISAVSKYVTASTKCMSRSWRQDLASCFTKDNVDSEGISNTLLMEASNELFDTWKNVSSVVDSSTFDDNGLAFRSEELPTIKHLFALFDNCFPYYRNCSSLDLECPVQNKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLLLVVNIVSNLPPDELSADGVKFLSSASVELAKLLKVINMCGPIEDPSPGVTARKIYKSGHLEGLLSYNSTIGLITSSKYNFVQFDADPYMLSLIQRFFPSISGLVVVTITTPEATELILLSLQDGEDMSKTECMTLRQAYVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSFSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEILVADSTASSLKSWIGFSIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAIGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTADGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEDKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGLWLWKVEVPSLSAITSLSTSTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNSKVDETSLSEREIFKVSQLLRFVAKLSEHPNGKALLWKMGVTRILRKLLQGISNVCCMEDNMISEKGAYSNDLLMQRWMILLLRSIATVFSTQLSSKEPTIIEELWNENACVEECSSIMYHLLLLCQVLPVGRDMFACSLAFKEVASSYPGRIAVTSILSQIQTFNKDEQEKSETDACRYTSKVDNWCGFSPLLMCWKRLLQYISASRPTNYLVEIVYALTLGAIALSQSGENLEGTIILRCLFGYPFDPCSSEASDEVTVLLKTFEDKICQGFDNWSPYVGKPLLHQVRSSVRLLRSIIENTSPFTDSAQMALEESTIPVGVFHNIVMTSHLMPSVNFVSVSDEPVLLFSNAWKAFGDSEEPFGCQEDEGKMMVWELPDCSLDRQLMPGQSARRKLALGDSTSRRARDNQAHEPTGQFSRGLNTTNASIGHSRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDATQARSKATLDDNVCTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIVGENSPGPVDDTENQQNERNLFSGKIVSDSDEACEAGISSRTAMLQEASIPSERKFSVSSPEKIVFHEHGDGSPFISLITGSKVTHGHSTHAAQAKLEQLPPNRYRKRSPQKLGEKSLSSGSHGHDRTISNNQPPLPPMPPPVSSASLQNSDSIQRKPSSYNSRDGPPPFPSSYPMQSFDASMPSFLGHQVQTENVLPSTGDSSSNALPSIDPKFLWNTLPVNRIPMEHLSSGSSTRPASPLPLRPIPATQHPAMSSGPPGSLYNQGSGALQPSLPTSLISDATLGTNPASGGGFPSNSLPSLAPQFLIGRPSTPTSFFGTPLQVQLSSGLAQNVSNPQSSLSSMQPRPPPPPPPQQPHPSQTFQQLGSLQLPHQEQPMPYPLNTLQPQVPLQFPNQLHVPQLQFYHQGQPESVLQPTGHVSEQSLLLNHSAQQQTDSGMNLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQIYTPALWVGSKSDVANIGWVPVTERPTSVCHFLPFCGWPP >LPERR10G03170.5 pep chromosome:Lperr_V1.4:10:3486915:3505950:1 gene:LPERR10G03170 transcript:LPERR10G03170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFKESLSLLKPFCLQANESDLSTEVKKILHLTLTMYQIPNVENLIPNLGSAVISAVSKYVTASTKCMSRSWRQDLASCFTKDNVDSEGISNTLLMEASNELFDTWKNVSSVVDSSTFDDNGLAFRSEELPTIKHLFALFDNCFPYYRNCSSLDLECPVQNKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLLLGIVEHATRHVVGCEAFLGWWPRSDNNIPVGSSVGYCSLLKILLEKERHDIACLATYVLQRLHFYEILSRYESAVVNIVSNLPPDELSADGVKFLSSASVELAKLLKVINMCGPIEDPSPGVTARKIYKSGHLEGLLSYNSTIGLITSSKYNFVQFDADPYMLSLIQERGFFPLSAALLSSPLQRLASGPAAEILMEITSSIESIVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAYVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSFSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEILVADSTASSLKSWIGFSIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAIGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTADGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEDKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGLWLWKVEVPSLSAITSLSTSTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNSKVDETSLSEREIFKVSQLLRFVAKLSEHPNGKALLWKMGVTRILRKLLQGISNVCCMEDNMISEKGAYSNDLLMQRWMILLLRSIATVFSTQLSSKEPTIIEELWNENACVEECSSIMYHLLLLCQVLPVGRDMFACSLAFKEVASSYPGRIAVTSILSQIQTFNKDEQEKSETDACRYTSKVDNWCGFSPLLMCWKRLLQYISASRPTNYLVEIVYALTLGAIALSQSGENLEGTIILRCLFGYPFDPCSSEASDEVTVLLKTFEDKICQGFDNWSPYVGKPLLHQVRSSVRLLRSIIENTSPFTDSAQMALEESTIPVGVFHNIVMTSHLMPSVNFVSVSDEPVLLFSNAWKAFGDSEEPFGCQEDEGKMMVWELPDCSLDRQLMPGQSARRKLALGDSTSRRARDNQAHEPTGQFSRGLNTTNASIGHSRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDATQARSKATLDDNVCTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIVGENSPGPVDDTENQQNERNLFSGKIVSDSDEACEAGISSRTAMLQEASIPSERKFSVSSPEKIVFHEHGDGSPFISLITGSKVTHGHSTHAAQAKLEQLPPNRYRKRSPQKLGEKSLSSGSHGHDRTISNNQPPLPPMPPPVSSASLQNSDSIQRKPSSYNSRDGPPPFPSSYPMQSFDASMPSFLGHQVQTENVLPSTGDSSSNALPSIDPKFLWNTLPVNRIPMEHLSSGSSTRPASPLPLRPIPATQHPAMSSGPPGSLYNQGSGALQPSLPTSLISDATLGTNPASGGGFPSNSLPSLAPQFLIGRPSTPTSFFGTPLQVQLSSGLAQNVSNPQSSLSSMQPRPPPPPPPQQPHPSQTFQQLGSLQLPHQEQPMPYPLNTLQPQVPLQFPNQLHVPQLQFYHQGQPESVLQPTGHVSEQSLLLNHSAQQQTDSGMNLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQIYTPALWVGSKSDVANIGWVPVTERPTSVCHFLPFCGWPP >LPERR10G03180.1 pep chromosome:Lperr_V1.4:10:3510935:3511594:1 gene:LPERR10G03180 transcript:LPERR10G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVKEARSEAYDKREGDITASIRETTINYKPTSTSLPPTSSTHMLAKCSLICSNFDTEDVHVVAAEDIGDNTSMVSTETKIGEDGTGIPYIDNPDHPWWHMPSVRRSALTPMVALTKPWPPFLTMDDVPISMRYTHIGTRGDYDKGAARFGVHDEQGHGEELDANSVKLVEHRVLGLIHDGLEEFVTNGHNHVDAGADACVVAAIFEALGNKSKGEVL >LPERR10G03190.1 pep chromosome:Lperr_V1.4:10:3518519:3519398:1 gene:LPERR10G03190 transcript:LPERR10G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFTVRDVLYMYSDARTAYDRFIGIGSNPAQARNAVALLLWLDQCNVSAIQHLPGLSPTAVNLVAAEANSVLDCLRGPAPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYNCLTVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTTGGSQAMYGRIIFRSEAFVQLVLNGERLVKITIRNRQIWLRKYVPRPAATQNQN >LPERR10G03200.1 pep chromosome:Lperr_V1.4:10:3523632:3524200:1 gene:LPERR10G03200 transcript:LPERR10G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFTIRDVLYMYINARIAYDRFIGIGGNPEQARNAVALLMWLDQCNISAIQHLPQLSPMAIDMVAAEANSVLNCLRASVPVVPAIPVISVLCQDGDVDPRFFAFHQDLVVVPDILDGVGLLIFDNHLNKLLRRYQTGLVGNPPELMAVYNCLHMVVPEDCRSMFITFSKGVPIDHEEIFDYFRL >LPERR10G03210.1 pep chromosome:Lperr_V1.4:10:3524275:3524562:1 gene:LPERR10G03210 transcript:LPERR10G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATYTCRKWGDCVVRVLMEKTIGGSPPMYGRVIIFRSKAFVHLVLNGKRLTKISIRNRQIWLRRYVPRPIATQNQNSYVASIHGSRTYDMHQAS >LPERR10G03220.1 pep chromosome:Lperr_V1.4:10:3524838:3532068:-1 gene:LPERR10G03220 transcript:LPERR10G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLGDLVIQEATFLSGVPRQVSSMKAELSQMECFLNIVDAKCLEGNNTMRNLASDIRDVAYRVEEVIDNAHFIFRRRKTFVSKYTHIIGDSVDLREVGKGIEIIRKEINEIFERYNRYNTINSNTSMEAQSNFMEDEDFFSQRLVSPVLDQEMDIVGFDHEIKQIKSYLLDQNNKNLTVVSIVGQAGAGKSTLAKLAYTSLITEGYFHKYGWISISPKYSILELLRELVRQIRGAGKESEGKTLYLNISGETRVSDVLFDFLKEECYLIVLDDMWTTDTWDKIKSVFPDKGNGSRIILTTRNMEVGKHPKTHLQIHTPLLLDEDKSWELFQKKAFPFDAQVNNITELEVVGKKLAKKCNGLPLALVVLGSFLSRNHNIHTWEHMVATVDWEIMKNEGDVGRILALSYHNMSNNLKACFLYTASFPEDYLITVHVLKMMWIAEGFVPNIRGYTQEEVAYRYIEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCACLTDVETSYADEQKCYRVAFHDYFDDEVGKSLQNLRSVLAFNPDGKGLFSFNGLHLLRVLHFCSSLTKCTLPEEINKLVHLRYLGLEGTTVFMFPSYMKGLRSLQILEASTATVKALPSSLWSIPALKHVHVYRVLHWKALEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQYVSWCLGIASAKRVKDKKAQGHEAYSINIRVDALESTVDGLELSGCFRKFHILNDVLPHHNLFPDFLLQLKISCPNILNDDPMPILEKLPRLEVLEIINSSYTGKTITCSSEGFLALRSLVLEDLDLEEWNLQQGSMAFLAHLTLNKCTTLRSISNVLDRLDDLVELRLICMPQLSVDDHEAARGRGCRVMISVDEEQTSSDSLMLVGQPKASDFRSRLAPRYVMYTLCVEG >LPERR10G03220.2 pep chromosome:Lperr_V1.4:10:3528823:3532068:-1 gene:LPERR10G03220 transcript:LPERR10G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLGDLVIQEATFLSGVPRQVSSMKAELSQMECFLNIVDAKCLEGNNTMRNLASDIRDVAYRVEEVIDNAHFIFRRRKTFVSKYTHIIGDSVDLREVGKGIEIIRKEINEIFERYNRYNTINSNTSMEAQSNFMEDEDFFSQRLVSPVLDQEMDIVGFDHEIKQIKSYLLDQNNKNLTVVSIVGQAGAGKSTLAKLAYTSLITEGYFHKYGWISISPKYSILELLRELVRQIRGAGKESEGKTLYLNISGETRVSDVLFDFLKEECYLIVLDDMWTTDTWDKIKSVFPDKGNGSRIILTTRNMEVGKHPKTHLQIHTPLLLDEDKSWELFQKKAFPFDAQVNNITELEVVGKKLAKKCNGLPLALVVLGSFLSRNHNIHTWEHMVATVDWEIMKNEGDVGRILALSYHNMSNNLKACFLYTASFPEDYLITVHVLKMMWIAEGFVPNIRGYTQEEVAYRYIEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCACLTDVETSYADEQKCYRVAFHDYFDDEVGKSLQNLRSVLAFNPDGKGLFSFNGLHLLRVLHFCSSLTKCTLPEEINKLVHLRYLGLEGTTVFMFPSYMKGLRSLQILEASTATVKALPSSLWSIPALKHVHVYRVLHWKALEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQYVSWCLGIASAKRVKDKKAQGHEAYSINIRVDALESTVDGLELSGCFRKFHILNDVLPHHNLFPDFLLQLKISCPNILNDDPMPILEKLPRLEVLEIINSSYTGKTITCSSEGFLALRSLVLEDLDLEEWNLQQGSMAFLAHLTLNKCTTLRSISNVLDRLDDLVELRLICMPQLSVDDHEAARGRGCRVMISVDEEQTSSN >LPERR10G03230.1 pep chromosome:Lperr_V1.4:10:3550978:3551548:1 gene:LPERR10G03230 transcript:LPERR10G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGQWFQDDGQLLNEQLLSYSESCDDPAGILHQGSQDQSASTDAVVHRIEKRSKRPRSCTGKSKVWDHFTKIVTKDPEVVYAVCHCCDRMFRAHSRKDGTSHLRRHAEKCSRGKHLQQCYPEQVLYHPMINNSRWEEQHYGGGLGQPMMEASDADLFGMQEFQEIFLGF >LPERR10G03240.1 pep chromosome:Lperr_V1.4:10:3585740:3587648:-1 gene:LPERR10G03240 transcript:LPERR10G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHITVDEWASPKNTLVKIDDIFVQKYEIECLLQQDGWQDDNKDQGKQQSGSSTLKIYFEEPIISTLFKRIGKLGLRKLEDDTLREYFHKKNVRFKYLTLCAGCTTVMMSKIQEVWVQNSKPYLISLSVKNLQLIVKQDQPIDRETFNLVVRNFDIIYT >LPERR10G03250.1 pep chromosome:Lperr_V1.4:10:3594246:3596818:1 gene:LPERR10G03250 transcript:LPERR10G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGSGGGGGGGGGSGARLQGGIPFEKSKGQHILRNPAIVDSIVEKASLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGGIFKQKSVLKLLEKNYKTMQSLQVTTDTESGEEKMSADDLALLSNMVDDLNLETSYENDDDDEMEMDDADMVAEGRAIFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNTAGIHFS >LPERR10G03250.2 pep chromosome:Lperr_V1.4:10:3594246:3597083:1 gene:LPERR10G03250 transcript:LPERR10G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGSGGGGGGGGGSGARLQGGIPFEKSKGQHILRNPAIVDSIVEKASLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGGIFKQKSVLKLLEKNYKTMQSLQVTTDTESGEEKMSADDLALLSNMVDDLNLETSYENDDDDEMEMDDADMVAEGRAIFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNTAGIHFS >LPERR10G03260.1 pep chromosome:Lperr_V1.4:10:3600499:3601106:-1 gene:LPERR10G03260 transcript:LPERR10G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLDAAFFDGLAFQGGGGGVSGGIGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAERSLLDPKSAKRILANMQSAARSKERTIPPLFFTSFVGKQFRKSMFLC >LPERR10G03270.1 pep chromosome:Lperr_V1.4:10:3601909:3610794:1 gene:LPERR10G03270 transcript:LPERR10G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNVDKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKASISATSTQFSGSSLEIDDLEVKLGELEVELTEVNANNEKLQRTYNELVEYNVVLQKLNEVQQNNRGKCQQISLAILLWREMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDEAVTDPQSGEKASKNAFVIFYSGERAKSKIVKICDAFGANRYPFPDDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKSISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQEPPPTFFQTNKFSSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPTYPFGVDPVWHGSRSELPFLNSLKMKLSILLGVAQMNLGIVMSYFNARFFRNALNFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENQLFPGQKLVQLVLLLLALVSVPWMLIPKPLLLKKQHEQRHQGQQYTMLQATDESVTELEEHHADSHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLVLSWGYNNIVILIIGAIIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFAFASIIEEED >LPERR10G03270.2 pep chromosome:Lperr_V1.4:10:3601909:3610794:1 gene:LPERR10G03270 transcript:LPERR10G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNVDKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKASISATSTQFSGSSLEIDDLEVKLGELEVELTEVNANNEKLQRTYNELVEYNVVLQKLNEVQQNNRGKCQQISLAILLWREMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDEAVTDPQSGEKASKNAFVIFYSGERAKSKIVKICDAFGANRYPFPDDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKSISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQEPPPTFFQTNKFSSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPTYPFGVDPVWHGSRSELPFLNSLKMKLSILLGVAQMNLGIVMSYFNARFFRNALNLVLLLLALVSVPWMLIPKPLLLKKQHEQRHQGQQYTMLQATDESVTELEEHHADSHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLVLSWGYNNIVILIIGAIIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFAFASIIEEED >LPERR10G03270.3 pep chromosome:Lperr_V1.4:10:3601909:3610794:1 gene:LPERR10G03270 transcript:LPERR10G03270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDIKRCGEMARKLRFFKEQMSKASISATSTQFSGSSLEIDDLEVKLGELEVELTEVNANNEKLQRTYNELVEYNVVLQKLNEVQQNNRGKCQQISLAILLWREMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDEAVTDPQSGEKASKNAFVIFYSGERAKSKIVKICDAFGANRYPFPDDLGKQLQTIQEVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQEPPPTFFQTNKFSSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPTYPFGVDPVWHGSRSELPFLNSLKMKLSILLGVAQMNLGIVMSYFNARFFRNALNFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENQLFPGQKLVQLVLLLLALVSVPWMLIPKPLLLKKQHEQRHQGQQYTMLQATDESVTELEEHHADSHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLVLSWGYNNIVILIIGAIIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFAFASIIEEED >LPERR10G03280.1 pep chromosome:Lperr_V1.4:10:3622035:3629448:1 gene:LPERR10G03280 transcript:LPERR10G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVIVGSFATCFLLFCFLSPFYRVADAAGAPVLQALNCNSTAGNYTQDSDYAANLGRLLVMLPNETVTKNGGFFNGSVGNGTATVYGLALCPADFTRADCMDCLTAAGNSAGGVVKRCPGVTTVSAMFDQCLLRYSDIRFFGIADTDADADIPYATNGKLLTGSDSTNRVIKPVLPIVTTQAASSPTRFAVSETNPYTMAQCTWDLPSDKCKACLDVLSANISATFPANALGERKTYSCRIRYEFNTSFMVVPFNLTTGTTPLGTPAEPGSSTTKNNGPVMIGLIVAAVVFVVLIAVVIWLCVRHRAIKKVALAGPRSYSYDELYAATNGFSEERKLGQGAFGAVYRGILADESKSFVAVKKMQRMSEAAWKEFVAEITIVTQLKHRNIVDLIGWCEDRNNPLLVYELMDRNLDYHLYPPQYTDEKSVVLDWKKRYNIIVDMANGLQYLHTARNECVLHRDIKPSNVMLDENLTCAKLCDFGLVKQINYDEVGPGRQTTIIGTRSYLDPECMRTSIVRAASDVYSFGLVLLEIACGRQPTMLQHGHRNKNSLVEWVQDSFRQRKSVAEMADERLQGEFDKEQVELVIRVGFLCVLPDPDKRPDMATVLDYLKGRSAVPAAEPYPASPGSIHAANNFESSPASLLV >LPERR10G03290.1 pep chromosome:Lperr_V1.4:10:3639495:3643767:-1 gene:LPERR10G03290 transcript:LPERR10G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTRAISLLSLFLLFIAATSTTTPIDAAGGQPPSPSPATSSTFVRSRCATTRYPDICYDYLLPYASKFKTSHIKLAITACDVAAARLRAFSGRIKDLLQHTGSGAPRVEAALKDCKSTISAAEDLARESSSELGQLDTAAGGGGVSSREARLHVSNVKTWLSAAITNEVTCSDGFEEAGEAAAASPEGKEVVAGVASVMQHTSIALALVNAVAVAEATAPCSTTESASSSAFLRSRCATTRYPDVCYDSLLPYASEFKTSHVKLAVAAADVAAAHLRAFSAKIKDLLLHTGGGREDAALHDCASTISAAANLARRSSAELTRLDAATAEAESSSSTSAGGGGSSRLARWQVSNAKTWLSAAMTNEGTCSDGFDDAGAAATASPAGKEVAAGVAIVTQHTSNALALVNGIPV >LPERR10G03300.1 pep chromosome:Lperr_V1.4:10:3652540:3653178:1 gene:LPERR10G03300 transcript:LPERR10G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTRSTTTFLVFFMAVAAAVVASASAATPCVAPQSAVAFLRARCASTPYHLTCYDALIPYGCAFQTSQVKLARAAADVNAASLKNLKERTKELVSRGVPGEAPGVAAEVRDCGSAASSASGLAKQTAAEVAKLEAMGDAPRGSQARWAVSNAKTWLSAAMTNEASCADGLGSAGAAASPAARDVVAGVVTAKQYTSIALSFVNAIPIAVS >LPERR10G03310.1 pep chromosome:Lperr_V1.4:10:3657248:3660264:1 gene:LPERR10G03310 transcript:LPERR10G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMHVCTMLSLLLILFSYLLQLIRDTRRRLPPGPCPLPLIGSLHQIGHLPHRSFASLAKRHGPLMTVRLGTATCVVASSPDTARAVLQTHNASLAGRGRQDAWGAGGHAENSVFVLPPGRKWRLLRRLGAAHMFSKRRIEQLVPVCDEIVGDLVRRVAELAAADVVVDLLWRAMFTDGLDDDVAMRGELCDVVREATELLGTPNVSDFFPAVAALDLQGIRRRTAKLMEKTYRVIDAQIDRRMRSRGLAGGHGEAKDLLDVLLDMSKEDKEDGTGDLFVGGSDSTSTTIEWAMAELLQNPEIIKETLRLHPIVPLRLYEAEGTVEIDGYTIPKGSKVTVNAWAIHQNIEVWIQPEKFLPERFIGKDIDSLGRHFELIPFGSGRHICIGLPLANRMLHLILGSFMHQFEWAMSETVNENGLDMAEKFGLVVSMATRPNIMARTM >LPERR10G03320.1 pep chromosome:Lperr_V1.4:10:3676701:3680286:1 gene:LPERR10G03320 transcript:LPERR10G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSPPPFPSPPAEFHRRSPTRRHSVAVFAAAGAAAAAAAAVAVLVVVAIIWWRRRRGKGAAGEKADEVGGGGRLQRLSYRKLRRATGGFAAGSKLGQGGFGPVFRGALPPEKGGGGGGAGRPVAVKVMDAAGSLQGEREFHNEIAIASHLLAPGGSPPLAADKADGEKGRESILLPFAYSMSSSSSAAARGDAGRPRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLSRLNSDADADGKPPESGAIAEGCDVNGNVDVGCDDDASVVAESTVTTTVNGEGNGGPKSPEDDDGFTSASPAEAASTSGFDRTSVESGMNSRSGNGGGSRTGTGSDWWWKQDTGGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAAERKKAKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSMMKSWSRRSNGSSGNGTGNSSINWWVNGARSNRDWTSGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVWVAIADIKYEGQPVHYGLWKGFIEVILTWFDIVYVDVEAGLISIIEKKNMF >LPERR10G03330.1 pep chromosome:Lperr_V1.4:10:3683291:3690556:-1 gene:LPERR10G03330 transcript:LPERR10G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKAACEGCGSPSDLYGTSCKHTTLCSSCGKSMALSRARCHVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQLTENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDMKKIEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLGLNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDAEEDDEDDDEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGDDTKASGGAASKKAKVESDTKASGAKDETPSSSKPASKATAASKTATNVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >LPERR10G03340.1 pep chromosome:Lperr_V1.4:10:3723684:3730461:1 gene:LPERR10G03340 transcript:LPERR10G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRREPNHQHPRSFGRTLEEQRMARPSVAAHEGLVGAAPGVLPTMRITGGRDMGSGMMNREQHHQRQDHFLSRTPPNGNGPGLIGRMPRGEQLMHSTTGGRMNHGEQHMGTVAGGRLPHRGLRQQDHSLAKMPQGEHRWQGHRDEKGHTSLKLPNENMHGMFSTTSEKEQHQVTMPTSGLVNMDVRENRGKKIVTEANGLEDGVVGGVGFKEIVDGEVVVEARKFEVSDKTNEVRSIGQDEEADDGNKDDDGTIEQLMETVVIDDNGETKSAVVQINGSRSKNFRLDFSRGYIVSSQNVRFHRRNRPCRYDIDQFTPGFMSIFESLVPSDEEISKQKQLLTTLSRLINKEWPTSKLYLYGSCANSFGFSNSDIDLCLSIDDKDMSKVDIILKLADILHAGNLQNIQALTHARVPIVKLMDPITGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRQLAFIVKHWAKSRRVNETYRGTLSSYAYVIMCIHFLQSRRILPCLQEMEPTYYVTVDNNICAYFDQVEKLNGFGAQRKDSLSRLLWAFFKYWAYEHNYTRDVISIRTGRIISKHMKDWTRRVGNDRHLICIEDPFETSHDLGRVVDRNTISDLRGEFERAAEILQYDPNPIVTLFEPFVPSPQGLNQAEENFEQQ >LPERR10G03350.1 pep chromosome:Lperr_V1.4:10:3732410:3736779:1 gene:LPERR10G03350 transcript:LPERR10G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPALEPEIGPDGIARENPVIAYTEKELENLSLEMKLTAGPKKAALEHLRKKIEISTERIRLAKVKEEQAKKAWETAAQIVKEEEDAKQKLCEDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSGMNAAQHATINSVPQQPAAQNPQNAPSPANNADPASSGLQRPADAEKKRRPSQTGRGRGGVMILPKGRGSSGSGWTGAGFEC >LPERR10G03360.1 pep chromosome:Lperr_V1.4:10:3737883:3744311:-1 gene:LPERR10G03360 transcript:LPERR10G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHALRLHPLLFSAAALPAPATAAASARGRRYSLAVVRCSSAAQALKIKSIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGVLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYIELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPVESILNGIPLEDFGHGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVADKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMEHLRDVDGSAVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRVYIEQFESDASKHDLDAQIALKPLIDLALSISKLKDFTGRDKPTVIT >LPERR10G03370.1 pep chromosome:Lperr_V1.4:10:3748305:3752063:-1 gene:LPERR10G03370 transcript:LPERR10G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVLPVANATAAALARVSAMFNAPLARAVVFGVHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREIDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASSNYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCENKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMVSLANILEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIVTAGMGNALATDGGFCTGSARVVDHQRLSSSGYVFSASLPPYLASAAISAVNHLEENPSVLANLRSNIALLHKELSDITGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSESLKRVAASVL >LPERR10G03380.1 pep chromosome:Lperr_V1.4:10:3769283:3773928:1 gene:LPERR10G03380 transcript:LPERR10G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSISFPNGKDDLMNFEIIARRGRTARRRRQKGPARHGAPAAASGQRAARGHNGVGGPRRGAARPAELGGTFVFTFQVSLILILMNGQVYHPNIDLEGNIGSLLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLREDRDNPKLFEVDVRRAMAGGYVGQHYFPCA >LPERR10G03390.1 pep chromosome:Lperr_V1.4:10:3779846:3785627:1 gene:LPERR10G03390 transcript:LPERR10G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITGAIGNLLPKLAILVISNEYKLRREVRCEVRFLKAELESMQALLEKVSGEPMFQPDKQVRLWVSNVREMSYDIEDIVDAFVCSINTRQFLGKFGIMKRVITTSINMILSADKVHYRVARNIKVIHKLVQEVAERRDRYNVDVAKSNMATTDPRLLAIYEDVTKLVGLDGPIKDLTSLLLDETGKSQQQLQVISIVGVGGLGKTTLANVTYHKLRHQFQCYAFISISSKHTNLKRILISIIRQFMKQDCINRETWDEMELINIIREFIVDKRYLVVLDDVWDASTWAYISCALNENNCSSRIITTTRIIGVAEASCRNVDGTIYKLKPLSCDDSRKLFYRRIFHCEDGCPAELKEVSGKILKKCGGLPLAIITTASLLASKGRRIDEWYSVHTSIGTGLETNPSVENMRRILSISYYDLPLHLRACLLYISIFPAGYIISRNQLILRWISEEFINKHLHEGSLYEQGEKYLCELINRSLIEPESFDTHGRVQTCRVHDIVLDFITLLAAEENFVTMLDDHQQSTLPNKVRRLCLQNCKEEHTRLQLEKMSLSHVRSLIAFHCTSNLMPPLSRCNVLRVLDLESCRDLENRYIKDIGKLVHLRYIGLKDTKITNLPKEVGELHCLQTLDLTRTSISKLPSSIVELKQLMHLYVDMNVRLPNGIGRMISLQELSEVEISLCPSIAEELCNLKELRVLRLSVEGIWDKSYEKPLIDSLQKLNKIQLVSIFVPSCSFDFILQLGYMPSSLRHFFSSTYAISKLPKWINSSMLSSLSTLDIVLETLHQDDMEILGAFPSLRFLRLEVYGATKQTRLVITGNDSTFCDLMEFWIASPAMTIVFCEGAMHKLKNLEIVFSVRKTREAFGNFDFGLENLSGSLSYMTIRLNCKGSHVSEVREAYDAVWCASSLSYNQRCKVEVIRHFEDEMVLDSGENSELHELVDVNNVEILKHKVEVTKIGPWGGNGGSFRDIKVPPRHLDSLMICSGSVIDALGFSYKDADGKQHTTPSWGGLGGISRTIKLGESEVVTEVSGTIGLFHGLPNVITSLSIVTNVRCYGPFGNAMGTPFATPMLGSNNSIVGFYGRSGSYVDAIGVYVCSQF >LPERR10G03400.1 pep chromosome:Lperr_V1.4:10:3793729:3794346:-1 gene:LPERR10G03400 transcript:LPERR10G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSTKPSLIAAAIVVLGLSCSAGVAHGRRHGRSFVSSYDEPCKEMRLYLHDIIYDYSNSTSNSTSAAATKPTALSTAVADPGYFFGEMVVFNDPVTEGTALPPSLNETAAVRAQGMYFYDRKEAPNAWFSFSLVFNSTAHGHGTINLMGADLMSEKTRDISVVGGTGDFFMTRGIATLSTDEASAGLEYFRLKMDIKLYECYV >LPERR10G03410.1 pep chromosome:Lperr_V1.4:10:3802834:3803715:-1 gene:LPERR10G03410 transcript:LPERR10G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLALLLCAGTATAADGDVVSYSYPAFNATTTRDDSLIAATNTTVLTTARLLFDSEFPHDFNVSEGFLLLSDTIDVWPDATGSAGAPAHEASFNTSFTISSRDPPVAFVVLLHRLPPIYGPADDGDGDDGNATNSLVNVRVGTVMSYGLNVTVTPNRTAPSSTTVWVQYNAVVHQLSVYVAVAGEPRPPGALLKMPLYLAGGRTSTQTALVGFFAAAIRDIIVGVRDWELTVERLGGDGGKKGTSWLVILLAVLGSVAASAAVVSVLVCRLMHKRRRARDMESTYGRWSN >LPERR10G03420.1 pep chromosome:Lperr_V1.4:10:3806920:3811446:1 gene:LPERR10G03420 transcript:LPERR10G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHHLPSLRLHRLAMSTAASVSSPPASTSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPPVTAGIPYPSLFHSPTTPRPLTVDSISALLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHLLFEHPDEPGRLVVSHYAPRDHLLEVRSAAPLGGFSALLPAPASAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVNKGAPAPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGFDGLEWVGKANSLSKDHVVWDVIYRTAESVKKHGPAPGESFSVSPWHRNASLSDELYKDLTVQEVVRRRRSAVDMDGVHVMGKDTFYQILLHCLPSGDISSEEWQGLQRAMPFRILPWDAEVHAALFVHRVSGLPKGLYFLVRNDEHFDMLRHAMRQDFEWERPEGCPDGLPLYRLMKGDCQRLAMQISCLQDIASHGCFSLGMIARFDSVLRDKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >LPERR10G03420.2 pep chromosome:Lperr_V1.4:10:3806920:3811447:1 gene:LPERR10G03420 transcript:LPERR10G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHHLPSLRLHRLAMSTAASVSSPPASTSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPPVTAGIPYPSLFHSPTTPRPLTVDSISALLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHLLFEHPDEPGRLVVSHYAPRDHLLEVRSAAPLGGFSALLPAPASAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVNKGAPAPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGFDGLEWVGKANSLSKDHVVWDVIYRTAESVKKHGPAPGESFSVSPWHRNASLSDELYKDLTVQEVVRRRRSAVDMDGVHVMGKDTFYQILLHCLPSGDISSEEWQGLQRAMPFRILPWDAEVHAALFVHRVSGLPKGLYFLVRNDEHFDMLRHAMRQDFEWERPEGCPDGLPLYRLMKGDCQRLAMQISCLQDIASHGCFSLGMIARFDSVLRDKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >LPERR10G03420.3 pep chromosome:Lperr_V1.4:10:3806920:3811446:1 gene:LPERR10G03420 transcript:LPERR10G03420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHHLPSLRLHRLAMSTAASVSSPPASTSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPPVTAGIPYPSLFHSPTTPRPLTVDSISALLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHLLFEHPDEPGRLVVSHYAPRDHLLEVRSAAPLGGFSALLPAPASAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVNKGAPAPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGFDGLEWVGKANSLSKDHVVWDVIYRTAESVKKHGPAPGESFSVSPWHRNASLSDELYKDLTVQEVVRRRRSAVDMDGVHVMGKDTFYQILLHCLPSGDISSEEWQGLQRAMPFRILPWDAEVHAALFVHRVSGLPKGLYFLVRNDEHFDMLRHAMRQDFEWERPEGCPDGLPLYRLMKGDCQRLAMQISCLQDIASHGCFSLGMIARFDSVLRDKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >LPERR10G03430.1 pep chromosome:Lperr_V1.4:10:3831575:3835655:1 gene:LPERR10G03430 transcript:LPERR10G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAMKVATMEEPLLLTAAGDGAEKNAAGAEESLVVTEVKKQLYLAGPLIVGMLLQNVVQMISVMFVGHLGELALASASMATSFAGVTGFSLLSGMASSLDTLCGQAYGAKKHGMLGVYKQRAMLVLAMASVPIAVIWAYTGEILLVVGQDPEIAAGAGCYIRWMIPTLFVYGPLQCHVRFLQTQNVVVPVMLSSGVTAANHVFVCWLLVHRLGLGVKGAALANAVSFLTNLSVLAIYVRVSPSCRRTWTGFSGEAFRDLLGFLRLAVPSALMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAERPQAARLATRVVMVLAFLVGTSEGLVMVLVRNLWGYAYSNEEEVAKYISKMMPILAVSILFDGIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLIITLCTNWDKEAMKAKDRVFSSSLPVDMAT >LPERR10G03440.1 pep chromosome:Lperr_V1.4:10:3838695:3839526:-1 gene:LPERR10G03440 transcript:LPERR10G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIILVVAVVALAAVVAQAAMAQGPAMAPAAMAPPPPPLSTGAGGMPGNLPCVAELAPCANFYQNASVKPAESCCAPLRKAYDGELGCLCSVLTNPALVAAVGIDMKKGISLSSEQFSNVA >LPERR10G03450.1 pep chromosome:Lperr_V1.4:10:3848980:3849613:1 gene:LPERR10G03450 transcript:LPERR10G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVSRFPVFFVVAISMAMVAATSAQNTPQDFVDLHNRARRADGVGPVTWDARVAAFAANYAARRAGDCRLQHSGGPYGENIFWGSSGKAWSAADAVASWVGEKKNYHYNTNTCDAGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDASA >LPERR10G03460.1 pep chromosome:Lperr_V1.4:10:3876761:3880705:1 gene:LPERR10G03460 transcript:LPERR10G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTMLSFFPGGLRLMMVDDNTEAENTPNYPVVATCSTACAGMQALFGDKVVDVQAVLCDMHKVVSSGIDFRRIVETNLRIPVIYLLSMEDTTEDDELEFLDYLLQTSTYIVRKPLNHTMMTHLWRVVAWRKCYLEENMATPTDEDAAEGGGEDGDDVIIVEEPQVHLRAMRCNRNQKRGLDLNDEEDNSDNTYDSADARPRKILEHMNVKGLKRQHVASYLQKYRKKQQKKDNQQDERSPLRSWDPLLLKDLLPMLKAPPLNPLILNAGGAGPSSVVAAALPADGSVATVPFEAPVQQHQQTHLSCNTAINFNSNTAINYNDNASISFSNAASPVPAKEQQQSGGVQLDVDLQQKQQKLSMGPFSYQGPTPPAMENRISLLMDNDEHKSPLIELPFGQPVDDLLIRAGSLMAPPIDASGQIAATEGQGSGAGAVVVASEENAATAAPNIAEPNIVPDLQVAADAAPIASEGDITFTLEEILGLDDDVAMPLEDGGADAAAAAGSEEGGMDNGWDIDLDLDWLNMDNSNDVAFPLDDELAGSD >LPERR10G03470.1 pep chromosome:Lperr_V1.4:10:3894564:3895526:1 gene:LPERR10G03470 transcript:LPERR10G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPAKEGWEDNDEDDTLSKLQLLAQQRHAMEEFWKMRQKEIEESRGNQELILPIENVKNIIHAEEDGMMLSDDTPTFVTKLCELFVQELILRAWVCAQSENRDTILDIDIFKAIATTESFNFLHNVVRRHREQGGTIPDTVASNWKSHKLDQTTTVCHPLQAEQVSNIAGYPPHIPVCPPSGQIGTEPTACPLEFVTQTESLLSGSKGKSPLNEVSIPSSKVSMNNSNATATGCGASSSDVATDAQHQGEHAHPSSVEYAFASLEYNCGVPTSPGHGDTISGSADANIRQLEQEKQNIESLVGSQMDVDLVFPNKDLPL >LPERR10G03480.1 pep chromosome:Lperr_V1.4:10:3900578:3903509:1 gene:LPERR10G03480 transcript:LPERR10G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVAAAAEAATPTTAAAALPPLPFTMPAADTPQPEIPPCLNDLMPCVSAYDNSSMMAPCCDAVAKVFKNDPACICQVFNEARNYTKQLGIDALDSEQQMFARCKISGTSASICNNGLAGHGTPAGESSAGSEAKNSSPHSRLTEVKFPIY >LPERR10G03490.1 pep chromosome:Lperr_V1.4:10:3922377:3923285:1 gene:LPERR10G03490 transcript:LPERR10G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISADLPVFVTKLCELFIQELMLRARVFAQSQNRNILLDIDIVNAIANTESYHFLANVVRHHQLAKLTMSNTTVAKRHWPNKMNLSYHHTQTINVSLLVDCLPFVGISPMALMGAHRTESPTMLMMQEKVLPRDDKAKFTFNGPPVTINKMCRRCDSLRNKKHINANTFVGDNSNATWVSPHLLGGKGDLVSITSACDTKKMNNLRSIDSLNVVIDTSSRDAATVNHQGRTTQPISFKDTCASLEDNYVVSNPVGHDDITGTGDDDDVNQLWNEEKNYGVHFLGEGNFPKSLCMTGLWGEL >LPERR10G03500.1 pep chromosome:Lperr_V1.4:10:3929741:3933123:1 gene:LPERR10G03500 transcript:LPERR10G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISVGEEGHVGSSACRNSDLETSCSAVSRSDMAAECGSGNCDAWAARDPFGILSPYKFDRKTVRSDDVSLRITHCGVCYADVAWTRKLVPGHEIAGVVAKVGADVRNFKVGDHVGVGTYVNSCRDCEKLSRELLLKTHLHFQWYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKREEAVRLLGADNFVISSDENQIESLKSSLHFIIDTASGDHPFDPYLSLLKVGVMALHSFPSEIKVHPANLNLGGRSLSGSVTGATKDIQEMINLCAANKIYPDIEMLKMDYIHEALQRLVDRDVRFRFVIDIENSF >LPERR10G03500.2 pep chromosome:Lperr_V1.4:10:3929741:3933123:1 gene:LPERR10G03500 transcript:LPERR10G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISVGEEGHVGSSACRNSDLETSCSAVSRSDMAAECGSGNCDAWAARDPFGILSPYKFDRKHEIAGVVAKVGADVRNFKVGDHVGVGTYVNSCRDCEKLSRELLLKTHLHFQWYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKREEAVRLLGADNFVISSDENQIESLKSSLHFIIDTASGDHPFDPYLSLLKVGVMALHSFPSEIKVHPANLNLGGRSLSGSVTGATKDIQEMINLCAANKIYPDIEMLKMDYIHEALQRLVDRDVRFRFVIDIENSF >LPERR10G03510.1 pep chromosome:Lperr_V1.4:10:3935169:3939313:-1 gene:LPERR10G03510 transcript:LPERR10G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPKPAGSGALLLWFALLLLLEYLIVIDDVWTIAAWDVIRSKLPDNHLDSRIMVTTRIETVAVGCSDACEIGGDNIYQIEPLSPEDSKKLFLSKAFGSKDAACPKELEDEMDKILKKCGGLPLAIVSIGSLLARYSSPENKDMWDRVCKSISYHMESNPTLEGMRQILTLSYDHLPYHLKRCMMYLSIFPEDYVINKDRLLYRWIAEGLVEEKRGMTLMEVAEAYYEELVSRVWLLRLVMVSKSLEANFVSLKGGQYEGMSYDTIRRLSIHGGGQRSKASASKKKGMKNDLEEINVQHVRSLSIFQINGNKLLDRLDEFTLLRVLDLEDCKGLQYKHMRDICRMYHLRYLSLRGTDISVLPPKVYELEHLQTLDVRATGLAKLPETVIKLEKLERFFFSQNGVWSTMWNPPVGLCRMKALREVGWVLIKDDNVQVAQEVGELEQLQRLSIYVDCYGSNGPEVLETLAVSLSKLYSLLSLDMGDMGYAKELNFLLELPSPPRLLRFLRIAGGIDKLPNWVESLTYLVEFHMSWALLVDDQLFGVLCKLPNLKTIWMQRNCYIGSKLVALTAHNFPALMSLRGTCDQENPKVYRFEKGSMTKLEKLSLNFDNWNDKSIVGIEHLTSLKEVQLTGKRENSTLDKTLKQLKEASEIHPNKFTVGVKYD >LPERR10G03520.1 pep chromosome:Lperr_V1.4:10:3979112:3981667:-1 gene:LPERR10G03520 transcript:LPERR10G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNGEDPSFLPFPILSHATTLPPPPPTFPPHPIPPLSFRHLTPCPRWSSWVAAALRDAAFAPLLRSAGIADAVGASAATVIPDRGALAALLSLWDPSSHAFRLPAGAATFTLEDALLLAGLPPSGAPLDRPLTPEEEDLRVRLVVEKEKIRELHPCARDARGVSAEVWLEWFDSSIRPGEDDELRRLGFLAYWLAFFVTPRLRPRTGELPDCTYALAARLSLGERIALGPAMVANLYADLDRIVASGVMEGVSGRVDTWGPLLLLQVWMWERFDSLRPPQLKAPPFPVSNARVHLWSRRKRTTTQEAAQRIFQDEACFLWRPYQYNSLNWTQPEWFNETTTSVSSRRKNKPNWLEDYSAIITQAVLTGWYGNEVVSSVMYNPQLVARQFGYDQDIMIHGSDSKGIGVLIPSISRHGVASKDYAAWWNDRFEIHQKANQYGCRVTANKENRVSSLPLNAELISVVQMAVDQFREGVKQEKSKGITKGQLTQLGNVAAYNESNVVVLGLGASRNEKMKKVRDKSADLNTKNRKKKKNKVLSNKSSECPQFYDWVPLTVSNTENNSLQLEAQDYSGPQKDLNSCSKRCHELTQLDSDECIVIEPPAKKCEVIDLVDEGEQSVLDPKLQDRQLVLELEEFVHSGLLSQWEESSDEDEKDGRNQETLKDNEHDPFSEAARREYPRFFDFIPQKPHYRGLLKDDEALTDLTYSGLWFLLVDFAKDVLKTSCDTNASEIVCLMKRAHELEQLGFNVKHFVARLKEPQSRLRILQDSIAKLEDAREKEQEAKRLQSLSSHLSKLKHNIQTMEWHLDENNQTSSSSIFSLEKEVEAAEKYCQKMKDEVAALKRKHSNL >LPERR10G03530.1 pep chromosome:Lperr_V1.4:10:3990117:3993873:1 gene:LPERR10G03530 transcript:LPERR10G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLVRRSWAESKLLWRVAFPAVLVEVLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDALGVYVQQSWIVCGATAVALTPAYALAAPILRTLLRQPADVAAAAGPYALWATPRLFAHAANFPLQKFFQTQSRVWALAAISAAALAAHAALTYVAVVRLRYGLRGAAVAGNVSYWLIDAAQFAYLVSGQFPDSWKGFTVAAFSNLAAFVKLSLVSAVMVCLEFWYYAALLILVGLLQNAQLQLDIMSICINYEFWTMMVALGFSEAVSVRVSNELGARRPKDAKFSVAVSSLTSVVIGAIFMSIFFIWRTSLPRLFSDDKEVVDGAARLGYLLAITVLFGNIGPVLSGVAVGAGWQMQVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMTILLFIIMRTKWEAQAILAEKRISELAGQRPTIENR >LPERR10G03540.1 pep chromosome:Lperr_V1.4:10:4012254:4016365:1 gene:LPERR10G03540 transcript:LPERR10G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFTTRRSEPELLRPARPTPRETKSLSDLDDQRTLRYYETVVGFFHRCDHDNGGDITTPAVVDPAKAIRAALAEALVYYYPIAGRLREEEVIDGGTGRLVVDCTGEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGNTRAVVGKPLLLMQVTRLKCGGFVLGFHICHNIADGFGMAQLTMAIADLARGEPAPTIPPVWSRHILTARRLAPPLTPSPSSSSATITAHDNDDTIRHPRRATTATTTTQVDAMLSTPPHRMVVEYFLFGPREVSTLRAQLPARLAESTTAFEILTAVMWRCRTAALGYGADSRVRLMITMNARGRWNDHTPLPLGYYGNAHVSPVAEANAGELLARPLADTVELVRRTKRGMTRERMAAMVDTVARLREWPPSGMDKVYEVSDIKWTAVDVLKFGWAELAGGGIPLAGDLTSKLGSDHMRCWNAAGEVSTVVSMLLPRVAMARFKKEMAVWLNKDDEKSLTIMSSL >LPERR10G03560.1 pep chromosome:Lperr_V1.4:10:4034278:4041120:1 gene:LPERR10G03560 transcript:LPERR10G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQHIIALPYPGRGHINPMLAVCCRHRPRDHRGCHGGVARDSGIRRRATLPDRIGFATVPNVIPSEHGRGDDHVRFIVAVHTDNPTWLRPWSGCSMTGPDAIIADTYVAWGVDVGVRRGIPVCSLWTMAAMFFWTLYNLDLWPPVDDRESEQAKLLVIGAMCACSSDTKVFRAWELPMKIAGVVNVRKAQGVLFTSFYELEPDAINRITETVPFPDEHHRNRLDAQQEKSVLYVSFGSHVSLPPSQLEELTIGLRDSGVKLFWVGRDKVEFLQQQIAHDMGLVVPWCEQLKVLCHPSIGGFMSHCGWNSMLDAAAAGVPLLAFPVKWDQLVDGHIMEDEWKIGINLREQRSEDGIVSRTTKADGSE >LPERR10G03570.1 pep chromosome:Lperr_V1.4:10:4043008:4046497:-1 gene:LPERR10G03570 transcript:LPERR10G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSVKMEWGPAVCMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFIGSVLVLPLAIILERGKLKELKLKAFIWIFTSALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKEPLNMRSMVGIIKVIGTLVCVGGTLVISLYKGKVLHLWPTNIIGYHPNKAGTAFGHHHIRGTILLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAIIGVAMNREKATWQLKWNMSLLTIIYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTILDSLLLGHDLSVGSILGMLLILAGLYLFLWGKRKELVRESKEKPNEEVQCQTADKTSELPSNV >LPERR10G03580.1 pep chromosome:Lperr_V1.4:10:4119118:4125726:1 gene:LPERR10G03580 transcript:LPERR10G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGYYAVSSWPRLTHLPPWPCASHAQRRRVLRLLPPPPPRRRLASAVRVVAEAAGPALALDRVAGLEGGVRFSGDVEGVPDLQQRRREQQQQEEEAVDERERLRRMRISKANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDIIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKIQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSSSGTKVPRKPRSPREPGVKRETTKKKPHQSRLARLEDAHGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEVEKKEAIKRARSLIAEAEKAANALESVAATSPFAQASLIEARKLVTEARLSLERVDDESPSDSASDDTSQDSSVPALPNYGIENQNDVIKQESKPVNGMELPASNVNGMDFYFDVSTLSETEHLRDYERIENSMERAYLLPSASSSLQDVNGNHRMKDFNAHPLITDQSTAPDQITSEATENCPDQTQEDATLPVQQSKMRWVRGRLVEVEE >LPERR10G03580.2 pep chromosome:Lperr_V1.4:10:4119118:4125726:1 gene:LPERR10G03580 transcript:LPERR10G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGYYAVSSWPRLTHLPPWPCASHAQRRRVLRLLPPPPPRRRLASAVRVVAEAAGPALALDRVAGLEGGVRFSGDVEGVPDLQQRRREQQQQEEEAVDERERLRRMRISKANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDIIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKIQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSSSGTKVPRKPRSPREPGVKRETTKKKPHQSRLARLEDAHGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEVEKKEAIKRARSLIAEAEKAANALESVAATSPFAQASLIEARKLVTEARLSLERVDDESPSDSASDDTSQDSSVPALPNYGIENQNDVIKQESKPVNGMELPASNVNGMDFYFDVSTLSETEHLRDYERIENSMERAYLLPSASSSLQDVNGNHRMKDFNAHPLITDQSTAPDQITSEATENCPDQTQEDATLPVQQSKMRWVRGRLVEVEE >LPERR10G03590.1 pep chromosome:Lperr_V1.4:10:4140618:4142133:1 gene:LPERR10G03590 transcript:LPERR10G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIHGIVRKMPAILGLSEEKLRIKLEFLSTILNCPMDKICDIIFRTPTVLGLSEDKIRSKMDLLSSILGCPMDKLCSAVCKCPHILGLSETKLHSKIEYMVTKFGLENGYILDRPVLLTLSLEKRF >LPERR10G03600.1 pep chromosome:Lperr_V1.4:10:4141136:4151756:-1 gene:LPERR10G03600 transcript:LPERR10G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGAGTTATAPAGWKAPASMVLVQLFITGMVLLSKVSIGGGMFIFTLLAYRSVFGAVFILPFALIFERGKWRDMDWPAFGWIFFNAFIGYAVPMSLYYYGLKDTTPSYAVIFINIIPLFTFILSLMFRLETFRIGSMAGGLKIASVLLSVGGTMLVSLYKGKSLHIWNSILRHQNEESTKSATNQLRGTILLVVSSFTFACWYLVQSKILKVYPYKYWSSMTTCVVGGFQTAFVGILLTRDKSAWKLGWNFDLITIVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGRTTVWSIEHHCYFVGVTGTGDTRYQSRCQGLELRRHFPSMYCGIGT >LPERR10G03600.2 pep chromosome:Lperr_V1.4:10:4141396:4151756:-1 gene:LPERR10G03600 transcript:LPERR10G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGAGTTATAPAGWKAPASMVLVQLFITGMVLLSKVSIGGGMFIFTLLAYRSVFGAVFILPFALIFERGKWRDMDWPAFGWIFFNAFIGYAVPMSLYYYGLKDTTPSYAVIFINIIPLFTFILSLMFRLETFRIGSMAGGLKIASVLLSVGGTMLVSLYKGKSLHIWNSILRHQNEESTKSATNQLRGTILLVVSSFTFACWYLVQSKILKVYPYKYWSSMTTCVVGGFQTAFVGILLTRDKSAWKLGWNFDLITIVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGRKTVVGATLHEQEGRKGTSGSQ >LPERR10G03620.1 pep chromosome:Lperr_V1.4:10:4174212:4184499:-1 gene:LPERR10G03620 transcript:LPERR10G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGAGTNKAAAGWKAPASMVLVQLFQAGMIMLSKFSIGRGMFIFDLLSYRSIFGAVFILPFALIFERGKWRDMDWRATGWIFFNAFIGYVALNSVYRYAIPMSLYYYGLKDTTPSYASIFVNIIPLCTFILSLVFRLESFKIGSMVGVVKIVGVLLSVGGTMLISLYKGKSLHLWDAVLHHQKEQQAMLPNNQLRGTIFLVGSSFTFACWYLVQSRVLKVYPYKYWSSMVTCLVGGFQTAFVGIILRRDSNAWKIGWDLNLVTIVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLQVIFTIAMDSIFLGNDLTNRLPPHGSLHAAPPVLPGRRSPGHRIRGHHLPSREAAAACPLEPPP >LPERR10G03630.1 pep chromosome:Lperr_V1.4:10:4199755:4200264:-1 gene:LPERR10G03630 transcript:LPERR10G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSRWLLTFSTKRVEQIVQRADSFGVRRNSSRFKYMVTTASSINEVLASARMNFLSSTLNCSMDKIHGIVRKMPAILGLSEEKLRIKLEFLSTILNCPMDKICDIVCKTPTVLGLSEDKFRSKIDLLSSILGCRMDKLCSAVYMCSKILALSETKLRSKIEYLVTKF >LPERR10G03650.1 pep chromosome:Lperr_V1.4:10:4225754:4249187:-1 gene:LPERR10G03650 transcript:LPERR10G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRRCGRSASAIEKQKDFRSYINPKVGMRLVNTFEECFEKLRGEEHPQDKLAALTVSRSVVSLASYQGRTRVFACTGTIIKRDASTMSILTSASLVRCSNDENKLANKLKIKILLPNGKSVEGKLWKYDLHYNIAVVNIKDFPDLCPAFLYSHIGAGKVVAIGRVFESGELMTTTGILRYNNSNLDCQELMISTCKITKAGIGGPLISTGGNFIGMNFYYTSEVFETPRAIWFHELILEKAGDTSEQVEAIPLEMVCT >LPERR10G03650.2 pep chromosome:Lperr_V1.4:10:4225754:4249187:-1 gene:LPERR10G03650 transcript:LPERR10G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRRCGRSASAIEKQKDFRSYINPKVGMRLVNTFEECFEKLRGEEHPQDKLAALTVSRSVVSLASYQGRTRVFACTGTIIKRDASTMSILTSASLVRCSNDENKLANKLKIKILLPNGKSVEGKLWKYDLHYNIAVVNIKDFPDLCPAFLYSHIGAGKVVAIGRVFESGELMTTTGILRYNNSNLDCQELMISTCKITKAGIGGPLISTGGNFIGMNFYVKEGTPFLPGSILLKCLRHLELFGLAGDQKTCGKHQFHELILEKAGDTSEQVEAIPLEMVCT >LPERR10G03660.1 pep chromosome:Lperr_V1.4:10:4250411:4262566:-1 gene:LPERR10G03660 transcript:LPERR10G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRLATDSDVLRNGDTAPRARFVTFVYKRFGKRFLQSERSDLEKDCVKAKEAVLSISKSIVCLASSIDGSPLFACTGIVVDHVGSQTWIVTTANLVRKPHNNYEVYEGADIKIEVILYNKKVIDGCLSLYNQQYNIAVVTIQPHMDLPMVPLNDILDYYSLLPRPVVAVCRDLESRALEMRGGEMIRKTSNLDCNELVICTCFITQDFGGGPVMDLDIGIIGIALFDDEDATPVLPIEIIFEVLPENCGGIEAGDIISELDGVTLSSVAQFTAILLDKVEIASNLQKTVILKMDTAVKAALLVSSSTIGTQGRWNRTKYDYNCMI >LPERR10G03660.2 pep chromosome:Lperr_V1.4:10:4250411:4262926:-1 gene:LPERR10G03660 transcript:LPERR10G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWWTEIGDSAHRPRPEHRSNWDPTRGGLPPTALVDRSFSSPLATSPGPPPACTDLRLIVLLPPHPRRARFVTFVYKRFGKRFLQSERSDLEKDCVKAKEAVLSISKSIVCLASSIDGSPLFACTGIVVDHVGSQTWIVTTANLVRKPHNNYEVYEGADIKIEVILYNKKVIDGCLSLYNQQYNIAVVTIQPHMDLPMVPLNDILDYYSLLPRPVVAVCRDLESRALEMRGGEMIRKTSNLDCNELVICTCFITQDFGGGPVMDLDIGIIGIALFDDEDATPVLPIEIIFEVLPENCGGIEAGDIISELDGVTLSSVAQFTAILLDKVEIASNLQKTVILKMDTAVKAALLVSSSTIGTQGRWNRTKYDYNCMI >LPERR10G03670.1 pep chromosome:Lperr_V1.4:10:4264058:4267533:-1 gene:LPERR10G03670 transcript:LPERR10G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSVYIHAPLVAVAAILLSLTALADEFDNNREALLCLKTHLSSLNGAALVTWNKTSLDFCTWHGVTCSSSGQTQTRVVVALDMEAEGLTGEIPPCISNLSSLARIHLPNNGLSGGITQELGRLARLQYLNLSFNAISGEIPPGIGTLRNLSSLDLASNNLHGKIPPLLGSSPVLESISLADNFLTGEIPLFLANGSSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVILFSSQITYLDLTSNSLSGDIPPSLGNLSSLTALLAAQNQLQGSVPDFSKLSALQFLDLSYNNLSGTVHPSIYNLSLISFLGLANNNLEGTLPPDMGNTLPNIQVLMMTNNHFVGEIPRSLANVSSMQFLYLADNSLRGVIPSFSLMADLQVVMLYSNQLEAGDWTFLSSMKNCSKLLKLNFGQNNLRGDLPRSVADLPKTLTSLVLQSNYISGTIPLEIENLSSISLLYLDKNLLTGSIPYTLGKLNNLVVLSLSQNKLSGEIPQSIGNLNQLTELYLSENRLSGRIPTTLARCKELLALNLSCNALTGSINGDIFGKLNQLSWLLDLSHNKFTNSVPLELGSLINLASLNISHNKLTGKIPSTLGACVRLESLRIGSNLLEGSIPQSLANLRGTKVLDFSENNLSGVIPNFFGTFASLQYLNMSYNNFEGPIPIGGIFANRDKFFVQGNSHMCTNVPMEDLTVCSASVSKRKHNFIIPMLAVLSSIVALSSVLGIYFLIGNFFLKRKWKLNDHVDHSYMKLKKLTYSDLSKATNCFSSANIVGAGHFGTVYRGRIDVEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGNEFKALVFEYMANGNLQTRLHTETYRFENLSLGARISIAVDIASALEYLHNQCIPPVVHCDLKPSNVLFNSDDVACVCDFGLARSICVYSSGTQNISKSMVGPKGSIGYIAPEYGMGSQISTEGDVYSYGIIILEMLTGRHPTDEMFVDGFTLRKYVNASLSKIKDILDPRLIPEMVEQPCNQTHILCEHKTSIMDICALQLLKLGLLCSDESPKHRPLIHDVYSEAMSIKEAYFAMRI >LPERR10G03680.1 pep chromosome:Lperr_V1.4:10:4292081:4293146:1 gene:LPERR10G03680 transcript:LPERR10G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASAEGKDTIGAKIRTMDYFTRQDHFIWIPFKDVFNFYQLDGLDVSMLTVWVISLIVYVIKMQRAIKNGNKDIGFMDPRQINTLMVQHQGKNVEDNIVHFLVQHHFRKWIFLPYNHSMTHSTLLVFDSMDKEPKFFTEINEIIDRNIVDKQEIGTNLCAYFVCDYLHNLTPAHVFHDFRYMDLTGKKPRGDMIRAVQEQLIGIINE >LPERR10G03690.1 pep chromosome:Lperr_V1.4:10:4316202:4317393:1 gene:LPERR10G03690 transcript:LPERR10G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSAYPNPAQGYYQGPPASAAAGQDNTAAGDGGGGGKPVASKKDPPGFMGNLLACLPCAGPAQAKNDAS >LPERR10G03700.1 pep chromosome:Lperr_V1.4:10:4326183:4326779:1 gene:LPERR10G03700 transcript:LPERR10G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALAFILLAVPATCQPATSTPAPAPAAGRDDEAFVRGCCARTLYPRVCTASLAPYAAAVNSSNARLAVASANLTFDTITSLGGRIPSSSTAVSSSTGALQDCVEAVASAAGLAARAAERLGGVERAVGPEVAWRVSDARTWLSAAMTYEDTCADALRPARSVPSPVRAELRAGVRRAMQHTSIALALVHMLVRTGA >LPERR10G03710.1 pep chromosome:Lperr_V1.4:10:4335203:4336192:-1 gene:LPERR10G03710 transcript:LPERR10G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVWRGHGTTTTTINITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDLSPAIGALVAAFAAYCLLTTFFFTILDIVPRGAINSNGNTRRKYMVPSGPFLLPIVLLALAKGQRINAVFPVSRLGPALLLTLQASALAFRNEADGDLRYAVLEASTVSGILHAALYLDAAVLPFYTGTDALRWSRFSGECATCLCRMDPLVVGGNTVAYRGLSKTALAIIFALCSRMVCRIYGEERVSAWTRSALEVASWVFVAGDAVYLAGWAFAAAAEEEEGGVVIVVAAAAYALVAGLVFLCIFGKVYKFLAWVETRQVPWKPSVSCHNVV >LPERR10G03720.1 pep chromosome:Lperr_V1.4:10:4380226:4383769:-1 gene:LPERR10G03720 transcript:LPERR10G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQRREAAARRRTEQQGREQRQHHHHHHHHHHRRRPPPLHPRDERCVSCTTFNILAPIYKRMDSSENCRESQYRAYWFSRNEKIIDRLLADRSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFRVLNYRELLFNDFGDRVAQLLHVESATPFWQNRSSSCVQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQNIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKLRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLRADSPDDHITYSSFCQALCQLGMVHPDRLNSEEIEDLWSEADHDGDGVVDYKEFQRCIWSPTCCSQEEDDDTEIDISDGSIVTCEANDEAFGFTVKEAVLFPPEVEKGTWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >LPERR10G03730.1 pep chromosome:Lperr_V1.4:10:4394588:4395841:-1 gene:LPERR10G03730 transcript:LPERR10G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELIIIFQKFYAEDGFQES >LPERR10G03740.1 pep chromosome:Lperr_V1.4:10:4402669:4404615:-1 gene:LPERR10G03740 transcript:LPERR10G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEYGSGNCDAWAARDPCGILSPYKFDRSSYELSVTWFITRTVQSDDVSSRITHCGDYADVAWTRNILNNSMYPLVPGCWNIRENCNSSLENYCSKHVFTFNGVNTDGTVTKGGYSIHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKREEAVGLLGADNFVISSDENQMESLKSSLHFIIDTASGDHPFDPYLSLLKVGGVMALLSFPSEIKVHPANLNLGNTSFS >LPERR10G03750.1 pep chromosome:Lperr_V1.4:10:4413750:4415010:-1 gene:LPERR10G03750 transcript:LPERR10G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPANEGMEGHEEESTFSRLLLLQQQQRAMLKFWMWSQEQIDLGTDNWKLTLPTSRLRNVIRARANGMLISADLPVFVTKLCELFIQELTLRAWVFAQSQNRNILLDIDIVNAIVNTESYHFLADVVRRHQVAKLTMSNTTVAKRHRPNKMNLSYHHTQTINVSPLVDYLPFVGISPMALMGGKGDMVSITSACDMKKMNNLRSMDSLNVVIDTTSRDAATVNHQGRTTQPISFKDTCASLEDNYVVSDPVGHDDITDTGDDDDVNQLWNEEKNYGVHFLGEGNFSKESLLDRSLGGTMKDDALLFSAKDFPLICYTHEEYNSEKDIRDDICSNSSNNAKKEPNKEQTE >LPERR10G03760.1 pep chromosome:Lperr_V1.4:10:4428239:4436097:1 gene:LPERR10G03760 transcript:LPERR10G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAPSAPPPPFLLRQVSFPAAVPAPAPALDLDILCCTLSRRHRLACIPQSPSDALVVYQRCQSCSAEEAVAEIAAAFEGAEVGEEEEVVCSGSLVAKAVECGLRCLMLDHGWRCLGESMYVLSTFAATEERTDLCAVNVEVKLGRNDDIEFSVSPDAFQFTTHKMEAFENGKEVILDDGNFQTACTTLPTLQEGNVIGFRKTLPTGQCVDKFMQLSSLKHGLEANYNYYVAVRFGYGSSLEIWLPDSFVLQGSGLQPAPKSSRASRAMSALQSFVGLLNAWNFFGQNQLVIKEKLLLNSTSSLPTWNKAITNARTNSSEDTGLVANFVMNEQSLTLDFRTPKPAVLCSTSVKLWNTKAQVTDLSFDNDDTGNGKDSIKYDCQPQPIVRTNLYKSQVTLLKPSFRREHSDADNSDKLRLSLTNSALVSGSHKRKHAEALVTNFQKVTSKDYIPQVLDERRAMPGVKNGVLSLKLMKPTSKCVVSNDEKTVPAKTKTKQKVRKDELTAETNTEDVKDELTKKVVDRQKDELPKKVKKAKGRVVINSIELNSMNSKTNPDSSNDDIVRKVADYHKRGELRLLTVADLKCFLSARKVKTVKPKSTERLT >LPERR10G03760.2 pep chromosome:Lperr_V1.4:10:4428239:4435329:1 gene:LPERR10G03760 transcript:LPERR10G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAPSAPPPPFLLRQVSFPAAVPAPAPALDLDILCCTLSRRHRLACIPQSPSDALVVYQRCQSCSAEEAVAEIAAAFEGAEVGEEEEVVCSGSLVAKAVECGLRCLMLDHGWRCLGESMYVLSTFAATEERTDLCAVNVEVKLGRNDDIEFSVSPDAFQFTTHKMEAFENGKEVILDDGNFQTACTTLPTLQEGNVIGFRKTLPTGQCVDKFMQLSSLKHGLEANYNYYVAVRFGYGSSLEIWLPDSFVLQGSGLQPAPKSSRASRAMSALQSFVGLLNAWNFFGQNQLVIKEKLLLNSTSSLPTWNKAITNARTNSSEDTGLVANFVMNEQSLTLDFRTPKPAVLCSTSVKLWNTKAQVTDLSFDNDDTGNGKDSIKYDCQPQPIVRTNLYKSQVTLLKPSFRREHSDADNSDKLRLSLTNSALVSGSHKRKHAEALVTNFQKVTSKGLLTKGKFGCKQEDIKDYIPQVLDERRAMPGVKNGVLSLKLMKPTSKCVVSNDEKTVPAKTKTKQKVRKDELTAETNTEDVKDELTKKVVDRQKDELPKKVKKAKGRVVINSIELNSMNSKTNPDSSNDDIVRKVADYHKRGELRLLTVADLKCFLSARKVKVGGTKEILIKRVAELLA >LPERR10G03760.3 pep chromosome:Lperr_V1.4:10:4428239:4436097:1 gene:LPERR10G03760 transcript:LPERR10G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAPSAPPPPFLLRQVSFPAAVPAPAPALDLDILCCTLSRRHRLACIPQSPSDALVVYQRCQSCSAEEAVAEIAAAFEGAEVGEEEEVVCSGSLVAKAVECGLRCLMLDHGWRCLGESMYVLSTFAATEERTDLCAVNVEVKLGRNDDIEFSVSPDAFQFTTHKMEAFENGKEVILDDGNFQTACTTLPTLQEGNVIGFRKTLPTGQCVDKFMQLSSLKHGLEANYNYYVAVRFGYGSSLEIWLPDSFVLQGSGLQPAPKSSRASRAMSALQSFEKLLLNSTSSLPTWNKAITNARTNSSEDTGLVANFVMNEQSLTLDFRTPKPAVLCSTSVKLWNTKAQVTDLSFDNDDTGNGKDSIKYDCQPQPIVRTNLYKSQVTLLKPSFRREHSDADNSDKLRLSLTNSALVSGSHKRKHAEALVTNFQKVTSKDYIPQVLDERRAMPGVKNGVLSLKLMKPTSKCVVSNDEKTVPAKTKTKQKVRKDELTAETNTEDVKDELTKKVVDRQKDELPKKVKKAKGRVVINSIELNSMNSKTNPDSSNDDIVRKVADYHKRGELRLLTVADLKCFLSARKVKTVKPKSTERLT >LPERR10G03760.4 pep chromosome:Lperr_V1.4:10:4428239:4435329:1 gene:LPERR10G03760 transcript:LPERR10G03760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAPSAPPPPFLLRQVSFPAAVPAPAPALDLDILCCTLSRRHRLACIPQSPSDALVVYQRCQSCSAEEAVAEIAAAFEGAEVGEEEEVVCSGSLVAKAVECGLRCLMLDHGWRCLGESMYVLSTFAATEERTDLCAVNVEVKLGRNDDIEFSVSPDAFQFTTHKMEAFENGKEVILDDGNFQTACTTLPTLQEGNVIGFRKTLPTGQCVDKFMQLSSLKHGLEANYNYYVAVRFGYGSSLEIWLPDSFVLQGSGLQPAPKSSRASRAMSALQSFEKLLLNSTSSLPTWNKAITNARTNSSEDTGLVANFVMNEQSLTLDFRTPKPAVLCSTSVKLWNTKAQVTDLSFDNDDTGNGKDSIKYDCQPQPIVRTNLYKSQVTLLKPSFRREHSDADNSDKLRLSLTNSALVSGSHKRKHAEALVTNFQKVTSKGLLTKGKFGCKQEDIKDYIPQVLDERRAMPGVKNGVLSLKLMKPTSKCVVSNDEKTVPAKTKTKQKVRKDELTAETNTEDVKDELTKKVVDRQKDELPKKVKKAKGRVVINSIELNSMNSKTNPDSSNDDIVRKVADYHKRGELRLLTVADLKCFLSARKVKVGGTKEILIKRVAELLA >LPERR10G03770.1 pep chromosome:Lperr_V1.4:10:4438684:4442618:-1 gene:LPERR10G03770 transcript:LPERR10G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGGHRRRSNGHGRHHHHHHSQAPAPPPPSQQQQQQQQPEAAAPNRYVFAAASPYPPQYPNPNPPPPQYYPQYGNFYPPPPPPSMPGPLPAPYDHHHRGGGGPVQQPPPPPIHAAGEFPPVMLQQQHPQYHGWGGSFSYGPPPPASATPPYVEHQKAVTIRNDVNLKKETLRIEPDDECPGRFLVAFTFDATVAGSMTIYFFAKEELNCNLMATKEELLKPVTVTFNEGLGQKFRQPSGTGIDFSLFEDAELFKEGDMDVYPLAVKAETELSTGQFSKGEEHKSQTPNSQITQAVFERKEHGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDSEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQLQTPQSPSAPSTAPPQQLQEAQA >LPERR10G03780.1 pep chromosome:Lperr_V1.4:10:4449949:4457033:-1 gene:LPERR10G03780 transcript:LPERR10G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRLQAAGRHSSESAGTSYIITPQQEVTDMMEANNGQARPGTTNGYGSRGDDGVCHDDSATPVRANTVDELHSLQRKPTAALSSSQLEERHKQQLQSISASLASTTCGIGPKLVKGDPARKKEMVGKALPHHQHHITVPTISVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTADDLWWGKGSPNIEMDEHTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDISLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRAGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMKKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLATGYKRTEVFGLDIPTKVEGVPSELFDPINTWEDKDSYKLTLFKLADLFKRNFKVFTNHKNGGVSDLADEIAAAGPNF >LPERR10G03780.2 pep chromosome:Lperr_V1.4:10:4449949:4456730:-1 gene:LPERR10G03780 transcript:LPERR10G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNGQARPGTTNGYGSRGDDGVCHDDSATPVRANTVDELHSLQRKPTAALSSSQLEERHKQQLQSISASLASTTCGIGPKLVKGDPARKKEMVGKALPHHQHHITVPTISVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTADDLWWGKGSPNIEMDEHTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDISLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRAGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMKKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLATGYKRTEVFGLDIPTKVEGVPSELFDPINTWEDKDSYKLTLFKLADLFKRNFKVFTNHKNGGVSDLADEIAAAGPNF >LPERR10G03790.1 pep chromosome:Lperr_V1.4:10:4454845:4455033:1 gene:LPERR10G03790 transcript:LPERR10G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYGGRGDDGVCHDDSATPVRANTVDELHSRQRKPTGASHFEENFMVNYGYIEDEDEILEA >LPERR10G03800.1 pep chromosome:Lperr_V1.4:10:4471614:4473395:1 gene:LPERR10G03800 transcript:LPERR10G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGSCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAASGSAAAS >LPERR10G03810.1 pep chromosome:Lperr_V1.4:10:4476041:4478524:-1 gene:LPERR10G03810 transcript:LPERR10G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPSNAILATDDDEPSVVVDVDIPALLPPIPPQQRRLLLVVTPTRARPMQAYYLRRLAHTLRLAPSPLLWLVVDHSAAATRDTAELLRGCGVMYRHLSSAPSSPAVPDDTPQDPRPWRRGGRRQERPPVDADGGRARQRNAALDHIEHHRLHGIVYFADEENVYSLDLLHHLRDIRNFGTWPVATLSPGKSKTILEGPVCNGSRVVGWHTRDRSKIRRRFHVHMSGFAFNSTMLWDAKNRGHQSWNYIRQLDTAKEGFQETTFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKDDMHLNGWKTAQNLDVIIPLEKEAKHMI >LPERR10G03820.1 pep chromosome:Lperr_V1.4:10:4484540:4495298:1 gene:LPERR10G03820 transcript:LPERR10G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASGVGDHVAVDVEAGEEGRRRAAVEEADLLWAAFERLPSSKRWSHAVVLPDPDGGGGGGQVVDVRRLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHIGRRALPTLVNYVHDIAERILISSRLLHPDKDKLVILDDASGVIKPGRMTLLLGPPASGKSTLLLALAGKLDSQLKKSGKVTYNGMDLDKFCIQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKEKGIRPSPEIDAFMKTASVARQKHNLVTDYVLRVLGLDICADTPVGSEMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMQATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHTFISAAEMAAAFKESQYGRYLESTLSSSSGNNDSPEVLPRSKYAVTKFSLVSACFARELILISRNSFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLSCLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIASTFGSAVLLAVFLLGGFIVPKGAIKPWWDWAYWISPLMYAQRAISVNEFSASRWSKVPVSGNMTVGANILISHSLPTEDRWFWIGVGVLLAYSILFNILFTLALAFLNPLRKPQAMVPSDDGDRRDVQISGTVSNKNSIGEKYENNQGLEGQTDCKSKKGMILPFQPLTMTFHDVNYYVNMPKEMEAKGVHEKRLQLLSGVSGIFRPRVLTALVGSSGSGKTTLVDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQLRYALVGKQGFTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVHSVDMISYFQGIPGVLHIPEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRKVEDLIEELSIPASGTEPLKFSSEFSQNRLTQFIVCLRKQSLVYWRSPEYNVVRLFFTSVAALIFGSIFWNVGMKRESTEDILLIMGSLYSACLFLGVNNASSVQPVVSVERTVYYRERAAKMYSSFPYAAAQGLVEIPYIAVQTLIFGLVTYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIAGPGFDGTVQEFLQQNLGFEQGMTGATVGVLIAFSLLFFSIYSLSIKMINFQRR >LPERR10G03820.2 pep chromosome:Lperr_V1.4:10:4484540:4495298:1 gene:LPERR10G03820 transcript:LPERR10G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASGVGDHVAVDVEAGEEGRRRAAVEEADLLWAAFERLPSSKRWSHAVVLPDPDGGGGGGQVVDVRRLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHIGRRALPTLVNYVHDIAERILISSRLLHPDKDKLVILDDASGVIKPGRMTLLLGPPASGKSTLLLALAGKLDSQLKKSGKVTYNGMDLDKFCIQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKEKGIRPSPEIDAFMKTASVARQKHNLVTDYVLRVLGLDICADTPVGSEMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMQATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHTFISAAEMAAAFKESQYGRYLESTLSSSSGNNDSPEVLPRSKYAVTKFSLVSACFARELILISRNSFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLSCLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIASTFGSAVLLAVFLLGGFIVPKGAIKPWWDWAYWISPLMYAQRAISVNEFSASRWSKVPVSGNMTVGANILISHSLPTEDRWFWIGVGVLLAYSILFNILFTLALAFLNPLRKPQAMVPSDDGDRRDVQISGTVSNKNSIGEKYENNQGLEGQTDCKSKKGMILPFQPLTMTFHDVNYYVNMPKEMEAKGVHEKRLQLLSGVSGIFRPRVLTALVGSSGSGKTTLVDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQLRYALVGKQGFTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVHSVDMISYFQGIPGVLHIPEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRKVEDLIEELSIPASGTEPLKFSSEFSQNRLTQFIVCLRKQSLVYWRSPEYNVVRLFFTSVAALIFGSIFWNVGMKRESTEDILLIMGSLYSACLFLGVNNASSVQPVVSVERTVYYRERAAKMYSSFPYAAAQGLVEIPYIAVQTLIFGLVTYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIAGPGFDGTVQEFLQQNLGFEQGMTGATVGVLIAFSLLFFSIYSLSIKMINFQRR >LPERR10G03820.3 pep chromosome:Lperr_V1.4:10:4484540:4495298:1 gene:LPERR10G03820 transcript:LPERR10G03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVGIITSTLFLRTRLHPVDEQNGNLYLSCLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIASTFGSAVLLAVFLLGGFIVPKGAIKPWWDWAYWISPLMYAQRAISVNEFSASRWSKVPVSGNMTVGANILISHSLPTEDRWFWIGVGVLLAYSILFNILFTLALAFLNPLRKPQAMVPSDDGDRRDVQISGTVSNKNSIGEKYENNQGLEGQTDCKSKKGMILPFQPLTMTFHDVNYYVNMPKEMEAKGVHEKRLQLLSGVSGIFRPRVLTALVGSSGSGKTTLVDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQLRYALVGKQGFTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVHSVDMISYFQGIPGVLHIPEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRKVEDLIEELSIPASGTEPLKFSSEFSQNRLTQFIVCLRKQSLVYWRSPEYNVVRLFFTSVAALIFGSIFWNVGMKRESTEDILLIMGSLYSACLFLGVNNASSVQPVVSVERTVYYRERAAKMYSSFPYAAAQGLVEIPYIAVQTLIFGLVTYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVVSSAFYSLWNLLSGFLIPQSRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIAGPGFDGTVQEFLQQNLGFEQGMTGATVGVLIAFSLLFFSIYSLSIKMINFQRR >LPERR10G03820.4 pep chromosome:Lperr_V1.4:10:4487950:4495298:1 gene:LPERR10G03820 transcript:LPERR10G03820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVGIITSTLFLRTRLHPVDEQNGNLYLSCLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIASTFGSAVLLAVFLLGGFIVPKGAIKPWWDWAYWISPLMYAQRAISVNEFSASRWSKVPVSGNMTVGANILISHSLPTEDRWFWIGVGVLLAYSILFNILFTLALAFLNPLRKPQAMVPSDDGDRRDVQISGTVSNKNSIGEKYENNQGLEGQTDCKSKKGMILPFQPLTMTFHDVNYYVNMPKEMEAKGVHEKRLQLLSGVSGIFRPRVLTALVGSSGSGKTTLVDVLAGRKTGGYIEGDMRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQLRYALVGKQGFTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVHSVDMISYFQGIPGVLHIPEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRKVEDLIEELSIPASGTEPLKFSSEFSQNRLTQFIVCLRKQSLVYWRSPEYNVVRLFFTSVAALIFGSIFWNVGMKRESTEDILLIMGSLYSACLFLGVNNASSVQPVVSVERTVYYRERAAKMYSSFPYAAAQGLVEIPYIAVQTLIFGLVTYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIAGPGFDGTVQEFLQQNLGFEQGMTGATVGVLIAFSLLFFSIYSLSIKMINFQRR >LPERR10G03830.1 pep chromosome:Lperr_V1.4:10:4500779:4501806:-1 gene:LPERR10G03830 transcript:LPERR10G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSPNSLFSQASQQQDKTKKKDSAKVAVACATESPNKQATNSVFAATDEFGNFTIHLPSRLHATPNLENACVVNVLQLLPDSACSLRHRPAASYRLRPSSAAAADGVRGYTAGVIRLQHRGTPSGKCVQAYENNG >LPERR10G03840.1 pep chromosome:Lperr_V1.4:10:4505467:4505691:-1 gene:LPERR10G03840 transcript:LPERR10G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAAVVVVAAETAAAMPMELYFTPGELARIAGYGEEPISMVVVSGQVVCELSLRPGSDLLTFELPGLQ >LPERR10G03850.1 pep chromosome:Lperr_V1.4:10:4516532:4519396:1 gene:LPERR10G03850 transcript:LPERR10G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHASQLLLLAVVFTCFHCHLAAHHGAKHAQPPSSVVVVGSVHSGSDTTKAAVSGAPVAVRCHDGNGRDVFRQQALTNQRGEFRVHLPPESKSRLATVTSCSVKLHLRPADNNNAAAPCDDAAMSAATARGFRLAATKRNGAVFSAGDFAVHPELCDQKGIFFPPIPFVPEPPNIGGVPIPPNPLTPAPPSLVPPLFPTPSPPSILPPLTPQPPPASLLPPLLPLPLPLLKPPPPPPPPPSLLPPLPLLPPLVPGVPPASATRTGRPVTKVIRKEREAIERGYNMHPMCHVYAVSDVDSQYG >LPERR10G03850.2 pep chromosome:Lperr_V1.4:10:4516532:4520357:1 gene:LPERR10G03850 transcript:LPERR10G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHASQLLLLAVVFTCFHCHLAAHHGAKHAQPPSSVVVVGSVHSGSDTTKAAVSGAPVAVRCHDGNGRDVFRQQALTNQRGEFRVHLPPESKSRLATVTSCSVKLHLRPADNNNAAAPCDDAAMSAATARGFRLAATKRNGAVFSAGDFAVHPELCDQKGIFFPPIPFVPEPPNIGGVPIPPNPLTPAPPSLVPPLFPTPSPPSILPPLTPQPPPASLLPPLLPLPLPLLKPPPPPPPPPSLLPPLPLLPPLVPGVPPASATRTGRPVTVNTP >LPERR10G03860.1 pep chromosome:Lperr_V1.4:10:4517122:4519871:-1 gene:LPERR10G03860 transcript:LPERR10G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVVESDSFIVVAGMDVTTSHTGCNFSVAGRNYPLSNYHHQDGVFTVTGRPVLVAEAGGTPGTNGGRSGNGGRRDGGGGGGGGGLSNGSGSGSNGGSNDAGGGCGVSGGRIDGGDGVGNSGGTSDGGAGVSGFGGMGTPPMLGGSGTKGIGGKKIPFWSHSSGWTAKSPAEKTAPLRLVAASRNPLAVAADMAASSHGAAALLLSAGRRCSFTEHDVTVASRLLDSGGRWTRNSPRWFVSACCRNTSLPLPSWHRTATGAPAKTQGEVIDDGLNKIKPT >LPERR10G03870.1 pep chromosome:Lperr_V1.4:10:4520577:4526468:1 gene:LPERR10G03870 transcript:LPERR10G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESLLDRSSSAVDAMDDGVHHHHPLSVFFRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSGDTDINEIIGENEFNVSDSEMEELFSHENTRATSSKSSFETDSTGVKTEHKRKNIPSVSTALLLGGVLGLLQAMLLVVSAKPLLGYMGVKPGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHLQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARKDNSKAAATASPYFCHLTEALVILQLGLVLGLLLTILLGIGLRMGSRLFTNDQDVLHYIYVGIPILVAIVSIIFIVTLASYSGFVGIWIALTIYMSLRMLDRDCTRAMDFSMQLNACILVRLLDFVEQYGKADCPLQCRV >LPERR10G03870.2 pep chromosome:Lperr_V1.4:10:4520577:4526468:1 gene:LPERR10G03870 transcript:LPERR10G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESLLDRSSSAVDAMDDGVHHHHPLSVFFRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSGDTDINEIIGENEFNVSDSEMEELFSHENTRATSSKSSFETDSTGVKTEHKRKNIPSVSTALLLGGVLGLLQAMLLVVSAKPLLGYMGVKPGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHLQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARKDNSKAAATASRILQLGLVLGLLLTILLGIGLRMGSRLFTNDQDVLHYIYVGIPILVAIVSIIFIVTLASYSGFVGIWIALTIYMSLRMLDRDCTRAMDFSMQLNACILVRLLDFVEQYGKADCPLQCRV >LPERR10G03880.1 pep chromosome:Lperr_V1.4:10:4528203:4529129:-1 gene:LPERR10G03880 transcript:LPERR10G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRTRADVAIVRVDKYHDPSGDCIRYVVISEKPATPLPDGTPAWEVTISNVCPRCKVAAIDLNCGNFSSDRPLDPHVFSLVVPGDCLVNYGDPIGPTMNLSFVYYNRVHFDLLVKNAYCLE >LPERR10G03890.1 pep chromosome:Lperr_V1.4:10:4535368:4536403:-1 gene:LPERR10G03890 transcript:LPERR10G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLVRSDAVAAIIVLLLLVSQAGVYGESSSSATQPKLNTRKLLNISGDHSANNAGGHSTERMEPEGCSGENVVVYQNNAQHLPSGIPTYSVEVINTCTACTVYDVHVACGEFASTELVDPTQFQRVGFNDCLVKGGGPLGPSESVSFQYSNSFAYPLAIANVACE >LPERR10G03900.1 pep chromosome:Lperr_V1.4:10:4546900:4547539:-1 gene:LPERR10G03900 transcript:LPERR10G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVITVDLGCSRSFTKIGKTLCKLQESEDIRAILYDDKAGTVTISGGFDPLVLPCKLRRKAASVIKDIHLKEEKKNKHRAPSPPPSPARGAAFCSSCGAAVVPAAPPCYGVQGCPGIQMFTYDEPSPACSIM >LPERR10G03910.1 pep chromosome:Lperr_V1.4:10:4551861:4554640:-1 gene:LPERR10G03910 transcript:LPERR10G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTYAYRFKFITIGDAAASVAIILVDHLAAGGAHLVLLAWGNRACCCSSRTRGREVQDLTIGVEYGACVVAVDGQKTKLQIWDTAGQEAFRCITRSYYRGNVAALLVYDITRRETFNHLQSWLEDAVQLASANMTIILIGNKCDLSDIRAVSHEEGEQIVVSNQAMPPLHYEVMLASPLVVVVAAAAKYPYAAILGSKFCQSFQASM >LPERR10G03920.1 pep chromosome:Lperr_V1.4:10:4558367:4565859:1 gene:LPERR10G03920 transcript:LPERR10G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPATALDIAELPFSDLLLLISPDLPDDDGRRGRLLATVVTSLGRGGSGLLAITGVPRAAALRRRLLPLARRLAVMDHASRSQILKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFHLLEWMSGIVSTNNEAGCPENDLGGDVIVENMGDGTDNLGELVEELGLCMMELGILVARACDIVTGGNQLEKSITDFGTAKARLIHYHSEFDIIIIKESSRQGKGSNNKIAATAYKLCPQRPGSLDGCCIRSEGGTNVMIERQKDCKDESIHGSAVSLTNLWQDWHYDYGVLTVLTAPLFLCSARGEQCSIGEECSPPDEHTYLQLLNTKKIFSVRCSPDSFIVQVGEAADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKTLPYSGHCLAGDDDEQSDGDDLAFSDGSVISSSEHLLVQDILKRIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN >LPERR10G03920.2 pep chromosome:Lperr_V1.4:10:4558367:4562620:1 gene:LPERR10G03920 transcript:LPERR10G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPATALDIAELPFSDLLLLISPDLPDDDGRRGRLLATVVTSLGRGGSGLLAITGVPRAAALRRRLLPLARRLAVMDHASRSQILKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFHLLEWMSGIVSTNNEAGCPENDLGGDVIVENMGDGTDNLGELVEELGLCMMELGILVARACDIVTGGNQLEKSITDFGTAKARLIHYHSEFDIIIIKESSRQGKGSNNKIAATAYKLCPQRPGSLDGCCIRSEGGTNVMIERQKDCKDESIHGSAVSLTNLWQDWHYDYGVLTVLTAPLFLCSARGEQCSIGEECSPPDEHTYLQLLNTKKIFSVRCSPDSFIVQVGEAADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKTLPYSGHCLAGDDDEQSDGDDLAFSDGSVISSSEHLLVQDILKRIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN >LPERR10G03920.3 pep chromosome:Lperr_V1.4:10:4558367:4563275:1 gene:LPERR10G03920 transcript:LPERR10G03920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPATALDIAELPFSDLLLLISPDLPDDDGRRGRLLATVVTSLGRGGSGLLAITGVPRAAALRRRLLPLARRLAVMDHASRSQILKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFHLLEWMSGIVSTNNEAGCPENDLGGDVIVENMGDGTDNLGELVEELGLCMMELGILVARACDIVTGGNQLEKSITDFGTAKARLIHYHSEFDIIIIKESSRQGKGSNNKIAATAYKLCPQRPGSLDGCCIRSEGGTNVMIERQKDCKDESIHGSAVSLTNLWQDWHYDYGVLTVLTAPLFLCSARGEQCSIGEECSPPDEHTYLQLLNTKKIFSVRCSPDSFIVQVGEAADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKTLPYSGHCLAGDDDEQSDGDDLAFSDGSVISSSEHLLVQDILKRIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN >LPERR10G03930.1 pep chromosome:Lperr_V1.4:10:4562123:4566009:-1 gene:LPERR10G03930 transcript:LPERR10G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRALSSSSTLLPLLLLAAVIVAAETAGAMPMELYFSPAELARIAGYGEEPVSRVVVSGQVVCELSLGPPGSDLLTIELPGATVGVDCQTEGIKMMANSVFAITDENGNFTTELPSRLHATPSLEKTCFIKVLQLPLDSVCQLRHGPSSYCIQLSSEEEGFRTYTTGVIRLQHHGTKQDMSMHRVGTEQN >LPERR10G03940.1 pep chromosome:Lperr_V1.4:10:4612041:4614541:1 gene:LPERR10G03940 transcript:LPERR10G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQKEPVKEEQAEKKEEAAEEKKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRTADPSKVCERVQRKTKRRVELISPLPPPPEEEKKEETPPPEEKKEPPKTITVILKVQMHCDACAQLLQKRLNKIEGVESVEIDLANDQVIIKGIMDPAVLVDSIQRKTRRPAVIVEEEKPPEEEKKAEEEEKKPEEEEKKADGVDEVKKYDFWPPVRYYVEYVYPYPPPPATALVSEEFSDENPNACTIA >LPERR10G03950.1 pep chromosome:Lperr_V1.4:10:4621460:4622187:-1 gene:LPERR10G03950 transcript:LPERR10G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLMADYILDPPVSTSSPLASAIASRRRAALSDLLRNVVSRLLASDVARTMLSTRWRRRSAPLSLIDAHLGDPVIVVAVSCMLAVHLGPFRCAHLTHAPMEGHLSKEDLVFINRPWPLDLPLPVALLCYGAFLTRLHIGVWRLLDTWPAQRATTFLRLRELVLSSAPRRLLPARREPHPGGALEAERGGGDLGLQRSWHFGKRRGGGCDFEGLLFRSP >LPERR10G03970.1 pep chromosome:Lperr_V1.4:10:4654172:4654663:1 gene:LPERR10G03970 transcript:LPERR10G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSVLPAATTISTPGCSSRGAVALLRLGTRRLTTTCKAEPSGGNSTVELAAGATGLASSAVVGWSLYTLKTTGCGLPSGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVIFGLQFFEVGSLPGPLPSEQCFG >LPERR10G03980.1 pep chromosome:Lperr_V1.4:10:4676774:4687311:1 gene:LPERR10G03980 transcript:LPERR10G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSRSPPSHSRRRSPSPRYGSRRSRRDRSRSSRRKSRSPSPRWERSQSPTPRRRKSPPSPRRQRRRRSRSSTCSIVNNSCSPSHVSKQNNLVEKQKEKEEIKRRQKEAELKLLEEELARRVEEGIRKNVEERLNSEDVKNEIKGRVEEGIKKLFDEVDAQLQKEKEAALREARQKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFMELERIQKQREEALRRKKIEEEEDRANQMKLLGKNKR >LPERR10G03980.2 pep chromosome:Lperr_V1.4:10:4678357:4687311:1 gene:LPERR10G03980 transcript:LPERR10G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPVVMEWWAGGLRKSRSPSPRWERSQSPTPRRRKSPPSPRRQRRRRSRSSTCSIVNNSCSPSHVSKQNNLVEKQKEKEEIKRRQKEAELKLLEEELARRVEEGIRKNVEERLNSEDVKNEIKGRVEEGIKKLFDEVDAQLQKEKEAALREARQKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFMELERIQKQREEALRRKKIEEEEDRANQMKLLGKNKR >LPERR10G03980.3 pep chromosome:Lperr_V1.4:10:4676774:4678329:1 gene:LPERR10G03980 transcript:LPERR10G03980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSRSPPSHSRRRSPSPRYGSRRSRRDRSRSSRWSTSTCRCMLAAARHTGRTLVAPPHRVVAPCMAASAGCNSAAPSSTAGRRADALRRRLTKPPNLKPHGSLLPAST >LPERR10G03990.1 pep chromosome:Lperr_V1.4:10:4713317:4717360:1 gene:LPERR10G03990 transcript:LPERR10G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGKWRKAMPYLAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGANNTSASFSSALTNILPAVTFVNAIILRMERISIKERRSQAKIAGTMITVGGAMLMILFKGPVINFPWTNNANQNVSNSSGHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRTYPAELSLTTLICAMGAAQSGAVALVMERDMKAWLIGLDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILSEVVTLGRVIGATIIVVGLYALIWGKNKDHANQVDENDNFEKQKAFELPLSSTNVNKTSNLDHI >LPERR10G04010.1 pep chromosome:Lperr_V1.4:10:4728101:4734163:1 gene:LPERR10G04010 transcript:LPERR10G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRRWATLSGGGGAERGDGGRRLHRQAAVWEPSLTRAAFGNMEASHNFLGRQPVGWKGVSWDSPKLRSMYKATYGARVVEGISAQLKEVIMECTFVSVICRLNTNNLSFFGPHQTMTCC >LPERR10G04020.1 pep chromosome:Lperr_V1.4:10:4753706:4765742:-1 gene:LPERR10G04020 transcript:LPERR10G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKKLNDEAAALSSSPLLSPARKALVILPVLLLLVGLVARPAASDGARYDYRAYTECKSHPEPALYSGGILRWASKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGTTTAHVKAKILTLGNAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLNRKRFVNVHVADSNGSRVVGAKVVVHQITRDFPFGSAISKTILANKAYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGSNATVEFFNTAKGADPLATLFLNDFNVVEVCDDLSSSADSYISRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPVWLTEIDISSSFDPKTQATYLEEVLREGFAHPSVDGIMLWTAMDASASCYQMCLTNQNFTNLPAGDVVDKLLEEWQTKETLGTTNDRGSFNFSAFLGEYKLSVSYLNATAESTFSLARSDDTKHINIRLTP >LPERR10G04030.1 pep chromosome:Lperr_V1.4:10:4771817:4778595:1 gene:LPERR10G04030 transcript:LPERR10G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARWRGSCEAPIRAVPWQSNRGTRSGAVTLAGSIPVRHKSPVALEALCVRREWWGWCYQSLLPTGSIVDVAGSSSTTGRRPGATASQATTLATTGTTNRSAKELIHLLAQDTAQLASNEMAEKTRGKRVSNSSSSVFTGAGSSGEPHDLGWTDPPSPFNDEDDMGGGASHDVLGGAPTATQKQPSSTPLQHERDSRGQPAD >LPERR10G04040.1 pep chromosome:Lperr_V1.4:10:4778931:4779882:1 gene:LPERR10G04040 transcript:LPERR10G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSSTNTLKPCHPLQGTIPRKRKSYVSVPQGMEVSMCFCADLCRIVKSNDNSDTYGRRIFICDNYEYDPPTDFRWGTNTSKSRSNERSDAGPFRRRCIGTRRSGNERKLKPVKPTWRGSKKGFAAQKLLDPRRYGMLLQPPVIGLLVAGCFGDRNLVAYTGSNRVLFP >LPERR10G04040.2 pep chromosome:Lperr_V1.4:10:4778931:4779618:1 gene:LPERR10G04040 transcript:LPERR10G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSSTNTLKPCHPLQGTIPRKRKSYVSVPQGMEVSMCFCADLCRIVKSNDNSDTYGRRIFICDNYEYDPPTDFRWGTNTSKSRSNERSDAGPFRRRCIGTRRSGNERKLKPVKPTWRGSKKGFAAQKLLDPRRYVRENNPDVLGALYLPRHIRVGSIANI >LPERR10G04050.1 pep chromosome:Lperr_V1.4:10:4781391:4782526:1 gene:LPERR10G04050 transcript:LPERR10G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYKPNSQFQESWVVLMEPSTRSSSKKPMSLPSPAIDAVEEDLLREILLRLPNMASLLNATLACKRWRRAAASDPAVLQRFLPLRRPPLVGFILTDRGNSPVPHRRPYLSFVGATASRPNLASAAAGCDVFFDHLPAIDPDDDDDEWCLRGCDGSRLLLSRRRADLAVYDPIARTAVFFHPPQFFVEMCVDLRYALVVDHDDEFRVIGKDGDTAAAVFSSRTGKWASSDFHARQAPYHFTHTDGVHAGRKEQNIQLWSATTTTMVVVDGCSRRKEVSLLDQFVYLKKLRREWMKRVRVLGAKAGYVYMEFWSIRKPNS >LPERR10G04060.1 pep chromosome:Lperr_V1.4:10:4810819:4815685:1 gene:LPERR10G04060 transcript:LPERR10G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAAAASHHAAAGGGSPPPALPSPLCLLPLPLPRGALPPGRPRPLHRRLILLLLPHRDLDRLRLRLRCPAVRPLRGVPVLRRPGRHRRGRRRRRRRPVGVLFRRRRGVSGAPGVAGGAGGYRSGWQGGREALWIRSSALRTGCSCKIFKWNYTTSCSPRHAPYSVECTFRASCAKNCFKEAHKEKGEGCAGATINL >LPERR10G04070.1 pep chromosome:Lperr_V1.4:10:4824868:4829941:1 gene:LPERR10G04070 transcript:LPERR10G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPEGEEEEEEMPAAPLTVEVDEEVELEEEEREEGDEEEEEEEEEGEGDEEEWEEAEEVEGGEEERKKATVEEDSAAAAEAEDAAGKLQYLVKWRGWPESANTWEPLENLSACSDMIDAFELRLQSPRAGRKRKRKITTTPVGGPKPSHGKRGRPRLDARSHTRAPALEPKQAPCRTSSRRATNSISKTVAGLDASGNVARHQLAQNNVQEGSSSGISRTPCQELPLSVRLTNQQNEHHLVTGARKRKSGNVRRFEQNKPTQGEGKCGGLVVAEDVGSTEGEIGDKSKTEGCPNRTHLTRIIKAVRFSADVNNDVQQVSILFKALRSDGQEVTVDDKELKANNPLLLISYYEQHLRYNP >LPERR10G04070.2 pep chromosome:Lperr_V1.4:10:4824710:4829941:1 gene:LPERR10G04070 transcript:LPERR10G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPEGEEEEEEMPAAPLTVEVDEEVELEEEEREEGDEEEEEEEEEGEGDEEEWEEAEEVEGGEEERKKATVEEDSAAAAEAEDAAVTAAAEGSPPKLAEGFYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDMIDAFELRLQSPRAGRKRKRKITTTPVGGPKPSHGKRGRPRLDARSHTRAPALEPKQAPCRTSSRRATNSISKTVAGLDASGNVARHQLAQNNVQEGSSSGISRTPCQELPLSVRLTNQQNEHHLVTGARKRKSGNVRRFEQNKPTQGEGKCGGLVVAEDVGSTEGEIGDKSKTEGCPNRTHLTRIIKAVRFSADVNNDVQQVSILFKALRSDGQEVTVDDKELKANNPLLLISYYEQHLRYNP >LPERR10G04080.1 pep chromosome:Lperr_V1.4:10:4834058:4834944:-1 gene:LPERR10G04080 transcript:LPERR10G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIKYLFMKRGRLLDKVAEVSCPHPIIITIRRPRPTIALAAAAASDTSTRPRRLLIKHEFSCSNSPSPAFIAAKRLRSSLKLRAREASSSCFGCGSSSPTDLAAAEQDYEEEEEEVDGDCRRCLWDDHAAACEIGVNNTGSLHEQDARCGSRWIDSKKFIRRGTSQ >LPERR10G04090.1 pep chromosome:Lperr_V1.4:10:4841137:4843300:1 gene:LPERR10G04090 transcript:LPERR10G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIKHLRVRFPGAGQNDQGGSQTIRMPPQQGRIFGREEMSNGEEYDAAYAATVAAVAFAIAAREEEKQASQETPVKEKLTSQKRPIMNDEPSTTPTLKLPPMRDGILKKPRATEGSRISRRSSGKELVTDDDDDELEANVLVRRPVKPAQKKPEGRNSGQNVVGKIFDSAPSIRKDPSFAKPLPQKKVSTKFEQEQAITTAPPNVRPTASFPREKKESKKSEQDQAIPMVPPVVRPTASFPREKKDNMKFERDKANQMPPISATPTSSYSSEVEATADAWEKEKLAKIKKQYNMTMDTIAEWEAEKKAKAKRQMEQKEGDNSERKREKALEEYNDEMTRINKVAAASRLTAEEKRRSAERKVRDKAESIRVTGKLPRSCGCF >LPERR10G04100.1 pep chromosome:Lperr_V1.4:10:4855317:4855604:-1 gene:LPERR10G04100 transcript:LPERR10G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMHYTKMKLIITYTDGSDDETDGEIREKVEDLRVALKRKLKAGHFRTARDRTN >LPERR10G04110.1 pep chromosome:Lperr_V1.4:10:4864962:4866786:-1 gene:LPERR10G04110 transcript:LPERR10G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKKVKNGVKEIVGTNINDLPNDVLEHILSFVPTREVVQTCVLSQMWRYIWKSVPTVEIMESIDDCQNILDQVILHRGDISIHSCHLHFVDYFDHQKHKANSWIFHALLVCKVKELSICAWFDDEFLKIASQSIISKHLRKLVLDTLKLKTNFVDFTSCPLLENLEMNYCVITGNKIISNSLKHLRMERVVFWTYDADDLAVVIQICVLNLVSLSLIGFDGWTPLFESMPCLVSATVVFNYECSDACIYSKFWDCGNEDCRGCYARSDHKYGCLLLDRLSSTTHMELVSNDYSIANVVSRDLRWSPLFRNLKTLVLNEWFLDNGLWGLLCIVKCSPSLEKITLKLYTEPEQMVGNEESYSTMVQPFVMKQLKKIMVKCEKEMEWVKNIVMTLTKLGIPQHIICVEEISSSSW >LPERR10G04120.1 pep chromosome:Lperr_V1.4:10:4879503:4880918:-1 gene:LPERR10G04120 transcript:LPERR10G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPKPVACGDGWLSLSISTESGESNKRLRRGGGGGAVEDDGCVLHDEVLLAVFAASSLETDDLVRCAATCRRWRRLVSGDAEYICGLKPLSSRYVEALAVGFFHQSRDQEDDGDSGAPPRFVPLPSFSSRFAGADLHMAFDDLLFRNSRLVASRNGRLVLELQRSSRAAVLRLVVCNPMTGDMSILPILSGKDRPGHYACALLTADDLHHTEDPLPTHGHGSAAAFRLLVVYKRRKFTACRSYSSNTNAWGKEGKLTGVKIGGRRLGEMSGGVAARGAVFWLSKNLVFGFGLDTMEATAENIPWKWNSKLCFCHGSPVENRRLAVSPSDGRLCAVQVERHVSNSSVTINVISRRHDEYGVGGSKGIRRWEWEKTRNVELGGLIPLRDVKRICLRGVCEKSGVVFLTTGADMYAEQTDMAMYALDMEKKEARLVRAPPGRCRRSSSSFFGYEMDRVTYLASLSHGVRC >LPERR10G04130.1 pep chromosome:Lperr_V1.4:10:4891554:4893349:-1 gene:LPERR10G04130 transcript:LPERR10G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKEVKNGVKEIVGTNINDLPNDVLEHILSFLPTREVVQLVCSLKCVDIMESVPTVEIMESIEDCHNKLDHVILHRGDISIHTCHLHFVDYFHKANSWIFHALLVCKVKELSICVWFDDEFPKMANQSIISKHLRKLVLDTRKLKTNFVDFTSCPFLEDLDMTNCIITGNKIISNSVKHLRMESMVFRTYEVDDLAAVTQICVPNLGLLSDQKYGCLLLDHLSNTTHMELANDCRTIVPAISKHKTLVLNEWFLDNGLWGLLSIVKCSPSLEKITIKLYTEPEHMVGNEESHSTMVQSFVMKQLKKISVKCEKEMEWVKNIVMALTKFGIPQHIIFVEEILSSSQ >LPERR10G04150.1 pep chromosome:Lperr_V1.4:10:4908765:4910206:-1 gene:LPERR10G04150 transcript:LPERR10G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSGMRQRLGQGGHVAGAPAPLSADDGGEVNRDAAGSSATLAVRLAALPLPVRMTAARPSGVRPVALLLPARTTMARPTVMHRWQRGASRDAAGGPAPPSADYGGEAKQDGLAARRWRGAADGPAPSGADDDNEVDQDVVAAARSITDEARRLLHELAAEWGDDVADRSAVDVVSLKGAMTNEVYQARWPPAAEAVEGEGRRVVMGCMGEGMEVFFDREAKVCMFESMSWARGTPWPSPPWPFPEQTRQGVHSGSPAPPGTDDGGEVEPDGQRQRRDGCVGGSGATAANSGAEVGRAFYLNLPTPSPSPPRAAALADDDEMDWGSTCSHRQIAGEVNGNGNGDGNDQLASSKHRRIGTGFAAGLYCYCEDGQRSP >LPERR10G04160.1 pep chromosome:Lperr_V1.4:10:4918828:4928547:-1 gene:LPERR10G04160 transcript:LPERR10G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALSLSLVLLWLLLSMIPNHCRALELMNWSCNNGSSYAPNTTYETNAHRILATLSATTPNTSAFFATASTGRGADAAVWGLALCRGDTDRAGCASCLAAVPAVAFNECGGDMDVTVFYDRCLARFSFDDFTARPDNTEVLIGSPSADRITGSDSGRFDALVYDLAGAVADWAAYNSTPRRYAAGVMATGENGFTSTTEDVVHNIYSVVECTPDQAPAACRACLEALRVDMPKVFAGRMGGRFDAVWCNFRYETFVFFDGDPAVKLVAPPVRPQNGNKRRHPINPATVLASILGAFVILLSVFIICLWRKLQAKRYAAEKDVDSGSLLFDLATIRKATDNFAEDNKLGHGGFGAVYKGFLPDVGEIAVKRLDKTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGEEKLLVYEYLPNRSLDNFLFDPEKRRQLSWETRYRIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGTKTTVTSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLVLEIVTGRKNTDVFNGDDESNHLLSYVWDHWLKGTPLEIADVLLLCGSQGPLDRELLKCLHLALLCVQENPADRPTMLSVLVMLHDVDNTSFALPSKPAFAFADVGNTMSSSPSAVVLSINDVSISEFHPR >LPERR10G04160.2 pep chromosome:Lperr_V1.4:10:4918828:4928547:-1 gene:LPERR10G04160 transcript:LPERR10G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALSLSLVLLWLLLSMIPNHCRALELMNWSCNNGSSYAPNTTYETNAHRILATLSATTPNTSAFFATASTGRGADAAVWGLALCRGDTDRAGCASCLAAVPAVAFNECGGDMDVTVFYDRCLARFSFDDFTARPDNTEVLIGSPSADRITGSDSGRFDALVYDLAGAVADWAAYNSTPRRYAAGVMATGENGFTSTTEDVVHNIYSVVECTPDQAPAACRACLEALRVDMPKVFAGRMGGRFDAVWCNFRYETFVFFDGDPAVKLVAPPVRPQNDAAEKDVDSGSLLFDLATIRKATDNFAEDNKLGHGGFGAVYKGFLPDVGEIAVKRLDKTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGEEKLLVYEYLPNRSLDNFLFDPEKRRQLSWETRYRIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGTKTTVTSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLVLEIVTGRKNTDVFNGDDESNHLLSYVWDHWLKGTPLEIADVLLLCGSQGPLDRELLKCLHLALLCVQENPADRPTMLSVLVMLHDVDNTSFALPSKPAFAFADVGNTMSSSPSAVVLSINDVSISEFHPR >LPERR10G04170.1 pep chromosome:Lperr_V1.4:10:4938135:4939051:1 gene:LPERR10G04170 transcript:LPERR10G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLHILKLITTDIAGPDPALVEAKKQALEEQIPKLQAELILLQGQNEGERLGQEETSSCCGPEVKSHEQANYCKDKLETLLKKHEELKRKSAKELSAMKTKHNEELLKMKTDLDEARKVNAEFCQAAEPILDNLHAATAGTNTSSF >LPERR10G04180.1 pep chromosome:Lperr_V1.4:10:4975215:4975944:1 gene:LPERR10G04180 transcript:LPERR10G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANQLRDFGNKFRCCRGQTMALTHAGIEIGVAVAFPPSPVRRRADLPLRSPPLPPFFRRRREDITVAAARRRLSASANPLVSSLEPPWCFPVPQPPQIEPPTLHAAASDPAAAHRRRRRCSGNLREQRYHLWILLDLLYIFSVEIDPIRASVRRRRALDRASHGCLCVSSSQGPRRRIR >LPERR10G04190.1 pep chromosome:Lperr_V1.4:10:4997480:5003410:-1 gene:LPERR10G04190 transcript:LPERR10G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPCSWPCRTGSPCLEPGPVNHGPNSQHLIFPVRLSPSASSTACVAHRRSPSREPSSGAAAVLLSRRILASPPLSTAQPSSCLISAGERDNGSGGDGTPGTPSPTHGARVRHRKRSSDVPSDLNKTNGPNLLLNDQNKYKSMLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRRANEDRQLPGFRLLNWHFFFTAVLFAYGRFLSRQLVNTVTSDKLLYRLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPESYDLPGWIPRWIPWQEVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTFEEQHDLYEQLGKLLTRGNRC >LPERR10G04200.1 pep chromosome:Lperr_V1.4:10:5020880:5022711:1 gene:LPERR10G04200 transcript:LPERR10G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGPAIDFFNELDNDEEEEATETATTATTAHADTLQRMKHKLIVASDSDNEAADQSAPTPRLSSPPPLLAPKARPFSSRLAKRRCLKVSTVKPNTSFTGKDNDSPPQPLTTSVVEKPVVVPTDSQSQLVEEEAPSTTLPPSPQAAVMNICPATAQIATSSAIIPTVNITPSTTASITPTATFQATPSPALVLTTTVDAPSADKGKQVQASLAAIEPSAGSDSEKTVSDEKVVKKSNAKGALLSVLAPLVEEDENVRDELAILKAEMTKSKNSAQNFKDSLRGIAGPDPALVEAKKQAEEQVLKLQAELTLLQGNNEELIKAKDSAKKKLAHVITLNV >LPERR10G04210.1 pep chromosome:Lperr_V1.4:10:5027063:5030916:1 gene:LPERR10G04210 transcript:LPERR10G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRHLLQTMQFTESASRSPRPGGALGPSSPFSSRKPRLQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSSFPRLYYRCSYRDDRNCMATKVVQQENEADPPLYRVTYIHQHTCNSSLPAPTPADVFAEPPPPTKSEHPVLFRFSSTGRHTANNADPALHHHQQQPPTTAEAAQAPEYIRSGAPARRLSMFRAVVEGLRQMRSLVPPPSAMVDDGWDAFSAFDLDTCEFSLDDELPSGDYDMYFQDNMQE >LPERR10G04220.1 pep chromosome:Lperr_V1.4:10:5056543:5057286:-1 gene:LPERR10G04220 transcript:LPERR10G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPEVEVECDGGAVRDGEQGVSVLCPHAYYIWLHGHAFQRLRYCPIEFIAGHKPPRTYEAMRKPDPVRVDAKPLYEADLTSYIYEWNPITDERHVENAKTALQAYNHAVPDGGDRFFLENLLAATTITVPDSGLTCCHFNFIANCEATGDAALFFAEVEIVVDDDGNGGVVRGGEKGVVVCCIIRRDDYSIDTCHACTLAGVRFVQHPSGYRKFIAGHRPDVDDGYELYDFDKFCLEWI >LPERR10G04230.1 pep chromosome:Lperr_V1.4:10:5057305:5057601:-1 gene:LPERR10G04230 transcript:LPERR10G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKELRAKKAMNRRRAPLAGVEAKPSYGFDMVSYTCEFTAITDEPHVSNAQTALRAYNDSVPNGGDRFYFEDLRKMATIRELDSGLTYCHFNFLASC >LPERR10G04240.1 pep chromosome:Lperr_V1.4:10:5064776:5065428:1 gene:LPERR10G04240 transcript:LPERR10G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRSQEHVFVAVMEDRRGDSVGDDGSARGRQQHQRILPRRRRWRRRISEGAAALIVDRRGVSPGGGSERGGASRGGGGGVEEPAAAMAAARREVAGGVEEPAGPEGVTSRGGGGCGGGVVARVVLGRDYVPAAEAVDPPHTPCRNSCNRANRRTVQPRRCVDGPTDTVPGSTYRHRK >LPERR10G04250.1 pep chromosome:Lperr_V1.4:10:5073149:5075522:1 gene:LPERR10G04250 transcript:LPERR10G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVPQHPSVIFTFRSSEWPRASNGSHAARACHVKNKAPAPIQLTAEQLLREAREIHGHQDDVIVSSKRRRTIADADELNEHRLERRSWLEATIRRAGSGGGNASAALTRYDGIPRPRRYAQFEARGGRVAHARNVFDRAVATLPRVDRIWLEYVGMEDRLGAARNARQVFDRWMAWQPDAAAWDAYAAFELRHGEIDRARAVPERHVDTLPTADAFIRFAEFQTKQKNVEHARRVYEHAGSVLAATGDGDDNARLLAAFAEFEERCGESDRARAIYHHALGTDLPERFADELRGKLLSLEKRFGDRDKIEDGIVAKRRSEYENAVSTNPFDYDAWFDLIRLEEEATNGDKTNRIRDLYKRAVANAPRTPAAKRHWRRYIYLWIKYALFEELDAKDVQRARAVYRECLATIPHKKFSFSKIWIMAAELEIRDKNIAAARRILGNAISVAPRPKLFRRYIEIELQLGNVGRSSSNTTRAAVAWRSYAALEKKLGETDRARAVYDLAVDQPALDTPELVWSEYIQFELDAGELDMARQLYERLLGRTKHVNVWVSYAEFEATASSSDVENAEDMAERVRRCRAVFQRADEHFRACSDDLAMKEARAMLVREWMEKEAAFGDLGDVELVEKKAPRRVKRKRSFLGDGNGGEGGSEECFDYIFGDEDDVTAAAGFKLLKAAYEWRNSGHAVV >LPERR10G04260.1 pep chromosome:Lperr_V1.4:10:5097223:5097564:-1 gene:LPERR10G04260 transcript:LPERR10G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAALLLLLVVLTVVAVATASASAYARAAVVGYEDGSGMMMMRRVLDDLNATDAGNSTTTNTTTGYISYDALFTDRVPCSLRGASYYNCQPGAEANPYTRGCSAITQCRG >LPERR10G04270.1 pep chromosome:Lperr_V1.4:10:5102894:5103388:1 gene:LPERR10G04270 transcript:LPERR10G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGNKFGSGDLMSSGKVVAEATMSVFQQKSVDGVDKKEVAGAAADLLHSASTYGKLDDKPVGQYIDKAESYLKDFSSGGAAAAAEKQAPAAEGEAAAPKPEAAEEPPAEKKDKPAAPSSEGFGFDDVMKGAESLMEKKGGGEESTGSGGAGGLFKMAQGFMK >LPERR10G04280.1 pep chromosome:Lperr_V1.4:10:5130135:5138421:1 gene:LPERR10G04280 transcript:LPERR10G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLAEIQVALASRCYSLSAMHKSNMSPCPTITYEEALKRELEYRQKIERSYPHLLVGLNGAPALLKNHFQEVGSCSSPDLLMRKSAHDSYVPSPQACFVGSSVQRPQASWYPSKKKLKVQQHPSQALQTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTARPVTVNQWAGNINPGPNGSAGYGSRNNEPNMYSSNFTKPSSDTSDSQTNGIIESTHKGMSSDGDGTSNDKPDENC >LPERR10G04280.2 pep chromosome:Lperr_V1.4:10:5130293:5138421:1 gene:LPERR10G04280 transcript:LPERR10G04280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSNMSPCPTITYEEALKRELEYRQKIERSYPHLLVGLNGAPALLKEVGSCSSPDLLMRKSAHDSYVPSPQACFVGSSVQRPQASWYPSKKKLKVQQHPSQALQTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTARPVTVNQWAGNINPGPNGSAGYGSRNNEPNMYSSNFTKPSSDTSDSQTNGIIESTHKGMSSDGDGTSNDKPDENC >LPERR10G04280.3 pep chromosome:Lperr_V1.4:10:5130293:5138421:1 gene:LPERR10G04280 transcript:LPERR10G04280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLAEIQVALASRCYSLSAMHKSNMSPCPTITYEEALKRELEYRQKIERSYPHLLVGLNGAPALLKEVGSCSSPDLLMRKSAHDSYTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTARPVTVNQWAGNINPGPNGSAGYGSRNNEPNMYSSNFTKPSSDTSDSQTNGIIESTHKGMSSDGDGTSNDKPDENC >LPERR10G04280.4 pep chromosome:Lperr_V1.4:10:5130114:5138421:1 gene:LPERR10G04280 transcript:LPERR10G04280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSNMSPCPTITYEEALKRELEYRQKIERSYPHLLVGLNGAPALLKEVGSCSSPDLLMRKSAHDSYVPSPQACFVGSSVQRPQASWYPSKKKLKVQQHPSQALQTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTARPVTVNQWAGNINPGPNGSAGYGSRNNEPNMYSSNFTKPSSDTSDSQTNGIIESTHKGMSSDGDGTSNDKPDENC >LPERR10G04280.5 pep chromosome:Lperr_V1.4:10:5130293:5138421:1 gene:LPERR10G04280 transcript:LPERR10G04280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLAEIQVALASRCYSLSAMHKSNMSPCPTITYEEALKRELEYRQKIERSYPHLLVGLNGAPALLKEVGSCSSPDLLMRKSAHDSYVPSPQACFVGSSVQRPQASWYPSKKKLKVQQHPSQALQTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTARPVTVNQWAGNINPGPNGSAGYGSRNNEPNMYSSNFTKPSSDTSDSQTNGIIESTHKGMSSDGDGTSNDKPDENC >LPERR10G04290.1 pep chromosome:Lperr_V1.4:10:5139719:5141003:1 gene:LPERR10G04290 transcript:LPERR10G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCFLTSNASPKSMALLPSPAGIAKVAKSHLLFAGRRSVSSSVTTCCSYNAADGTAPAIDPDWRSFRAQLYFNEQYAKSVNPAVKAMTTPELMKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKETQASVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLREEVRSGLWRVAACSPAVLGLATVVKGGLWDEVQGLVGERRVW >LPERR10G04300.1 pep chromosome:Lperr_V1.4:10:5152032:5153530:-1 gene:LPERR10G04300 transcript:LPERR10G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATSSLILPATTAALTSPACPSVRVTTTARRRTVSCRATGGGNDDDRLLWLPRRDIVTGIAGYPDLAALALEANPVDNCKRGDKVTDKLIECSDPNRDFPGPPSSQIPIVDFTPERTVKRVRSAAHLLTPDYQANYKEAVRRMKELPASNPLSFAAQAAIHEAYCDGHYRYDPTEKNRPFDLIGDDTFALPYWNWDAAAGMGIPAIFKAGSSPGNSLYNPNRDPRNLDALIDLDYFNTSRLKNPPPTIPFNKTPDVAAAYDQLVLKNLFTIYQQRGGTRDPLFYSHHSNVDRMWHLWSTKLGDKGFAFNRQERFIPIGKKYFSIYRHDKSFPSSGTIYFKEDYSRAHDS >LPERR10G04310.1 pep chromosome:Lperr_V1.4:10:5167131:5170373:-1 gene:LPERR10G04310 transcript:LPERR10G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTGFHEVVVKLLRPSALEHVWEEFLYDDMAQKVTGLKGFQTFEDMVSAINHVTGVSEELATMILEHINPDQTSAVGNEYYKEIIQNDLGIPCLCCATVGELMWGLRFQMQCLVPEEKSELTEDLFPMCEAIKILLNRHSFEVKPDMMVIKRIIKAASVLYDFDRCVNKHSKMSGEYLKEISRFDSKEWDLMKLALALKMICCPQEKFAAAQGLSFQLFSRQELKWLRDYAPKYKNKIKTPCLAVYDKMCRTRELKAEAARMLIRLINKAYDAEQAPEAAVDHEICPAGKKIV >LPERR10G04320.1 pep chromosome:Lperr_V1.4:10:5184132:5184698:-1 gene:LPERR10G04320 transcript:LPERR10G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSHSPADHQHDDAGPTWQRHEEAKHRSTQSHHRQNLNVQVPVVPSTGCFAGLFRPSPTSSSASRSSSPSAAGGSHIDGRPASPSLIRSPSAWIRARGHSFASSARHSRRRSSDFHYDAQSYARNFDEGADGGEAIGDEAAAGEYRCFSSRLPASPPPVLSPAFNGATGDKVCEPGRDKGRDFN >LPERR10G04330.1 pep chromosome:Lperr_V1.4:10:5206240:5209844:-1 gene:LPERR10G04330 transcript:LPERR10G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTNSKFASSAMGINITIIPTEASNRAGMEYLIWIDFDHNSTAVNSSSGRISVFVEEASRPKPEQPVIYKDINISEFTSLQGCFFSSIGQLSRIHALIVTFDDLRSPYDQQVRRTLSRLATILASVFGSLGGGAVLAAVVAWWYINSSYRRWKKELDQLAKSMQSLPGVPLKISFADISKATSNFHETMKLGTGAFGAFTRSDTRCYEDFLAEVSIINRLRHKNIVPLVGWSYHKGEPLLVYEYMPNGSLDRHLFPRARNSLQHNSSLIRQWDTRYNIVSDIATGLHYVHHEYEPKVLHRDIKASNILVDSTFRARLGDFGLACTLAVNRNSISGDVAGTFGYIAPDYAINELQGHAAYRRLCVWGAGCYWQEGNDERYAIWPSLTGGRILEAVDNTLSDTGHGEFKAEEARRLLLLGLACSNPNPSDRPTMVDAVQIIAKSAPAPVVPLEKPRVVCFPPLPLPRGSLSESTDYYDTVKGSLQIERSMV >LPERR10G04340.1 pep chromosome:Lperr_V1.4:10:5211465:5215272:1 gene:LPERR10G04340 transcript:LPERR10G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPRRDGEAQASGGSSEARGKEHPSPRSFDGKTRSACWQKAAVVMGRHPERWRQDAAGNVVCRRFLSCYGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRFKSDKTLIEQAEMQGFSCDIKFTERELDVIEMAVYGDVIRPGKQCRCRTVAEMLGQVKSKNHMAACELPYNDASENK >LPERR10G04350.1 pep chromosome:Lperr_V1.4:10:5222757:5226631:1 gene:LPERR10G04350 transcript:LPERR10G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMPTTVIWRIDVALASPLVPTRCSTKCGGHLATVHIPVSLSRKWEEAFVARPSQTKMIQRMEEMRKVEVDFNTIDS >LPERR10G04360.1 pep chromosome:Lperr_V1.4:10:5224306:5225226:-1 gene:LPERR10G04360 transcript:LPERR10G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVSVQGDVYSYGILLLEILTGRRPTDALFDGITCLPKYVEIAYPDQLLDIVDAALQQQHPLNIGTQDMVDLFIAPLARIGLACCRESASQRMRMDQVVKELSGIKKAWADHSIDCLVA >LPERR10G04370.1 pep chromosome:Lperr_V1.4:10:5237036:5240432:1 gene:LPERR10G04370 transcript:LPERR10G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSLLQLLALLTIVIFLLLTPASYSIDTDDDVRALLSFRSHITKDPLGALSSWSVISNGTSNGTSVFCRWKGVTCSSGTHPGHVASLQLQGLGLVGNISPLLGNLTSLRVLDLSDNKLEGEIPPSLGKCLVLQKLNLSVNFLSGAIPPAIGHLSNLVSLNIRNNNISGYVPSSFANLTALTLFSIADNYIYGQIPSWLGNLTALKSFNIADNIMSGTIPEALSKLFKLESLTIGGNGLDGEIPPTLFNLSSLEVFNLGDNQISGSLPTDIGFTLPNLRSFSVFYNQLEGQIPASFSNISVLEKFIVHGNRFRGKIPPTIGINGLLTVFEVGKNELQATDSRDWEFLTSLVNCSNLIYINLQLNNLSGILPNTIANLSQQLQSIRLGGNKISGHLPKGIGRYDKLTSLEFADNLFTGTIPPDIGKLTNLHELLLFENGFQGKIPASLGNITQLNQLLLSGNYLEGKIPATIGKLSKLTSMDLSGNLLIGQIPEQIMRISSLTELLNLSNNALGGSISPYIGELVNVGIIDLSSNKLYGEIPSTLGNCLALQFLYLQTNLLHGLIPKEFNKLRGLEVLDLSNNQFSGPIPEFLESFHLLKNLNLSFNNLSGLVPDKGIFSNASVVSVASNGMLCGGPLFFHFPPCPFQSFDKPAQRSVLHILIFPIVGAFVLFIVCIAACYCIRRLRAKSSNVNRDQGSKFLDEMYHRISYNELHVATDSFSVENLIGRGSFGSVYRGTFTRRSNVITVAVKVLDLQQTRAARSFLSECNALKRIRHRNLVKIITVCDSVDHNGVEFKALVLEFISNGNLGMWLHPTTGTSQEFEKLSLMQRINIALDVAKALEYLHHHITPSIVHCDIKPSNVLLDKDMTAHISDFSLATIISAETKRQCLGESSSVGINGTIGYLAPEYGMGTGISREGDIYSYGVLVLEMLTGRRPTDTMFHDAISLPKHVEMAYPDKLLDIMDSAILQDGNSQEVLDWFITPISRLGLACCRDSASQRIKMDEVVKELSGIKEEWENKFVEIIHS >LPERR10G04380.1 pep chromosome:Lperr_V1.4:10:5298630:5302149:1 gene:LPERR10G04380 transcript:LPERR10G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVAGALPGHAIASSALEGTIRITNYCVITPAYNSYCIISYAGSWRVDDRTMAS >LPERR10G04390.1 pep chromosome:Lperr_V1.4:10:5306146:5312151:1 gene:LPERR10G04390 transcript:LPERR10G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGSSEFQYVLMCLYTPLITFVVILYIWCAATNPGDPGIFKSKKHPLDKDGKQTQENSEHELCQGGKSFSDGCSVVDNSERLSNMFEGKDPSSHRGLGILCAICAPFSCLGKKCFHSDDHSSQQKSSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFVLMASAVLLWLVGILVLILCLMKRGEFSRQIVSKLGSSFSTAAFVIVVMICTLLAMVATIPLTQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFVPLQRGSWCTPPRLFLEDQHVIPPEMAQNTSSKKTKQADGTKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPIMRNEDPKHENRRPEKRGQFLSELSIDPPTRTSDSCTDSNCSDDMETCGSLAPLQHEARSVFQPSIASSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEDSDRIPSKIVHRSSNWANAILNSGRREMGADLTLPTSERFFTNTRFT >LPERR10G04400.1 pep chromosome:Lperr_V1.4:10:5320259:5320663:1 gene:LPERR10G04400 transcript:LPERR10G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADELPRFGAGSGSTSPSPPFSFTIWPPTRRTRDAVVRRLVAVLSAEGGGVDGGTTALRKRYGAVPAADAEHAARAVEAEAFDAASSRYSSSSVEDSIETLQLYSREVSSRLLDFVRSRSSSAAAAAAGEIA >LPERR10G04410.1 pep chromosome:Lperr_V1.4:10:5322537:5330499:-1 gene:LPERR10G04410 transcript:LPERR10G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFWWGFGGRGDSTTASSPIMDYGDWVWVRRPEEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASDKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGGKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSQEYTSYKRELDLDTATVCITYNIGEVQYSREHFCSNPHQVVATKISANKSGHISFTLSLNSQLDHNVRITDANEMVMEGTCPGQRPSLHNNKANDAIGIKFAAVVGLQIGGTSAKVTIIDDQKLRIDTADWVVLLVTAASSFDGPFVTPSESKLNPEVAALRTLNISRNATFAQLKATHLEDYQGLFHRVSLQLSQASMLEKAVLEEADHDVKTTEERINAFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDLAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIASLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYAMWPMGGAWLCTHLWEHYQYSLDKEFLEKTAYPLLEGCAMFLVDWLIKGSGGYLETNPSTSPEHPFIAPGSGGHLASVSYSTTMDISIIQEVFLAVISSAEVLGKSDNNLVERINNALPMLPPIKIAQDHTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITVQKNPDVCKAVANSLAKRGEDGPGWSTTWKMALWARLLNSENAYRMILKLITLVPPGGKVDFEGGLYTNLWTAHPPFQIDANFGNGDGDVYLLPALPREKWPKGHVKGLRARGNVAVNIRWEKGELQEATLWCSNPNRVLRLHYGEQVATVTLSGGNVYRFNGTLQFVETWPNDN >LPERR10G04420.1 pep chromosome:Lperr_V1.4:10:5330898:5339179:-1 gene:LPERR10G04420 transcript:LPERR10G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEWVLVRRPAEAEAVAAAAGWPAAAEEEEEERPLEVVFASPARYFTDAAPIGNGSLGGLVWGDVASEKVQLNHDTLWTGGPGNYTNPKAPSVLSEVRNLVNKGDYAKATAVAYDLSGDQTQVYQPLGDIDLVFDEHVKYTNYKRILDLRTATVNVTYTVGEVVHSREHFSSNPQGVIATIISADKPGNVSFTVSLTTPLNHHIRVTNANEIIMEGYCPGERPTEHGNASDHPIGLKFSAILYLQMSGANGTVEILNNKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTTSALKTLSVARNMSYSQLKAYHLDDYQNLFQRFSLQLSQETKTSGMPTVDRILHFKDDEDPSLVELLFQFGRYLLISCSRPGTQNSNLQGIWNDDTSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKINYEASGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSYTMDKQFLENTAYPLLEGSASFLLDWLIEGNGEYLETNPSTSPEHYFISPDGRKACVSYSTTMDMSIIREILGKSDTDVVQRIKKAIPRLPPIKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVAKSLDKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVSREGGLYSNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGLTINIIWKEGGLHEALLWSSSNQNPLMKLHYGDQVGTISISPGQVYRFSKDLKCLKTWAL >LPERR10G04430.1 pep chromosome:Lperr_V1.4:10:5368652:5371430:1 gene:LPERR10G04430 transcript:LPERR10G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCRQGWLSHGIKRVKRASSTGDNHTNGLPLTDDILLHIFAVFLSMSDLIRCAATCSQCRRLVSRNASYICRSMPRLNSFVYSLAIGFFYQKKDKEDGLCEAPIVVRLFMRFRQASMAWLFSEERFRSARLVACRKGRLILDLRRASLAAVLSLVVYNPMTGEVFLPPLFGDKLWNGWGSAEDVVSINLRPFLPYGMTLVQLHGVCEQSGLIFFAACSNWLGNITWRMYMLDLQKKVVQLLDVDNHCRGPENCKRFFPYEMDQAAYLMLLGGGDFTQADDRHVSLV >LPERR10G04440.1 pep chromosome:Lperr_V1.4:10:5374297:5385333:-1 gene:LPERR10G04440 transcript:LPERR10G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVCFVSIHPAITDSSSQIPDFKYLCSHRHAIFQLKGIALNMSFQPKCERSLKNDFHIDTSMIKLTLRLYHMHRRANGDVRSIATPMILRSSSAEATVLPDLQNPLPKAFEELQLRGHMTKT >LPERR10G04440.2 pep chromosome:Lperr_V1.4:10:5374297:5385333:-1 gene:LPERR10G04440 transcript:LPERR10G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVCFVSIHPAITDSSSQIPDFKYLCSHRHAIFQLKGIALNMSFQPKCERSLKNDFHIDTSMIKRSIATPMILRSSSAEATVLPDLQNPLPKAFEELQLRGHMTKT >LPERR10G04440.3 pep chromosome:Lperr_V1.4:10:5374297:5385333:-1 gene:LPERR10G04440 transcript:LPERR10G04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVCFVSIHPAITDSSSQIPDFKYLCSHRHAIFQLKGIALNMSFQPKCERRPRQSWVTVGSPATGRPLCPVSIAFNATKGSVEEEGEATVLPDLQNPLPKAFEELQLRGHMTKT >LPERR10G04440.4 pep chromosome:Lperr_V1.4:10:5374297:5386219:-1 gene:LPERR10G04440 transcript:LPERR10G04440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSSSAEATVLPDLQNPLPKAFEELQLRGHMTKT >LPERR10G04460.1 pep chromosome:Lperr_V1.4:10:5399438:5400971:1 gene:LPERR10G04460 transcript:LPERR10G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARWCNGLASQLGYDGTILVLFETPSGFATEAIFMYDGVKLLQPDALEVGAINHVTGLSKELASMIKDYIHTHQTLAVGNEDYKEIIHKALGISCLCGAAVNELMWGLRFKMQCLWPNEKSDLTEDLFPMCEGLKILLNRNSFKVKPDMMQFSLYPGYTYYKSDKYSV >LPERR10G04470.1 pep chromosome:Lperr_V1.4:10:5401012:5405241:1 gene:LPERR10G04470 transcript:LPERR10G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYLKDISGIDTQDWDLLKLALALKMVCCPEEKIAAARRRLKDDAPKYKKKIFKTPCLVVYNEMHRARELRRPKRHMKLSKSMKLLVIMKVVLMGRIFILVPPTLAPNGALKRYTHISSHPLHRTKNPGILCIDIDPSKDIVATGVLIPSELNFNEFGVDNKYIAAGSMDRNLRIFGLRNSQLATQ >LPERR10G04480.1 pep chromosome:Lperr_V1.4:10:5414715:5415183:-1 gene:LPERR10G04480 transcript:LPERR10G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAAAVFFLVILVFQGNPSCAVESCIFNSGPLVTCMQDCVPGRCPSLSWQVQGWLV >LPERR10G04490.1 pep chromosome:Lperr_V1.4:10:5493997:5495417:1 gene:LPERR10G04490 transcript:LPERR10G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDLGGGTVGQQGGDRLRQRNFPCSRIPLISLTVGKAMKHGRDLSYSLLNTGDKLSVVNFSCGLGGCSGLRPKEERGGAVLGSEPTRSALNVLQSSCSSPTFLHVLQVDSGACEPFRLQKCNCQFPRILCPQPK >LPERR10G04510.1 pep chromosome:Lperr_V1.4:10:5511093:5511374:1 gene:LPERR10G04510 transcript:LPERR10G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEITKESSTNLATNVASLILVSYGARDPGFDPYVPTEDFPAGTDEQARAQVQDAVQAIVAGFEGTEARIQLAYDSDHGEVEEEEDVEDASAP >LPERR10G04520.1 pep chromosome:Lperr_V1.4:10:5533477:5534019:1 gene:LPERR10G04520 transcript:LPERR10G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFTAEEIGASDAMEQMFPDPEQIAVQEGDFDSVFLEGNPEENGEGAVGSENEENVVSSQPVMPFVGMEF >LPERR10G04530.1 pep chromosome:Lperr_V1.4:10:5546308:5547390:1 gene:LPERR10G04530 transcript:LPERR10G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKVAGGVLFLLLLACSQAVPLLPAAFANDVWPNDMKAPPLTPPPSTEECTHAQKVEILHECRDYIKNERPITFPLNNSPCCNAVRRVPNLDMVCIYNLLTTSEKILYHQRRFKLGLRRLCRPIQSSQKNEDLNLNIGCPIVSYGLKILRRDYYDNKIKRFYGYYEIHKGVVLDIL >LPERR10G04540.1 pep chromosome:Lperr_V1.4:10:5551552:5552012:-1 gene:LPERR10G04540 transcript:LPERR10G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSRGLLVADLRPPIQRRRGLFLANLPTTCHLADRPPPRRPLPRRSAATENPPCRAAAIDSSLDPAEPDAADRRSTTTVSHRSRTADCRSVDLPTSTSPAVLYASWTLIS >LPERR10G04540.2 pep chromosome:Lperr_V1.4:10:5550723:5552012:-1 gene:LPERR10G04540 transcript:LPERR10G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSRGLLVADLRPPIQRRRGLFLANLPTTCHLADRPPPRRPLPRRSAATENPPCRAAAIDSSLDDRNELLLMILLIEVQSA >LPERR10G04550.1 pep chromosome:Lperr_V1.4:10:5560375:5562786:-1 gene:LPERR10G04550 transcript:LPERR10G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLGFLFLLSLHPPRCSAATDTVSLSHALAGSDRLVSNNSKFVLGFFKIDSKNYSYANPNSYLGIWYNKLPMLTPLWSANGENPVVDPVSPELTISSDGNMVILDKATKSIIWSTHVNTTTNDTIAVLLNDGNLVLRSSLNSSMVFWQSFDYLTDNLFANAKIGWNKVTGLNRRLVSRKNSINQAAGLYSLEFDINGIGHLVWNSSVIYWSSGDWNGQFFGSAPEMFGATIPNFTFINNDREVYLIYTLKNEQLKVRAAIDVNGRGLAGVWLEGLQDWLINYRMPLLHCDVYATCGPFTVCNDDNDPFCDCMKGFSIRSPKDWELDDRSGGCMRNTPLNCGITMNKTGLTDKFYNVQSIRLPHNAMNIQTAASKDQCSEVCLSNCSCTAYSYGKGGCSVWHEELYDVRQQSEASANGNGETLYIRLAAIEVQSVEKKKKSRTIIGVAIGAGAAALCLVILLLMCWRKKIWFDRRAENAQGSIGIIAFRYIDLQRATRNFSEKLGGGSFGSVFKGYLSDSVTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDKKLLVYEYMPNRSLDVHLFKADDEGLDWNHRYEIAIGVARGLAYLHDSCRDCIIHCDIKPENILLDAYFVPKIADFGMAKVLGREFSHALTTMRGTIGYLAPEWISGTVITSKVDVYSFGMVLFEIISGRRNSSQEYLKDGDHSAYFPMQVARQLLNGGISNLVDAKLNGDANLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGLLELKMPPLPRLLNVITGGSNSISLLPIDLK >LPERR10G04560.1 pep chromosome:Lperr_V1.4:10:5582598:5589407:1 gene:LPERR10G04560 transcript:LPERR10G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRIEGLVVLVCARMESVYRRCLQETKVGGGGGGVVEMAAAGGGWGRVAGDLRRELHTALGTAKWQLDELQRAIKSNYGVVLAGKDTRARHDDFVSAIGHRILEVENFLKQSNTTEGRGTLSWVRLDEGEREELAHFLSAGTYQKRDEVVTIPSAGDIEVGGNARRVKIGVLSDSSNDSSGSAESGLVPAKETGPGHRRTASAYADVGVWSITIPDEGNVIDEQSFDDLPKAPLVKSPSASVLTNALQSKPRLKAKKWAGADQHEIVESLPLTNSQSCQGFDGLFQRSKSSLSTCDDNGTYNKKLYGCLGAFRRLLQRSQYQLQYGRPVQLLFLAIAVILVLIYATKAFL >LPERR10G04560.2 pep chromosome:Lperr_V1.4:10:5582598:5587641:1 gene:LPERR10G04560 transcript:LPERR10G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRIEGLVVLVCARMESVYRRCLQETKVGGGGGGVVEMAAAGGGWGRVAGDLRRELHTALGTAKWQLDELQRAIKSNYGVVLAGKDTRARHDDFVSAIGHRILEVENFLKQSNTTEGRGTLSWVRLDEGEREELAHFLSAGTYQKRDEVVTIPSAGDIEVGGNARRVKIGVLSDSSNDSSGSAESGLVPAKETGPGHRRTASAYADVGVWSITIPDEGNVIDEQSFDDLPKAPLVKSPSASVLTNALQSKPRLKAKKWAGADQHEIVESLPLTNSQSCQGFDGLFQRSKSSLSTCDDNGTYNKKLYGCLGAFRRLLQRSQYQLQYGRPVQLLFLAIAVILVLIYATKAFL >LPERR10G04560.3 pep chromosome:Lperr_V1.4:10:5582598:5589632:1 gene:LPERR10G04560 transcript:LPERR10G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRIEGLVVLVCARMESVYRRCLQETKVGGGGGGVVEMAAAGGGWGRVAGDLRRELHTALGTAKWQLDELQRAIKSNYGVVLAGKDTRARHDDFVSAIGHRILEVENFLKQSNTTEGRGTLSWVRLDEGEREELAHFLSAGTYQKRDEVVTIPSAGDIEVGGNARRVKIGVLSDSSNDSSGSAESGLVPAKETGPGHRRTASAYADVGVWSITIPDEGNVIDEQSFDDLPKAPLVKSPSASVLTNALQSKPRLKAKKWAGADQHEIVESLPLTNSQSCQGFDGLFQRSKSSLSTCDDNGTYNKKLYGCLGAFRRLLQRSQYQLQYGRPVQLLFLAIAVILVLIYATKAFL >LPERR10G04570.1 pep chromosome:Lperr_V1.4:10:5587203:5589449:-1 gene:LPERR10G04570 transcript:LPERR10G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPVVHPVEAAPPAAAAVAAAAVEAGGAHGGENGAQPRGVRMKDPPGAPGTPGGLGLRLVQAFFAAAALAVMAATDDFPSVSAFCYLVAAAILQCLWSLSLAVVDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >LPERR10G04580.1 pep chromosome:Lperr_V1.4:10:5641743:5643122:1 gene:LPERR10G04580 transcript:LPERR10G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQVIDPGKKHDVPKVSCRRGWLSHGIKRVKGTSSTSNDHTNGLPLNDDILLRIFAVFLSMSDLVRCAATCSQWRHLVSRNAAYICRSMPRLDSFVRNLAMGFFYQKQEKEDGSCEAPFNVMLFMRFRQVSMGWFLSEERFRSAHLVACRKGRLILELRRASLAAVLSLVVCNPMTREVLFLPPLSGKDRVGGYACVLLNADDSPCSSSGFCVLIVYNRRGSLVCRSYSSDTKNWGPEDSTTGAKVSSKCLHKMKAATMVQNVVFWQVERLLFGLCLDTLKAKLKDLSFPWYTDKYFFHCKENHLLAAWPDGMLGVVSVMVDSLVYVSTCMIFVMFLNVGGDKLWNGWGSEENMISIDIQPFLPYGTTLVHLHGVCEQSGLIFFAACSNWFGNITWRMYMLDLQRKVVQLLDVDNHCRGPENCKRFFPYEMDRATYLMSLGGRDFTQADHRHVNLV >LPERR10G04590.1 pep chromosome:Lperr_V1.4:10:5663515:5667560:-1 gene:LPERR10G04590 transcript:LPERR10G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGFATESLPAACLAKKDAYAVRDEEASPEAETKLAAGVERPLVQTTRITTTIIKLYRFMIFVRIGIFVLFFKWRITNAARMISPTDAGGITTKPAAAIWTASIAGELWFAFMWTLDQLPKMFPVRRAVNIAALDDDSLLPAIDVFVTTADPDKEPPLATANTVLSILAADYPAHKVTCYISDDAGAEVTREAIAEAARFAAMWVPFCRKHGVEPRNPEVYFNGDGGEGSKERKVVTRGRYNGIAWPELVRDRRRVRREYEEMRLRIDAVVRRRRRPGHATTDDYAGVVQVVISPDGVTAVDERLPGLIYVRREKRRGRTHHRKAGAMNALLRASAVISNAPFILNLDCDHYVNNSLALRAGVCFFVERGEDGGEVAFVQFPQRFDGVDPCDRYANHNRVFFDCTELGLDGLQGPIYVGTGCFFRRAALYAADPPRWRPPLATAVESAMFGTSVPFLASVQATLNNKEGGGDAVDEAIALVSSGYEDGTAWGVEIGWMYGTVTEDVATGFSMHRRGWRSAYFDLAFAAGAGSRDAFRGTAPINLTDRLHQVLRWAAGSLEIFFSRNNALLATASGCRRRLHPLQRAAYLNTTVYPFTSLFLIAYLLFFPAIPLAAGAAAFDMPPTATYVGFLVALMLTLAAVAVLETRWSGVTITDWWRNEQFWMVSASGAYLAAVTHVALKLAAGKEVSFNLTSKRPAPAAKPPLGAGAGRYAELYAVRWTALMVPTSAALAVNVASMASAWMSMSMSTAWDCSPAAAVRVVFNLWVVVHLYPFALGLMGRRSKVLSPILFLFCVVAYLAIRFLYLLLQFHAA >LPERR10G04600.1 pep chromosome:Lperr_V1.4:10:5673918:5676347:-1 gene:LPERR10G04600 transcript:LPERR10G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTTMEEPLLAVRHVGDGATPAATLVEVKKLLRLAVPLMVSFLLRNAVQTLSVMFVGHLGELQLAGVSLAASLTNVTGFSLLFGMASALDTLNGQAYGARQHGMLGVDVQRGMVVLAVACVPVALLWASAGEILLLFGQDPDIAAEAGSYARWLLPALAAYVPLTCAFRFTQAQGLVVPLMASSAVAAAAHVAMCWALVFKAGMGSKGAALSVAVTYMVNLSILVLYVRVSKACETTWTGFSMDAFTQLRRFTDLAVPSAMMVCLEWWSFEILVLLSGILPNPKLETSILSIGFNTTSMLFMVPRGFGSSLSTRVSNELGAGQSGAARLAARVAVAMTVVVGLVLVAALMLLRNVWGYSFSNEQEVVIYIARIMPVLAVSFFVDGLNGALSGVLTGCGKQNIGARVNLGAFYLIGIPTAVLLAFVLHLNAVGLWLGIVCGSISKLGVLLFITLRTNWGKEAIKAKERVFGSSLPTE >LPERR10G04610.1 pep chromosome:Lperr_V1.4:10:5680366:5694293:1 gene:LPERR10G04610 transcript:LPERR10G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSMEAPLLTPLVDQNDVAAEVKGLMRLAAPLVASCTLQNVVQMMSVMFVGHLGGLPLAGASLATSLTNVTGFSLLFGMASALDTLCGQAYGAGRRALLGVHKQRAMVVLAVAAVPIAIVWANTGEILLLTGQDGDIAGEAGAYARWTILSLIPYVPLVCHIRFLQAQSAVLPVMVSAGVTSAWHVAVCWALVVKAGMGSSGAALSIAVSYCINLVMLAVYVRVSNTCRETWTGFSMDAFRELRRFTELAVPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICTRVSNELGAGRPQAAKLATRVVICIALSEGLLLAFTMISLCNFWGYMYSNEAEVVTYIARMIPVLAISFFTDGLHSSLSSTICHLICGLPEVKFDNDMKEFIIMSSDRMCLTKIVFLLWITLRINWEKEATKAKETVLRSSLLGLWLGIVCGSLTKIIAAMEEKKPVEEPLLLHGEKKKSESAAVAELKRLLRLAGPLVVSGVLRNVVQMVSVMFVGHLGELHLAGASLATSLSNVTGFSLLFGMASALDTLCGQAYGARQHGLLGVYKQRAMVVLAIAAVPIALVWANTGEILLLFGQDPDIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVVPVMASCGVTAASHVAVCWALVHKAGMGSHGAALANAVSYSVNLTVLSLYVRLSDTCRKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVLLSGLLPNPKLETSVLSICLNTGALLVMVPLGLSTAVSTRVSNELGAGNPQAAKLSTRVVICMAMTEGLLVAFTMILLRKNWGHMYSDEAEVVTYISRMIPVLAISFFIDGMHSSLSGVLTGCGKQKIGARVNLGAFYLAGIPMAILLAFVLHMNGMGLWLGIVCGSLTKLFCLLWIAMRINWENESIKAKELVFSSSLPVA >LPERR10G04610.2 pep chromosome:Lperr_V1.4:10:5680366:5694293:1 gene:LPERR10G04610 transcript:LPERR10G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSMEAPLLTPLVDQNDVAAEVKGLMRLAAPLVASCTLQNVVQMMSVMFVGHLGGLPLAGASLATSLTNVTGFSLLFGMASALDTLCGQAYGAGRRALLGVHKQRAMVVLAVAAVPIAIVWANTGEILLLTGQDGDIAGEAGAYARWTILSLIPYVPLVCHIRFLQAQSAVLPVMVSAGVTSAWHVAVCWALVVKAGMGSSGAALSIAVSYCINLVMLAVYVRVSNTCRETWTGFSMDAFRELRRFTELAVPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICTRVSNELGAGRPQAAKLATRVVICIALSEGLLLAFTMISLCNFWGYMYSNEAEVVTYIARMIPVLAISFFTDGLHSSLSSTICHLICGLPEVKFDNDMKEFIIMSEIFSGVLTGCGEQKIGAQGNLGALTKIVFLLWITLRINWEKEATKAKETVLRSSLLGLWLGIVCGSLTKIIAAMEEKKPVEEPLLLHGEKKKSESAAVAELKRLLRLAGPLVVSGVLRNVVQMVSVMFVGHLGELHLAGASLATSLSNVTGFSLLFGMASALDTLCGQAYGARQHGLLGVYKQRAMVVLAIAAVPIALVWANTGEILLLFGQDPDIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVVPVMASCGVTAASHVAVCWALVHKAGMGSHGAALANAVSYSVNLTVLSLYVRLSDTCRKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVLLSGLLPNPKLETSVLSICLNTGALLVMVPLGLSTAVSTRVSNELGAGNPQAAKLSTRVVICMAMTEGLLVAFTMILLRKNWGHMYSDEAEVVTYISRMIPVLAISFFIDGMHSSLSGVLTGCGKQKIGARVNLGAFYLAGIPMAILLAFVLHMNGMGLWLGIVCGSLTKLFCLLWIAMRINWENESIKAKELVFSSSLPVA >LPERR10G04620.1 pep chromosome:Lperr_V1.4:10:5703891:5705865:-1 gene:LPERR10G04620 transcript:LPERR10G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMFVGHLGELPLAGASLATSLANVTGFSLLSGMATAMDTLCGQAYGARQYHLLGVYAHRAMVVLAAACVPIAAVWASAGKILLLLGQDAAIAAEAGAYARWLIPSLAAYVPLQCHVRFLQTQAVVLPVTASSAATAILHPIVCWVLVFWAGMGSRGAALANAVSYGVNVAILAFYVRASKSGCKATWGSFSGEAFRELRQFVALALPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGGGHPQAAKLATRVVMYMALSEGLIISLTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHTSLSGLLTGCGKQKIGAAVNLGAFYLVGIPMAVLLAFFLHLNGMGLWLGIVCGSIIKLLVLVLVSCGIDWEKEAIMAKDRVFSSSLPVA >LPERR10G04620.2 pep chromosome:Lperr_V1.4:10:5703891:5705865:-1 gene:LPERR10G04620 transcript:LPERR10G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMFVGHLGELPLAGASLATSLANVTGFSLLSGMATAMDTLCGQAYGARQYHLLGVYAHRAMVVLAAACVPIAAVWASAGKILLLLGQDAAIAAEAGAYARWLIPSLAAYVPLQCHVRFLQTQAVVLPVTASSAATAILHPIVCWVLVFWAGMGSRGAALANAVSYGVNVAILAFYVRASKSGCKATWGSFSGEAFRELRQFVALALPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICTRVSNEIGGGHPQAAKLATRVVMYMALSEGLIISLTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHTSLSGLLTGCGKQKIGAAVNLGAFYLVGIPMAVLLAFFLHLNGMGLWLGIVCGSIIKLLVLVLVSCGIDWEKEAIMAKDRVFSSSLPVA >LPERR10G04630.1 pep chromosome:Lperr_V1.4:10:5706850:5708177:1 gene:LPERR10G04630 transcript:LPERR10G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSGVSDPAVASKDVRPTTKDVGVDELMRSLNLTTEEMAVFSDDEDGEDSHAIEWALFGKRNDSGRLPYDLQLRVYEERRKKVQSFADAAAESLGSGGSSSSSHGKRSGGRPDNVKQGSKAQAAAGAPFDDEVTSPLKEKGGEQGRGEFGEPHTVSRKLFQQKDKMIMISDRKRKAKVAGAQSVANTGGDLNASYPLAMVPIGRVNALVSQLDVVSEGREAHSDELNKKQKTTTSVDLDARSAAAAKVQPCRAQ >LPERR10G04640.1 pep chromosome:Lperr_V1.4:10:5721501:5727375:1 gene:LPERR10G04640 transcript:LPERR10G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAQEPRHPLAPAPNSAAGYSSSPRRGKPSPTSTSRHVSSSAASATAAAAAAVTTMRMRSLSVSFQGQSFVYETPRAAPPPRRAPPGPRRQPRHRNGEAENERPPPPSPSPANALARTLDCSLHRKESILAAVRLLRGDATATDEHSACSIIPTAQTRFWQETNSRLRRLPESRLLETSPSPSPSRLQLQLQVGKEEEEARAPPPANAPSIISFATAVRRGNRGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAIARSCAAEKALDSAWKDISALRDNVSLKRSKLQLQKQKLKIFGILKGQISYLEEWSDVERNHSSSMSEAIKALKASIIRLPIVCGAKADVQCVREAVNSAVVKMDTMASSLCSLLSKVEGMSSMVVELAKVVSQEQMLLDQSRDLFSAVAVMHVKQCSLQACILQGNQKLGQALL >LPERR10G04650.1 pep chromosome:Lperr_V1.4:10:5728452:5729029:1 gene:LPERR10G04650 transcript:LPERR10G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSAACFLLVVLVLLGTPTSADECRDISTKDIFCLKYLCKSFCLDEARNWGGTAGYVQSYGCHGRKCSCTICHLV >LPERR10G04660.1 pep chromosome:Lperr_V1.4:10:5730785:5732127:1 gene:LPERR10G04660 transcript:LPERR10G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSVYFLLVLLLLGTPTASAEVCEHFSTKDLFCIKYLCRGFCHDEAVNLRGKNAKVMRAWCKGRRCNCNVCH >LPERR10G04670.1 pep chromosome:Lperr_V1.4:10:5746228:5747034:1 gene:LPERR10G04670 transcript:LPERR10G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSAFVCFLLALLLLGNPASADDLAPGTCETETDPLDPCSKTLCKLNCKLLAIKRGAALSSYECADGGCKCVLCAQ >LPERR10G04680.1 pep chromosome:Lperr_V1.4:10:5752985:5759838:1 gene:LPERR10G04680 transcript:LPERR10G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNPDYDWPQHCSSCNSVLDSGSEETTRLGCLHVMHTRCLISHIQSYSTQTAPAGYVCPSCSTPIWPPATIKDTGSRLHSKLKEAIAQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAADANLQSAGMYSTGIPSQVEPEIVEIDGPSPITTQFPEQESNFMRSPSPHGPSATTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKDSDAPEGRSRHQKSSRMDPTKILLAMAIILFV >LPERR10G04690.1 pep chromosome:Lperr_V1.4:10:5766164:5774373:-1 gene:LPERR10G04690 transcript:LPERR10G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGLLLRLVAAAALAAAAAVEARFVVEKNSLMVTSPTSMRGRHDSAIGNFGVPQYGGSMAGTAVYPKDNADACDAFDGDRQFRAKPGALPNFLLIDRGSCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKDFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVASESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKTEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQDSGNGKCQCPAGFKGDGVKKCEDIDECKEKKACHCPECNCRNTWGDYECTCSGELLYIKEHDTCISKTAVQAKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTHDEENH >LPERR10G04690.2 pep chromosome:Lperr_V1.4:10:5767666:5774373:-1 gene:LPERR10G04690 transcript:LPERR10G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGLLLRLVAAAALAAAAAVEARFVVEKNSLMVTSPTSMRGRHDSAIGNFGVPQYGGSMAGTAVYPKDNADACDAFDGDRQFRAKPGALPNFLLIDRGSCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKDFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVASESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKTEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQDSGNGKCQCPAGFKGDGVKKCEDIDECKEKKACHCPECNCRNTWGDYECTCSGELLYIKEHDTCISKTAVQAKAAWAAVWGILIVLVVVAAGSYVVYKYRLRGEEQRKEKSRVEQIEAEEELKEKTKSREDQII >LPERR10G04700.1 pep chromosome:Lperr_V1.4:10:5781021:5785223:-1 gene:LPERR10G04700 transcript:LPERR10G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVLLLVSNSLLLPSLGLAVSGQEAHEVAMAHLHEQPSPPAGELRVSVAQADLPMVASSVLGAESWLRAHVLAHYPSKPIAAIAVAHSFPCSGAGAGNGLLSLRVSRAVKNLHHALLRWGLAGEIKIDASIAASCAKKGEEGALKRRLYGMHHLPPPQPPAMTFSAPPPPGVPLSFAPNSPPEVVPSIPPAPAVALPPASPPMSMPATPPEAAAGGIAPCSAPPVTAMSPPPWSGEGGNGGLWCVAKPTVPLDRLQEAMDYACSQAGVDCEVISGGGSCFYPDSVAAHASYAFNSYWQKMRHVGGSCSFGGAAVLINSDPSMINFPLPVVHWLSPVSFHVGLKKAEFGSSVLVLAWRTKVWINPYNASVINPSFFLSHPGPLLGHCVAQ >LPERR10G04710.1 pep chromosome:Lperr_V1.4:10:5797967:5801689:-1 gene:LPERR10G04710 transcript:LPERR10G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIALFSLLLLLFLPALAAGDARRVLHEPLFPIEWTPPPSTTTATPPPSPPDFSSDPSTPVDNGGPALLPPPPPPPLPPLRRATQGSSEDDVAYYTPGQRSSGSGSASGGGGGGGGTWSEASASSPRTTTASRRSLPSLTSDFFPTTPATAAAAPPPPAPRSRRTPPRTRFSAGSDSDMIKQIVSPPPPPPPPSRINHSKIPNPPPPPPPPPPPAAETSTAPVSARRLFRPLPSDGPTIAVPKAPVMAVRKDTDVAAAAMSVRTRCDAAAIDEARPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSAAVAPRLDTPKKVGVPQFKQEERVLDPKKAQNIAILLRALNVTLEEVTDALLDGNAECLGADLLETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFIKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADIKGTDGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSSELGNVKRAATMDFDVLHGYVSKLETGLGKIKSVLQLEKHCSQGAKFFTTMHDFFKEAEQEIQKVRCDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQMQQGRDSTSDGDSPSL >LPERR10G04720.1 pep chromosome:Lperr_V1.4:10:5822367:5825389:1 gene:LPERR10G04720 transcript:LPERR10G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLHETTTKTAAPLLLLLVLLVHPCAYYELLVQAAPQQAGDLSLKAQAGALLRWRSTLTKIGALSTWSQHMYPCNWTGITCESAAKNSTTVVITGVSLPGAGIVGRLDSLSLHYLPHLCNLDLSNNRGLTGTIPKSVGNLTQLTHLHLHTNKLVGTIPAELGMLSSLLELDLSENLLTGTIPSSLVMNLSSLDMLCLWSNQLTGPVPRGIGSLKNLTVLDLSSNQLTGPIPSSIGNMSKLQILSLSNNMIGHHIVDQVGRLSDLRFLYLGNNQLVDQIPRSLGNLTSLTRLYLYSNALSGPLPQALSKLTNLVTILLGNNNFTGRLPDLCQAKRLQYLVVSYNNLQGPIPHSLRDCYTLRQLGLSTNKFDGDISQAFGVYPHLDHANISNNKLYGQLSPNWGSCRNLSSLLLAENMITGSIPSELGQLANLRLLDLHYNRLSAKIPPKIGGLSNLYSMDISRNQLHGEIPKQIGHIRSLEILDVSSNKLNGTIPEELGNCFKMQRLNMGSNSLSGTLPSNLGNLVFLQSLLDLSNNNLSGEIPPELGTLDMLMFINFSHNHFSGPIPSSIMSMRSLSIFDVSYNDLEGPIPQWNYNVSAEWFLHNKALCGQLAGVPQCSSPDVRHVGGKKKHWKLALEVGIPVFIGIASIITVGVTVVLIWRKKSPSGDHAANRRVDVFSIWSFDGKLAFEDVMNATENFDEKHCIGEGAYSRVYRAQLQDGQTVAVKRLHHSHLVNEDIHQEESFRDEIEVLTKIRQRSIVRLYGYCSHPRFKFLVCQFIERGNLASVLRNEELSTQLHWQRRMVLLRDVAQAISYLHHECHPVIIHRDITSRNILLDADYRAFVSDFGVARILKPDSSNWSTLAGTYGYIAPGKYLYNIVAAYAFGAEFSYTSVVTQKCDVYSFGVVALEVLMGRHPGDFQESIAPLTKDETNLEVILDQRLAAPGTDDELRDVIFQSISVAFLCVRANPQDRPTMQQVYRALAS >LPERR10G04730.1 pep chromosome:Lperr_V1.4:10:5829462:5832699:-1 gene:LPERR10G04730 transcript:LPERR10G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLVYTASLVLILFVISYVFKLFTDTHRRLPPGPRPLPLIGNLLDIAGDLPHRSLARLARRHGPLMTVRLGTVVAVVASSPATAREILQTHNGSITGRVPPDAWRGAGHAAHSVFVLPPRRKWRALRRIGAEHLLSPRLLDNDGRRRLRPILRDAVLGVLDRVSDLASRGEPVEVGRVVFAAMVDMQWRAMFSARLDDGDDGAAASAHVLHDAAREAVAGSLKGNVSDFFPVLAALDVQGLRRRFARLVATVYRFIDEEIDRRMQRRRDAAGGGDGGAGGVNSGDLLDVLLDMSEREKGGGMVAMDRDVMRTFLADMFLATVDTIASTIEWAMAELLQNQESMTKLHEELTNVLGTSKTHVEFDDTVPPGRHQRNTAPPPVPLVPNVAEEAVEIHGHGVIPKGTTVLVNLWAVHRDAEAWPDQPERFLHGADRPLGAATTEFELIPFSAGRRVCLGLPLATRMLHAMVGSLMGRFVWTLPRDVVENGVDMTESLGLTMTMATPLQAIAKSV >LPERR10G04740.1 pep chromosome:Lperr_V1.4:10:5839857:5842830:1 gene:LPERR10G04740 transcript:LPERR10G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPPSPATIPAARTAVVLPTMADIMAASRAQGLRVRLTTLGPFFRVAASRRCAGGEGEEEEELGRAQGMVRPWPGCAVLHLDSMRMSRAAVRAPDRPLFGLGLFLGAVAVRHGFDAGCRRAELLAINDTDLYHSKLVRFYTRMGFKTVHEVDGSSMADLAHMLVWGGRGTRMDADIEQLLIKWSKRFRSQD >LPERR10G04740.2 pep chromosome:Lperr_V1.4:10:5839857:5842948:1 gene:LPERR10G04740 transcript:LPERR10G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPPSPATIPAARTAVVLPTMADIMAASRAQGLRVRLTTLGPFFRVAASRRCAGGEGEEEEELGRAQGMVRPWPGCAVLHLDSMRMSRAAVRAPDRPLFGLGLFLGAVAVRHGFDAGCRRAELLAINDTDLYHSKLVRFYTRMGFKTVHEVDGSSMADLAHMLVWGGRGTRMDADIEQLLIKWSKRFRSQD >LPERR10G04740.3 pep chromosome:Lperr_V1.4:10:5839857:5841161:1 gene:LPERR10G04740 transcript:LPERR10G04740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPPSPATIPAARTAVVLPTMADIMAASRAQGLRVRLTTLGPFFRVAASRRCAGGEGEEEEELGRAQGMVRPWPGCAVLHLDSMRMSRAAVRAPDRPLFGLGLFLGAVAVRHGFDAGCRRAELLAINDTDLYHSKLVRFYTRMGFKTVHEVDGSSMADLAHMLVWGGRGTRMDADIEQLLIKWSKRFRSQD >LPERR10G04750.1 pep chromosome:Lperr_V1.4:10:5849005:5852372:1 gene:LPERR10G04750 transcript:LPERR10G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLVCMASLVLIFFTSYVFHLFTNTHRRLPPGPRPLPLIGNLLDIAGDLPHRSLAHLARRHGPLMTLRLGTAGVTIVASSPATAREVLQTHNGSLTGRSPPDAWRGAGHADNSVFVLPPRRKWRALRRIGAEHMISPRMLDDGARRLRPILRDAVLGLVGRVSEAAGHGGVPVEVGRVVFAALADLQWRAMFSVSLDEAADVDTARALHDAAREAVALSLKPNVSDFFPMLAAFDLQGLRRRFGRSMATVYGLIDEQIEIRVRSRRLDNAGGVDKDDDLLDVLLDMSEKGKDGDVVNIDRGVMRTFLADIFLATVDAVSCGIEWAMAELLQNPPIMLKLQEELRRVLGSKTHAEYSDMDNLPYLQAVTKETLRLHPVVPFVPNETEETVEIQGHIIPKGSTVLVNVWAVHRDAEVWPEPDKFLPERFLLRQNEQEAARRPLGTATTEFELIPFSAGRRVCLGMPLATRMLHAMLGTLLHRFEWTLLREVEKCGVDMSENLGLTMTMATPLQAIAKGI >LPERR10G04760.1 pep chromosome:Lperr_V1.4:10:5858312:5867161:1 gene:LPERR10G04760 transcript:LPERR10G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVPYDHTASIECLGNPMTPLYNGGVIKNSEFNNGLKDWTVPWGVEATVSTSLSGNKFAEAQTSNGQLPSRTVYQTVQMEANTHYSLSAWLKVSAGTANVRAVVKTADGQFVAAGATVAKEGCWSMIKGGMTAYSSGPGQLYFEADAVVVIWVDSVSLQPFSFAEWDSHRQQPVSKARRSTVKVVARGADGTPMANATVGVNLLRPGFPFGNAMTREILDIPAYQQWFTSRFTVATFENEMKWYSTEWSQNHEDYTVPDAMLNFAEQHNIKVRGHNVVWDDNSTQMGWVKSLGVDELKAAIDNRIRSFVSRYRKRVIGWDVVNENLHWNFFESKLGPDASSKIYERVGQIDGDTPLFMNEFNTVEQPLDTAVMATKYVSKLKDIRSFPGNVGLNFAIGLESHFGIPNIPFMRATLDTLAQLELPIWLTEIDVTNGPNQAQHLEQVLREGYGHPSVDGMVMWAAWHAHGCYVMCLTDNNFRNLAVGDVVDKLIAEWRTHPVAATTDADGVVELDLVHGEYNVTVTHPSIGSSAAVRVLTVDSSSSENGIRVIDEAQRVFMEKVLVLSLICCISLFQGWVVQSVEYDHTASIEASDVCLRDPMKPLYNGGIIQNGEFNSGLMGWSTHRNIKAGVSSSPSGNKFAVVQRAASVSGAGDTVPVPSRSVFQKISLQRDTHYSLSAWLQVPTGTAHVKAFVRTHTGEHVVAGSVAAQSGCWNMLKGGMTTYSSGPGEIFFESDAPVDIWVDSVALQPFTFEEWDAHRQQSASKARRSTVKVVARGPDGAPMSNATVIVELLRTGFPFGNTMTKEILDLPAYEKWFTSRFTVATFENEMKWYSTEWTQNNEDYRVPDAMLKLAQKYNIKVRGHNVFWNDQNSQMKWVKPLNLNQLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNYFESKLGSNASPMIYNQVGSIDHNAILFMNEFNTLEQPGDPNPVPSKYIAKMKQIKGYTGNGGLKLGVGLESHFSTPNLPYMRSALDTLAQLKLPMWLTEVDVVKGPNQVKFLEQVLREGYAHPSINGMIMWAAWHAKGCYVMCLTDNNFKNLPVGNVVDKLIAEWKTHKTAVTTGADGAVELDLPHGEYNLKVSHPSLGTTTAVHAMTVDAASSASEHQINIKV >LPERR10G04760.2 pep chromosome:Lperr_V1.4:10:5858312:5867161:1 gene:LPERR10G04760 transcript:LPERR10G04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVPYDHTASIECLGNPMTPLYNGGVIKNSEFNNGLKDWTVPWGVEATVSTSLSGNKFAEAQTSNGQLPSRTVYQTVQMEANTHYSLSAWLKVSAGTANVRAVVKTADGQFVAAGATVAKEGCWSMIKGGMTAYSSGPGQLYFEADAVVVIWVDSVSLQPFSFAEWDSHRQQPVSKARRSTVKVVARGADGTPMANATVGVNLLRPGFPFGNAMTREILDIPAYQQWFTSRFTVATFENEMKWYSTEWSQNHEDYTVPDAMLNFAEQHNIKVRGHNVVWDDNSTQMGWVKSLGVDELKAAIDNRIRSFVSRYRKRVIGWDVVNENLHWNFFESKLGPDASSKIYERVGQIDGDTPLFMNEFNTVEQPLDTAVMATKYVSKLKDIRSFPGNVGLNFAIGLESHFGIPNIPFMRATLDTLAQLELPIWLTEIDVTNGPNQAQHLEQVLREGYGHPSVDGMVMWAAWHAHGCYVMCLTDNNFRNLAVGDVVDKLIAEWRTHPVAATTDADGVVELDLVHGEYNVTVTHPSIGSSAAVRVLTVDSSSSENGICLRDPMKPLYNGGIIQNGEFNSGLMGWSTHRNIKAGVSSSPSGNKFAVVQRAASVSGAGDTVPVPSRSVFQKISLQRDTHYSLSAWLQVPTGTAHVKAFVRTHTGEHVVAGSVAAQSGCWNMLKGGMTTYSSGPGEIFFESDAPVDIWVDSVALQPFTFEEWDAHRQQSASKARRSTVKVVARGPDGAPMSNATVIVELLRTGFPFGNTMTKEILDLPAYEKWFTSRFTVATFENEMKWYSTEWTQNNEDYRVPDAMLKLAQKYNIKVRGHNVFWNDQNSQMKWVKPLNLNQLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNYFESKLGSNASPMIYNQVGSIDHNAILFMNEFNTLEQPGDPNPVPSKYIAKMKQIKGYTGNGGLKLGVGLESHFSTPNLPYMRSALDTLAQLKLPMWLTEVDVVKGPNQVKFLEQVLREGYAHPSINGMIMWAAWHAKGCYVMCLTDNNFKNLPVGNVVDKLIAEWKTHKTAVTTGADGAVELDLPHGEYNLKVSHPSLGTTTAVHAMTVDAASSASEHQINIKV >LPERR10G04770.1 pep chromosome:Lperr_V1.4:10:5896659:5897705:-1 gene:LPERR10G04770 transcript:LPERR10G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLLDGLPNEVALQCLARVPFLSHPILQLVCRSWRASVRSGELSTVRNQIGATEELLCVLAFEPENMWQLYDPLRDKWITLPIMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLHRVWAQRAPMLVARAMFACCALDGKIVVAGGFTNCRKSISKAEIYDPEADTWEPLPDLRQAHSSACFGLVIKGKMHVLHKGLPTVQILEDGGNNWAVEDFSWLQGPMAMVRGELYVLSNSCIMKQRGENFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWRPGSPMTHCRGSISGCALLRI >LPERR10G04780.1 pep chromosome:Lperr_V1.4:10:5908665:5909475:-1 gene:LPERR10G04780 transcript:LPERR10G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIEHPPSSSLSGNGGGGRVERAYGAVAAAAVMAANPGHYVAEVVRPVAATPATSTTAKTPAARQRRRLKLLRPDDTLVLGGVYRLVSFEDVLKQFVSKRNATLSRATIAAADDDDDDGGESLSAKV >LPERR10G04790.1 pep chromosome:Lperr_V1.4:10:5924871:5929302:-1 gene:LPERR10G04790 transcript:LPERR10G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRPPLSRARMASAKFTEEMHLVLLNAAYDGDLRLLKRVIYVLDNGRGRPRQVVYAARADGVWALHLAAGNEQMGVCRFLVQGLRVDVNIADDKGPENAAVVKYLLDHGADPNKADDDGLSPLHSVAGIGDCEMIELLLAKGAYVDPIADEVGTPLHLATKERKVGAVKALLDHNADCNKTYMIFGLYPMTPLFQAVNVSSVECVKLLVEAGANINSDCISAASLDCAMGNNGSTECLNFLLEAGANRHAPNNAPVTTEGTEGLCRELFTAYSWQESSYVADCKGP >LPERR10G04790.2 pep chromosome:Lperr_V1.4:10:5924871:5929302:-1 gene:LPERR10G04790 transcript:LPERR10G04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRPPLSRARMASAKFTEEMHLVLLNAAYDGDLRLLKRVIYVLDNGRGRPRQVVYAARADGVWALHLAAGNEQMGVCRFLVQGLRVDVNIADDKGPYPLSNVWFLYLIRTSCQQFQDLLIWQCPVFVCVGITPLVYAVISENAAVVKYLLDHGADPNKADDDGLSPLHSVAGIGDCEMIELLLAKGAYVDPIADEVGTPLHLATKERKVGAVKALLDHNADCNKTYMIFGLYPMTPLFQAVNVSSVECVKLLVEAGANINSDCISAASLDCAMGNNGSTECLNFLLEAGANRHAPNNAPVTTEGTEGLCRELFTAYSWQESSYVADCKGP >LPERR10G04800.1 pep chromosome:Lperr_V1.4:10:5938516:5944384:1 gene:LPERR10G04800 transcript:LPERR10G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPKPHRRRRLPKPRAAGETKTSPPIYTRDVVHRVNSILRSHPWSEARPLLLSVPGLSWDSHTVARVLKTHPPLHKAFLFFRLAPIAAGAGADGGGGFRHDRFTYTSMIHLLGEAGRMEAMLRLLAEMLRAGVDPDAATFTTVMHWMARSGDVDGAMRVWEEMRAGRGGRRRGCRPTLVSYTACVKILFDAGRAEEARRVFEEMLAEGLRPSCKTYTVLIEHLANVGEVFDQMPDDWKFEAAMEIMGEMQEAGVEPDKALCNILVQKCSRAGETLVMTRILQYMKENFIVLRRPIFLEALEAIKDSGESDNLLREVNPHLAFEGIECDAAFMDIGYITVRSIILYLLAARNWSAIEQMIKEMTPKNIKVESHILSDIVQASCANCRPSCGLAVLRYSLRIGNELERSAYGSLLGHYIRNGSYDTVFGIVEILINSGCNLGTYLASTLIMKLASAGHSSLAVRIFGLLTTDQNVVTYTALMCAYFQAGKADKALQLFSQMNNSGISACSGTYQVLIHGLQMAGRKQDSEHYQRERMEMQWHLQYHNERSPEDSLCNHLFCRFHG >LPERR10G04800.2 pep chromosome:Lperr_V1.4:10:5938516:5944571:1 gene:LPERR10G04800 transcript:LPERR10G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPKPHRRRRLPKPRAAGETKTSPPIYTRDVVHRVNSILRSHPWSEARPLLLSVPGLSWDSHTVARVLKTHPPLHKAFLFFRLAPIAAGAGADGGGGFRHDRFTYTSMIHLLGEAGRMEAMLRLLAEMLRAGVDPDAATFTTVMHWMARSGDVDGAMRVWEEMRAGRGGRRRGCRPTLVSYTACVKILFDAGRAEEARRVFEEMLAEGLRPSCKTYTVLIEHLANVGEVFDQMPDDWKFEAAMEIMGEMQEAGVEPDKALCNILVQKCSRAGETLVMTRILQYMKENFIVLRRPIFLEALEAIKDSGESDNLLREVNPHLAFEGIECDAAFMDIGYITVRSIILYLLAARNWSAIEQMIKEMTPKNIKVESHILSDIVQASCANCRPSCGLAVLRYSLRIGNELERSAYGSLLGHYIRNGSYDTVFGIVEILINSGCNLGTYLASTLIMKLASAGHSSLAVRIFGLLTTDQNVVTYTALMCAYFQAGKADKALQLFSQMNNSGISACSGTYQVLIHGLQMAGRKQDSEHYQRERMEMQWHLQYHNERSPEDSLCNHLFCRFHG >LPERR10G04800.3 pep chromosome:Lperr_V1.4:10:5938516:5941464:1 gene:LPERR10G04800 transcript:LPERR10G04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPKPHRRRRLPKPRAAGETKTSPPIYTRDVVHRVNSILRSHPWSEARPLLLSVPGLSWDSHTVARVLKTHPPLHKAFLFFRLAPIAAGAGADGGGGFRHDRFTYTSMIHLLGEAGRMEAMLRLLAEMLRAGVDPDAATFTTVMHWMARSGDVDGAMRVWEEMRAGRGGRRRGCRPTLVSYTACVKILFDAGRAEEARRVFEEMLAEGLRPSCKTYTVLIEHLANVGEVFDQMPDDWKFEAAMEIMGEMQEAGVEPDKALCNILVQKCSRAGETLVMTRILQYMKENFIVLRRPIFLEALEAIKDSGESDNLLREVNPHLAFEGIECDAAFMDIGYITVRSIILYLLAARNWSAIEQMIKEMTPKNIKVESHILSDIVQASCANCRPSCGLAVLRYSLRIGNELERSAYGSLLGHYIRNGSYDTVFGIVEILINSGCNLGTYLASTLIMKLASAGHSSLAVRIFGLLTTDQNVVTYTALMCAYFQAGKADKALQLFSQMNNSGISACSGTYQVLIHGLQMAGRKQDSEHYQRERMEMQWHLQYHNERSPEDSLCNHLFCRFHG >LPERR10G04810.1 pep chromosome:Lperr_V1.4:10:5943515:5946905:-1 gene:LPERR10G04810 transcript:LPERR10G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFECENMQWNSSDLASDDAQSPKPQRSRSRQHRFQGKSFSRSMSCDSHSKASFSSSSRAHTKVDLSKLEMAALWRYWRHFNLDASPNPSREQLVDAVQRHFVSQVIVGFVQAAKRLKTNVKVA >LPERR10G04820.1 pep chromosome:Lperr_V1.4:10:5986459:5988868:-1 gene:LPERR10G04820 transcript:LPERR10G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPAILDGGFCFGPLDPVSNIIANAVRHLPAEESSAVASHGGQCESTAEEMARRSVQALVGFMICYFRYLPTLEAIHYLCAAKGDLLAAVVLVEAERCTRGAFNIHSCTTKTALRCAAGAAGHADLDSLARAMLLLSSRSQEIVHLLESSADHRLSSSAVEHLRRFLLEEKPNDEICTEQLMLFANSGLPPVLAGAVATFDALSPLGVTIKDDRTNERTTKSLHNVLLDKIHAFYLTALTLLPQDQLRRRYHRSLVMAGHCYGPLDPVSNILLNTIWYDAAFPVPKDHRQPELDMVGRSALIRAERCSIDGLVAGLRAFAGDYKLFELALLQRREHTLMLSEFELAAFLGNQQPSESELYSFMAIVAHRLELYRLMAIVAEHPSDNGLQEFLTSDRARVMLTLPRESRRFSAENVRYVIRSLSQDPPPSLGMPLELACLRPVAENVISLFPEASKSFYADMGSFRMRVKVALDEYVLQNGGPDYVMHVICGANESVADRGGPEYSKLNWPRSHNKLHYSHINFLASPAGSCTSMLPTLFFAECVNHNEPSDHLRKNTCCPVVMPPTNAENVRCFYCEYKGVRIIHPADGNYHGCDTDFEKLACKQHILTNDIEDIFNNGNVVTNSTRVVQEDFVYFDYAREPSCCLDG >LPERR10G04830.1 pep chromosome:Lperr_V1.4:10:5998544:6003469:-1 gene:LPERR10G04830 transcript:LPERR10G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAAASGDPATSDRRACTWRIASQLRSFSLLGSPAAAPPIAVFAGDATAQPILPCSAPDSTRERSRTEEKNREGDLGGCAYGARFGEGPPPSGITMQSICFMGQLSVA >LPERR10G04830.2 pep chromosome:Lperr_V1.4:10:5998544:6003469:-1 gene:LPERR10G04830 transcript:LPERR10G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAAASGDPATSDRRACTWRIASQLRSFSLLGSPAAAPPIAVFAGDATAQPILPCSAPDSTRERSRTEEKNREGICFMGQLSVA >LPERR10G04840.1 pep chromosome:Lperr_V1.4:10:6000002:6000193:1 gene:LPERR10G04840 transcript:LPERR10G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNYPIVMLGQRFDETINMGNLPVHYQTRSSPARWRRPETIRVSRDSISSVTPQRSRKT >LPERR10G04860.1 pep chromosome:Lperr_V1.4:10:6039531:6041614:1 gene:LPERR10G04860 transcript:LPERR10G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRALRGQLPMGVGSNSRRYGGGSGFSWMEGCTACYESITGIMRKLFYSAILDKKPIKGKMERLEKVYRVTVVKTVDRVK >LPERR10G04860.2 pep chromosome:Lperr_V1.4:10:6039216:6041614:1 gene:LPERR10G04860 transcript:LPERR10G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWIRGHCEVNCQWALAGGFTGLFVPLPSCSKKSTAIAGGMVAAAASHGWKAARRATILDKKPIKGKMERLEKVYRVTVVKTVDRVK >LPERR10G04870.1 pep chromosome:Lperr_V1.4:10:6048613:6052821:-1 gene:LPERR10G04870 transcript:LPERR10G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIPGLALLNFSINNSWSDVELVRFLAERKAADSLPENVMVGMDFSLLEPRNSDDQHSPMNGENTIVETQTGYWKSVDTVRIPTSTSIVGVKVTLDHYEGQAPSGKRTGWVMNEYLVEQNDEANLPQDYKNLCTIFFQGDKKVNAGDKQISLDANVPSERQESYLQYLAELEEQNVASNPQAVSENEKNVSSSKGRDRQKTIAADDQSVNYSSSSEGYIELNDLLSSDASASTSEYSSRRTVISEDYFDSDAFLREIMKDHKTNDEEHRDSKLSIAAPSKSDRVVISPPEQGFVNNLDSHATIAGDSPQKSVQSDKGQIFTDSCFNSVKSEMRMAVVSDYHQILSFVNFYCTIFCDSHTLIKVLFVVVNLGLAFSTRVIDNGGWGSSFPM >LPERR10G04880.1 pep chromosome:Lperr_V1.4:10:6071359:6073391:-1 gene:LPERR10G04880 transcript:LPERR10G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKQMSDENKEGGSERKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDHIGRRLTISLAASIFLAGSILMGLAPNFATLLSGRCVAGVGVGYALMIAPVYAAEIASADTRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSLILAVAVLAMPESPRWLVIQGRDGDALAVLRRISDKPSDADARLAEIKAAAGDVSESSSGKGVWREMFLRPTAAVRRILVAALGIHFFQHLTGIEAVVLYSPRIFKAAGIATRNEVLAATIGVGVTKTTFILVAILLVDRVGRRPLYLTSLAGIVASLSCLGLGLTVIGHVSSPHPPTWAVILAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAAVSMTFVSLYKAITIGGAFFLFAGLAVVAAVFFYFFCPETQGLPLEEMEEVFEKGWLERRRTRAEAVELTMA >LPERR10G04890.1 pep chromosome:Lperr_V1.4:10:6105099:6107908:-1 gene:LPERR10G04890 transcript:LPERR10G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKLSKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVEVLAGILNVCALAGALTAGRVSDQIGRRLTISLAASIFLAGSILMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASAETRGSLTFGILIGYVSNYLLAKLPLVYGWRAMLGFGALPSAILAVAVLAMPESPRWLVVQGRDGEALAVLRRFCDDAESRLAEITKAAGGDVSTAADAHKKGVWREMFLRPTPTVRRIVVAALGVHFFEHLTGIEAVVLYSPRIFHAAGIVTRDEVLTATIGVTVTKTTFILVAILLIDRVGRRPLYLSSLAGIVASLSCLGIGLTVIEHSTLAHHTPPMWVVILAIATVFTFVASFSIGAGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAAVSMTFVSLYKAITIVGPVVAAVFFYLFCPETQGRPLEEIEEVFEQSWLERRRRTSATAAELMMA >LPERR10G04900.1 pep chromosome:Lperr_V1.4:10:6155967:6156233:-1 gene:LPERR10G04900 transcript:LPERR10G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHEAELEALLAQVKENIKVAKGKIVDHPSTVKDSKEKVANAIRHARDLKKNLKPVPGTDAEDAAVIDEADMIRLRAIEAINHLLGN >LPERR10G04910.1 pep chromosome:Lperr_V1.4:10:6190534:6190818:1 gene:LPERR10G04910 transcript:LPERR10G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHNYFEEGNLDRFRATGPCMPSEPCNMVLTSDGSGNKAGWYVSYVQVTQFGQASVPSMSHKWAVDQWLAIDEAPNMLSADRRGCGIGREAP >LPERR10G04920.1 pep chromosome:Lperr_V1.4:10:6220756:6222704:1 gene:LPERR10G04920 transcript:LPERR10G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAAKHGPLMRLPAVVASSPECAELVLKTHDLAFADRYCSETLRAITFDAGDIAFAPYGERWRQLRKIAVLEVLGAARVRSPASRRVREDEARRMVLELADAGGGSWMARLVNDAVVSASIGGGRWRGREEFLEALEESVRLSSGMNVADMFPSWGRVMGVLGTSMRRALETRRRMERVIEQVIQERKELIMAAAADTGGGEDECSLDVLLRLQKEGGTAIPITNETMVALLFDMFAGGTETTATALNWTMAELMRSPRVMEKAQAEVRQALQGKNTVTESDIVELSYLKMVIKEALRLHCPVPLLGPRKYRETCQVIGYDIPKGTTVLVNVWAICRDPKYWDESEEFKPERFENNRIDFKGNDFEFLPFGAGRRMCSPNLASMEIVLASLLYHFDWKLPNGMEPKDVDMEDGPGIVSAKRTSLLVCPVTRIPPNNV >LPERR10G04930.1 pep chromosome:Lperr_V1.4:10:6228945:6242737:-1 gene:LPERR10G04930 transcript:LPERR10G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGRATGGGGGGDKWKPEWLLELVLSIPAMSNTKPRSIVTISGLGVLPVAGFVGCLLLVWAMGNGKCGGGEVVGRDGVEEVAAQFNLSITKLQALASLLSSPERECICKSGMISDDGTPVDGMPDISNTRIEIKPSGRNQNWLENSILQDCCTSEDNYDQTNHENNLLQNTVQQDVGSPTTLYNQNNVLSCNHGMMFTLSASFGIVAILVVITIFKRGKQANELCQHEKPLPTPSAKISRKWSKRALLIGVLVGLCSSVWIFSSMNADVVARRIENLENMCDERARMLQDQFNVSMNHVRALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEHKHGWRIKKMAAEDHSLVHDYNPENLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTSPFKLLKSNHLGVVLTFTVYKYDLPPNVTPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVNASGDLHISTIDFGDPTRKHEMHCRFKHKPSLPWSAIMISSAVAIIVLLVGYIICATLNSLEEAENNYTAMRDLKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDRVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIQVHLVEEVKKKMEVLDDTPQNKEVTEKSNNTMPYNTLSGLEVANNWKTLKNFRMFKDSTHAMDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMSGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKKYCPEPTPPDFQGMRALVVDGRFVRAEVTVYHLRRLGIQCDLAATSEEALSALMEACNSSVNSSLNMVLVDKEAWGEDSGLAFFRCLVDLRLKGTLKSWQIMPKFFLLAGSISPADSDFLRLAGHANSIRKPLRLSTVAACLSKALGIGLTGRRSRDNSLVLRSVLTGKHILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISNLQPPHKFDACFMDVQMPEMDGFEATKLIRSVESKINDMIQAGEVSSKAYENKAHWHVPILAMTADVIQATFEGCMECGMDGFSNAASAKNPFGDNSVGKQRSYAVNRDLKFDWTAIYVSLDNEVKSISTSSVQPCALMGSLRPCLELQLTDSSYLELEPSQTV >LPERR10G04940.1 pep chromosome:Lperr_V1.4:10:6243010:6246405:1 gene:LPERR10G04940 transcript:LPERR10G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGGGGGDEVRWFWFVAAIGGRKEWVVVGRGKGGGREGGGLLCSACRLQQLKRERGEETTSSNRGSEEQLGDDFSIYVGVYRENQMGMMRLIERDWGKEKERLVVR >LPERR10G04940.2 pep chromosome:Lperr_V1.4:10:6243010:6243745:1 gene:LPERR10G04940 transcript:LPERR10G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGGGGGDEVRWFWFVAAIGGRKEWVVVGRGKGGGREGGGLLCSACRLQQLKRERGEETTSSNRGSEEQLGDDFSIYVGVYRENQMGMMRLIERDWGKEKERLVVR >LPERR10G04950.1 pep chromosome:Lperr_V1.4:10:6272594:6272950:1 gene:LPERR10G04950 transcript:LPERR10G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGGNCEVKSSIALLQERFRKLQKVREMREGREQLQTTTSPVAAATAVSSDDKPPRWFSHPELVRPARPAIKQLAAATIGDAGVQPPAVSLGSRTAVVLQSSGCRSDVEVDTSLHL >LPERR10G04960.1 pep chromosome:Lperr_V1.4:10:6288183:6289694:1 gene:LPERR10G04960 transcript:LPERR10G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQMSKAAAMEAAGKTATFIRCVHQWIHSVHLLQIWVSTSLSLREDRMEIQMSKAAVMEAAGETATFIRCVRQWIHSIHLLQIWVSTSLSLRERKGKETPCKRKP >LPERR10G04960.2 pep chromosome:Lperr_V1.4:10:6288183:6289694:1 gene:LPERR10G04960 transcript:LPERR10G04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQMSKAAVMEAAGETATFIRCVRQWIHSIHLLQIWVSTSLSLRERKGKETPCKRKP >LPERR10G04970.1 pep chromosome:Lperr_V1.4:10:6294270:6294758:1 gene:LPERR10G04970 transcript:LPERR10G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSNLTRNNPSPMFFISLILSILAFTSAKHDHNGIGLCPPSPSPTPSPAPSPSPTPVESPASSPPSPAQTPTAPCPLVQADLSVCVSLALGSPPPDKISNTKAQCCSRISGVPSGTAASCLCHALKVNARVDANVNLLGLIHLVLKVCGKDEPSAIVCA >LPERR10G04980.1 pep chromosome:Lperr_V1.4:10:6300060:6300378:1 gene:LPERR10G04980 transcript:LPERR10G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAEKTIAYTDQDVQIRLFKVTTREFLSSSILKNPLLLKDANPQEIVEESHWVRSLQSFKHRSFGN >LPERR10G04990.1 pep chromosome:Lperr_V1.4:10:6300929:6302290:1 gene:LPERR10G04990 transcript:LPERR10G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALSSARDVLYNYGEVSRRLPVMLQSTELNIDSLKKQNSFLVQHAAKIVPMPLHCLHMQLTTDYYFRDGVIKEYFRGAALKEEEDKAKCENQSLYHYAMFSDNNEYRKLWKLGTLPPGLITFYNLTCTLNLNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLGISKNKPYWSKYVDLDNSHIQRCYMSEQ >LPERR10G05000.1 pep chromosome:Lperr_V1.4:10:6322624:6336397:1 gene:LPERR10G05000 transcript:LPERR10G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMPNLRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALMEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCNSIPEEIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETIKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGIPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDKAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQASDLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSNFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLYVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYMVKGSIRMQWHRSGLIALWEFSEEHIKQRNGQDVMSLKQQVEDPDEKRWGVMVVIKSLQYLSSAIEAALKETSHYKAGVGNVSNGNSASPSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDSTVTSHLNGAGVTLVSCIIQRDEGRPPMRHSFQWSLDKIYYEEDPMLRHVEPPLSTFLELNKVNLEGYSEVKYTPSRDRQWHIYTLVKNKKDQRSNDQRLFLRTIVRQPSVTNGFLCGSIDNEVGRAQASSSYTSNSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTMDEVGQDEATVCALLKNMVLNIYEHVGVRMHRLSVCQWEVKLWLNCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHQTFYHSATPSPGPLHGIALHEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKKSWRSTLSVVAEANGHNKSYVKVTELVFADSTCSWGSPLAPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFHVGWSDDDSPERGFHYIYLTEEDYSRLSSSVIAHELKLESGETRWIVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARTAICGVQDSQGKWLGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYSERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELINLNTKLREMKKENSGLSEMDTIRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYGRLRRRVTEDALAKEIREAAGEQLSQKSALEYIKKWYLSSNKSDGDSEKWNNDEAFFAWKDDPTNYENKLEELKAERVSKWLSRLAESPDVKALPNGLSIVLDKMDPSKREQVIDGLRQLLG >LPERR10G05010.1 pep chromosome:Lperr_V1.4:10:6337512:6338564:1 gene:LPERR10G05010 transcript:LPERR10G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAFRAMRDHGLLPRVESCNVFLSAALSLRRPEIALSFFREMRRCRISPNTYTANMVMRAHCDMGRIADAAGVLDEMPQWGVRRTAASFNTLIAAYCRRDIGVDEALRLKRRMEREGVMPDVVTYDTVIHGLCREGRMGKANQVVTEMRAKGVVPNTVTYNTLIHGYVALGNNGMASRVHEEMVGNRVELDIVTYNALILGLCNEGKMKKVEGLLRELDRAKLEPNASTFSALIIGWCKKDNSERALQLLNVMKKSGFHPNYATYKMVISSFCKNMDFEGAVDVMRDMVGMCIAPDKALLNEFFDGLWEAKKLHLAENLRSLSNGKKLIPDVYYTGDYRNMDEVITRC >LPERR10G05020.1 pep chromosome:Lperr_V1.4:10:6347035:6348843:1 gene:LPERR10G05020 transcript:LPERR10G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLSSPNSKNQPWEHGEASKPDSSKKLRMSVPLSAGYHHPSLIPGLPDEISLQILARMPRMGYLNAKMVSRSWKDAVTGAELYRVRKELGVSEEWLYMLTKSGDGKLVWNAFDPVCNQWQRLPLMPGISHNGECKRGISRLWLGDLLSAGVRISDVVRGWFGQKDSLDRLPFCGCAIGTVDGCIYVLGGFSRSSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGISKGKNGLAPLQSAEVFDPRTGVWADVPDMPFSKVQDLPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGETFDPVTNSWAEMPVGMGKGWPARQAGTKLSAVIDGDLYALEPSTSSDRGFLGKLHLIIKDVNSKINIMQTDVLKPVELSAPGTGSTCQNQHLSSEQEANLWKVVASKNLAAAELASCQVINI >LPERR10G05030.1 pep chromosome:Lperr_V1.4:10:6349386:6361184:-1 gene:LPERR10G05030 transcript:LPERR10G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFDATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGEVDVNLAEFAEALKPASIALPLRGCEYGTILHVTAQLLTTKTGFREFEQQRETGARSTQQLVNQRSHDPAEVGLASSDIYSHKVNARIKLKESSLGFPLAEDSAGSTEDYENSSHNSDGLLAEKIDPYGGHEVNSFRTTTSGDLCPSSQSPTPEKGPFRIKHLSPQGSNDWSCGWSPELSTGHDLAVAHEESNQLRTRLEVAESAFSQLKSEAISLQDVTDKLGTETHGFAQQLGVELMSRNQLSAEVSLLRKECFNLKRELQEMKSAKLLQKKANGEDNLMTTTGQGNPSTKFGNDSLVDTSVHNLNTQWLQGLLLLESKLQQTRNNALHVLQAADLDFLLADLGALQRVIENLKQGLQIGQMKENNSLEHLVPPTNAVHQPSLGRHHDSSKKNSGSTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKDFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIIESFPEEQSADLGAHKERGLYMSDPESQAFSGENGTPDNPAYKMDGQISLLRALKMEEIRNRSEFQVLSNTNLQVDDSKINKLEKTSSTMESEVLELYGANIEWQVFSDVLREAHCTALSIIKLIQERLHMLEIQLGDSNDARDSLVHKLNSALDQAKSVKESEAEYILKCDDLMVKNKILEAKLQDMTVENTLLMEKFAESERHVQEHKSCESKYKACAEDRKRFENLLMKESLQNSHLKDELRSVVENFEAMKNELHKQSTLNNDMQIVSASLQEQINNACNDIISSSKDIGISGLDEASLLHELQRKNYAAVMASLEIFHKQSCQEVLRLRQEKEAAEVMCDALRSKKDKSELDFLDIKQKYQFDLDATKEKLNFSEEHMGNLEKELQNMTNKFKISSESQEKYSIINADLTSRLAQMEGELQHITSENEALVEKLKDIAAIVEDYERTKVTLAESEEENKTLTESLQSKDETLMHMKNEIRSLQDDLRSSDENLLREKRLTEELQSTLSSLTSQLGQKDQSLLSFDEHKTELNRLRDRVLDMERANSLMQDSLSQSEQIQMDLNCKNISLQSQLSNIEDQLAAALKDKLTTETEVSYFRNLVEEINGQLDSLRYDLEKLQVKNKDSDELLRAHMSTEAELADRIATLEADVHSLEIDLARVNQEKEGLEELIERNKEQLAQVSTNKSRDIIEPIDSSVRVLKYQDDILQLKVLLTNLEEQVNHLISTKDEVEILNMVLRSKLEEQCIEISSLLQDSGHELANLKEQNKDLTKKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQTALDEVETARKNEISLAKRIEELSIKISEMELEMQDASADKREMSNAYDSIVTELECTKLNFGYCMEEKQRIEATLQECTEERNRIRVELDLIKKLLENMALTDNPTVPDKTGSCTSGATSIGQILGYAKPGSSSKTTNNINEVDSRLQQEEDRIQSTNVSSNLTAEDVRRLSERHEHAKSGSSKNLEMERESSLENHSTGKMAIKDISIEHRKLVVDLNNFQEELERLKNENLSPLLPLDINLTDPSLSGLERALQMSIFPSFKELPGSGNALEKVLALELELAEALQTMKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVEKLSVQFAEVEGERQKLEMNMKSRSPRSEFAFFLVLEGFNWIRRGN >LPERR10G05040.1 pep chromosome:Lperr_V1.4:10:6383052:6387168:1 gene:LPERR10G05040 transcript:LPERR10G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLISTWTGALGSLLRKLQRLSSDHSLKPVVSNEIQSLRDEIVVNCRFLIEMSSSSEPTMAEKRWTKQVRELSYDVDDFLFAADLTLTDEALIGSKLPEFRARAQEAIQLRLVYCQCHPNNPIPSTSSAAIRFSTSRHHSRRRPYTGMLDEIAGWVKGGEEVKVVGIVGEDGGIGKTTLAAEVYRRLGGQFQRRAFVRMAEKATDMRRLLKDLISQLDHHHHHQQYLLQLDTACDMPDLEQWNICNSLASNLRRNSTREVTRQILNLNYNNLPHYLKTCLLYLNIYPADYTILKVDLLKQWIAEGFVEVIKDQDLEETAGNYFDELVERRMIQPVDVDYDDKVLSCTVHSMIHDLIAHRSIEDNLIIILDNFQNSIEISDKVRRLSLHFGNARYAKTPEDISFSQVRTLGFFGAFKCLPSLTEFKILQVLILYAWGDTRMSPVIDLHIISELHKLRYLKVSCDSRIKLPTRISKLQCLETLDIEVKIIALPSDIVHLPHLLHLRLPDETNLPDGIGMHMTTLLTLVAYLDLSTSNIEHFQSLAKLISLRDLHLTFRNCNVQSVQLLGETMKNFGFVIESLAANIKSVTLAAGFLNEKSTNRLNSTESMSWKIYIPPRLLQRLDLSHHVCTFSTLPIWIRHLGNLCILKIAVRKLSDGDIDVLSGLSALTVLSLHVRDAPEERIILHKTGFPVLSYFKFKCSVANLVFEERAMPSLRRLVLGFCAGGGAERQPTPAGMEHLLCLEEVTARIDGSCANEADRMDVESALKNVVTARNQVGGITPVVNIRWVDRGPEEQMRTASEDQSAAHNTYPMVKNEERAQRNAIARTSADSLGNSTGVV >LPERR10G05050.1 pep chromosome:Lperr_V1.4:10:6392608:6396721:1 gene:LPERR10G05050 transcript:LPERR10G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRGGRGRGREWGEEDDDLGGQPPHLAVPVVCLVRSAGDLAAGAFVGSLVGYGQGLLTNKGMKGSLSSAGSSAKTFAALSGVQSFILCLLRRLRGKDDMINAGVAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMEGLNKQQAAMAATLTGEPSAIKHQEGDVLPPFTLPPLLNASDALASCCEPFLKRKH >LPERR10G05060.1 pep chromosome:Lperr_V1.4:10:6398359:6399220:1 gene:LPERR10G05060 transcript:LPERR10G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQIHVQQERCKRTNDQKMKKMMAEEKEKENKQDMCEGEEEKKFMSADEKTCYSWTMHVDLNDRRRLAMAKDD >LPERR10G05070.1 pep chromosome:Lperr_V1.4:10:6402730:6404502:-1 gene:LPERR10G05070 transcript:LPERR10G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTMWEMMQHTGYTRQPQYTVFTRDYSASQRCYQVELVIPSRDDDRHHVVVYGTGWTAMAAMNDASYSATGYLCDTSPESQERIIEDLTDELNRARRRIAILDHEVQPRAEIWGIAPEVVFGDIAAPLLRPQFSIFVPEGEYVDKEGSEFVDEQFEEIVDEGKFASPLINLIL >LPERR10G05080.1 pep chromosome:Lperr_V1.4:10:6406826:6407761:-1 gene:LPERR10G05080 transcript:LPERR10G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRLGVWRQGTYCRVVWRQGPPPSCTRPYRQTVRREAGTARRYGGRVRRLRHCSAPISSNHGGSAHLPTTILPPGGIWSDTARPLGADVVSDSSMAAASPTTLGRHFVQSLFSPNYPEAQNYWGDAPSLTQPT >LPERR10G05090.1 pep chromosome:Lperr_V1.4:10:6409537:6415186:-1 gene:LPERR10G05090 transcript:LPERR10G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPVHSLGKACPVSRIWSPWSMGGVGSQKQMKCTLECMSNSCMPGRSNLLKEPNGQEYDPDDRLAPRPVLARTPEEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTGARQPFVIETVKADDEAKQGRGPSQPAPRFVGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKEGRIESMLQ >LPERR10G05090.2 pep chromosome:Lperr_V1.4:10:6409537:6413560:-1 gene:LPERR10G05090 transcript:LPERR10G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIFFPSASLSSPCTMGLPHGSVSSAFPSSPSPHQCQGRKMNCRSIKALREDWRERSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKSACAFLGEGMSRVEDLEPLVHGRGRKPEADEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVKIFACFLCSDPDDRLAPRPVLARTPEEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTGARQPFVIETVKADDEAKQGRGPSQPAPRFVGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKEGRIESMLQ >LPERR10G05090.3 pep chromosome:Lperr_V1.4:10:6409537:6413560:-1 gene:LPERR10G05090 transcript:LPERR10G05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIFFPSASLSSPCTMGLPHGSVSSAFPSSPSPHQCQGRKMNCRSIKALREDWRERSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKSACAFLGEGMSRVEDLEPLVHGRGRKPEADEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVACSKACFSQAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTGARQPFVIETVKADDEAKQGRGPSQPAPRFVGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKEGRIESMLQ >LPERR10G05100.1 pep chromosome:Lperr_V1.4:10:6415244:6417074:1 gene:LPERR10G05100 transcript:LPERR10G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHELKLLGSTNPSPFVTRVELALALKGLSYDLVAVDLANKSDLLLASNPVHRKVPVLIHNGKPVSESRIILEYLDDAFPSTSPLLPFDPHHRAVARFWAAYIDDKYVASWGPAYVGKTEEERAEGMRQMVAAVEALEGVLREGKKPFFGGETVGLVDLTLGALIPRTKANEVLSGTVVIDDVRTPQLVAWVERFCELDVARKVLPDVDEVVEYIKMRLAQRATAADALKK >LPERR10G05110.1 pep chromosome:Lperr_V1.4:10:6418140:6418948:1 gene:LPERR10G05110 transcript:LPERR10G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDHELKLLGSTNPSPFVTRVELALALKGLSYDLVAIDLNNKSDLLLASNPVHHKVPVLIHDGKPISESRIILEYLDDAFPSTYPLLPSDPHHRAVARFWAAYIDDKYVASWGSVYVGKMEEERAERMRQMVAAVEALEGLLKEGKKPFFSGEIVRLVDVTLGALIPRTKANEVLSGTIVIDDVRTPQLAAWVERFCELDVARKVLPSVDEVVEYIKMRLAQRATAADASKK >LPERR10G05120.1 pep chromosome:Lperr_V1.4:10:6420704:6421210:-1 gene:LPERR10G05120 transcript:LPERR10G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLGSKDFIMETDLAKLPYLHGVVKETLRLHLTVPLILREVVRVNVSLGGFHMSNGTGVVVNLSAIGWDERAWSCSHKFIPERFLLGKEVHSIGKDFIYKPFGMGRRMCPRMEYTTWSVPLLLAFILHKFEWRLPGGIAPDEMELSDRYGTMLNRATPVHAAASIM >LPERR10G05130.1 pep chromosome:Lperr_V1.4:10:6429886:6435283:-1 gene:LPERR10G05130 transcript:LPERR10G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKQRVRSEIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDARLAEDVRKETYIIVHMAATVNFAERYDTALEVNTMGVKHMIEFASKCTNLELVLLVSTAYVNIIMEKGIIMEKPLQQWRSFDGRFNLDLSEEMAFKEAKLKELVSRNASKHNIRNTMKKIGAERARKFGWANSYTFTKAMGEMLAYEQKSRLPIVIIRPTAVASTLNDPFPGWIEGAKAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLSIISYHPQGTTDFIYQIGSSVTNPLKIAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVAEVYWSFTIPKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFMETHIPGVMDYESRELTRARI >LPERR10G05130.2 pep chromosome:Lperr_V1.4:10:6429886:6435283:-1 gene:LPERR10G05130 transcript:LPERR10G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKQRVRSEIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDARLAEDVRKETYIIVHMAATVNFAERYDTALEVNTMGVKHMIEFASKCTNLELVLLVSTAYVNIIMEKGIIMEKPLQQWRSFDGRFNLDLSEEMAFKEAKLKELVSRNASKHNIRNTMKKIGAERARKFGWANSYTFTKAMGEMLAYEQKSRLPIVIIRPTAVASTLNDPFPGWIEGAKAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLSIISYHPQGTTDFIYQIGSSVTNPLKIGKMGDLTYKYFSEKPFVGAKGEAVRVKQPNFLAAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVAEVYWSFTIPKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFMETHIPGVMDYESRELTRARI >LPERR10G05130.3 pep chromosome:Lperr_V1.4:10:6429886:6435283:-1 gene:LPERR10G05130 transcript:LPERR10G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKQRVRSEIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDARLAEDVRKETYIIVHMAATVNFAERYDTALEVNTMGVKHMIEFASKCTNLELVLLVSTAYVNIIMEKGIIMEKPLQQWRSFDGRFNLDLSEEMAFKEAKLKELVSRNASKHNIRNTMKKIGAERAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLSIISYHPQGTTDFIYQIGSSVTNPLKIGKMGDLTYKYFSEKPFVGAKGEAVRVKQPNFLAAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVAEVYWSFTIPKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFMETHIPGVMDYESRELTRARI >LPERR10G05140.1 pep chromosome:Lperr_V1.4:10:6454107:6455249:-1 gene:LPERR10G05140 transcript:LPERR10G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTELMTPRRADKTAPVQRAPVEKPPFTLADIKKAIPPHCFCPSVIKSFSYLIHDLLIATCLLYFALVGIPSLPATIRLVAWPLYWVMQGCILFAHWVLAHECGHRAFTGNELVDDMIGFVLHSCLLAPYFSWKYSHKRHHSNTASQDHDEVFVPRFKSELPWYSPYVYKYNNPVARLLLLAVQFTVGWPMYLTFNTWGRTYPRFASHFDPWGPIYKGRERARVIITDIGMVAVSFILYRLTMAYGFWWVVRIYGVPLLIVNAWLVIVTYLHHTHRALPHYNSSEWDWLRGALATVDRDYGFLNRVFHNIADTHVAHHLFSSIPHYHAMEATKAIRPVLGEYYQFDPTPIAKAIWREAKECIYIQAEDHKGVFWYSNKF >LPERR10G05150.1 pep chromosome:Lperr_V1.4:10:6493581:6493880:1 gene:LPERR10G05150 transcript:LPERR10G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETSVHLVQPAAGESSAMSLATLLLFPLMMMLILLPLRYFFSTRSAKSNSSKLPPSPPALLLIGHGHLIGSLPHVSLRDLARWHGGEDGLMLGLSHK >LPERR10G05160.1 pep chromosome:Lperr_V1.4:10:6494445:6513927:1 gene:LPERR10G05160 transcript:LPERR10G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEISVDIVQQAAGESSAMSIATLLLFPLMMMLILLPLRYFFTRARRNSKLPPSPPALPLIGHGHLIGSLPHVSLRDLARRHGGEDGLMLLRLGAVPTLVASSMRAAREVLGTHDRAFASRPRNVVSDVLLYGPSEVVFQPYGEGWRQARKLVTTHLLNTNKVISFRGNREEELLSKSTNDIVCRAVAGRSVRIEGRDLVFRQLIDKTFTLLGGLNLDSFYPGLAKVAGGVLMLPARRKAEKLRAEWSNLLDKVINEHADEIASAQASHDKSVGGDKHVESDFIHVLLSVQEEYGLTRENIKAILFSMLAAGTDTSYIVLEYTMAELMLHQDVMAKLQAEVRKSVPNGQKFVNEEDLTRMTYLKAVIKETLRINYSLASMEIILANLLHQFDWELPKGVDAIGMTEVFRLTVCRKEKLFLVPSSVIPSRPGYDAAWRGERVDHDPRQTANSSAMLLLPLLFLPLTMTLILLLPLHYIFTTRSAKGKSKLPPSPPALPLIGHAHLIGSLPHVSLRDVARRHGGEDGLMLLRLGVVPTLVASSMRAAQQVLCTHDRSFASRPRAVVSDVLFYGPSEIAFQPYGERWRQAKRLATTHLFNINKVQSFRGIREEEAGLLVDIISRAAETCTVVDMSKLLNKCTNDIMCCAVAGQSIRVNGRDMVFRKLIDQTFKILGGFNLDSFYPGMTNFAGGLLVLSSRRKAKRVRDGWSEVLDKVIDEHAAELADAKRNHDSGDDCRENPEVDFIHVLLSLQEKCALTRENIKAILFEMLGAGTNSPYLTLEFIMAELMLHQDVMAKLQAEVRKSIPKGQKVVHEDDLTSMIYLKAVIKETLRLHPPVPLLVPRMSHEDCEVDGYTIQAGTTLLVNVWAICRDPMYWVAPEEFMPDRFIYNGEIGGVDFRGRDFQFLPFGSGRRMCPAMNYSLASIEIILANLINHFDWEFPSGVDAIDMTERFQVFTCRREKLLLLPSLHGITHPSEH >LPERR10G05160.2 pep chromosome:Lperr_V1.4:10:6494445:6513927:1 gene:LPERR10G05160 transcript:LPERR10G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEISVDIVQQAAGESSAMSIATLLLFPLMMMLILLPLRYFFTRARRNSKLPPSPPALPLIGHGHLIGSLPHVSLRDLARRHGGEDGLMLLRLGAVPTLVASSMRAAREVLGTHDRAFASRPRNVVSDVLLYGPSEVVFQPYGEGWRQARKLVTTHLLNTNKVISFRGNREEELLSKSTNDIVCRAVAGRSVRIEGRDLVFRQLIDKTFTLLGGLNLDSFYPGLAKVAGGVLMLPARRKAEKLRAEWSNLLDKVINEHADEIASAQASHDKSVGGDKHVESDFIHVLLSVQEEYGLTRENIKAILFEMLGAGTNSPYLTLEFIMAELMLHQDVMAKLQAEVRKSIPKGQKVVHEDDLTSMIYLKAVIKETLRLHPPVPLLVPRMSHEDCEVDGYTIQAGTTLLVNVWAICRDPMYWVAPEEFMPDRFIYNGEIGGVDFRGRDFQFLPFGSGRRMCPAMNYSLASIEIILANLINHFDWEFPSGVDAIDMTERFQVFTCRREKLLLLPSLHGITHPSEH >LPERR10G05170.1 pep chromosome:Lperr_V1.4:10:6528118:6529351:1 gene:LPERR10G05170 transcript:LPERR10G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKHQLPNRTDSSATVQRSPVEKPPFTLADIKKAIPPHCFNRSVIKSFSYLIHDLAIATVLLYFALVGIPTLPSILRFVAWPLYWAAQGSFLTGVWVIGHECGHHAFSDYLLLDNIVGLVLHSALLTPFFSWKYSHRRHHANTGSMENDEVYVAKKKSALPWYTPYVFGNPVGRLGYIVLQLTLAWPLYLGFNLSGQKYPRFTCHYDPYSPLFNDQERIQVLISDAGILGMFFALYKLTAAFGFWWVVRVYGVPVLIVSALFVLITYLHHTHLALPHYDSREWDWLRGALATMDRDYGILNYVLHNVTNTHVLHHIFPSMPHYHAMEATKAMRPVLGEYYRFDSTPIIKATLREARECIYVEPGKHNGVYWYNKKF >LPERR10G05180.1 pep chromosome:Lperr_V1.4:10:6541178:6546352:-1 gene:LPERR10G05180 transcript:LPERR10G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPSVEIVQYTAPARVGGPKVIIIGAGMSGISAGQRLSDAGISDFMILEATDRIGGRIHKTEFAGMNVEMGANWVEGVNIDPADDKINKVNPIWTMVHDELKLNTSLSNYDHLASNTYMEEGGLYDEGFVQKTINRADEVQKYGDRLSSNEKYGDITVMAMQRFHDHLPYGPTKPVDMVIDYYTNDYEFAEPPRVTSLQSTHPLPTFADFGDNVCFVADQQGFESVVHHVARQYLETDASTGDIVDPRLLLNKVVKKIKYTTDGVVVKTEDGWLYEADYVMVSVSIGVLQSNLIRFKPHLPHWKNMAMYQFDMAVYTKIFLKFPSKFWPDGPGTEFFLYASSRRGYFPVWQHLENEYPGSNILLVTVTDDESRRIEQQTDEETKAEAMEVLRKMFPNEDVPEATDILVPRWWSDRFFRGSFSNWPIGVDRYEYDLIRAPVGRVYFPGEHTSERYNGYVHGAYLAGKDSADILIECVKKGENQNECVDAGTLKYDVPGKYE >LPERR10G05180.2 pep chromosome:Lperr_V1.4:10:6541178:6546352:-1 gene:LPERR10G05180 transcript:LPERR10G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPSVEIVQYTAPARVGGPKVIIIGAGMSGISAGQRLSDAGISDFMILEATDRIGGRIHKTEFAGMNVEMGANWVEGVNIDPADDKINKVNPIWTMVHDELKLNTSLSNYDHLASNTYMEEGGLYDEGFVQKTINRADEVQKYGDRLSSNEKYGDITVMAMQRFHDHLPYGPTKPVDMVIDYYTNDYEFAEPPRVTSLQSTHPLPTFADFGDNVCFVADQQGFESVVHHVARQYLETDASTGDIVDPRLLLNKVVKKIKYTTDGVVVKTEDGWLYEADYVMVSVSIGVLQSNLIRFKPHLPHLENEYPGSNILLVTVTDDESRRIEQQTDEETKAEAMEVLRKMFPNEDVPEATDILVPRWWSDRFFRGSFSNWPIGVDRYEYDLIRAPVGRVYFPGEHTSERYNGYVHGAYLAGKDSADILIECVKKGENQNECVDAGTLKYDVPGKYE >LPERR10G05190.1 pep chromosome:Lperr_V1.4:10:6551882:6552825:1 gene:LPERR10G05190 transcript:LPERR10G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDQPLHPIRSPTRSSHTLIVETSSHQISSGDGFGFDIHEFISVEIEEVTSQPQVVSAEIMEKLKDIANRLEIPIDTLVADTEFMISRTSSDTDLAKILLFAAHLDAYQIPIARSRQQMQDRQNFINQQAIWESAKLAAQNEKDLYDSTSAALPSMQDNLENLKKHEAELIAHLAQVREAIQVAEQNIVDHPAAVAACKEKVRAAIVHAQELKKNLKPVTGSDAADKPIKSAVMPSKL >LPERR10G05200.1 pep chromosome:Lperr_V1.4:10:6564209:6568258:-1 gene:LPERR10G05200 transcript:LPERR10G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKERVRSEMMELQIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDAGLAEDVRKETEIIVHMAATVNFAERYDTALEVNTMGVKHMIEIASKCTNLELVLLVSTAYVNIIMEEGIIMEKPLQQWRSFNGRFNLDLSGEMAFKEAKLKELVFSNASKHTIRHTMKRIGAERAKKFGWANSYTFTKAMGEMLAYDQKSRLPIVIIRPTAVASTLKDPFPGWIEGAKAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLCIISYHPQGTTDFIYQIGSSVANPLKIAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVADVYWSFTISKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFVETHIPGVMDYESRELIRASRNRK >LPERR10G05200.2 pep chromosome:Lperr_V1.4:10:6564209:6568258:-1 gene:LPERR10G05200 transcript:LPERR10G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKERVRSEMMELQIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDAGLAEDVRKETEIIVHMAATVNFAERYDTALEVNTMGVKHMIEIASKCTNLELVLLVSTAYVNIIMEEGIIMEKPLQQWRSFNGRFNLDLSGEMAFKEAKLKELVFSNASKHTIRHTMKRIGAERAKKFGWANSYTFTKAMGEMLAYDQKSRLPIVIIRPTAVASTLKDPFPGWIEGAKAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLCIISYHPQGTTDFIYQIGSSVANPLKIGKMGDLTYKYFSEKPFVSSKGEVVTVKQPNFLAAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVADVYWSFTISKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFVETHIPGVMDYESRELIRASRNRK >LPERR10G05200.3 pep chromosome:Lperr_V1.4:10:6564573:6568258:-1 gene:LPERR10G05200 transcript:LPERR10G05200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPGAAERFRGKTVLITGATGFIAKLVVEKILRLQPEVKRLYLLVRAADQVSAKERVRSEMMELQIFQPLRDKYQTHFNFWFWDKVFPLAGDVSLTNLGIGDAGLAEDVRKETEIIVHMAATVNFAERYDTALEVNTMGVKHMIEIASKCTNLELVLLVSTAYVNIIMEEGIIMEKPLQQWRSFNGRFNLDLSGEMAFKEAKLKELVFSNASKHTIRHTMKRIGAERAKKFGWANSYTFTKAMGEMLAYDQKSRLPIVIIRPTAVASTLKDPFPGWIEGAKAIDTWISNYGKGQLKFFPTDVTTVIDVVPADIVVNAMLCIISYHPQGTTDFIYQIGSSVANPLKIGKMGDLTYKYFSEKPFVSSKGEVVTVKQPNFLAAMSSFYEIMDKHYKVPLQDMLRRGLSTAEDHHIYNHLKREYDFTVAVADVYWSFTISKMRYDDSKMQNLMAMMTERDRELIPCNTRVINWNKYFVETHIPGVMDYESRELIRARL >LPERR10G05210.1 pep chromosome:Lperr_V1.4:10:6600424:6601881:1 gene:LPERR10G05210 transcript:LPERR10G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIPRTSDIGPQGTPRPLPKGVRPGSRMEAKSLKWGRAMDARDDKQIHLDAITEGSGLYWMDDGTEDTNQYLNDEGNHETNREDNEQRIEHGNEHEVKEHFKPKPSSQTLTR >LPERR10G05220.1 pep chromosome:Lperr_V1.4:10:6620540:6620866:-1 gene:LPERR10G05220 transcript:LPERR10G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPPEPRKKTSFLASPVVSAAANYWNVKRGGSGTHVGVGFVRRRRGRHDDVLVARLRSGEKRRATRGSGGTASLCVPRLLERWVRLTCGSHALWGALTVLPVQGMS >LPERR10G05230.1 pep chromosome:Lperr_V1.4:10:6629742:6630286:-1 gene:LPERR10G05230 transcript:LPERR10G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVTEALIDLISANDGKLLENLERALILKKSQKQQPPTKEPSIQSSPVAQFPVDDITGPTPCRLLTPIGRADRSKEVASVMACVQVSKVKDGCEKIDLDIPATDRIDVRGDARYCAHNELASKYNKDLAHNKSTFGNLAAISQG >LPERR10G05230.2 pep chromosome:Lperr_V1.4:10:6629065:6629739:-1 gene:LPERR10G05230 transcript:LPERR10G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTMPPKSPSPQPMSLPHTSPLPVDATRRLIPRMITSHSNKTKSKYMDRFLFSIFHRAEERKESTTPDSSPQSILSAHSQLDFFTIADVPEDFENGKPFLPLSKLNGLSWQLRKFHEWYMTASHLGLAQLHIAEQTHQNIGFLDPIRICQA >LPERR10G05240.1 pep chromosome:Lperr_V1.4:10:6643466:6645988:1 gene:LPERR10G05240 transcript:LPERR10G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSVSVDSVQQTANSSGMSLLLPLLFLPLTMTLILILPLRCIFSTRSAKGKSKLPLSPPALPLIGHAHLIGSLPHVSLRDVARRHGGEDGLMLLRLGVVPTLVASSMRAAQQVLCTHDRSFASRPRAVVSDVLFYGPSEIAFQPYGERWRQAKRLATTHLFNINKVQSFRGIREEEAGLLVNIISRAAETCTVVDMSKLLNKCTNDIMCCAVAGQSISVNGRDMVFRKLIDQTFKILGGFNLDSFYPGMTNFAGGLLVLSARRKAKSVRDGWSEVLDKVIDEHAAELADAKKNRDSGDDCGENPEVDFIHVLLSLQEECALTRENIKAILFEMLGAGTNSPYLTLEFIMAELMLHQDVMAKLQAEVRKTIPKGQKVIHEDDLTSMIYLKAVIKETLRLHPPVPLLVPRMSHEDCEVDVYTIPAGTTLLVNVWAICRDPMYWVAPEKFMPERFIYNGEIGGVDFRGRDFQFLPFGSGRRMCPAMNYSLASIEIILANLINHFDWELPRGVDAIDMTERFQVFTCRREKLLLLPSLHGITHPSKG >LPERR10G05250.1 pep chromosome:Lperr_V1.4:10:6701939:6704713:-1 gene:LPERR10G05250 transcript:LPERR10G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSKQTTPHRAGSSSPVQRSPVEKPPFTLADIRKAIPRHCFRPSVVKSFSYLVHDLSITTCLLYFAVVGIPALPSILRFIAWPLYWASQGCILFAVWVLAHECGHRAFTGYTLVDDTIGLVLHSWLLAPYFSWKYSHKRHHSNTSSQECDEVFVPRLKSELPWYSPYVYKYNNPIARLVLLVVQLTVGWPMYLAFNTWGRIYPRFACHFDPWGPIYKGGERICIIISDIGMVVVSLTLYKLSVAYGFWWVVRIYGVPLLIVNAWLVVVTYLHHTHRALPHYDSSEWDWLRGALATVDRDYGILNRVFHHIADTHVAHHLFSTIPHYHAIEATKAIRPILAEYYQFDPTPIVKAIWREAKECIYIEAADRKGVYWYNNKF >LPERR10G05260.1 pep chromosome:Lperr_V1.4:10:6734949:6736034:1 gene:LPERR10G05260 transcript:LPERR10G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPVEKPPFMLGDIKKAIPSHCFHRSVVKSFSYLVHDLAIAMCLLYFALVGIPALPIIPRLAAWPLYWATQGSILTGVWVIGHECGHHAFSDYLLLDNIVGFVLHSALLTPFFSWKYSHRRHHANTASMENDEVYVAKKKSMLPWYTPYLFGNPIGRVVYIILQLTLAWPLYLGFNLSGQPYPRFTCHYDPYSPLFNNHERIQVLISDAGILVVLFALYKLTAAFGFWWVVRIYGVPVLIVSALFVLITYLHHTHLALPHYDSREWDWLRGALATMDRDYGILNRVLHNVTDTHVLHHIFPSMPHYHAMEATKAMRSVLGEYYRFDSTPIIKATLREVKECIYVEPGEHNGVYWYNKRF >LPERR10G05270.1 pep chromosome:Lperr_V1.4:10:6737432:6740193:-1 gene:LPERR10G05270 transcript:LPERR10G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLWRDGMAACFTSKESGTNLCAFYVAESIMSCGQRRGFDLSDLEYRRDRVAKEDQHKAIQEALAGFLNDEILDRKGENYCDGRLEPTSVDCNIDLDDPNFD >LPERR10G05280.1 pep chromosome:Lperr_V1.4:10:6748174:6751634:-1 gene:LPERR10G05280 transcript:LPERR10G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCKEKGRGQEKPEEHPRPVYSSLQFCIPLNLRNMCTSKQITPHRAGSIAPVQRSPVEKPPFTLADIRKAIPPHCFRPSVVKSFSYLVHDLAIATGLLYFALVGIPALPSILHFIAWPLYWASQGCILFAVWVLAHECGHHAFTGYTVIDDTIGFILHSWLLAPYFSWKYSHKRHHSNTSSQECDEVFIPRLKSELPWYSQYVYKYNNPIARLVLLAVQLTVGWPMYLAFNTWGRSYPCFACHFDPWGPIYKGRERIYIIISDIGMVAVSLTLYKLAVAYGFWWVVHIYGIPLLVVNAWLVVVTYLHHTHRALPHYDSSEWDWLRGALATVDRDYGYLNRVFHHIADTHVAHHLFSTIPHYHAMEATRAIRPILGEYYQFDPTPIVKAIWREAKECIYIQTEDRKGVYWYDNKF >LPERR10G05290.1 pep chromosome:Lperr_V1.4:10:6756429:6767074:1 gene:LPERR10G05290 transcript:LPERR10G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYERTTVEGKKHQLTGRAGISSAMQRSPVEKPPFTLGDIKKSIPPHCFHRSVVKSFSYMIYDLTIAMGLLYFALVGIPALPIIPRLAAWPLYWATQGSILTGVWVIGHECGHHAFSDYLLLDNIVGFVLHSALLTPFFSWKYSHRRHHANTGSMENDEVYVAKKKSMLPWYTPYVFGNPIGRVVYIILQLTLAWPLYLGFNLSGQPYPRFTCHYDPYSPLFNNQERIQVLISDAGILAVLFVLYKLTAAFGFWWVVRIYGVPVLIVSALFVLITYLHHTHLALPHYDSREWDWLRGALATMDRDYGILNHVLHNVTNTHVLHHIFPSMPHYHAMEATKAMRSVLGEYYRFDSTPIIKATLREAKECIYVESGEHNGVYWYNKSGGSIGSSPPCQCHSVVAPSTSPPAEPGRGSGGEGRREVLEIWGVWAVGHGGVYGAPLMLRHLSAIIFFEVVKLQACNNGAAAGGVPRPLGIVA >LPERR10G05290.2 pep chromosome:Lperr_V1.4:10:6756429:6767074:1 gene:LPERR10G05290 transcript:LPERR10G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQGAFLGHWASWHDKRGVEVRAVRPGSHPCANQGADRRDEHLLSRRLAGGRLDLQPQRRRCSWWDRPTRQRRPSTPGSWRGSSLPASRAGSGSSSHPSTSPRSCRRRPAASSPSPRTAN >LPERR10G05290.3 pep chromosome:Lperr_V1.4:10:6756594:6767074:1 gene:LPERR10G05290 transcript:LPERR10G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLHKLQAPLFPCGDNGSSLHKQFCVLPCPRSWHDKRGVEVRAVRPGSHPCANQGADRRDEHLLSRRLAGGRLDLQPQRRRCSWWDRPTRQRRPSTPGSWRGSSLPASRAGSGSSSHPSTSPRSCRRRPAASSPSPRTAN >LPERR10G05290.4 pep chromosome:Lperr_V1.4:10:6764958:6767074:1 gene:LPERR10G05290 transcript:LPERR10G05290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLICFCSFRSWHDKRGVEVRAVRPGSHPCANQGADRRDEHLLSRRLAGGRLDLQPQRRRCSWWDRPTRQRRPSTPGSWRGSSLPASRAGSGSSSHPSTSPRSCRRRPAASSPSPRTAN >LPERR10G05300.1 pep chromosome:Lperr_V1.4:10:6766339:6766651:-1 gene:LPERR10G05300 transcript:LPERR10G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVATQSRRQSGCKTSFRLPLFFPIFNLASAGRSDPCRPSPFAVTSCPGPKDFSD >LPERR10G05310.1 pep chromosome:Lperr_V1.4:10:6769834:6770343:1 gene:LPERR10G05310 transcript:LPERR10G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESPEVACVDEDSNKEFAKEFRERIKETRGWLLAIAGLIASVTYQAGFNPPGGVWGADDEARGQVAGTPILHSKSPGRYYTFCCSNAIAFTCSVFLILILGGMRIMYKYVVLTAVTLLDVTSLLLSYAVGSSTDHVLAAFIAVIVVLLYMTMAINMVVVVFSQRRPF >LPERR10G05320.1 pep chromosome:Lperr_V1.4:10:6830515:6831014:-1 gene:LPERR10G05320 transcript:LPERR10G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPAVMCRCRAKAGGFCGAMIIQVASTTSVQMLGDAVMLLKREKRVLSKEVEDRRSQGEEQKKVVDDIRKLVAATKEEVRSLKARNKKLQNERNVLLISIICRLFVMCVVLFGKR >LPERR10G05330.1 pep chromosome:Lperr_V1.4:10:6831249:6834301:1 gene:LPERR10G05330 transcript:LPERR10G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLLVNKQGQTRLAQYYEHLSLDQRRALEGEIVRKCLARTDHHCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIHLMDKSS >LPERR10G05340.1 pep chromosome:Lperr_V1.4:10:6866418:6871618:-1 gene:LPERR10G05340 transcript:LPERR10G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMVIALALLIAQYASLAVAAGPKVIIVGAGMSGISAGKRLSDAGINDFLILEATDRIGGRIHKTNFAGVNVEMGANWVEGVGGKEMNPIWTMVKDELNLRNFNSDYDHLSNNTYKENGGLYDEAFVQKTIDRADEVEESGNKLSGTLHVSGREDMSVMAMQRLNDHMPSGPSTPVDMVIDYYKYDYEFAEPPRVTSLQNTQPLPTFNNFGDEVHFVADQRGYESVVYLVAGKYLKTDKSGAIVVREITYFPSGVMVKTEDGQIYEADYVMVSVSLGVLQTDLIRFKPQLPSWKIVSIYQFDMSVYTKIFLNFPQRFWPEGPGTEFFLYASGRRGYYPVWQQFEKQYSGSNVLLVTVTDDESRRIEQQSDNQTKAEAVEVLRKMFPGKFVPDATDILVPRWWSNRFFKGTFSNWPIGVNRYEYDQIRAPVGRVYFTGEHTSEHYNGYVHGAYLAVRSLFNGNVVGRRASKARLGQLDGIQNLTAPLLALGWPVGSSSARIVTPPPRHGRLLDGVALDDAEDPTIAKVVVVLPDAKRLLEKIVKEGGFMHTVDVVVIYQSSDSDCYTCSQL >LPERR10G05350.1 pep chromosome:Lperr_V1.4:10:6876150:6876733:-1 gene:LPERR10G05350 transcript:LPERR10G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRRPPAVFGHRRLLWRRLGWRKETTAGVSPGPAGPRTEEGGARRPTRVAGEQDGRPRLIEPSPRQESERENRSGPRRRWCPETARVEEEGTNSLAAQERNGRTVAGDANSMRPRHRRWEGTVAARLSHVLKHDRSALALEFEMQLRLMNVCQATPNHCLPTELKMGSKSSLLC >LPERR10G05360.1 pep chromosome:Lperr_V1.4:10:6901641:6908801:-1 gene:LPERR10G05360 transcript:LPERR10G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPRLPSSAAAAAAGETPWPELLAPFDLSRLRATLASRPLTPRRLGRLLALPLSPSTSLLLLSWYASSHPALSSSLPLRPLLSSDPDRALSLLDSLSSSSSSNPTLPLRESLLLPLLRSLPPGRALHLLDQMPSRFGVAPSFRSYNVVLSVLAGADCHADALALYRRMVHKDRVPPTTFTFAVAARALCRLGRAGEALALIARTMARHGCVPDAVLYQTVIHALCDQGGVAEAATLLNEMLLMGCAADVNTFDDVVRGLCGLGRVREAARLVDRMMNMGCMPGVMTYGFLLQGLCRARQVDEALVMLRRVPELNVVLFNTVIGGCLAEGKLAEATELYETMGLQGCQPDAHTYSILIHGLCKLGRLGSGVRLLSEMEKKGFAPNVVTYTVLLHNFCKKGMWDDTRAMLEEMSAKGLAMNSQGYNGMIYALCKDGRMDEAMRLIQEMRSQGCKPDICTYNTIIYHLCNSEQMEEAEHLFENLLEEGVVANGITYNTLIHALLRDGRWQDAVRLANEMVLHGCSLDVVSYNGLIKAMCKDGNIDRSIVLLEEMAEKGTKPNKFSYNILISELCKARRVRDALELSKKMLNQGLTPDIVTYNTLINGLCKMGWIHAALNLLEKLHNENVYPDIITYNILISWHCKARWLDDAAMLLNRAVGGGIAPNERTWGIMVHNFVRKPLSILADQLLYHPRLERSKWNWHIMVFIVDFIMLGDLFYVQWMIDTIMQILSNKFQVAHRYRSTPISIIYDTKDAGVSLSIDPNLAEGTADSTHSMLQFWKIKSLMRVNYLKLKAPAKQPYLRHEGQADQDSEPMKTKST >LPERR10G05360.2 pep chromosome:Lperr_V1.4:10:6901641:6908801:-1 gene:LPERR10G05360 transcript:LPERR10G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPRLPSSAAAAAAGETPWPELLAPFDLSRLRATLASRPLTPRRLGRLLALPLSPSTSLLLLSWYASSHPALSSSLPLRPLLSSDPDRALSLLDSLSSSSSSNPTLPLRESLLLPLLRSLPPGRALHLLDQMPSRFGVAPSFRSYNVVLSVLAGADCHADALALYRRMVHKDRVPPTTFTFAVAARALCRLGRAGEALALIARTMARHGCVPDAVLYQTVIHALCDQGGVAEAATLLNEMLLMGCAADVNTFDDVVRGLCGLGRVREAARLVDRMMNMGCMPGVMTYGFLLQGLCRARQVDEALVMLRRVPELNVVLFNTVIGGCLAEGKLAEATELYETMGLQGCQPDAHTYSILIHGLCKLGRLGSGVRLLSEMEKKGFAPNVVTYTVLLHNFCKKGMWDDTRAMLEEMSAKGLAMNSQGYNGMIYALCKDGRMDEAMRLIQEMRSQGCKPDICTYNTIIYHLCNSEQMEEAEHLFENLLEEGVVANGITYNTLIHALLRDGRWQDAVRLANEMVLHGCSLDVVSYNGLIKAMCKDGNIDRSIVLLEEMAEKGTKPNKFSYNILISELCKARRVRDALELSKKMLNQGLTPDIVTYNTLINGLCKMGWIHAALNLLEKLHNENVYPDIITYNILISWHCKARWLDDAAMLLNRAVGGGIAPNERTWGIMVHNFVRKPLSILADQLLYHPRLERSKWNWHIMVFIVDFIMLGDLFYVQWMIDTIMQILSNKFQVAHRYRSTPISIIYDTKDAGVSLSIDPNLAEGTADSTHSMLQFWRHEGQADQDSEPMKTKST >LPERR10G05370.1 pep chromosome:Lperr_V1.4:10:6914716:6918353:-1 gene:LPERR10G05370 transcript:LPERR10G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFTGQQVPICIAVGSMEAKLSFSQDSPPISIIAAAKVAGVNLSIDPSLAAGSAPVLCFTSGDSLRGVNPILHYIAHAASFSSLCGQDATDSGHVVEWLEYAPTFLSGSDFEFACSFVDKYLTSRTFLVGHGLTIADITLWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAGYKDTLNEVVVSYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAENLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQNPNKSLCDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLTNGPEKSFVRILPRHKKFDGAGKKATTFSNRIWLDYADASAVSKGEEVTLMDWGNAIIKEIKMESGVITELVGELHLEGSVKTTKLKITWLPDIDDLVPLTLVEFDYLISKKKLEEDENFLDNLNPCTRKDTPALGDANMRNLQRGEVIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >LPERR10G05380.1 pep chromosome:Lperr_V1.4:10:6919430:6922071:-1 gene:LPERR10G05380 transcript:LPERR10G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVEVLPRQGDGGPAAAVLKCRRCRVDAASADAILSRDFRGRFGRAYLFDHVVNVSLGPNEDRYLMTGLHTVKDIYCSCCQQILGWRYEKAYEESEKYKEGKFILEKARMWKEAR >LPERR10G05390.1 pep chromosome:Lperr_V1.4:10:6923709:6925283:-1 gene:LPERR10G05390 transcript:LPERR10G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYNNSVPSGGNGRFYITQSHQDVHYTSSDDGSQKIVSSPQAFEAQYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSQSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDISYDSPESTLQPNIMATPENWRQLLGINIGDLKQVIIACGKAVADNDVRLTELLISELGQLVSVSGDPLQRLGAYMLEGLVARLSSSGRMLYQSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPCLRITGIDDTNSAYARGGGLDIVGMRLYKVAQSCGLPFEFNAVPAASHEVYLEHLDIRHGEVIVVNFAYQLHHTPDESVSTENHRDRIIRMVKGLSPRVVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGADRVERHEMFGKWKARFTMAGFRPYPLSSVVNNTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >LPERR10G05400.1 pep chromosome:Lperr_V1.4:10:6931986:6936897:1 gene:LPERR10G05400 transcript:LPERR10G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPASETNAGWVVRPKSVTYHFKTATTVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKEKVHKANYFGSLTQASTIRVGSYNGEEMYAPFKSLVPMVNPNDIVFGGWDISGMNLADAMTRARVLDIDLQKQLRSHMESLVPLPGVYNPDFIAANQGSRADNVIKGTKKQQVDQIIKDMREFKEKNKVDKVVVLWTANTERYSNIVVGLNDTMDNLLASLDKDEPEISPSTLYAIACVTEGIPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKVPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLGELSTRIQLKSEGQDKYHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >LPERR10G05410.1 pep chromosome:Lperr_V1.4:10:6938101:6942115:-1 gene:LPERR10G05410 transcript:LPERR10G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAARPCKLPSSPPPLAAASPAAAPPSPTSTPPPLADGSIVFRFARPHGDEDAAAADFSPAVEEPQPTPTVAVVELGVDGDGAAEPEAEDGATAAAAARGVISGGGAEEATATATSGLEEADVEEEGGSDGSTTAQDFDTDDGDTDSSASTADDLPATEFPVPTHIPPPEEGSKNVDWEKDTSEVKNTERMVPAASSTLVLASGAAILPHPSKVATGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDGCKKFITENQGAADLKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATIVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAIVVSIVRKSEI >LPERR10G05420.1 pep chromosome:Lperr_V1.4:10:6955348:6966817:-1 gene:LPERR10G05420 transcript:LPERR10G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTPRPPREFLLLFPWLIISMTGVSTASGGVVSPPGVKAVNIGAWLVIEGWMTPELSTICIRSPDGDAAGPGTAPGRRRSPHRSALPSSSIPLPRHLAQMAAPTASEPFCACWFRRSLQSVTNKMYLSAVNGGGSTVAADKSNPQDWETFRLWKMENSDREYKIRVNNDQFISINDGGELVATVASSGQAAVFQIISSTNNEGLIRIKAPSSVTANGKPDGSWSNSDPSVFNIIVDDKQMQGDSQLCSFHGAEKTVSILQDHWNTYIVEDDFSFISSHGLNAVRIPIAWWITKQNDTPSCHPPNYPGYQAVLDKAFDWADKYNLGVIVDLHAAPGSQNGFEHSASRDGNVGWDTDENINHTVQVIEAIAARYASRKSLLAIELLNEPAQEVKFAALKKYYSAGYDAVNRQVKRDDVYVIMSARLMPDGPTEIIEFASGLKKCALDVHYYNLYESKFQTMNADQNINFVKGDRASQLKNLIRENGPLVFVGEWSAAWHVPDASDENCKRFADAQMGVYGQASFGWSYWSYKNIDRNWSLKDMINANIISVPKN >LPERR10G05420.2 pep chromosome:Lperr_V1.4:10:6955348:6966817:-1 gene:LPERR10G05420 transcript:LPERR10G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTPRPPREFLLLFPWLIISMTGVSTASGGVVSPPGVKAVNIGAWLVIEGWMTPELFHGVPKNALMDGTKISLQSVTNKMYLSAVNGGGSTVAADKSNPQDWETFRLWKMENSDREYKIRVNNDQFISINDGGELVATVASSGQAAVFQIISSTNNEGLIRIKAPSSVTANGKPDGSWSNSDPSVFNIIVDDKQMQGDSQLCSFHGAEKTVSILQDHWNTYIVEDDFSFISSHGLNAVRIPIAWWITKQNDTPSCHPPNYPGYQAVLDKAFDWADKYNLGVIVDLHAAPGSQNGFEHSASRDGNVGWDTDENINHTVQVIEAIAARYASRKSLLAIELLNEPAQEVKFAALKKYYSAGYDAVNRQVKRDDVYVIMSARLMPDGPTEIIEFASGLKKCALDVHYYNLYESKFQTMNADQNINFVKGDRASQLKNLIRENGPLVFVGEWSAAWHVPDASDENCKRFADAQMGVYGQASFGWSYWSYKNIDRNWSLKDMINANIISVPKN >LPERR10G05430.1 pep chromosome:Lperr_V1.4:10:6972979:6979636:-1 gene:LPERR10G05430 transcript:LPERR10G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGLLLVLLLSPCLFSVSDGRTARPVKQAPSPPIRAVNLGGWLVTEGWIQPSLFNGIPNKDFLDGTQLQFKSVTQNMYLAAENGGGSNIVANRASASGWETFKLWRIDENTFNLRVFGNQFVTVAGDGATVVATVASPGAGEAFQIVRSDDKTRVRIRAPNGRFLQAKTKDLVTADYDGSTNWSNDDPSVFVITRVTGLQGEFQLCNGYGKAKATQVLRNHWSTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFIGGSLQALDNAFKWAEKYNLGVIVDLHAAPGSQNPNEHSGSRDGSQEWGTTDANIAQTVQVIDFLTKRYASRPSLLAMELLNEPMAPGVSLEALKKYYSDGYNAVRKYNSKAYVIMSNRLSAINTELLDFAGGFSGSVVDVHYYNLFTSAFNGLTADQNIEYVRNNRSAELATVTRPNGPMTFVGEWVAEWNVQGASNQDYQRFAQVQLDVYGKATFGWAYWTFKNVNNHWSMEWMIKNGIISL >LPERR10G05440.1 pep chromosome:Lperr_V1.4:10:6998774:7012993:-1 gene:LPERR10G05440 transcript:LPERR10G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLELLFLLSCFPYLFHISDGGSMAVQNENMPPSSPIRAVNLGGWLVTEGWFMPSLFYDIINNETLDGTKLQFKSVTQNMYLSAEHGGGSNIVANRTNASTWETFKLWRINEDTFNLKVDNNQFVTLAGDGVTVVASVPSPGSGEKFQFQMLRNSIKQLIRVRIKALNNGMFLQASTDLVTADYDVQSTNWGDDDPSVFEVKIKDQLQGEYQLCNGYVRIPVGWWIASDPNPPAPFVGGSLEALDNAFIWAEKYNVGVIVDLHAAPGSQNQFEHSGTRDGSYKWATATNIAQTVHVIGFLASRYANSSSLLAIELLNEPQKSNLSDLSALKGYYQDGYYAVRNHTEKAYVIMSTQVYGANTDTTELLDFASNLPNTVIDVHYYNLYADNFKTLTAEQHIEYVNTTRSAELRTVTRQNGPLSFVGEWVAEWDVQTASKEDYQKFAQVQLDVYGRATFGWAYWTYKHVNEHWSMEWNINNKIISLKT >LPERR10G05450.1 pep chromosome:Lperr_V1.4:10:7029930:7032310:1 gene:LPERR10G05450 transcript:LPERR10G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQRVCILLVLAALCALAAFPTPASPDSRPPPPPTSPEEMKRETSTFKPTAQSPTQEMIGQSSNGAKVKVECRSKSTGAKTCSFEGETDHTGTYSIPVNDEHEHELCESVLVSSPAEAKCGKVVAGRERAPVFLTNNNGVTSNVRLANALGFQKDTALAACAEILKMYEEVDDRV >LPERR10G05460.1 pep chromosome:Lperr_V1.4:10:7036633:7037409:1 gene:LPERR10G05460 transcript:LPERR10G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQWRCLATTAATASTSGNSSTNLPPLPMTLGAGVEYGHLVHGAVHGAEQKSSSPAAAAAMFLGAADAGWHYSLLTPAQAAAFHHRLLRRPAPCAMKRCGGVAARLYRGVRQRHWGKWASTTTTTGSSPSNRAAAAAETMQQLDFTEAPWDEADGFALRRYPSWEIDWDAILS >LPERR10G05480.1 pep chromosome:Lperr_V1.4:10:7084315:7087034:1 gene:LPERR10G05480 transcript:LPERR10G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFYLHFSPFLQLMVLGPLGFRSTSPWGGRRWGRRLANYLRAICSAAFLFHLLSVHLLDIHRANALFSFLPNFHMTVEIIRQNGIGVVLKVKMAKSRCAMPSRQELRQISDERDYNSLRIALKAYMRRSNGKATELELVAVTERNLIVECGQGFLHFNFLVQPAGSELAALQLSFAEVHPDCKDDEDVYVCCPLQDNDNGHCFGCNARAELLRHPSSGVFIGGHKHVHFPFTDSSSSDPNDSKMT >LPERR10G05490.1 pep chromosome:Lperr_V1.4:10:7094160:7096513:-1 gene:LPERR10G05490 transcript:LPERR10G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPLLLPNLFPSFATSPSRHHLAELRIEPAHSAVAFSAPCGKKRRCLLPLSSPRKKVLLELHPFGSPPPPSPPAISMPSPLGSPATAPPSLSSSSPGVTDFSFPLAGGSSGRGCGGGGNMFAFLDESASAAAAATTTLTGSSVSAMTFLASPGKQPETPLGSTASGGFVFLPSPKQPTAPMGGGFPFSASPKGSPAATAGPTPNGGFAFSVATDQPPTPLPSPTPASTSSTRSGGFAFFPSPGPAFGNAASPGGAAPSPPFVFSAWPARMSGGGGGGRSSSNRRTRRNLRVATPRRGSTRPRDEQQPVVTTPPQKVAKTAASDSSRSPILAGPCCSFFTSPAKSAKQESKNSSSEASRCSSSYKPPAEVTSLPRPSSEETITPEQEVEVSSAERKAPRPSSPAAACTGAEVMVRVTCRCGVHKEFSFDHSH >LPERR10G05500.1 pep chromosome:Lperr_V1.4:10:7115742:7116855:-1 gene:LPERR10G05500 transcript:LPERR10G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSSVTRNPKKPLQLEVCHGKSKYFQEHQAILANKEKAGYKHTPHAWRFDYCPPIPEPHPRAATEQQQQKNQISNEEDDNHHHINIMKSALNSYNKANGNLDFEFRRVQRISTIDEFGSSYYHYNFFVLSKTRLVAKLFFVEVDANIQEEHGVRVCCPLSVEDNEFGICYGCEENKNSGLLHPNTGDYIAGRRDIWASMRRYRPCADDDVDFD >LPERR10G05510.1 pep chromosome:Lperr_V1.4:10:7123542:7136287:1 gene:LPERR10G05510 transcript:LPERR10G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVLKLLLGPYLLLVASASCTQGLPFSNNTDLDALLRFKAGLSYQPDVLASWNTTTSYCQWPGVICSHRHKQRVTTLNLTSTGLLGYISPSIGNLTYLRTLDLSCNLLHGEIPSTIGQLSQLLYLDLSNNSFQGEIPWTIGHLPQLSYLYLSNNSFQGAITVGLRNCSHLASIKLDLNNLNGKIPDWFGGFQKLKSMSLGKNYFTGIISHSLGNLSSLLELFLNENHLSGPIPEALGKISTLEKLALQVNHLSGTIPRTLLNLSSLIHIGLQDNELYGRLPSDLGNDLPKIRYFIVALNHFTGSIPASIANATTMSIDLSSNNFTGIIPPEIGTLCLNFLMLQRNQLKANSVKDWRFITLLTNCTGLRAVTLQNNRLGGELPNSFTNLSAQLELLDIGFNKISGKIPDGISNFPKLIKLGLSSNQFTGLIPESIGRLKTLQYLTLGNNLLRGIIPSSLGNLTQLQQLSLDNNSLEGPLPASLGNLRQLVIANFSNNQLRAPLPGEIFSLPSLSYILDLSRNHFNGSLPSEVGGLTKLTYLYMYSNNFSGFLPDSLSNCQSLMELRLDDNFFDGTIPVSVSKMRGIVQLNLTKNRLFGAIPQDFGLMDGLKELYLAHNNLSAQIPENMENMTSLYWLDISFNNLHGQVPAHGVFSNLTGFKFDGNDKLCGGIDELHLPSCPTNPMGHSKRILPVTRKVVIPTVITILVFFILAGVFFSIRKKLRPSFMTTTMVVPLIGATYPRISYYELLQATNGFSINNLVGTGRYGSVYKGTMLLKRSETTVAIKVFNLEQSGSSKSFVDECKAISKIRHRNLIGVITCCSCSGLNQDDFKAIVFKFMPHGNLEKWLHPEAHSSEPVKVLTLMQRLSIASDIAAALDYLHNSCHPTIVHCDFKPSNILLGEDMVAHVGDLGLAKILIDPDGEQLINSKSSVGIMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFSDGLTLTKYAEMAYPDQLIDIIDPLLLSIENEMGEINCIMSSITRLALACSRTKPTERPCMRDVVDEMHTLRASCAAEIDKVSLNETDLDALLAFKAGLTFQSDPLVSWNATTDFCRWHGVICSLRHKRRVLALNLSSTGLVGYIAPSIGNLTYLRRLDLSYNLLHGEIPPTIGRLSQMPYLDLSNNSLQGEIPQTIGQIPWLSTLYISNNSLQGGITNGLRNCTPLVSIKLDLNKLNHEIPDWLGGLSKIKVMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIAVEMNELEGTLPSDLGNGLPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPDIGTLCPNFLLLNGNQLVASSAQDWEFITLLTNCTSLRGVTLQNNRFGGALPNSIANLSEHLQLLDLRSNEISNNIPVGIGNFPKLIKLGLSSNRFTGLIPHNIGRLTMLQFLTLENNLLSGTMPSSLGNLTQLQHLSVDNNNLDGPLPASFGNLQRLVSATFSNNALSGPLPGEIFSLSSLSFILDLSGNQFSSSLPSEVGGLTKLTYLYMHNNNLTGALPHALSRCQSLMELRMDGNSFNSTIPVSISTMRGMEVLNLTKNSLTGSIPEELGLMKGLKELYLAHNNLSLQIPELW >LPERR10G05520.1 pep chromosome:Lperr_V1.4:10:7136313:7137019:1 gene:LPERR10G05520 transcript:LPERR10G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPVKILTLMQRLNIAADIGAALDYLHNNCQPTIVHCDLKPGNILLGDDMVAHVGDFGLANILTDPVGEQLINSKSSVGLMGTIGYVAPEYGEGGQISPYGDVYSFGKAPTHDMFSDGLTLQQYAEMAYPELLMNIVDPLLLSIENEWGALNCVMSAVTRLALVCSRRQTDRLCMREVLAEIHTIRASHVEEINKIDSD >LPERR10G05530.1 pep chromosome:Lperr_V1.4:10:7151419:7156086:1 gene:LPERR10G05530 transcript:LPERR10G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGAVGALIRKLAGRQLRSRGGVLPEEAQQFLIMQMKVVEEIIRELEKLPPDLFHEHVKIWAHKAIDVPYMTEDLLHDPNFLDHLDGMVREMIMVLNMVNELHRIYMGNPSFIRDSMVSGDPRLSDSYYRDSTELVGIDEPCCQLIKMLTEGQATTKQRMEVISIVGFAGLGKTSLAKLVYQKLKAQFDCAAFVSVSPEANMKAIFTDMLHQLDRESGSHAHEEITSDQTKLAAAETRTLLENKRYFIVIDDLWNIEDWNNILLSLPNNDCGSRVITTTRISDVAKACCSGRDEFIYQMKNLGDIYARRLFMKIFIGSEDSFPDAGLTKVVDDILNICGGMPLAIITVASLFGREVGAKEPLHEMANSLQSVWRKMMWYLNSGCEHHDLENLRNVVSLSYFHLSSNNVRACLRYLASCTQSHRIERRKWAKKWISNEFIPQGESQGTSDEEVATQYFGELINCNVIRPVKYDDSIEGDTYEFSCMMLYVLRLISHESHSVAFLSDSVMGNSDSEPWLPSDREWTTRLMSIQCSDNSESWLNNLSLFHVHSLTVHGFAKPILRKYLTYLRVLDIDGCNDLDNTDMDNICQMTLLKHLSLKKTQITALPPKVQELTRLETLDVSQNEITALPPEIGNLMSLKTLEVSRTELTALPPETGKLTSLKTLDVSRTQITALPPEIGDLTNLETLDVSRTKIKALPREIGGLTNLETLDARKTPLRELPKEFLRLLRLRSLLFGQSNLHEGVKLPAGSNQLRSVKVLSAIDSRECSTSVLEELCKLKELSDLAIVLHDGPNDKEKTDNLLVSIGKIPNLKSLTIYGDSHFTDPFQEKGSNSSDETPLEQDFNPSDETPASRCFPALKELKVPGRFVKVPGWIAQLTAVTILEIRVCRLDEEMKDLEILGNMTNLRGLVLSLLVALPRKQLTISSSAKFTSLEAFTFDCRAPWVTFEVGAMPRLKHLQLRLYAGPEGKIPSGIVHLTNLRKVSLRYLSQYTGSACISQTIDAMKEEAANHPNLITIFVNGAQEFFPFKETAVI >LPERR10G05540.1 pep chromosome:Lperr_V1.4:10:7203050:7208142:1 gene:LPERR10G05540 transcript:LPERR10G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASESTVKSLLGKLGSLLAQEYTLISGVRGDIQYINDELASMQAFLRDITATPDGQDNRMKDWMKQVRDMAYDVEDCIDEAGHRIHGPRSDICCASVVIPVHDVLTWWPRRQIATQIADLKSRAQQIALRRKRYGVDNFKNDKNTSPAGSGPTAGFNVADHQEAIPRLIGLQEPVAMGEAVEKLGRWVTDDSKSGGVLSIVGFGGLGKTTIAMELYRKYSDKFDRRAMVTLSQISDVEAVLESILDQVKPQDQNEDEQQTSSDDSGIFGKKRIISPLLRTIVRRVNWNSNPRNHNETHMDGDNKGAGSLDKELADVRKGLHKQLRDQLTNNSFYGPINDTSSFLLLIDDVWSVTTLENIRGFLPMPPASKTKGRIIVTTRFPAVGHACNRRPEDDIHNVRELSHDQSTELFKKSLHESKTLFGAQTQGFRRNLTRISREAVQTATQSSQISEHDTTVSSTRINRIPGDQLEKLLKEALLAQFGQRDCENIERISQEIVLQAMEHSQNTETIRSREPRSSMAGGDHVPTEIIESCGGLPLAIVTMAGTVACNPSNSEWDGFYNTLVPKDPVKTVTPDIVTKIINYCYNDMPGELRTLSLYLSIFPKGSKVSRKRLTRRWIAEGFVTEKEGLSLEDVAEAYCNYLIKRKIIRPVEHCSNGKVKSFQVHDMVLHYILAKASEENFVNVIGGHWLTPLPSTKVRRLSLHGGDTKRGNVTNKMNLSHVRSLTMFGSMNQLPTHSFKFGIAQVLDLEGCKGFKNHHMNNICKMVLLKYLSLRRIDIKELPEKIGNLKELETLDIRDTNVEVLPSSVCQLERLVNLLGGNKRTRKALNFPKDNKKKTIKGSLRVLSGVAVDGRSAAAADLHHLTDLRKLAIYKLDIRKEDETFRLLSSSIEYLGGYSLHTLVVDDDSSEFLKSLAALSSPPKLLNSLELSGKLVDLPKWIMRLDFLTKLTLSVTALQAENLKQLSELKTLFSLTFSLTAAKLDPQTAAILEDNKAHTGGEILFPAGGFDKLKLLRFFAPVMPSLSFPEMAMPNLQRLELRFSILEGVYGLHNLQKNLEEVHLRMHDEAGEVTRQIVQDMATTAREDSKSPRIIVDLYHE >LPERR10G05550.1 pep chromosome:Lperr_V1.4:10:7230449:7238835:1 gene:LPERR10G05550 transcript:LPERR10G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSRRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDNHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMLQAAAMDSPLSQLPSQTIEGESSVSGQFPEYEEAESAEIVSLIHIPIMHSVSKAKFGATDIYSGGTGYYPFTQMQQQQQNGIGSVIDASVFSSPIPASSIGNYQGQHDMGHTGFYSSSQHELPVINDSNHELATNGHESSWNMAIKPDEGTTQLSHLQPFVHPEQGMPTEGQGVEYLTFDEVYTDGLSLKDISAAGADVESFWQLSGATGDMSARENSFQQNDGSLEAAIGYPFLKPQSSNLSDILKDSFKKSDSFTRWMSKELPEVEDSQIQSSSGAYWNTEEADSIIEASSRDPLDQFTVAPMVLQDQLFSIVEFSPSWTYASSKTKVLVTGRFLHSNQVTERCKWSCMFGEAEVPAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRQSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGQDDYQATITNPSNEMIDLSKKISFLLSNNDEWSKLLKLADDNELLTDDQQDQYAENLIKEKLHVWLLHKVGNGGKGPGVLDDEGLGVLHLAAALGYDWAIRPTIAAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAASTPADLASANGHKGISGFLAESSLTSHLQALNLREANMSEISGLPGIGDITERSALQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAIQYEGDKGGISDEQTFSLLSMKSSKPADPLHAAASRIQNKYRGWKGRKEFLLMRQRVVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRSTEGAIESSSGGASTNSIIDKPAGEDDDFLQDGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >LPERR10G05550.2 pep chromosome:Lperr_V1.4:10:7230535:7238835:1 gene:LPERR10G05550 transcript:LPERR10G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSRRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDNHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMLQAAAMDSPLSQLPSQTIEGESSVSGQFPEYEEAESAEIVSLIHIPIMHSVSKAKFGATDIYSGGTGYYPFTQMQQQQQNGIGSVIDASVFSSPIPASSIGNYQGQHDMGHTGFYSSSQHELPVINDSNHELATNGHESSWNMAIKPDEGTTQLSHLQPFVHPEQGMPTEGQGVEYLTFDEVYTDGLSLKDISAAGADVESFWQLSGATGDMSARENSFQQNDGSLEAAIGYPFLKPQSSNLSDILKDSFKKSDSFTRWMSKELPEVEDSQIQSSSGAYWNTEEADSIIEASSRDPLDQFTVAPMVLQDQLFSIVEFSPSWTYASSKTKVLVTGRFLHSNQVTERCKWSCMFGEAEVPAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRQSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGQDDYQATITNPSNEMIDLSKKISFLLSNNDEWSKLLKLADDNELLTDDQQDQYAENLIKEKLHVWLLHKVGNGGKGPGVLDDEGLGVLHLAAALGYDWAIRPTIAAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAASTPADLASANGHKGISGFLAESSLTSHLQALNLREANMSEISGLPGIGDITERSALQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAIQYEGDKGGISDEQTFSLLSMKSSKPADPLHAAASRIQNKYRGWKGRKEFLLMRQRVVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRSTEGAIESSSGGASTNSIIDKPAGEDDDFLQDGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >LPERR10G05560.1 pep chromosome:Lperr_V1.4:10:7260844:7261917:-1 gene:LPERR10G05560 transcript:LPERR10G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFPKISPPTQGHASTSTITRSKKRGYSCCVVNPGSGESVRGGGGRLRIFSGTANPRLASEVACYLGMELGKVKIKRFADGEMYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVVPYFGYARADRKMRGGRESIAAKLVANLITDAGAHRVLACDLHSAQSIGYFDIPVDHVYGQPVILDYLLSTILNSDDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVCQGAELLHREGARAVYACCTHAVLSPPAVDRLSSGLFHEVIVTNTVPVSYSFPQLTVLSVANLLGETIWRVHDDCSLSTIFQ >LPERR10G05570.1 pep chromosome:Lperr_V1.4:10:7267743:7271823:1 gene:LPERR10G05570 transcript:LPERR10G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPLATGGSGGNRRRWQWQNRLSPTLARDRCYTRAFRSAGLRPTSVPLPDRAVVHLWLPPPPKSPSLSHPVLLLHGFGARATWQWAPFLRPLIAAGLAPFVPDLLFFGDSASPAADRSPAYQASCVAAAMAVVGPQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLHALINGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIVDPSVKYRDGHKGSWKNAIKRFAGSSLRKVDSTRPLL >LPERR10G05580.1 pep chromosome:Lperr_V1.4:10:7288863:7293945:1 gene:LPERR10G05580 transcript:LPERR10G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPALLAGRRKKRVVADAKKASGDCPKVKPVEFIDAPAKCGDKVVPCDVKVAVVVDTVTAAAAIKDAGEDNHGHDEALGKKGSSLSSDFDFEFHPHEKPVAAVGANPSPPPDAAIASGTPAATDASPRLKRSCSNIETKRPTAATESPPARSRSYGDLANLPSADAGVGDGVSMDTTPRVVAAAASPAASVRTTRTADGVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPWPPASRPSAAGAGAGGGYTSDTVEEIPPSAAATAADRKNKNAMVDDLDPIPNQWVAFSAENSLRDRVTAWVNSIDNDTFIIAEDDDDDDEHYHGDGDDEEHGDDHVARPRAVEVGESSGKGGAHGKSSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSSCTGLRELYMAGNKISDVEGLHRLLKLSVLDLGFNKITTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVRPRCGEAADVPRGALLEAGGGGGARRRSRKRGEGSGSGRSRSRSKGGLPSRR >LPERR10G05580.2 pep chromosome:Lperr_V1.4:10:7289948:7293945:1 gene:LPERR10G05580 transcript:LPERR10G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPALLAGRRKKRVVADAKKASGDCPKVKPVEFIDAPAKCGDKVVPCDVKVAVVVDTVTAAAAIKDAGEDNHGHDEALGKKGSSLSSDFDFEFHPHEKPVAAVGANPSPPPDAAIASGTPAATDASPRLKRSCSNIETKRPTAATESPPARSRSYGDLANLPSADAGVGDGVSMDTTPRVVAAAASPAASVRTTRTADGVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPWPPASRPSAAGAGAGGGYTSDTVEEIPPSAAATAADRKNKNAMVDDLDPIPNQWVAFSAENSLRDRVTAWVNSIDNDTFIIAEDDDDDDEHYHGDGDDEEHGDDHVARPRAVEVGESSGKGGAHGKSSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSSCTGLRELYMAGNKISDVEGLHRLLKLSVLDLGFNKITTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVRPRCGEAADVPRGALLEAGGGGGARRRSRKRGEGSGSGRSRSRSKGGLPSRR >LPERR10G05590.1 pep chromosome:Lperr_V1.4:10:7294557:7295582:1 gene:LPERR10G05590 transcript:LPERR10G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRARIDAALLLLVLAAVMGFSARPCESSLYNPPPPAMAYHDGAVLDGVVPVSVLYYGAFPPHHKAVVADFLLSLSPHGRDMQRQHSFGAPGPAPPPTVARWWATVDRYVRKASLVTSAGARVVLASQVDDAACSMGKRLTRAQVEHLASRFGVAPGGVAVVLTASDVAVDGFCTSACGTHGSSSTSLGGAVHVWVGDASAQCPGRCAWPFHPAEGFAYGDTAGRRGHEHGRVEAALRAPNGDAGVDGMVINLAALMAGAVTNPYGRGFFQGDAAAPVEVAGACPGVYGRGAYPGYPGAVRVDAATGAGYNVVGRNGRRYLLPALVNPDNYSCLIMS >LPERR10G05600.1 pep chromosome:Lperr_V1.4:10:7297262:7300124:1 gene:LPERR10G05600 transcript:LPERR10G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDLIVRMLHLNQPQGSPDGFGGGGGDGEEEEAYKILVMDNPCIALLAPVIRVGDLRKHGVTLHLNIDKARQQVPDAPAVYLVRPTPANADRIAADAAAGLYASFHVNFSTSVPRPVLDRLATAVAASRCAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAISLGLFCVVATLGTVPLIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAAATTVASFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKLNKLKLPENYDLDDSDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCECENGMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPLPSDLEQVEAALRESEVDMSAFLYVKRIKSLNSQFAGASSTASKGQFVDWAEKLYGHSLVAVTAGVRNLLSDGKQLAITRTVEALMEGKPNPEVDNYLLFDPRAPKSGTGGQFRGPFREAIVFMIGGGNYIEYRSLMELAQRSQITKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >LPERR10G05610.1 pep chromosome:Lperr_V1.4:10:7302811:7303215:-1 gene:LPERR10G05610 transcript:LPERR10G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEELQIRDELEADIEEDLEREIINTMCLLARHLQRLYEHKDLRELAGLATSYQLPLYHATTEVLSEMNIRINLDGQCKINITKIEQDASAENQRKQYPNTYQPDEKKEPTKERKTCKVSYRKPQNHPVAPWR >LPERR10G05620.1 pep chromosome:Lperr_V1.4:10:7325374:7327199:1 gene:LPERR10G05620 transcript:LPERR10G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAEVYSHGGHFGGYGLMGGCPAAPWCIGDDVFTAVGDSSGEPCGGSWDDTIFVPPLNVQDMVDEWDQVVDQCASSCKAAAAAAAAAEIGETPVVVKAAAKRKRRRAKAVKNKEEIESQRMTHIAVERNRRRQMNDYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQNIEAKKSIKNIHNDGDVGAGEHASPFAGFFTFPQYSTSGHGGGDAHRSRIVNSAEATAAGVGSDSGAAIADIEASMAEGHVSVKVQARWRPRQLLKLVAGLHHLGLTTLHLNVTTVNAMVMYCFSLKVEDACKLGSVEEIATAVHEILQRIQEEQALVDAKTGL >LPERR10G05630.1 pep chromosome:Lperr_V1.4:10:7330044:7331558:1 gene:LPERR10G05630 transcript:LPERR10G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVRSLASVYCQGLRRTVRLGDPAAAAAVTAERAPAIHRPRSFLSKVDRVHVVSGGSSGQGQQGSTPLFTWARLAVGSVFAVVAPFLHSKWATLLRIQSEVEMVKEAAETAAEVVEEVAAAAAAEKVSAEVAEQLPENGRLRRAAVMVEHASKEVAEEAHLAHDIIHKAQ >LPERR10G05640.1 pep chromosome:Lperr_V1.4:10:7348340:7350668:1 gene:LPERR10G05640 transcript:LPERR10G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTCRGSSMAIQDTSDQQEENMMRYDDEEDEDMDDGGGGGGGLGEKKRRLAAEQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFASLRSRHDALRLECDSLRRDKDSLAAEIASLRLKLLNCSKSDSAAVKLEIENAAAGDEQPPVTTAMTIIPNSNNGGGAGTDSDSSAVFNEEASPYSGAAIDHHQTPASYDTPAAAGLASFFAPNSSTNSSFASMFHPSTHFEQELLAGGDLGGGFFAGEEHAGGLSWYGAEGW >LPERR10G05650.1 pep chromosome:Lperr_V1.4:10:7354275:7358271:-1 gene:LPERR10G05650 transcript:LPERR10G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKSVKAQIWDTAGQERQAPPHPIPPFSSLAARPPQFAPDSYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAQEIPKSKCCSSM >LPERR10G05660.1 pep chromosome:Lperr_V1.4:10:7368740:7374082:-1 gene:LPERR10G05660 transcript:LPERR10G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAASRRLRHKSAAAAFSSSIAMPFQFLLSTLPSPPPPPSSSPLPRSPSPHPRLLPPPPPPRLTAPAQAASPLWRRTMASLAVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEYTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLAIPFVPVEDLPENLSEEYDIIMDAMFGFSFHGTPRPPFDDLINRLIALSAIGNSAKRPAIVSIDIPSGWHVEEGDVNGEGFKPDMLVSLTAPKLCAKKFTGQHHFLGGRFVPPPILSKYGLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVTPDPFDQFTRWFDEAVTAGLREPNAMALTTANKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLRDVDGSTVWHIESLC >LPERR10G05660.2 pep chromosome:Lperr_V1.4:10:7369251:7374082:-1 gene:LPERR10G05660 transcript:LPERR10G05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAASRRLRHKSAAAAFSSSIAMPFQFLLSTLPSPPPPPSSSPLPRSPSPHPRLLPPPPPPRLTAPAQAASPLWRRTMASLAVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEYTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLAIPFVPVEDLPENLSEEYDIIMDAMFGFSFHGTPRPPFDDLINRLIALSAIGNSAKRPAIVSIDIPSGWHVEEGDVNGEGFKPDMLVSLTAPKLCAKKFTGQHHFLGGRFVPPPILSKYGLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVTPDPFDQFTRWFDEAVTAGLREPNAMALTTANKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLRDVDGSTVWHIERLSP >LPERR10G05670.1 pep chromosome:Lperr_V1.4:10:7381224:7388634:-1 gene:LPERR10G05670 transcript:LPERR10G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADWAWWLGLLAGAVAVYHFTDAFHCAVFAITHMGRRRAKLPPGHMGLPFVGETLTLFWHFKLARRPNPDAFVEAKRRRYGGGGDIYRTHLYGSPTVVVCSPAANKFVLQSPDTSFGTGWPSPELVGVSSIVNVHGGQHARLRKLVVGTINSPDSLRTFAKVTFENICKIFVSMEPSPLTEKIDECFAGMVPGFRAYPLDLPGTAFHHALNCRRKLNSVFREEIERRKVKQIDGGRGDDCDLMSGLMQMVDEQGNRLSDNEVVDNIVSLVIGGYESTASAIMWATYHLAKSPSVLAKLREENSALAREKNATDFITLDDIRKLKYTAKVVEETIRLANISPMIFRVALKDVEYRGYTIPKGWRVIVWLRSLHVDAKYYNDPLSFNPDRWDKAAKPGTYQVFGAGERICAGNMLARLQLTIFLHHLSCGYRWELLNPDAGVIYLPHPRPIDGAAMSFREL >LPERR10G05680.1 pep chromosome:Lperr_V1.4:10:7390390:7397388:1 gene:LPERR10G05680 transcript:LPERR10G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRECLPSTTKSGARRRQAMADRSQELARGWWLESGQPMRRSGGGHGHAQRLLAMENELEVPPCPVPGLWIDSVKLIVSGRGRSNYSHRFSLLP >LPERR10G05680.2 pep chromosome:Lperr_V1.4:10:7390390:7392256:1 gene:LPERR10G05680 transcript:LPERR10G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRECLPSTTKSGARRRQAMADRSQELARGWWLESGQPMRRSGGGHGHAQRLLAMENELEVPPCPVPGLWIDSVKLIVSGRGRSNYSHRFSLLP >LPERR10G05690.1 pep chromosome:Lperr_V1.4:10:7402962:7403525:-1 gene:LPERR10G05690 transcript:LPERR10G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTAVTNNQGMDAFLKGCRDLEFKEDWYKKPPASLEAMLFRANLYAYRYQWSGMQDPSDNDSDSRNSVAYGEGEEHQVAFLNESIHFDDHEQSSSGSFSPLHEIFMAEVTKVPLTAEQLAQRAADIERQAREIEQAQRQLEEARAEDERRRKELEEAEARQLREEQARARESVTRRLASSGRQVQ >LPERR10G05700.1 pep chromosome:Lperr_V1.4:10:7406617:7412231:-1 gene:LPERR10G05700 transcript:LPERR10G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYHLAKLPSVLAELREENTALAREKNGVGFITLDDIRKMKYSAKVRYTIPKRWRVIVWLRSLHVDPKYYDDPLSFNPDRWDSQISPPPSLTPRRGEKTNLSSATSSAPMPSRSGVGKLRHAGSGIGDLLCTDAEWIWRRRAPPIRSGDGCGAAGEAAPDLSGSNRRRRRRRQSGKARHVPGVWSLREDLCRQHAGKAAAHHLSPSSLLYVLKLAKPISSHYILSILTLCFYLAEFISSRWELLNPDAGVAYLPHPRPIDGAAMSFREL >LPERR10G05710.1 pep chromosome:Lperr_V1.4:10:7412247:7414591:-1 gene:LPERR10G05710 transcript:LPERR10G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEWAWWLGLLAGTVPLLGLAVYHFTDAFYCAIFAITHMGRPRAKLPPGHMGLPFIGETLTLLWYFKLARRPNPDAFVEAKRRCYGGGVDVYRTHLYGSPAVLVCSPAANKFVFQSPDSFAMRWPRPELVGFSSIANVHGSRHARVRRFVVGAINSPNSLRTIAEVVQPRLVAALRSWAGKGTIAAATEIKKPSLLTEKIDEWVAGLVPGFRAFPLDIPGTTFHHARKCRRKLNSVFREELERRKVKLQVAGEKSDDDCDLMSGLMQMKDEQGNRLSDNEVVDNIVSLVIGGYE >LPERR10G05720.1 pep chromosome:Lperr_V1.4:10:7421741:7431896:1 gene:LPERR10G05720 transcript:LPERR10G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISDQKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSRTSGQLPSKKGLASSSSSASSGAETNPVYSELSFAPHDNLLQGSISHLDSTDVVHDVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKKKLLSENLLSADLHGALVIVAECKSSSCQGVCGIMIQETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >LPERR10G05720.2 pep chromosome:Lperr_V1.4:10:7421741:7431896:1 gene:LPERR10G05720 transcript:LPERR10G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDCMCLDTKNSEEDATPPQSTPRSLSLLASSPLPPSPFPQPDAFAGVRSLSSGASARMESTSTTVPLIVVYVTVPYEDAGKRLAGSIITEKLAACVNRVPGIESVYRRKGKVIRDSEELLIIKTRESLLDALTEHVKFKLDCDYNYAVPEVIALPIIGGNSDRRPPCHLGPTSKLNSPTKTLSTTPPYQPPPTITPWPSPSPDATPQPLPASAQEARPRHQFQPLVSTTTNPSPCEGAPEETDLRSPSTKHLDSTDVVHDVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTIPKKLLSENLLSADLHGALVIVAECKSSSCQGVCGIMIQETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >LPERR10G05720.3 pep chromosome:Lperr_V1.4:10:7421741:7431896:1 gene:LPERR10G05720 transcript:LPERR10G05720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDCMCLDTKNSEEDATPPQSTPRSLSLLASSPLPPSPFPQPDAFAGVRSLSSGASARMESTSTTVPLIVVYVTVPYEDAGKRLAGSIITEKLAACVNRVPGIESVYRRKGKVIRDSEELLIIKTRESLLDALTEHKKRTLEALQQRYAAAKAKKLQEEHPKSQTKNNDNTPKPKFDASRKGKASEFTPSRTSGQLPSKKGAETNPVYSELSFAPHDNLLQGSISHLDSTDVVHDVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDFYKPMHEMWKEYIKELTKTIPKKLLSENLLSADLHGALVIVAECKSSSCQGVCGIMIQETAETFGLISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >LPERR10G05730.1 pep chromosome:Lperr_V1.4:10:7437133:7438401:-1 gene:LPERR10G05730 transcript:LPERR10G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGSKESQNYDNNNQKVHPQPIDENMNQNMGSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADQLVIEELTKLSELKHAYREKNPKPVAATPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDDEDNYFSIELTPSLFTSAVDSAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFGGFQEESFSVKGCNVTVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKIFQVKKGSDFSEIHMESVIKNIILDEAAERPKVGLMVMPGFLIGTSVIQSRVYLSGVKCAD >LPERR10G05740.1 pep chromosome:Lperr_V1.4:10:7438499:7440234:-1 gene:LPERR10G05740 transcript:LPERR10G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSGIIISRCAAHFSFGIKKADKIRFLEKKTRENWETTKLPLPSSIFTSSLTFPLLPLTAAPSPLLSAPLHPSPTPPDLQREPDSSRAPPARRGRPPPLPGVPSRARPASWFWKGATRPTADLLPFGSNRRSCG >LPERR10G05750.1 pep chromosome:Lperr_V1.4:10:7443277:7443846:-1 gene:LPERR10G05750 transcript:LPERR10G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGGDISGGGEGAFWTACPHCCYVHSYPRPYVGRRLRCPTAACRRAFSADELPAAPPIVPGADMYFCTWAFFPLGPPSAVTAGWAPFTPFNPVPASASPAAAARAAGPTSRRKMCVCLKGRARVEAEQEQEEEAVNLEVDVDVDLEGEEKGIGEKGCTGIDINEAVELSELGFRDDEIELFTAAMS >LPERR10G05760.1 pep chromosome:Lperr_V1.4:10:7444777:7448762:1 gene:LPERR10G05760 transcript:LPERR10G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMAWRRVLQHVVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKEAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >LPERR10G05770.1 pep chromosome:Lperr_V1.4:10:7450482:7452193:-1 gene:LPERR10G05770 transcript:LPERR10G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEITRSEMLRPSTSRNGGERAKLTAFGRSATDGHIPAVFAWDAAAAPSTDAVKGGLAARGIKSRVGVRCSTFQCLLAHAWKKIVAARDLSPEEFTQVRVAVNCRGRANPPVPLDYFGNMVLWAFPRMQMTCNMFVNRDLLSSSYAAVVSVIRDAVARVNEEYIQSFVESGELVAASGGGEEMAATAADKSTAFCPDLEVDSWLGFRFHDLDMGGGPPCAFLPPDLPVEGVLIFVPSCAAAAKDGVELFMALDQQHVEAFRQISATRTG >LPERR10G05780.1 pep chromosome:Lperr_V1.4:10:7466731:7467078:1 gene:LPERR10G05780 transcript:LPERR10G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCCDIPCISMSDLGKGGAHGCNAHNLFDGMPSQHELFEEDILLVMNEEKITREEAMHLLQEEWAEAMRRFDEKLDQLLEFFGVKVAKSEACENREEGHSTSINSTSKNVNTP >LPERR10G05790.1 pep chromosome:Lperr_V1.4:10:7474255:7475999:-1 gene:LPERR10G05790 transcript:LPERR10G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEIVRNEIVVPSPATGGGEMVPLTAFDRAATDGFIPTVFAWDATSATSTPSNDAIKEGLAAVLARFPHLAGRFAVDDRGRTCFCLNDAGVRFLEAAAAGDLADALARHDVAAHVNDLYPQAEKENGDEPLLQVQLTRYTCGGLVIGAVSHHQVADGQSMSVFFTAWAAAVRTAGAHLPTPFVDRAAVASPRNPPTPAFDHRNIEFKGENSRSHTYGTLPLDRMTNIAVHFPEDFVAGIKSRVGVRCSTFQCILAHAWKKITAARDLSPEEFTQVRVAINCRGRANPPVPLDYFGNMVLWAFPRMQVRDLLSSSYATVVGVIRDAVAKVNEEYIQSFVDFGEVAAGDELAPTAAEPGTVFCPDLEVDSWIGFRFHDLDFGGGPPSAFLPPDLPVEGMLILVPSCSGKGGVEMFMALDEHHVEALRQICYSMD >LPERR10G05800.1 pep chromosome:Lperr_V1.4:10:7484894:7486613:-1 gene:LPERR10G05800 transcript:LPERR10G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVEITRSEVLKPSPAKTGGGSGEISRLTVFDRAATDWYIPAVFAWDGAAAPTNDEVKDGLAAVLARYPHLAGRFIVDERGRRCFCLNDAGVRVLEASAAADLADALAQHDVAAHENADEPVFQVQLTRYTCGGLVIGTACNHQVSDGQSMSAFYVAWAAAVRSGGALLPTPSVDRSTVAAPRDPPTPAFDHRNIEFKGENSWCHRYGTLPLDRITNLAVHFPEDFVAGIKSRVGVRCSTFQCLLAHAWKKITAARDLSPEEFTQVRVAVNCRGRANPPVPFDYFGNMVLWAFPRMKVRDLLSSSYAAVVGVIRDAVAKVNEEYIQSFADFGELAAGDELTPTAPPPGTVYCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGILIFVPSCGAKGGVEMYLALDELHVQSFRQICYAMD >LPERR10G05820.1 pep chromosome:Lperr_V1.4:10:7512486:7522839:1 gene:LPERR10G05820 transcript:LPERR10G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYLISIHKMLCSRQGISSPISDLTERLLFDDRDPPAVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLVHEYCTYRGIVEGCSHVLLELERNNQNNDLNFVGKQEANGEVQIGSEMTNNLNGDCSTSDIAHHDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACETSLDANEHAILCSALDMDEDDMTNKPDLMADSDLSDTRNNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLQNPALLFQLKQVEFLKLVASGDHVAAIKVAATHLGPLAASNQVLLKPLKETLVTLIQRCEDVFTNSVSLPVLASSLQIAMSRRLGIEEPQLMKIVRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSVGSHNISKVLTDECANGSSQITTCSSGKVLDEGSSPQVSSEVACDENAILKVMEFLALPRADAIQLLMQYSGNAEAVIQQIFS >LPERR10G05820.2 pep chromosome:Lperr_V1.4:10:7512609:7522839:1 gene:LPERR10G05820 transcript:LPERR10G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPPPPPPPQSLPPPLPPVNWDALDALVLDFARSDRLLLPPSASSSTPSPPSSPSSSSTTSTSSAPSSSSSSSSYGSRILIRRARRALEEGDVDAALALLRAHAPGALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSIKKRYELAGLLSSILRAHLQAYDPILSMTLRYLISIHKMLCSRQGISSPISDLTERLLFDDRDPPAVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLVHEYCTYRGIVEGCSHVLLELERNNQNNDLNFVGKQEANGEVQIGSEMTNNLNGDCSTSDIAHHDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACETSLDANEHAILCSALDMDEDDMTNKPDLMADSDLSDTRNNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLQNPALLFQLKQVEFLKLVASGDHVAAIKVAATHLGPLAASNQVLLKPLKETLVTLIQRCEDVFTNSVSLPVLASSLQIAMSRRLGIEEPQLMKIVRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSVGSHNISKVLTDECANGSSQITTCSSGKVLDEGSSPQVSSEVACDENAILKVMEFLALPRADAIQLLMQYSGNAEAVIQQIFS >LPERR10G05830.1 pep chromosome:Lperr_V1.4:10:7542332:7544458:1 gene:LPERR10G05830 transcript:LPERR10G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSWVLTIVLWLTYLSADYIAIYVLGHLAVRSSEPGHQLMSFWTPFLLVHLGGQDTITALSKQDNELWMRHLLSLVSQVAVALYVVVKASWPDGCLKATMVLIFLSGCIKYVERSYCLYCASPTKLRSDTQGSFSDKLKVLQDIDDTDRSCCGFGTTRNERRADRMRITLEKVVNEGWSRHGLDEEMFRDILSVDAPLNVAETVSFAETELPGMLKRFSSKADRFNIYEHVGAVLVNFYLDLYTKNPLTSSVCSRVRRSSGCSKGPDDGSISLSLGSVLLSSCTSFFCCAFCCIFISLSLGPVLLYMLFRYVATPIALVLFWAAEKGDQDQLHSGGRSTDIFVSYILLVGAIVLDMSSAIIFIISDRIQLPRAWSKKQWSEELAQYSMIRRHVVQDTSCMASIQQWIGRCLGAWGVELLDLTHTPITQDFKEFILDILLGFGIRKKWNIASSRGRTTLEECSLLGLIKTKIKLHDKPLLVMTTTSDGFDYPTSVLIWHIATDMCYYYSGDDAAAAASTSTSDQQLNKHKNISRELSNYVTYLVFKCGVTLTTNYQHVHDKAHLEINSDLDGLQDSEKDAVDKLFNEQGQHEPDYSEVDIFQVPAADDNKIDACNHIKRLQKSAEALDSDVLPRACSVAQELIEIKDKTDRWSLIASVWSEMLFYAAPRCGADFHYKHLSGGGEFVTHVLLLMKFLGPFLPPPIA >LPERR10G05840.1 pep chromosome:Lperr_V1.4:10:7546882:7548614:1 gene:LPERR10G05840 transcript:LPERR10G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISALVILLLFLFLSVATESSTVRSPVAAVETNDDEEEERAIHVKNLERIREIVSGFPDRLEKILDKFFPSQDGKDTLLQGNVSLSKFAGDGERVVVFSGEDDTVYACTPGVKSGSSRGGGKYAIIGLGRGSIIANSSRKFSYLISNDLRRSFVWLGDDAAAPAGQRGQKTTTAAATTKLIPVANISPDMFPSLYYINITGINVGEGELTGNAAAAATAILTTTMPFTFLNPSLFDDLKQYLRTTAAAREVTSSDIDGQLCYPKGTKLPAITLSFASGAGAAMMKVEAERYSYEKSDGVVCLSILRSPLRGGFSVFGSMIQAGRRVAYDLDGGTVTFDSEAAAAHSPQLTSPASSRSSSAAMSAPVVFLISSALMPLLLANIM >LPERR10G05850.1 pep chromosome:Lperr_V1.4:10:7557136:7559083:-1 gene:LPERR10G05850 transcript:LPERR10G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGLPLLGGIFQHTYGGIFPHPLLRFGSPSLSGEPAAMRSPAAAAAAAEFSDALPSPTSPAATQSHPSSGRHFYLAVDRLQFKMRTLLELLGVVADRRGALPIAACVSSRDELDAVCADVASLPFVALSPLYSDQAEAERASLLDKFRKATIQWNHTKAAADVADSPKTENGIVINMVVGGEVATLKALEESSGLLIAEMPIHEILADNNT >LPERR10G05860.1 pep chromosome:Lperr_V1.4:10:7560287:7562741:-1 gene:LPERR10G05860 transcript:LPERR10G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSKFRGYYDDSSSGGYRRDKPQGRKKRLSAQKKKEIKEAFDLFDTDGSGTIDAKELNVAMRALGFELTPEQIYQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKLIDKDGNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFFKMMKRTGFGAGF >LPERR10G05860.2 pep chromosome:Lperr_V1.4:10:7560287:7562741:-1 gene:LPERR10G05860 transcript:LPERR10G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSKFRGYYDDSSSGGYRRDKPQGRKKRLSAQKKKEIKEAFDLFDTDGSGTIDAKELNQIYQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKLIDKDGNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFFKMMKRTGFGAGF >LPERR10G05870.1 pep chromosome:Lperr_V1.4:10:7580834:7585240:-1 gene:LPERR10G05870 transcript:LPERR10G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPISSCRALSPAAAAAAKTTRRRRMAVSASAWRTRREEAVGRMPRLAHREVMLAVAGEAEARLGERLLPSEVPADVKWFENAAGDAVGSVDVRRGAPGSSIAFMLDAWFHRDLPGSGAIDITALIINLTGVTDAPHLVMEFIQGTPTSLIVLLDLLPRRDLPLHPAYIDRYYAATSLDARGRQGFADRVPQSRPYVSPSLLIRSLWSPAAVVADIQCGEGGEETLEGIVCGQVASTAMDVLGVWLEHCAGGEEMEMDKTERERIVARDRKVSATELELNLAANLPRMFDADVSDRVVAEIRKAFVAHREVARALASQAEARLGARLLPSAVPPDVAEFRAGAGNAVGSLDVRRGAPGSTIDFTFQSSLHCKVPNGAIDITTLLLFLNASTDAPHFLMELIQGSPTSIVVILDLIPRKDLALHPEYIENAWSPTAILVSIDCGQGGECTLEEIVRGQLATVAKELLQIWLDSCADHASEMEEAERESMIKRDQIVRSKSIEVDLTSNLPRMFDQDVANRVIAEIRKAFGVQDV >LPERR10G05870.2 pep chromosome:Lperr_V1.4:10:7580834:7585240:-1 gene:LPERR10G05870 transcript:LPERR10G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPISSCRALSPAAAAAAKTTRRRRMAVSASAWRTRREEAVGRMPRLAHREVMLAVAGEAEARLGERLLPSEVPADVKWFENAAGDAVGSVDVRRGAPGSSIAFMLDAWFHRDLPGSGAIDITALIINLTGVTDAPHLVMEFIQGTPTSLIVLLDLLPRRDLPLHPAYIDRYYAATSLDARGRQGFADRVPQSRPYVSPSLLIRSLWSPAAVVADIQCGEGGEETLEGIVCGQVASTAMDVLGVWLEHCAGGEEMEMDKTERERIVARDRKVSATELELNLAANLPRMFDADVSDRVVAEIRKAFVAHREVARALASQAEARLGARLLPSAVPPDVAEFRAGAGNAVGSLDVRRGAPGSTIDFTFQSSLHCKVPNGAIDITTLLLFLNASTDAPHFLMELIQGSPTSIVVILDLIPRKDLALHPEYIEKYYENTQVDKLREKIEELPQTRLYRSQSLFVRSAWSPTAILVSIDCGQGGECTLEEIVRGQLATVAKELLQIWLDSCADHASEMEEAERESMIKRDQIVRSKSIEVDLTSNLPRMFDQDVANRVIAEIRKAFGVQDV >LPERR10G05870.3 pep chromosome:Lperr_V1.4:10:7580836:7585240:-1 gene:LPERR10G05870 transcript:LPERR10G05870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPISSCRALSPAAAAAAKTTRRRRMAVSASAWRTRREEAVGRMPRLAHREVMLAVAGEAEARLGERLLPSEVPADVKWFENAAGDAVGSVDVRRGAPGSSIAFMLDAWFHRDLPGSGAIDITALIINLTGVTDAPHLVMEFIQGTPTSLIVLLDLLPRRDLPLHPAYIDRYYAATSLDARGRQGFADRVPQSRPYVSPSLLIRSLWSPAAVVADIQCGEGGEETLEGIVCGQVASTAMDVLGVWLEHCAGGEEMEMDKTERERIVARDRKVSATELELNLAANLPRMFDADVSDRVVAEIRKAFVAHREVARALASQAEARLGARLLPSAVPPDVAEFRAGAGNAVGSLDVRRGAPGSTIDFTFQSSLHCKVPNGAIDITTLLLFLNASTDAPHFLMELIQGSPTSIVVILDLIPRKDLALHPEYIEKYYENTQVDKLREKIEELPQTRLYRSQSLFVRSAWSPTAILVSIDCGQGGECTLEEIVRGQLATVAKELLQIWLDSCADHASEMEEAERESMIKRDQIVRSKSIEVDLTSNLPRMFDQDVANRVIAEIRKAFGVQDV >LPERR10G05880.1 pep chromosome:Lperr_V1.4:10:7587437:7590008:-1 gene:LPERR10G05880 transcript:LPERR10G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLHPPISAELLPVTICKGDQFLDLMSDVWTNERHNLYISSMEASFMDQLYGNEHHGLDRKRVLPQNVCNNLRSERNDAHAHDEGMSCFPQNPCTRRFRPRNAGVNCKNEGVGFSMDDDESGTDMVRERVRVHGKEVKNCVGEFLADKSTEVSDQNFPDEDTEVVLYGKSHAATKAGEGGGAVKPEGSLKKMALESC >LPERR10G05890.1 pep chromosome:Lperr_V1.4:10:7594498:7599349:-1 gene:LPERR10G05890 transcript:LPERR10G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSWPMFLFLVLCCSWTQQQILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNINHNQLQGNMTDVFSNLPSLSTLYLQNNQFTGSINVLAHLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRRKSPGKHSNSSSSGSGGNSGLRAGAIAGIIVALLVIGAIVAFFLIKRKRKGAREEHVEQRQPFNSFPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDNFANKPVAKKINSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTAMPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRITIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFSPHVSDAGLASFVPNSEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRLRTEQSLVRWVTPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEASRRPDDQDQEFV >LPERR10G05900.1 pep chromosome:Lperr_V1.4:10:7611882:7612507:-1 gene:LPERR10G05900 transcript:LPERR10G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSFHGNATYDPQHGVSKITGEATEHQQGNTAGIQTAKSKPWKKRYLTFLSKFQNKMKHKKPDNIKAAAGGSKNHRSPKKRSILLSIQILEECSNLVQVIRQTTADCFAAAATAVAASVEYEDDQPYMQLDQVNYGVKRDAFGPVYLVT >LPERR10G05910.1 pep chromosome:Lperr_V1.4:10:7613912:7618630:-1 gene:LPERR10G05910 transcript:LPERR10G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAERAEHGRKKRVDRKKGKGHGKGLHSDGSVEMNPGHMKNDSSLLPSVASKPVTNVLRKKVDPETAKYFLEISNLFDNKQIDLEERSTICANALEETKGKELELATDGPISHTLQVLVEGCELEQLCMFLHNFIESFPIIAVDKFGSHVAEAALKSLATHLEDEASRGIIEDLLNRICKVIAADTANVMSSCYGSHVLRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLSCGSNRSGGSDPKNQGCGFSDIFKSFVREMLENAKGVISTLQTDKNSSLVLQAALKLSAGDDHELNYMISILLGFDEDGTAEEKDCSEKKNEIIALLEDTAYSHLLEVIVEVAPDELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTLDQMEQIWDELGSRIKELLELGKTGVVASILAACQRLETKRLESSQALSAALSSNSESSDSIVAHMLFLENYLQQKSSWEWPLGARMSVLGCLMLQSIMQYPHQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFAKLQGHYGEIAMSPSGSFLVEKCFTASNLSHKEAIVVELLNVQTELSRTKHGYHLLRKLDVDRYSRRPDQWRASQTSKETTHREFQVEFGLSTKGNGQNTEEHVSSQSPAKKKRKQKDKADIVTEDAGTNKSELSKAGNGKRIKFDKAISEKVSSNKNLMTEGASSSTAFLNNSGKRKSPGFLSDKPSFKKQKHHKPNAANSGGKMFVRDSTSTPFVRNAGKQKQSIAELADLAGKEKLSASEVRKLLKPEMSGKI >LPERR10G05920.1 pep chromosome:Lperr_V1.4:10:7619145:7619387:1 gene:LPERR10G05920 transcript:LPERR10G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSGWWCCVRVRGQVEASAQQRADGVDATANNSRLTSRWRRRRAAGGRKRRRNSRSPDQEGDGGARGRFGKETGGGRGG >LPERR10G05930.1 pep chromosome:Lperr_V1.4:10:7626492:7632486:1 gene:LPERR10G05930 transcript:LPERR10G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLKCEYAVRGEIVMHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEREETKSLFSADAISRATSILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGHPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEENQEDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKVARSLGYSEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKVGDASYASYKAEKDGILQSLARRAKALEDAFNNLEGITCNKAEGAMYLFPNICLPQKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKSFHEAFMAQYRN >LPERR10G05940.1 pep chromosome:Lperr_V1.4:10:7636466:7642604:1 gene:LPERR10G05940 transcript:LPERR10G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGFSSEVKKEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSIISSLSCCAEAMVSTFNSLEGMTCSKAEGGISVFPSIRLPPRAIEAADAMNTEPDVFYALRLLESTGIVVVPGSMFGQVPGTWHFRCTILPQEERTQMIISRFKAFHEAFMEEFRG >LPERR10G05960.1 pep chromosome:Lperr_V1.4:10:7653861:7654507:1 gene:LPERR10G05960 transcript:LPERR10G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSILSDLIPVAKNKRPRRSDAAADDFEAAFEEFDAGDSSDEVGIILPPPRPIRRQGERVRFRGVRKRAWGKWAAEIRDPVRGVRVWLGTFATAEEAAMAYDVEARRIRGKKAKPAAAAAEVFDTYDIDGGLASYFADAAGSGYESLESLFAGGGGGDAAEQWPVGLWSFAGDGSFCL >LPERR10G05970.1 pep chromosome:Lperr_V1.4:10:7661950:7662383:1 gene:LPERR10G05970 transcript:LPERR10G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRMGWFFYPTAIVGHKWMCPSTPVSWQWGNGKWAAEIRDPVRSVNIWLNTFATNECIARAYDAAARRMCQGRSQLLHRDAYTPVLLSPLQQALAIIVRCQRGVLVVIICWTSVLWEHLGSPGGITN >LPERR10G05980.1 pep chromosome:Lperr_V1.4:10:7664948:7669183:-1 gene:LPERR10G05980 transcript:LPERR10G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLRRDGGNATWRVLKVDRTEPTSPVAVDDRDCYSDGERNDLLRRLHHGNAATGGLKFVTKCYGITGFVKFLAPYYMVLIGKICGYAVYAVDKSEISTVPGPNVVPNVAKSNDEKRSFYLLIYMQLFCSVNLRDNFFFSYSYNIIHSLQKNIVDKNISWPSSKRNTSWKSQYGTTFVWNEFLTRGILEHLKDPIWTDKISVSGKEFWLTVIARRSRHFVGPRFLKRGVNENGMVANDVETEQIVFEETQDEMPSNITSVVQHRGSIPLLWSQEAKFPIKPDITIKPDMDYKATQLHFDDLLMRYGNPIIILNLIKVIEKKPHESLLRVEFVKTIDHINKGLSKDNQFKFVHLDMKNHARSCDVLPCLVMVGSASLAHTDFLHCQLTATSHPDDTQSQHNDVRSTTSTIHTTGPKFGTVEVLPDYNCIDCLDRTNVAQFAYGLVALGRQVATLVHNQGMEIGIDDPLSCTLIAFYEKMGDSLALQCTGSAAQNMVFWRLGGQWSAICQWNELTRNVQRFVSNACMDSAKQNALNVFLGHSQPEQGRPPVWRLGSATVPQRQGSEINEEQRARSSKASSLSSNIHSAPARNGRNYVAKSELPPGMLNRKYTLLRS >LPERR10G05980.2 pep chromosome:Lperr_V1.4:10:7665183:7669183:-1 gene:LPERR10G05980 transcript:LPERR10G05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLRRDGGNATWRVLKVDRTEPTSPVAVDDRDCYSDGERNDLLRRLHHGNAATGGLKFVTKCYGITGFVKFLAPYYMVLIGKICGYAVYAVDKSEISTVPGPNVVPNVAKSNDEKRSFYLLIYMQLFCSVNLRDNFFFSYSYNIIHSLQKNIVDKNISWPSSKRNTSWKSQYGTTFVWNEFLTRGILEHLKDPIWTDKISVSGKEFWLTVIARRSRHFVGPRFLKRGVNENGMVANDVETEQIVFEETQDEMPSNITSVVQHRGSIPLLWSQEAKFPIKPDITIKPDMDYKATQLHFDDLLMRYGNPIIILNLIKVIEKKPHESLLRVEFVKTIDHINKGLSKDNQFKFVHLDMKNHARSCDVLPCLVMVGSASLAHTDFLHCQLTATSHPDDTQSQHNDVRSTTSTIHTTGPKFGTVEVLPDYNCIDCLDRTNVAQFAYGLVALGRQVATLVHNQGMEIGIDDPLSCTLIAFYEKMGDSLALQCTGSAAQNMVFWRLGGQWSAICQWNELTRNVQRFVSNACMDSAKQNALNVFLGHSQPEQGRPPVWRLGSATVPQRQGSEINEEQRARYTEYFSLIRWNEMKIAEK >LPERR10G05990.1 pep chromosome:Lperr_V1.4:10:7675934:7678963:1 gene:LPERR10G05990 transcript:LPERR10G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSLGVGLPYQKFVSFALEETRLRSILTPHPSQEKFKFIKSNDDNTVFNALSFSAPKIRLLRNLTIEKKNAFQQTMTHLAYSRLLPFSTILMMHIPMQVLDFAAFSEPEYDLPIFCANVFTTPAQSIVVLDLNPLYDTTIHKDYKDKYYKKIMPLAQKYSEKMQLFPWGGKITSESLRFFSPIVIWTIFESTERNHHVLHLAFMDYYKVWLELMDQAIKENNKVTIARNREQQHKYLTWRAEKDLVMEFLFEGVNTLGTKSFLDYFPKYARDDGSVNKKRSMIGKSFETRPWDANGEFFGDAEA >LPERR10G06000.1 pep chromosome:Lperr_V1.4:10:7686975:7694342:1 gene:LPERR10G06000 transcript:LPERR10G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPTARVAAPAPSSSAAVAPEKTGGAGEKEGKREDGIVARVTDANGITISPTCSRMILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPGDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYINFMETEARILKVLQPTLSLDPAPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENIKPDEMGIAGGNAAHQVFDNIAIPNMSGGSQTFRPTNIQNMTSQHGRQQTVNYPAIGSDRGAGTPVNYAGVHSSPQNLMPYNENVVTNGLLSVKREIPDASLQDPKRVKPTVSVDDIQQQQTRHQPAGLGEMQWKNQPSDIKMQYAQNYSQPFSSMQEQTSSYLNQSMRCVAKQEHDMDRSKDTFQAIPPENSVLDQQQSQAQHTPQQVGTQNNLPNVQQWQNSRSLGEKDLKKEEMLQRRKLAASRVSSVPMVQSPVSSKSGEISSSSMGGQFGAVVTSAVMGSQKDKLAANSNPSDSMHRMPQSSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIGAISERYKVHSKKNKVDNLPQRKPMINASQDKVATILSNCFHPEDFRDEIKPLCNSMMGGSMNTLKTRKLDFLINNRTYQGPTRPFRIIFKERNEGRVAMMYGDEEFDSQNPYLCVLNLPTTYQADLLAKELIAQMEQEGHDKAEDQVALSTSPGNLNALSGILPDNMASNVKQEGGISQQLNAAAHANMTPGTPLQQHPVNRMLPSVNNQALAMPQGYVHSAMPSRSQQLDQNLIHQQQQQQPQLQQNAQAQLQQPASLPLNQMQRPQLLPTNPLSQMLGSGSNLPMASNHMGNKASPNSVQLQMMQQAQQQQPGQISRKMMGLGSTVNMGNMVNNVVGLNNIGNVMGMGNVRPMSSPIGNMSGLGNNPNQISLGMASNLSAPGIRPGMNPAALAKIRMGLLQQRAGGIYPQTGMVGMPGSSSPILPATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSI >LPERR10G06010.1 pep chromosome:Lperr_V1.4:10:7696849:7698195:-1 gene:LPERR10G06010 transcript:LPERR10G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAEEELTRIYQEPSRRPSADEVEAAGESEDSVLAEDEAPPESGVPELKVFDGLLDRASPVPAPSCEPPPPPAGEERVESTPAESVEAVRRTAPRGGFAGGLDDEMVLCEILARLPARSLLRCRAVCTSWRRLISDQAFLLAHHRRQPDLPLIYFRRGSIDCVDAVDLRTSHLRPVVTGYTVIASCDGLLLLSSPNRFYICNPTTNQWSAIPQLVDVDFLGFYKHNPSGEYRLLYGEFHGEEECVYSILKLGSDEPRIITMRMGSETVGQTLAREFLMHARGDGSVLVNGNLHWYLRHKDEGYKIMVFDTDHERSIWACKHRIELPVARIRQFPGCNVEHAGWFAAIVSLEGDVLVRCSNWFFHCDTKGNLLATFQFDGKLPMNCLHRLKESLVLHPFFRM >LPERR10G06020.1 pep chromosome:Lperr_V1.4:10:7699188:7703763:-1 gene:LPERR10G06020 transcript:LPERR10G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADHRAEEEEEVDDVEEEGSEEEEVESGDEEEEEEDDDEAASLADICAPDAGSDEDPTFDPAADGDLEVEAVLRSRMARMSISARKGRKGSRMPEMGKEEMGLLSMVDKLMQDGQLEKLKVYDCKAYLRMHKLRLSGNKEVLLSLIREHIEKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTIEILWSKGHKPWPPLHPLLIKGRNLCKDKTMRQPWPDEAERNRVLQEKHARGYVARKTREVRIKEKENRRMKRLNRNKENKSKGHDKMNKMTSQESLPQQMVIMSKRQQNMSNKSSQEVLPLQTVTMNTVQQRSNEKTIPSAQHDEPGNIWQQQMSPKQIPAEQYFDYHCLFPCPQQHNEELQKGTSRTSAAQLINREAPLQRDVKAETLHQLPESSKATLIQQSSAYPQQYPKHQHQNEVLPQAGPPQVQRTAVSQTTDVRQDFINQQGIPSKLYGGSENMRQQPISSRPTTTPQQAVKYTQQPPNHQYKNEVFWQQAGTDHDKQASQPRRTFTQKAKTYQHGSNGHHQALIDQLESPRNQDYYWGEESYEEDCSDHGKLNQGKYFSEQNVDHIPHRPLTPRNKDYYWGEESYGQGYNGDGKMDQGQYDFQQRHHGRRQMSQYQYDHRQNNYQNSHGNWGMNGNQYHDEQNHNQTYNDHGQMNRNQSQSPQRFQPWQPCHSYQQQGWCKYGENCKFLHEPNQSPRQFQPWKPCHSFQQQGWCKYGENCKFLHEPDQNPRRFQPWQPCHNYRQGWCKYGDNCKFLHE >LPERR10G06030.1 pep chromosome:Lperr_V1.4:10:7706118:7708943:-1 gene:LPERR10G06030 transcript:LPERR10G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKKHEKDKTQRERDFAPASGGFRGDFAPASGGSRGDFAPASVAVLSGGGKGRGGQIQFTASLLRRARECTSSPEGISSCGYQSTLVQINGLAPTISYRDE >LPERR10G06030.2 pep chromosome:Lperr_V1.4:10:7706118:7708908:-1 gene:LPERR10G06030 transcript:LPERR10G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding RERFCSGERRVSRRFCSGERRVSRRFCSGERGGSLGRWKGARRPDPVHGEFAPASSGVYVFSGGDQQLRLPKYRDE >LPERR10G06040.1 pep chromosome:Lperr_V1.4:10:7760992:7761318:-1 gene:LPERR10G06040 transcript:LPERR10G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVYGERAVVIDDFPADVAAELVRRVAAAAAGAGKDDDVQRGGVVDQLPVARKASSQRFVEKRRGRLAATAPYQPRPPPPAAANAGKEDGDGGWLELGTPGTTHPTQ >LPERR10G06050.1 pep chromosome:Lperr_V1.4:10:7761322:7761547:-1 gene:LPERR10G06050 transcript:LPERR10G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASIDPRTTERVDREEDMATADCAGRRFAVACGVLSRCVKAGKTAAAMLLMPGTDEATAA >LPERR10G06060.1 pep chromosome:Lperr_V1.4:10:7764586:7768315:1 gene:LPERR10G06060 transcript:LPERR10G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPVGIVSFLKVSSLCSSLSLGENLVPIFWTDVVCIMDAIFLKTMPYFSLVVLALGSRSGSFVFGNDDLGLSFSCTATSFSFVHRRPETGWVKLNVDGSFDEATGRGGIGIILRDSEGQAICSACAFMPHCSQALEAVILVLRQGLEIGMQNSELPIIVESDCAVAIHLIQEKAFDQVQDRGNNWQ >LPERR10G06070.1 pep chromosome:Lperr_V1.4:10:7769085:7769628:-1 gene:LPERR10G06070 transcript:LPERR10G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDCAGARRRFAVACGVLSRCVKAEAAVAAAAAGKIVTSPASSTMLLMPGADVAPDVDGDDDDDDVARRGGGNAVADLPVARKGSLQRFMEKRRDRLGAAAPYSARPSLAPAANDGKKEDGGDARCWLELGIPGSCRIVQ >LPERR10G06080.1 pep chromosome:Lperr_V1.4:10:7772314:7772841:-1 gene:LPERR10G06080 transcript:LPERR10G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADCAGRRRFAVACGVLSRCVKAEAAAAAAAGNNIVSPPAASTMLLMPGADVAPDVVTEEEQAAAQLTIMYGGRVVVFDGFPAESVAELVRAAARKEEEEDDVARRVAADLLLPVARKGSLQRFMEKRRGRLAATAPYSARAPSPPAGKAGKEDGDPGCWLVLGTPGSGAAGR >LPERR10G06090.1 pep chromosome:Lperr_V1.4:10:7780437:7781131:-1 gene:LPERR10G06090 transcript:LPERR10G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASTRFAVTCGLLRQYMREHQQQPPAPAVSLLATEAAAEGDDGRTMQLFPTRDAAAVEAAETAPLTIFYGGRMVVFEDVTAEKAMELVRMAAASDSSPPAPAPATPPQPAALSDMPIARKASLQRFLQKRKHRITTTSDPYKKPVTASPEPEKSFAAVKPVKDEPATWLGL >LPERR10G06100.1 pep chromosome:Lperr_V1.4:10:7800153:7803264:1 gene:LPERR10G06100 transcript:LPERR10G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLEYKRLKKLVRLISSFSGAGDGDGRGEAAFVRLLDGEIDRINAFFLEQEEEFVIRQRELQETVEKMAGGGGGRRQAVDAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLGKILKKYDKRTGRLLRLPFIDKVLRQPFFTTELISRLVRDCEATMEAVFSISSLEAMAGDRRFRKGCPDADVAEMAPMADHQGIFRNTVAALATMKELRSGSSTYGLFSLPPMSAAAPFSPESDVLQTCSRVQIVDPVTI >LPERR10G06110.1 pep chromosome:Lperr_V1.4:10:7800439:7807400:-1 gene:LPERR10G06110 transcript:LPERR10G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVREFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSENDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLALILSISPSSSRTKAASPRPSPSPAPEKEEMSLTSFLRRLYSKNLSLHSGRLSSTCFLSLFPNFILPN >LPERR10G06110.2 pep chromosome:Lperr_V1.4:10:7802001:7807400:-1 gene:LPERR10G06110 transcript:LPERR10G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVREFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSENDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVKRSTTNYS >LPERR10G06110.3 pep chromosome:Lperr_V1.4:10:7802525:7807400:-1 gene:LPERR10G06110 transcript:LPERR10G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVREFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSENDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVKRRSTSTSS >LPERR10G06130.1 pep chromosome:Lperr_V1.4:10:7822356:7831640:1 gene:LPERR10G06130 transcript:LPERR10G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFHHPTGRSSDGRLVIDFIVEALRLPQPTPYLAGKTAADLLAGTNFAVGALTALDLTLLKSRGVMAWAPASLSNETRWFEDTLQLLGSSPQERSTMMEKTLFYFGEIGVNDYFLALGSKLTVEEVKTFVPDIVAVIRTAVTGAIVAGAKTVVVTGMIPLGCEPQLLALFPVGAAADADYYDPVTGCIARFNEIAQLHNRLLLRMLGDLRRAFPAASVVYADFYRPITAIVASPDKYGFGERPLAACCGGGGNAYNFDFSAFCTSPASAVCADPSKYVSWDGIHYTEAVNKIVARALLRGRGVVPVPSTPSSMAFLSSNIRTTRPDRTDTGHAAAVSLSPDAGGGVEVSYARVFCFGNSLTDTGNNPILPATAGGVATIPPYGMTYFHRPTGRSSDGRLVIDFIVKALRVPEPTPYLAGKTVEDFLAGTNFAVGGATVLDPAVLKSKGIVSLVPVSLSNETRWFEDTLQLLAGTSSNARRRIARNSLFFFGEIGVNDYFLSLGSNHTVEETATLVPDIVDTIRSAVTAAIVAGARTVVVTGMIPLGCEPQLLALLPAQDAADYDPETGCNARFNELAELHNRALIRMLRHLRHAFPHDAIHYADFYRTITAIVASPTKFGFGDRPLAACCGGGGNAYNFDFAAFCSSPASTVCDDPSKYVSWDGIHYTEAANRLVARAMLRRVLRTVPNPSLPMAMSVSSSRERPGPDVAESGEATTATL >LPERR10G06130.2 pep chromosome:Lperr_V1.4:10:7830698:7847331:1 gene:LPERR10G06130 transcript:LPERR10G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDSLHGGGEQVGGSCHAKESTTDGAQPILAHGDVSVKFTRASGTRRGRIRRGYYSYSMNCLMTDRSTRLLDIGSNGPNGNWKMIRKQTDRWLVLIGILIAVVAADGRRQPPRTPYSRVFSFGDSLTDTGNAAILPITAGGPFTQPPYGMTFFHRPNGRASDGRLVIDFIVKGLGLPEPTPYLAGKTAADFQLGVNFAVGGSTALDPAFLKARGVTSFVPVSLSNETRWFDNALQLLGASADEQHMIAASSIFYFGEIGFNDYSFALSAGNGTVDVAVSLVPDVIAVIRSAVTKVIAAGARTVVVAGMIPIGCEPELLALFPGGATANDYYDPVSGCIKQFNNLAELHNRQLKRMILDLRRAHPGAAAIVRYADIYGPVTAAVASPAKYGFGGSPLAACCGGGGAPYNFNANFTGFCGTPGSTVCADGPCKSVSWDGIHYTEATNRLVARSILTRLPHTRSQALYK >LPERR10G06140.1 pep chromosome:Lperr_V1.4:10:7833076:7835453:-1 gene:LPERR10G06140 transcript:LPERR10G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWRLSYLLEPASLALITTAVSVAYASASRALDHGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYITYVKSRFSVGDPFVSRCCSKSFTRLQGLLTLICICTVVAWLISGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSEMFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDTSVSADMPPSKQRKYVWYALTGYGIGLVTALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSRPILNDKAHLLENLTNGSLITPIPVMDPQDF >LPERR10G06150.1 pep chromosome:Lperr_V1.4:10:7835466:7836186:-1 gene:LPERR10G06150 transcript:LPERR10G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEKVPSTDSTPLLRPSPAASTRAALAGGGRARGLRRVSESEFLHLLLAPHPRSLAGLTIRIWLLLKRESEQEVGIRPPSPVVGIDLGALEKSTLSVDGEATFAVDIRAPAGFTSNSRFSRWKKSLPREELGTCEEF >LPERR10G06160.1 pep chromosome:Lperr_V1.4:10:7847342:7849260:1 gene:LPERR10G06160 transcript:LPERR10G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLRLLVAAAAALLGVLAVAVSVAGEAGYARVFSFGDSLTDTGNALHLPCTGGGGPASRPPYGETFFRRPTGRASDGRLALDFLVEALRLPHPAPYIAAVAGGGKTAGEFRHGVNFAVGGSTALSPEFYEGRGLKPFVPVSLANQTVWFQQVVRLLGSSSVHGSKKHFIKVDRDVPTAGRNVQCIIAVLSVTCRKKFMASSLFIVGEIGVNDYFVSLVGNLTVGEVSTFVPNIVAAIRFVVNEVIVAGATTVVVPGMIPLGCEPQLLALYDNDAAAAGGDYDPKSGCMTRLNALAELHNRELSRAIGELRREHPAASVVYADLYGAVTDIVVSPGRHGFRDDTPLAACCGAAGAGAYNFNVTAFCGAAGTTACADPSAYVSWDGVHFTEAANRQIACAVLNGGGAAPTTWLAASMAGRSKIGCT >LPERR10G06170.1 pep chromosome:Lperr_V1.4:10:7867577:7871804:1 gene:LPERR10G06170 transcript:LPERR10G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNTMPRVSLRVSIILHFILVRAALAADGVESSLAGGLKTRFSRVFSFGDSLTDTGNLVRLPAARDVPERRLPYGQTYFHRATGRASDGRLAIDFIAEALELPHLTPYLAGESAGDFRHGANFAVGGATANDAGFFERRGLKSSVPVSLATEMAWFKELLQHLASSSAQEQREITASSLFVVGEMGGNDYLIAFFQNRTLDEAKTFVPGITDAIRSFLTELIGLGAKTILVQGMLPIGCEPRILELFKNSHVAGDYDDETGCLKSINELAEQHNRELTGVLDELRLAHTGTAIIYADFYRAVTDIAASPRKHGFGGEPLLACCGGGGGPYNVKLTARCGGEGTAVCGDPWEYVSWDGIHYTEAANRVIARGIMEGQYTTPLI >LPERR10G06180.1 pep chromosome:Lperr_V1.4:10:7872618:7875750:-1 gene:LPERR10G06180 transcript:LPERR10G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPPMRVAAASAAAATTTTTTFRGGLSTAAATAPRRGRLRCSGRRAPTSCKCRAEAGAGGGGGRAAIAVVGDPPTFVTAPGRRIVAVGDLHGDLYQTRAALVMAGVLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIRFMEYLEECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARASLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSIWMKGSSVDSDDELDIPFIATRGYDSVVWSRLYSQGPTDMTHRSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYPLFSLR >LPERR10G06190.1 pep chromosome:Lperr_V1.4:10:7881253:7884785:1 gene:LPERR10G06190 transcript:LPERR10G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTLLLLLLLLLVATANADEGNATTTTTTTYIVFMDPSRMPAAHETTPADWHAAHLQSLSIDPDRHLLYSYSTAAHGFAASLLPHHLPLLQSSPAVLHIIPDEVFHLHTTRTPEFLGLLAPAYQPSIHGIEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGICEAGVDFPPSLCGRKLVGARSFSRGLRAANGGGGSGRRRVFASARDRDGHGTHTATTAAGAAVANASLLGYAAGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSAATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLVYGGGGDNASKLCLSGTLDAAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIRDYASRGGGGRQMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEVLKPDMIGPGVNILAGWSGVAGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNSSLRDAAGGMLATPFAFGAGHVDPQKALSPGLVYEISTNDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKQFMRFRREVTNVGPAMSVYNVKVSSPPSVSVKVIPAKLVFNKVGQKQRYYVTFASKVGTSSVKPDFGWISWMSNQHVVRSPVAYTWKM >LPERR10G06200.1 pep chromosome:Lperr_V1.4:10:7906075:7908264:-1 gene:LPERR10G06200 transcript:LPERR10G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQCIKYLGPVGQIVSSRAESVDLSIMSVPYRIAASYLCQIHCHHILKKYIPNYQNNRVQRLALYHIYMNLPLMILSCHTFKIMGLRSTLSL >LPERR10G06210.1 pep chromosome:Lperr_V1.4:10:7915180:7920593:1 gene:LPERR10G06210 transcript:LPERR10G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSNMHVAYMHQVKFRQIYPAFWSHFFLLVSVVNLCHHTWRNTHIMFSAQFFIAFVLAHATIFFFNIVLNESLFYGEMVKQHQQNTSDDDTS >LPERR10G06210.2 pep chromosome:Lperr_V1.4:10:7915180:7920593:1 gene:LPERR10G06210 transcript:LPERR10G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSNMHVAYMHQVKFRQIYPAFWSHFFLLVSVVNLCHHTWRNTHIMFSAQFFIAFVLAHATIFFFNIVLNESLFYGEMVKQHQQNTSDDDTS >LPERR10G06220.1 pep chromosome:Lperr_V1.4:10:7926511:7932268:1 gene:LPERR10G06220 transcript:LPERR10G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASSLGEQLVKMVNDEASMLLGASKEVEKLSETLSSLKMFLADAERRHITDVTKEDEYVHEWVRKLKDAIYDGTDIVDDVHLKVEKRRTSMGSCSSSESLFWCLQDPLFTHRIGSRVKELNQRMDGLFSKQAELLKFSITSGNSHSGSNPRRTAPGIIHEDVVGDKIEQDKQKLVDILINRDNDDEDDVGVLVVAILGVGGIGKTTLAKEIFNDQAIHDTFDSKIWLSHPRLQVPVTKACAPGTRVLVTTRNEDVALAMKAAHSHHVAKLELPDSWTLLQKQVALNISEIEIVQECGMKIAEKCDGLPLAIKVIGGVLCKKNTTKNTWEEVLRNQIWSKTGLPGELNKAIYLSYEDLNPNLKQCFAYYSLFPKDEIIGIEEIVSMWIAEGFIGKDGLSTESGINSTMSVGLDYYKDLIKRNLLEPQDDYYNQEHCIMHDVVRSFAQYVASDEALVLRDTQNNAILSSSKFRRLSIAGKQIDWSYLRNQHCLRTLLLFGNMKLKPSDSLRILPCLRTIHIRNFKISILQDSLCKLKHLRYLELSIDETKIRAIPRGFSRLVNLDLLWGFPVHTVVKAAKHYCTLEDVGPLSQLRKLKLKGLENVPSRSMAVLAKLETKSRLTCLELWGTSDETKGAIVAVEQEQIKVVFDQFCPPKCLEELTIGGYYGDVLPDWIKMPDAAIFQDLRRLNLQKLACCIQLPDGLGQLPNLDFLVVNHAPCIKKIGHHLFFEQGQRNMDIKRSSRHDAFPKLHV >LPERR10G06230.1 pep chromosome:Lperr_V1.4:10:7943960:7948618:-1 gene:LPERR10G06230 transcript:LPERR10G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTSSSSSWGSLFGLGCFTSSHDGSASNDNSAPAPAPLPGRPSCNNNKDGGVMPSPEGLRQSLAGSGVEAFTVEELRTATRDFSVSNFVGEGGFGPVYKGYVDERLKAGVRAQAVAVKLLDLEGSQGHKEWLAEVMFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPPREQNLVEWARPCLHDSRRLGRVIDKSLNGQYSTRAAQKAAAIAYQCLSVSPKSRPRMSAVVEALVPLLAMDDGIVEPFVYTAPPESKQIN >LPERR10G06240.1 pep chromosome:Lperr_V1.4:10:7951417:7979895:-1 gene:LPERR10G06240 transcript:LPERR10G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRPGTAVWVEHPDHAWAEAVVASPAASSSSPSSVTVTLAGGAKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGLNEIYTYTGRILIAVNPFAKLPHLYDMHMMDQYKGVQFGELSPHVFAITDASYRAMVTEDCSQSILVSGESGAGKTETTKLIMRYLTFVGGRATGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADRYKLAHPRNFNYLNQSHTYELQGVSDAEQYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAVKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLFDWLVDNINKSIGQDMESSAQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSGLFSSQQDDPSKSSYKFSSVASRFKQQLQALMETLSSTQPHYVRCVKPNSLNYPQKFENRSVLQQLRSGGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKASRILQGRFRTFVARKEFLSTRKASVSLQAYCRGCLARNVLDAKRQIAAAVSVEKYARRWFCRCAYLHTRSSALVIQSGVRYMLAIQKLLHLKKDKAATIIQAWWRMRKLCNFHRQYRHATIQIQCCWRQKLSKRALRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRLAAEESKALEVSKLLKIVESLKCELESAKEEKSNGCKEVASMQQQLELSIKDQELLHDNLSQIEELKRENTLLKAKNADMEQELLKAQKCSHDNMDKLHGDKISNLEDENHLLRQKALTLSPRHGRTMESSPVKLAPLPHNLTELRRSRMNSERHEEYHELLQRCIKDDMGFKKEKPVAACVIYKCLLHWGVFEVERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGYTAAPSRSSSDPHLCEKANDTFRPSLKVFGQRNSMSHVDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQVSIVFADLFFSEVIGGVCTFNLFILILSSTLDDTDKIKAPKLARGGGGRRSRSPDVALQQPISAHWDRIVKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHSGAAWDELKYIRQAVEFLIIPQKSKRTLEQIKKNICPVVARMRDMVSSDAQNPVSNSFLLDDDLRGDSRGSTGHRHVKHRDALITSSCTLCSILDTAPPDTVFTKMKLPRRANR >LPERR10G06240.2 pep chromosome:Lperr_V1.4:10:7951417:7979895:-1 gene:LPERR10G06240 transcript:LPERR10G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRPGTAVWVEHPDHAWAEAVVASPAASSSSPSSVTVTLAGGAKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGLNEIYTYTGRILIAVNPFAKLPHLYDMHMMDQYKGVQFGELSPHVFAITDASYRAMVTEDCSQSILVSGESGAGKTETTKLIMRYLTFVGGRATGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADRYKLAHPRNFNYLNQSHTYELQGVSDAEQYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAVKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLFDWLVDNINKSIGQDMESSAQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSGLFSSQQDDPSKSSYKFSSVASRFKQQLQALMETLSSTQPHYVRCVKPNSLNYPQKFENRSVLQQLRSGGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKASRILQGRFRTFVARKEFLSTRKASVSLQAYCRGCLARNVLDAKRQIAAAVSVEKYARRWFCRCAYLHTRSSALVIQSGVRYMLAIQKLLHLKKDKAATIIQAWWRMRKLCNFHRQYRHATIQIQCCWRQKLSKRALRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRLAAEESKALEVSKLLKIVESLKCELESAKEEKSNGCKEVASMQQQLELSIKDQELLHDNLSQIEELKRENTLLKAKNADMEQELLKAQKCSHDNMDKLHGDKISNLEDENHLLRQKALTLSPRHGRTMESSPVKLAPLPHNLTELRRSRMNSERHEEYHELLQRCIKDDMGFKKEKPVAACVIYKCLLHWGVFEVERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGYTAAPSRSSSDPHLCEKANDTFRPSLKVFGQRNSMSHVDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQVSIVFADLFFSEVIGGVCTFNLFILILSSTLDDTDKIKAPKLARGGGGRRSRSPDVALQQPISAHWDRIVKFLDSLMDRLHKNFLASTTGVLYIFEWRICENWALCTGEMDSGCYRRGAAWDELKYIRQAVEFLIIPQKSKRTLEQIKKNICPVVARMRDMVSSDAQNPVSNSFLLDDDLRGDSRGSTGHRHVKHRDALITSSCTLCSILDTAPPDTVFTKMKLPRRANR >LPERR10G06250.1 pep chromosome:Lperr_V1.4:10:7980668:7981398:-1 gene:LPERR10G06250 transcript:LPERR10G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSSSAPPRFHLVATPGHGVSRLSVTSAFSAAPGLTVSMRRKSTRRQSTRSLTVRCEQGAKGGGGGSGGLDVWLSRGAMLGFVAAIAVELTTGKGVLQNVGLTSPVPTVALALTGVVGVATAFLIFQSASRD >LPERR10G06260.1 pep chromosome:Lperr_V1.4:10:7985648:7988799:-1 gene:LPERR10G06260 transcript:LPERR10G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAVHRVCKPEPLSSGYPSCKEGDMERSRLLESIHRAYEVALGRLAMAAMPSFLDAGFCFGLLNPVSNIVANTLTAASAAAVAVEESEVEEMERRSRDGLVTFLTCFFPYLADWEATRYLLLADADLLATALLVVRDRGLRGFHSNSDTNIAAVKLALRCAALAANHKQPQRLVDAWLSLSHRLEEASFLLSSPNADVFAIRKLAEQSAPPSPSVRPLETPWHLAAFRCRPKRVLLDVIHGFYLKALARLPAGELRSRYHRSLLEGGHCYGPLGPVSNIINNTLWHDIVYPAKEGFELDMIWTKSLLRIEARSFYGLVSFLCTRYPNLDLHQAMRCLLEANGNLAAAIASHHLNPDVQGEFLSSCKTMLGPTALSLLQGDTKLSYEDIHCLANLLLAGTTSPGNSLQLLSPNQRLTSGKRYKIRNWMHLDARIAAKVKAALAAYASNNEEPTYELHVICGVNEHVSGPNESKSSDEIPPYFYHHSHINFLAARRGDQYDGEAPTLFFAEIGNDEGYDGGVVTLCCPNKFAASIVIMKGAELYILAKRCSMGARWISKRWCAEKIHTAWKLIQDLRQGHSSPTTILSNRVISLQIIWEDWKRIASILIMLMMTVRKMAVANMTVVNVCLT >LPERR10G06270.1 pep chromosome:Lperr_V1.4:10:7990948:7995423:1 gene:LPERR10G06270 transcript:LPERR10G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLVISQRKYFLKRKSPFMPRPELINNTNPTTNLIINVDGPEPMHRTLIRILRQNSAVTSAAAPRLVDVLHDDGGLADGAAVGEHEHGDLAVHRVGGEESVALPRVEPLLHHLVPDLPAQRQRHPRPQRERAHPPSQQPHHSIAVRHRHGRSIDRAIAPMNLKFGASSCFADA >LPERR10G06270.2 pep chromosome:Lperr_V1.4:10:7990948:7995423:1 gene:LPERR10G06270 transcript:LPERR10G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLVISQRKYFLKRKSPFMPRPELINNTNPTTNLIINVDGPEPMHRTLIRILRQNSAVTSAAAPRLVDVLHDDGGLADGAAVGEHEHGDLAVHRVGGEESVALPRVEPLLHHLVPDLPAQRQRHPRPQRERAHPPSQQPHHSIAVRHRHGRSIDRAIAPMNLKFGASSCFADA >LPERR10G06280.1 pep chromosome:Lperr_V1.4:10:7991375:7992500:-1 gene:LPERR10G06280 transcript:LPERR10G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGDGVVRLLGGRMSPFTLRARMALALRGEVGYEMVEERFHPRKSDRLLAANPVYGKIPVLVLPDGRAICESAVIVQYVDEAWGGGGGDGGILPEDPYERAMHRFWTVYIDDKFWPALDAISLGPTQEARATATANTLSALKLLEEAFAACSNGRAFFSGRDASPGFLDIMLGCFLPALWACEKLNGLSLLNVTTTPLLCKWSERFAATATAKAVMPDTEEVVAFTRFLQTKFGVAGSK >LPERR10G06290.1 pep chromosome:Lperr_V1.4:10:7996089:7997787:-1 gene:LPERR10G06290 transcript:LPERR10G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVPDGSIIISNEKKNKVEPEYGKNRHGLEIGTYFVPKDLELLAILKCKLVRGQLTAPLSNVFSDINILQFHPARAFATNTGNKKRPIRVARGGTWKASGGSKTVRSKKVGGVDVGHKLTMVFYEKLHDGADRAPPRKTNWGMHEFTKIIPASKNKLEELALYRLYKIKREDHDNGEQNEEPSTSSPMDHLSSAIVLAGSSLSMAMAQLQQPPMSILAMAGAGGGMMMMSQLSAASTVANGGAAAAAAAFSLSPMQQQQQLGGGFLTMMEADIDPMEPVLEVEPIAVIPPPPEPMMMPALLPATNGTEQKQSSPAPAPAPSAMEEEVGDSHDNQQQQQQGRQTADGADCCGAMAIDGDEQQCSPMASAASAAADIELDDSLEIDFNLLFDDGTQISIS >LPERR10G06300.1 pep chromosome:Lperr_V1.4:10:8004045:8004824:-1 gene:LPERR10G06300 transcript:LPERR10G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHRVDSSLGVGGGEPTFRFAFVRTDHRYLPKRSFYLESRKDGTCKLIGSWDGIICIGVRRNSPPYRAGVVVVNPVSMAYAVVCNPIPDGGEFIAGYAHPDTFTVHLMYCCYKQGKPIFQIIKVGDLHWREIAAERLAAVTDTLSEIGFDKQGINSIVPHGKLHWQLRTSSAQWVVLVFDMVTEEFRSMAAPQCATTLVRGFAVLTGRLWSLVIPESKSLEMWVLEDYHDHEQQSWQVFRVIDMTATTHVIDIAKYF >LPERR10G06310.1 pep chromosome:Lperr_V1.4:10:8005872:8008871:-1 gene:LPERR10G06310 transcript:LPERR10G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSNRNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >LPERR10G06310.2 pep chromosome:Lperr_V1.4:10:8005874:8008425:-1 gene:LPERR10G06310 transcript:LPERR10G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSNRNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >LPERR10G06320.1 pep chromosome:Lperr_V1.4:10:8010967:8019539:-1 gene:LPERR10G06320 transcript:LPERR10G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGRMKGVEGGEGGSGAGDGEERDGEAREELELSLSLGRSGWHLALPRPRPRCEATPPPRGAMRWPVPHLWDHDAAGSSRAAARTWEPLMHAPQLRLRDMWHGGHGDNDAGGAAEAAEDEGEEDEEGDEDGGGRDLQSKRPRVRGFGEESPHHSGVNAPFFGLESTHFPGSDEHGHFKLLSCQENELDFVLSLFPNNGVHENPRDVNVGDVENSDGGNSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRAASTHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITFLRHLKTLTMGKGQLGEAFFQLLSECPLLATLTVSDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLKILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESIDICVFRSSTSQEYKSRSPAEKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQKVNLDGCDHLERASFCPVGLESLNLGICPKLSVLHIEAPKMSLLELKGCGVLSQASINCPRLTSLDASFCRQLMDDSLSQTAEACPLIENLILSSCLSIDLEGLSSLHCLHKLTLLDLSYTFLINLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCKNLVNVNMNGCTNLHQLVCGSDDCSSGDMPVDVSPPDSAPVRSEEISDKSGRLLEVLNCTGCPNIKKVIIPSMATYLHLSKINLNLSTNLKEVDLKCSNLYTLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKISGLDFSRLRVVCPSLKRIQSSLIT >LPERR10G06330.1 pep chromosome:Lperr_V1.4:10:8030486:8033120:-1 gene:LPERR10G06330 transcript:LPERR10G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLANIAASTSKPKHRAQPVNAPSAPARPTQPIKFSNDTERLQHINSVRKSPIGAQMKLVIELLYKTRQAFTAEQINENTYVDINGNKAVCENLKKNPKVNFDGRRYSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >LPERR10G06340.1 pep chromosome:Lperr_V1.4:10:8036815:8038786:-1 gene:LPERR10G06340 transcript:LPERR10G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQEPLVRPKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIFLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKDRMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTGTLGFLVSAEIKLISIKEYMKLTYYPVKGSLKDIAQAYADSFAPRDGDPAKVPDFVEGMVYTASEGVMMTGVYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFTEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHQEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGALAVHNLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >LPERR10G06340.2 pep chromosome:Lperr_V1.4:10:8036815:8038786:-1 gene:LPERR10G06340 transcript:LPERR10G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQEPLVRPKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIFLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKDRMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEFSDLFYGIPWSQGTLGFLVSAEIKLISIKEYMKLTYYPVKGSLKDIAQAYADSFAPRDGDPAKVPDFVEGMVYTASEGVMMTGVYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFTEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHQEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGALAVHNLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >LPERR10G06350.1 pep chromosome:Lperr_V1.4:10:8048296:8049276:1 gene:LPERR10G06350 transcript:LPERR10G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRWAARPRRRGGAAADQPSFSSTLLDAICDSMDEGDHKNEPSGKTAAARKRQEAAMSHYYYKPSLAASHRAAPPPANGAAEYCSAGRGYFSSSEVEYSLRRLRPICTSSAGGACGGDLPAAAAMTSRKHQQQRQYQPPDLEKKTMRTAVAVAAAVAGCLRIPVMEVEAADTDGGEEESSDASSDLFELDSLAAIAPANFAGDRGGSYGDELPVYGTTGVGTHRDIGRRRRPYGYALDRSLSRVV >LPERR10G06360.1 pep chromosome:Lperr_V1.4:10:8057451:8058513:-1 gene:LPERR10G06360 transcript:LPERR10G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFGAVDVDDGGAPATAAEESLRGMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVSPPPHPAASATAAAILRRDGPRGFYRGFGASLAGTVPARAVYMAALEATKSAVASAAVRLGVAEPAASAAASAAGGVSAAVAAQIVWTPVDVVSQRLMVQNTSTAGDAVRKILLADGVRGLYRGFGVSVLAHAPSSAAWWASYATAQRVIWRALGGGGGGDHDGRAVVAGASAAAAGGAAALVTMPLDTVKTRVQVMGGGGGVASAARALVREGGWAACYRGIGPRWASMSVSAAAMVTAYEFLKRLSAKESSL >LPERR10G06370.1 pep chromosome:Lperr_V1.4:10:8078032:8078481:1 gene:LPERR10G06370 transcript:LPERR10G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHTSMLPLPTEHSDDEPIYVNAKQYHAILRRRQQRKNLGSEDKVAAIRKRMLTASRKKQAKLRRRGKGGRFISTEDPFEGSVDDQSSENRGSISPCPSETSSNVNIITGDELSLDHDHNSCNQ >LPERR10G06380.1 pep chromosome:Lperr_V1.4:10:8079082:8079531:-1 gene:LPERR10G06380 transcript:LPERR10G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVTALLSLRCLREVGVELKKKDNWLGGGTMAVNLMAEATKVGGGGRGKDTSLVEGSLELEKKHKE >LPERR10G06390.1 pep chromosome:Lperr_V1.4:10:8080810:8081364:-1 gene:LPERR10G06390 transcript:LPERR10G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIKATQLSAAVVLGLFLAAFAAADGGGATTTTTHLHFFFHEVFTDGPNGTTATVVPPARSDGGSFGFVATVDDMLREGADPSSRLIGRAQGVTAGTSLSGDGAITTLLNLVFTEDGPYRGSTLQVFGRALLGTVMERPVVGGTGKFRMARGYTISRKVNSTDPDNLLVIEYDVYVTTSPI >LPERR10G06400.1 pep chromosome:Lperr_V1.4:10:8084947:8086574:-1 gene:LPERR10G06400 transcript:LPERR10G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLAMKSTSIPRRRRVHRPRRSPTKPERAAAADMFDSLATSCPSSPDYPVVPDMDDDFAFSIADNASPPPPPPCRSDKTLAVHAGEKMGSGEAAGTDSIATPIVSGTTHWFRDSADLVAFKEGRRQSFEYGRYGNPTVKVLEDKMSALERAEATLVTSSGMNAIVATLLSLVPPGGHVVATNDCYSEAQAFIRERLSKMGIKSTFVDLDDMEELESVLDKGDVTMFYADSPTNPHLKIVDVPRVAELCHRKGALVCIDSTLASPINQKPLTLGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNASYMIIRGLKTMALRVEAQNRTALRMAQLLENHPKIERVYYPGLESSPWHGVAARQMTGAGGLISFEVASDLHGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >LPERR10G06410.1 pep chromosome:Lperr_V1.4:10:8089955:8092066:1 gene:LPERR10G06410 transcript:LPERR10G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVIKSSCVPRRRRVHRPHRSPTKPERAAVAAVHDEALLAAGDMFGSLATSCPSSPEYPVVPDTDDDFVFSVADNASPPPPPQCRSDETLAVHAGEKLGSGAAAETDSIATPIVSGTTHWFRDSADLVAFKEGRRQSFEYGRYGNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLSLVPPGGHVVATNDCYSEAQAFIRDRLSKMGIKSTFVDLDDMEELESVLDKGDVTIFYADSPTNPHLKIVDVPRVAELCHRKGTLVCIDSTLASPINQKPLTLGADVVLHSATKYIAGHHDVIAGCVSGTEALISRIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAQNRTALRMARLLENHPKIERVYYPGLESSPWHGVAARQMTGAGSVISFEVASDLRGVMRFVDALEMPLIATSLGGCESLVQQPAVMSYWGKSDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKV >LPERR10G06420.1 pep chromosome:Lperr_V1.4:10:8106167:8110942:1 gene:LPERR10G06420 transcript:LPERR10G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKALEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLENNWLSENTMQQLYCNSCQRFLADRLVEGYCPTPGCNYDSARGDQCEKCGKLLNSTELIDPKCKVCGSTPCVRDTDHLFLELPLLKEKLEKYIEETSVAGSWSQNAIHATNAWLQEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKATNIPPELWRYYLLTNRPEASDTLFAWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPNVDSHTLTRSLAETVGKLIEQYINAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPSSCATVIKTSIGLVYLLACLLEPFMPTFSKEQLNLCPEEHLSFCDEKGEIEKAKRPWDLIPSGHRIGKPAPLFKGLENDTVNDLRDKFAGSQAERKLRTEVAAQLEATRI >LPERR10G06420.2 pep chromosome:Lperr_V1.4:10:8106167:8110942:1 gene:LPERR10G06420 transcript:LPERR10G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKALEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLENNWLSENTMQQLYCNSCQRFLADRLVEGYCPTPGCNYDSARGDQCEKCGKLLNSTELIDPKCKVCGSTPCVRDTDHLFLELPLLKEKLEKYIEETSVAGSWSQNAIHATNAWLQEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKATNIPPELWRYYLLTNRPEASDTLFAWTDLQAKCNNELLNNLGNFINRVLSFIAKPEETVGKLIEQYINAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPSSCATVIKTSIGLVYLLACLLEPFMPTFSKEQLNLCPEEHLSFCDEKGEIEKAKRPWDLIPSGHRIGKPAPLFKGLENDTVNDLRDKFAGSQAERKLRTEVAAQLEATRI >LPERR10G06420.3 pep chromosome:Lperr_V1.4:10:8106167:8110942:1 gene:LPERR10G06420 transcript:LPERR10G06420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKALEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLENNWLSENTMQQVKLCSAADLLFILCFYNSEMSISFASSVMFCLQMMMVQLYCNSCQRFLADRLVEGYCPTPGCNYDSARGDQCEKCGKLLNSTELIDPKCKVCGSTPCVRDTDHLFLELPLLKEKLEKYIEETSVAGSWSQNAIHATNAWLQEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKATNIPPELWRYYLLTNRPEASDTLFAWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPNVDSHTLTRSLAETVGKLIEQYINAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPSSCATVIKTSIGLVYLLACLLEPFMPTFSKEQLNLCPEEHLSFCDEKGEIEKAKRPWDLIPSGHRIGKPAPLFKGLENDTVNDLRDKFAGSQAERKLRTEVAAQLEATRI >LPERR10G06420.4 pep chromosome:Lperr_V1.4:10:8106167:8110942:1 gene:LPERR10G06420 transcript:LPERR10G06420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKALEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLENNWLSENTMQQLYCNSCQRFLADRLVEGYCPTPGCNYDSARGDQCEKCGKLLNSTELIDPKCKVCGSTPCVRDTDHLFLELPLLKEKLEKYIEETSVAGSWSQNAIHATNAWLQEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKATNIPPELWRYYLLTNRPEASDTLFAWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPNVDSHTLTRSLAETVGKLIEQYINAMDKESQFWKLYKQDPSSCATVIKTSIGLVYLLACLLEPFMPTFSKEQLNLCPEEHLSFCDEKGEIEKAKRPWDLIPSGHRIGKPAPLFKGLENDTVNDLRDKFAGSQAERKLRTEVAAQLEATRI >LPERR10G06430.1 pep chromosome:Lperr_V1.4:10:8112429:8114387:-1 gene:LPERR10G06430 transcript:LPERR10G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPSRLFALLSSRRPPPLRLLLQIHAHLLAAGLLSSSDNFPSRLAAAYALSSPATSDAAYALALLAPLPTSAYNAAIRALSLSDEFGGGGGVVRRCLQLYRALIRSGSASARPDHLTFPFLLKACARLHGGKGGGYGGEAVLGHVLQLGFDSDVFVVNAATNYLAVCGSMGDARRLFDESPVRDLVSWNTLIGGYVRRGNPQEAMDLFWRMVAEEEDAAVRPDEVTMIGVVSGCGQLRDLELGRRLHGFVESNGVRCTVRMMNVLMDMYVKCGSLEMAKSVFERIEHRTVVSWTTMIVGYAKFGLMDDARRMFDEMPERDVFPWNALMTGYVQCKQCKEALVLFHEMQEANVKPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVLSVALGTSLVDMYAKCGNIEKAIHVFKEIPEKNALTWTAMICGLANHGHANEAIEHFRKMIELGQQPDEITFIGVLSACCHAGLVEEGREFFSLMDSKYQLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHTDTDAIYNCLHEITLQIRHTADLLNISATGVV >LPERR10G06440.1 pep chromosome:Lperr_V1.4:10:8123792:8124883:-1 gene:LPERR10G06440 transcript:LPERR10G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPFPSFSTVAVAGCMLHGYEPKKLPRFVTLESDDWSTLMTSKNFEPRHSVEIQANMLKN >LPERR10G06450.1 pep chromosome:Lperr_V1.4:10:8139008:8141527:-1 gene:LPERR10G06450 transcript:LPERR10G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAKVVLLLIGASFSFFLLITHAHEQQAVNTTSTSFCTPQERDTLLSFKQGITNDSLGLLSSWRRGHDDCCSWTGITCSSKTGHVVKLNVNSFLTDSPMVGQISPSLLSLKYLQYLDLSTNFLAGPNGSVPEFIGSLNNLIHLDLSYIPFSGTVPLLLSNLTKLEYLDLSFTSFSGRVPPQLGNLSNLRYLDISEMQDVYSTDISWLSRLHLLEYIDMSNTTLSKITDLPLVLNDIPTLKNVILLNCSLPSANQSITHLNLTQLEELDLSLNYLGHPIASCWFWKVTSIKSLRLDDTYLHGPFPDALGDMVSLQHLHFTCNGNAATMTVDLKNLCDLESIYLDKSLSSGNITDFVDKLHCSSKLYALSLASNNMIGMLPSSMKHFTSLNYIDLTNNSVSGVMPRGFRNMTNSEYLHLSSNRLSSQMPLLPTSLKLLHAQMNILSGHLPLEFRAPNLESLIISSNYITGQVPGSIYLSWNKFYGSLPRWIGDLVTLRILYLGHNMFNGDIPVNITDLRELQYLNLADNNISGLIPLSLSHFNEMTLKAVGDSMSTLAFDESLDTFSLAMKHEILNYGSHGVVHMVGIDLSLNRLTGEIPEEITCLDGLSNLNLSWNRLSGKIPENIGSMKSIESLDLSRNYLYGKIPSSLTDFTYVSYLDLSYNNLTGEVPSGRQLDTLYIENPSMYNGNIGLCGPPLQKNCSSNSYAQEHGDHKGQEKDSNSMFFYYGLGSGFVVGYWVVFCALLFKKLWKVPYFFLVAKLHVYVVITWTRGIAKRNIRN >LPERR10G06460.1 pep chromosome:Lperr_V1.4:10:8151548:8156502:-1 gene:LPERR10G06460 transcript:LPERR10G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKGGVAYKVKLTITYTDGSDDETDGEVPSSRQIREKVEDLRVALKRKLKAGHFRAARDRTN >LPERR10G06470.1 pep chromosome:Lperr_V1.4:10:8156501:8158667:1 gene:LPERR10G06470 transcript:LPERR10G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANHKSKILKRTPAFLGHSARPKERDLQASNALLSLNLSLYFANLITRWQEKWSWYLERSPLIGVRHWKDLHEDVKNDIAESVMKIRSQNSSNRQQLRTNHSMGSKPFSQCSYEKNNTARKLSLTESDEMGDDEPRSFTSEAAKEDYLF >LPERR10G06480.1 pep chromosome:Lperr_V1.4:10:8163868:8164239:1 gene:LPERR10G06480 transcript:LPERR10G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSGLAAVVVILAVAVVATPAMAVMTPPAGYTNAEDVNSDFIKQVGKFAVTVYKLAKGVAMSYVSTSQCWSKPTGGGADDYWMVLTATNGAGVAGSYVATVWGIPGSESKTWKLLSFNSTS >LPERR10G06500.1 pep chromosome:Lperr_V1.4:10:8171462:8172970:-1 gene:LPERR10G06500 transcript:LPERR10G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVDTLQPLDPDTFAADSSAVIDFLAGYYRDVDKYPVRAASLEPGRLRKLLPDSAPEWGEPMDAILDDVRRDVLPGLTHWQSPSFFAYFPMNASSAAFAGEMLSVGLNVVPFVWVASPAAAELETVVMDWMAKLIGLPDRFLFGGGGGGVLQGSTCEAVVCTLAAARDRAMARIGIGHEGILKLVVYASDQTHATSQKGARLVGIPPANFRVIPTSSADGYALAASSVHAEVERDVARGLVPLYLCATVGTTGLGAVDPVRELGDVARRHGMWLHVDAAYAGAAAVCAEHRGHLADGAELADSVSMNPHKWFLTNMDCCCLWVADPTHLTAALSTDPEYLRNVDGVAGGEKLAGDGAVDYKDWQISLSRRFRAMKLWFVLRRYGAEGIRGYIRGHVAMAEWFERAVGGDGRFEVVAKRRFSLVCFRLRGDGEEVDGVNRELLAAVNGSGRAFMTHFVVEGKFVIRLAVGGAMTEMRHVRDAWELVQRTADQLLLERRRR >LPERR10G06510.1 pep chromosome:Lperr_V1.4:10:8183429:8192632:1 gene:LPERR10G06510 transcript:LPERR10G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSPAAASSSSSALRRVLAACASQAKDYGRCIAEKVPEIEHNMCSKEFLALRSCMQTVMQGGLGSPSALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTPPGPPNSDPVNDGPVLSVRYSLDQKAIGIQRSNHEVEFRNRETGQTCSKKWRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNGLHLVDSKKFNVSWYLYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYVPISAPLPLLVRGLPSNNKQSAQPLDSQSSAFGGTLYGEGWNFLIPDLVCDVENGLLWRLHVDLEAIAASTSDAPSILEFLQRRKSDPNMVKNLSLAIVRTIILERRPVTMVSKAMDVVLDSYARLMKMGGSPAVRRTSEQNQQSGVQPTVNPDSASGDGNRPVQSNSEVDNGIANLAAQPDRTLLNTSSDSDDITNASGRAIQGISGLESSDAGERKLQVLGQDSRPLASGTSTQHGSHVVSLAVSPSEFFESVFVLVEDEMMADPAYLISIIMDVSKAGLKAPPNLYVMMTTLLARSNRYHEITLFVSNKILEPSKELAMQLMELGREHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPALFLEKAVAINSAQNLASTLSFFSEFTPTFKTTSDYGRYRHILSEMI >LPERR10G06510.2 pep chromosome:Lperr_V1.4:10:8183429:8192632:1 gene:LPERR10G06510 transcript:LPERR10G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSPAAASSSSSALRRVLAACASQAKDYGRCIAEKVPEIEHNMCSKEFLALRSCMQTVMQGGLGSPSALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTPPGPPNSDPVNDGPVLSVRYSLDQKAIGIQRSNHEVEFRNRETGQTCSKKWRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNGLHLVDSKKFNVSWYLYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYVPISAPLPLLVRGLPSNNKQSAQPLDSQSSAFGGTLYGEGWNFLIPDLVCDVENGLLWRLHVDLEAIAASTSDAPSILEFLQRRKSDPNMVKNLSLAIVRTIILERRPVTMVSKAMDVVLDSYARLMKMGGSPAVRRTSEQNQQSGVQPTVNPDSASGDGNRPVQSNSEVDNGIANLAAQPDRTLVSKAGLKAPPNLYVMMTTLLARSNRYHEITLFVSNKILEPSKELAMQLMELGREHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPALFLEKAVAINSAQNLASTLSFFSEFTPTFKTTSDYGRYRHILSEMI >LPERR10G06510.3 pep chromosome:Lperr_V1.4:10:8183429:8192632:1 gene:LPERR10G06510 transcript:LPERR10G06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSPAAASSSSSALRRVLAACASQMQGGLGSPSALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTPPGPPNSDPVNDGPVLSVRYSLDQKAIGIQRSNHEVEFRNRETGQTCSKKWRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNGLHLVDSKKFNVSWYLYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYVPISAPLPLLVRGLPSNNKQSAQPLDSQSSAFGGTLYGEGWNFLIPDLVCDVENGLLWRLHVDLEAIAASTSDAPSILEFLQRRKSDPNMVKNLSLAIVRTIILERRPVTMVSKAMDVVLDSYARLMKMGGSPAVRRTSEQNQQSGVQPTVNPDSASGDGNRPVQSNSEVDNGIANLAAQPDRTLLNTSSDSDDITNASGRAIQGISGLESSDAGERKLQVLGQDSRPLASGTSTQHGSHVVSLAVSPSEFFESVFVLVEDEMMADPAYLISIIMDVSKAGLKAPPNLYVMMTTLLARSNRYHEITLFVSNKILEPSKELAMQLMELGREHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPALFLEKAVAINSAQNLASTLSFFSEFTPTFKTTSDYGRYRHILSEMI >LPERR10G06520.1 pep chromosome:Lperr_V1.4:10:8193268:8193510:-1 gene:LPERR10G06520 transcript:LPERR10G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKRMKQQQQQCRISEEMPAGDAASRAGEWGSWAAAAATAVRMKVAALKEPAAAQGLAAVDGFFSA >LPERR10G06530.1 pep chromosome:Lperr_V1.4:10:8198397:8203006:1 gene:LPERR10G06530 transcript:LPERR10G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAMGRRRWDRRDPLPRKCDYKSMRIVVESSGRGNFDNNLDKKTFKFMTYNVWIREDIELRKRLDALGDLIKFHNPDFICFQEVTPYIYELLEKSDWWQEYECPLSHQMAMRKSHFCMQMSKFPMRSSDRLPFSSSVMRRELCIASIKTGEINLHLGTSQLESPCPLPPRWDLKYGEKRVTQAKESLRILGKFRNAIFCGDMNWDDKEDGPFPLQDDWIDAWVKLKPGDNGWTYDTKANAMLSANFQQQKRVDRFMCRLSDFNIDDIEMIGKEPIPGVTYYKEKIVQKELHKLQLPVLPSKHFGLVLTITRHDNIFS >LPERR10G06540.1 pep chromosome:Lperr_V1.4:10:8202400:8208147:-1 gene:LPERR10G06540 transcript:LPERR10G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSDAPLTAATNIEPFYVLHKGAAASSSSSLPPPPSSGGRARRRIDVSGPVSPNSKSGKRSRSGHAGAEDDGGEGDEELYERLRVEAFHRVWSKIQSTIDEVLRGISLKLFDQVLQWVQESFTSVRSIARPSVAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEHLESNGCHLAKLSATELSAKNGAGGCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSESLQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKNFWRDKFDALPQALRKYASGLPSCRRENDSINSGNNMIEGLSELMKIQRDWSSVLLCLYEAGRHGKVQLLDIFCEAINPDLHTQKMPNPPIGKSGTSRRFIEQVIDTIRYLPVETLFRLLEVWSIHLKGMDKISDKVKELQSTTIGTDSVRITKDKWPRRSTNTTGNSTVPVNDKVAMLLDDVTRKFLVSVERLPFHEIICFKNVSILQSALIGNPRRMVQLDLLKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSTNSKVKRKSLASPSKKKSKVTPAESEAMIQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGP >LPERR10G06550.1 pep chromosome:Lperr_V1.4:10:8221879:8223975:1 gene:LPERR10G06550 transcript:LPERR10G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVIQGWTTSEIEEARSVITRPNNGGNSGYDGDGDRNKKDHGDIVSEHQKWFPLKTLDHVVNLYLNLIIGTSMVMPSSNKRDTDNIIQVDGHVTSLANGNTEMVEEEQIMLNNEGLLFDYPLEDIEMGNQTEQEVEIDVQNEVDVQAREALVTKEKEVEAPKIQTNCWHAASSTRRRVVWTKEEHRLFLEGMQEFGRGDWKNISRHFVTTRTAAQCSSHAQKYFLKLAAEGKAAPPAPKRRRFCYDWVAITGQQQAAAPAVAPVPTHGQWMHHPMSASGFNNVYDQRLCLPPQVTNPIFVPNHFAMPPLMYHHLPGGQPVLPFPVPAGAAVHGSGQRAFAPQLPWMNSSKSMN >LPERR10G06560.1 pep chromosome:Lperr_V1.4:10:8246798:8248892:-1 gene:LPERR10G06560 transcript:LPERR10G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFSGMEWTAVEKGEARSVVARLNNNNFDVVSAGNGNNNDTRHDRIVREIEALFPWMTKNQVINSYVDTVVDMMMAPTLPYEVGAAAHTNSKPMKENFGMLPREDPMNSVDLSMINNNNMVFGDASMGDTVEQASPASMVVNGSNEVNQGNSYQRATPNSGKSKFWTNDEHEMFLMGVNVYGRGDWKNISKFFVPSRTPSQVSSHAQKYFRRQEKTDKKQRYSINDVVLKKPLVNNNYGGWQALAFAGGHLQPTSGYGTAGHVAPLANSTSSVAAMNNVAQFCAPLLYNSETQQQFTQMQIQPQQAWNDQQMMGTAALAPMEGSAAWNAAPMEGAADNFAPAGRAADNFAPIGGSGYYQQEQGTGYDVPAELWMMNNNMF >LPERR10G06570.1 pep chromosome:Lperr_V1.4:10:8257020:8260786:-1 gene:LPERR10G06570 transcript:LPERR10G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSIGVFSLAAFLFIKETLVFFWGVHPPSHTGGSGCPRRLPTARSNRPLEPHRRRCRSWRRLCRRATVAITVEPSSPSLSGSPEGGGAGRRGTTVRAREQAQVERGRVGAQMERQEVRVTPTKYY >LPERR10G06580.1 pep chromosome:Lperr_V1.4:10:8284005:8285719:-1 gene:LPERR10G06580 transcript:LPERR10G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSTCADATWWAYALPALLGADTLCAHPALLAVAVLLSLATAAVLAWVASPGGPAWAHGRGGRGPTQIPGPRGLPLFGIIFSLSGGLPHRALAAMARASPREARARELMAFSVGETPAVVSSCPATAREVLSHPAFADRPIKRSARELLFARAIGFAPSGEYWRLLRRIASTHLFSPRRVAAHEPGRLADAAAMVAAVAAEMGAAGAVVLRPHIQNAALNNIMGSVFGRRYDGGETEVEQLKGMVREGFELLGAFNWSDHLPWLAHLYDPSHVARRCAKLVPRVQAFVRRVIREHRDAGASAAGDNADFVDVLLSLHGDEKLNEDDMVAVLWEMIFRGTDTTALLTEWCMAELVLNPSVQTKLRAEIDGAVTGEHPTDADVARMPYLHAVVKETLRAHPPGPLLSWARLATSDVGLTNGMVVPAGTTAMVNMWAITHDTDVWANPEAFSPERFVAAEGGADVDVRGGDLRLAPFGAGRRVCPGRNLGLAAVNLWVARLVHAFDWSIPDGSPPVSLDEVLKLSLEMKTPLVAAAVPRRAAAA >LPERR10G06590.1 pep chromosome:Lperr_V1.4:10:8300113:8304424:1 gene:LPERR10G06590 transcript:LPERR10G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPAEQARRPPVRFGIMGCASIARKLARAMLLAPSAVVAAVGSRSEAKARAFATDNGLATAAPRLHGSYEALLDDPGVDAVYLPLPTSLHLRWATAAAAKGKHVLLEKPTALCAADLDAILAACDSAGVQFMDATMWMHHPRTAKMRELVADEDSTGDIRVINSLFSFRADEEFLHNDIRVKPDLDALGALGDVGWYCIRAILWAVDYELPKTVFALCNPVRNQAGVLLGCGATLYWADGKIATFNCSFHANLTSDMTIVGTNGTLHVTDLVIPYEEKSAQFSMATKSKFAELHIGWDPLPSKHVLPTDLPQEALMIQEFSRLVQNIRDAGGKPDGKWPAITRKTQIVMDAVKTSIDNEFGPVDISS >LPERR10G06600.1 pep chromosome:Lperr_V1.4:10:8305537:8309197:1 gene:LPERR10G06600 transcript:LPERR10G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPAAPPPPSHPQPEPVRFGIMACATIARKVSRAILLAPSAAVAAVGSRSEAKARAFAAAAGLAAPRLHGSYEALLEDPGVDAVYLPLPTSLHLRWATAAAAMGKHVLLEKPTALCAADLDAILAACDAAGAQFLNNDIRVKPDLDALGALGDAGWYCIRAILWAVDYELPNTVIALCNPVRNQAGILACGTTLYWADGKIAIFNCSFLGNLCMDMTIIGTNGMLHVTDFVIPYEEKSAPFDMATKSKLVKLEIGWDPLPSKHVIPADLPQEVLMIQEFSRLVQNIRDSSGKPDEKWPAITRKTQVVMDAVKTSIDNEFGPVDISC >LPERR10G06610.1 pep chromosome:Lperr_V1.4:10:8318097:8318501:-1 gene:LPERR10G06610 transcript:LPERR10G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGSGRITDDEINELISKLQSLLPESSRRRGTTSRSPASKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNTPQADIIRSLLR >LPERR10G06630.1 pep chromosome:Lperr_V1.4:10:8346717:8349744:-1 gene:LPERR10G06630 transcript:LPERR10G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRWWSTDRGRWYSGGATVKSLGLDKDNYDVSKDALAVVLAKRARAMRGEREWSTTRMRMDLCEEAVVLEGESVEAESKWVLPGGSRKRSAPEDVDDVEDLGLEEVDDCGGGKRSKPPSPSPQPHTPDIREAHALGRRAGGSTAAAGGEEQNGGGGNLIGEIGRDLSINCLLKLSRSEYGAVASLNRDFRSVVRGGEIYRLRRQNKIAEHWVYFSCNVLEWDAYDPYRKRWISVPKMPHDECFMCADKESLAVGTELLVFGMTHIVFRYSILTNSWTRGEVMSAPRCLFGSASVGEKAYVAGGTDSFGRILNSAEVYNSETHTWTPLPSMNRERKNCSGVFMDDKFYIIGGVTNNNKVLTCGEEYDMQSQTWTVIENMSEGLNGVSGAPPLIAVVKNELYAADYSEKDVKKYDKKNNKWITLGKLPERLASMNGWGLAFRACGDRLIVIGGPRTSVGGTIELNSWIPDDKPPVWNSIARPQSGNFVYNCAVMGC >LPERR10G06640.1 pep chromosome:Lperr_V1.4:10:8379431:8380567:1 gene:LPERR10G06640 transcript:LPERR10G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRARASASARSTMITDEQIGDLVSKLQALLPEARLRTNDRVPSAKVLQETCSYIRSLHREVDDLSDRLTDLLAAADVSTAQAAVIRSLLM >LPERR10G06650.1 pep chromosome:Lperr_V1.4:10:8396072:8402174:1 gene:LPERR10G06650 transcript:LPERR10G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKWFPFLMTKACCEACANLKAAFLVAVVFLGLSTTVTMVFAREVPLDAATAKRNEGEASGPLAVFKGMKNLPTGMPSVLIAGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVAAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRKLGARLVWIMSSLIVCIAMAAVSLLSAWSLGDFGGSVQDAAATDEGRRHLRASALALFVFLGFPFAVLCSVPFAVTATLAASRGGGQGLCTGVLNISIVVPQMVIAIGAGPWDELFGKGNIPAFAMASVFAFGAAVAGVVMLPKISKSGLRSVSMAGGH >LPERR10G06660.1 pep chromosome:Lperr_V1.4:10:8413629:8415766:1 gene:LPERR10G06660 transcript:LPERR10G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNGGMASSSPFFPTNFLLQMQQPLSHHHLQDHHHHHHHLLPPPPPSLTPFLPDHLAVGGGAIAAPPPPPPPMYDASGGGDAASEDEEDGCGGGIGIGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLERDFDALRRQLDAARAENDALLSLNSKLHAEIAAMKGGTAAAGGGGGSSCRQEAASELINLNVKETEASCSNRSDNSSSEINLDISRPPPVTANESPDVNHRSGGAGIQFYASIGRGGGGDIEQLLLRGGHSPSPAAAVTAPPATTTMLPKMELGMGGGGGDDAAGGGGGGGFGGLLCGAVDEQPPFWPWADGHHHFH >LPERR10G06670.1 pep chromosome:Lperr_V1.4:10:8419355:8421892:1 gene:LPERR10G06670 transcript:LPERR10G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGISDDGPILHSENLTSNVKSIYYSRTFLSIISGVVAGIWGFTGLTGFVFYFIVMMVASLGLLVKAKFSIHNYFDSWNRISIEGVFGGLMIT >LPERR10G06680.1 pep chromosome:Lperr_V1.4:10:8429389:8429739:-1 gene:LPERR10G06680 transcript:LPERR10G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSKTGHGWRARPHQPIEQSYVDVVVQTRSGKTVRVVVELSFRAEYHALVAALPEPTAYAASCAVAAVASSPVTVSSPEKQTKFRASMLSFDFARTAVEPHA >LPERR10G06690.1 pep chromosome:Lperr_V1.4:10:8431845:8438385:1 gene:LPERR10G06690 transcript:LPERR10G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAARAAPDTNTADPSKAASKTSLSSFPSTTKSASSWTAPSYKDRSDLPTPRTEGEILSSSNLKAFTFSELKNATKNFKPDSLLGEGGFGHVYKGWIDEQTLAPTRPGTGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCIDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVDWTKPYLGDKRRLYRIMDLKLGGQYPKKGAHAIATIALQCIRGEAKMRPQMSEVLEKLEQLQDPKYNVTSPQVDTRRRPSSSSVPKSPMRMQPSPRHLSTAASPLPAAGSPLPSCRTAQVH >LPERR10G06690.2 pep chromosome:Lperr_V1.4:10:8431845:8437721:1 gene:LPERR10G06690 transcript:LPERR10G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAARAAPDTNTADPSKAASKTSLSSFPSTTKSASSWTAPSYKDRSDLPTPRTEGEILSSSNLKAFTFSELKNATKNFKPDSLLGEGGFGHVYKGWIDEQTLAPTRPGTGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCIDGDNRLLVYEYMPKGSLENHLFRHPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVDWTKPYLGDKRRLYRIMDLKLGGQYPKKGAHAIATIALQCIRGEAKMRPQMSEVLEKLEQLQDPKYNVTSPQVDTRRRPSSSSVPKSPMRMQPSPRHLSTAASPLPAAGSPLPSCRTAQVH >LPERR10G06690.3 pep chromosome:Lperr_V1.4:10:8431870:8438385:1 gene:LPERR10G06690 transcript:LPERR10G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAARAAPDTNTADPSKAASKTSLSSFPSTTKSASSWTAPSYKDRSDLPTPRTEGEILSSSNLKAFTFSELKNATKNFKPDSLLGEGGFGHVYKGWIDEQTLAPTRPGTGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCIDGDNRLLVYEYMPKGSLENHLFRHPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVDWTKPYLGDKRRLYRIMDLKLGGQYPKKGAHAIATIALQCIRGEAKMRPQMSEVLEKLEQLQDPKYNVTSPQVDTRRRPSSSSVPKSPMRMQPSPRHLSTAASPLPAAGSPLPSCRTAQVH >LPERR10G06690.4 pep chromosome:Lperr_V1.4:10:8431870:8438757:1 gene:LPERR10G06690 transcript:LPERR10G06690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAARAAPDTNTADPSKAASKTSLSSFPSTTKSASSWTAPSYKDRSDLPTPRTEGEILSSSNLKAFTFSELKNATKNFKPDSLLGEGGFGHVYKGWIDEQTLAPTRPGTGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCIDGDNRLLVYEYMPKGSLENHLFRHPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVDWTKPYLGDKRRLYRIMDLKLGGQYPKKGAHAIATIALQCIRGEAKMRPQMSEVLEKLEQLQDPKYNVTSPQVDTRRRPSSSSVPKSPMRMQPSPRHLSTAASPLPAAGSPLPSCRTAQRHGDEIATAIHGGFGDGLGDLLGLTDADADMALHVADDDDGAVGELPSSLDDLGDAVHLHDPLGEVVGGSLLAVHVDGIHHLHLEHVVPHRRLAAERRPVQRLVRRRHVLGGLRRELVERGGLHVAVRRRREAESRGGYRLGPRLLVDVFVGGRGGGGFLGIFVVVGGFIPGGCCHGEFGEEEEAGGGEGEEGFGAEAEEREERELGGEWSGGNGGFRGHRHGGGGGRHFDLEREGAPAAKGVRLGEKMKLRRTAYPFCYPQPLV >LPERR10G06690.5 pep chromosome:Lperr_V1.4:10:8431870:8437721:1 gene:LPERR10G06690 transcript:LPERR10G06690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAARAAPDTNTADPSKAASKTSLSSFPSTTKSASSWTAPSYKDRSDLPTPRTEGEILSSSNLKAFTFSELKNATKNFKPDSLLGEGGFGHVYKGWIDEQTLAPTRPGTGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCIDGDNRLLVYEYMPKGSLENHLFRHPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVDWTKPYLGDKRRLYRIMDLKLGGQYPKKGAHAIATIALQCIRGEAKMRPQMSEVLEKLEQLQDPKYNVTSPQVDTRRRPSSSSVPKSPMRMQPSPRHLSTAASPLPAAGSPLPSCRTAQVH >LPERR10G06690.6 pep chromosome:Lperr_V1.4:10:8437338:8438757:1 gene:LPERR10G06690 transcript:LPERR10G06690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFIQLLYVPRLCILYWKGGILGERHGDEIATAIHGGFGDGLGDLLGLTDADADMALHVADDDDGAVGELPSSLDDLGDAVHLHDPLGEVVGGSLLAVHVDGIHHLHLEHVVPHRRLAAERRPVQRLVRRRHVLGGLRRELVERGGLHVAVRRRREAESRGGYRLGPRLLVDVFVGGRGGGGFLGIFVVVGGFIPGGCCHGEFGEEEEAGGGEGEEGFGAEAEEREERELGGEWSGGNGGFRGHRHGGGGGRHFDLEREGAPAAKGVRLGEKMKLRRTAYPFCYPQPLV >LPERR10G06700.1 pep chromosome:Lperr_V1.4:10:8436687:8438646:-1 gene:LPERR10G06700 transcript:LPERR10G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATAMAMATKSPIPTAPFSPQLPLLPLLRLRPKPLLSLSTSRLLLLPKLPMAASSWNESADDDEDSEESTTAAASDEDVDEKPRPEPVSASGFSFASPPDGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGESTMGNNVFEVKVVDPVDMDREQRPTDDFTERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATVKATQMMRQFKDVAEERGLPMEELWK >LPERR10G06710.1 pep chromosome:Lperr_V1.4:10:8441517:8444830:1 gene:LPERR10G06710 transcript:LPERR10G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSKEEQQGGGGVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDLLAQQQKAAAAAAQPAAAKADLATNAAVDDHRRPLHAGHDDSPTGRKKFLSYFQCCIRA >LPERR10G06720.1 pep chromosome:Lperr_V1.4:10:8450472:8457195:1 gene:LPERR10G06720 transcript:LPERR10G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKAASSDYWSLASNQYPCGKLPKVSIGLTIPKANSVSRGRDAASAPAFERNLSQGTDGRSRPPKMDNASLQVSPEAANHDGCAKEVPKPVPAKVSVSQPDDKACEKTGTFSFGTRREQNSQLDQLDRPPLVSSQGKRQVESTDKNKPNSEVLRMKLWEILGGTSQNKEAVASPNPEDIETPYQPKSQTANGPSSGRKKVFTSPVPYNIKTPAQHDSQTANKPSSDPIESDSDSPQVLEVRPITRSLGRKKAPAASKQQEKSGSAKKPLSTHRSAPKQKMLDNVFAFNDKCTPKTVGKSTNGDSGSLRNLRSLSRKAKVEPKKSHCSDRISDKTTQDDMERKEPYKYVPSENKCEKANSFSSLSRTGKTAESCSRSPKREIRVNMMNNVGARKMQCAKPLLAKTLNDGEHTFSSPQLTSLKSKGKCSSILPHQKENESTRIPEASDRTAAGNSFMSTPCAAGNTPSPVLKKYSWEHDESPEINGKFGKKVASPLADRCGDMPDDFASPTFAANIKMSPQRGKLLDDDLFSPKYPKSVNRSRSTFDASDPESEPLEEMENQKTNESPGSESPDSQDERQNRKQPPLSPLSPIDDEGAQSSIPSIRKGFKSHKWLSDVDSPDKSSLKHLGLKSRKKEGRKGKRQLSSPAPFATSGTQETIMSDKEPETVPENYLTRAFDQLVVVLGRFQTKIKSETSNKSSKILAATGEIIRKHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLQGCKNSLEDFEAYHAEIKGVADKQKASHKKLLQNAEKTVGAQLNDAETKIAEVQKRARKRMKGLKYVLKELITETAE >LPERR10G06730.1 pep chromosome:Lperr_V1.4:10:8457055:8460254:-1 gene:LPERR10G06730 transcript:LPERR10G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPVDFAGSITSGHKHLGCFGVPSCNRSRCVRYDKKPRKCQLVTRAISVDRPQLDFSNPDWKKQFQEDFNRRFSLPHLNDVIDVEPRLTTFSLKSRAPLENVNGSMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRHVVQNINLAGGSFLGVSRGGANISDIARRLDMLFVLGGNGTHAAANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIELMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIRSTRFVDPNSRMWHRCLTSTGQPDFH >LPERR10G06740.1 pep chromosome:Lperr_V1.4:10:8476809:8478625:1 gene:LPERR10G06740 transcript:LPERR10G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSDTELRLLHGRHAASPRAAGVVAAVISKVFDAELDRFAARAYDSHTDPDLALDVAAFRAARRDAAASGSVAVAGNGRNAAERDAFVAAARNKARDEGWVRRYLMRRRVVGTTFEDERGWPPVVPPPVADVGEGFDGNELVYLPNGSCHVDEMMVVDRR >LPERR10G06750.1 pep chromosome:Lperr_V1.4:10:8482701:8484094:-1 gene:LPERR10G06750 transcript:LPERR10G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKTPCLNERILSSLSKRSVAAHSWHDLEIGPGAPEVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKHSMDLYAEYILHSLRR >LPERR10G06760.1 pep chromosome:Lperr_V1.4:10:8486485:8490571:-1 gene:LPERR10G06760 transcript:LPERR10G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGASTTSQEWSSIGRNDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSVAAAHYGSSLSSNSKEPSRSWERSELPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLHDSSIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIIPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASSSNPMPSEFKAIGEMRSSGLMDYGSGGHGESANWSTASSMDLTDLSERPEVESSGPLHSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPVCDRLAGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGVVQMPRASSISLLSRSGHKRHATSKGESGKDWAETSSRTACM >LPERR10G06770.1 pep chromosome:Lperr_V1.4:10:8490750:8491488:-1 gene:LPERR10G06770 transcript:LPERR10G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQNCITRNYKCSEGEPKNTATTPQCKQTIRNKWRAKKQKPKLQKDPSLLLLPFSCLLPPPLFHTQPLSSSAAASAQLGRTEAAGRPAIPPVADGTRSSPPLPSRGEEPRLTEKAYVVGGNHHSAPGCSRSVLQEGTEVHCGHSERRGIRGELQAWCLEVFVPE >LPERR10G06780.1 pep chromosome:Lperr_V1.4:10:8496562:8498826:-1 gene:LPERR10G06780 transcript:LPERR10G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRGGDGLIKLFGKTIPVAPDVAKDLQQQSGSSSSSTESDIQETAAAIVGDPSPRSEVDDGDDTATAKSSPPTTGGGSGEAAKEKEKLKKPDKILPCPRCSSMDTKFCYFNNYNVNQPRHFCKHCQRYWTAGGAMRNVPVGAGRRKNKHAVSAASHHFLHRAAAVRAVDGLLNSNNGTVLSFGAHDVAQPQPQPLAVDLTGQMTRLNKERLIVDGEVSSNRDDQNYQSSSNTVAKPAIGLQQQQHHPATMNGWPYGCAPSPTYYTSGIAIPIYPAAAALPAYWGCMIPPPPGAWTSLPWPPAVQSQVISSPSPTSAPSVSSSLPTLGKKHPREGGDEGRDGNDHGYNGKLWVPKTIRIDNADEVARSSIRSLFGFRGGDKEDDDSTDTHKLSTTVFEAKRDGKTAKHPVIASLPLLHTNPVALTRSATFQEGS >LPERR10G06790.1 pep chromosome:Lperr_V1.4:10:8504684:8508637:-1 gene:LPERR10G06790 transcript:LPERR10G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASASASTFPAAVAAVRAGLVAPALRAAVWACLAMSAMLVYRLSIGAACALTWPPDRIIIQVLDDSTDPIVKELVELECQEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQQCDFVAIFDADFQPESDFLLKIMPYLLQNPKIALVQTRWEFVNYKVCLMTRIQKMSLDYHFKVEQESGSFLHSFFGFNGTAGVWRISAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWKKHHLLYSFFFVRRAIAPILTFVFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQMKDELDVPLLVPLKPTECAERIYIHELLLAFYLLICASYDLVLGTHKYYIYIYLQAVAFIVMGFGFVGTRTLCS >LPERR10G06800.1 pep chromosome:Lperr_V1.4:10:8510613:8516093:1 gene:LPERR10G06800 transcript:LPERR10G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELLGFLSSPQPNVRGAAADIVRGLTGDADGLRSLAARADRALPALLRLLASSSSSSAAGEAAADSLVNLSQDGELSARLVSLGAVVAAMDVMGRRAGEQPGLARSLVMLLTNLTQVESGVAALLQVGDEKMQGLYVAKLVRSFCRSSSDSEDEDTFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSVNQLRKKGVVGTIRNCCFEADTQITNLLSLSEYLWPALLLPVAGKKIYGEDDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDSKVMEAYELIGSLLVGKGEEQDQEQEQGGQKP >LPERR10G06810.1 pep chromosome:Lperr_V1.4:10:8520970:8522215:1 gene:LPERR10G06810 transcript:LPERR10G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRNGDVTVTFEQSLFSGGHRRNAGFPTYLDLLLEDGDPPPPPPRRSLPPASRRRTYADGELDVFAAERYFKGAIDGGEYTPAAAAVVPAEISTAARPAVAVSRPAWTTRSSVASAASSSADSQTVLLRRDHRRRGGAAGKCCAQVGGILRSCSGKRSVHATPKSRIEWYRDLRMDKSGHRVAAVGLATTTSDVTHGVVAAPLPPNSNNLVAAGGRSLGRYFAVLAPAKVNGGGGDDVIGVNGEDEDEVGSESSSDLFEIKSLMIEDCPYEPSEASVQWSVVTASAAAVSVASSGRAPPIAGKGRRQERPVGGLLRGCVSHRAVDVSAMASVRRPPAAATARRRADVSRFASSGNL >LPERR10G06820.1 pep chromosome:Lperr_V1.4:10:8530947:8533141:-1 gene:LPERR10G06820 transcript:LPERR10G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPRRVLRVAPPGRGGSAREEAAGEGEEAVFATVQAAVEAVPMGNRVRTVIRLAPGTYREPVYVAKTRNLITLAGSSPESTVITWDNTATRIKHAQSSRIIGTGTFGCGTVIVEGEDFIAENVTFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGDAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGSRPSNRVSWCRQLLEVEVENFLSHSFIDPDLDRPWLLQMMAIKLPVSA >LPERR10G06830.1 pep chromosome:Lperr_V1.4:10:8535249:8536699:-1 gene:LPERR10G06830 transcript:LPERR10G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGGWLRRAASAAGTGAFPRIPCGLPILPIPPPAAVVPEFPALALPSQGAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >LPERR10G06840.1 pep chromosome:Lperr_V1.4:10:8537212:8538568:1 gene:LPERR10G06840 transcript:LPERR10G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNLERHYAFYASYHTHPLNLLVHLICVWPILLTAILPLRYAPPLPRLRFYCPLCRQYLPIQLGFPVAVSLAILYALMDPRAGTGAGVLVVAGWAAGTLLADDAGLWSFADAWKPVLVAQIVLWSAQFLAHAFFEKRRPALVDGPIEAVVTAPLFVFIEVLHRLFGYEPTPGFFKRVQARVSALRNGPPPTAPEKKEEEEKERMSKAAEESVADKDS >LPERR10G06850.1 pep chromosome:Lperr_V1.4:10:8552134:8556429:1 gene:LPERR10G06850 transcript:LPERR10G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAATSTATAAAAAVAEGEGRSGVPEAGGPEIAFFDVETSVPWRAGQGYALLEFGAILVCPQRLVVVGSYATLVRPADLGVVSAASVRCNGITRDAVAGAPGFRDVADAVYSVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVDNLVERAITRSQTNGDASPEASKPVAQSSPDSSKRQRTVSKVDNVIQAGDNQQSTDPETNKEPVELISNIEEKTLNASTQMDSSSSGFSGFIEPDDVSTESIQISVPSSYRLTRKASIKHKGSQLQLCCMGLQIQFGVSTKFLDSAGRPKLNILVDIPENLSKVLEFCDGTAQRSFQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTILNGEAATYGTDIYQKEASSNIQQLVFSKVDVAELDSLFVRGNMVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >LPERR10G06870.1 pep chromosome:Lperr_V1.4:10:8576220:8586308:1 gene:LPERR10G06870 transcript:LPERR10G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMDDDDDDEGISSRIIEEEADQGEHEIVFFDVETSMPQGPGGGHGRFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKVCFEVRREYQQDSAGRWKLNIVVDNIPDNLIKVLEFCDGLAWRSSREFGSTSVWRPVIKEYGNRSTVRLSIPTTGSGDNETYATNMYQIDVAVLACLFGRGDMVDTFFSVELYDYLQNAGIRLVAKKLVV >LPERR10G06870.2 pep chromosome:Lperr_V1.4:10:8576220:8586308:1 gene:LPERR10G06870 transcript:LPERR10G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMDDDDDDEGISSRIIEEEADQGEHEIVFFDVETSMPQGPGGGHGRFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLPDDVSIELIQVSLTLSYKFTRSISIKHNDSSLQLRCTDLRVCFEVRREYQQDSAGRWKLNIVVDNIPDNLIKVLEFCDGLAWRSSREFGSTSVWRPVIKEYGNRSTVRLSIPTTGSGDNETYATNMYQIDVAVLACLFGRGDMVDTFFSVELYDYLQNAGIRLVAKKLVV >LPERR10G06870.3 pep chromosome:Lperr_V1.4:10:8576220:8586069:1 gene:LPERR10G06870 transcript:LPERR10G06870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMDDDDDDEGISSRIIEEEADQGEHEIVFFDVETSMPQGPGGGHGRFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLFFRRRKILSSFSRFLKPDDVSIELIQVSLTLSYKFTRSISIKHNDSSLQLRCTDLRVCFEVRREYQQDSAGRWKLNIVVDNIPDNLIKVLEFCDGLAWRSSREFGSTSVWRPVIKEYGNRSTVRLSIPTTGSGDNETYATNMYQKLVFSQIDVAVLACLFGRGDMVDTFFSVELYDYLQNAGIRLVAKKLVVRSM >LPERR10G06870.4 pep chromosome:Lperr_V1.4:10:8576220:8586807:1 gene:LPERR10G06870 transcript:LPERR10G06870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMDDDDDDEGISSRIIEEEADQGEHEIVFFDVETSMPQGPGGGHGRFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLFFRRRKILSSFSRFLKPDDVSIELIQVSLTLSYKFTRSISIKHNDSSLQLRCTDLRVCFEVRREYQQDSAGRWKLNIVVDNIPDNLIKVLEFCDGLAWRSSREFGSTSVWRPVIKEYGNHNAAVNILFSSYLLNDTATHRHY >LPERR10G06870.5 pep chromosome:Lperr_V1.4:10:8576220:8586807:1 gene:LPERR10G06870 transcript:LPERR10G06870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMDDDDDDEGISSRIIEEEADQGEHEIVFFDVETSMPQGPGGGHGRFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLPDDVSIELIQVSLTLSYKFTRSISIKHNDSSLQLRCTDLRVCFEVRREYQQDSAGRWKLNIVVDNIPDNLIKVLEFCDGLAWRSSREFGSTSVWRPVIKEYGNHNAAVNILFSSYLLNDTATHRHY >LPERR10G06870.6 pep chromosome:Lperr_V1.4:10:8576042:8586069:1 gene:LPERR10G06870 transcript:LPERR10G06870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMMRGSAAGLLRRRQIRGSTRSSSSTLRPLCHRGPAAAMGACCPSSGQFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLEENLE >LPERR10G06870.7 pep chromosome:Lperr_V1.4:10:8576042:8586069:1 gene:LPERR10G06870 transcript:LPERR10G06870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMMRGSAAGLLRRRQIRGSTRSSSSTLRPLCHRGPAAAMGACCPSSGQFVLCRPAPAGGGVTALLHARAPCRPRRRDGGSRASRAPPFRHVADDIHAILHGRIWAGHNIKIFDSNIIREAFYEIGRPPPESREMIDTYPLLRQQFGRRAGDMKMASLADYFGLGHQIHRSLVDVRMNIDVLKCCATVLFLVCLDNPNSFLFFCAY >LPERR10G06880.1 pep chromosome:Lperr_V1.4:10:8587162:8588914:1 gene:LPERR10G06880 transcript:LPERR10G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRFRAITRSQANGAASPEASKPVAQSSPDSSKRQRTVSRVDNAIQAGDNQQSTDPATNKEPVELISNIEEMTLNASTQMGASSSGFSGFIEPDDVSTESIQISVPSSYRLTRKASIKHKGSQLQLCCMGLQHPLYSQRLNGEAATYGTDINQKQASSAARFQQGRCRGAGLSVCSREHGGRVLLSGTTPSVPKYLTRLTF >LPERR10G06880.2 pep chromosome:Lperr_V1.4:10:8588251:8588760:1 gene:LPERR10G06880 transcript:LPERR10G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNASTQMGASSSGFSGFIEPDDVSTESIQISVPSSYRLTRKASIKHKGSQLQLCCMGLQVQFGVSTNIPSILNASTVKLRLMGPT >LPERR10G06900.1 pep chromosome:Lperr_V1.4:10:8673589:8681516:-1 gene:LPERR10G06900 transcript:LPERR10G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASRKRGPPDPEPDPVELPPPAFVADRAEAAARVERLLGYRFRDRRLLEEALTHPSSVEGVGAASYERLEFVGDSALGLAFSNFLYLTNPTLGPGSLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLRVGQFIETVKQEPEDDLCTVPYGGSVLKAPKVLADIVESISAAVYVDCKFNLEKLWKVTRRLFEPIITAETIDEQPVTTLHELCQKHGRTAQFKTWQKGGMVVVNVFVGGEMVGIGSSEQKVIAKLNAARDALGKLAGAKQQVLTTGVGNGLGDEIGELRECKQKLNEHCNRKNWPKPIFKLEKEDGPAHERKFVCSVQVETPTDNFVTTGDLMSRVKDAENSAAQKMLEYLLKF >LPERR10G06900.2 pep chromosome:Lperr_V1.4:10:8673589:8681516:-1 gene:LPERR10G06900 transcript:LPERR10G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASRKRGPPDPEPDPVELPPPAFVADRAEAAARVERLLGYRFRDRRLLEEALTHPSSVEGVGAASYERLEFVGDSALGLAFSNFLYLTNPTLGPGSLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLRVGQFIETVKQEPEDDLCTVPYGGSVLKAPKVTRRLFEPIITAETIDEQPVTTLHELCQKHGRTAQFKTWQKGGMVVVNVFVGGEMVGIGSSEQKVIAKLNAARDALGKLAGAKQQVLTTGVGNGLGDEIGELRECKQKLNEHCNRKNWPKPIFKLEKEDGPAHERKFVCSVQVETPTDNFVTTGDLMSRVKDAENSAAQKMLEYLLKF >LPERR10G06910.1 pep chromosome:Lperr_V1.4:10:8685210:8688573:1 gene:LPERR10G06910 transcript:LPERR10G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTAAIPAANTNGNHALSMDSHSSQDVRRRTVVVAKKKTTPELLADTGGFNGPSSSVDRISERKDLSHTILGESVLDRSKYPGEARKDAIASAAAAADRRKKSAAKHEKAKWEIALSVLMKVCLLISAVAWMGQLFWRWQNGDLSFTALDMESRLSKVEGFKKTTKMLQVQLDILDKKLANEIDKTKRDITKQFQDKGNKLETKLKALEGKTDKLDKSLTELRDMGFVSRKEFDEIVNELKKKKGLDGTLSDISLDDIRLFAKEIVEMEIDRHAADGLGMVDYALASGGGKVVKHSEAFRKPKSFLPGRSLPDPAQKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVTLEHVDKSVAYDRSSALKDFRISGWYEGPEDDSDKESHVMAALGEFSYDLEKSNAQTFQLERTSTSRVVNMVRLDFSSNHGKSELTCIYRFRVHGSEPGSPSAAAAAKV >LPERR10G06910.2 pep chromosome:Lperr_V1.4:10:8685293:8688573:1 gene:LPERR10G06910 transcript:LPERR10G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTAAIPAANTNGNHALSMDSHSSQDVRRRTVVVAKKKTTPELLADTGGFNGPSSSVDRISERKDLSHTILGESVLDRSKYPGEARKDAIASAAAAADRRKKSAAKHEKAKWEIALSVLMKVCLLISAVAWMGQLFWRWQNGDLSFTALDMESRLSKVEGFKKTTKMLQVQLDILDKKLANEIDKTKRDITKQFQDKGNKLETKLKALEGKTDKLDKSLTELRDMGFVSRKEFDEIVNELKKKKGLDGTLSDISLDDIRLFAKEIVEMEIDRHAADGLGMVDYALASGGGKVVKHSEAFRKPKSFLPGRSLPDPAQKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVTLEHVDKSVAYDRSSALKDFRISGWYEGPEDDSDKESHVMAALGEFSYDLEKSNAQTFQLERTSTSRVVNMVRLDFSSNHGKSELTCIYRFRVHGSEPGSPSAAAAAKV >LPERR10G06910.3 pep chromosome:Lperr_V1.4:10:8685573:8688573:1 gene:LPERR10G06910 transcript:LPERR10G06910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTAAIPAANTNGNHALSMDSHSSQDVRRRTVVVAKKKTTPELLADTGGFNGPSSSVDRISERKDLSHTILGESVLDRSKYPGEARKDAIASAAAAADRRKKSAAKHEKAKWEIALSVLMKVCLLISAVAWMGQLFWRWQNGDLSFTALDMESRLSKVEGFKKTTKMLQVQLDILDKKLANEIDKTKRDITKQFQDKGNKLETKLKALEGKTDKLDKSLTELRDMGFVSRKEFDEIVNELKKKKGLDGTLSDISLDDIRLFAKEIVEMEIDRHAADGLGMVDYALASGGGKVVKHSEAFRKPKSFLPGRSLPDPAQKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVTLEHVDKSVAYDRSSALKDFRISGWYEGPEDDSDKESHVMAALGEFSYDLEKSNAQTFQLERTSTSRVVNMVRLDFSSNHGKSELTCIYRFRVHGSEPGSPSAAAAAKV >LPERR10G06920.1 pep chromosome:Lperr_V1.4:10:8732888:8733142:-1 gene:LPERR10G06920 transcript:LPERR10G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMGETCRDVPCISVLDFRKVRKDSSHGSNAHHLFDGMPCPFELYEEDVILIMNEEKVSRDKAISLLLEEWMDAKRRMDEKLD >LPERR10G06930.1 pep chromosome:Lperr_V1.4:10:8741783:8744758:-1 gene:LPERR10G06930 transcript:LPERR10G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARSSQAPPPAGAGIRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHTNLRTVCKRWNRLLSGNYYYSLRKKNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPGEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACISEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDELVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAVEAAALVTLNGKLCIVRNNMSITLVDVSDPTMSIETDSARMWETVARKVQHKSFVANLWSTIAGRNVKSHIIHCQVLQV >LPERR10G06940.1 pep chromosome:Lperr_V1.4:10:8754122:8759584:1 gene:LPERR10G06940 transcript:LPERR10G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVVSRSGGGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >LPERR10G06940.2 pep chromosome:Lperr_V1.4:10:8754122:8759598:1 gene:LPERR10G06940 transcript:LPERR10G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVVSRSGGGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >LPERR10G06950.1 pep chromosome:Lperr_V1.4:10:8761079:8764257:-1 gene:LPERR10G06950 transcript:LPERR10G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLLQATAARSRPPHQLLVPTVADRESPPPDSGQVAGWRQPGGRRAGNDSTAEISSSRGSMFRRLLSTAAAARRPPPIRVALTESSGRGVFATRPIAAGELLHSAQPLVSHPSRSLLHEVCYSCLRRRNGGSGGDGDDSSGSCYFCGDACREHAKGFRNIEKNADWSLFDEHCSSRGLKYPYMVKRLACMVISGAVSADCLDILQPAHLHQGTLTEMEEEFELLDDIFRKAGFQEEVTTFLTREWYINVLARIRINAFRIELVATSYEDLLSSAVALVACDAAVGNAVYMLPSFYNHDCDPNTHIVWLETADARLKALCDIEEGEELRICYIDASMDVDARQKILADGFGFQCRCLRCLSGD >LPERR10G06950.2 pep chromosome:Lperr_V1.4:10:8761079:8764257:-1 gene:LPERR10G06950 transcript:LPERR10G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLLQATAARSRPPHQLLVPTVADRESPPPDSGQVAGWRQPGGRRAGNDSTAEISSSRGSMFRRLLSTAAAARRPPPIRVALTESSGRGVFATRPIAAGELLHSAQPLVSHPSRSLLHEVCYSCLRRRNGGSGGDGDDSSGSCYFCGDACREHAKGFRNIEKNADWSLFDEHCSSRGLKYPYMVKRLAYPNTHIVWLETADARLKALCDIEEGEELRICYIDASMDVDARQKILADGFGFQCRCLRCLSGD >LPERR10G06960.1 pep chromosome:Lperr_V1.4:10:8769816:8785781:-1 gene:LPERR10G06960 transcript:LPERR10G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSSPVLPIRSVNLGGWLVTEGWMLPSLFDHIPNNDLLDGTKLQIKSLVHNMYLAAEQGGGAAVVANRAKASDWETFKLWRIDETTFNLKAFDDNAVHFVGVDGNGNVVATAAMPGPSETFQIVRSGLDKNRVRFMAHNGKFLQAKNMDSVTVDHDENTSWGDDDPSVFVINRVGGLQGDYQLCNGYGIQKATEVLEEHWSTYIDENDFKFISSNGLSAVRIPVGWWIASDPNPPAPFVSGSLKALDNAFRWAEKYNLGVMVDLLAAPGAQNPWEHSGSRDGSQARGTTDASIIQTVQVIDFLASRYAKSPSLLAVELLNEPWGPKISATMLKKYYQDGYNAVRKYTSNAYVIMANPLVADYSTEILQFAGGFDGAVFDVHYYNMFDREWVAEWKVHDASKEDYKRFAQAQMDVYGQATFGWAYWSFKNVENHWSLEWIIKNGYISLNPPAWPIRAVNLGGWLVTVGWIQPSLFDGISNKDLLDGTQLQFKSVTKNTYLIAEQGGGSTIVANRDKASGWETFKLWRINETTFNLRVLNNQFVGIDGNGTVIATATVLGPNETFQIVHRNSNKYRVKALDLVTADHGDRTIWGNDDPSVFVVNNIYGLQGEYQICNGYGAAKATQVLTEHWSTFIVENDFKFISSNGLNTVRIPVGWWISRDPNPPAPFVGGSLQFLDNAFKWAEKYNIGIIVDLHAAPGSQNGWEHSASRDGSLEWGTTTVNIIQTVQVIDFLASRYAKSSSLLAIELLNEPRAPEVSLDALTKYYQDAYNVVRKYTSQAYVILSNRVSGDPTEILSFASSLFGAVIDVHYWNLFDSMFDNFTVDQNINFVRNNRSSDLNIVTKQNAPLTFVGEWVPEWYVDNASKEDYQRFAQAQLDLYGRATFGWAYWSFKHVLNHWSMEWMIKNGFISLEKLPAMSPPIRSVNLGGWLVTEGWMLPSLFDGIPNNDLLDGTVLHFKSVIQDKYLAAEQGGGSTIVANRVVASDWESFTLWRINETTFNLRVFKKQFVGIDSNGTVIATATVPGPSETFHIVRSDTDNSLVRIRASNGHFLQAKLVDSVTADYGETTNWGNDDPSVFIIEKVRTLQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPPPFVGGSFQALDNAFKWAEQYNVGVIVDLHAAPGSQNHWEHSATRDESLEWGTTDESITQTVGIIDFLASRYATSPSLLAVELLNEPWGPDVPLTTLKKYYEDAYNAVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYYNLFNDTFKNFNVEQNIDFVKNACSSEFSSVTKQNSPLTFVGEWATEWKVSGTSKEDYQRFAHAQVDVYGRPTFGWACWTFKNVQNHWSMEWMIKNGYISLKK >LPERR10G06970.1 pep chromosome:Lperr_V1.4:10:8818979:8823023:1 gene:LPERR10G06970 transcript:LPERR10G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRIAGSKSLRCIDLTLARYKLFNTTTPAALTLNGKISESERPQDSTPWAGADNNEKEAAATLKIGTIQLPTPIMNFRSSAEYLSWYINCIPLAGRKVICSDQSGRTCLFDADTCKVDTLPSLHKPKCSPYSIFIPSADDKDDQDDNSNGGGSVYIMDTCLNHIPRDKIQLSSQFEAFVYRRSTLTSFTKSWQCQRLPPPPFVCDPKYKHASPHKITSYAVVNGGSHICISVDGAGTYCLDTVKHTWIQIGEWTLPFIGKVEYVPELKLWFGICANDWKQFGAADLSTMDSQPQLVGSWKELEAPQEWTEMQHPHLVNLGSGRFCVARFYHSWTPTVGLFGSDLVEHFFTVLTGTDVVQCVVHDGNGTGNAFSNDSCNNANGSNGKVELRMIKHNSKCHMSYGTDGNSKSSWKLLHNVALELRMPESPPGVL >LPERR10G06980.1 pep chromosome:Lperr_V1.4:10:8828924:8833287:-1 gene:LPERR10G06980 transcript:LPERR10G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQLATLAEEPGGEEDAAGRGRRRRKAGIHAALHRWAKARIPLRFLSGVGGGSGGDEGRRPSADLRVLLSVLACPLSPVPVLPLHPRNVASSAQYILEQFRATTGCGKMAEVKSMYAAGRVRMAMTPEPGGGGGHEGCFVVWQLVPDMWLVEMAVAGHAIAAGCDGRVAWRRTPWLGAHAARGGGARPLRRALQGLDPVTIASVFTAAEHVGEKSVDGEDCFALRLDVSPAVLAAWSDGAAEVIRHGITGYFSHRSGLLARLDDSQLTRIQPNPGSPALYWETTLSTRLADYRPVLLDAAGGGGVVVVAHAGTSVAHLARFGADVGTARAVTRMEEAWSIDDVAFNVAGLCPESFIAPEEVRGRGSSRRYDVYGGGGKRK >LPERR10G06990.1 pep chromosome:Lperr_V1.4:10:8845399:8847482:1 gene:LPERR10G06990 transcript:LPERR10G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESASPSAAVLPAFGPGKLIIEGSLSFKRREADPVQMETMISIRSPKSDTEGCSSKPNATSGASRFALTGDQR >LPERR10G07000.1 pep chromosome:Lperr_V1.4:10:8848964:8849954:-1 gene:LPERR10G07000 transcript:LPERR10G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >LPERR10G07010.1 pep chromosome:Lperr_V1.4:10:8851968:8855784:-1 gene:LPERR10G07010 transcript:LPERR10G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMSQLQLQLLSLVSDHRILRERERAAREELQASIQRWKEAEEGHRREARELRAEVAARDDALRRLESRVKCLENENEQLENNEKELKDNMEGLLQSREAFIKHYEACDSACSLQWTIQLKDKQIAVISEKLNAHLALFSSVGKEAAAVKQVLGDVKCLVGDKENVVSDLKGKVEKISVLEKDFVENLKFFEEKISKYQLELQNRARMIYELREQLEAEKHKNKFQPQVEEISICTKKSLLVKDEIIERLRSEKQEMLMELHNMEIALRKLQDIFGTLGHEHSPLPSQKPGNANAETAGCRHGSEDIDMLDSGEGEGRYIV >LPERR10G07010.2 pep chromosome:Lperr_V1.4:10:8851968:8855784:-1 gene:LPERR10G07010 transcript:LPERR10G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMSQLQLQLLSLVSDHRILRERERAAREELQASIQRWKEAEEGHRREARELRAEVAARDDALRRLESRDSACSLQWTIQLKDKQIAVISEKLNAHLALFSSVGKEAAAVKQVLGDVKCLVGDKENVVSDLKGKVEKISVLEKDFVENLKFFEEKISKYQLELQNRARMIYELREQLEAEKHKNKFQPQDEIIERLRSEKQEMLMELHNMEIALRKLQDIFGTLGHEHSPLPSQKPGNANAETAGCRHGSEDIDMLDSGEGEGRYIV >LPERR10G07010.3 pep chromosome:Lperr_V1.4:10:8851968:8855784:-1 gene:LPERR10G07010 transcript:LPERR10G07010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAMSQLQLQLLSLVSDHRILRERERAAREELQASIQRWKEAEEGHRREARELRAEVAARDDALRRLESRDSACSLQWTIQLKDKQIAVISEKLNAHLALFSSVGKEAAAVKQVLGDVKCLVGDKENVVSDLKGKVEKISVLEKDFVENLKFFEEKISKYQLELQNRARMIYELREQLEAEKHKNKFQPQVEEISICTKKSLLVKDEIIERLRSEKQEMLMELHNMEIALRKLQDIFGTLGHEHSPLPSQKPGNANAETAGCRHGSEDIDMLDSGEGEGRYIV >LPERR10G07020.1 pep chromosome:Lperr_V1.4:10:8856156:8856584:1 gene:LPERR10G07020 transcript:LPERR10G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIKVDKETMEMLAALGMADLPGVERQPEASSAPAYSRPPYGGPRRDRA >LPERR10G07030.1 pep chromosome:Lperr_V1.4:10:8858590:8870216:-1 gene:LPERR10G07030 transcript:LPERR10G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATARLGGESSSSPRGAAYGRGESSRTARLGWQPEAAAAAAGAVRADHLLQRPRRQRACRGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTSWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYKDPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQVLLRGCSLRNTEYIVAVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGHTEIEKGGAERSGIKIDGDEGKRSGAVVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVIFERLADVNNDIKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDAIREAEERGDPVEIARVIKESVKQSLKNYHEEAQRSLISTPTRKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYQEGIKNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRQGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLYPYDYQVIQEMHKDDPHEYSRIQIPERSHLSPEEQRSYAISMLPRETSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTGR >LPERR10G07030.2 pep chromosome:Lperr_V1.4:10:8858590:8870216:-1 gene:LPERR10G07030 transcript:LPERR10G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATARLGGESSSSPRGAAYGRGESSRTARLGWQPEAAAAAAGAVRADHLLQRPRRQRACRGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTSWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKDPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQVLLRGWWGRRERVKAELEEMDAESEQLWRGCSLRNTEYIVAVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGHTEIEKGGAERSGIKIDGDEGKRSGAVVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVIFERLADVNNDIKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDAIREAEERGDPVEIARVIKESVKQSLKNYHEEAQRSLISTPTRKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYQEGIKNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRQGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLYPYDYQVIQEMHKDDPHEYSRIQIPERSHLSPEEQRSYAISMLPRETSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTGR >LPERR10G07030.3 pep chromosome:Lperr_V1.4:10:8858590:8870216:-1 gene:LPERR10G07030 transcript:LPERR10G07030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATARLGGESSSSPRGAAYGRGESSRTARLGWQPEAAAAAAGAVRADHLLQRPRRQRACRGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTSWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKDPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQVLLRGCSLRNTEYIVAVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGHTEIEKGGAERSGIKIDGDEGKRSGAVVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVIFERLADVNNDIKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDAIREAEERGDPVEIARVIKESVKQSLKNYHEEAQRSLISTPTRKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYQEGIKNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRQGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLYPYDYQVIQEMHKDDPHEYSRIQIPERSHLSPEEQRSYAISMLPRETSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTGR >LPERR10G07040.1 pep chromosome:Lperr_V1.4:10:8872984:8877749:-1 gene:LPERR10G07040 transcript:LPERR10G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPSGVVSTDDVPEAAPTDGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKLPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSSGSGVLSTTGSGSMSNLGQNPGSNNGPAPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVAVDSEKKLPVVPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADTYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYNGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPATQRRVALGRLLKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYELEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRTFFHGMELEEGQTKRILGEAAAAGLG >LPERR10G07040.2 pep chromosome:Lperr_V1.4:10:8873488:8877749:-1 gene:LPERR10G07040 transcript:LPERR10G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPSGVVSTDDVPEAAPTDGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKLPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSSGSGVLSTTGSGSMSNLGQNPGSNNGPAPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVAVDSEKKLPVVPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADTYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYNGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPATQRRVALGRLLKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYELEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >LPERR10G07050.1 pep chromosome:Lperr_V1.4:10:8877838:8878267:-1 gene:LPERR10G07050 transcript:LPERR10G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding EETTTGGVTANWEIPRRTHAFPPRDQTRHTRKGDERAREIELGESKTLAAPPAPRVSRTFQKLRSRPPQNLSPGGRNSAREGAAWIVGRQRWRRAGDGGSAWRWGI >LPERR10G07060.1 pep chromosome:Lperr_V1.4:10:8880728:8886453:-1 gene:LPERR10G07060 transcript:LPERR10G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGGFAEERRGGRLTSVSSSHRIERVRFLKRCAGLARCSKNESTSLVEGDLNWYINQGVWSDLGEQNASKLSKSVVSIALSDGKTVLFSSSGIAIERGVNHAKFLTSASLVRALNDNESKNNDCQIEVCHGGKVVTGFLEEYDLYLGIALVKITSFLSVEAVFRYHWYEFMPYCNVVSLGRDISGKLMAVTGKLTPDSSGSEEHLMFSSCKLSEVWEGGPLFDLSGCFVGMNLVPSMEKSFFLPVNLIVERLQHFKTSQERTAFLARVKDLKTVRFRGLTDIPDLQPEGAPSKDHHWYLDALGYPRPTDRDKRFFACSGIFIDWDGKCPDNEYQTILTSACLVRNPNYPRDDGNKIVEGLRIEVLLPRNKQREGTLIHYNVHYNVAIVRVKESHGVSHAICKRGSIEMKSKLVASVGRCFKSGGLMASSGKLVPWSGPYDCKALQYSTCRISKAGIGGPLVDQDWNFIGMNFYDPTVGTPFLSCDHIVDILDCFKKGTDVEIDSFRIDGDYSVRLNEQ >LPERR10G07060.2 pep chromosome:Lperr_V1.4:10:8880728:8886453:-1 gene:LPERR10G07060 transcript:LPERR10G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGGFAEERRGGRLTSVSSSHRIERVRFLKRCAGLARCSKNESTSLVEGDLNWYINQGVWSDLGEQNASKLSKSVVSIALSDGKTVLFSSSGIAIERGVNHAKFLTSASLVRALNDNESKNNDCQIEVCHGGKVVTGFLEEYDLYLGIALVKITSFLSVEAVFRYHWYEFMPYCNVVSLGRDISGKLMAVTGKLTPDSSGSEEHLMFSSCKLSEVWEGGPLFDLSGCFVGMNLVPSMEKSFFLPVNLIVERLQHFKTSQERTAFLARVKDLKTVRFRGLTDIPDLQPEGAPSKDHHWYLDALGYPRPTDRDKRFFACSGIFIDWDGKCPDNEYQTILTSACLVRNPNYPRDDGNKIVEGLRIEVLLPRNKQREGTLIHYNVHYNVAIVRVKESHGVSHAICKRGSIEMKSKLVASVGRCFKSGGLMASSGKLAHMIAKRFSTLHVEFRRTDVEIDSFRIDGDYSVRLNEQ >LPERR10G07060.3 pep chromosome:Lperr_V1.4:10:8881386:8886453:-1 gene:LPERR10G07060 transcript:LPERR10G07060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGGFAEERRGGRLTSVSSSHRIERVRFLKRCAGLARCSKNESTSLVEGDLNWYINQGVWSDLGEQNASKLSKSVVSIALSDGKTVLFSSSGIAIERGVNHAKFLTSASLVRALNDNESKNNDCQIEVCHGGKVVTGFLEEYDLYLGIALVKITSFLSVEAVFRYHWYEFMPYCNVVSLGRDISGKLMAVTGKLTPDSSGSEEHLMFSSCKLSEVWEGGPLFDLSGCFVGMNLVPSMEKSFFLPVNLIVERLQHFKTSQERTAFLARVKDLKTVRFRGLTDIPDLQPEGAPSKDHHWYLDALGYPRPTDRDKRFFACSGIFIDWDGKCPDNEYQTILTSACLVRNPNYPRDDGNKIVEGLRIEVLLPRNKQREGTLIHYNVHYNVAIVRVKESHGVSHAICKRGSIEMKSKLVASVGRCFKSGGLMASSGKLVPWSGPYDCKALQYSTCRISKAGIGGPLVDQDWNFIGMNFYDPTVGTPFLSCDHIVDILDCFKKGTDVEIDSFRIDGDYSVRLNE >LPERR10G07070.1 pep chromosome:Lperr_V1.4:10:8914005:8917159:1 gene:LPERR10G07070 transcript:LPERR10G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADADGHRDLVLTALLRQLSSAADSLVSLSEDDALSARLVSHGAVAAAMQVMPKSGRKRPGLALSLVRLVANLTEVESGVVALLQVGDENLQGMYVRKLVHLFCRSSAQDIFDSVALILMNTSKVEAGRMILMEPKKGLLKKIVQQSDSVNQLRKKGVFGIICNCCFGADTKIHNLLSLPIWPILLLPVAGSKIYGEDDRAKMPPGLANALSNEREPVENSEIRQKALQAIYKIILQDEGQTAFFSINGPQILQAGYEEEENPKVMDAYELIGSLVTPELFRNLASRISSMVVTIEDTLTYEDYHVGSVIHKTEAVTFVLTKGKVVGQSGLIVHFATESKPAQCLASDGQLSILAIKEENKICDSLIWRDPEGIRCLNLSTILRKEL >LPERR10G07080.1 pep chromosome:Lperr_V1.4:10:8919709:8920614:-1 gene:LPERR10G07080 transcript:LPERR10G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLPAAILLLTTLSGPVVPVHGVTFRIVNKCPFPIWPATAPNTGHPILADGGFLLPSGQSRRVKSPPTWTGRFWARTGCTNTNTTTNHAYCLTGDCAGRLACNGTVGSPPATLVEFNLQNDNTTSFASYDVSLVDGYNIPVSVWPKPVTTDRKCVIAGCGKDVNAACPPELQVKAGKVVVGCKSACVAFGSDALCCRGEYGTAETCRGSVYSRMFREACPAYVSYPYDAAAAVATRCYGQEYVVAFCPSRWGEGAADRVAQA >LPERR10G07090.1 pep chromosome:Lperr_V1.4:10:8938457:8942126:1 gene:LPERR10G07090 transcript:LPERR10G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVAEAVAAAVAAGVEPFPSVEKCDASGREAHAVAADLEGTLLRSRSAFPYYALVAFECGGVPRLAFLLLLAPLAAAARPAAWRVFSACARRRAVVTSAPRVMAEPFVLGCLGADAVAGTELATWRGRFTGMVDGRRGVLVGRRKAQAVAEMFDGGEMPDVGLGDRRSDYPFMSLCKEAYIVPRSPATTEEEAVPMDKLPRRVIFHDGRLAHRPTPLAALLTVLWFPVGFALACVRIAAGALLPMPLVYYAFWALGVRVVVRGAPPRAAERATGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRARDAAMIGELLGEGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAMEARMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLGKLPPEHTCGGGGRSSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVDSATGKIPPPETGKKEKGC >LPERR10G07100.1 pep chromosome:Lperr_V1.4:10:8942431:8946383:-1 gene:LPERR10G07100 transcript:LPERR10G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHRLPLLLLAVALHLAAGVASVAAGRSGRGAFDPSRVVQLSWRPRAFLHKGFLTDAECDHMISLAKDKLEKSMVADNESGKSVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPAENGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPEAEGKLLQPKDGTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISAKQGASTDGCEDENVLCPQWAAVGECAKNPNYMVGTNEAPGFCRKSCNVPSVAESNGTPYGLAYV >LPERR10G07110.1 pep chromosome:Lperr_V1.4:10:8947931:8952948:-1 gene:LPERR10G07110 transcript:LPERR10G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLPLIHRYHVACLILLVLQRPQPRAQQAELTPRGTGGDYNMACKECLLLC >LPERR10G07120.1 pep chromosome:Lperr_V1.4:10:8955398:8955709:1 gene:LPERR10G07120 transcript:LPERR10G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEKNGTRRRRHCQPKGRAFAGKLPTLPCLGPPAKLRSHRHPKPTTRSPCASASSPDDLQEETPRSSTCSKSIRVVGLEGKRVIEKDGSPLPLTSPSNTAIL >LPERR10G07130.1 pep chromosome:Lperr_V1.4:10:8968669:8971706:-1 gene:LPERR10G07130 transcript:LPERR10G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHEMVARASAGGYGAPVKPSSMLEGVGRTLKGRDLRQVRDAVLRQTGFID >LPERR10G07140.1 pep chromosome:Lperr_V1.4:10:8978595:8980499:1 gene:LPERR10G07140 transcript:LPERR10G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVNTRKRKQRSKPAAASAMAGLELPVEIIVEILVRLPPSFIRAHLRHSTSKGEQEPSLLINPHSLLGPNPSLPLSPWPTNFSTQIRFYRWQRGASLARLMDGRDFAAGEFRCVYSVTHCDGLVLVRTNSKLYLFNPATRDAITLPASIHGQPVHGLGLDPRTGTYKVVRSFFRCYRNDPTRMGMEVFTIGEAVADNARWREITVDPPYTVDRWLSSYAINGGYIFWYIDTKICPSAPRGGLLRFSLQDEAFDITILPESLSTVEGSMFVLHGKLSFTYAISDTTIIWTLLSDDSGWRWERQYCLRGNGGVYRLMGFLPDGGMLLWCKDTLHRFDMSNCELTSMCGLHHLRYQGGRPTRGKKFFTFCVMTYTESLVRISAT >LPERR10G07150.1 pep chromosome:Lperr_V1.4:10:8988169:8991640:-1 gene:LPERR10G07150 transcript:LPERR10G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQALTLNLAIPRRLPFPLRGSPFAAPLAGRLSTASVSGSSPEPPASEPDLDSGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNECEREPTILKRLHEGEAIALISDAGTPGISDPGMGLARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRRERLEISAREAATQIFYVPPHGIHQFLSDAASSFGESRSCVIAREITKLHEEFWRGTIGEANEAFVTRQPKGEITVLIEGKLISADETPSEDFLEHELRELMSQGHPLSAAVKMVTEATSAKKKHVYALALRD >LPERR10G07150.2 pep chromosome:Lperr_V1.4:10:8988288:8991640:-1 gene:LPERR10G07150 transcript:LPERR10G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQALTLNLAIPRRLPFPLRGSPFAAPLAGRLSTASVSGSSPEPPASEPDLDSGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNECEREPTILKRLHEGEAIALISDAGTPGISDPGMGLARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRRERLEISAREAATQIFYVPPHGIHQFLSDAASSFGESRSCVIAREITKLHEEFWRGTIGEANEAFVTRQPKGEITVLIEGKLISADETPSEDFLEHELRELMSQGHPLSAAVKMVTEATSAKKKHVYALALRLFGK >LPERR10G07150.3 pep chromosome:Lperr_V1.4:10:8988290:8991640:-1 gene:LPERR10G07150 transcript:LPERR10G07150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQALTLNLAIPRRLPFPLRGSPFAAPLAGRLSTASVSGSSPEPPASEPDLDSGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNECEREPTILKRLHEGEAIALISDAGTPGISDPGMGLARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRRERLEISAREAATQIFYVPPHGIHQFLSDAASSFGESRSCVIAREITKLHEEFWRGTIGEANEAFVTRQPKGEITVLIEGKLISADETPSEDFLEHELRELMSQGHPLSAAVKMVTEATSAKKKHVYALALRLFGK >LPERR10G07160.1 pep chromosome:Lperr_V1.4:10:8996249:9006898:1 gene:LPERR10G07160 transcript:LPERR10G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQGATCDEECGYTNRSAGENRYPGWPGTSVFRMLIPATKVGAIIGHGGERVRRLCEETKACIRVIGGHFAAAERAVIIFAKERPDEPKPPAIDALLRVYKHTINYDGLDVRSNEIVVARILTPSEQAASLIRDQDSVIDYIKKASKTNIHVLDSDLPPVALEEDVIIEILGLPARVHNALELVASHLRKYLVHQSAIPLFDPHVRIPISSMDMPPSHYSDHPESLLHEASPSYFHHSGHPKGLQHESSPGPPGYFHYDDHPESLLHEASPGYYSVYAEDVQLERPWTDCYSRYPMESHLTHADMFEYREQAPTFLGSYRSVTPPNYWHEAEAYLSSPMELCLHHNLNAYAHKKKNAYVWRATPPIGPLDTVNRIRSLISVYGKQSHQLRQTYKSTKMGKHPHLGISLHGRDAYPIRVSPSPATKLPSSPGVSAHKQQVSPVYQSTNVENLQHCRVSACAPEELPEVAVPSFSSQSPAVTSEVIMKMQVPIFYAEAVIGPTGARIEYIRHASRSSVIIKDNDEGAMSIEITGSAAADVQIAEQLIKNFMAEAAAASPDHSFDYIPSHLPAPRSPEQDIPTTSLTGRASDIGVLEERWHLSSSCIGSTDVPVLSPSEQTASCEHQNFLVLRSGIGAKSRFNIFYHHQTRILQ >LPERR10G07160.2 pep chromosome:Lperr_V1.4:10:9003372:9007130:1 gene:LPERR10G07160 transcript:LPERR10G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTRARSQDFWKRFWSMLSYACSELCLIILLYVAAGASYMATRLARIHKLRMPCILCSRMDHAFHGKAWFSSDLVCAVHRSEISYLAYCSSHNNLVHCDDLCKRCSVVMNDVVDTRRSKSRRLCSCCSEPFNRTRNSHRVSETANVARSSDVVHGSEEFNRESVPADHSKDKTFVVDIEEVNESDGSPVTHEQSTKNNGASANARTAKPAPSGSTVPTRIFVDRSSSIKNGFSGRVNLPSPRPSEIISAKDSNSTTQQEVKAFLSQMSSARGLDISWSEGGVPSPRNVQTDEGNANGRRPSLERNYSVIEPSDANLADEIEGESSLENLKRLLELNKKSMSALYKELEEERSASAVAASQAMAMINRLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDMLTEREKELLDMDAELENFRRLLQNEKFNGGKLDVADISNEANVPFEVLNGLGFMRSTMSGFEDEMAYILESISRMEDKLCISTNRLASDNAKINREELVGEQSGAESTSDQQDDGNKSVQNHKDNCSCSPSEAGKLSNVTNIKDEVSQLNARLKALEADQEFLKHPGWTAVCTGDSQPFTRVAKNCHSMKRDSFPLISHLSLMSCSPVVLQTFMVNWCFCSKVKIGI >LPERR10G07170.1 pep chromosome:Lperr_V1.4:10:9007941:9012775:1 gene:LPERR10G07170 transcript:LPERR10G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGAPEGSGADVEKAAMTAGIEQGGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVIGTLMTWCSSLVIASLWQWNGDNHTSYRHLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYNHYHTADDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAICTASTIGFAGTAIGVTLYDGHRIDRKEVTYSLQGSTASKIFRAFNALGTIAFSFGDAMLPEIQSSLREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTVPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQGKDTSYKTRLWRLMYTSAYMVVITLISAAMPFFGDFVSICGAVGFTPLDFVLPALAFLKAGKLPENPGLRSAAKVISSTVAVLFSIVGALACIGAVRAIALDVKTYKFFHDM >LPERR10G07180.1 pep chromosome:Lperr_V1.4:10:9010396:9012765:-1 gene:LPERR10G07180 transcript:LPERR10G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQAKKRGGAESGNGGAGLALAAFIANGEDLGPVVRHAFESGKHESLLQGFRGIVQMKEVEIEELCRVHYEEFILAVDELRGVLVDADGLKGTLSRENLRLQEVASSLLLKLDELLELYSVNKNVGDALAMLRICLQVTSLCQICNKDIAEAKFYSALKNLELIEKDFLQNIPLNLLKKAVQRQIPMVKMYIEKKVCNEFNEWLVYIRRTSKEIGKVAISQASLARQKNEGVRSQQRETEDCSRIGFDEHAYALDVELIGEEEVLEFDLTPVYRAHSVHTCLGLGEKFREYYYNNRLMQLNLDMQIPMTQPFMEYHQHFLAQVAGFFIVEDRVLRTADGLLSDSQVETMWETAISKVTSILEEQFSHMDAASQLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFSKDSFEPMVIKKENEYHMNVSAFQLEPSGVVPDFPYVAPFSSSVPDACRIVRSFIEDSVNYLSYDSIMDVYDVVKRYLDKLLIEVLNDGLLNLIHGSRLEITQMVQIAGNIAILEQSCDMFLCHAAQLCFVPRRLLDKPHSGLTAKAVLKASQNAAYNGSITLANSKIDEFMLLLTSINWTPEETPEHVNDYMNEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAAIDIDLKKLEEFADDEFHNTGLSELRKETSFKDCLVEIRQLTNLLLSNQPESFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTVQSARKKSLDVLKRRLKDFS >LPERR10G07190.1 pep chromosome:Lperr_V1.4:10:9014271:9019213:-1 gene:LPERR10G07190 transcript:LPERR10G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESRGFGWTYDTDPKHDWSTLMTNKNLELKRLVRVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLTSRPEKIAVVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFYEEVRDFVADQMSLRGITFHTEETPQAVTKSDDGLLTLKTNKGSINGFSHVMFATGRKPNTKNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALVKTIFGNEPTKPDHSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDIYTSNFRPLRATLSGLPDRVYMKVIVCANTDKVIGVHMCGEDAPEIIQGIAIAVKAGLRKQNFDATVGVHPITAEELVTMRNPTRKVRRDAADKAKMKDEATSQKLEASAFTYALVSSFIFVVIVAGVKVMCRKIRAFRSHQISQATRIIIVCKGGLFGDSTTRVHHCSVVSQSSHIFIYNSVLVHH >LPERR10G07190.2 pep chromosome:Lperr_V1.4:10:9014271:9019213:-1 gene:LPERR10G07190 transcript:LPERR10G07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESRGFGWTYDTDPKHDWSTLMTNKNLELKRLVRVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLTSRPEKIAVVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFYEEVRDFVADQMSLRGITFHTEETPQAVTKSDDGLLTLKTNKGSINGFSHNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALVKTIFGNEPTKPDHSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDIYTSNFRPLRATLSGLPDRVYMKVIVCANTDKVIGVHMCGEDAPEIIQGIAIAVKAGLRKQNFDATVGVHPITAEELVTMRNPTRKVRRDAADKAKMKDEATSQKLEASAFTYALVSSFIFVVIVAGVKVMCRKIRAFRSHQISQATRIIIVCKGGLFGDSTTRVHHCSVVSQSSHIFIYNSVLVHH >LPERR10G07190.3 pep chromosome:Lperr_V1.4:10:9014271:9019213:-1 gene:LPERR10G07190 transcript:LPERR10G07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESRGFGWTYDTDPKHDWSTLMTNKNLELKRLVRVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLTSRPEKIAVVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFYEEVRDFVADQMSLRGITFHTEETPQAVTKSDDGLLTLKTNKGSINGFSHVMFATGRKPNTKNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALAIEKYGDVDIYTSNFRPLRATLSGLPDRVYMKVIVCANTDKVIGVHMCGEDAPEIIQGIAIAVKAGLRKQNFDATVGVHPITAEELVTMRNPTRKVRRDAADKAKMKDEATSQKLEASAFTYALVSSFIFVVIVAGVKVMCRKIRAFRSHQISQATRIIIVCKGGLFGDSTTRVHHCSVVSQSSHIFIYNSVLVHH >LPERR10G07200.1 pep chromosome:Lperr_V1.4:10:9021067:9026086:-1 gene:LPERR10G07200 transcript:LPERR10G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSIKEPQGLPMLILTTQGNALSLVDGIKVGYCRERQTNACLVAKTWILVYSAHIQGLTTMKCPSMFSQAKPQLMNPS >LPERR10G07210.1 pep chromosome:Lperr_V1.4:10:9035764:9036256:-1 gene:LPERR10G07210 transcript:LPERR10G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPRDTAPMVTLLLKPVASCEEAGRSSTSKDAINTGNANLVLNSDHRNIIGVHAASNPLDLICYTTKPVSLP >LPERR10G07220.1 pep chromosome:Lperr_V1.4:10:9038193:9038632:1 gene:LPERR10G07220 transcript:LPERR10G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPADPSKRVAAVEIFTSVESRDHEGSRRRIGSQRRLLDALCRGRRIDPPTASLDLVEAVSFDKGDRD >LPERR10G07230.1 pep chromosome:Lperr_V1.4:10:9043479:9050331:1 gene:LPERR10G07230 transcript:LPERR10G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQASEAATEKELPVGMDASMLDEYASQSKLLQEFVKIPTIGKAWIFNSKNENTSRVMVSVGQADLLANKRKRFLLNSHISKNASNNSVDFQWSPFPIEMSGVSAIVPSPSGKKLLLVRNSEDDSPTKLEVWGPCQLENEIHIAQSVHGSVYADEWFEGISWNQEETLVAYVAEEPPQPKPEFNDSGYKKADSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNISSGEVRAVKGIPRTLSVGQVIWAPSSSYSLVFVAWSSDNGFQKTPGKLGIKYCYNRPCALYAVPDPFMEEAQKPSINVSKVDTAPTIKLTSDLNSAFFPRFSLGHTSQLWGLLLYSPDGKYLVFISAKSAVDSGAHNATNSMHKIDWPADGKLKDLSVADVVPIVMCPQDGCFPGLYCSGILRNPWLSDGQTMILSSVWGSKEVILSVNVVSCEVSRVTPQDSDYSWNILALDKDNILAVSSSLITVPQMFYGSEVCPTGKSSQWQWQEISTPFLNPSDKISAILTDHKFSILKVPINNASDKLTDGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVIKKGLIDASKVAVVGGSHGGFLTTHLIGQAPGTFLAAAARNPVCNLSLMVGTTDIPDWCFVEIYGKEGKNYYSESPSVDSICQFLQKSPISHISKVITPTIFLLGAQDLRVPVSNGLQCICNNRLNQPSFPLRRPQSDFESFLNIGVWFKKHLSR >LPERR10G07240.1 pep chromosome:Lperr_V1.4:10:9051062:9058111:1 gene:LPERR10G07240 transcript:LPERR10G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEEYALQSKLLLEFTNVPSIDSAWVFKSKNEDRSTAMYSISQTNLLANNKRKYVLFSHIMRNDTNPMNFQWSPFPIQMDGVSIVVPSPSGSKLFVVRNGENGSPTKLEIVGQSHVDKEIHVAQSVHGPLYTDEWFHGISWNQEENFIAFIAEESPALKPAFDDNGYKREGYSEKDSNNWKGQGDWEEDWGETYSKKGRPSLFVLDIASVEVRAAKGISRSLSVGQVVWAPASSCGRQKYLVFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYATLCPFEESDVDNAPVSDDKSESALVASNLTPSISSAFFPQFSKDGKLLVFLSANRAVNTGAHNATNSLQKINWPSEWKIDQHLEITDVVSIVMCPQDSGFPGLYCSSMIPDPWLSDQRTLILTSAWRSTEVILSVDVLSGNVTRISPENSVYSWSALAIDGRNVLAVSSSPIDPPQIKYGHQVSSKDQTCTWVWDEVNNPLMTANKKVKALLSYHEFSILKIPVSNPTDDLSDGGRLPFEAIFVSCNDSSQKPTILVLHGGPHSVSVSSYSKTSAFLASLGFNVLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIKEGLIDASKVAVVGISHGGFLTTHLIGQAPDRFMVAAARNPVCNLSLMLGTTDIPDWCYSVACGTQGRQYASESPSLDDLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPASNGLQYARALRERGGDVRIMMFPEDIHEINIPQSDFESFLNIGVWFKKHLS >LPERR10G07240.2 pep chromosome:Lperr_V1.4:10:9051062:9058111:1 gene:LPERR10G07240 transcript:LPERR10G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEEYALQSKLLLEFTNVPSIDSAWVFKSKNEDRSTAMYSISQTNLLANNKRKYVLFSHIMRNDTNPMNFQWSPFPIQMDGVSIVVPSPSGSKLFVVRNGENGSPTKLEIVGQSHVDKEIHVAQSVHGPLYTDEWFHGISWNQEENFIAFIAEESPALKPAFDDNGYKREGYSEKDSNNWKGQGDWEEDWGETYSKKGRPSLFVLDIASVEVRAAKGISRSLSVGQVVWAPASSCGRQKYLVFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYATLCPFEESDVDNAPVSDDKSESALVASNLTPSISSAFFPQFSKDGKLLVFLSANRAVNTGAHNATNSLQKINWPSEWKIDQHLEITDVVSIVMCPQDSGFPGLYCSSMIPDPWLSDQRTLILTSAWRSTEVILSVDVLSGNVTRISPENSVYSWSALAIDGRNVLAVSSSPIDPPQIKYGHQVSSKDQTCTWVWDEVNNPLMTANKKVKALLSYHEFSILKIPVSNPTDDLSDVMIAETHDIISLSSKKRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIKEGLIDASKVAVVGISHGGFLTTHLIGQAPDRFMVAAARNPVCNLSLMLGTTDIPDWCYSVACGTQGRQYASESPSLDDLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPASNGLQYARALRERGGDVRIMMFPEDIHEINIPQSDFESFLNIGVWFKKHLS >LPERR10G07250.1 pep chromosome:Lperr_V1.4:10:9056249:9062782:-1 gene:LPERR10G07250 transcript:LPERR10G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFNAARLDGAPASSSGRPPKPESSTVSAAAAAAAAAAAPKPDGASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYMSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGEAGWTPDQWGHSKSRSAFSPDYNTYRQQNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLENFFSTKVSSQLAQASTKN >LPERR10G07260.1 pep chromosome:Lperr_V1.4:10:9066641:9067570:1 gene:LPERR10G07260 transcript:LPERR10G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKLIAVALLLTALLTIQAPMAVTAANSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTSTNPPTPTNGKFNIFWQNSVLSPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVSNAVESLTTIVKDNNLDGIDIDYEQFQVDTATFAECVGRLITILKSRGVIKFASIAPFGNAQVQSYYMALWAKYGAVIDYINFQFYAYGASTTEAQYVDFFNQQIVNYPGGNILASFTTAATTTSVPIETALSACRTLQKEGKLYGIFIWAADHSMRQGFKYDTEAQALLANATSSY >LPERR10G07270.1 pep chromosome:Lperr_V1.4:10:9068448:9073275:-1 gene:LPERR10G07270 transcript:LPERR10G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLVRTVKLATKNHAVVLFRRAIRHLPKILAATALVAFAPRLSTLTTTTSWAAGEVLSLPALAVACWAASLAAYTYASSRPRPVYLIDLAGYKAPARHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVNAARDVGVVIFNSSLLSPTPSFTSLIVNRYGLRPDVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRSGRAKYQLIHTVRTHRGAHDQSFRCVTQEEDDAGEVGVSLSKELMLVAGEALKTNITTLGPLVLPISEQLRFLATVVLKKVFRADVKAYLPDFRLAFDHFCIHAGGRGVLDELEKSLKLTGWEMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTIDSRGIGVEDNPWMKEVDLLPVDVPKVAPIDETSYQIPY >LPERR10G07280.1 pep chromosome:Lperr_V1.4:10:9094356:9095216:1 gene:LPERR10G07280 transcript:LPERR10G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFTDVPINPNLSFNFILSFAIDYTRPGGATPPSPTNGVFEPFWDTANLSPADVAAVKAAHPNVSVMVGLGGDSVQDTVKVFFSPTSVDTWVANAVDSISGIINTYGLDGVDVDYEHFTADVDTFVACIGRLLTNLKARFPNIKTSIAPFEHPDVQRYYQPLWRSYSGVIDHINFQFYGYGSNTDVPTYVKFYDDQLVNYPGSGSKLLASFKTGDVTGLLSPDQGIAGAKELQRQGKLPGLFIWSADSSKQSSYKFKYETQAQEIVANH >LPERR10G07290.1 pep chromosome:Lperr_V1.4:10:9126017:9126877:-1 gene:LPERR10G07290 transcript:LPERR10G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVQFSDVPVNPGLSFHFILAFAIDYYTSSQSSPATPTNGVFRPYWDTTNLSPAKVAATKAAHPNLSVMVGLGGDSVQDTVKVFFSPTSVDSWVANAVDSISGIINTYGLDGVDVDYEHFTADVDTFVACIGRLLTNLKARFPNIKTSIAPFEHPDVQRYYQPLWRRYSGVIDHINFQFYGYGNNTDVPTYVKFYNDQLVNYPGSGSKLLASFKTGDVTGLLSPDQGIAGAKELQRQGKLPGLFIWSADSSKQSSYKFKYETQAQEIVANH >LPERR10G07300.1 pep chromosome:Lperr_V1.4:10:9130528:9138168:-1 gene:LPERR10G07300 transcript:LPERR10G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSSSRSARGALPRRPPSPTGSAATVATSSGHHVLHIRHYSVYKATTPNGICIKSQPFNVGGHTWQLGFFPNGYDNDADHADYACVGIALIDGSDGPVIARYRFEFADAPRHLQVLRSGEDDRLRAFDRENNIWGEVNFVKREKLERAGLVVGDAFSIRCDIVVYKRVSTPWYEPVEEATTGGREENMPSHRLKKFHNLWASSPQTKDVGAGDDKLHLIFLLPGASCSDLEARLTESYQPSVPSCEKGLWVNTSDLSTYHYEKCFQEQMSLVYDPVHNEYRNLPGVETRVPNFGITRGLRAVRIRRRPSSSGIASLGGMVALEFVWNTPLAWRNKYIKHLILITATLSPGFVYPMINLAFGQENVLHVPNATALSLRPMWRSFETSMASFPSPKVYGHMPIRWHRAFSETDAAKDVLFRGTHVYWEGNFDKTPENMLGDGDGTINLISMLAFNKEMRQQLGQKGQFKLIKLDKAGHTSILTYEWALQRIIQEILEVNQNSSYALVVLNLSFAAGRRGEPPPQCSASAAGVIKSSGHHVFEISDYSLLKATTPNGKSIKSGSFKVGGHRWHLELYPNGYSADQVDVVAIHVVLENGADDKTVHTHIRLRYIDTSKHDAYKPLSDADMRGETVLDFDRRKIKRRESIVPLKNMEERYVVEDKLAIRCDIVVITKFSAKTKTTTTKSVQFVDVPPADQGQHLRALHSSKVGADVVFQVGERRFAAHRCVLAVQSPVFNAELYGKMKESDPNQVVHIEDTEPDVFDALLTFVYTGLLPKMKKEDEMAMAQRLLIVADRYDLKRLRLICEDRLCKYVDKSTVINMLVLLDELPSCEGLKKACLEFLAKFQPKTLYDLIVTEALDYLTANYTSVVEKLSKRNPFIQKYKYS >LPERR10G07310.1 pep chromosome:Lperr_V1.4:10:9144848:9148560:1 gene:LPERR10G07310 transcript:LPERR10G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDKNGTAYGEYTYAELEREEYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSADRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGIDIAAYGSSKVVSTQAPVQLGSLRAADGKE >LPERR10G07320.1 pep chromosome:Lperr_V1.4:10:9149510:9151326:1 gene:LPERR10G07320 transcript:LPERR10G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFMVAGPSEYLAITGWGIDEVKSAKKAWVFIWQKCKKFDISPVYELNVEAMSSDKLAFNLPAVFTIGPKTSPAPGEIEEAMLLYAKLIASHDHASNHVNGLVKGIIEGETRVLAASMTMEEIFQDTNKFKQELFDQVQIDLSKFGLYIYNADMKQLVNKPGHEYFSYLEQKTQMKGEVGTKKRQGLTRQNAAKVDAELVRQYSELLFHY >LPERR10G07330.1 pep chromosome:Lperr_V1.4:10:9159681:9160682:-1 gene:LPERR10G07330 transcript:LPERR10G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAADPSPSPAPAPARSMLKRLFDRQILRVSPAERIPPAAAGEKDEVEPSSVCLDGMVRSFLEDGGVGEKAGHGGRRCNCFHGGGSSDDDDDEDDAAASSDVAETIKVCPPFPLPSLSTLAAFTPWREKTNLSPLPSPRSHQGLVHCATLRERNLLADVCAHAEHHRASGARRRELLRLVAASLRDAGHDAAVCVSRWEKSPSHPAGEHAYVDVLLPAASDRGARERVLVDMDFRSAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAASADAARASLRKRGLHLPPWRKPEYMRAKWLSPYDREQPPPLAAAADAVAGEVAGEETHAAA >LPERR10G07340.1 pep chromosome:Lperr_V1.4:10:9172906:9174728:1 gene:LPERR10G07340 transcript:LPERR10G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKAAADKDKDRKKAPVSRSSRAGIQFPVGRIHRQLKGRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >LPERR10G07350.1 pep chromosome:Lperr_V1.4:10:9177494:9180604:-1 gene:LPERR10G07350 transcript:LPERR10G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADYLIGSSRRRSSPPPLSPSKDTWRPEKQWRKATNVIRTCHRLLRLSLLSAGGIIRRSPSYVKIKIHDISDDDELAVDNSGVVAFTVAADDESFKNLVKHKRDDCLRQLGGVAGVAAALASGADRGIRGDGADVARRKAAFGTNTYPKPKPKSFLRHVYDALADVFLIVLLVCAAVSLAFGIKEHGIRDGWYDGVSIFLAVFLVAAVSAVSNHGQARRFDKLAHQSENVAVTVVRGGAGRRQEVSIFDVVVGDVIVLKIGDVVPADGVFLDGHALQIDESSMTGEPHPVEIDATSSPFLASGVKVVDGYGRMLVTAVGTDTAWGEMMSSITRDVTADPTPLQERLERLTTSIGKVGVAVAVLVFAVLTSRHFTGSTKDENGNRVFDRRRDATFNGVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVNEFWVGGERLRSVAGEVSVEIVALIRQGVGLNTTGSVYEADNVSPPEITGSPTEKALLTWAVEELAMDVDVLKRGSKVLRVEAFNSDKKRSGVMVRDAATGVVTAHWKGAAEMVLARCSTFVPKDGGAARQLGVEERTKLENVINDMAAASLRCIAFAYKEITIIDGGDEHGKIDDEGLTLLGFVGLKDPCRPEVKSSIKACTNAGIAVKMVTGDNLLTARAIAKECGIITSESDVIIEGHVFRAMSPSQQLAIVDDIRVMARSLPLDKLTLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLAMGIQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVVNFVSALTTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKGMMQRKPIGRTAPLISNAMWRNLAAQAAYQIAVLLALQYKGREIFGDSGEKENGTMIFNAFVFCQVFNEFNAREIERRNVFAGVVKNRMFLGIVAVTVALQVVMVEVLTRFAGTERLGWAQWGVCVAIAAVSWPIGWVVKCIPVPERPIYEIIAARRRRRSA >LPERR10G07360.1 pep chromosome:Lperr_V1.4:10:9222102:9234160:1 gene:LPERR10G07360 transcript:LPERR10G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVPEEDGVAIIRHAFDAGITFFDTADAYGPHTNEVLLGKGTPDYVRACCEASLERLGVDYIDLYYQHRIDQSVPIEETMGELNKLVEEGKIKYVGLSEASADTIRRAHAVHPITAVQIEWSLWTRDIEEEIIPLCRELGIGIVPYSPLGRGFFAGRAAVHSIASESLLSKHPRYNGENLEKNKVFYKRLEEHATKYGCSPAQLALSWVLHQGDDVVPIPGTTKLKNLDDNIDAIKVKLSKEDLKEISAAVPAGEVAGSRVIGVLEPYSWSVANTPPPKAFQIPRVKLGTQGLEVSKLGFGCMGLSGVYNAPVPEEDGVAVIRHAFDAGALKHLPREKVQVATKFGIAGFDINGVLVKGTPDYVRACCEASLERLDVEYIDLYYQHRIDLTVPIEETMGELKKLVEEGKVKYVGLSEASSDTIRRAHAVHPITAVQIEWSLWARDIEEEIIPLCRELGIGIVPYSPLGSGFFAGMASVKTIPSESLLSLLPNMVAHRLNLPSLGSFIKGMMLFQSLVKNLDDNIAAVKVKLSKEDLKEISAAVPAGEVAGSRVIGALEPYSWRREYKGVPVNAMAGGT >LPERR10G07370.1 pep chromosome:Lperr_V1.4:10:9237440:9240387:-1 gene:LPERR10G07370 transcript:LPERR10G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDLSSDQESNSQGTSHNHMVLSPDLFLPSMATILHLPPVITLPPEEPKTKKKRSLPGNPDPEAEVIALSPRALVATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLSSSRQKQNQNQNQQQQPASAAAAASSRKRVYVCPEATCVHHDPARALGDLTGIKKHYSRKHGEKRWRCERCGKRYAVCSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARILAAAAANNNSSSITTCNNISSIISHNNNINSSCSNNNNLLITTTTSSSSPPLFLPFSTPAVENPNPTNPLLFLQQHQSHQLQLPQFQPPSPPAAYFDNNLPFGVAITGSNDDNSSIAGGDVMGDHSVISFGLTSEGSVTMHAGSRRRLVDFLGVDHDAGEVEELDHHLPLSTAVSRAACCATDFGRRLPPVNETWSHNF >LPERR10G07380.1 pep chromosome:Lperr_V1.4:10:9243762:9246706:-1 gene:LPERR10G07380 transcript:LPERR10G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMVEAAQQNGGGGGVGAAAAPRPMEGLHEVGPPPFLTKTYDLVEDPASDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILISEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYSPGETSQTEQLDSSYLFDSGVLNDFSEPGIPELENLAVNIQDLGKGKVDEEKQDQANRQTELGDDFWAELLVEDFAGKEEQSELDGTVEGIDELAQQLGTIEDHLEQTDTIDLWKYNRLHKVFMVIPRLWTPCPEAVYTYCFAIDRGP >LPERR10G07380.2 pep chromosome:Lperr_V1.4:10:9243762:9246706:-1 gene:LPERR10G07380 transcript:LPERR10G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMVEAAQQNGGGGGVGAAAAPRPMEGLHEVGPPPFLTKTYDLVEDPASDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILISEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYSPGETSQTEQLDSSYLFDSGVLNDFSEPGIPELENLAVNIQDLGKGKVDEEKQDQANRQTELGDDFWAELLVEDFAGKEEQSELDGTVEGIDELAQQLGLYLQDTIDLWKYNRLHKVFMVIPRLWTPCPEAVYTYCFAIDRGP >LPERR10G07390.1 pep chromosome:Lperr_V1.4:10:9250761:9253134:-1 gene:LPERR10G07390 transcript:LPERR10G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDGKTEVLEAWYMDDSEEDQRLPHHREPKQFIPIEKLTELGVISWRLNPDNWENDDNLKKIREARGYSYVDICDVCPDKLPNYDAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGLYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPERKEYLDKLLKIGGGNQAVEGC >LPERR10G07400.1 pep chromosome:Lperr_V1.4:10:9257820:9259870:-1 gene:LPERR10G07400 transcript:LPERR10G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAWMLGDDAQENVVSPKELLPLSRLEEIGVLYWHLDPKKSESEEELTKIREERGYNYFDLIEICPEKLENYEEKLKNFYREHIHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEEHPVRQEYVKNVKGNTGFALAAH >LPERR10G07410.1 pep chromosome:Lperr_V1.4:10:9261765:9262919:-1 gene:LPERR10G07410 transcript:LPERR10G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISFAAQILSCYLLLLLQLQTTMASAAPVFTGVFDHGSHGVTLVKIDEAPRCPSAKTKGAPPKPLLVAAPCDAGEYPVVVFLHGYLANNSFYSQLFEHVASHGFVVVGPQLYTISGPDTTDEINAAAAVINWLAGGGLAGGNLPPGVAADSTKLTISGHSRGGKVAFALSLNHANTSLPPIAALVAVDPVDGMAAGKQTPPPILTYKPNSLHVASPAMVIGTGLGGLPRGRLFPPCAPPGVSHDDFYGELSSSPARCHFVARDYGHTDMMDDVTPGVKGIATRAVCLSGAGGRRPMRRFVGGAMVAFVKRWVEGSPELLEGIRRRPEMAPVVLSVVEFSDEEAMAPNQ >LPERR10G07420.1 pep chromosome:Lperr_V1.4:10:9265531:9267072:-1 gene:LPERR10G07420 transcript:LPERR10G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTMIHAPPPCLLLLLLLAAAAAATVADAAPACETAHCSRGHCVEQPGIFGLDTFRCDCDAGWSNVFKLIPSSPCTVPNCTFDSACFNLSLFTIPKGIPITDPCVAINCGPGQCVKDEGLNYHCACEPGFVNMLNLTGLPCIKNCAFGMDCSAMGLSPPGSPPPPSSSSSPATPGSVSAEGLLKLLVLLSLAMAHIL >LPERR10G07430.1 pep chromosome:Lperr_V1.4:10:9269707:9273540:-1 gene:LPERR10G07430 transcript:LPERR10G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKAAQESSAAAEEKPSPATAPALRSVGDYAVGPIPTVVYVPGFITDSEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTNITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEGCTDPPNSDSGDQATIESNGSHKPEGTNEADPASSSLLLMPCSLLIFKDQAYTDYLHGIQDNELQNIDKVANMSECPEFGLLNCGDSQTNTEKSCGLKQSGTFDRECVFNTSSSPKGIPTTDLCLAINCGPGGQCVKEEGFSYHCACKPGFNNILNLTTLPCIKNCAFGVDCAALGLSSSAPAPTPA >LPERR10G07440.1 pep chromosome:Lperr_V1.4:10:9273718:9277520:1 gene:LPERR10G07440 transcript:LPERR10G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGGKAKKIASSSDPFVDEEAPSQEAERSSVLIVDEAQSQHQGSSKAATSSTHEQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSNTSSTQTTTSTPASKTPVTKITFIYVVLGIIVAADDLMYSYGLLYLPVSTYSLICASQLAFNVVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTNISNGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFHSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGIKIIAMLMAIWGFISYGYQLYVDDKKARKILVGVEESF >LPERR10G07450.1 pep chromosome:Lperr_V1.4:10:9280712:9282208:1 gene:LPERR10G07450 transcript:LPERR10G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKSFLVGKKDGGRRKKGDKLPAAQREIATGDETPAVDVAAASPREKKRWSFRRSSAATSGKPAAAAATSPPTPESSVSGLASVSERQRDVELDEQSNLAMAAAADDGDGDLAVEVAAAVRIQATYRGYLARKALCALRGLVKLQALIRGNLVRKQATATLRRMQALLLAQARLRAQRIRLLDEHDDGDNVHRRRRSPHHHHHHPSRRRSYEMEENVKVVEVDDGGNGETEAPRGRSSCSVAANESRERRMAEYGYGYGYGYGSPAPSSSYAGNFDDELNPPFEPATARSSPYVPPSAAGDFFPNYMANTQSSRAKARSQSAPRQRTDAHPPPPPPHQLERQPSRRRGVAGGGVPRSVKMQRSTSHIGVPTTAAHGYYSYGYNYPWSSASVKERESSECGSTTSSVLTAATTVGYCRSLVAFDIRHRVAGDV >LPERR10G07460.1 pep chromosome:Lperr_V1.4:10:9286144:9291531:1 gene:LPERR10G07460 transcript:LPERR10G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQQVADDGAAAAAARVAVPPAKPLLRTIGHNLMETFFPDDPFRAVTRDKGRRRRALAALRYVFPCLEWLPSYTLSTLWHDVVAGVTVASLAVPQGISYAKLANLPPILGLYSSFVPAMVYAVMGSSRELAVGNMAVGSLLFAAMMGKETSSPEEYAALAFTATFFAGVFQAGLGVLRLGFIVDLLSHAAIVGFMGGAATVVCLQQLKGMLGLVHFTSSTDIVAVVRSAVSQSHLWRWQSIVLGCGFLVFLLTLRYISKKKPRLFLLSAAGPLVSVIAGSVLVYLIHGEHHGIPVIGELKKGINPSSVKDLLLSSPHTMVAVKTGIITGIIGLAEGIAVGRSFAMLKGYNVDGNKEMIAFGLMNIIGSCTSCYLTAGPFSRSAVNHNSGCKTPMSNAVMALAVMLTLLFLTPLFYYTPLVVLSAIIITAMLGVIDYKAAGRLWKVDKVDFLVCVGAYLGVVFGSIEIGLSIAVGISVLRLLLFIARPKTMVLGKMPNSTNFRRMDQYTTAQSVPGLLVLRIDSPIYFANAGYLRERIMRWIDHEEDQIKAKGLEGLKCLVLDMGAVANIDANGTKMLEDLKKNLDRSGIQIALANPGSEIMRKMDNSKVLGLIGDEWIFLTVGEACDYAQQNCKIGTGMDVVEYVDPEDMV >LPERR10G07470.1 pep chromosome:Lperr_V1.4:10:9297612:9299498:-1 gene:LPERR10G07470 transcript:LPERR10G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVYWYGAAGDAHTGVYWYDAPSSYPGAARVCYHANVHDDDPNTATGEQMINVPTLAANLHHVDAGGEQMINVPTLAANLHHVDAGGEQINTVVDDSISNNTLVHTVWRENCAEKFELVRDALRRPRRHLHIAVDMEFTADAATGVHHRPVTSPDCYDHVRRYANGGAIVQMGLAIAFVGDAQSFPSPPPIALEINFQLDVEAREYHPKTIKFLSDQGHDLTEHSKRGVEPHRVSDGLLRHLPFGDASVTWLAFHGDYDLAFLLRLLQSGGRSGNLLPSHLPTFLNQVREKFPMFYDVRVLGQLVKDGFTGSLTALAQHLGIQRNGGEHHAGSDALLTLSCFFKIFGGCQQHRLDARLGLLAGLEEWNMAIKCARHIDDHSTRITIIEVLQHNFHEEARRVEQLVPSNFDTIGVEVILHPQLIKRSYAVGAQKNYELMKTFLNDADSCEIIVTFINAEGMLAYGRAWKFCISYTADDNGYAHPRQFAQLMASCRATHYPAVSWVTFHGAHGVGSLIRSFLAPHALPVHWSSYIGHRRAFFPTIYDVSLIVDRCPDIMLPTTECKGDLLDVARALNLKAMEADKEAANVLLTLRCYMRLAQRPDFPNIAMAVQGLIKESCCWNGTTN >LPERR10G07480.1 pep chromosome:Lperr_V1.4:10:9299802:9303340:1 gene:LPERR10G07480 transcript:LPERR10G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHKPRRMGSAGRRATGDLSTHLRTAVRLIEIGRHRSLQEPAGFKIQIQAISMGQSNEDPDAIKS >LPERR10G07480.2 pep chromosome:Lperr_V1.4:10:9299802:9303340:1 gene:LPERR10G07480 transcript:LPERR10G07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHKPRRMGSAGRRATGDLSTHLRTAVRLIEIGRHRSLQEPAGFKEDPDAIKS >LPERR10G07480.3 pep chromosome:Lperr_V1.4:10:9299802:9303377:1 gene:LPERR10G07480 transcript:LPERR10G07480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHKPRRMGSAGRRATGDLSTHLRTAVRLIEIGRHRSLQEPAGFKEDPDAIKL >LPERR10G07490.1 pep chromosome:Lperr_V1.4:10:9305332:9308062:1 gene:LPERR10G07490 transcript:LPERR10G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPPLPPHDRCKGSIERAGHARSAPRGAEGRLGLKSVGGGRRRSRAAGIRGVAKEFIVTHYRAQVL >LPERR10G07490.2 pep chromosome:Lperr_V1.4:10:9305292:9306396:1 gene:LPERR10G07490 transcript:LPERR10G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPPLPPHDRCKGSIERAGHARSAPRGAEGRLGLKSVGGGRRRSRAAGIRGELLLLLLPLLSTVLWLYVYARKEESGLWSQRYAVFKDFSSSCSTSS >LPERR10G07500.1 pep chromosome:Lperr_V1.4:10:9311818:9314787:1 gene:LPERR10G07500 transcript:LPERR10G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCKADGGDVGAADEQRAKKGWRDRAMPIRPEEYTGGGMDSGGAAVALLPAVLGPFPSPKISVELSPLHKTFFNCSSNSWLVCFYADPTKSQFILKEKQNPLVEQ >LPERR10G07500.2 pep chromosome:Lperr_V1.4:10:9311818:9314787:1 gene:LPERR10G07500 transcript:LPERR10G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCKADGGDVGAADEQRAKKGWRDRAMPIRPEEYTGGGMDSGGAAVALLPAVLDPTKSQFILKEKQNPLVEQ >LPERR10G07500.3 pep chromosome:Lperr_V1.4:10:9312810:9314785:1 gene:LPERR10G07500 transcript:LPERR10G07500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNPNLYQEFKMQKKQANSIVIDDVLESNQLCHTKTPRQLSEFCFPIKRTERTISEF >LPERR10G07510.1 pep chromosome:Lperr_V1.4:10:9323313:9325664:-1 gene:LPERR10G07510 transcript:LPERR10G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGDASIHHGIEQVPAEHGDTSMIDEFELVLDAAEQEIDEFELVDGFQLVPEHHQLAEMFGDTAAAAHDDISIDYVHDDTATAIQAAVQVQPGGDDVVVEGAAHGDTPIRDEIPGVVHPVASYIAEGLVEVPVQSVWQWNYLKMFKIVHDALCLPHRRIYIAFDFEFAADAFTDVRYWPKCTTTSYNKLCRLVNGGDVVQMGIAFVFEDGVEEAPTITAIALEINFDFSEESREYNRDSIAFLSDHGHRLMEHKDIGVLPQMVYTGLLSRLPFGNSSVTWIAYHGDYDTGFFLRLLQGGGSGRSYLPHELTTFMHQMRVHFPTFYDVRVLGQLVKDGFSGKLIDLADFLGVEIDGNNHHAGFDALLTMACFFKIVSTIPDHQLYRLDAREGLLAGIAECSEAIKDALHIDEYTSSFEVIKVFQENFSKEAKRIEELVLSNFNIIGVEVAHHWEKNRDSTQVIIVTFMNSEGMLAYGCVWEFCISFTQADGDYLHPRQFTRLMASCGSMSNPTASWVTFHGSDTIASLIKTFSATQDLPTDWPSYIEQQRAYFPNMYDVAMIAQSYPDPTRCKGGLFDVARALGLEFIEDNNLVTRVLLTLRCYWRLAERDDFLHIASAVQGLLMENCCWSCPANRSLVEDA >LPERR10G07520.1 pep chromosome:Lperr_V1.4:10:9325906:9331416:1 gene:LPERR10G07520 transcript:LPERR10G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAASRRSRRGRTPAVRITDAGASAAARAHGFDPSSKEQMSAEQKPRSGEANRDWTAPLAARACRIPGEGVPSLLLSPSNSGPTKSFQEDPDVMKS >LPERR10G07520.2 pep chromosome:Lperr_V1.4:10:9325906:9331416:1 gene:LPERR10G07520 transcript:LPERR10G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAASRRSRRGRTPAVRITDAGASAAARAHGFDPSSKEQMSAEQKPRSGEANRDWTAPLAARACRIPGEGVPSLLLSPSNSGPTKSFQEDPDVMKS >LPERR10G07520.3 pep chromosome:Lperr_V1.4:10:9325906:9331416:1 gene:LPERR10G07520 transcript:LPERR10G07520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAASRRSRRGRTPAVRITDAGASAAARAHGFDPSSKEQMSAEQKPRSGEANRDWTAPLAARACRIPGPTKSFQEDPDVMKS >LPERR10G07520.4 pep chromosome:Lperr_V1.4:10:9325906:9331416:1 gene:LPERR10G07520 transcript:LPERR10G07520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAASRRSRRGRTPAVRITDAGASAAARAHGFDPSSKEQMSAEQKPRSGEANRDWTAPLAARACRIPGPTKSFQEDPDVMKS >LPERR10G07530.1 pep chromosome:Lperr_V1.4:10:9333617:9341102:-1 gene:LPERR10G07530 transcript:LPERR10G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDSRQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPSSSGQEVEPHTPDMSTFARAPFDSDDLQKDGVGVSPQSFTSKRNGANSEEASALPNRKGFDVKVRKGLSFGSPDVKASNDISNEMANLQQEISRLLAESQSMKQHILSESERANKAESEIQILKDTVLQLNSDKDTSLLQYNQSTERLSTLESELSKAQADLKKLTDEMATEVQKLSSAEVRNSEIQSELEALDQKVKMQQEELEQKQKELKSFNLIFQEEQDKRMQAESALLSEGKELAQCQEEVQRLTMEIQMANEKLNELKETKVSLENAVSELKKEVESLTEQNRSSELLIQELRDEINSLKDSKNELQSEIQSLRSTISQLNTEKDAALFQHQQSVDKVSDLESQLLKLQPELEEIEQKVQMLMQDLEQKRQEADNFHAQLKDECDRHTQTEAALLRAETLHSQLTQDLDISTKKLNELENTKLDLESTSKELKNTILDLSSEKDAALLQQQQSLVKVSDLEVQLSKMQLQLENSEKKMQLLELEIAQKSENLDNLKLNLKDETEKRVQAETSLLSMENMYSQSQDEVNRLHLEIEKLTCKLNELENLSSELNSTILLLNAEKDATTLKNQQSMVRISDLESELSALQAQLEKIEGKVQMLEMELKQKKEEVDSLQISIQDESHKRIEGEAALLAMTNLHSESQEEVNRLTQETEKLKVKLSEVEHNKMDLENIVAKHTEDIHVLREKNLSTEQMIKELHHELDALKELNAKLESEMGLHMGEKEALQRDFACQREEKQNLEGIHHSLAEEMSALKNSSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKLQEVEKLSEEYSLLENSLSDANAEMDALREKIKVLETSESSLKDVISSHVIEKTVLTSELETLGKSFSDISEKNSTMDILISDMKAQIKNLKTKLKDSEETCQAHLANNSALSDEKNNVFSQLESVTMVMKTLESKHADLEDKNSSLSRDMDLAYDQVRELQDQLRVKDEEYEAFVKSHQTQVNDFEEQICSLQNKSCYMNEMLEQEQENHMSASINVVILENCLADLKDKNIDLFNECQKYAKANHTVENLISKMKDEARYNEDERKSLLIHTEKLREGISQHMKVLNIHKDLGPANIVDDEIILQTVSDEASNIMKLKEQSEDANRLIYTELTVLATVMLQVGKELRDLHFQKHALEKERETRAAELFTLQNNNLQMLEWNEQLKQELQQGCEREEILKAEMVVLEEKLSCLRESYQTSQNEIVSRTEKNEFLCKEYRFLIEKYNALEDENGTLLSECMRLEHLSLFLGGHNNEVASALVSLTDEMALLSVSKDDLDCAVKELSKSGMTLESENNHLKEYFIYLIEILSTQLALSDFDLNINRSICQELAIELESCMAQLLQKDDELLEAEEKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELEKKITTLTEEGNTNDGEISLLRQGNERLQVEVNILKDKGDNLTSAHEFLSKEVKQREGEFVVLMGDAITSSVNATVYEEKALELMTENTELKANLSTHVALIASLSDHVNELEEDTLSLSNPYSKECKKEDAGEPCVQDGNHGLESHHLPEGTPELQRLIARIGALQVAILNAKDRHDQESTKSAAKLVAANREIQDLKTRGGSHMEAKEIYSDNEKLNNVEGSKGKQVQMMKDIELDQISTCPPYGAGAALYPLKNGTNAGLDDEMLQLWEAAERNCKNQTSKSSSAEHDIEAVEEVKGEYPSSELARARDLGINKLEVSISSIEPDEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLETEGYVLEQINFNNKLTKRVENYPALSDSMNAEREGYPSRRKISGQVQKGSENVGKLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKDKRGGGQKKKKRAPFCGCVQSRTET >LPERR10G07540.1 pep chromosome:Lperr_V1.4:10:9341144:9342339:-1 gene:LPERR10G07540 transcript:LPERR10G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFFIFLFFFPSFFFFQCEFVGTYHLQPFFLVASNRSTTAHRSNQEEARVQTDGLRRRKKGGDFGGGSWHLATACCWQSFLHLEYDNLIAAEPFLCLENTSSAEEVWS >LPERR10G07550.1 pep chromosome:Lperr_V1.4:10:9351027:9358434:1 gene:LPERR10G07550 transcript:LPERR10G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGEYADAMAEFLRESGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEVGASVLITGSYQATIQGFLSKGFSQEESETFLRRSVELAREARAIYLEKCSNGSDDAKDRTKYRKRPILIAASVGSYGAYLADGSEYSGDYGHAGNLEFLKNFHRRRLQVLAEAGPDVIVFETIPNKIETQAYINLLEECKLRIPAWFGFTSKDGINVVSGDSLIECASIADSCKEVSAVGINCTPPRFIHELVLSVRKVTNKPILIYPNSGESYDPIRKEWVECSGISHEDFVSYVRKWHEAGASLIGGCCRTSPDTIRGIWKALRGGSSPSAAAASSLLSGEDPPPPPPRRIPYRNEPLRTATSTTITIRVSPAKFFHGLIGVLCGTEKVDHALDMFKLMKTCQLVDTHTYDLLIEKLCRNGRFEDGKEMWDDAKKNVLVEGDIKLMDSTQEQQHDFGVLLKQGAEGRVFVSTFVRRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNGTNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVIEKILAAYRKASKQWCATTNKLAQDAVIRSMILNGSGM >LPERR10G07550.2 pep chromosome:Lperr_V1.4:10:9351027:9358434:1 gene:LPERR10G07550 transcript:LPERR10G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGEYADAMAEFLRESGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEVGASVLITGSYQATIQGFLSKGFSQEESETFLRRSVELAREARAIYLEKCSNGSDDAKDRTKYRKRPILIAASVGSYGAYLADGSEYSGDYGHAGNLEFLKNFHRRRLQVLAEAGPDVIVFETIPNKIETQAYINLLEECKLRIPAWFGFTSKDGINVVSGDSLIECASIADSCKEVSAVGINCTPPRFIHELVLSVRKVTNKPILIYPNSGESYDPIRKEWVECSGISHEDFVSYVRKWHEAGASLIGGCCRTSPDTIRGIWKALRGGSSPSAAAASSLLSGEDPPPPPPRRIPYRNEPLRTATSTTITIRVSPAKFWWKGTLNLWTQLRNNNMTLRVFVSTFVRRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNGTNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVIEKILAAYRKASKQWCATTNKLAQDAVIRSMILNGSGM >LPERR10G07560.1 pep chromosome:Lperr_V1.4:10:9364856:9369603:1 gene:LPERR10G07560 transcript:LPERR10G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGTKKSKLSWSKSLVLKWFNIRGKSHEFHADDAAATAFGRRGGGGDDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRSPASSLSLDEWLRASPPADIYVLGFQEIVPLNAGNVLGSEDNGPARKWVSLVRRTLNTLPGSGISAAGGIGAPSPAPDPVIEMDDDFEAAGGSSAAARQNYFHRRSFNAGLSRSLRMDGDILLAAGGDGGGGQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGDNDDGCGEESPSTVYSPASYGYGAPPYMEESNNGGGGCHTRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGEDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >LPERR10G07570.1 pep chromosome:Lperr_V1.4:10:9375427:9378431:-1 gene:LPERR10G07570 transcript:LPERR10G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEVYVQEKGQMLRVGRICSRFSSCVVFSFLLGPRHLISHESPHCLDSKCSWINYTGANKIGFLNTKLNGGNVAYLSTLTETVLVQARDPSLLALEIEKAIDQQRFDDAWSAYEKHIHMDGLPRKSVLSKLITGFAETCDVHWLNQSYNVVNHAFEEKRELLEKEPLIFLSLALAQSSLPNLAINVVRKLVKMEAYPPVAAWSAIVAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNLILTASLLFGTTKKAEQLLELMPRIGLNPDVSLLIVMAKIYEQNGHRDEIQKLRRHVNEACGLSESEFRQFYDCLLSCHLKFGDLDSTVDMVLDMLKKGKNTKRSIEAAKAVLEAVENNKVYLPCEKAGPGNAGSSNKSVCTDSQILKYLPFFKDKSFAKLELEARELLKLLSDKLQEQVGLVNSEYGILYPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGLLEQAHDLLDEMRFSGIRVGSAIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDASCYEDLIQTRAHGNNTTGALNLLKELKSLHILKAGHNEFEMLVQGCENNGADLTTKLVEEVKSGNVVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAALHFKRWIGLT >LPERR10G07580.1 pep chromosome:Lperr_V1.4:10:9381615:9382873:-1 gene:LPERR10G07580 transcript:LPERR10G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTKQDHGAISAPKLFVSSSSGEASPEQRQPEAALMSPTSTLQTASATSPSATATAVLPFSRRRAPNGDRRRSKSGRHRPWDVTPVGLGLVGALNDADVADVTPPPSSSSVVTAGQRYYYAPCSPSFAVNGGRSAGDHASSSLSPATAGMGVMEMMTSASEDYTCVIARGANPRTTHIFDNRVVGTSSAAGSGYFFPAAELWSPNGVGGDFLRYCHGCSKDLGLGKDIFMYRGEKAFCSRECRHNEMLFDEGIEEF >LPERR10G07590.1 pep chromosome:Lperr_V1.4:10:9395539:9395865:1 gene:LPERR10G07590 transcript:LPERR10G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKAEFGGRWLCGLCSEAVRDEVAKKEDGGGHGGLEDAVREHMSFCGKFCRKNPAFRVADGMRQMLIRRRRSSVTDISSAPSAAS >LPERR10G07600.1 pep chromosome:Lperr_V1.4:10:9396349:9401576:-1 gene:LPERR10G07600 transcript:LPERR10G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVVISQQRNHHNQHSGGRNKSAGHHFSSPPSSNGFRGMNCRSFHSSVCAGLLPSPPPPPARTYSSPEPKTPKQQQQRRGGKRTRPISLSPSTSPPSHPELWAGPAFSNSPPPSSLPIPKFSLHQKRSISLELPPVEQSDEVEVKPHAKSAPSSPVGGSGRRSVQTVKVGDAP >LPERR10G07610.1 pep chromosome:Lperr_V1.4:10:9404902:9412078:1 gene:LPERR10G07610 transcript:LPERR10G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAGSDGGDDRPPPYSSASAIVGDMVTGHHLLTIEGYSCTKEKLPNGKHIKSKSFTVGDHQWRLRYYPNGVASNVADYVSVFLEFAAIAAGQAEPVNARAKFSVLDQAAGKSVLAHTIGTRIHGFTVTDDTFGFSNYIKREVLEESEYLRNDKFTIPCDVTVVKELHTKDRTTPVVQVPPPELHRHLGGLLESKEGVDVTFHVAGEDVHAHQYVLAARSPVFKAELFGPMKEGRISTTIRVDDMEAEVFRSLLAFIYTDTLPETKTENDDDIATTQHLLVAADRYGMERLTRLCEEKLCKHIDRGSEACFRFIDSPETLKAVMATDGFDHLTRSCPFVVKELIVRL >LPERR10G07620.1 pep chromosome:Lperr_V1.4:10:9423341:9437442:1 gene:LPERR10G07620 transcript:LPERR10G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGFPSRSSSAIAIGTVRGYHLLKIDGYSRTKKKLSSGEFTSSCSFRVGGYPWHIRYFPNGSTYDKAEFISFFVVLEPDDGKIEHEITVDVRLSLLDHAGDPVPGFVRTGTAELQISSRSSVIECSKFIDRKELENSDYLTNDQFTVRCDITILGEPSATTNPPVVDVAVPPPELQRDMEALLLSEEGTDVTFEVGGESFVAHRCVLAARSSGGALRPHEGEHRYNMERLKLICEDKLCKGIDVSSVATTLALAEQHHCSSLKKACMDFLYSPGNLKAVEATDGFDHLAKSCPLILREMPATCWPASAGRRGKPSRTASAPSSSIVADTASGSHYLMVDGFARTKSLPAGERLRSRPFTVGGHHWYFYFHPNGDGAEGTEAAGYISVYLALDEDVVSKPVRASFQFSVGAENRSPWFFLVRTKKKKTKLSPSMANHPGTASGIVADKVTGYHLLKINGYSCTKATPNGTSITSDQFTPAGHDWCIKYYPNGDSADSADYISLHLLLDEVANSSTKRVNVPVLFKFSFADTVTKLPCFTSTEVTIFGHSTSWDWCHPKFIKREDFENSDHLRDNFFTIRCDIIVIGEMLIEQTAKITVATMSPCPRLT >LPERR10G07620.2 pep chromosome:Lperr_V1.4:10:9424525:9437442:1 gene:LPERR10G07620 transcript:LPERR10G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHQLVSRNGPDNTPCRASGAQTSSLAMPATCWPASAGRRGKPSRTASAPSSSIVADTASGSHYLMVDGFARTKSLPAGERLRSRPFTVGGHHWYFYFHPNGDGAEGTEAAGYISVYLALDEDVVSKPVRASFQFSVGAENRSPWFFLVRTKKKKTKLSPSMANHPGTASGIVADKVTGYHLLKINGYSCTKATPNGTSITSDQFTPAGHDWCIKYYPNGDSADSADYISLHLLLDEVANSSTKRVNVPVLFKFSFADTVTKLPCFTSTEVTIFGHSTSWDWCHPKFIKREDFENSDHLRDNFFTIRCDIIVIGEMLIEQTAKITVATMSPCPRLT >LPERR10G07630.1 pep chromosome:Lperr_V1.4:10:9436071:9436319:-1 gene:LPERR10G07630 transcript:LPERR10G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACYGAADADRSGEPTPPTVSSTSPRSASTVAANTASGYHLLKIDDYSRSKDVFPTGCIPLKSRPFTIGGYQWRIHYYPN >LPERR10G07640.1 pep chromosome:Lperr_V1.4:10:9437475:9445023:1 gene:LPERR10G07640 transcript:LPERR10G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADIVFKVGSETFAAHRCMLAAQSPVFSAELFGSMKESDREGVVIINDIDAQVFKALLRFMYTDSLPEMEEEAIMCEHLLVAADRYNLRRLKLICEDRLCSYIGIGNVGNILALADQHHCDGLKKACFDFLRSHTPANVMSSVMALDGFDHLSKSYTYAADHGKPPITSPPPPSPLASAIVDDTVTGYHLLIINSYLCTKMMTPNGKALTSSQLTVGGHRWRIRYYPNGDNADSAD >LPERR10G07650.1 pep chromosome:Lperr_V1.4:10:9445052:9487383:1 gene:LPERR10G07650 transcript:LPERR10G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNNVKVKAQYQFQISFTGSELVKPPSLASREIHTFDHEGPCRTWGRTKFMKRKDFEKSNDLTDSSSFTIRCDVAVIGELRLRTEEITETSFVTVPPSDLNQQLGNLLETEKGADVVFEVAGETFAAHRCVLAARSPVFNAELYSSTKEGNASGVVSIEDMDPHVFKLFLRFVYTNTLLEMKEEEDVMCQHLLVAADRYNLPRLKLICEDRLCKYIGVGTVGEILVLADQHHCDRLKKACLHFLSSPQNLSAVVAEDGFEHLSRTANTSMSPTAAPCLGNPSRFPSASAIVADTETGYHLLRIDGYSRIKGTTPTGSFLLSSQFTVGSRRWRIKFYPNGVSADTADYISLYLRLDEKAAKVQARFLFQITLTDGKQVKKQKLPSLSSTEVNTYGHEGSWSWGRKNFIKREDFEKSNDLTDDSFNIRCDVAVISEIRSEITNTIFVTVPPSDITQKLINILETEKGTDMVFEVGDETFAAHRCVVAARSPVFSAELFGLMKEGNTAGVVRIEDMEMQVFNLLLRFMYTDMLPDLKEEEDVMCQHLLVAADRYNLQRLKLICEDRLCSHIGVGKVGNILALADQHHCDGLKKACLHFLNSPKNLSAVVAADGFEHLSRSCPSLMKELVAVLALPPNHNPPRFASASAIVADTVTGYHLLRIDGYSRIKGTTPTGSFLFSSQFIVGSHSWRIKYYPNGESANSACYISLYLRLDEKGTKNVNVQAQFQFQISNSDDKLKKPHLLASADVNNFKEGSLSWGYEKFLKWEDFEKSNALRDDSFTIRCNIAIVGEIRTEKTTEINAAIFVTMPPSDLNQQLRDLFESEKGADVVFEVNSKTFAAHRPVCSCSTVTGFQRGVEGDTGGVVRIEDMEPQVFRLLLHFVYTDTLPDMEEEDVTCQHLLVAADRYNLERLKLICEEKLCRYISVGTVTNILALADQHHCNGLKKACFNFLGSPANLSAVIAGDGFKHLSRSCPSLMEELQSWPTGHHLLKIDCYSLTKATPTGSFLTSNQFTVGAHRWRIRFYPNGDYADYISLFLSLDEKTNVKVQAQFNFLISSTDQLLASSEVFTFCEDSWSWGHPNFIKREDFEESSDLKDDSFTIRCDIVVIGNMRSEAGTCITVPPSDLNQQLGQLLESEKGAGVVFQVAGETFAAHRCVLAARSPVFSAELYSLMKEGNTAVVVRIEDMDAEVFKLLLRFVYTDSLPEMEEEDVLCQYLLVAADQYNLERLKLVCEDMLCKYVGVSTVSGILALADQHHCHGLKNECFYFLSSPANLSAVVAADGFDHLSISCPSLMKELVAVLALPPSHLSSNLQAAMASSSSTIVADGVAGYHILNINGYSLTKGTPTGVYISSGQFTVGGHRWRIKYYPNGDRADSADYISLFLMLDEQTNANLRVKAKYLINFADNVKQQPSLTSNEVRSFSAEGLWSWGFTKYTRREDFEKSNYLRHDSFSMRCDIVVITKIRTEKTTKIKSKTCVTVPPSNLNRQLGNLLETQKGVDVVFEVSGQTFAAHRCVLAARSLELYGLMEEGDTAQVVRIQDMEPQVFKLLLHFIYTDSLPEIKKKQDVMCQQLLVAANRYNLERLKLMCEEKLCEYISESTVSDMILLAEQHHCSGLKKACLDFLGLPANPRVVSGSRPSFVKNLRESSLLASMSSSTSAIITDRVAGNHILKINGYSLTKGTPTGMALISNQFIVGGYRWCIKYYPNGTQANYADYISLFLLLDEKANTNLKVQAKFQISFVDKVKKKPSAIIPKPNTVWNFSSKDVSSWGYPQFIKREDFEKSNHLSDDSFTLQCDIVIVGDIRVEETTLGKLLETQKDVDVVFEVNGQTFVAHWCVLAARSPVFNAELYGFMKEGDNTGVVRIEDMEAEVFKLLLHFVYTDSLPVIKKEEDIMCQHLLIAADRYNLERLKLICEKQLCKYISKDTVPNMLALADQHNCKGLKKACFSFIGSSVNMSCLFPPSMSPAACHDNPSHSASASAIVADTASGYHLLKIHGYSLTKATPTGSALSSSRFTIGGHHWFIEYYPNGESADSADYISIYLLLGEKINMDLKVQAKFQISFADQVKKQPSLKFNTVRTFDRVGFWSWGYNKFIKREDFQKSNNLKDDSFTIRCDIAVVREIRAEGTTKILPVPAVTFVSVPPSDIIQQLGDLLETEKGADVVFEVGGHMFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDIEAQVFKVLLRFVYTDSLPQMKEEDVICQHLLVAADRYNLERLKLICEDKLCKYIGISSVANILTLADQHHCLGLKKACFNFLSSPANLSAVAASDSFKHLSRSCPSLMEELTGYHLLKINGYSLTKVTTPTGSFFTSNQFTVGCPKSHGTWNMGGFLAKFKFKFSYTGKVDRPSSLASDTLYTFCDGSLNWAHGKDIKREDLEKSIVLRDDSFTIRCDIVVIREIRTEILHHFQDLLVTEKGADVVFEVGGETFATHRCVLAARSPVFSAELYGLMMEGDTDRVVRIEDMEAQVFKVLLRFMYTDSLPEMEDEDVMCQHLLVAADRYNLQRLKLICEDRLCRYIGVGTVGNILALADQHHSDGLKMACFDFLSSPTNLSAAVAGDGFKQLSRSCPSLMEEVVKTQPSLTSVRTFGAGSWSMGFTKFIRIDDFEKSYALGIDSSIIHCDIIVREIHAEAFVSGAHPT >LPERR10G07660.1 pep chromosome:Lperr_V1.4:10:9475577:9476098:-1 gene:LPERR10G07660 transcript:LPERR10G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELESSEYLKDDSFTIRCDVIVDIQNAAALAAFVVVSPSDMHRHFTDLLVSGQGADVMFDVGGKMFAAHRCVLAARSTVFKAELFGPMKEGTATSIIQISDMEPGTFDAMLRFIYSDSPPEMEGDEDVNVMWQSLLVAANRYDLQRLVMICEKAVWLHHRSHGDDYPCSC >LPERR10G07670.1 pep chromosome:Lperr_V1.4:10:9488017:9489981:1 gene:LPERR10G07670 transcript:LPERR10G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPGSVVLSDRVRGMEERTEAEATVGGVCCRPFLPPNPYKTLEEQQPTVASPAMSASTCRGSPLRTTSAIVADSETGYHLLKIDGYSLTKGTPTGLALKSNQFIIGGHRWRIEFYPNGNCAGSADYISLFLMLDERDTANAKAQAKLKFQISYTGEVDVPPSLASVKLDTFAQGSWDWGYTKFIKREDFEKSNDLRDDSFTIRCDMVVVREIRTEERADILHVKTFVSVPPSNMNQHFGDLLDTEKGADVVFEVGGETFAGHRCVLAARSPVFNAELYGLMKEGDTAGVVRIEDMEAQVFKLLLRFVYTDSLPQMEEEDVMCQHLLVAADRYNLQRLKLICEEKLCEYISVSTALNILALADQHHCDGLKKACFNFLGSAANLSAVIAGDGFKQLSRSCPSLIEELVVMLAPPPSHA >LPERR10G07680.1 pep chromosome:Lperr_V1.4:10:9495149:9496228:1 gene:LPERR10G07680 transcript:LPERR10G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAGSNPSGSTSTIVIDTARGYHLLKIDGYSLTKALYPNGFGIQSSQFTVGGNLWRIHYYPNGNCAGSSDYMSLVLSLDGDAAKNNKVKAMWKFHISQTGHLDNPPSLASAKVDTFGLGYTKFIKRDDFEKSQDLRDDSFTIRCDISVFREIHAVQTTEIQNFISVPPSDMNQHLGDLLETEKGADVVFEVSGQTFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDMEAQVFKLLLRFVYTDSLPEMEEDEDVMCQHLLVAADRYNLQRLRLMCEDRLCRYIGVGTVGNVLVLADQHNCDGLKKACFHFLSSPANLSAFLAGDGFDHLSRSCPSLMKELVAMLVPRHSHA >LPERR10G07690.1 pep chromosome:Lperr_V1.4:10:9500487:9531162:1 gene:LPERR10G07690 transcript:LPERR10G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYEFSFIDQVEKQESAHICSETFEFTDGQSWGYPVYTEREELEKSKHLKDDCFTIRCDVIVKEGSNTTGGTGSSDVASPFVVDGADVTFEVSGEKFFAHRCMLAARSTMFKAELFGPMKEGTLKNAIQIMDMEPEIFKALLSFIYSDSPPVMEEDEDVDMMWQHLLVAADWYNLQRLKLICEEKFCGYIGGSKVTTILTLAEQHHCHGLKEACLEFLSSPTNLELGRSGDDFENLATTCPSVVKKLVAKLALLRLSSSWSAISAGDTSGGYYLLVVEGYSRSKDAFPKGTCVRSRPFIVGGYRWVIRYFPNGDHLNAGSSSLYVGLDKDVAQTVKAKYEISFINEVEKKEPARVGAKEKYNFSTNFGSSYGYPNFIKKEALEISEHLKNDSFTIRCDIIVVQDDGNTVQTTAAAAPFPAVSPSDMPCPGISPISSWEGTDVTFDVGGETFAAHRCVLAARSTVFKVELFGPMKEGTTTNVIKIRDMEPHAFKAMLWFIYSDSSPEMGQDEDEDDDEEDVLWQHLLVAADRYNLPRLKQICQEKLCGYTGPRTATTIVALADRHNCRGLREACLEFLISPANLEEVMEGNGFDDLVTSCPSVVKELFCQACVAQRPRSASAIVADTVTCYHLLKIDGYSITKAVYPNGEYFVSRPFTAAGYSWNIRYYPNGHTSNSADYISFFLYLNQDDANEVKAQHRFSLYNEDDDDEPEPPSLTSSHVKSFFSNSGWGPNKFMTRKELEKSEHLKNDSFTVRCDIIVTNVRSEDTPSKKISVAVPPSDLHQNLGISSLRRRALMWCLRLMEGATTDVICIDDIEAQVFKDMLSFVYTNSLPEMKEEEDVMCQHLLVAADRYGMGRLKLLCEDKLCKYIDVGTVVSVLILAEQHNCEGLKMACFEFLSTPTNLKAAMANTGFVHLTRSCPSLMTELIAMLYWRMMAWRKLGEPARLFSWMSLRSLLCSDRSAMASTAGGGQQSRSASTIVADAASGYHVLKIDGYSRTKGMPTGEFLKSCAFTVGGHRWRIHYYPNGQKSDYADFISLFLHLDDKEVTKEVKAQNKFRLLEEEFDDKPPPSLAAEKVHVFRKSGWGEYEFIKREVLEKSENLKNDSFTVRCDIIVTTEFRSEVTPEAINPRKANFVSVPPSDLHLHLRDLLYAEKGVDLVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDATGVIRIDDMEAEVFRALLHFMYTDSLSEMKKKDEDVMCQHLLVAADRYDMERLKLMCEDKLCKCIGVGSVANILTLAEQHHCEGLKKACFEFLSCPANVCSSPSKGAAESVHGYHLFVINRYSHTKEIILTGSFIKSAPFMVGGHNWQIQYCPNGINSIDSDYVSFDLVHCYRICCEHDDGNDGRITVKAVKAKFVFSFADQTGPANPTCTKLGADVTFEVGGKTSVAHRFVLTTRSKVFEEELFGSMEDAKAASVVHMDADVFGGLLHFIYTDEMPVKKYYGDEEAKMNIDDDDWATQSDEQKGNDDIVAWLQQLVVAADRYNLPRLKLMCEDELYWFICGQTVENMLILAERHHCRVLKDDCLEFLGSYDNLQWMMGSDGYGLDHVIENFPSITKELIRKTATEATLRHIEYCPNGNESIDSDYVSLHLVLDYDEEDDNSVEPLMVKFDFSFADDHQVARHEPARVRATKACKFSANCYYSWCEQRSIRRETLEQPRYLVDDCFTVRCDIIVLTGRAGASVDGTVSLLGAVESFGRLLGSNVGVDVTFEVDGEMFTAHRYVLASRSTGFEAELFGPMKEGMASSVLRIQGMDVEVFSGLLRFIYTDVLPCKQDHGDEEQTPDNYTTESEEEKEDEEKVMVPGGYGLDDVIENFPSIMKDLVRKIAIYPHGRLIVHITRVVVVYSPQLRRRCHYRERIPQT >LPERR10G07690.2 pep chromosome:Lperr_V1.4:10:9500487:9512613:1 gene:LPERR10G07690 transcript:LPERR10G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYEFSFIDQVEKQESAHICSETFEFTDGQSWGYPVYTEREELEKSKHLKDDCFTIRCDVIVKEGSNTTGGTGSSDVASPFVVDGADVTFEVSGEKFFAHRCMLAARSTMFKAELFGPMKEGTLKNAIQIMDMEPEIFKALLSFIYSDSPPVMEEDEDVDMMWQHLLVAADWYNLQRLKLICEEKFCGYIGGSKVTTILTLAEQHHCHGLKEACLEFLSSPTNLELGRSGDDFENLATTCPSVVKKLVAKLALLRLSSSWSAISAGDTSGGYYLLVVEGYSRSKDAFPKGTCVRSRPFIVGGYRWVIRYFPNGDHLNAGSSSLYVGLDKDVAQTVKAKYEISFINEVEKKEPARVGAKEKYNFSTNFGSSYGYPNFIKKEALEISEHLKNDSFTIRCDIIVVQDDGNTVQTTAAAAPFPAVSPSDMPCPGISPISSWEGTDVTFDVGGETFAAHRCVLAARSTVFKVELFGPMKEGTTTNVIKIRDMEPHAFKAMLWFIYSDSSPEMGQDEDEDDDEEDVLWQHLLVAADRYNLPRLKQICQEKLCGYTGPRTATTIVALADRHNCRGLREACLEFLISPANLEEVMEGNGFDDLVTSCPSVVKELFCQACVAQRPRSASAIVADTVTCYHLLKIDGYSITKAVYPNGEYFVSRPFTAAGYSWNIRYYPNGHTSNSADYISFFLYLNQDDANEVKAQHRFSLYNEDDDDEPEPPSLTSSHVKSFFSNSGWGPNKFMTRKELEKSEHLKNDSFTVRCDIIVTNVRSEDTPSKKISVAVPPSDLHQNLGISSLRRRALMWCLRLMEGATTDVICIDDIEAQVFKDMLSFVYTNSLPEMKEEEDVMCQHLLVAADRYGMGRLKLLCEDKLCKYIDVGTVVSVLILAEQHNCEGLKMACFEFLSTPTNLKAAMANTGFVHLTRSCPSLMTELIAMLYWRMMAWRKLGEPARLFSWMSLRSLLCSDRSAMASTAGGGQQSRSASTIVADAASGYHVLKIDGYSRTKGMPTGEFLKSCAFTVGGHRWRIHYYPNGQKSDYADFISLFLHLDDKEVTKEVKAQNKFRLLEEEFDDKPPPSLAAEKVHVFRKSGWGEYEFIKREVLEKSENLKNDSFTVRCDIIVTTEFRSEVTPEAINPRKANFVSVPPSDLHLHLRDLLYAEKGVDLVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDATGVIRIDDMEAEVFRALLHFMYTDSLSEMKKKDEDVMCQHLLVAADRYDMERLKLMCEDKLCKCIGVGSVANILTLAEQHHCEGLKKACFEFLSCPANVKAVAAGDGFEHLCRSCPSLMNELISKLGI >LPERR10G07690.3 pep chromosome:Lperr_V1.4:10:9512623:9531162:1 gene:LPERR10G07690 transcript:LPERR10G07690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHCYVCSSPSKGAAESVHGYHLFVINRYSHTKEIILTGSFIKSAPFMVGGHNWQIQYCPNGINSIDSDYVSFDLVHCYRICCEHDDGNDGRITVKAVKAKFVFSFADQTGPANPTCTKLGADVTFEVGGKTSVAHRFVLTTRSKVFEEELFGSMEDAKAASVVHMDADVFGGLLHFIYTDEMPVKKYYGDEEAKMNIDDDDWATQSDEQKGNDDIVAWLQQLVVAADRYNLPRLKLMCEDELYWFICGQTVENMLILAERHHCRVLKDDCLEFLGSYDNLQWMMGSDGYGLDHVIENFPSITKELIRKTATEATLRHIEYCPNGNESIDSDYVSLHLVLDYDEEDDNSVEPLMVKFDFSFADDHQVARHEPARVRATKACKFSANCYYSWCEQRSIRRETLEQPRYLVDDCFTVRCDIIVLTGRAGASVDGTVSLLGAVESFGRLLGSNVGVDVTFEVDGEMFTAHRYVLASRSTGFEAELFGPMKEGMASSVLRIQGMDVEVFSGLLRFIYTDVLPCKQDHGDEEQTPDNYTTESEEEKEDEEKVMVPGGYGLDDVIENFPSIMKDLVRKIAIYPHGRLIVHITRVVVVYSPQLRRRCHYRERIPQT >LPERR10G07700.1 pep chromosome:Lperr_V1.4:10:9524794:9525165:-1 gene:LPERR10G07700 transcript:LPERR10G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGVSFLWDSHNVRSSPPCNGAANSACGFHLVVIQNYSKIKKAITAGFSIDSSSFKLGGHRWHIEYFPNGNTNTNSDYVSFSLVHEYDYEDEEDAQEYDAVDQSVKATFKFSFSSSEARGD >LPERR10G07710.1 pep chromosome:Lperr_V1.4:10:9531192:9531701:1 gene:LPERR10G07710 transcript:LPERR10G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADVAFQVSGKQFMAHRCVLAARSPVFMVELYGRPMEESSTNHVIRIDDMEPKVFEALLSFIYTDSFPKMKKQDEVAMAQHLLGAAERYDLKRLRLMCEDKLCRHVSKSNVTDMLTLVDQRPSCQGLKKACFEFLLKSPKVLSEVVAMEAFDHLIKELSLSTLAARG >LPERR10G07720.1 pep chromosome:Lperr_V1.4:10:9534877:9535659:1 gene:LPERR10G07720 transcript:LPERR10G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKMKPPSDGDIRCAHEFTKIQPGYGFNRFIRKDELERSGCIVNNVLTIRCDLDVNQFSTKKQKVKPAMASYVSVPPRNLGQQFGALLSNSVGADVTFQISGKRFMAHRCVLAARSPVFMVELYGPMEESNTERVIQIDDMEPEVFEALLGFIYTDSLPEMKKKDEMVMAQLLLGAADRYDIKRLRLMCEDKLCRNINKCTVTNMLALVDQRPSCQGLKKACFEFLLRSPKLLQEVMAMEAFNHLANELLLFKVNAHG >LPERR10G07730.1 pep chromosome:Lperr_V1.4:10:9539144:9540514:1 gene:LPERR10G07730 transcript:LPERR10G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAADAVVHVKDIPPKVFDALLQFIYTDTFPAKMEADVEGDQISLTNLLVAADRYDLERLKLMCEDKLYKCIDVGNVAYTLAVADHCDVLRRACIYFIASRGNLEAIKVNTTEDFEPIMYRHLGFAYDPNSYIKILKKLARCVMII >LPERR10G07740.1 pep chromosome:Lperr_V1.4:10:9542920:9544481:1 gene:LPERR10G07740 transcript:LPERR10G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASSPAANANDASAPATMANGASAIVADAVTEQHDLTIDGYSHLKAILPTGQCATSRPFVAGGHEWYPNGSNGDGATEIVFCLRRAANNGEAAVVAKVQMVVLDGVGSPALFATSETTRFTEKGVGRRRFWWRRGALEEQSAGYATPPPANDRFVIRFFVTVFSRCRAEHRATPPPPPSKKFPIN >LPERR10G07750.1 pep chromosome:Lperr_V1.4:10:9545634:9548134:1 gene:LPERR10G07750 transcript:LPERR10G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHIRPISPLRAQTNPSPGAISAFPPISHDLACRREETAAAAAMQELKEDNDLRRGKVTPVILDLEESLKAKGDMTKNEVITIRGCKVVAGLFKFFCTTAFSSGTFFVLGLAPKFGEPPVPRIPKIGLALGIAWFAGKVTYHSVLQASAGFILKCEKEDMKRMKMELANIILNKHSDEKTLVECVKRSFFAEHLFSDQYEDKPLFRCHPRHTYVESAFMERVKEIELKNSNDGSGSVSGQRTTSIRSFGDLMEDPLACILGSPDSNTESNKSAEHTAFY >LPERR10G07760.1 pep chromosome:Lperr_V1.4:10:9557881:9558183:1 gene:LPERR10G07760 transcript:LPERR10G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSRKPRTAGRAYYVCRDKYDSECLRYFFQWIDGQDKYDPMIRLFPYDEKELKPYNEFRRWVPPPPNPAQMTVEEKSEASCIRVKNPPLCHCGYPCKL >LPERR10G07770.1 pep chromosome:Lperr_V1.4:10:9560284:9563565:1 gene:LPERR10G07770 transcript:LPERR10G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKEMRELWEAINVMRGKVTPVILDLEESLRAKGDMTKEEELSIDSYKLTSTVLKAFSTMVFAFGGYYTVSAVDKFLGEPLTPRLPRIGMAAATAWFGGKVMYYVILQASAELILKHDQERMKMELANIILNKHSDVQTLVAAVNKHFIAEHLFSDQYQDKPLFRWRLRHTFVDSTFKERVKEFELENSNGVSRSVSGQGTANTRSLGDLMEDPLACILGSPDSNTESNKSAEHKGTIVKRGEMRAHRRSHRHHHRHADKFSAL >LPERR10G07780.1 pep chromosome:Lperr_V1.4:10:9566191:9573826:-1 gene:LPERR10G07780 transcript:LPERR10G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAAAAAQQQQPPSPSPSPAGVAETAGDDFIKQEEEYQMQLAMALSASASASSGGGGLGDPEGEQIRKAKLMSLGRGDLGAATAADRGLLDTAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSVELARQGTIPSLAELQISIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTLLVRRIAEVVAGHMGGPVIDATEMFTKWLDKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFNSKGNPFNLTKPSLVQNQVVELASNTENAPSAAHSEHEGNRLHMFPSGNSMSENHSVCEKTMTAGSKVSERWTLAPQMRSDQQSTSAGTHPKQKEDLKLTPDSQETEESKKLTAEVDPFWGIESDKSSLAFKGLNNGNNEFQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNMTEGLVRRRNTSDNAASPSQLAWSTAKHYNTNGRERNDRLCAAPGRNFDNRKVGASSMATASATGERLDRPNVAPVHYYDDRANIISSVNTASTSGMKVVEKGPHDLEKKTIVSQIYSSVQGYSPEVKENKENYGMHDNKRLHHDPRRPPLDKFMGTSMQNSETVFPLQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALDEFRCEVKIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYMIIHRPDCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELAALQRPWRGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIRDCWQKDPNLRPSFNQLTSYLKTLQRLVIPSRQETPSSYVPQEIPLYR >LPERR10G07790.1 pep chromosome:Lperr_V1.4:10:9578997:9579497:1 gene:LPERR10G07790 transcript:LPERR10G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMPPKPVELEPAKKLQRLPPVYSRVLELPFPRNTNVHKLFTPNADHFFVPQGVAGEPDVVKVHIVKLERVDMARVVVHIGSGEPDLRNDLVYDKWRFPLAKTSILSMVVAGYVNGQLVVIVPRDDVGGDGGKEGIPTWPNIDKRGGGDGGKYGVGGGAGWVPTM >LPERR10G07800.1 pep chromosome:Lperr_V1.4:10:9580015:9588791:1 gene:LPERR10G07800 transcript:LPERR10G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADETTMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEDRVEETMPSGSAFLADASMKYPEESEWDDEFGNDLYVSDSVPSQPASQLVDASENKVDEDSKIKALIDTSALDYSQVPDGYGAGRGYGRGMGGRMMAGRGFGRGLERRTPPAGYICHRCKVPGHFIQHCPTNGDVRFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENLGSMVQVQDMESALPVQPKVRSPAVSAASKEEPKRTPAPVEESPDAESHSEVKTTNVDMISSDKKAPAVPDVIEGTMESKILKEKTPEATPVAKEPQEKIPVGEQAVKKKKKKKARAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMLMPMDYMGAPAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRDLSELAVNSMGMNMGPPVVSRDEFEHRKPDNRRREMERFNEREREREHSRERERERERERERERERERERERRERERDQSRDRDRDREQERDRDRESRRETRESSGANNDSTSMRPKARSRSQPTTDRSERAPPPASSPDRHSRRSPHRSSGSGKKRSSSDRYDDLPLPPPPPPPSRHDAAEHAKAVAAAKAKGSVFSRISFPGNGGGGGDGGGNPSDAKRSRRSSSDKPPASSSSSKKIAADDNDGRHHHRRNHHHHNHRDAAAEAEEERRRPAAAGGDYYGGEEDDGESEEEQHFKRRPSSSSSRREQEAQEEPRHSRRSRERGAGGHKRR >LPERR10G07810.1 pep chromosome:Lperr_V1.4:10:9589655:9590323:1 gene:LPERR10G07810 transcript:LPERR10G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVERPAAKITIGEALEATALSAGDQPVEPSDGRCGGLAATARAAADANARADRDEDKTTLADVLADATARMGADKEVEREDAVRVVGVEVGSKPDATARPGGVAASIAAAARLNRANH >LPERR10G07820.1 pep chromosome:Lperr_V1.4:10:9594770:9595444:1 gene:LPERR10G07820 transcript:LPERR10G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFPAAAGRRRALPPAVVGVAVFEEAGSISAASTSVGTGSPPSSSASTSSPAFLDDLDPPLYLDDDGGEAEVAVDAAVGGLSTAIASRRLFLDSPGMSNSIVDCNSVEHAAAVPGGGGASSSSRPRSRSTSARPVEMTTTNPRGEFLKSMEEMVEAMGIDVERRGGGDRARLHELLLCYIALNDRDALPDILAAFTDLLFSLKDGDGGAAAADGGATGKREA >LPERR10G07830.1 pep chromosome:Lperr_V1.4:10:9605861:9608389:-1 gene:LPERR10G07830 transcript:LPERR10G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSISVNRVSSNAKPETPKIQSPSERDRSEESKLPSNPKEVEALRRDSSSAAPRNPLVAFSFDDLAAATNNFRPDSLIGGGGFGRVYKGSLPAEPLPVAVKVHDGDNSFQGHREWLAEVIFLGQLSHANLVRLVGYCCEGDHRLLVYEFMPRGSVESHLFSRVMAPLSWGTRMKIALGAARGLAFLHEADKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDRSRPPREQTLADWALPLLTHKRKSMAILDPRLAAAGAEMPARAVHKAAMLAYHCLSRNPKARPLMRDIVASLEPLQADGAGGAGA >LPERR10G07850.1 pep chromosome:Lperr_V1.4:10:9619032:9621121:-1 gene:LPERR10G07850 transcript:LPERR10G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPISLGLGTSGGGGGTAAASDGAEPVDLVMHPSGIVPTLQNIVSTVNLDCKLDLKKIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKEFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKNRKEIYNAFENMYPVLTEYRKSQRW >LPERR10G07860.1 pep chromosome:Lperr_V1.4:10:9625730:9629262:-1 gene:LPERR10G07860 transcript:LPERR10G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWKRRSGEEKVCTHLGVELGRLLVLAVGKVECEREKEKKSAGWSGDAAKCQLREDPEEGSQADYTLCVNGRRHRG >LPERR10G07870.1 pep chromosome:Lperr_V1.4:10:9628959:9632434:1 gene:LPERR10G07870 transcript:LPERR10G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQLPASTTVAGAAPSSSSAPRDAPEAAEASAASSAGVVGGRRLRLYIVFYSMYGHVEALARQAAAGAAAVEGVEAVLRRVPETLPPEVLEKMQAPAKDPALPVIEAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSNMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLSHA >LPERR10G07870.2 pep chromosome:Lperr_V1.4:10:9629318:9632434:1 gene:LPERR10G07870 transcript:LPERR10G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQLPASTTVAGAAPSSSSAPRDAPEAAEASAASSAGVVGGRRLRLYIVFYSMYGHVEALARQAAAGAAAVEGVEAVLRRVPETLPPEVLEKMQAPAKDPALPVIEAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSNMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLSHA >LPERR10G07880.1 pep chromosome:Lperr_V1.4:10:9633672:9638210:1 gene:LPERR10G07880 transcript:LPERR10G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVLASAVPDAGDTAFQIHCLKRSAYAAVLRAFYAQPDLLSVCSLPLNSSQAKEESLAELRNEFRIFDTEHREYLMKGISNKYIKSMSVGLDKASICNIEVMKDSLDRVPLVTGDGNDAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLKELRIKLKISDTELREVLANVTSDEYIKSLRKCSLANNSGLKDPPLNAHAMVHDKIIHDEEVFTTFTNCISLAQGLQMPSRSMPSVRSVDILHSSRRINNEPYSDPYAVFPANRLKSGNGRALSYLKSSPAEQLPVEVLSVQVKHKINDPLDTKALPCKVKTGCTLSYFQLKHSQANGGHVPSCIHQGMKASVKRKTEVPGVMGSKRMTVIVPTAGNIEHDFDIVKLDLTASLLSKIEKLFREKPNPDDLETAKAILKEQEKVLSDAILKLSEVSYVEECFSTNCQPDEFNQHDECDGDNDMPQKSASTDDGETPPKPVSPSKEPGPGRGGAGKGKVNPCNSGGGSGSLDEADQPTSASTCTSSSTMSPRSERKGKVQGAAGGKEFEPPDKRARRPSVKLSRSEWLRW >LPERR10G07890.1 pep chromosome:Lperr_V1.4:10:9637348:9637814:-1 gene:LPERR10G07890 transcript:LPERR10G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFNIAGFHPGIQLNTHTLTTGAIPTGRASRWGVGPSCPVEAEVGWSASSRLPDPPPELQGFTLPLPAPPRPGPGSLLGLTGFGGVSPSSVLADFCGMSLSPSHSSCWLNSSGWQLVLKHSSTE >LPERR10G07900.1 pep chromosome:Lperr_V1.4:10:9639410:9641008:1 gene:LPERR10G07900 transcript:LPERR10G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPSPAARSLIGACANITFLLLLAALFLLLSPPSRSGGGDGGAIGIQRDSRQCEHVAGAGDDGLDWRRGYPRGYINYLYLFDCVFGEERRIHAYCAMAAWLAVLFYLLGDTAAVYFCSSLEGLSRLLRLSPAIAGVTLLSLGNGAPDALSTIASFAVSGDGGETTAVGINGVLGSSMLVSSAVLGIIGLRLGAHGVAVDAVSFYRDAAFLLLALAAVAVVLAAGQVTIWGALAFTSLYAVYVAAVSFTAHGRRATTTAIADDDDDDDAFSELCNVADSKFYSDQEPLLPESAPLLLNYYFGDSGGGDGDKKGIEAVFRSVIRILEIPLWLPRRLTIPDASKERWSKPAGVIAVTAAPILLTHLTGIASPLVSTLAVLAGAFLGVAAFLTTSPESPPTNTTHLAAWHAAGFAMSVAWSYAVASELLALLAAAARVTGVGSAALGLTVLAWGNSLGDLVANLAVASRGGAGGAQVAVSGCYGGPVFDVLVGLGVSMILSSWAAHPCRCRRRLGRFRRWALRPSGFVGPWW >LPERR10G07910.1 pep chromosome:Lperr_V1.4:10:9643021:9653964:1 gene:LPERR10G07910 transcript:LPERR10G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVQQRQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRTLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSKRERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFMSSTVNGYEGTGRSLSLKLLQQLESQSASAPSDGPNSSRLFKKIELNESIRYASGDPIESWLNELLCLDLANSIPSISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPNAVRLGYGSAAVDLLTRYYEGQMTLFAEDEENEEPEVTITEAAEKASLLEETIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLCSDDIEVNELSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSEYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDISATKEELGIEREQVLSNFIKTVKKLYGYLHNIAGKQIEATLPRLKEIDMAPLKSLDEDLEEAAREVKEKSRATDDADVDPKFLRKYAIAADDDEIEKALKGGKISANGVISVKSNKTKADKQEKNKEMKKSKRKGTDGERSGSKKKRS >LPERR10G07910.2 pep chromosome:Lperr_V1.4:10:9643021:9653469:1 gene:LPERR10G07910 transcript:LPERR10G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVQQRQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRTLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSKRERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFMSSTVNGYEGTGRSLSLKLLQQLESQSASAPSDGPNSSRLFKKIELNESIRYASGDPIESWLNELLCLDLANSIPSISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPNAVRLGYGSAAVDLLTRYYEGQMTLFAEDEENEEPEVTITEAAEKASLLEETIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLCSDDIEVNELSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSEYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDISATKEELGIEREQVLSNFIKTVKKLYGYLHNIAGKQIEATLPRLKEIDMAPLKSLDEDLEEAAREVKEKSRATDDADVDPKFLRKYAIAADDDEIEKALKGGKISANGVISVKSNKTKADKQEKNKEMKKSKRKGTDGERSGSKKKRS >LPERR10G07910.3 pep chromosome:Lperr_V1.4:10:9643021:9653964:1 gene:LPERR10G07910 transcript:LPERR10G07910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVQQRQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRTLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSKRERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFMSSTVNGYEGTGRSLSLKLLQQLESQSASAPSDGPNSSRLFKKIELNESIRYASGDPIESWLNELLCLDLANSIPSISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPNAVRLGYGSAAVDLLTRYYEGQMTLFAEDEENEEPEVTITEAAEKASLLEETIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLCSDDIEVNELSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSEYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDISATKEELGIEREQVLSNFIKTVKKLYGYLHNIAGKQIEATLPRLKEIDMAPLKSLDEDLEEAAREVKEKSRATDDADVDPKFLRKYAIAADDDEIEKALKGGKISANGVISVKSNKTKADKQEKNKEMKKSKRKGTDGERSGSKKKRS >LPERR10G07920.1 pep chromosome:Lperr_V1.4:10:9658801:9662270:1 gene:LPERR10G07920 transcript:LPERR10G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVGREVVVSGVFTAVLVFSILSLPSLLLKTTTTGGRRSSWSAAVEKEEDDYYPVSFAYLISASTGDAERAARLLAALYHPANSYLIHLDREAPAEEHRRLAELVSGQPVYSRAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLSGGGGGGGGGGGGGGRRRWDWFVNLSASDYPLVTQDDLMDVFARLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPVNVTTNLRKLPTAFKLFTGSAWTVLSRSFAEYLTMGWDNLPRTLLLYYTNFISSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDNPPKQHPLYLGPKDYRRMVLSAAAFARKFREDDPVLDRIDRDILRRDGATPGRMFSYGGWCSEGDVRLCSNPQEPGRKGVIKAGAGSRRLRAMLNKMMSGKNFRRQQCR >LPERR10G07930.1 pep chromosome:Lperr_V1.4:10:9664370:9669182:-1 gene:LPERR10G07930 transcript:LPERR10G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPASSTDRAETAEAAAADGGKDRHLAKALAVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLDTPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICVGGYLAFQNGWTGYHDKQGYFPNGVAGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYALDPNTPISSAFAQYGMQWAVYVISTGAVLALIASLIGAILPQTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIIRYAPPNEIAMKVAHSGSAESLTSDSGYSEPDDENSRDLLGNEIPTANEAKRIRRQKATACIILVFLAVVTIVSSVSFSFLPLYLRSISCAFGGLLLVSATIALWYIGQDSSSRQTGGFMCPFVPILPVCCILINVYLLMNLGIHTWIRVSMWLAVGAIIYIFYGRKYSSLTSIPYQRISPA >LPERR10G07930.2 pep chromosome:Lperr_V1.4:10:9664370:9669182:-1 gene:LPERR10G07930 transcript:LPERR10G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPASSTDRAETAEAAAADGGKDRHLAKALAVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLDTPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICVGGYLAFQNGWTGYHDKQGYFPNGVAGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYALDPNTPISSAFAQYGMQWAVYVISTGAVLALIASLIGAILPQTQVPTLSTILSGICAAILALFMDVSELAGMTLGIQSQMTKIHGIFLAMLFEIHFMCLWWFAFGFMCPFVPILPVCCILINVYLLMNLGIHTWIRVSMWLAVGAIIYIFYGRKYSSLTSIPYQRISPA >LPERR10G07940.1 pep chromosome:Lperr_V1.4:10:9670312:9676314:-1 gene:LPERR10G07940 transcript:LPERR10G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAPPPPPLPPPPSWRRNNPAAAAIDDSSGPLAAAAARLAGRSRALPASRDFHFYHNFPSFRSPIDAAAAKAEASLAVLGGAASLYPKQQPPFPKGDLEDDAAQDWVVGVIDDLSEQFGASLDEFKAVREKEEATGRRAEAAETEEDGFQVVYGKKKKRMDGAGEEEIGRGEGFGGSGSVKMATMDRSAAAGTKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRFVHPLEKIPMEQLVDRNVPESEPIRPPELDDTPFTYVDDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVLHGADRDIIWLQRDFGIYICNLFDTGQASRILQMDRNTLEHLLHHFCGVTANKEYAREDTHYLLYIYDLMRQRLVKESSGENDLLLETAAEAYMYTVYKRSKEICLQLYEKELLTHSSYLCIHGLKENEFDARQLAILSSLYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKLKNSYLDRHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELALANMKSNDRDTEMVPADVGNIDDDNVGPSDEHGAVASVESVGIASQCTGNVTSGTSSVNVQQETTTKTKSSEILSGVSEQNMELLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRKQNLFSGFSSEQNKVDKIKSSVALPFHNFCGGAESPATSITLEESVHPEPESIQYNDPACQTEDVIQLDHETDDPQLTENCNEDGQGHREPDDTDMSRSPPEHSSVGVEPRFQSLNESRNIQQNQKPHQEPEFNHQLKPFDYAEARKNVTFGEHKARRIKDNTVARAINKDPGDKGTTSNQLGAGESEGTGQKNPRGRQAFPPSGNRSATYH >LPERR10G07940.2 pep chromosome:Lperr_V1.4:10:9670312:9676314:-1 gene:LPERR10G07940 transcript:LPERR10G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAPPPPPLPPPPSWRRNNPAAAAIDDSSGPLAAAAARLAGRSRALPASRDFHFYHNFPSFRSPIDAAAAKAEASLAVLGGAASLYPKQQPPFPKGDLEDDAAQDWVVGVIDDLSEQFGASLDEFKAVREKEEATGRRAEAAETEEDGFQVVYGKKKKRMDGAGEEEIGRGEGFGGSGSVKMATMDRSAAAGTKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRFVHPLEKIPMEQLVDRNVPESEPIRPPELDDTPFTYVDDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVLHGADRDIIWLQRDFGIYICNLFDTGQASRILQMDRNTLEHLLHHFCGVTANKEYAREDTHYLLYIYDLMRQRLVKESSGENDLLLEVYKRSKEICLQLYEKELLTHSSYLCIHGLKENEFDARQLAILSSLYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKLKNSYLDRHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELALANMKSNDRDTEMVPADVGNIDDDNVGPSDEHGAVASVESVGIASQCTGNVTSGTSSVNVQQETTTKTKSSEILSGVSEQNMELLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRKQNLFSGFSSEQNKVDKIKSSVALPFHNFCGGAESPATSITLEESVHPEPESIQYNDPACQTEDVIQLDHETDDPQLTENCNEDGQGHREPDDTDMSRSPPEHSSVGVEPRFQSLNESRNIQQNQKPHQEPEFNHQLKPFDYAEARKNVTFGEHKARRIKDNTVARAINKDPGDKGTTSNQLGAGESEGTGQKNPRGRQAFPPSGNRSATYH >LPERR10G07940.3 pep chromosome:Lperr_V1.4:10:9670312:9676314:-1 gene:LPERR10G07940 transcript:LPERR10G07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAPPPPPLPPPPSWRRNNPAAAAIDDSSGPLAAAAARLAGRSRALPASRDFHFYHNFPSFRSPIDAAAAKAEASLAVLGGAASLYPKQQPPFPKGDLEDDAAQDWVVGVIDDLSEQFGASLDEFKAVREKEEATGRRAEAAETEEDGFQVVYGKKKKRMDGAGEEEIGRGEGFGGSGSVKMATMDRSAAAGTKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRFVHPLEKIPMEQLVDRNVPESEPIRPPELDDTPFTYVDDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVLHGADRDIIWLQRDFGIYICNLFDTGQASRILQMDRNTLEHLLHHFCGTAAEAYMYTVYKRSKEICLQLYEKELLTHSSYLCIHGLKENEFDARQLAILSSLYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKLKNSYLDRHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELALANMKSNDRDTEMVPADVGNIDDDNVGPSDEHGAVASVESVGIASQCTGNVTSGTSSVNVQQETTTKTKSSEILSGVSEQNMELLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRKQNLFSGFSSEQNKVDKIKSSVALPFHNFCGGAESPATSITLEESVHPEPESIQYNDPACQTEDVIQLDHETDDPQLTENCNEDGQGHREPDDTDMSRSPPEHSSVGVEPRFQSLNESRNIQQNQKPHQEPEFNHQLKPFDYAEARKNVTFGEHKARRIKDNTVARAINKDPGDKGTTSNQLGAGESEGTGQKNPRGRQAFPPSGNRSATYH >LPERR10G07950.1 pep chromosome:Lperr_V1.4:10:9678836:9679948:1 gene:LPERR10G07950 transcript:LPERR10G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSPGSHHALAWVLDHLFFPASAAGDEQQPQPRPDHELVLVHAVEPLHHVMFPVGPGSAVYGATSMMEAVRAAQAETARNVVGRARLVCERQGVTTAKTVVVVGEAREALCRAADDAGADLLVVGSRGLGAIKRAFLGSVSDYCAHRASCPIMVVKPPPDEEGHDGQRTSSY >LPERR10G07960.1 pep chromosome:Lperr_V1.4:10:9684239:9694085:1 gene:LPERR10G07960 transcript:LPERR10G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLGSRRRTDPTRPSPPPRVYRRGTSCELPSTQTSHTLPFSRRQHSVLTGWAVPRRSRSEWGRVEAQLAAVRTSCRAALQWLSSTAMPHGNIGHESPLVFPGLTKLGSSRCLCAAILVGNMGDHFGDDNNDEINVTNEKLRAVIRKSKEVLEIHRNLLEKISTSERKKITSIMKDSSIYNEQDPFGQSDSSFSHLDEVPDDDEFGYDLQMHLDTCPDQYEAVATQDYAAQLSQINEMGQSVAEGTSDDPSASAIVDLMNIILVAAECGLGDVAGALPKALARRGHRVMVVAPMYKNYTEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTVPWYVPCGGYCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMLYARSVLVIHNIAHQGRGPLDDFSYLDLPVNYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRSDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYNESGLGWTFEKAEANRMIDALGHCLNTYRNYKSSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >LPERR10G07960.2 pep chromosome:Lperr_V1.4:10:9692155:9694403:1 gene:LPERR10G07960 transcript:LPERR10G07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLQDCNAGCLTPKDGGESCELSGSFGGWGQIDMDAAGGRAYDDFEPPHKMHREPPTHKEHIKVQLVHSRRLLVVRGECPVAGNRWSRFRLELPVPGGCDAKAIHARFDNGVLRVTIPPGMINEPEMPAAKTTGADAVAGQAPTTDDTVKEGGRESPGSVGDQQQVACGGYRLLREQKKLATTLLGVVLVLFSFVIYIRYSD >LPERR10G07960.3 pep chromosome:Lperr_V1.4:10:9692155:9694403:1 gene:LPERR10G07960 transcript:LPERR10G07960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLQDCNAGCLTPKDGGYKKEHIKVQLVHSRRLLVVRGECPVAGNRWSRFRLELPVPGGCDAKAIHARFDNGVLRVTIPPGMINEPEMPAAKTTGADAVAGQAPTTDDTVKEGGRESPGSVGDQQQVACGGYRLLREQKKLATTLLGVVLVLFSFVIYIRYSD >LPERR10G07970.1 pep chromosome:Lperr_V1.4:10:9700388:9701600:1 gene:LPERR10G07970 transcript:LPERR10G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQASSSVDNSPLPSRSASTIIAARTYHVLKIDGYSSNFLKVKPNDLLDTFTFSAGGRTWYMKYCPNGKSEESKDFIGIYLVLDDNIVEPVMAQVSFSLLDQHGKPVPSYTCTIQLFRFSTQEASANALGFKIFITKEDLERSGHLKDDCFSIGVQLVIAKKTPSIMASPSDMHLHYGNLLSSKRGTDVELIVGGKTFNAHRLVLATRSPVFMAQLFGQMKEGTSVNNVVHIDDMEAQVFEILLNFIYTYKLQEMDQEDEVAMTQHLIVAADKYDLKRLKKNSEVKLTERTPGFGHGKCPDGLAEEIFNAIKIQSDIF >LPERR10G07980.1 pep chromosome:Lperr_V1.4:10:9726931:9727473:-1 gene:LPERR10G07980 transcript:LPERR10G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHLVAAWLFPCAVCATPTPAYDDKPETSSSSPLSPSSLTGDHRLDLAPPRAPEISHRSPRKSSRSPPPPVSPLGVATSSSPPRGCSASPPYSRSPEAAKDGALGSYIRRISKRLTTTRSGGGAAEDESSPPAVMEKSLFPLVGEGRRKDGGGSAIATEEEIRAFVIANNGSRAIALV >LPERR10G07990.1 pep chromosome:Lperr_V1.4:10:9729631:9731095:1 gene:LPERR10G07990 transcript:LPERR10G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAASRSRSRVLSEIDPHSEWIRGEEFDTLVLDVTGFRKEHLKVQVEPSGTLKISGERAVNGGGRHWLHFLKRFDLPPGVAGDAAAIKVQLDKGVLYVQVPHPCASDDDGERMPELSDDDELREEGETGSDAGGGRAVARHDGRPAWQLARALSRHRQVVLNVVIAVVLLWLVAFAGNKPSSGLTKNQ >LPERR10G08000.1 pep chromosome:Lperr_V1.4:10:9730894:9733669:-1 gene:LPERR10G08000 transcript:LPERR10G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVLLNIGGIRHKTTADTLTQREPGSLLAAVLSGGAHGLPTTEEGAVFVDRDGELFRHVLNWLRDGAVPALPDAEYRQLLREAEYYRLPGLIDCISERIGDDKIESSMEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSHANLHKAKFGEQIFKSPLWTEPTFKVQTYKSADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETLLTGAKLGGANLLGAIR >LPERR10G08010.1 pep chromosome:Lperr_V1.4:10:9735965:9737694:1 gene:LPERR10G08010 transcript:LPERR10G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATAAEDRKGKRPMNPEEDEAEAATAAPPAAAAQEGEVKEQGEEGEKLLLVTDDGEEVRISVAAGRVSAMLRGMIEDDCATGRIPVQGVHADVLKLIVEYCEKHAPHFDPEASARDRYPFPPFPVELPPSASSIKPVTFVDPDADPHGLKAFDKKFLDVDNSTLFEIIMAANYLNIEDLLDDACTAVADKMRGKSPEEIREIFEIENDYTPEQEAEVRRENAWAFED >LPERR10G08020.1 pep chromosome:Lperr_V1.4:10:9738731:9740733:-1 gene:LPERR10G08020 transcript:LPERR10G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDPAPLPAPLMLPAPPEPAPLSPPPPQQAKPTPTPTVADNFRSLLKSTDSLIRFAFRGNSGSPTPRPPPPHQQQHHHHNRPAEIMKRVEKLSDMMKLMDGHEQIDRLVALYNSSAKGFHLPELPVRVKVALDAAGALLLVDSDELEQARDRLAKASNTTGLSSRFVFESTTRRGKDTVAAELATGAAAAGGRPLEMTRLQYCAHVGEILSLTLVPFGAQCNNFLHGSSLIQGIQSRALTGGPPSFSERHDCGAGLSIKGSRFRASMAELIFGSPPGEHEVPNRLTTFGKVSYETADDVKLSLSGLWQVRPPSSRFSDLGALAVPLGSLKTRGSKTITPSSPPPPLRTGMTVPVMGAVPTAPAPAPPSSSSHTVAVMVDCDMYETLRAEGWVEVESTTPAARRRGSGTAVRWGFCVSECPEHELGWGVRIGGAAAEEETETHRPHVEGFLSFDLGKGGRLQPGLVIAMDGDKRTPALVLRSSWLISESLILLQIIRIRDKCPSIYT >LPERR10G08030.1 pep chromosome:Lperr_V1.4:10:9745913:9750935:1 gene:LPERR10G08030 transcript:LPERR10G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSVTVKQKKRGKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTESMMSPTRLNFEPFSGTKGQIMPITPKTPQSDELSDGSSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHYPFMSRIDGKDVRISTPRTPKAPKHAPRFSRLGLLDFKPITAVLFPDTYPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >LPERR10G08030.2 pep chromosome:Lperr_V1.4:10:9746006:9750935:1 gene:LPERR10G08030 transcript:LPERR10G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSVTVKQKKRGKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTESMMSPTRLNFEPFSGTKGQIMPITPKTPQSDELSDGSSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHYPFMRIDGKDVRISTPRTPKAPKHAPRFSRLGLLDFKPITAVLFPDTYPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >LPERR10G08030.3 pep chromosome:Lperr_V1.4:10:9745913:9748324:1 gene:LPERR10G08030 transcript:LPERR10G08030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSVTVKQKKRGKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTESMMSPTRLNFEPFSGTKGQIMPITPKTPQSDELSDGSSRLESLPLELLVWK >LPERR10G08040.1 pep chromosome:Lperr_V1.4:10:9749992:9751677:-1 gene:LPERR10G08040 transcript:LPERR10G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHRCCRAAPPPPAATANQTRAPALFVFGDSIVDAGNNNAITTTVRCNFAPYGKDFPGHNATGRFSNGKVPGDILGNAICISLLSSSSMASCGLTLFDRECESSATQMGIKQYLPAYLGTELSEFDLLTGSVLTMDNQLDLFKEYKGKLHRVAGERRAGEIVSESLYMVVTGTDDLANTYFTTPFRRDYDLDSYIDYVKLLGMGARRVNIAGSPPIGCVPSQRTNAGGLERECVSLYNQAAVVYNARLEKEIEQLNGSDTAPPGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRYTAHACRDVDKFLFWDTYHLTERGYNILLSQIINKYGF >LPERR10G08050.1 pep chromosome:Lperr_V1.4:10:9752510:9754641:1 gene:LPERR10G08050 transcript:LPERR10G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAALLLRHSVGGGAGGIPQALADALVCPLSKKPLRYCESSGSLVSDAVGVSFPIVDGIPCLVPKDGKLLDHDQEKAEDGSTKDSSYNQ >LPERR10G08060.1 pep chromosome:Lperr_V1.4:10:9757721:9758068:1 gene:LPERR10G08060 transcript:LPERR10G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSHVMALLSSALSAGGGGGGGGGQKWWSSSSAAAGCCVCISRIREGEEVRRLPCGHAFHRECVDRWLALCCRPRTCPLCRLHIGGGGAGMDELQLGDDLVIWFSSLFVAGF >LPERR10G08070.1 pep chromosome:Lperr_V1.4:10:9759549:9761595:-1 gene:LPERR10G08070 transcript:LPERR10G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTSSESKSNAPQPQPERPAASRAMNGAPPLPPGRPAAFGFGAPNHHHRTNGGGGGGQRRYGGHDDHRGGFNLNGRNGGGGGFVPAYNPPQPPPQGMHYVPSPAPRNLGEPGQAPLTADELEKKIRDQIEYYFSENNLCEDLHLKLLMDENGWVPLELVAGFPRVRALTATLEMVQNSLLSSNVVEVENGYIRRRMGWEKYILSRSLFHEG >LPERR10G08080.1 pep chromosome:Lperr_V1.4:10:9765096:9766187:1 gene:LPERR10G08080 transcript:LPERR10G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKLLALCTVLAARVMLAAADWTQATATFYGGSNGAGTMGGACGYGNLYVTGYGIDNAALSAELFNDGAACGQCYLIICDSSKTPQWCKAGHAVTITATNLCPPNPTLPSDNGGWCNTPRHHFDMSQPSWEMIGVYRAGIVPVLYQRVKCWRNGGVRFTINGFDYFELVLVTNVAGSGSLTSVSIKGDKTGWIQMSRNWGANWQALVGGLAGQTLSFAAKSTGGQYIEFLNVVPASWTFGQTYTTYQQFDY >LPERR10G08090.1 pep chromosome:Lperr_V1.4:10:9767772:9778359:-1 gene:LPERR10G08090 transcript:LPERR10G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPKYLAAFAIAVDVVALLAAPAPAAGWSSGSATTAALSTALFNNGASCGQCYQIACDTGANTPYCRAPGATVTITATNLCPPNPSLPSNDGGWCNPPRAHFDMAQPAWEAIGLYQGGIIPVLYQRVPCARMGGVRFTVRGFNYFELVLISNVGGSGSVASAWVKGTVTDRAPMSRNWGANWQSFAGLAGQALTFGVTSTEMGHIPATMSARYLVVLALCVTSATAGDWIAGTATFYGGKDASGTMGGACGYGNLYTQGYGVYNAALSSVLFNDGASCGQCYLIMCDSSKTPEWCKAGTAVTITATNLCPPNWALPNNNGGWCNPPRPHFDMAQPAWETIGIYRAGIVPILFQQVKCWKQGGVRFTILGFNYFELFLVTNVAGSGSIKSMSVKGTNTGWITLTRNWGANWQCNSALVGQALSFQVTSTGGQTLYIDNAVPDWWKFGTTFTSNQQFDH >LPERR10G08100.1 pep chromosome:Lperr_V1.4:10:9785257:9786349:-1 gene:LPERR10G08100 transcript:LPERR10G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLGLMMIATTNKNWKEKKGIQEKIEAALTTTTLASSACDGPPPTSAFTIVTTQANHVVKIDRYSKTLSANCKTSLSSSSFRAGDHTWHINYRRTGSSDISKDYISFSLVLEDLVDKAVMGQATFSLLDQNRNSVPSHTRSVVFNFSLGSNCFGFNKFIRRKDLEQSEHLKDDCFAIGVHVVINKYLARDSSREGDGNYSTSARRGGKIWPGKVEADV >LPERR10G08110.1 pep chromosome:Lperr_V1.4:10:9787884:9788672:1 gene:LPERR10G08110 transcript:LPERR10G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVTFSLLDQNGNSVPSHTQTTCVHNFSVGNRSFGFKDFIRRKDLEQSEHLKDDCFAVSAHLVINKEAPSAKVPPSNMHCHYGDLLSNKLDTDVEFIVGGEIFAAHRLVLAARSQVFKAKFFGPMKEGATTNAIKIDDMDAQVFEALLFFIYTDMLPKMDQEDEGSMAQHLLVASDLYGLQRLKLICEDILCNHIDTDSVAIMLVLADKHNCVHLKEMCFEFLCSSTALVKFMEASDFRYFIRSCPTILKDLIYSVAARGT >LPERR10G08120.1 pep chromosome:Lperr_V1.4:10:9789870:9790592:-1 gene:LPERR10G08120 transcript:LPERR10G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSMTVIVPTAGNIEHDFDIIKLDLTASILSKIHIKKLFREKPNTADLKTAKAILKEQENVLSDAILKLSEVPYVA >LPERR10G08130.1 pep chromosome:Lperr_V1.4:10:9790715:9800792:-1 gene:LPERR10G08130 transcript:LPERR10G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGAGEFFFFFYRVAHQNRFLVACSERKAETVADSPALIGRGSERGEGGGGKASLRGIWQGRGGGQREGHSQGGSGGAIAARSIAARRRRAGEDREGAPTGARTLGVEKHSTNDPLVK >LPERR10G08140.1 pep chromosome:Lperr_V1.4:10:9810783:9817922:1 gene:LPERR10G08140 transcript:LPERR10G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSVPSANGSGEKDRGGSRRRSSGGGGGRDDEERGSKRSRSGEDRDRERHRGGGGREHRDRDDVKEKDKEKDKEKDRERSSRSRGKDGEKERGKDGEKDRGREREVRDKDRDGERERRRERERESGRERRSSSRPERRRTEEEEMVRELQRERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQMLLGQQVMVKPSEAEKNLVQSNVASGGVASGGARKLYVGNLHANITEDQLRQVFEPFGPVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQVGLQVGVTTGDLDDDEGGGLALNASSRALLMRKLDRSGTASSLTDGIGIPDIGVPTEFLLLKNMFDPAVETDPDFDMDIKDDVKDECSKFGAVNHIFVDNSRDKCSTRTAWQMVCRKDDYSDLYDCSAVQNEVPKLAGQ >LPERR10G08140.2 pep chromosome:Lperr_V1.4:10:9810832:9817922:1 gene:LPERR10G08140 transcript:LPERR10G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSVPSANGSGEKDRGGSRRRSSGGGGGRDDEERGSKRSRSGEDRDRERHRGGGGREHRDRDDEKDRERSSRSRGKDGEKERGKDGEKDRGREREVRDKDRDGERERRRERERESGRERRSSSRPERRRTEEEEMVRELQRERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQMLLGQQVMVKPSEAEKNLVQSNVASGGVASGGARKLYVGNLHANITEDQLRQVFEPFGPVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQVGLQVGVTTGDLDDDEGGGLALNASSRALLMRKLDRSGTASSLTDGIGIPGMNKSLELPSPSTDPDFDMDIKDDVKDECSKFGAVNHIFVDNSRDKCSTRTAWQMVCRKDDYSDLYDCSAVQNEVPKLAGQ >LPERR10G08140.3 pep chromosome:Lperr_V1.4:10:9810783:9817922:1 gene:LPERR10G08140 transcript:LPERR10G08140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSVPSANGSGEKDRGGSRRRSSGGGGGRDDEERGSKRSRSGEDRDRERHRGGGGREHRDRDDVKEKDKEKDKEKDRERSSRSRGKDGEKERGKDGEKDRGREREVRDKDRDGERERRRERERESGRERRSSSRPERRRTEEEEMVRELQRERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQMLLGQQVMVKPSEAEKNLVQSNVASGGVASGGARKLYVGNLHANITEDQLRQVFEPFGPVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQVGLQVGVTTGDLDDDEGGGLALNASSRALLMRKLDRSGTASSLTDGIGIPGMNKSLELPSPSVIGAPLPTSSLIQPTVPGIHLPATQSADIGVPTEFLLLKNMFDPAVETDPDFDMDIKDDVKDECSKFGAVNHIFVDNSRDKCSTRTAWQMVCRKDDYSDLYDCSAVQNEVPKLAGQ >LPERR10G08140.4 pep chromosome:Lperr_V1.4:10:9810783:9817922:1 gene:LPERR10G08140 transcript:LPERR10G08140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSVPSANGSGEKDRGGSRRRSSGGGGGRDDEERGSKRSRSGEDRDRERHRGGGGREHRDRDDVKEKDKEKDKEKDRERSSRSRGKDGEKERGKDGEKDRGREREVRDKDRDGERERRRERERESGRERRSSSRPERRRTEEEEMVRELQRERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQMLLGQQVMVKPSEAEKNLVQSNVASGGVASGGARKLYVGNLHANITEDQLRQVFEPFGPVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQVGLQVGVTTGDLDDDEGGGLALNASSRALLMRKLDRSGTASSLTDGIGIPGMNKSLELPSPSTDPDFDMDIKDDVKDECSKFGAVNHIFVDNSRDKCSTRTAWQMVCRKDDYSDLYDCSAVQNEVPKLAGQ >LPERR10G08150.1 pep chromosome:Lperr_V1.4:10:9820259:9822408:-1 gene:LPERR10G08150 transcript:LPERR10G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLLLALAVSSIIVILITKLISRTINPKLNLPPGPWTLPVIGSLHHLIRTPAIHRSLHKLAEKHGPIMQIRLGELPAMVVSSPDAAEEILRTHDVKFADRFMSATLAAITFDGEDLAFAPYGDRWRLLKKLCAEELLTASRVRSFRRIREEETARLVAGLAASAASGDGEAAVDLSGAMDRLVNDIVVRCCVGGRTMHRDAFLAALRVALGQTKWLTVADLFPSSKLARMVGSAPRKGLANRRKMERILEQIIQERVEMMGGGGEAGNECFLDVLLRLQKEGGTPIPISNELIVMLLFDIVSGGTETSTIVLNWTMAELIRTPRVMAKAHAEVRQTFRGKNTITEDDGVSRLTYLKMVIKESLRMHCPVPLLGPRRCRESCKVMGYDIPKDTTIFVNAWAMCRNPKFWDDAEEFKPERFENSNIDNKGSNFEYIPFGSGRRMCAGMNLGMADVELPLASLLYYFDWKLPSGMLPEDVDMQEAPGLFANRRTSLILCPVTRVIPSNLQVMA >LPERR10G08160.1 pep chromosome:Lperr_V1.4:10:9827062:9827948:-1 gene:LPERR10G08160 transcript:LPERR10G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGWVLVRNSMDSVDFHCVPVHEDGFSALTGGSMSEPPKLMCACRANAAANTSYNDHNPRRRFLSCACKNDPNKDGGCQDILHHYVDQMVQYCNNASTQAFAEALTILRYDLEQKNKQILNAHQDDAHHSIFC >LPERR10G08170.1 pep chromosome:Lperr_V1.4:10:9829256:9833827:-1 gene:LPERR10G08170 transcript:LPERR10G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCEKVESKGRTTYNEVADELVAEFADPNNNFAPPDPDNPHIAVKKYDYSPWFLICYFFCNADYVNITQQQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPKTSMSDIDNLKTKIIGQKGRIDKKSAYLQELQDQRNEQLYGSGNAPSGGVALPFILVQTRPQATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKESNGAEAAVANGGECSSTPNIYHQSPQIARPNGVRLPTSPPIPGILKGRVKHEH >LPERR10G08180.1 pep chromosome:Lperr_V1.4:10:9845771:9848306:-1 gene:LPERR10G08180 transcript:LPERR10G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEKLATLMESRTDLQTTKAMLDRFEKCLLLESQSAGSSSSVENIDHLLKRLGSSKGKLTRYSLRVVLCSYMILAHPSDVLSGQVEKEKLLMEKAEKFVKEFEVLVRTVLATGESSSDAGSHGKFRSQLANFDKAWCAYLYCFVVWKLKDAKSLEEDLVRAACKLEISMMQTCKLTSNGQSHDLSHDKQAIQKQVTDDQKLLREWSKFFEAKQNENPSTMHVANISTPLSTSPMKLPTENEQMVNEMLHEDDGLFASSSDNVSSEEKDFQAKVKATMEKAFWDLVTDSIKGDKPDYTQLINLVKEVRDSLHELASKGLKDEILENIDIEILSQMLESGSQDTQYLGQILNYSLDMLAASSEVNDDGIGSFVIFVIKGLRFTLEEIKQLQTEVSKARIQLIQPIIRGSTGVEYLQEAFADRYGQPAHASTSLPITMQWVSATKSIMEQEYREHLDSIQNLPTEDHAQRLVKVLQAGRGALGAAPSSSSSAEKNSGLPECQGEKLDKLLRIGLLQLVSGMEGLQMVSNPESFNLNLRRLRALQDQFQKTIVIATSMLVLRQVLVSKIAPSKLESVISELFDSLVKILDNNPHAGSKEIMEMMLSSLASVGCLPDKQIQAATKVLLNSLQADNTIFNKVSRAVYCAFRGVVLGGSGSMGKKLVDVQLRRIGAAKLADRVVQAGEMLITMATVSECVHGPWYKALA >LPERR10G08190.1 pep chromosome:Lperr_V1.4:10:9849480:9851838:1 gene:LPERR10G08190 transcript:LPERR10G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKSGAAKDGAGGDKKKDAGGEKAAGPIVLKVELHCAGCASKVKKAVKRASGVETVTTDTAGNKVVVTGPADAAELKERIEARTKKPVQIVSAGAGPPPKKEKEKEEEEKKDKDKKGGEKKADKEKGSGGEKKAEKEKGGGGKKAEKEEKKPKEAKEETVTLKIRLHCEGCVDRIKRRISKIKGVKDVTVDAAKDLVKVTGTMDTAALPGYLKDKLSRPVEVVAPGKKDGGDKKDGAKDGGEKKDKKDASAAAGGEEKKDKDKSAAASASVAPAPLADAGMYQMPPQYGFNPYHGHHPAAGGYYGGAPPPNAAGFYHHAGAGAVPYQPYAYNVHAPQMFSDENPNACSVM >LPERR10G08200.1 pep chromosome:Lperr_V1.4:10:9894378:9895392:1 gene:LPERR10G08200 transcript:LPERR10G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPPLLAAKPCAPFLLHKCCVSGRRCPRSSATAPSLSFHRQQRSLHASSDGCSSLLAGRSSVNILCVHCKLPVLYILYILAVLLVIPLTCLTCLLGVFGRKPHFAINCHA >LPERR10G08210.1 pep chromosome:Lperr_V1.4:10:9896243:9897538:-1 gene:LPERR10G08210 transcript:LPERR10G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSITMLKPAYGTAAPPHRLAGEMVPLTAFDRAAFDIFVPMVFAYNAPSPSNDAVKDGLAMALAAFPLMAGRLTLAADANRRRHRRHIHVNDGGALVVEAKFAGADMDDVLAGVIATAELYPAPPEDCIGAALLQVKLTRFRCGGLVVGLIVHHHLVDGHSTNAFTATWARAIEMY >LPERR10G08220.1 pep chromosome:Lperr_V1.4:10:9902286:9906866:1 gene:LPERR10G08220 transcript:LPERR10G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLPANNAGGVGNINNENSATDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSPESFNKAQYWVKELQKHGSPDMIMALVGNKADLNDNRSVSSQDAQEYAERNSMFFIETSAKTADNINQLFEEIAKRLPRPTPS >LPERR10G08230.1 pep chromosome:Lperr_V1.4:10:9907184:9912367:1 gene:LPERR10G08230 transcript:LPERR10G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKLVSFLVFLLLFHVLHASPAPAPADGGVCDQFRYEGFAGARLDLDGMAMVEPDGKLMLTNVTSQLKGHAFHRSPIRFHDGTAAAAARSFSTAFVFAIAADYVTVSGNGLAFFVSPTKNLTTASPSQFLGLFNSENNGNASNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSLAAMPAGYYSDDNAGDGVFENLTLFSGDAMQVWVDYDGHEKLVNVTISPVAMARPRRPLISVAVDLATVVSDTAFVGISSSTGPFHTRHYVLGWSFAMDGHPAPPLDYANLPKPPAPARSTRRSKVFDVVVPVAAPLAALATLAAAAFVAWRRWIRYAEVREDWEFEFGPHRFAYRDLFLATGGFHGDRLLGVGGFGRVYRGVLPAPSDKEVAVKVVSHDADKRMRQFVAEIVTIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLYGGDDVTPQLSWARRVHVIRGVAAGLLYLHEDWEQMVVHRDVKASNVLLDGEMNARLGDFGLAKLYDRGGAGGEAQTTTATTRVVGTMGYIAPELAHTRRVTPASDVFAFGAFVLEVACGRRPIEHGGEEDFILADWVLERWHKGGDIAGAADARLCGHYDGEEAAMVLKLGLICCHPVAAARPTMRQVVQLLDGDAAAMPELEPGYRSFTTLAIMQNADGFDSCGAVSYPSSTVTSVDGASSVLSGGSKVISEFAMVISLPWLALLLAVATGAGGVEFVYDGFAGAALSLDGMATITPAGLLLLTNDTDMNKGHAFHPEPVTFAAGDGVVGSFSTTFVFAIVSEFIDLSTSGFAFLVAPGTNLSTAMPQQYLGMFNASGNGDGRNRIFDVELDTVRNPEFADLNNNHVGVDVNSLNSTASATAGYYADDDGAFHNLSLISRQPMQVWVDYDAAAAEVAVAMAPVRWPRPKKPLLTAGVNLSTVIADTAYVGFSSASSIVLCKHYVLSWSFRLGGGDGGAPALDYSKLPKLPRIGPKPRSKALTVALPIATTAIVLAAVAIGFFLLWRRLRYAELREDWEVEFGPHRFAFKDLYNATGGFKDKRLLGAGGFGRVYKGVLPGSRTEVAVKRVSHESRQGMKEFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYVPNGSLDKYLYGCDGKPVLSWAQRIHIIYGVASGLLYMHEDWEQVVIHRDIKASNVLIDNNMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPEMVRSGKATTLSDVFAFGAFLLEVACGRRPIEEDEEAVAVAGVDGDDRFVLVDWVLSRWRNGTITDAVDAKLAGDFDTVEANLVLRLGLTCLHPSPAARPSMRQVTQYLNRSARLPALPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAVSVATISDIGLSGGR >LPERR10G08240.1 pep chromosome:Lperr_V1.4:10:9912523:9919979:-1 gene:LPERR10G08240 transcript:LPERR10G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLPKSCFRMHVEAIADLLQTNGKQGPAGQMENPFGVSSDWEHHVLVRVELPCTERCYAKSVQKES >LPERR10G08250.1 pep chromosome:Lperr_V1.4:10:9921050:9925276:1 gene:LPERR10G08250 transcript:LPERR10G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIDGSRRSKGSRDHPPPLFSAEAGPSRRKTKREADAPPPIVEESSSPSRGGSSSSSDSDGAAKEEEEGVDAAAVVRALKTYMASSPDAGLSFVRKATPATVARCIGNWAILFQATADPLENSSCSPPDSDEYRNITQKMRERTGKAFTQEQIRNQWDYNRKRHISCCRLMGIDEKTIASIRAFDDRLKHVESLQKGTKKHPHKSFENHHMFAGRNVDGNLSVPGGDNDNEARDDASSSSASTARMKSAPTLVRCFHQLELDGYDIFKTHVGSLAMHLFKDPHLPGRGQDLEWRDVVQSVQSLECRGRGQDLECMEVVQALECRVNVTNEGTPVDTGLMGKVICPSQIGFRFISVQVCMKFVKHVLL >LPERR10G08250.2 pep chromosome:Lperr_V1.4:10:9921050:9924829:1 gene:LPERR10G08250 transcript:LPERR10G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIDGSRRSKGSRDHPPPLFSAEAGPSRRKTKREADAPPPIVEESSSPSRGGSSSSSDSDGAAKEEEEGVDAAAVVRALKTYMASSPDAGLSFVRKATPATVARCIGNWAILFQATADPLENSSCSPPDSDEYRNITQKMRERTGKAFTQEQIRNQWDYNRKRHISCCRLMGIDEKTIASIRAFDDRLKHVESLQKGTKKHPHKSFENHHMFAGRNVDGNLSVPGGDNDNEARDDASSSSASTARMKSAPTLVRCFHQLELDGYDIFKTHVGSLAMHLFKDPHLPCS >LPERR10G08260.1 pep chromosome:Lperr_V1.4:10:9925768:9938278:-1 gene:LPERR10G08260 transcript:LPERR10G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLALRLAARGFAVTFVSTESVHDQTARALGVVDDPTSYDVFAGARSAAAAKGGETTTMGVRYEVVSDGLPVGFDRSLNHDDFMGSLLHALAAHVELLLRRVVVDAASTFLVADTFFVWPATLAKKFGIPYVSFWTEPALIFNLYYHMNLLSEHGHFRCNEPRKDTITYVPGVSSIEPTELMSYLQETDTTTVVHRIIFKAFEEARRADYVVCNTVEELELTTISALRAEKPFYAVGPVLPAGFSRSAVATSMWAESDCSHWLSAQPHRSVLYISFGSYAHVTRRELHEIARGVLASGVRFVWVMRPDIVSSDDPDPLPDGFVAAASSTGRGVVVPWCCQVEVLAHAAVGGFLTHCGWNSILESVWAGVPMLCFPLLTDQIPNRRLVVREWRIGVPVGDRGDVVADEVRARIEGVMGGEEGVVLRERVMKLRGTLQAAVAPGCKPARPKSRRAIRPRDALSPLASAFRSPKPQPNGTEATPSHRRRRSASSSRTPPREENGSTASPPPPPSPPLRGDTSAGGRGGANGSGAAGPPQESALPQSASVIDLKEEDKDSCIDHSASYLHVQSVRDFPIEKLNGEVVLVRLDSELLCSPLGPCSLSLERTLSTIKYLYKAGAKVLLVTSWTPVLQSVNPVLKSTETFADYMSTLLQVKVIPVNGVPGLTSFKPEERMRNDIILFENLLNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGISRFCYASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNMLASLCDGLFFVGKLSFQIMNGIGISVPSCFLEKHAVKEVLQLIQIAHNRNIPIYYPTDYWCLNNKNNNNEKLEILDSGELLTGWTPADIGPSTLEKLSLLIPLFKKVLWIGPTDFDLTEDFSCGATQLGRILDKASHNSCDVILVGSAACKAVKGISGSSSKCTTFKNASIVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDPSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDIASVDKKNLYFVSTNATSTFRSILSSYPGHLTLVSIQCPNPDFNKEQNRWRMVRRMLVEAITDLLQPNGKVYLQSDVETVLLGMKEQFMTYGKGRLVVDGDGGSGDRMENPFGVSSDWERHVLARGAPMYRTMLRKV >LPERR10G08270.1 pep chromosome:Lperr_V1.4:10:9943534:9944208:-1 gene:LPERR10G08270 transcript:LPERR10G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEENGGRAPPLHAVVMPYPLQGHVIPAVHLALRFASRGFSVTFVNTESVHHQITTGANHNDIFAGAAAAIRYELVSDGFPLGFDRSLNHDQYMEGILHVLPAHVEEMLRRVVGEGDDAARTCLVADTFFVWPATLAKKFGIPYVSFWTEPALIFSLYYHMDLLTKNGHFNCKGKTQNDLDLAYTPTPINFVLKTVEPLTETKIEEEKEEDERTENLALRHDD >LPERR10G08280.1 pep chromosome:Lperr_V1.4:10:9952368:9955804:-1 gene:LPERR10G08280 transcript:LPERR10G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYPRSSSMASAQRPRPRSPATTSARIGPPPFPLAFPSAADGSVVVQFEKYGMSVAT >LPERR10G08290.1 pep chromosome:Lperr_V1.4:10:9958255:9963393:1 gene:LPERR10G08290 transcript:LPERR10G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTESALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKEVDLNALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERSEAGAAAGAAADPFASAAAVADDDDLYS >LPERR10G08300.1 pep chromosome:Lperr_V1.4:10:9963677:9965146:1 gene:LPERR10G08300 transcript:LPERR10G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVPPLPPHLLRHLDGRSLSTPLLDPLIRAASASASTPHHAFSLFLLLLRSSLRPSHFTFPFLARAAARLASPRLAAAVHAHPFRRGFLPEDLHVANSLVHMYAACALPGLARQLFDEIPRPNLVSWNALLDGYAKCRDLSAARSVFERMPRRDVVSWSAMIDGCVKCGEHREALAVFEMMEASVAHHGVRANDVTIVSVLGACAHLGDLVRGRQMHQYLEEHGFPLNLRLATSLVDMYAKCGAISEALEVFQAVPVESTDVLIWNAVIGGLAVHGMSRESVQMFQKMERARVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMEASVSVLGALLNACHLHGWVELGEAVGRQLVQLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVNKVPGFSEIDVGSGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDVKVPDYTCMYC >LPERR10G08310.1 pep chromosome:Lperr_V1.4:10:9966491:9969169:-1 gene:LPERR10G08310 transcript:LPERR10G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAEESSSPVNMSRAMQCFGFAGWEREERRGRAASVASAAAAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISGDSFSRYRQLSLPQRSNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSQRPASWAMRLRVALDTARGLRYLHEESEIKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIDWVKPYSTDAKKLEIIMDPRLQGNYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIIDSSDLGTPEHPLINNSKELVRDEKKRKGLDLKRRFADIKAGDQRWFTWQRWRPKLVRTQ >LPERR10G08310.2 pep chromosome:Lperr_V1.4:10:9966491:9968884:-1 gene:LPERR10G08310 transcript:LPERR10G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEESSSPVNMSRAMQCFGFAGWEREERRGRAASVASAAAAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISGDSFSRYRQLSLPQRSNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSQRPASWAMRLRVALDTARGLRYLHEESEIKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIDWVKPYSTDAKKLEIIMDPRLQGNYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIIDSSDLGTPEHPLINNSKELVRDEKKRKGLDLKRRFADIKAGDQRWFTWQRWRPKLVRTQ >LPERR10G08320.1 pep chromosome:Lperr_V1.4:10:9973648:9982314:1 gene:LPERR10G08320 transcript:LPERR10G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLAAAATAMALCLAAALLLPAARCQVTIPPAPPTPPVQLPPSPPVDGIPPVSLMMERIDAVRDQLAGQVQAKYGSCMANVEEDFKQAFDFPDTSFVSDCNVQTQGQMAGMLCEKEEIQIYVKSLGKTRSTRVSRNCDQNSWALGCQPGWACERPGVGSSEGVVPSRAVNCRPCCPGFFCPRGLTCMIRTIAVKVLQMNISVFGKAHARKIQQKKRRLYLVGILSIVLLLVYNCSDQFIRIRAKVLSKSRKKAATIAQESATARERWKLAKELALSHELEMSESFDTPEQLAASSNEVRHATEGNGKRSKNRKKLAHARTERFRRAYSQIGKERILQPDGDKLTLSGVVSLAAENRHRRPMFEVVFMGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKDMSKADKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYHGPISEVEIYFSGLGIKVPERENPPDYYIDILEGIVKTKMRGHATPKHLPLLWMLHNGYDVPEDMQKDLEDISNVHELYTIGSMSREESFAEQLESTDSVHHNIRESYGLLDRKTPGKLAQYKYYLGRVAKQRLREATLQAVDYLILCIAGICIGTIAKLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTFVKPVVFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAICFELGLAQLCSALIPVVLVLVGTQANIPNFVKGLCYPRWALEALIIAGAKKYSGVWLITRCGALLKVGYDINNFVLCIIIIMLMGVLFRLVALLSLLKLK >LPERR10G08320.2 pep chromosome:Lperr_V1.4:10:9973648:9982031:1 gene:LPERR10G08320 transcript:LPERR10G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLAAAATAMALCLAAALLLPAARCQVTIPPAPPTPPVQLPPSPPVDGIPPVSLMMERIDAVRDQLAGQVQAKYGSCMANVEEDFKQAFDFPDTSFVSDCNVQTQGQMAGMLCEKEEIQIYVKSLGKTRSTRVSRNCDQNSWALGCQPGWACERPGVGSSEGVVPSRAVNCRPCCPGFFCPRGLTCMIRTIAVKVLQMNISVFGKAHARKIQQKKRRLYLVGILSIVLLLVYNCSDQFIRIRAKVLSKSRKKAATIAQESATARERWKLAKELALSHELEMSESFDTPEQLAASSNEVRHATEGNGKRSKNRKKLAHARTERFRRAYSQIGKERILQPDGDKLTLSGVVSLAAENRHRRPMFEVVFMGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKDMSKADKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVPERENPPDYYIDILEGIVKTKMRGHATPKHLPLLWMLHNGYDVPEDMQKDLEDISNVHELYTIGSMSREESFAEQLESTDSVHHNIRESYGLLDRKTPGKLAQYKYYLGRVAKQRLREATLQAVDYLILCIAGICIGTIAKLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTFVKPVVFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAICFELGLAQLCSALIPVVLVLVGTQANIPNFVKGLCYPRWALEALIIAGAKKYSGVWLITRCGALLKVGYDINNFVLCIIIIMLMGVLFRLVALLSLLKLK >LPERR10G08320.3 pep chromosome:Lperr_V1.4:10:9973648:9982093:1 gene:LPERR10G08320 transcript:LPERR10G08320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLAAAATAMALCLAAALLLPAARCQVTIPPAPPTPPVQLPPSPPVDGIPPVSLMMERIDAVRDQLAGQVQAKYGSCMANVEEDFKQAFDFPDTSFVSDCNVQTQGQMAGMLCEKEEIQIYVKSLGKTRSTRVSRNCDQNSWALGCQPGWACERPGVGSSEGVVPSRAVNCRPCCPGFFCPRGLTCMIRTIAVKVLQMNISVFGKAHARKIQQKKRRLYLVGILSIVLLLVYNCSDQFIRIRAKVLSKSRKKAATIAQESATARERWKLAKELALSHELEMSESFDTPEQLAASSNEVRHATEGNGKRSKNRKKLAHARTERFRRAYSQIGKERILQPDGDKLTLSGVVSLAAENRHRRPMFEVVFMGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKDMSKADKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYHGPISEVEIYFSGLGIKVPERENPPDYYIDILEGIVKTKMRGHATPKHLPLLWMLHNGYDVPEDMQKDLEDISNVHELYTIGSMSREESFAEQLESTDSVHHNIRESYGLLDRKTPGKLAQYKYYLGRVAKQRLREATLQAVDYLILCIAGICIGTIAKLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTFVKPVVFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAICFELGLAQLCSALIPVVLVLVGTQANIPNFVKGLCYPRWALEALIIAGAKNLPAPIL >LPERR10G08330.1 pep chromosome:Lperr_V1.4:10:9983962:9988825:1 gene:LPERR10G08330 transcript:LPERR10G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPTAALRAAAFLLSPLPPPPTFSAAASRLLLPRRRFPTATFLPLPLRRLCSTSTSASGSSSGSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSQLAEILKECPGQYYRYHGDWRSETQAVVSMLAFTHWLETGGLLMHADAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLAFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >LPERR10G08340.1 pep chromosome:Lperr_V1.4:10:10001466:10006254:1 gene:LPERR10G08340 transcript:LPERR10G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEASGWRLVDLEGEPERVTMRLLESNASTAAAACGGGVHTPATSEWSIGLEWNIPAMENMFYPTLEDDIQHLLQGPQDERDLDKWLAGESSLSLKDVMTVQTPPNPMLNLLDVAHGVLARDLHSHRYHNALKPLDVEDILKDKWRNLLKACGIKFTSKRKEKLQKSMMVPLDLSLIEQIKHVARKHPYPRRKNY >LPERR10G08350.1 pep chromosome:Lperr_V1.4:10:10021656:10024203:-1 gene:LPERR10G08350 transcript:LPERR10G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPIGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLIGVFPAMLVGIFANTCILLCYFWRYLSVERDHEGGMSFGPEVVADEEVTSHRFTPARMSHASSVNGDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCGDRRAAADEQTGPRKITRSTSHQRSVIIEDAPDHAHGNGIGGLFDGEKEKDEEVSKKRRWKVVVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNTLWELVEPYSRIDSPKGVALLAVVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVISY >LPERR10G08360.1 pep chromosome:Lperr_V1.4:10:10045100:10050525:-1 gene:LPERR10G08360 transcript:LPERR10G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESNRSRDKRDADDGVDSRSNWKEEDEHEDVEDRKNRSGKSTRHIYAEEGDEDDYDVGRESRVSKIPKRSPEERIERRSSDGYNEDSSRRRREGGDDCDSSRRSSSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNMSSREAHDYRNELSERWEDTERRKGSATTEKNNQDRQSSDPRYDTGSPARDDRVVGSVENIRQTAFGYNLDEKHMDRGEGTHRINDNVERKDILPYVDEDGHALSRDGSNKDERHRREKDDGDQGHSDSDNERRISIKGKIRADAHEDYKSYRGRDINRELEGSKEHWGSRQRHDMKEPNDFDAGAEWRHGQERLDGGNYHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSVQDLTAGTSDPSEERYYDDVQNMDGKIPLDSQAGRGPNGATASINSGAGQSDSGSIISPSAQQGPKGSRPSRGLRGRPNLRDPQRMGVPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGPLVWPGAQGIDVNMLSVPANLTIPPPVAGPSFTPSAGAGPNHSIHLNQTGTGLGSPANVPGSGFNPMATPNRDILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDEIVFNSASAPMYYKCDLKEHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKGQQQQSMPPMGSSSSTNRRSVMNSSQNVVTVIGSETTMPAPWSS >LPERR10G08370.1 pep chromosome:Lperr_V1.4:10:10054112:10057110:-1 gene:LPERR10G08370 transcript:LPERR10G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >LPERR10G08380.1 pep chromosome:Lperr_V1.4:10:10064636:10073633:-1 gene:LPERR10G08380 transcript:LPERR10G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPTPPPPPPSRSSSSSAPPFKTPNTSSPAPNTAPPQGAPPTSPAAATPPPPFSMVVGVSEAVLSVFDVSTTLRAQGYLAGQEIPSITLPEERAPQVFDSLLASFLAQSHGPGLLPPRPMPPLLGDGSAVGLLRLYLAVKSFGGFDAVPCWAAVAEAAGLDPSMDAPIKIVYYKYLCPLEQSFQKARMLRQEAARNRRLSAATKGKFLAPAARDDAEADGGGEEELDLKRKREKVVGMLNWVRLVAKKPDRRRSGRSAADNHLAMALMFRRQMFKDEGCSDKPHGCASPESGLTTENGWDDQQSAGGSSNWNYRANRSFGLADIPEWTGKPSVPYEDPDMLRFLGEPILTPESNEAFDDTIGKGRPDKCNCEFPGSTSCVRFHVTEAKTELKHRLGSSYYAMKFDQTGEDAALTWTKDEEEKFETIIQENLPSSKYKFWDKLRAAFRYKGESALVSYYYNVFQPRRRAFQNRVARHVNGVDSDDDSIEPAFLHLRQGGGKSSSRSSASSGNGNRS >LPERR10G08390.1 pep chromosome:Lperr_V1.4:10:10081286:10089502:-1 gene:LPERR10G08390 transcript:LPERR10G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEARWWVAALALGVVWWAAAAAVGCGAQPVVVASYGQPRLWLKPYDWSYLRVELPASFSSVTMDFVTDRDIQREHLKDLPRGELAIICLMNSNPPIPDISDSFLDISNFLAVGSFGSANNQSNLAQCIPFQKNTTIVLTNDQISPGIWYIGYFNGLGPARTQSKMISRGRGRSVSTSITVEGCSTSTLWGPYCNQTIEMISCSQPSRYNNSRNLLDLSIDKRNNLNTREHKRRINFLSQWNHLEEKGVGSNSTTYVRMDNLITCAISNGSLCLRQGDMKFYFLDVVNLALQFEITAKNFGLAQMPSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTVPTPLLDTTCFSLEWQVTECLNGKAGTNCSWEAYMLQRVPKRSPSAPFESYYVPSDGRASLEHSQFSLEQFLSNLSFEPYAWTYFFLDIPYGSAGALIHVQIKSDKELNYELYSKYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPGDKGNSQTYMSISLQGCHKNCNQKGSCHASVDESGLTFYSFCTCDRDHGGFDCNEELVSPKGHIWQSVLLIASNGAAILPAFWALRQKFLDFWLSFMAVIGTFIYMATIDEASKRAMHTAVFILTALLAATGATRWPNFRSLFWSTLELLNKRFRWIYLLLGFVTLAFAATSWKLESNRSYWIWHSLWHITIYTSSFFFLCSLRINTVNLSPEPSYEFTSTNN >LPERR10G08390.2 pep chromosome:Lperr_V1.4:10:10081405:10089502:-1 gene:LPERR10G08390 transcript:LPERR10G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEARWWVAALALGVVWWAAAAAVGCGAQPVVVASYGQPRLWLKPYDWSYLRVELPASFSSVTMDFVTDRDIQREHLKDLPRGELAIICLMNSNPPIPDISDSFLDISNFLAVGSFGSANNQSNLAQCIPFQKNTTIVLTNDQISPGIWYIGYFNGLGPARTQSKMISRGRGRSVSTSITVEGCSTSTLWGPYCNQTIEMISCSQPSRYNNSRNLLDLSIDKRNNLNTREHKRRINFLSQWNHLEEKGVGSNSTTYVRMDNLITCAISNGSLCLRQGDMKFYFLDVVNLALQFEITAKNFGLAQMPSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTVPTPLLDTTCFSLEWQVTECLNGKAGTNCSWEAYMLQRVPKRSPSAPFESYYVPSDGRASLEHSQFSLEQFLSNLSFEPYAWTYFFLDIPYGSAGALIHVQIKSDKELNYELYSKYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPGDKGNSQTYMSISLQGCHKNCNQKGSCHASVDESGLTFYSFCTCDRDHGGFDCNEELVSPKGHIWQSVLLIASNGAAILPAFWALRQKFLDFWLSFMAVIGTFIYMATIDEASKRAMHTAVFILTALLAATGATRWPNFRSLFWSTLELLNKRFRWIYLLLGFVTLAFAATSWKLESNRSYWIWHSLWHITIYTSSFFFLCSLRINTVNLSPEPSYELTRQDSLPRPESREI >LPERR10G08400.1 pep chromosome:Lperr_V1.4:10:10099253:10099510:-1 gene:LPERR10G08400 transcript:LPERR10G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAVAKRAATGATVAGVGIAEAKAAEHRIRRHLQGDRLYHHLGHHCWAGEAKDFISIVETASPSLSLLLLHGGFCSVWLSRRW >LPERR10G08410.1 pep chromosome:Lperr_V1.4:10:10101423:10103444:1 gene:LPERR10G08410 transcript:LPERR10G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASRLLGSSYSATAPHPPVTAPGAGFDHLPSLSLATPPPPPPPPPPLPYPGSHESGVGAAATASGEEPCELNRSPWDLIGELDISDPQEEDPVEKYFFHVASRASWLFPTSMPAAFNPKGLAPAAGEFVSKPKTKPAKKKKSVKKPPPVATAVKKEEAVKEEGEVKEVETTKKIKVRKEEGDDSNSVVTTAAAAAIAAPAAAGGEQVWMCKKNDGKRWNCHRRVSQPNSYCYYHTEQKKRSYYNPLYESPTDEYSPTPPRDPPSEAAPPPPASSKPSSSSKSSSSSKSRRRKVADASEGFYYYTGFGPFRTKRHCRSSSSNMQEPTPMEQEEEQPDQDAAPSAGKSQSQSDDDATDHPALATVVAARDDYLYSSDDDDIAGIAGGDEESSEDGRIFNGNGGHPSCMNGDAKKKNHPRKRWRKPVKARSLKSLM >LPERR10G08420.1 pep chromosome:Lperr_V1.4:10:10104919:10105717:-1 gene:LPERR10G08420 transcript:LPERR10G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPVRAASPGPPPPPPPPLLLPQMKGTPDTKGEEDEEKQGSLVVGGREEEDDEDEDLVMVASKNSLRNSAMDAPQAANVVVAGASRCSRNDGKRWRCKSAAAPGYVFCERHIAWSTRKRKPRPKKRSHSSILDTPAAKVEPTAASAKAEDDGGDGEEFQYYGGLQQGSGKRAKSGGG >LPERR10G08430.1 pep chromosome:Lperr_V1.4:10:10109246:10110646:1 gene:LPERR10G08430 transcript:LPERR10G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHPHPHADSTTPARHHRRILPSPLPHHHHHHILRRRRRFANLTTSAVILGPDGNPIGGGPRDHKLPFAPPPTAPPDQLYQPFHPPPSPLPEKYRALDLAERLAVLRDRLGLWYEYAPLVSALSREGFTPSSIEEATGISGVEQNRIVVASQVRDSLLSENFPDEMLPYFDSYGGPELLYELRFLNARQRADAARNAIDRRLEPRGVRELARSMKDFPRRRGDDGWGEFDRDSPGDCLAFARFRQSREQIDPEDAVAELERAMQVVETDAARARVEVEMERARRKAAGEEIDDEEASPELARPDVPVVRLMYGEVAEATTVLLLPVVRETEGVEAMTAAPRRTKTDVDLGLVEVDKGWTRWAVVPGWGPVAEVAGEVVVIELADGRTLPWRSAEEERVLVVANRGRREVVESGIYVVEKEGRLVVERGRKLAEQGVAEAAAEVLIVVRQPKDEDDMISDDEWD >LPERR10G08440.1 pep chromosome:Lperr_V1.4:10:10111310:10115305:-1 gene:LPERR10G08440 transcript:LPERR10G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFAGRVLFAAAFLLSAYQEFNEFGVDGGPAAKALEPKLNTVVANISTRTGLVVPHIELKHVIGAMIALKGLGGLLFILSSSLGAYLLLLHLVFITPIVHDFYNYDIESAEFVQLFTKFAQNCALVGALLFFLAMKNSIPKRQSNKKAPKPKTN >LPERR10G08440.2 pep chromosome:Lperr_V1.4:10:10111308:10115355:-1 gene:LPERR10G08440 transcript:LPERR10G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFAGRVLFAAAFLLSAYQEFNEFGVDGGPAAKALEPKLNTVVANISTRTGLVVPHIELKHVIGAMIALKGLGGLLFILSSSLGAYLLLLHLVFITPIVHDFYNYDIESAEFVQLFTKFAQNCALVGALLFFLAMKNSIPKRQSNKKAPKPKTN >LPERR10G08460.1 pep chromosome:Lperr_V1.4:10:10125944:10128451:1 gene:LPERR10G08460 transcript:LPERR10G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVSPPLRCHKFAADSRFFADQSPVYADGTTTALPEWEREASIRQFYGVIFPSLLQLTGGITELDDRKQRRLCIQKFKKVDERVSEVDLERELECGICLELNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVKPSSLWTYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >LPERR10G08470.1 pep chromosome:Lperr_V1.4:10:10145255:10145629:1 gene:LPERR10G08470 transcript:LPERR10G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRSKDTATKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAQRGAAAVLNFPATATARGGASGSSSSSAQRGGGGGHGGRGEKIEFEYLDDKVLDDLLDDNKYGGK >LPERR10G08480.1 pep chromosome:Lperr_V1.4:10:10155927:10158015:1 gene:LPERR10G08480 transcript:LPERR10G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHNTRAQPNPNRDSPQESRGSSSSSSAMARQEQNQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSIASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYESDRAGSTVHQADFVWRIILMLGALPALLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQMEIQDEPEKLEEMVSRSSSNFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTSGNHIGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEDDDGDIAGAGAAVRPQTA >LPERR10G08490.1 pep chromosome:Lperr_V1.4:10:10168081:10169661:-1 gene:LPERR10G08490 transcript:LPERR10G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLKVLTTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDLSKDNPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGHTAKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNAYPAPSYADGRAASLVPQADYVWRIILMFGTIPAALTYYWRMKMPETARYTALVARDAKQAAADMSKVLDTDIKEDDAAVVAVGGGGGGNEWGLFSKKFVGRHGMHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPAAKTMNAVEEVFRIARAQSLIALCGTIPGYWFTVAFIDVVGRFAIQIMGFFMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYASQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEISKENAADDEEAMA >LPERR10G08500.1 pep chromosome:Lperr_V1.4:10:10172295:10175510:1 gene:LPERR10G08500 transcript:LPERR10G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHCRSLLFRRSHLRHLAAERRYTTAANLAASVADIPFPAASSTGSIRGTLDRVDAGELAATPRLYQSLITACAILDDARAIHAHLAGSQFAGSVFLDNSLIHLYCKCGGVADARRVFDEMPRRDICSWTSLIAGYAQNDMPDEALGLFPAMLRGRFKPNGFTFASVLKAAGASGSSGIGEQIHALAVKCDWHGDVYVGSALLDMYARCGRMDMAIKVFDQLESKNGVTWNALIAGFARKGDGETTLLKFAEMQRNGFEATHFTYSSVFTAISGIGALEQGKWVHAHMIKSGQKLSAFVGNTLLDMYAKSGSMVDARKVFDRVDKKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGIRLNQITFLCILTACSHGGLLKEGKRYFDMMKEYNLEPEIDHYVTVADLLSRAGLLNDALVFIFKMPIKPTAAVWGALLGACRMHKNAKVGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKATGVKKEPACSWVEIENSVHMFVANDDTHPRSEEIYKKWEEISIRIRLAGYIPNTDYVLLHVDEREREAKLQYHSEKIAVAFAMINMPVEATIRIMKNIRICGDCHSAFKYISKVFKREIVVRDTNRFHHFSSGTLLGRSYPLLTFDYQKHQLQKVIVEREDAA >LPERR10G08510.1 pep chromosome:Lperr_V1.4:10:10175931:10180458:-1 gene:LPERR10G08510 transcript:LPERR10G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDPRVGDAMGACLPAASVFLSFSDPLTGDDGGGVPGGGRGGASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDETHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGAAERTATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLGCPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFESLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLQAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >LPERR10G08520.1 pep chromosome:Lperr_V1.4:10:10188527:10210780:1 gene:LPERR10G08520 transcript:LPERR10G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGVNDAVINQKSSEDTLKKLKLVHTVISTILVYAESNDKMRENILDMFLASSASDITTKYCSEEALSILASRSSIFIDLVGKKGVLSSCQQSYANRVCCFHKQRSLGISEVKCINWSLSLMAFINSQNVEIAEVILNWEKAIRRSLRVWRNAQSTNCSILFTSNQIVLYLFFKTFSHRIHGAWYDRLVIRNLICSMVCVSVQPLDGKEPKRKIRRYEIGG >LPERR10G08530.1 pep chromosome:Lperr_V1.4:10:10213904:10216435:-1 gene:LPERR10G08530 transcript:LPERR10G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKVISVFVNNLHLSAASVQFLAGLTTLLMLFRFVLDFVRHQSISGNMRNLILVLDAATYSLLHYSLGVMQRPSVKNSYYQVWAVLLVTLRYSVKHGRPAGVALRQTPLVDLMSSFWAANILRSHAPALLKIPVWLLWSINSARIIHGFVSSEHATASNKENMRLVTEYMRPPNSKTRPAAAAAVDPVTMAGYQYLVLGEAKQKKKVQPPDYSLELKRTKEEELITVEKIWEWSTSSDNDQMTSGSAHRRRVAELLNQCEGKVKDLCISFALYKLLRRRFFNLPLHESKLPETKKFIFQGILAPPVTVPAPPSNPSGGCCSRARGDQDDGGEDGRPVPKYSRALLIAKMELSFLNDFFFSRHALMFATGFPSMRLILSTLLLGAISYMAYAIHHFSKITQEDELGRVRVHHGVFFTWILLTLLGAKEMIEIASYVLSDWTKVLIVCKYIQRPWWLRGPVMAKLLKLLCRYSLVRRWNGKIGQHNLIFARHRRFTFAVTCLTEDIQESIFGYLSTLENQSDIKPSNKGSYVDRALKLLQESQQKDDLENAITNELKQLQGEEVHRILLWHIATCYCECHLAKKGNRAKRAALTGPFIQESKLESSQYGWTSSCTQHYITAVRLSQYCAHLLKNPPPFVPGNDVIITAVLTEVTRETCEDLKGCSSISQTFQILEAMANDQDFKGSTGKEANKVEQGSMSNEACEDAQGDKEANEDARGSITTDANQDEQGSSTGKEANDAGKGRTLLKMGSVLGQKLIDATDGNDELRWMFLEELWVGFVLHLAEFTKPSKHKIYLSRGGDFMTHLWALLSHAGLLGGSENDTDNGAVPYQEASRGEIVINN >LPERR10G08550.1 pep chromosome:Lperr_V1.4:10:10253943:10257122:1 gene:LPERR10G08550 transcript:LPERR10G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSEIVKWFFLFLQSESAMLMRIEFLVVVIALLYQLMSFLDLWRRRSRSSTIKYVLLILDAIADSTFLYTIGLMQNATFKKDLFPVWALVLSNLRFSGCFISAYGIPDQENRRISELSNVMALLGVAFLNGTRNSQFRHPIWALWVMQVVRSCYLIGAYYFAVRSSLHGRSSMFVVSSVDRSDKPTGRSDESSEVNLKKYRYPVCGDQYKSLKVKAPGYNFDLNTTDDKKAVSTQHTRRPRSFFSNFRKQWIDKAPITLDKILSPGALDKMMTGNDIKLIQDMCLSFSLYRLLRCKFDDLPLSGDIVEKTKILVLREIMNEKVDRERTWRIVESELAFLNDYFYTRYPVLFFHGFPGLACLHPVLTIAFTFWLGRDIHKVYRPQVGEVAHVIGGVNVDLVITWVFMGVVVVKELWKTLTYVLSDWTKVMVLCDYVAERMIWVPECIRDKLVWLLCTPRFKIVERWHGKIGQYEFLRAYVYKPWKWNIFFYMSLGMMPRRKKGIKPGKSIKLPEEVKDVILLSLRLNVKNDRPVPGEKENVNEDSLVGDKNHGLPSVATFLSKNESNPAMIQIDWNPLLHLIEQTLRQPTCSYTILVWHIATSLCEIDLAQHYNTSLTDSEMLHELKHAKANNCCCCCCSKKQPYMIKSQRLERALRANYTVANSISRYCTYLLASVPELLPDSYFVPELILKSTVREASKILEGCDNLQSIYRRLMREAEDRKDNNNKDDNDQTEDDEQECKCSDLPGISCFLSFIKGVYRCFFPGKKGTQDERQPSTGGDGGNGSMNGQIGNPDTANTVDEGEHNGQRGGNGSWNVRNGSPHTAINVDREHHNDQSGGNESRNGENGNHNTDGGQYNAQNGENGSKNRENSNPHTAINMDGGVYNNQSDRNGSKNRETGNSDMYGEHNDQTGEDAGTICHDKIIKMGARLGRLLITATKDDDVARWELLAGVWADLLVHMAPSKNTEAHKRCLATGGEFITHIWAILCHCGIQKSNLWQRQEATREDQDPVQQQAKSTANQTLATGEVENDGQPRARRPRVFERGEASSSTCTQNS >LPERR10G08560.1 pep chromosome:Lperr_V1.4:10:10261772:10262422:-1 gene:LPERR10G08560 transcript:LPERR10G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHLVLPILVLLSIGMTTSARTLLGYGIGGQGGGGGGGGGSGGGYGGSGYGSGSGYGEGGGGAVGGYGRGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGGQGGGAGSGYGSGEGYGSGYGSGASGVGGGHGGGGGGGEGGGYGSGSGYGSGSGYGQGGAYGGGYGSGGGGQDGGSGYGSGFGSGYGYGSGGGGGHY >LPERR10G08570.1 pep chromosome:Lperr_V1.4:10:10264587:10265267:-1 gene:LPERR10G08570 transcript:LPERR10G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALAILVLLSIGMTTSARTLLGYGPGGGGGGGGSGGGGGGGQGGSGYGSGSGYGEGGGSGGATGGGYGRGGGDGGGGGEGAGSGSGYGSGQGSGYGAGVGGAGGYGSGGGGGGGGGQGGGAGGYGHGSGYGSGYGSGVGGAGGGGGQGGGGGGGGGQGGGYGSGSGYGSGSGYGQGGAHGGGYGSGGGGGGGGGGGQGGGSGYGSGSGYGSGSGGGNGHH >LPERR10G08580.1 pep chromosome:Lperr_V1.4:10:10275505:10276433:-1 gene:LPERR10G08580 transcript:LPERR10G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDLVLDEGMERLELMGLLVERTLVELVEDRAMVMAGDLVRVLDLGDKGGGGGGQNGGFGYGLGSGFGNSEANRYEPYDVLEELVVAKVGVVGQMEDQGVWAVAPLLMVAVVAKVEVANRTADSDMAPVWALGFGETMGYSPYSGNYANRTGQNGYGDGSGTGVGIGQFVGGRAYNNRYTKARQVVEAMEVVVGMVDLMDLDMDLVLALAWVRLVVRMVVTMRRVKVMVKVRVLVLEMDMTKVQLRDLDTATLVLDCHEIYPYIILNKLISVSPI >LPERR10G08590.1 pep chromosome:Lperr_V1.4:10:10277907:10278499:-1 gene:LPERR10G08590 transcript:LPERR10G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSTPSVGVAAVEGEAVVGQGVVQVMDPVQDTARQRALVEPVVVEVVVVEAVAVVDQVVAAVVEVVEEVGMVMELVLDMVRQEDMDLDLMLVAAVVVAEADMGMELALVMVRLVGRMVDMLKEVVGAVAAEVVGAIQAVVVMEAAQEVGQDQPVGTRKKNHLN >LPERR10G08600.1 pep chromosome:Lperr_V1.4:10:10282498:10282899:1 gene:LPERR10G08600 transcript:LPERR10G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWILLDGLPAATSRHARPSVTITYGFTTLGPNSREREEGMGRRDQGKRRHQEAAEEDGRLAAASAQLGFWGRRKPSRYLHRPLVRWTAEKQAGPDEPARAEITCIPARRHRLGLAQKGTDASPHQPLCCRA >LPERR10G08610.1 pep chromosome:Lperr_V1.4:10:10290549:10291106:-1 gene:LPERR10G08610 transcript:LPERR10G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALSFIVLLSIGLTNAVRVARYASAGGGGGGGGGGGGSGGGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGNGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYSGGYAHAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSVYGGHP >LPERR10G08620.1 pep chromosome:Lperr_V1.4:10:10294222:10294860:-1 gene:LPERR10G08620 transcript:LPERR10G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKIVLLSLLGLLVIGVANARPRKYVSAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYYGPYGGGYAQGGGGGGGGGGGENGGSGSQALDLDQLRPEDTDHTVEDMLKLEDKVVVEVVDKVVQGGVDMAVAQEVDLEALDTHKVYTYRPEDMHEAYISNATVTNDTYILI >LPERR10G08630.1 pep chromosome:Lperr_V1.4:10:10302507:10303085:-1 gene:LPERR10G08630 transcript:LPERR10G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLVALTFVILVSIGLTHAARVSRYANAQGTGSGGGNGGGYENGGGAGSGNGFGVATSGHGAHAHASGGGGGGGATHYGTGFGGGFGTGSSSSQTSSGYYQGFTGDASAGGGGGGYGGGQASGSVGSGGYGSGFGTGSGASEGAGGFSLPYPLYGNAIASANGGGTGGGQNGGNGNGGGGGSGYGDANP >LPERR10G08640.1 pep chromosome:Lperr_V1.4:10:10306094:10306690:-1 gene:LPERR10G08640 transcript:LPERR10G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRVVLISFLVLMSIGLTHAARVSRYANAQGTGTGGGNGGGYLNGGGAGAGNGYGVATSGQGAHAQASGGGGGGGNSASQCNATGFGGGSGAGSSSSQMSRGYYQGFFGNASAGGGGGGNGGGQARGNTGSSGYGTGGGTGSGASSAAGGFSIPTPSYANADASANGGGTGGGQNGGNGNGGGGGSGYGDANPNP >LPERR10G08650.1 pep chromosome:Lperr_V1.4:10:10312733:10313299:-1 gene:LPERR10G08650 transcript:LPERR10G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSLVVLMSIGLANAARVMKYANAQGSGSGGGNGGGYVYGGGVGNGNGYGVAQSGSGAHANAGGGGWGGGGSGYNGTGFGSGAGTGSSSGQVSSGGGSADAGGGGGGVGGGQAGGVDGSGGYGTGGGSGSGAGEASGSAPESPPPYANANATGNGNGTGGGQSGGSGSGGGGGSGYGDANP >LPERR10G08670.1 pep chromosome:Lperr_V1.4:10:10326945:10327133:-1 gene:LPERR10G08670 transcript:LPERR10G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRRRLGATAQPRSPPPCAPCFGLRPVDPSLAGAACGAASRRARRAVTRRTHARAGVGNSG >LPERR10G08680.1 pep chromosome:Lperr_V1.4:10:10339494:10340060:-1 gene:LPERR10G08680 transcript:LPERR10G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPVALSFIVLLGIGLANASSTSNGNGTGWGEGGGSVNGAGGGSGSGTGSSVSIVNEGGSVHGSGGGGGGGSGGGRYGGSGHGSGSGRGSSSSQIGLDPFLGSSNAGGTGGGGGGGRANGYYGSSGYGSGSGTGIGSSESMNADFPGGVHSNANAFGNGGGYGYSQNGGSGSGKGSGSAYGDGEP >LPERR10G08690.1 pep chromosome:Lperr_V1.4:10:10343842:10344450:1 gene:LPERR10G08690 transcript:LPERR10G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIVALLFFLLLCINGLSNAARLVRYSSAKGEGEGGGEGVGYGSGAGSGSGGGSGASNSSSIGGFFGISDAHANARGGGSGGGGGQLGSAGSGSGSGSGTSSSNSSSVTRAWYGGGKVNAGGGGGGGGDGHGGGYSGSSGYGSGFGTGGGSSEVVMDGFYGAHANADGGGNGTGEGHGESNGYGNGGGSGSGYGNGKYP >LPERR10G08700.1 pep chromosome:Lperr_V1.4:10:10356009:10356566:-1 gene:LPERR10G08700 transcript:LPERR10G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLASLGFILLLCIGLASATRVSRMSFSSASGTGGGEGAGYVNGHGSGSGSGAGTGDNGNDVGSHAVAQGKGGGGGGAPYGGTGYGGGSGTGLGSSTFSAMGSSTASSTGGGDGEGQAGGDPGSSAYGVGSGTGSGSSMLHETFPGFTNAYASANGGGTTNTENGGRGAGNGGGSGFARSHP >LPERR10G08710.1 pep chromosome:Lperr_V1.4:10:10378119:10383743:1 gene:LPERR10G08710 transcript:LPERR10G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEEATESWVRYKTSFLERNGDGSDPIYSPVDSLALYDSNSRKPHGKWPLFNGIVNNKEVIAELKSGSLLALKRQRREAENHQRRRESEKFQQQNVYTQKMAEWSSKAYKCCSLGQKLLETMARDQGYPSADIPPPLSPPPEPPTSPTADLGDTRGLDVGEENDNRFNVVTDGMSGGFLTSSSHSGAGNFLPPLDELEPF >LPERR10G08710.2 pep chromosome:Lperr_V1.4:10:10378148:10383743:1 gene:LPERR10G08710 transcript:LPERR10G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEEATESWVRYKTSFLERNGDGSDPIYSPVDSLALYDSNSRKPHGKWPLFNGIVNNKEVIAELKSGSLLALKRQRREAENHQRRRESEKFQQQNVYTQKMAEWSSKAYKCCSLGQKLLETMARDQGYPSADIPPPLSPPPEPPTSPTADLGDTRGLDVGEENDNRFNVVTDGMSGGFLTSSSHSGAGNFLPPLDELEPF >LPERR10G08710.3 pep chromosome:Lperr_V1.4:10:10378421:10383743:1 gene:LPERR10G08710 transcript:LPERR10G08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEEATESWVRYKTSFLERNGDGSDPIYSPVDSLALYDSNSRKPHGKWPLFNGIVNNKEVIAELKSGSLLALKRQRREAENHQRRRESEKFQQQNVYTQKMAEWSSKAYKCCSLGQKLLETMARDQGYPSADIPPPLSPPPEPPTSPTADLGDTRGLDVGEENDNRFNVVTDGMSGGFLTSSSHSGAGNFLPPLDELEPF >LPERR10G08710.4 pep chromosome:Lperr_V1.4:10:10381827:10383743:1 gene:LPERR10G08710 transcript:LPERR10G08710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEEATESWVRYKTSFLERNGDGSDPIYSPVDSLALYDSNSRKPHGKWPLFNGIVNNKEVIAELKSGSLLALKRQRREAENHQRRRESEKFQQQNVYTQKMAEWSSKAYKCCSLGQKLLETMARDQGYPSADIPPPLSPPPEPPTSPTADLGDTRGLDVGEENDNRFNVVTDGMSGGFLTSSSHSGAGNFLPPLDELEPF >LPERR10G08720.1 pep chromosome:Lperr_V1.4:10:10388528:10389100:-1 gene:LPERR10G08720 transcript:LPERR10G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLVALGFIVLLSIGLANAARVARYSSSDGTGTGGGGGVGAVNGGGAGAGSGVGLAQSGSNGAHATAGGAGGGGGSSQYGGYGAGGGSGSGSSSSQYATGYYSGYGGSSSAGGGGGGGGNGQAYGNSGSTGAGSGSGIGSGSSSANNYYSGYANAGASGDGNGKGSGTNGGSGSGAGGGSAFGDAIP >LPERR10G08730.1 pep chromosome:Lperr_V1.4:10:10404054:10404626:1 gene:LPERR10G08730 transcript:LPERR10G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFIVLLSMGLANAARVARYSSADGSGNGGGEGVAYDNGSGAGSGSGYGLGQSGSSGAHANAGGSGGGGASSQYGGSAYGSGSGSGLGSASQYNSGYAGYGGNSDAGGGGGGGGAGQASGYGSTGSGSGSGAGSGSSYADNNWYGSRAGAGASGTGGGNGNGENGGNGSGAGGGSGFSNASP >LPERR10G08740.1 pep chromosome:Lperr_V1.4:10:10416415:10416984:1 gene:LPERR10G08740 transcript:LPERR10G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLLALGFVVLLSMGLANAARVARYSSADGSGNGGGGGVAYDNGNGSGSGSGYGIGQSGSSGAHANAGGGGSGSGSSQYGGTAYGSGNGSGSGSSLYSNGDGGYGGNSDAGGGGGGGGGGQAGGYGSTGSGSGSGSGSGSSYADNNWYGSSAGAGASGTGGGNGNGQNGGSGGGGGGGSGYGNASP >LPERR10G08750.1 pep chromosome:Lperr_V1.4:10:10421585:10422163:-1 gene:LPERR10G08750 transcript:LPERR10G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLATLGFVVLLSIGLASALRVERYSSSEGSGTGSGEGGGYVNGGGGGAGSGSGSGSGGYNGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQVSQGGYYSGYGGSSSAGGSGTGGGAGQAGGYWPSNGHGSGSGTGYGSSTANNYYGGQTANAYANGNGGGNGQGQYGGSGHGTGAGSGYGDANP >LPERR10G08760.1 pep chromosome:Lperr_V1.4:10:10432046:10432621:-1 gene:LPERR10G08760 transcript:LPERR10G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASALRVERYSSSQGSGTGGGEGGGSVNGGGGGAGSGTGSGSSGYNGAHASGSGGGGGGGYSQYGGSGSGSGFGSGSGSSQTQNNYYNGYGGSSSAGGSGAGGGAGQAGGYWPSNGHGSGSGTGYGSSSANNYYGGQYANANAGGNGGGNGQGQYGGSGHGTGSGSGYGDANP >LPERR10G08770.1 pep chromosome:Lperr_V1.4:10:10441129:10441704:1 gene:LPERR10G08770 transcript:LPERR10G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSSAEGTGTGGGGGAGYVNGGGSGSGNGGGAAQSGSNGAHASAGGAGGGGGSSQYGGYGVGGGSGAGSSSSQYATGYYPGFGGSSSAGGNGGGGGGGQANGNWGSSGSGSGSGTGSGYSSANNYYRGSYANAGANGDGNGKGSGSYGGSGSGGGGGSGYGDANP >LPERR10G08780.1 pep chromosome:Lperr_V1.4:10:10447620:10456773:1 gene:LPERR10G08780 transcript:LPERR10G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETQLLSYFKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNIANSSSQQKVSLENGRKSSFSDHESLYSQEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQESPDHVFSRRHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAYIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMPPPVSSKKGKTTQDNTMQTSLPMDQSRQSTMLDEESDEDEDQIPEPEQETSTRGRDAAAKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >LPERR10G08780.2 pep chromosome:Lperr_V1.4:10:10447620:10456773:1 gene:LPERR10G08780 transcript:LPERR10G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETQLLSYFKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNIANSSSQQKVSLENGRKSSFSDHESLYSQEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQESPDHVFSRRHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAYIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMPPPVSSKKGKTTQDNTMQTSLPMDQSRQSTMLDEESDEDEDQIPEPEQETSTRGRDAAAKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVTLLS >LPERR10G08780.3 pep chromosome:Lperr_V1.4:10:10447679:10456773:1 gene:LPERR10G08780 transcript:LPERR10G08780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETQLLSYFKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNIANSSSQQKVSLENGRKSSFSDHESLYSQEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQESLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAYIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMPPPVSSKKGKTTQDNTMQTSLPMDQSRQSTMLDEESDEDEDQIPEPEQETSTRGRDAAAKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >LPERR10G08790.1 pep chromosome:Lperr_V1.4:10:10456862:10462072:-1 gene:LPERR10G08790 transcript:LPERR10G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGNHDETNTTTPILLNVRGYTSTHRRNATTAKSRTSNGHPIEVSFTTATPPILSHFSVQCPALEQQEPANYPYMVPKAIAADGQHFLFRMPVETIGLPYLSHNDYFVYTARPHPHPPRLDLIRKPSHDTLDDNEIAILSCGGDGEQYVVAALRTIICSTTTFTLHLYRSSSRDDGEEQGVWTCEEVSLEESAMTRDLVCPIPETANRQMHHVTSKVILLGGKNGTVGWVDLWRGILLCDVLLEDEEDSPRKVRDLPLPLPAKGNQRKFLNTSDDHYCRDVTVSRNKDIIKYVEMEIAPPRIVSTTPPGPRESDPFLEWIRCKERPDLKRSLVHGWWKATTWSMPIPVASWEDWRRDCTAKSTELTCASDDDNPPAYELLRAMCIDSYKDDDEEATSLLLGCLGMAYPAMSMDDDVIFLLTKPTSMERGKAAFLTAVDVRRKMVRAVAKLDSKKNSMFMRSYLTVRISKHFSATGSLGQAKEHVKKSARRRRPQGKA >LPERR10G08800.1 pep chromosome:Lperr_V1.4:10:10468691:10475163:-1 gene:LPERR10G08800 transcript:LPERR10G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVRQCDMKVMKIAMLNHEDTFRQQLSSSPERRRRLYLAFRIGGGGRRGGGVPMVDGEAAVAPWGRKRAVAGQEAARQSGGGIIGRRRWREGGDRGGGSRSPGATVAGEGCWRMARPPEELMGWAMNPDQRWRPELFLYQKLLTKPRQPDVITPSPSAVTPPQMAHPQPQYAIAPSCENQTPVLLLPEKKVAESSEDQAPGLPLSEKNVAPSSKNQAPGLSLPEKRGNNIKSTRENNGDLDLENSNRKKNAEKSDRNMKKGRQKANAKKSDKNRKKDAGQKADAKKNYGNANETVDTTKVIACSAVNDACPSSVDPEPSDTPSHLTKLVRFMGHNRRIVLQDANGPCSLLSICNCLILMGRINLGKQSRVSEYFLANQVFDLLFEKLKEDEIHPVLNVIKQSATALDVNPTFTSIDGFKDSSSHALFRILDVPLYHGWLLNPKDDATIVDVIRGRGHDEITEDLAKYDSMKEANQDIMAANLDQEYELIRKFLKDTCSQLTHHGLTTLQETIADGQLSIFFRNNHFSVVYKHNGRLYHLLTDEGFLTSNKIWQIISSCSLVDLMSTTSSPSHASSSNTTSSITRKPWYEGFLGGQDADKVLERRILNFLRGLQKITNSAARTFIQEFKELDFQSTSLTVRLCDIAKSHRIIPLKNFLCLPGNHPAEDDYIYCKNASLLVRKSTDVGRVILSNILVKICWTHNQDNSWDGKWSIDDIMVNCDTWEVDFWKPYCAQATRSAMHNDFIGCARELLPVFELDGRQPVLFRHLDCSLRTFISPDHFSAAMVQRFQRFITAHSGLRSPLSNLTFNDDIYNVLQDLLPRARSILEAMLKSEVFFDDWRSPFLDSDDAAPVSLLIPSTLRDVYMYNKAKLLAEQANNSPEDKDTVQDEESQLSQIDDAEKPKEEPFEGNVKGLMMYARDVRHHGSKRSKVPQPGKQSKVKC >LPERR10G08810.1 pep chromosome:Lperr_V1.4:10:10485835:10486620:1 gene:LPERR10G08810 transcript:LPERR10G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASALLPLDGRVALVTGGSRGIGREVCAHLASLGARVVINYASNSANADAFAADLNSRHHPNTAVAVRADVSNESAVRALFDRAEEAFGSAPHIVVACAGLLESKYPSLADTTAEDFDAMFAVNVRGTFLVCREAANRFAAPGGRIVTFSSSILGTLLPGYAAYTATNGAVEAMTKILAKELGGVGGKGATANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >LPERR10G08820.1 pep chromosome:Lperr_V1.4:10:10487701:10492265:-1 gene:LPERR10G08820 transcript:LPERR10G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSPTITVQVKFGGRTIPVEVSASASVAELKRLLQPLTNTLSSAQVVDGSKVMLMASQGIHQGDGPITKNSSVPAPSTRRASNVKETQTQQSDTNVSRIRPERWKATGIIALSNSRLKAVPEEVWGCGSSIRILDVSDNCIEAIPQEISALKSLQKLILTANDIADGSISWEGLICVQTLTILSLSRNRLVTLPSSLGSMTHLRELRIANNSLENLPVEIGLLKNLEFLIASNNRITSLPSSIGDCESLKEVDLSSNLLAELPEAFGNLQNLKVLSLRNNGLTSLPSAFFIKCWRLITLDLHGTEITNDILRQVEGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >LPERR10G08830.1 pep chromosome:Lperr_V1.4:10:10493876:10495816:1 gene:LPERR10G08830 transcript:LPERR10G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGALSAARWRGGGGAGGIGIDLRALLRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFAGAGANSSDAAALAESTVVAEAIPFIKLEDVATEKVEESSGVEQSVTVDTDASSDAGAAAAARAATEENPIVEAVSCDMEAPVDCTGDKDLFNLLMRTAIEKFPDLHFYRFGRPVAVPGSPMECDLAWRFRPAEDTNGRATYYKDYRRFALTRDVNTCTLVVSTVGEYHSGTGAKRSGRRKGKKGKKGKRDREAPVTDFVPAKTQMRLDENAANADNAGGSEPELVVGEAVNDNLPVVESESEFSRGKYLIYMGGGERCKSMNHFVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTTSGKDEERDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIRVTPMQLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSQMNWDFDSVHVVRGEKAQNTQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPLKGKYQTHFLDDFKDLWNENSEWYTETKELSNGNPVEFDGYMRVAVDTEVFLRGKRKLETFNDLTRDCKNGVNTCPASS >LPERR10G08840.1 pep chromosome:Lperr_V1.4:10:10497447:10499341:1 gene:LPERR10G08840 transcript:LPERR10G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRIRCRGRWDDKIGAWLRRCRTTCSRRSSAASRRAASPCPPASASHGATPSTGTAFCSRPRTSSRARSPASSSTSTAFTTRNSSPFLPSTDEFRLIKVEDHCNEYPECVVNPATGWWSRLPPQPPPREKKMDYSHVAYLVFDAVVSPHYEGHRREKPDDVVEASEWPPASYTMPVFSSMEGLWQERSFLREGEAACTIADMRSCLSDSDHRMQSIGEEHSIISFSSDKYQVIKPPEYSDSCLLSWKVKRRGGCLKVWILDETCGKIRWKLKHNKDIKPILLGRNNRQGLGPWILQDINHRAELKRGLAYHLNSSKIEDIGDLYPTDYDLELPNEQFITATFPYTPCLMRGLI >LPERR10G08850.1 pep chromosome:Lperr_V1.4:10:10505387:10517165:1 gene:LPERR10G08850 transcript:LPERR10G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKYAALRRAAEETAAVDAHAHNLVADGSAFPFLRCFSEADAADALAFAPHTLSFKRSLRDIAGLYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPSVGRILRIEKNHSAHQAGHWILADKIVGLKSIAAYRSGLEIDPNVSKTDAEDGLRKELSGQRPLRMSNKNLIDYLFTCSLEIAVMYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKSQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMVSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYQVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVSNGSVGQITAVADNNISLSEQDVLFVRVVWIDASGQHRCRVVPAGRFYDIARKKGIGLTFASMGMSSFTDGPADGTNLTGVGEIRLVADMSTLLRLPWSRREEMVMADMQIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMKAGFENEFYLRKKIVSGDKELWVPYDNTPYCSTAAFDGASSILQEVYSSLKTAEIVVEQLHAEAGKGQFEIALKYVLCTLAADNLIYAREIIKSVARKHGLLATFLPKPDLNDMGSGSHVHLSLWENDQNVFMGSSEYNYHGMSRIGESFLAGVYRHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRGLKLPEPTESDPANYASNSKLKRMPQDLLESVEALAADTILHDLIGDKIVTAVIGVRKAEIDHYAKNPAAFADLIHRY >LPERR10G08850.2 pep chromosome:Lperr_V1.4:10:10505134:10517165:1 gene:LPERR10G08850 transcript:LPERR10G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKYAALRRAAEETAAVDAHAHNLVADGSAFPFLRCFSEADAADALAFAPHTLSFKRSLRDIAGLYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPSVGRILRIEKNHSAHQAGHWILADKIVGLKSIAAYRSGLEIDPNVSKTDAEDGLRKELSGQRPLRMSNKNLIDYLFTCSLEIAVMYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKSQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMVSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYQVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVSNGSVGQITAVADNNISLSEQDVLFVRVVWIDASGQHRCRVVPAGRFYDIARKKGIGLTFASMGMSSFTDGPADGTNLTGVGEIRLVADMSTLLRLPWSRREEMVMADMQIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMKAGFENEFYLRKKIVSGDKELWVPYDNTPYCSTAAFDGASSILQEVYSSLKTAEIVVEQLHAEAGKGQFEIALKYVLCTLAADNLIYAREIIKSVARKHGLLATFLPKPDLNDMGSGSHVHLSLWENDQNVFMGSSEYNYHGMSRIGESFLAGVYRHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRGLKLPEPTESDPANYASNSKLKRMPQDLLESVEALAADTILHDLIGDKIVTAVIGVRKAEIDHYAKNPAAFADLIHRY >LPERR10G08860.1 pep chromosome:Lperr_V1.4:10:10513309:10516843:-1 gene:LPERR10G08860 transcript:LPERR10G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGHECSFKILLIGDSAVGKSSLLVSFVAAAQLDHDITPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLADVWSKEIESNSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMEVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >LPERR10G08870.1 pep chromosome:Lperr_V1.4:10:10524394:10527212:-1 gene:LPERR10G08870 transcript:LPERR10G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSEEQYGCAHYTRRCRILAPCCGEVFDCRHCHNEAKNSLEIDLNDRHEIPRHEIKKVICSLCNKEQDVQQYCSDCGACMGKYFCEKTGGIDKFFHCDKCGCCYSNVLRDSHHCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGVTSNVNFHVLAQKCPGCSSYNTRETRGGPAAARSTV >LPERR10G08880.1 pep chromosome:Lperr_V1.4:10:10533960:10569296:-1 gene:LPERR10G08880 transcript:LPERR10G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSTAAAVARLLARCPALRSDPRVLALASPATPEGGAAAAGGPSREDVAAALAEPLLHPRYTIPVLGCFLPLAPALLDRAVELLRSAAPALRSDGEVVEEEAGEGDVRVVEFYLSRGRGLRLHELACLALARALDLAPYLLRYLLNYFKFAPPPFQRFLPGGVPSPIQTKGLHLLLDATQVSYRLLELEPRVFCEQWDWSCFLDLVYSTSDYSLVDNSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRWKEFCTDTSLEKASLYLQAEEVNFKIDADGLTCLSDSLLDCHEFAVGRHHSSGSNICPFVPTATLRKSYEVALMAVNQKWPILLYGPVGAGKTALINRLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSTFSIGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKSNSLVSYQFGGLNLAGASSECLMQRFSLRDLLKWCKRICGVDLNFKGLGLSSSCCKLIYFEALIQKGPFADILRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYTEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALAKCVEKAQKLIDGSYRSNSGSKRKRPLPAQVISDWDSFSSKLNAACSQIGSATGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVGKYLDGLHAAKGVTNSIVQFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLAYIKSAEKKFGFKKALYDGFCMFFLTMLDAPSAKIVNNLIVSLLLDGRLPPKISFADYFVEQPKLLNGSESDEFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAKSGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIAAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEMHVDEIPEDELITILEQRCAIACSYSTQMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDNEKAVVQEALQRHLRVKLSISNLYNMIHMDVSGSRQEMKRLKPNYKVKQREYSLKMLIHKLQCDIMTCDAGTISITWTESMWRLFFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIALEFKDLITKMKQMEIFIHVAGDMALPSDISGAMNVMNHINDILDRYRKDKELFPQVPPHDIGAMEQIRLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIATERFTKAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTEGHLGPQQALIHGLFLILLDGLTLGMNVSKSEATELRRTCLSFLLEELQKVEGKPLNSDLNDLKNYGWGDHTREIDIGQPDYFGIRPFYIAKGHFACKQQGFEFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGDNGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPSSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEGDYSFICKSQYPSIKDDILKKLISFNNKLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIAGACETVTGYPDTSKFDCFLNTVYLQRMRTVADRDEVVKLFEEVFQMKYSIFQTKMLDVNPQCLVVGSASIRRNRFHSCKVQNNQLNILPATDVSELLGCFEQYNFFRHYKVVISQVERYVDEYFSLSIDIHWKKLIAERKTLFTKWFEFVVARKCSSICTSTLIEMSKNSSLPSLGLISDIVDKMKCDLEMFDLPISFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINSKHGEVSRAMRNRGVEICLMNQNLSIYGCRNAPEDSEKKDIMRFLISCGIPKMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNHFLWSLHLSWEHTYLPSLGEVNGSNIVEEGKLRFLVHFDGGSTDLQYGFSLSLPGGWPVEQKLKDFVWYSKETCVQRNCMYLQSLGSQYAAYQISTLKSSSSLLGPITSIHPYVLPATSLFELQFPTVSDLQSIKPCVTGLFNSELADQMLFIAANWVLEQSTENDLKLYAIWFKWYNHLLQPYCNFFETYGNILKQESDHPIWHSILECYREIVAYHKIDVAAHPIPLLSTKLLDMAFCVTLKDCHNRLRNSRNGLSLLRLTLQQWQSETKFPDHGIMKVTMLPALKSLRCLEDEVLKMVVKSRKLLQIYSRLLDYHRSIWKMILLSQFEGLPVVWNLLKKEVLKLQPKFPVEVGVFLMESINLNSLQDFNLHYDKPTLWVYGGHPIMPSSGRIFYKIQDILAFSAAVWPRKNILKGHFDDNQHLIDAMLSASQDLRNLAMEGLSMGSLAATITEQDDSTVLVQLDEVHKSIVEKVDWEKKHLELCSKSATVQVIANTEYLLKYAMDFSLGSSSRSPFEFTQHQIIWWIHQAWAKVDNAYKSAFKCYAVHIKVASSILEMWFNYHTFLWTYCSGKPKVQFPVTHDEACDLAHLTKMDAIDTILQEDLHVTDYEKNRLVLRISSRNIWEGVSFARNFVLSLHSAADSLFKQIIVAHKKHFKQEEYSKLESILFQQPENRVKKEDLDTACALLSCSSHGVLASLSGSHELVRSLLLELHSPVSQGHLMHLGSAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDVYDQNLLQELKAKEKNIRAKVVFRPSQSKHKSLIAACYEFEERLSECKSLLNSLNGNGVGQLEVDRVCNWQITSRNFIKRLTDEYGEYVDLIKPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIEQALGAVFALMQFPSVSVTANVSVDMPDLTKYGSDDQLGIRYSEANDLAMLKKLAAVSSQLNAGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDNTSYLLLKETFDQFTSMWIDMKTSLKAKENDDSQYYKFRSRIIDIHDIFKGNVPSLSDVDTEGNAVADTEEKLEQDFFKIMERTDENNGVAEDTWDLIPESILKCIVTIHNQLFGSPDLVEKPRKCQIRDDQIIQSFIDSYELGSRILKDLPELTSSTFDEKLMPEHLFRVCLEYRRTCASSLECNSYKTYQDPNPSVLFRMVEPLTALKEKVRHFLDEWPGHPGLLKILDILDSLLAMPLSTPLSKALLGLQLLVGKAQTLQENDSKFFFKDHLPPLFMIVSSWQKLELECWPILLEEVLGKYENNALKNILGLVQLWFPLRALLAQSCDISKNEELSIIKSVEEFVQTSSVGEFKRRLHLLLAFHGEIADAASVGAYSSSSLKKIQNILYNLFGYYMQFLPLILDQIEAGKVSIEKDLKDQVKLYRWEQDPHSTASIEKFKRTRQKIFKLLQRFNDILQKPVIVLLNQESTARKVPCWLDQQRPGSEFPVDTGKLSERFLWYTKWANQAKLSFQALQKTNVATIGVTNLSNNKEFMRVAFHNTNSRQDESELEDRLKFFWDAIERICNAADFGSILKHGKKNQKKTALSNLFKTLEECGLSKHRPMGHEWGNDLDASSPFLEQSYITTHLLQQATSQKIPEDSIAHATLLSTNNWKHANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMNHLLSMLSEQRHFAYNMFEQLNEFRHAIFLLGSGADSGFLSSRQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFMDCHRDPCDNVQVEVSAISSIFEKFVTRFSESKDLLDKYLLGSNNIITGSHKNMPLATTEMEQLVAANCQLISMFKEEIQVLCHQDISIRSVKKVLLSWFEELLEKVNLAMAILSREVEDKHMLSSDVLHNLEASYAETFKEILSLAIGIVGKLTDLGIPTDGNHDSLEGNITLWKNILQTYVTNLQMGHLCDGVKKLTVSVRGLVDIKPELCSSIELQLIHLHALLALVLSAAEGILSELLEAHKTTSEMTHALGDLLIYLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDAQHKPDQAPKNDDEGIEMQEDFNAELSDVSEDPEGEDSGDDNDDMNLENQMGDTGDSSEMVGKKSWDKDKDDDPNTSTEKYESGSSVKETQKNDRDLRAKDEDAFEEDPMETDCEEQGKNNNLEDDPSTHEDVDQDTDDVMDKADAYDDRTGPELPEPNDDCEDVDMEGTDHVDEMDADGEELSPEEEKLADGSLDDSDDVDDGDAAQHGDTAIDGEQEHAEDVPMETNNMDKEQLQKVESLEHPSQGIQANNVDMDPNRESDSNLANSTDMNSAAAPVSYSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPSQIKETNPFRSIGDAMEDWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLRVKQTSIEDENHVQKEEHNTERTPADDHSLEVPHLQASQSHTNKSENANNFEHREIQTDTSVQDSVLGETDNAFGDFVSFKRPPADERTILSDNLANGRELSTQMEIDITDEDMKGATIDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGMNMISSLSFEQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGNNPLQQFVLIISDGKFHEKENLKRCVRNVLNKKRMIAYVLLDSHEESIMDSLEVSYQGAKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE >LPERR10G08890.1 pep chromosome:Lperr_V1.4:10:10584133:10588402:1 gene:LPERR10G08890 transcript:LPERR10G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGTVPVQIQHVRPPPPPMAQYPPMQMNGQPVWPPPQNQLPPHMPPPQMHYRPAVRPPPPNMMPPPPLGMVRPPPPPSGMPAPPMWRPPPPPPPQQAGGMPPPPMSMPPPPPPPSG >LPERR10G08890.2 pep chromosome:Lperr_V1.4:10:10584133:10588402:1 gene:LPERR10G08890 transcript:LPERR10G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGTVPVQIQHVRPPPPPMAQYPPMQMNGQPVWPPPQNQLPPHMPPPQMHYRPAVRPPPPNMMPPPPLGMVRPPPPPSGMPAPPMWRPPPPPPPQQAGGMPPPPMSMPPPPPPPSG >LPERR10G08900.1 pep chromosome:Lperr_V1.4:10:10589272:10593662:-1 gene:LPERR10G08900 transcript:LPERR10G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADESTRIILFLLLAATATASSPLPLLNSSLPDPAAVVADFHSKLATSRRRMQESGGGGAAAGGCMTGNPIDDCWRCGGTDWRQDRQRLADCGIGFGRNAMGGKGGPLYVVTNPTDDDPVNPAPGTLRYGAIQEGPLWIVFSGDMTIRLNEELLVNSFKTIDGRGANVHIAGGACITLQYVSNIIIHNLHVHDCVPAGNANVRASPTHSGYRTRSDGDGISLYSARDVWVDHCALSRCADGLVDAIMGSTAITVSNCYFSHHNEVMLLGHSDEYEPDSGMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSANPTINSQGNRYIAPADPNAKEVTKRVDTEEGRWSGWNWRTEGDMMVNGAFFVPSGEGLEDIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAAAYAGVNYAGVGTAGGRGGGGAGGGLGYGYLGMVYGSGGNWSCRADLILQLTSLLLALVGLICLHPL >LPERR10G08910.1 pep chromosome:Lperr_V1.4:10:10596367:10599060:1 gene:LPERR10G08910 transcript:LPERR10G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLVPQQVASLALPAAEAGRRAAGGVSSIPRAAAGAAARRKTLCDITNMTRLPAAVEKDGSLCAAGVSAEEVAQLVKENLELVRLLEERDKIIELSGTELQKLRQANWHLAQANSQMLAEINNGKDRLKAFQHELACSRAIIRAKTSELEEAKKTMKHNRNMQGKAPTSDTAQQQQGCERAAQIKGGDVVNSEPASDTPSHGASAKKLSNATRKRMLRSRSLGPGASVKLAAPKEKEMVQRRKSMRTPVPQPSEHREDLFEIEDVQLAIGDCSSSNTDKNETLSEQSSSQFPRRSSLGRPIRRATERVSSYKEPPVNIKLRRS >LPERR10G08920.1 pep chromosome:Lperr_V1.4:10:10598626:10601178:-1 gene:LPERR10G08920 transcript:LPERR10G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKTGHEDKSAFAMCIFSLALGPGEEPITFVGKTAGKIVPARGPADFGWDPVFQPDGFDQTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNNESA >LPERR10G08930.1 pep chromosome:Lperr_V1.4:10:10604198:10608739:1 gene:LPERR10G08930 transcript:LPERR10G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPTPAAPAITASACAAGEYHRGAAFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNKVSLDGQVNPKIELYSQARDCLLPMGLTSENVAKRFGVTRMEQDQAAVESHRKAAAAAAAGKFKEEIVPVQTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQISDGAGAVLLMRRDIAMQKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARGIPSHNWLSKDAM >LPERR10G08940.1 pep chromosome:Lperr_V1.4:10:10611591:10626427:1 gene:LPERR10G08940 transcript:LPERR10G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKKLSDDKSKSMEPVHSNGQAGENEIIIIEHESDENKSEVDTRHGVCEAHKVNGYGTTENGSHEEASSTDDDSESDSYEYLLRESDNERTSESDAGEGDNEAPLTEEEIEALVAEFLDVESKASQAQESLEKESLDKIEAEVRLELSESLQGDELELAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGIELPSLYKSIESQVPNVCETEAWKNRTHWAGSQVPEEANQSIRKADEYLQSCRPVRRKHGRLQEEGASGFLAGKIPAGDDGSLQCHEKSWSAFNELVKSKEYAENTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAAGNIEGVDEIELSEDQRVKYRKVPEEDDEKITKHLRHHLKKRKTRHLYKNFGLASSSNGYCDLPPEKLKTAENEISVDLAKRTREDDVEFNHKRSKTVNIESDDDLQTDNKPDLSPSENVAEIIDLDSFPSQSPNLGDKVVLKAFKCTICSKMLNAPEVHRHPSLDVIICGSCRVLLIEKTRLECLVVIAPGAYKVNSFRIAALNFGEEGLSEVKVAGWQCCCCLPSRLEHLISECDKALSGAESSDRESDYAELSGTESNGSISKRKMKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMQEQSASKLKNNNTGTSLGASSEVSLEYVGDGHIVNLAREEDEPPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVRSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCAQLGLRTALIVTPVNVLHNWKKEFIKWYPAELKPLRVYMLADVPRAKILDLLVKWQAKGGVLLIGYSSFRNLFLGRSARDKAASNEITNALQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAVSEKSFQRSCFFAKYQTLALIWNHPGLLQMAKEQRRNLRQEDVVETFLMDESSSDDNIENFLSNGEKLRNGNDQPSKKSSDFEESDWWENLIDENAYKEADYSGKMVLLLDILSACSELGDKALVFSQSLTTLDLVEFYLAKLQIKGKEGKYWKQGKDWYRIDGSTPSSERQNLVEKFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRRISKEEMLHLFEFGDEELLEQNENGFTMNDHSKVGTEDLPTPNSVETTEHSPLDMLMLNLLHDHSRWIVGYHEHEALLQENEDERLSKEEQDMAWLSYKESLEVPPRKATHDPERKPNTVPTESNLRQPPKVTSRSRQHQQHQQPKVNSNNQKKCNNLSHLLTLRSQGTKPGCTTTCKECGQDISWETLNRDGRSR >LPERR10G08940.2 pep chromosome:Lperr_V1.4:10:10613438:10626427:1 gene:LPERR10G08940 transcript:LPERR10G08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKKLSDDKSKSMEPVHSNGQAGENEIIIIEHESDENKSEVDTRHGVCEAHKVNGYGTTENGSHEEASSTDDDSESDSYEYLLRESDNERTSESDAGEGDNEAPLTEEEIEALVAEFLDVESKASQAQESLEKESLDKIEAEVRLELSESLQGDELELAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGIELPSLYKSIESQVPNVCETEAWKNRTHWAGSQVPEEANQSIRKADEYLQSCRPVRRKHGRLQEEGASGFLAGKIPAGDDGSLQCHEKSWSAFNELVKSKEYAENTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAAGNIEGVDEIELSEDQRVKYRKVPEEDDEKITKHLRHHLKKRKTRHLYKNFGLASSSNGYCDLPPEKLKTAENEISVDLAKRTREDDVEFNHKRSKTVNIESDDDLQTDNKPDLSPSENVAEIIDLDSFPSQSPNLGDKVVLKAFKCTICSKMLNAPEVHRHPSLDVIICGSCRVLLIEKTRLECLVVIAPGAYKVNSFRIAALNFGEEGLSEVKVAGWQCCCCLPSRLEHLISECDKALSGAESSDRESDYAELSGTESNGSISKRKMKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMQEQSASKLKNNNTGTSLGASSEVSLEYVGDGHIVNLAREEDEPPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVRSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCAQLGLRTALIVTPVNVLHNWKKEFIKWYPAELKPLRVYMLADVPRAKILDLLVKWQAKGGVLLIGYSSFRNLFLGRSARDKAASNEITNALQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAVSEKSFQRSCFFAKYQTLALIWNHPGLLQMAKEQRRNLRQEDVVETFLMDESSSDDNIENFLSNGEKLRNGNDQPSKKSSDFEESDWWENLIDENAYKEADYSGKMVLLLDILSACSELGDKALVFSQSLTTLDLVEFYLAKLQIKGKEGKYWKQGKDWYRIDGSTPSSERQNLVEKFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRRISKEEMLHLFEFGDEELLEQNENGFTMNDHSKVGTEDLPTPNSVETTEHSPLDMLMLNLLHDHSRWIVGYHEHEALLQENEDERLSKEEQDMAWLSYKESLEVPPRKATHDPERKPNTVPTESNLRQPPKVTSRSRQHQQHQQPKVNSNNQKKCNNLSHLLTLRSQGTKPGCTTTCKECGQDISWETLNRDGRSR >LPERR10G08950.1 pep chromosome:Lperr_V1.4:10:10633786:10643438:1 gene:LPERR10G08950 transcript:LPERR10G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGDTRLGVVYVMGDWSSSTAAPSLSQQGLDCCCPMFSNTITLTKLRPPATATASELVAASLSSTSVAPSSGLPRRGALPSLQQPKLASRASCARRRPLRQDSEISFGMMEEGSDYYLVRKGELVAVYKTLNDCQAQICSSVSGPAASAYKGYSWSREKEEYLCSRGLSNATYVINATELSEDFLGTLTPCTFQEITASSSNQSAPNHTGILNNTRYQPREQSVDLNYDAMGSSRSSAQHYSQPLNQGYSVQGQAFNRAEARSGSSSHFSPNNLNQSGPVDAQPVSKQYMVCLLHFDGASKGNPGKGGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFKKIIIYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFISFEINHEWNAEADRQANIGITLSNGVVSEERGDGS >LPERR10G08950.2 pep chromosome:Lperr_V1.4:10:10633786:10643438:1 gene:LPERR10G08950 transcript:LPERR10G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGDTRLGVVYVMGDWSSSTAAPSLSQQGLDCCCPMFSNTITLTKLRPPATATASELVAASLSSTSVAPSSGLPRRGALPSLQQPKLASRASCARRRPLRQDSEISFGMMEEGSDYYLVRKGELVAVYKTLNDCQAQICSSVSGPAASAYKGYSWSREKEEYLCSRGLSNATYVINATELSEDFLGTLTPCTFQEITASSSNQSAPNHTGILNNTRYQPREQSVDLNYDAMGSSRSSAQHYSQPLNQGYSVQGQAFNRAMVCLLHFDGASKGNPGKGGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFKKIIIYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFISFEINHEWNAEADRQANIGITLSNGVVSEERGDGS >LPERR10G08950.3 pep chromosome:Lperr_V1.4:10:10633786:10643438:1 gene:LPERR10G08950 transcript:LPERR10G08950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGDTRLGVVYVMGDWSSSTAAPSLSQQLMPCSRLQEISFGMMEEGSDYYLVRKGELVAVYKTLNDCQAQICSSVSGPAASAYKGYSWSREKEEYLCSRGLSNATYVINATELSEDFLGTLTPCTFQEITASSSNQSAPNHTGILNNTRYQPREQSVDLNYDAMGSSRSSAQHYSQPLNQGYSVQGQAFNRAEARSGSSSHFSPNNLNQSGPVDAQPVSKQYMVCLLHFDGASKGNPGKGGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFKKIIIYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFISFEINHEWNAEADRQANIGITLSNGVVSEERGDGS >LPERR10G08950.4 pep chromosome:Lperr_V1.4:10:10633786:10643438:1 gene:LPERR10G08950 transcript:LPERR10G08950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGDTRLGVVYVMGDWSSSTAAPSLSQQGLDCCCPMFSNTITLTKLRPPATATASELVAASLSSTSVAPSSGLPRRGALPSLQQPKLASRASCARRRPLRQDSEISFGMMEEGSDYYLVRKGELVAVYKTLNDCQAQICSSVSGPAASAYKGYSWSREKEEYLCSRGLSNATYVINATELSEDFLGTLTPCTFQDAMGSSRSSAQHYSQPLNQGYSVQGQAFNRAMVCLLHFDGASKGNPGKGGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFKKIIIYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFISFEINHEWNAEADRQANIGITLSNGVVSEERGDGS >LPERR10G08960.1 pep chromosome:Lperr_V1.4:10:10643809:10647197:-1 gene:LPERR10G08960 transcript:LPERR10G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVDGLYDDASAALVDEIFNGGDLHALQDDAELDVAARGGGDAGETKGKEVEPERPSNKAATAAARLQCRHCGATKTPWWRSDPDGRRTLCNACGVRYRSRGRLLPEYRPLNSPAFSPEVHSNKHRRVVELRRRRPEPPSSVPAPLSPPPPAVDALRDDAIADELLDGGDFQALLDGTLQGLDVAASGGEAKEGEEEELEWLSNKDAFPEVETISPPPASTVKAQNKTTKPARTARRCRPATGLKCRHCGTTKTPQWRNGPDGRRTLCNACGVYYRIHGRLLPEYRPLNSPTLLFAELPSNRHRLVLQLRRPCAVDDEEEELEWLSNKDAFPTLETMATAPPPPLVAEHRAKKAARRRRPPPPLRCRHCGKTETPQWRRGPDGARTLCNACGVRYRSGRLFPEYRPLNSPTFSPELHSNIHRRVLLLRHHPSPSPPPPPPAVPTPTPAATTPAATHSKKPLRCKQERPASANLAVAAARQCAHCGRTKTWQWRSGPDSGRKLCDPCGKRYRSGRLVLECRPLNGPTFLPEMHSGMSFAAGGGGDR >LPERR10G08970.1 pep chromosome:Lperr_V1.4:10:10649022:10654327:-1 gene:LPERR10G08970 transcript:LPERR10G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPSPAIAAASDAAHDGGAGAGGGLLRPSRICYMAILSTVFWFLVFSLQSSIHGGGDLAAVLFKPSSLSLPLLNNFAFDQNPSPEDPPPSPPSPAVDPCAGRYIYMYDLPSRFNSDILLDCRALRPWMPDGMCRYVANGGMGEPLAGGDFSAGDGWFDTDQFTLDVIFHGRMKHYPCLTKSPTAAAAVFVPYYGSCDLGRNLFHLNATVKDALAADLATWLTRRPEWTAMSGHDHFFVAGRTTWDFRRNQETTWEWGNKLLNLPAVINMTAILIESSPWNSHNLAVPYPTYFHPSTAEAISTWQSRVHAAKRPWLFSFAGGPRKGNGTIRAEIIKQCGDSTVCKLFHCHGAGAKGCSEPGSVMRVFESATFCLEPRGDTMTRRSTFDAILAGCIPVFFHPGSAYTQYTLHLPAEHGKWSVLIMHSDVTGPRNVSIEETLRGISPEKVREMREEVIRLIPTVVYADVRSSRVDFKDAFDVAVDAVIERVARRRRGEPDGRNFRRPRVCFLAMISATFWSLIIYVHHTATQGGGGGSTAMASVFLRPSAFSRPLLTSLRIIGGEDRCAGRRVYMYELPARFNADLVRDCALYSRSIDVCRLAVNDGFGPSLPGGGAMPDRDVYDTDQYMLALIYHARMHRYECLTHDASNADAVFVPFYAGFDAAMNLWKRDLLARDALPLQLVEWLTRRPEWRAMGGRDHFLVAARPVWDFFRGGDEGWGNALLTYPAIRNTTVLTVEANPWKGIDFGVPFPSHFHPTSDADMVQWQERMRRRDRRWLWAFAGAPRPGSAKTVRGEIISQCSASPSCTHFGSSPGHYNSPDKIMELLESATFCIQPRGDSFTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYHTYSVFIPHTDVAGEGGKNASIEERLRSIPAAMVERMREEVIRLIPRITYRDPAATLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHDLLEDGQTEIGPHEFDPYL >LPERR10G08980.1 pep chromosome:Lperr_V1.4:10:10665163:10670905:-1 gene:LPERR10G08980 transcript:LPERR10G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRMDKNDGNMLGNMTSYLFCNISDMDQFSVMAPQGIEKLRNLHMLGVVSVGKNNGVARKLERLTNLQRLGVTCLSEEEGQELCNSIKNLNRLQRLEVHSKSLRFLNNNNIAEVPPKYLVSLRLCGLLDSLPTWIKLLNDLTKVKLLGTKLVQVDIDCLKDLRNLASLGLWEKSYKDNSLHFNGNTFPKLIFLDIDGLEDIETININEAAMPKLQQLWVNKCHKLRINQDGLSGVSHLLNLNELVLKKCGDNEVLVKLLQRQLSTHEKRPKFLRHNAVELPVPVSFGGERDEKAAGKMAAGAAKQGRGAGGCCSRLWFMLVLTATVTILVRHFYDSGLAHGGAAAVVRIESVPHPSYLNRKANPANRHHGGGADVYNLVPFAAPNRRREAGTQSPGAAVVRFVIVIGGPFARALAAAENKSDRCGGRYVYIQELPPKFNTDMVNNCATLFPWTDMCAFTANGGFGPPLHNDVFQETGWYNSDQYTADIIFHDRIRRYDCLTDDATLAAAVYVPFFAGLEVARHLWGGHNATTRDAMANEFVEIVTSRREWRAMGGRDHFFTAGRTTWDFRRLGDGDGNWGSKLFRLPAVTNMTALVVEASPWHFNDAAVPFPTAFHPASDEAVFLWQDKIRRLERRWLFTFAGADRPGSTKSIRSELISQCKSSSVCSLMECADGPRNKCGSPASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPRNHTDYSVYISENDVRNNVSIEDRLRRIPPATVEKMRETVIGLIPSLVYAQPTSRLETMKDAFDVTIDAVIDKVARLRRDIVEGRRGGEVEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFST >LPERR10G08990.1 pep chromosome:Lperr_V1.4:10:10671444:10680775:-1 gene:LPERR10G08990 transcript:LPERR10G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRMDKNDGNMLGNMTSYLFCNISDMDQFSVMAPQGIEKLRNLHMLGVVSVGKNNGVARKLERLTNLQRLGVTCLSEEEGQELCNSIKNLNRLQRLEVHSKSLRFLNNNNIAEVPPKYLVSLRLCGLLDSLPTWIKLLNDLTKVKLLGTKLVQVDIDCLKDLRNLASLGLWEKSYKDNSLHFNGNTFPKLIFLDIDGLEDIETININEAAMPKLQQLWVNKCHKLRINQDGLSGVSHLLNLNELVLKKCGDNEVLVKLLQRQLSTHEKRPKFLRHNAVELPVPVSFGGERDEKAAGKMAAGAAKQGRGAGGCCSRLWFMLVLTATVTILVRHFYDSGLAHGGAAAVVRIESVPHPSYLNRKANPANRHHGGGGGVNNLVPFAAPNRRREAGTQSPGAAVVRFVIRERDREKVETMEATAVSLARSVLDGVLSSVGPAVADEVARFLGVPKEVGFIRNELEMMQAFIKTASSTLHPDAAAGNDIVRTWVKQVRDLAYDVEDCLLDFALYAARTTTTTTSSSSRSNSSWLRLQPGALTARRRIAERIRELKASVEELNQLRLRYNIVVDDHHHRTYHQEHAAMLPNGNDGSGSSDNELAFQESEMIGRESEKEELTRLISDSSGKPTVLSVWGMGGMGKSSLVRMVHNNNPALLDEFDCSAWVTVPHPLDSADDFRRRLSKQLSLGVAAEDDEQSVIRDYLKEKRYIIMVDDLLSQEEWEQIWPVLQLGNDKGSIVIVTTRRKDVAEHCAAGRPPDHKPGLVYELKRLDNDQSKALLCRKVYKTSNYDLLEDMKLHMSRILKGCWGLPLAISTIGGLLSNRPKTGMEWKKLHEHLGVELESDQLQDITKVLASSYHGLTYHLKPIFLYLSIFPENNEIRRTRLLRRWMAEGYIEKNRDMPVELVGERFFNELINRSMI >LPERR10G09000.1 pep chromosome:Lperr_V1.4:10:10681314:10684340:-1 gene:LPERR10G09000 transcript:LPERR10G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSVGPAVADEVARFLGVPKEVGFIRNELEMMQAFIKTASSTLHPDAAAGNDIVRTWVKQVRDLAYDVEDCLLDFALYAARTTTTTTSSSSRSNSSWLRLQPGALTARRRIAERIRELKASVEELNQLRLRYNIVVDDHHHRTYHQEHAAMLPNGNDGSGSSDNELAFQESEMIGRESEKEELTRLISDSSGKPTVLSVWGMGGMGKSSLVRMVHNNNPALLDEFDCSAWVTVPHPLDSADDFRRRLSKQLSLGVAAEDDEQSVIRDYLKEKRYIIMVDDLLSQEEWEQIWPVLQLGNDKGSIVIVTTRRKDVAEHCAAGRPPDHKPGLVYELKRLDNDQSKALLCRKVYKTSNYDLLEDMKLHMSRILKGCWGLPLAISTIGGLLSNRPKTGMEWKKLHEHLGVELESDQLQDITKVLASSYHGLTYHLKPIFLYLSIFPENNEIRRTRLLRRWMAEGYIEKNRDMPVELVGERFFNELINRSMI >LPERR10G09010.1 pep chromosome:Lperr_V1.4:10:10699158:10703603:1 gene:LPERR10G09010 transcript:LPERR10G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERKRRKREEEEEWERRKRGRRRRMGGGGDLVEVLGKEVLGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTMIPTASRLSTYSMAITDGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPQDAVWGGHECTYTIITSFAGNGQIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTNKKGCTGPLFPVW >LPERR10G09020.1 pep chromosome:Lperr_V1.4:10:10705964:10719159:1 gene:LPERR10G09020 transcript:LPERR10G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDQIYDLLIEEDCKAGDHSNALTVAYKMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNEAIRHFRALQRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMANDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPAILGDASEEDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEELDELISRIKLEDGNTEFWKRRFLGETRNYLCEEDSNEEDADLDDELDDDDDEDEDEDDATKEGEEDEIDEDDVVEQTENQAGDETKDKPSKGPKQHLQMIGVQLLKDLEKTSVSSKKLKRVPEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWERDYKNKMPRKWSQEWEVELAIKIMHKVIELDGTPTIGDCAIILRAAMRAPLPSAFMTILQTTHTLGYKFGSPLYDEVILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLAAKQSGNSALQPPSTEEQAGSSARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHALVKVLELALKKQRPLLIVAEDLESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEHIRSAIEQTTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKEGIIDPLKVIRTALVDAASVSSLMTTTESIIVEMPKEEKEAPAMGGMGGMDY >LPERR10G09030.1 pep chromosome:Lperr_V1.4:10:10719352:10723161:1 gene:LPERR10G09030 transcript:LPERR10G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCGVTPYDFSHVGHARAYVAFDVLYRYLQYLGYEVNYVRNFTDIDDKIIKRANEAGEDASILSSRFIDEFLRDMSDLQCLSPTHEPRVTKHIEQIIDLITKIMDNGKAYTIEGDVYFSVDNFPNCLSLSGRKVDHNLPGKRIAVDSRKRNPADFALCLLRRVSHIGRVLGVVEDLDGILSAVQ >LPERR10G09040.1 pep chromosome:Lperr_V1.4:10:10725789:10727959:1 gene:LPERR10G09040 transcript:LPERR10G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSMAAAMAVFVVAMSAPAASAARAFYVFGDSLVDNGNNNYLLTSARADMPPYGIDHPTHRATGRFSNGLNIPDIISEHLGSEPTLPYLDPNLRGNKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQFFGEYQDRLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSRQFALPDYVRYIISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERAMRGRNGGCAPQLMRAAELFNPQLSRVLDELNAVHGGGTFIAANSFRVHFDFISNPAAYGFATARDACCGQGPNNGLGLCTRLSNLCADRDAYVFWDAYHPTEKASRIIVSQFMKGSLDYVSPLNLSAALEIDAIAEEKAEAERRRRRERAVAKLVGDKPHA >LPERR10G09050.1 pep chromosome:Lperr_V1.4:10:10731290:10734909:1 gene:LPERR10G09050 transcript:LPERR10G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIDLGRGASSNGSSRGGANAATPSKPRLVMIIADPGRESTAAMEWALSHAIAEGDGILLLHTMSAACRARHPRARVRAVRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRGAGGSSRGHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >LPERR10G09060.1 pep chromosome:Lperr_V1.4:10:10735704:10737377:-1 gene:LPERR10G09060 transcript:LPERR10G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISGNLPGLDLIISDVFFPTEDGLLILQEVTTKFGIPTVIMASSADASTVMKYVANGAADFLLKPVRIEELRNIWQHVFRKQMREHNNNNIMVGNNLERSYPPPPIAMAPAATTRTAAEASTPQEISEVRDINSNNGEITDIRDLRKSRLSWTAQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQKYRLHLKKSIPSTSRDGTTLPSTALNTQNHPSRSKYFDQDVCMEITDYSLPKDDLSSGSECKLEEQNDYSLEDFQDFRWDSDKQEYGPCFWNF >LPERR10G09070.1 pep chromosome:Lperr_V1.4:10:10752033:10755286:-1 gene:LPERR10G09070 transcript:LPERR10G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAPPPITADPLPKGASSFFRTVISNMEKVYWSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGINSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTYEGYTGTGIYGPLPRIFISELLVDELSTQSQEIIHKYIGTSGKGNKYAALASTSGELTWEKPVYSDFQILSRESEYAAWTLVNGYTLNHATIATHRLVSDIKSINKFNKFVEENGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLILPRFKDLQTEEVKEHHRRDGFEVGNANKIFESTSKDQLTRSSA >LPERR10G09080.1 pep chromosome:Lperr_V1.4:10:10755907:10756329:1 gene:LPERR10G09080 transcript:LPERR10G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLGPSKITEKGRRNFQKEKRGKARTARPPSVTSYGGGSAIAGEGRLLLAAAVVVGRAGAPSHDAHRALFGREPTREEGGGGGIGVDRLVEAVRVVGREVDPAVAGADILELAMAKGPMFSWLSYWPEEGYSKEDHPY >LPERR10G09090.1 pep chromosome:Lperr_V1.4:10:10757241:10759982:-1 gene:LPERR10G09090 transcript:LPERR10G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEMDKDNFRYLEEESLGKYRRMGKLVAAIGKLLCCVQVNQSTVGIKERFGKYEEVLEPGCHCVPWVIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQASSH >LPERR10G09090.2 pep chromosome:Lperr_V1.4:10:10757241:10758681:-1 gene:LPERR10G09090 transcript:LPERR10G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVAAIGKLLCCVQVNQSTVGIKERFGKYEEVLEPGCHCVPWVIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQASSH >LPERR10G09100.1 pep chromosome:Lperr_V1.4:10:10760885:10765950:-1 gene:LPERR10G09100 transcript:LPERR10G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMRAFFPMSFGKAPTRAGAAATAHASTLRKPPQNPSANASTSSAAAAAGDDDGDVMVGPPRPPPRPAGEDDEEDGGVMIGPPRPPPRRSSARGGEGEDAGDGMIGPPRPPPAKEVDEEDEDDEDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHNKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTACAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTKDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNAAFSPDEQLIFTGTSIEKDGENGGLLYFFDRRKLELVSRVGISPQYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKEPKFIAPAYSQTQPKPSQIQKAKKRNNINWRFSVWKTL >LPERR10G09100.2 pep chromosome:Lperr_V1.4:10:10762652:10765950:-1 gene:LPERR10G09100 transcript:LPERR10G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMRAFFPMSFGKAPTRAGAAATAHASTLRKPPQNPSANASTSSAAAAAGDDDGDVMVGPPRPPPRPAGEDDEEDGGVMIGPPRPPPRRSSARGGEGEDAGDGMIGPPRPPPAKEVDEEDEDDEDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHNKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTACAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTKDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNAAFSPDEQLIFTGTSIEKDGENGGLLYFFDRRKLELVSRVGISPQYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKEPKFIAPAYSQTQPKPVFAESDSEGEEKK >LPERR10G09110.1 pep chromosome:Lperr_V1.4:10:10767811:10768353:-1 gene:LPERR10G09110 transcript:LPERR10G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQLGRRNVAGSLLLLNLLMYVLMLGFAGWALNASISGAGAGDVDITGWGEDLRQRHPWQPPYGRGAWAAARLHLATFAALAGVLGVAAKVAAAYHGGRGGASWKPQALATAAWAATALAFGLACKEMHLAVGGERGWRMRALEGLTVTLAFTQLLYVLLIHAAVAGERCGLACPAEP >LPERR10G09120.1 pep chromosome:Lperr_V1.4:10:10769497:10772641:-1 gene:LPERR10G09120 transcript:LPERR10G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIEAKISCHQGWKESFSAIVGGDEVQKGKPSPDIFLEAAKRMNTDPPNCLVIEDSLPGVMAGKAAGMHVIAVPSVPKKTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDAKIAEKALDLPLYARYKDSPYLRNSLKDRSANGDQSVIDSK >LPERR10G09130.1 pep chromosome:Lperr_V1.4:10:10784650:10785957:-1 gene:LPERR10G09130 transcript:LPERR10G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIDNLGPSDRLCVVSFSTDATRMTRLLRMSDAGKATAKRAVESLAAGGWTNIATGLRVAARVLGDRRHVNAVSSVILLSDGQDTYSYGRHVDLVPRSHTSAAPIHTFGFGADHDAAAMNTIAEATRGTFSFVENQAVIQDCFAQCIGGLLSVAVQDARVDVACSRQGVRVMGIKSGRYESHVDADGRAASVNAGELYADEERRFLLFLHVPAAESTEDVTNLISLSCTYRDMVTGRTITVAGGEDDAVIRRPLEVSAVDEEVSMKVERERVRVEATEDIAAARAAADRGDHGEASRTLRRRRNRVLESAPGRSGDATCEALELELGELEAAVADAPRYEQSGRASLLAGMSSHGLQRASGTRRKCSWSTSEKDRFINESVSGRDRDRDREREREREMLYATPAMERMVSKSRNTQQQKRSWRRPAAEEEGRP >LPERR10G09140.1 pep chromosome:Lperr_V1.4:10:10790047:10794776:-1 gene:LPERR10G09140 transcript:LPERR10G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYTLVLPPHRNEPNRTEEGLVKSRMESGPCGICHGDMRRVAAGAGAGDDVFTAECSHQFHFRCISGTVARGRIACPLCHARWREFPSFRAKDAPPAASASASASRPFFRPVEPRVFDDDEPLAMGFVIDNLSPCDRLCVISFSSGANRLMRLSRMTDAGKAHAKRAVDSLAARGGTNIGAALRKAAKVLDDRLYRNSVDSVILLSDGQDTYTIPSRGGYGHVRDGGDANYDALVPPSFVTRTDGGRSTPVHAFGFGKDHDAAAMHTIAEATGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACLDAGVRVTGVKSGRYRCHVEEDGRAATVEVGELYADEERSFLVFVAVPRADAWDDDVTRLVEVWCSYRDMETGRTTTVAVAGDEAAVVLRPSRVEDYGGGEKSVEVEREIVRVEAIDDIAMARAAAERGEYGEAAEILRSRQRAVARSAAARGGDAMCSSLSGELREMRARVADRRRYELSGRAYVLAGLSSHAQQRATSRQVSGELSSSSSAASAAAALPMGITVSYVTPAMLDMLDRNPCAICLDEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNTTWHDLPTPAQPAAVSGDVDDPPLYADDDPVDAPVVGEQAAADGDAAAAMVIKTHCEHAAVARGPSRDNFALVVHAKAALAAAAAGEAQQRAPIDLVTVLDVSGSMEREKLALVKKAMGFVIDNLGPSDRLSVVAFSSYATRTTRLLRMSDTGKATAKRTVDTLVAGGGTNIGDGLRVAARDNYTHRRGRHADLVPASFTRSGGRVAPVHTFGFGADHDAAAMSAVAESTPGGTFSFVEDEAAIQDSFAQCVGGLLSVAAQDARIAVTCQSPGVRVGSIKSGLYASRLDADGRAASIDVGELYSGEERRFLLFVNVPTADAESTHLIKVTCTYKDTATGQTIDVAGDDAVINRPLEVTADADQTVSPEVERERVRVQAMEDIAAARAAAERGDHADAARTLRHRRQLMMECELVIGSAPDPTCAAMAEELVDLEAAVKDAPRYKRYGRASLLAGMSSHGLQRATGTQPKVGLLAAARGGDGRRRREDKLVEFAKRRSYTTEAMESMVSKSRRSRLQQMTTPVPPPQQEMSGSGGDQQRLQSKMRKRSEEEEEEEVENTDLLN >LPERR10G09150.1 pep chromosome:Lperr_V1.4:10:10795809:10800600:-1 gene:LPERR10G09150 transcript:LPERR10G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPASSVSHGGEVETRRRLGERGLVDREELVRVIAQSLYSLGYRKAAAALEAESGVPLYPPEHDRLLFDVMSGLWDACVATIRSVPGLGDTERAAAEFMVWRAHFLELLGIGDAELPRAMKVLWRRIAPLGIDRECVHWLARAMISCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVMKQVSSCVYHNLPDEVTLFEDHKCPEERIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSCDCTAIIWKVEEDDTLTKKHCLVGHKNPISFVAWSPNDRMLLTCGTGESVKLWNVATGECNLKFSSSVNHIINSCAWFPNSEKIVCGSCVPESSPNRIFICDLEGQELKVWVGDRIPKVSDIAVTPDSKHLICVCSKEIWIQELPKGREWRIREQQTISSLSLSGDGQLLIVNLNSQEIHLWKISESSTVPDKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLEMPIKVLYGHSLTVNCVSWNPARPHMLASASDDRTVRIWLAQKESNRNRLTA >LPERR10G09160.1 pep chromosome:Lperr_V1.4:10:10804867:10807686:1 gene:LPERR10G09160 transcript:LPERR10G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLASTAAAASCFATSSSSSTSAAGRRAASVVAMPSTSSAAATTSLRMARQAACEPLVACRAVAAERATAASASSRRNGVPVFVMMPLDTVKKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESHGPGRYNFDGYMELMEMARNTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDQEFAYTDQWGRRNYEYVSLGADAMPVLKGRTPIECYTDFMRAFRDHFASFLGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCGDRYMRASLKAAAEATGKPEWGHGGPTDAGGYNSWPEDTVFFRADGGGWSTEYGDFFLSWYSQMLLDHGDRVLSGATSVFDDATGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARLLARHGSAVLNFTCVEMRDHEQPQEARCMPEALVRQVGGAARAAGVGLAGENALPRYDGTAHDQVVAAAVDRAAEERMVAFTYLRMGPDLFSPDNWRRFVAFVRRMSEAGSPREAAEREAHGVAQATGSLVHEAAAALRS >LPERR10G09170.1 pep chromosome:Lperr_V1.4:10:10808940:10810188:-1 gene:LPERR10G09170 transcript:LPERR10G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >LPERR10G09180.1 pep chromosome:Lperr_V1.4:10:10811942:10814987:1 gene:LPERR10G09180 transcript:LPERR10G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAALPLALLPRRRRVSLRSAAPSGRRLPLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIISDAGNTTFVYVIIDKQTKTRTCIITSGYPPMVPSDLTLSSLSAALQEVSLLYLDGYSHEMALAVAKQADQMKIPILVDAEPERTREELGRLLSLASYIVCSGKFPEKWTSIPSIPSALLEILLQYPRAQFTVVTLGENGCMMLERGKDGDNYETEAVDIENVAESLRLKVDKNDILPTCVSSKFMRLSARGPGTIFARLLIGTAESIPALELVDTTGCGDAFIGAVLHGEALTSFPKEL >LPERR10G09190.1 pep chromosome:Lperr_V1.4:10:10817550:10819075:-1 gene:LPERR10G09190 transcript:LPERR10G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTPKNYWKPTRVNVSGGSSSLFTKALHVARSRKMYQRKQLPGIAVSNSKKRSGIKGRKTEKEKIYTRCAPGIVSDMFVGLDDQQKELVQQMGFECLLSMRLTKLNKQFGAWLLFKLEPASGILFSGSRHELPLLSEDVSLTMGIPCGRKKILPAMKNEVKDVKDYICHIFQKKSFDELTITDIQKILDESFKRTMTAHEKIAFKTTFIIFVVTKFLAPLSVNNHISTRYMKPLLDIENIHTYNWASFVLDEIKAAAAALQQKICNRKSIGYINSCIIVPQSPSLSTSDCLSVDTSIVAVIFFSIASGFCPTAEIARTASSSALLSFSVEENDFGGDLLPLEPIVFCFLPSAAANFSARYS >LPERR10G09200.1 pep chromosome:Lperr_V1.4:10:10821714:10822397:1 gene:LPERR10G09200 transcript:LPERR10G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGAGGAIAVERRPPESRLSELRVRSWPKWAGGTGRMPVKYDSRQTCYIVKGRAAVGSPELGVIELVPGDLVVFPKGTRCTWDISVHIDMYYAFDPST >LPERR10G09210.1 pep chromosome:Lperr_V1.4:10:10823409:10825694:-1 gene:LPERR10G09210 transcript:LPERR10G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDFVDISSDEEGFPVTKKLPPPVDSLEWLAELLGQEEERAISDEFDDLEVMGELSAPPVAQKKSKPDCVEEDDDDCVVLDGDPDDVVAVAEEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCYVCDTPAPCNYWGNGTQIYNHCHATDKEKKWKTLRQTFKCKSLPTSHPEKRQDVVYPTMASPGQQDTQCEISLVRPHPINFANQSHLVNVVNQGLNQTRETSTRVSSSVGRTINATRASPATRAVRGTGNAPTVQITQSRTRFKRVGATSPGLATLNDNQFSSTAANNALLHQPSSPHVSQPVQVAPRSMFGTVQKHPPQRSLSAPIAFQGQQYQSASSYQDASNETHVTGPQFARCISLTAQRTQFPEPEMDVDSKSWQDIFDSLASDLGVPEYNMGTAESQQPDRTTSQPLDSIAFEGLGIHSEPVPATANLMPSNGQNVSNGMTSSNGPTETTLILPHLNHQSSLIPDEAHLNNYENSPANGLIMEAAHHRDTQESDSLDLLFDFEFEDWNSAGP >LPERR10G09220.1 pep chromosome:Lperr_V1.4:10:10828948:10831326:1 gene:LPERR10G09220 transcript:LPERR10G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTITAPPPAENADITLVSGPPCCGKTSLLFQFAINRATESGRGVVFICRKGRLENRPPFLSQGVDPTHGVLNRIHIKYIEDDEGVRKYFAAFHLLGSFPAAVIIDDFAEFFSESAKLGSPGSCTLLLSDVHQGDTPRSLFIYKRWIGSIYTIQGDGLGSYILKKISSSECGLREGRSAKYSIALQYLVLEHISNG >LPERR10G09230.1 pep chromosome:Lperr_V1.4:10:10831876:10832343:-1 gene:LPERR10G09230 transcript:LPERR10G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPPVSWFLKKAAGIETASGRPGHNMVSSLTLRHVYEIAKLKQSDPYCKHMSIEALCKSIIGTANSMGIEIVKDL >LPERR10G09240.1 pep chromosome:Lperr_V1.4:10:10833858:10840441:-1 gene:LPERR10G09240 transcript:LPERR10G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVPVKTNKVVKPRPLQAASIPGLLGIFQNEWDALMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPASMAGAAPAAVVSNGKRALEDEIGPDGKKIRPGINPLMIDELTECNTMLSAQRKKRQVPPTLASIDAIERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRNDLFVTGSADKTVKIWQGSEDANYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDILTGSCLTQVGEASGKEGYTSASFHPDGLILGTGTTEAVAKIWDVKTQTNVATFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDPDTPTNSVEFDFSGNYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGDDQMDDAKPSEE >LPERR10G09250.1 pep chromosome:Lperr_V1.4:10:10848638:10851812:1 gene:LPERR10G09250 transcript:LPERR10G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDVDDQPFADGISSPIAAHILDFCDDGNVGDLFPDVNAAAAGDMFTASSEDVSASSSSTATAPNCSAGDSFSPLPDSTLSALLEQDEPPGLDSELLLPIEDYTFAAVVDETQATEQQQQFSQMAPLPMVTGGENPTLQPQLSSTASELMQFASEFNDQSFAAALATGAGVYMGLDESIYPQQHHPGAMLPAVAGEAFFSKDAHAMQAGFFPSGGGNCTGMVMSMMGMDEIGEYQRMMECGGALLAADGAEMAFGNAVAAAAEMQMAGSRSPVRLPATGTTETSSLEETSFKAVRLTNEERKEKIDRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEDYEIGVVKGEDILDSDALAHISGMSSYMYNHTVESWI >LPERR10G09260.1 pep chromosome:Lperr_V1.4:10:10852077:10855311:-1 gene:LPERR10G09260 transcript:LPERR10G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGEAVQAYYKVSLFHDFVMIILEFVLYRRTGPYVIGRNGIAIVGHLGWNGIVVNVWPIMYVWDVIVVHEAITPQNRLQKPSFVFGAEHEATEDGTAAAATTAGQADRKSVDRGRGTTSARVHMFGIAAAGGGILGDSDDLFDRLLRVILLFPSRIST >LPERR10G09270.1 pep chromosome:Lperr_V1.4:10:10858393:10858653:1 gene:LPERR10G09270 transcript:LPERR10G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLMDLYGGGGRRRMAAKGGRRSAAAVAAPRPVRQLYWKLRSRLRPKRHAAGVGRSSFGYDLQSYSRNFDDGGAVLVSGAGYRRF >LPERR10G09280.1 pep chromosome:Lperr_V1.4:10:10864085:10864354:1 gene:LPERR10G09280 transcript:LPERR10G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRGIYGGGSRRRTNEEKKNGGGGGGRRWMAVAAPRPVRQLYWKLRSRLRQKRHASGAARSSFGYDLESYSRNFDDGVDLDLVPIDN >LPERR10G09290.1 pep chromosome:Lperr_V1.4:10:10866789:10867055:1 gene:LPERR10G09290 transcript:LPERR10G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRGIYGGGGGERRRSTSENEKGGGGGRRRMAVAAPRPVRQLYWKLRSRLRPKRHAGGAARSSFGYDPESYSRNFDDTASSQLVPI >LPERR10G09300.1 pep chromosome:Lperr_V1.4:10:10867544:10872744:1 gene:LPERR10G09300 transcript:LPERR10G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKNSSGAESNDKAYDSALRKRQKVLSVVFLVIFPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEAEIVSDQGQTSQAQVEATTGEVSNVARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHVLGLHVCRTTGQELMDSSSRISRIRNREFERLRGPPWLKTVQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCEKRNNPSVLSVSGFVFCYSCIFKSVSQHNRCPITLMPATVEQIRRLFHDL >LPERR10G09310.1 pep chromosome:Lperr_V1.4:10:10874237:10882962:1 gene:LPERR10G09310 transcript:LPERR10G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETATVAAAAAAEEAPEVVVAAEGAPAEAMAPHKLQRQWAFWYDIQSKPKPGAAWGSSLRKAYTFDTIEEFWCLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDFNDKMVYSFHDDAKSQKPSRGGRYNVCLVSMNRFIWRIAFFTNVICTAHVCAEKGISCVSAGSYTSLSEITLYHIPTPPPRSRRFTHRLPSLIPIMDTGSVTGGLVAGSHQRDELHVMRSTEEHKGKVRSADVKTCRVCGEDVAPAREDGQPFVACAECGFPVCRPCYEYERAEGSQCCPQCNTRYKRHKGCPRVAGDEDDGGDMDDFEEEFQIKQQKPPHEPVNFDVYSENGEQPAQKWRPGGPSLSSFTGSVAGKDLEQEREMEGSMEWKDRIDKWKTKQEKRGKLHRDDSDDDDDRNDDEFMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAIPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVYLGSQGALDVEGNELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKKSHKSKKGGGEDEPRRGLLGFYKKRGKKDKLGGAASIAGGKKGYKKHQRGFELEEIEEGLEGYDELERSSLMSQKNFEKRFGQSPVFIASTLVEDGGLPVGAASDPAGLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEC >LPERR10G09310.2 pep chromosome:Lperr_V1.4:10:10874237:10883201:1 gene:LPERR10G09310 transcript:LPERR10G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETATVAAAAAAEEAPEVVVAAEGAPAEAMAPHKLQRQWAFWYDIQSKPKPGAAWGSSLRKAYTFDTIEEFWCLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDFNDKMVYSFHDDAKSQKPSRGGRYNVCLVSMNRFIWRIAFFTNVICTAHVCAEKGISCVSAGSYTSLSEITLYHIPTPPPRSRRFTHRLPSLIPIMDTGSVTGGLVAGSHQRDELHVMRSTEEHKGKVRSADVKTCRVCGEDVAPAREDGQPFVACAECGFPVCRPCYEYERAEGSQCCPQCNTRYKRHKGCPRVAGDEDDGGDMDDFEEEFQIKQQKPPHEPVNFDVYSENGEQPAQKWRPGGPSLSSFTGSVAGKDLEQEREMEGSMEWKDRIDKWKTKQEKRGKLHRDDSDDDDDRNDDEFMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAIPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVYLGSQGALDVEGNELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKKSHKSKKGGGEDEPRRGLLGFYKKRGKKDKLGGAASIAGGKKGYKKHQRGFELEEIEEGLEGYDELERSSLMSQKNFEKRFGQSPVFIASTLVEDGGLPVGAASDPAGLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEC >LPERR10G09320.1 pep chromosome:Lperr_V1.4:10:10883604:10887097:-1 gene:LPERR10G09320 transcript:LPERR10G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHGAPRRERGALLLLLLLVLLVSTSPRGASAAPGEAEALLAWKASLPPPAVSGALASWTPTPPPNVNATVAACAWRGVACGATGGIVDIDVSASGIAGTLDALDLSSLPSLAGLNLSHNTLVGSFPSNVSSPLVNLRSIDLSHNNLSGTIPAALPALMPNLEHLNLSSNRFSGEIPAASLAKIRKIQSVVLDSNLLHGGLPPAIGNISGLRKLELSVNPLGGIIPNSIGKLRSLEHINISLAGMESVIPDELSLCSNLTVIGLAGNKLTGKLPSSLARLTRVREFNVSKNMLSGELLPEYFTAWTNLELFHADGNRFSGEIPKEIGVASRLQFLAVATNNLTGAIPPVIGMLSNLKLLDFSENELSGEIPRTIGNLTNLETLRLYTNKLTGHLPVEFGDMAALQRLSISSNMLDGEIPAGLARLPRLVGIVAFDNLLSGAIPPEFATNGQFSIISMANNRFSGELPRGVCASAARLRYLGLDDNRFSGEVPACYRNLTNLIRLRIARNLLAGDVSEILGSTHPDLYYVDLSGNSLTGELPEKWAQFKSLSFLHLDGNKIAGEIPASFGAMAALQDLDLSSNRLAGEIPPELGGLPLTKLNLRRNALTGRIPVTLGNATKLEMLDLSGNELAGGVPVELTRLTAMWYLNLSSNNLSGEVPALLTKMRSLTSLDLSGNPSLCGRDIAGVKPCSSTSISGDDHSRKTRLILAVAFSVAAALLVSMVALLCLISRRTTGRRESAGDKAETSSPASGWTSSAMQASIWSKDTTFSFGDILAATEHFNDAYCIGKGSFGTVYRADIAGAGGEHSVAVKRLDASETGDACWGISERSFENEVRALTRVRHRNIVKLHGFCAMGGYMYLVYELAERGSLGAVLYGRRGGDGGGGRVFDWAARLRAIRGLAHALAYLHHDCSPPMIHRDVSVNNVLLGDDFEARVSDFGTARFLHPGRSTCHSIAGSYGYMAPELAYMRVTTKCDVYSFGVVAMEILMGKYPGGLISSLENGGGDSEEEAEEAAAAARRRLVKDVLDQSLEAPAGQLAGQVVFAVVVALSCVRTNPDARPTMRAVAQELAARRRSVLDRPFGTIKIGDLITDSHR >LPERR10G09330.1 pep chromosome:Lperr_V1.4:10:10895171:10899099:1 gene:LPERR10G09330 transcript:LPERR10G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLLLLLVVLAAAAANAATAQTTTTDAADALLAWRATLSNATSLSGWTRATPACTWRGVSCSSSAAVVALRLRGLSLGGGLDALDFAAFPALTELDLNGNNLTGGIPANISRLRSLAALDLGNNGLAGEIPPQLGDLSGLVELRLYNNNLAGAIPRQLNRLPKINALTGSIPNTMPEKLPNLRYLNLSINTFSGEIPASIARLTKLEDLRIANTGLTGGVPEFLGSMPQLRILELGDNQLGGKIPPVIGKLTKLQRLDIKNAGLESNLPPELGNLKNLTFLELSLNQLTGGLPPEFAGMRAMREFGISTNNLTGEIPPALFTSWPELISFQVQNNSFLGEIPPEIGKLTKLKFLYLFSNKLTGAMPVELGELGNLVEMDLSVNSLTGPIPNSFGNLRKLTRLALFFNKLTGVIPPEIGNMTALQNFDVNTNHLQGELPATITALKNLQFFSVFDNKMSGTIPPDFGKGLALQVVSFTNNSFSGELPKHICDGLKLQNFTANNNNFTGTLPACLKSCRGLNRVRLEGNNFTGDISEAFGVHPGLVYLDVTGSKLIGRLSSDWGQCLSLTLLHLDGNRISGGIPAAFGRMKSLQDLSLAGNDLTGGIPAVLGNLRLFNLNLSHNSFSGPIPVSLSNNPKLRKVDLSGNMLNGTVPVSISKLGGLTLLDLSKNRLSGQIPSELGNLAQLQMLLDLSSNSLSGTIPSNLEKLKLNLSHNELTGSIPAGFSRMSSLETVDFSYNQLTGRIPSGSAFQNASASAYIGNSGLCGDVQGLSSCDLSSTSSSSGHHKRVVIATVVSVVGVVLLIVIITCLILLCRRRPREKKEVEYSTNDSYESLMIWEKEGKFTFLDITNATDNFNETFCIGKGGFGSVYRAELSSQLAEEVVFIVRIALGCTRANPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTD >LPERR10G09330.2 pep chromosome:Lperr_V1.4:10:10895171:10899099:1 gene:LPERR10G09330 transcript:LPERR10G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLLLLLVVLAAAAANAATAQTTTTDAADALLAWRATLSNATSLSGWTRATPACTWRGVSCSSSAAVVALRLRGLSLGGGLDALDFAAFPALTELDLNGNNLTGGIPANISRLRSLAALDLGNNGLAGEIPPQLGDLSGLVELRLYNNNLAGAIPRQLNRLPKIVHFDLGANFLTDGNLAAFTPMPTVTFMSLYLNSFNGSFPEFVLNSGNITYLDLSQNALTGSIPNTMPEKLPNLRYLNLSINTFSGEIPASIARLTKLEDLRIANTGLTGGVPEFLGSMPQLRILELGDNQLGGKIPPVIGKLTKLQRLDIKNAGLESNLPPELGNLKNLTFLELSLNQLTGGLPPEFAGMRAMREFGISTNNLTGEIPPALFTSWPELISFQVQNNSFLGEIPPEIGKLTKLKFLYLFSNKLTGAMPVELGELGNLVEMDLSVNSLTGPIPNSFGNLRKLTRLALFFNKLTGVIPPEIGNMTALQNFDVNTNHLQGELPATITALKNLQFFSVFDNKMSGTIPPDFGKGLALQVVSFTNNSFSGELPKHICDGLKLQNFTANNNNFTGTLPACLKSCRGLNRVRLEGNNFTGDISEAFGVHPGLVYLDVTGSKLIGRLSSDWGQCLSLTLLHLDGNRISGGIPAAFGRMKSLQDLSLAGNDLTGGIPAVLGNLRLFNLNLSHNSFSGPIPVSLSNNPKLRKVDLSGNMLNGTVPVSISKLGGLTLLDLSKNRLSGQIPSELGNLAQLQMLLDLSSNSLSGTIPSNLEKLVNLQKLNLSHNELTGSIPAGFSRMSSLETVDFSYNQLTGRIPSGSAFQNASASAYIGNSGLCGDVQGLSSCDLSSTSSSSGHHKRVVIATVVSVVGVVLLIVIITCLILLCRRRPREKKEVEYSTNDSYESLMIWEKEGKFTFLDITNATDNFNETFCIGKGGFGSVYRAELSSQLAEEVVFIVRIALGCTRANPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTD >LPERR10G09340.1 pep chromosome:Lperr_V1.4:10:10901465:10903138:-1 gene:LPERR10G09340 transcript:LPERR10G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKFLSLGYNDLDGSFPEFVLGCGNVTYLDLLWNNFSRPIPDLLPEKLPKLMHLYLSFNAFSGKIPASLGRLTKLQDLRISSNFLTGGISEFLGSMSQLTILELGDNQFGGPIPPVLGQLHMLQELDIRNSGLVSTLPPELGNLSNLIFLDLSENQLFGGLPPEFMGMRAMQHFRISWNNLTGEIQPTFTFFVDNNSFTGKIPLEIGKASKLNGLMMGNNRLSGSIPAICSLDLSNNMFSGEIPAAKDLSNNMFSGEIPAAKTSYRCSLNMVHLASNDFTGVFPSVFKGCKNIINLDIGNNRFFGLPSLKIHSLQSNNFTGQIPSELSQLSQLQLLDMTNNGLIGSIPRSFGKLTSMKNPKLTSTQQYLNGNSLLDSTDVVWKGQEQNFEIGTSQTEIQLLTGIALSGNSLSQCIPDELMNLQDLQLLNLSRNHLSCGIPTNIGNMKNLESLDLSSNEFSGYIPPSLADISTLAILNLSNNHLSGKIPIGSQLQTFTNPSIYSNNSMWLTVENHAQIFHLHRTKDIVEQARTNIYPTL >LPERR10G09350.1 pep chromosome:Lperr_V1.4:10:10903183:10904082:-1 gene:LPERR10G09350 transcript:LPERR10G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEGEAPARFIPHCFNITICGSRWPASRLHCRLSSNQSTPSVTSGSDDLCSYLGFWPPFCSVRNNSTVHVCQNANEGIDCPHGTRRAPFNHRTPSPTPSLTSSAVSPRLFIST >LPERR10G09360.1 pep chromosome:Lperr_V1.4:10:10906216:10907354:-1 gene:LPERR10G09360 transcript:LPERR10G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDIPPWICKDLPSLKILSLKSNNFTGEIPSDLSYLSQLQLLDMSNNGLIGLIPRSFGNLSSMKNPKIISSETSFNGSIYKDRIDIIWKGQELTFQKTIQLITGIDLSGNSLSECIPDDLTNLQGLRFLNLSKNNLSCGIPEDIGSLKNLESLDLSSNGFSGQIPSSLTSISTLAILNLSNNHLSGKIPTGNQLQTLTDPSIYINNFGLCGFPLNISCTNYSLASDERYCRTCEDQYLYYFRMAGVIFGFWLWFGMFFSIRTLRYVIFCFVDGMDCKKRWAFSKLLESLDFSVDKL >LPERR10G09370.1 pep chromosome:Lperr_V1.4:10:10923599:10926344:1 gene:LPERR10G09370 transcript:LPERR10G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSLLPCCFSDPLACRRRQSLRLPDSLDHRRSRLSPSRILSRTKTHLHGRRHRASLIEAPATPQPPVGLHVCRRRSTTSARMPSAQSTAASRNGWIC >LPERR10G09380.1 pep chromosome:Lperr_V1.4:10:10935948:10940703:-1 gene:LPERR10G09380 transcript:LPERR10G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVRGPMFLCLLLCFSLLLLLSPRRAMSMELDDNEDDDYYRYDGDNDDDESYYHDDDDDDVFSGRPARRLDDAEDDESYYANDVDVFPGRPARRLHDRVAVMPEKYNVLNSNTSNSSSGSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQNLQFMDLSHNEFSGEIPPVKKTFNCSLESVHLAGNGFTGVFPSALKGCKTLVTLDIGNNNFFGDIPLWIGKGLPSLKILSLKSNNFSGEIPSELSRLSQLQLLDMTNNGLTGLIPKSFGNLTSMKNPKIISSPGSLDGSTYQDRIDIIWKGQELIFQKTIQLMTGIDLSGNALSGCIPDELTNLQGLRFLNMSRNHLSCSIPENIGNFKNLESLDLSSNELSGHIPSSLGGISTLGTLNLSNNHLSGKIPIGNQLQTLTDPSIYSNNSGLCGSPLNISCTNASLVSDERECRTCEDQYLYYCVMAGVVFGFWLWFGMLFFIGTWRYTIFGFVDIIQLGGATTRDMRRHMARVVFPLLILVLVRVISAAASLQSQADALLAWKASLTNATALSGWTRATPLCSGWRGVSCDAATGRRVAALRLPGLNLGGGLDALDFAALPALTELDLNGNNLTGEISTNISRLRSLATLDLGNNGLAGGIPRQLGDLSGLVDLRLYNNNLAGAIPRQLNRLPNIISHWIDP >LPERR10G09390.1 pep chromosome:Lperr_V1.4:10:10946869:10951520:1 gene:LPERR10G09390 transcript:LPERR10G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAGAGDDYTRDGSVDLRGNPVVRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAYLGRYWTFVAGSAVYLMGMVLLTLAVSVPSLKPPSCDAAAAAGCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWAVGYGIPTLGLLLSVAVFLAGTPLYRHKLPQGSPLATIGRVLAAAVWKSGVPIPNDTKDLYELDSKHYSSKRGFRMDSTSSMAFLNKAAVVVKDEEKKTMPAWTNCTVTQVEETKQIVKLVPLLATMVVPCMLVAQAGTLFVKQGVTLDRRIGRFHVPPASLGAFVTASMLICVAIYDRVLVPALRRRTKNPRGITLLQRISAGMLMQVVTMVVTSVVESQRLGYARRHGMVGNGKQQQLPLTIFVLLPQFVLMGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGAGNLLSSAVLTAVERVTGESGRTPWVTNNLNASRLDYYYAFLATLAAVNLLAFVVLSCKYSYRVESTETIDVDVDAMGVQGGTARVKAEAETMP >LPERR10G09400.1 pep chromosome:Lperr_V1.4:10:10981568:10987481:1 gene:LPERR10G09400 transcript:LPERR10G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVEAAAAAGDEYTQDGTVDLHGNPVLRSKRGGWKACGFVVGDSGNFFTKKACLLVKQRNMLFIENLKLVYMDSLSNAQVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGPGTGDPTCTKTDASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHDPRERRHKLSFFNWWMFSIFFGTLFANIVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPLYRHKPTSGSSFAKMARVIVAAVRNLAVKLPSDSKDLYELDDEYYAKKKIVPLPYTSQMRFLSKAAVMTSSPPATARWKVSTVTQVEETKRILKMLPVLGVTFVPAAMMAQVNTLFVKQGTTLNRHIGGGGFEIPPASLQAFVTISMLVSVVLYDRVFMPFTRRLTKNPRGITLLQRMGVGLIIHIAIMAIASVTERHRLAVAREHGISDSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVSHVTRRNGRRGWILNNLNASRLDLYYAFFAVLNCVNLVLFFLVCRLYVYNAEVVDDLRVVDVGGGGGGGGGEEVVKPKEVAMVDGGL >LPERR10G09410.1 pep chromosome:Lperr_V1.4:10:10991446:10996288:1 gene:LPERR10G09410 transcript:LPERR10G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFGAYGEVSQSAVMRDKLTGRPRGFGFVVFSDPASVDAALLDPHTLDGRTVDVKRALSREEQQAAKAANPSAGRHNSSGGGGGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGGGGRSMGGGGGYQSSNGGNSSAGSYDGRGDASRYGQAQQGSGGYPGYGAGGYGAGAVGYGYGANPGTAYGNYGAGGFGGVPAGYGGYGNPTAPGSGYQSGPPGANRGPWGSQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPSSQAAGAAAGYGNQGYSYGGYGGDASSYGNHGGYGGYGGRGDGAGNPAAGGASGYGAGYGSGNAGSGYPNAWADPSQGGGFGAVNGASEGQSNYGSGYGGVQPRVAQ >LPERR10G09420.1 pep chromosome:Lperr_V1.4:10:10996576:10999723:1 gene:LPERR10G09420 transcript:LPERR10G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSRSATAISSSATRSSDVTDLDFAASAIISSPFGRVDALGPVELRETAYEIFFMSCRSSSTTTAGEVSSPRSIGNGSRIKKSLGLKPRRSTPMMTRTLSQTSGPVSPSRGGGGGGGRRPMTPPEIIRQQMRVTEQSDARLRRTLMRAVVGQVGRRPDTIVLPLELLRQLRPPEFADGEEYHQWQFRQIKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDVTSKSSDTMRALTNAVHALAWRSGVGAGGGDAAHWADGYPLNVNLYVSLLQTVFHQRDPAVVLDEVDEMLDLIRKTWPTLGVNKAIHNVCFAWVFFYQYVVVTGQVEADLAAASLAVLDDVAVDAKGIGRGGGDVVYGRVLVGALGAMQEWSERRLMDYHDSYEKGIGGAPTEGMEILLSIALAAGRIVADRDSAGAAENFAGDRVDYYISGMGDGDGDGEPGVVLTQLARDTEELAAIERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQAASRMEKALAQMTAEDAADCRDDRAKAVVGDMEPYEVESVVMGLLKVWMDDKLKITMDCLRRAKETESWIPKSKDEPFATSAIELVKLAKYTVDEFSEIPASAKEEVVQDLVDGLEAIFQDYISFVSSCGSKQNYLPPLPPLTRCNQDSGFFKLWRKAVLPSCQAPEGSPRSGSHHIPRPSISRGTQRLYVRLNTLEYILTHLQSIDDSLAVARLDASRAAAQSAVSHVAEVAAFRLVFLDSRHTFYHGLYIGGVGDARIRPALRALKQNLTFLVSVLVDTAQPVAVREVMRASFEAFLMVLLAGGGDRSFTRGDHAVVEEDFRSLRRAFCTCGEGLVPEDVVAREAEVAEGVVGLMAMSTEALIDAFGLATFDSIADAGEDGGATPVPPTPRQWDPADPNTILRVLCHRDDEVASQFLKRTFQLAKRR >LPERR10G09430.1 pep chromosome:Lperr_V1.4:10:11001080:11001503:-1 gene:LPERR10G09430 transcript:LPERR10G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANNPKNCVISHLVAVLLIVITIQSQAWAVVDDDMMPVTPARPVMVSIITGGVGTAAAAPVCLQCRCCSRTNPSNCQITSCCSSFNCDPSGKCNLVQKRCGCDGGC >LPERR10G09440.1 pep chromosome:Lperr_V1.4:10:11009946:11015815:-1 gene:LPERR10G09440 transcript:LPERR10G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYMLLAPWVAHGWYEVATKGWRNADLGYLAILPSLILRVLHNQAWITASRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYMPGGQYLPLWRADGAVLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITLLFSIPLIACALTGTASIIAFEVYVIYIDLMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYDNSLKNKEEEVDVVHLTHLTSLQSIYHVRPGFAEFASKPYVSKWYMRMMWPFSWLSMVLTWAYGSSFIVERNVMKKIRMQSWAITRYSFHYGLDWEKEAINDLIEKAICEADKSGAKVVSLGLLNQANTLNKSGDLYLLKYPKLRARLVDGTSLAAAVVVNSIPQGTDQVIIAGNISKVARVVAQALCKNNVKVIMTNKQDYHLLKPEMPETVADNLLFSKTGTAKVWLTGDGLDAAQQFRAQKGTQFIPYSQFPPRMLRKDSCSYSTTPAMIVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWTEHECGDKVLDMEKVWSAAIMHGFCPVAQD >LPERR10G09440.2 pep chromosome:Lperr_V1.4:10:11009946:11015815:-1 gene:LPERR10G09440 transcript:LPERR10G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYMLLAPWVAHGWYEVATKGWRNADLGYLAILPSLILRVLHNQAWITASRLQNARGRRQIVRRGIEFDQVDRERNWYTLSILSIASLEDDQIILSGILLYLGALYMPGGQYLPLWRADGAVLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITLLFSIPLIACALTGTASIIAFEVYVIYIDLMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYDNSLKNKEEEVDVVHLTHLTSLQSIYHVRPGFAEFASKPYVSKWYMRMMWPFSWLSMVLTWAYGSSFIVERNVMKKIRMQSWAITRYSFHYGLDWEKEAINDLIEKAICEADKSGAKVVSLGLLNQANTLNKSGDLYLLKYPKLRARLVDGTSLAAAVVVNSIPQGTDQVIIAGNISKVARVVAQALCKNNVKVIMTNKQDYHLLKPEMPETVADNLLFSKTGTAKVWLTGDGLDAAQQFRAQKGTQFIPYSQFPPRMLRKDSCSYSTTPAMIVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWTEHECGDKVLDMEKVWSAAIMHGFCPVAQD >LPERR10G09450.1 pep chromosome:Lperr_V1.4:10:11029940:11033724:1 gene:LPERR10G09450 transcript:LPERR10G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQAAEGERAAVVRSLMAAKAESGKSFTGIAAETGLTNVYVAQLLRRQAQLKPETAPALRAAVPGLTDELVAAMMEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTT >LPERR10G09460.1 pep chromosome:Lperr_V1.4:10:11033524:11041464:-1 gene:LPERR10G09460 transcript:LPERR10G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHSTGSRLPFLLSRGGGRFLVPFAAAEAASRRGSLAAVSARAMSYRGGGRRGGGGGPNSQRGRGRGGGGGRGGGGGGGRGGGGRGGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNAYNKGKTVVFSKIPLPDYRADLDERHGSTQQEIKMSNETERRVENLLANAKSNSSDSASTSTQTTRQSLPSTSSSVTESRTDIDKERLSSELRDLQNSRKMMPSARSMQSFREKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSARTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINSELFSKYFGEAPIMHIPGFTFPVNELFLEDILEKTRYKINSERDNFQVNSRRKRLASVKNDPISDAFEDVEINKEYGNYSIATRQSLEAWSATELNLSLVEGTIEYICRNEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVLPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDSLSVKNAIELLKTVGALDDMEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKDAKRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVNKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNTGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLTPSKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVYH >LPERR10G09470.1 pep chromosome:Lperr_V1.4:10:11043747:11047075:-1 gene:LPERR10G09470 transcript:LPERR10G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREKKGRLRGFVRRMAMECLCSGEQLRGADEIIRSPESAITKDCSASGYSSRNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKVDRRKNRSQWDSPPMPLHAVSLHMEAIYLKSRALHDLGKFKEAAQECRTILDIVEGAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTVMHHLTFALSISGQLKPLAVQFEELLPGVLDKREWSYNVALCYLAEEDDLTALNLLKRILKSGDDSANIKELLLASKACTERSAHTEGASYARRAVANVQGGCEQMAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKIHGKDYRAMYSLSLESAEQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILTAQKQFADAETIVDAALDQTGKWNQGDLLRTKARIQAAQGQLRNAVETYTKLLAVIQLRTKSLSAGIFLAKGTKDDKSLEIETWYDLALLYLCMSQWRDAEVCVSKIRTTSPYSALAWHAKGKLYEAKCQPKEALGSYFKALDLDHKHVPSLISIATVLREVGNRPLPSVRCFLTDALQLDRTNHAAWFSLGLLYKEEGSRSAAEAAECFQAAALLEETAPVEPFR >LPERR10G09480.1 pep chromosome:Lperr_V1.4:10:11052984:11057894:-1 gene:LPERR10G09480 transcript:LPERR10G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVSGGEVAVMELPAAPLGVRTRARALALQRQEKPPQGEEAEKGEYLELRSRRLEKLPPPPPXXXXXXXXAESAEAEVSFGENFLELEAMERSTRETTPCSLIRDSETISTPGSTTRPSHSNSYRKVQSPVRHNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPANDCPLPGRSSSVE >LPERR10G09480.2 pep chromosome:Lperr_V1.4:10:11050569:11057894:-1 gene:LPERR10G09480 transcript:LPERR10G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVSGGEVAVMELPAAPLGVRTRARALALQRQEKPPQGEEAEKGEYLELRSRRLEKLPPPPPXXXXXXXXAESAEAEVSFGENFLELEAMERSTRETTPCSLIRDSETISTPGSTTRPSHSNSYRKVQSPVRHNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPANDCPLPGRVGIKKCSGEWGGEWQCYH >LPERR10G09490.1 pep chromosome:Lperr_V1.4:10:11094870:11098313:1 gene:LPERR10G09490 transcript:LPERR10G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSRFSFARFICFGSQTRAKMAEDAYPVKLHIYDLSQGMARQLSTTILGKAIEAIWHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQEISSRYTMATYNLLSNNCNNFTNEATQFLVGSTIPSYILELPNEVMNSPIGALIMPMIQGLETTLRAGAAPQPPQLKPAPAAAATMPSPQGSIHVEPKSTAADKMDVDNGGGIPPAVQPAAPVVVAEVSKSAAAVVDPLREAKNRVQEEIKREFTAIMAAGGVQAGEAAALATRRVMERHGLRRAATTAGDGGVQRG >LPERR10G09490.2 pep chromosome:Lperr_V1.4:10:11094868:11098313:1 gene:LPERR10G09490 transcript:LPERR10G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAYPVKLHIYDLSQGMARQLSTTILGKAIEAIWHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQEISSRYTMATYNLLSNNCNNFTNEATQFLVGSTIPSYILELPNEVMNSPIGALIMPMIQGLETTLRAGAAPQPPQLKPAPAAAATMPSPQGSIHVEPKSTAADKMDVDNGGGIPPAVQPAAPVVVAEVSKSAAAVVDPLREAKNRVQEEIKREFTAIMAAGGVQAGEAAALATRRVMERHGLRRAATTAGDGGVQRG >LPERR10G09500.1 pep chromosome:Lperr_V1.4:10:11097811:11098083:-1 gene:LPERR10G09500 transcript:LPERR10G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQQQGRPPATKRKASDGAGRGDRLLAGYLAHEFLTAGTVAGERVAGDDRPRPAEDGRYEAVAVLVHGGGARVPGVVNPSQLAAWARR >LPERR10G09510.1 pep chromosome:Lperr_V1.4:10:11099501:11101248:1 gene:LPERR10G09510 transcript:LPERR10G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMFDGLPMLVSYILFGPVETSIFSLGSDGKIFEWSLHNQSDSLIERLCSRYKAMKVDRGHLLIVHLLQGWICT >LPERR10G09520.1 pep chromosome:Lperr_V1.4:10:11111313:11117896:1 gene:LPERR10G09520 transcript:LPERR10G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATMLTLTLLAFSTAMLARLLVARSRRRRCYLLDYVCYKGTDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIDGGEARPDRLAEGMEEMDETFHAVLDELFARSAASGGVGVRPCDVDLLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNYLRTHANKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNHHRLRPHAKLRLRHLVRTHTGASDDAYNCALQMEDDSGRPGFHLGKELPRAAVSAFVKNLRLLAPRVLPFAELLRLALSTFSARVSRKKSTTTTSSSSLTIRMKSGVDHFCVHTGGAAVIDGVGKGLTLTEYDLEPSRMTLHRFGNTSASSVWYVLGYMEAKRRLRKGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWKDCIEQYPPKELANPFMEKYGFVKDMMNL >LPERR10G09530.1 pep chromosome:Lperr_V1.4:10:11134189:11139097:1 gene:LPERR10G09530 transcript:LPERR10G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLCCRTNMSCFACCGDEDTQGVPDNRNPYPGNHPTRNDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >LPERR10G09530.2 pep chromosome:Lperr_V1.4:10:11134223:11139097:1 gene:LPERR10G09530 transcript:LPERR10G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPTRNDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >LPERR10G09540.1 pep chromosome:Lperr_V1.4:10:11141689:11149725:-1 gene:LPERR10G09540 transcript:LPERR10G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGVRLWSYVREEASHGRKAPIDPFTKEKCRPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKRNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHTGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHVSAKQMWDKMAQNGHFERENFEAGSSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLSWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYKIWEEEIEKWQNPILKNERLPEWYKFTLFNELYFMVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRESKPESVKDNHVKLAADQATDGEEQSVSKYAAVHGSQMAKPANGLGPQEPIPYLLSKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWSAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLNDRPFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPRGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEDGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWALSPPKAILDAPKVNLMDRIHLSPHMIRAMNEISVRKVAPDNRCFPSAAFRCDC >LPERR10G09540.2 pep chromosome:Lperr_V1.4:10:11141691:11149100:-1 gene:LPERR10G09540 transcript:LPERR10G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGVRLWSYVREEASHGRKAPIDPFTKEKCRPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKRNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHTGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHVSAKQMWDKMAQNGHFERENFEAGSSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLSWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYKIWEEEIEKWQNPILKNERLPEWYKFTLFNELYFMVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRESKPESVKDNHVKLAADQATDGEEQSVSKYAAVHGSQMAKPANGLGPQEPIPYLLSKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWSAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLNDRPFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPRGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEDGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWALSPPKAILDAPKVNLMDRIHLSPHMIRAMNEISVRKVAPDNRCFPSAAFRCDC >LPERR10G09540.3 pep chromosome:Lperr_V1.4:10:11141691:11149100:-1 gene:LPERR10G09540 transcript:LPERR10G09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGVRLWSYVREEASHGRKAPIDPFTKEKCRPSASQGVPLGAVAFREALGESSRIGISFLIFVSRDGGNKKYSSVLAPGHHEGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHTGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHVSAKQMWDKMAQNGHFERENFEAGSSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLSWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYKIWEEEIEKWQNPILKNERLPEWYKFTLFNELYFMVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRESKPESVKDNHVKLAADQATDGEEQSVSKYAAVHGSQMAKPANGLGPQEPIPYLLSKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWSAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLNDRPFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPRGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEDGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWALSPPKAILDAPKVNLMDRIHLSPHMIRAMNEISVRKVAPDNRCFPSAAFRCDC >LPERR10G09560.1 pep chromosome:Lperr_V1.4:10:11159879:11166284:1 gene:LPERR10G09560 transcript:LPERR10G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDARRLVRRVTQTDGGSGGVVAIVGPDGIGKTTLARVVFDSERVKRRFDTRSWVHVSNRCYGEAGEREAALLSQVIEAIDGGGAAAADTVADMEKTLAGLVANTRFLLVLDEVRNGGEWEELVRRLLEHGSRGSTVLVTAINGNIAREMAAGGYIHRVKPLGADDGWALLRVAACVPVDGEADDAAALKGIGRNIVDKCGGVPLAIKAVGGVLRTREAIAGEWAAVEESPAWTVKGLPDDAMKALYLCYDDMPCHLKQCFLYCSLFLSDSFAVDRRTLMQQWIAEGFVQIRGDAGVEEVADGYYDELIGRNLLQPAEADRHGCVDRCTMHDALRSMARVLSHGENLTGDAPWLRNDGDGDATFVPRHVSFPRNNLSSIPDEVLKLEGVRTLLLQRNPLTIESNVFTRLLHLKVLDLTESAIEVIPETLGNLLYLRFLNLSGTRIKALPETVGNLWSLKFLLLRECKALHVLSKGMEHLKGLRDLDLTGTVIKDVAFRVGHLRNLTSLRCFAVVSKARTAKDRTPAHATQDKSGWTLDELKNLCQLRALHVKKLENATNQSEAAEVALHAKTGLRELELSCSITMKSFQILTPARNIEDIFRELKPPRCLELLKIENYFGTKFPTWLSSTYLPNLLRLNITGCNFCQSFPPLGRLPELRSLCIADSLSLKDIDAQFMDTDHSHQVPFPKLEDLHLKGLHNLETWTSIEAGALPSLQALQLESCSKLRCLPDGFRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPLLETVENINRLQEVHIFDHELQEMPRWIEVYASKLRSLEFMSTTELLKRCLVDGPDWSMIKHIRQVHGYSNDSSYIYYSKSPYIFESNASIQESLDTEGTLANSDKVDGASMESRNIDQEDSMVSSSGTSTVEIIGFFDTKLVQTGTARSEDNAPDTNMERFMTRPTSGRLPKLEEVPEEDEDEDEDEEGVDSVVPVPADATKSDTGKAGSQVKRDAPGDAKCSLGTSFIKSAGAIGHKLVREGSRAINITKTDRGLNLNPFQSKGHNGKVDASGSAIAKVKEGRHQSINNTNESKHIGRVHDTAEMPTISVEKSIPERTKPVAVKVSKSNENNSPDSLSCSRQKTSKKKKDVAIDADTLEAAYHPSNVITEGHPDKVNKITTSVTAIKNDVPVHKSGKEESKKSAGVIYNTLDHAVSQTIHATEIAQDFHSSLLSSGAQLPDANNSCISISDSGDQTESSNTSLSPNLTNEYSKATGGTKTTCVSGPCKLTATLTCKQQTADPSDDTDASIKKMASKISQKVGNTCSAVSSKHPSVETVKKNTRPSSLPSDCKSHAIDITAATKKLEATMTDRFSTKAAAKDGITDDRTPVFFNVKADDSHQPPKVYTAIWADTDTDTMKARLLSTMQHYRRMASQRRRRNKRHSSRTKWSIWPVLVAILLIVSVLQLLFTFWMYRKLLNQNLKVNNQ >LPERR10G09560.2 pep chromosome:Lperr_V1.4:10:11159487:11164549:1 gene:LPERR10G09560 transcript:LPERR10G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEAKKVEARLEKVGAAVRDAEARVASENDAAASRWLAAVRAAAYDADVAVDRCRAAARRLMRAREQQQQQQSQALPWLLSTCCDAGEPRRDIAADLKNVSQKLKAIIKEQRQLQLQPSSSVADHPRKILRRRKSEPTDINIVGTAMEDDARRLVRRVTQTDGGSGGVVAIVGPDGIGKTTLARVVFDSERVKRRFDTRSWVHVSNRCYGEAGEREAALLSQVIEAIDGGGAAAADTVADMEKTLAGLVANTRFLLVLDEVRNGGEWEELVRRLLEHGSRGSTVLVTAINGNIAREMAAGGYIHRVKPLGADDGWALLRVAACVPVDGEADDAAALKGIGRNIVDKCGGVPLAIKAVGGVLRTREAIAGEWAAVEESPAWTVKGLPDDAMKALYLCYDDMPCHLKQCFLYCSLFLSDSFAVDRRTLMQQWIAEGFVQIRGDAGVEEVADGYYDELIGRNLLQPAEADRHGCVDRCTMHDALRSMARVLSHGENLTGDAPWLRNDGDGDATFVPRHVSFPRNNLSSIPDEVLKLEGVRTLLLQRNPLTIESNVFTRLLHLKVLDLTESAIEVIPETLGNLLYLRFLNLSGTRIKALPETVGNLWSLKFLLLRECKALHVLSKGMEHLKGLRDLDLTGTVIKDVAFRVGHLRNLTSLRCFAVVSKARTAKDRTPAHATQDKSGWTLDELKNLCQLRALHVKKLENATNQSEAAEVALHAKTGLRELELSCSITMKSFQILTPARNIEDIFRELKPPRCLELLKIENYFGTKFPTWLSSTYLPNLLRLNITGCNFCQSFPPLGRLPELRSLCIADSLSLKDIDAQFMDTDHSHQVPFPKLEDLHLKGLHNLETWTSIEAGALPSLQALQLESCSKLRCLPDGFRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPLLETVENINRLQEVHIFDHELQEMPRWIEVYASKLRSLEFMSTTELLKRCLVDGPDWSMIKHIRQVHGYSNDSSYIYYSKSPYIFESNASIQESLDTEGTLANSDKVDGASMESRNIDQEDSMVSSSGTSTVEIIGFFDTKLVQTGTARSEDNAPDTNMERFMTRPTSGRLPKLEEVPEEDEDEDEDEEGVDSVVPVPADATKSDTGKAGSQVKRDAPGDAKCSLGTSFIKSAGAIGHKLVREGSRAINITKTDRGLNLNPFQSKGHNGKVDASGSAIAKVKEGRHQSINNTNESKHIGRVHDTAEMPTISVEKSIPERTKPVAVKVSKSNENNSPDSLSCSRQKTSKKKKDVAIDADTLEAAYHPSNVITEGHPDKVNKITTSVTAIKNDVPVHKSGKEESKKSAGVIYNTLDHAVSQTIHATEIAQDFHSSLLSSGAQLPDANNSCISISDSGDQTESSNTSLSPNLTNEYSKATGGTKTTCVSGPCKLTATLTCKQQTADPSDDTDASIKKMASKISQKVGNTCSAVSSKHPSVETVKKNTRPSSLPSDCKSHAIDITAATKKLEATMTDRFSTKAAAKDGITDDRTPVFFNVKADDSHQPPKVYTAIWADTDTDTMKARLLSTMQHYRRMASQRRRRNKRHSSRTKWSIWPVLVAILLIVSVLQLLFTFWMYRKLLNQNLKVNNQ >LPERR10G09570.1 pep chromosome:Lperr_V1.4:10:11167315:11184866:-1 gene:LPERR10G09570 transcript:LPERR10G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARGSRSRAPGAAAAAVALVAVVLAAGLVSGGAAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFVDHWKELDKASECLTAKCCVQKIVEDARSLLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIHVKDDTLEKISGHGTGENFHAAKGTCCWVDTGSALLFNSDDLRKWLDGLGEISVDSPEKLELFDFDHIYPQSNVTAPIAIFYGAFGTKCFKELHVHLAEASKKGKIRYALRQVLPSGCQATSSFCGSIGSVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVPLEDPKTEDLSQEVRGFIFSKILERKPALNAEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVQLKIPESAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVYPGQMRYIRKNLFHAVYTIDMILSLYQDNVPIRFGIIMYSSRLITIIEENDGNLPVNDGSKIEDDTSILIVRLFLYIKDTYSTQLAYQFLSNIHKSRNSGDDDNEEPMEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKEDMHKQEAEESSRFVHKLGLYKLPCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLDKFLSESSYKRYNPSITGKSTENKRFVSLVAPYHQGGSVLHDITYLHSHGTTDDAKPITHLVAVDLSSKTGIKLLHEALRYLMAGSNRARVGLLLYACNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHGLCKFYEEQHMPASSVVSDKISTMMEKVYSLAADTGLPVDNYKAWFTSFSADALLKGMDKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLSLLESMEYELRTKYIYEIIEEIEWTGVDPDDLTSKFYSDVAMLVASSMSVRERPSERAHFEILHAEHSAIKLNNMNSCVHIDAVIDPLSPAAQKLAPLLRILWSQIQPSMRIVLNPIDDFSSTDYTVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKRRGKEHEDLLNADDDNHFQEKIDNKGWNSNLLKWASSFISGDASSKKKDEKISDLKDARHGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPEPTSPPSDTPKPDDKGTSQDLKDEL >LPERR10G09580.1 pep chromosome:Lperr_V1.4:10:11185953:11187540:-1 gene:LPERR10G09580 transcript:LPERR10G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITRRRVFAPAPAAGKAYYDDDDDYCGGAKRKDDETAAAKNARRRFPMSARQAATMAYVEENGRRKDKLLVSSSTSTSPRVRLRADAMRCLAVPLSRDGPERQITGVLLDAAVELLRQSQSQSQSQSGLRNGRRVLLESVEEQDWLEYVSSTADAAAAEDMAATAKKYLNHDIVFFPVNYKSHFFVAALDVAAGEYQILDSSNHGRRFGIGFYAAAMSRIRDGVARCVAAAAGVAVAGGGLGNIRMVDGLPAQTDESSCGFFAIKCMELWDGEKLERGFTMDDVHELQAKLAEELIFWEMNEMQDVKAEIEAMARKMMMSCHNTSSDPIN >LPERR10G09590.1 pep chromosome:Lperr_V1.4:10:11190450:11194064:-1 gene:LPERR10G09590 transcript:LPERR10G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPREQEEAAAVAVAGGKAKAAAAKGHPLLRGGVKRERYTHGLGKGQMEALRAMCGALIPAMPPAEQEEGGPGGGDVDVERFYLASAAESTIADEVAELTMTRVVWEGGMLVRTVLWILASRVGTLALCGRPCVSGKFPYVHRFTDLPVERREAVLKRWNTTRWLFPLKITFTLVKILSHFVFYTAVDENSDNPCWKAIGYSVPAAAEEPQKKQTEPTPAPAPASRPLDNGVVETKHLDDNTLLRSLAEKGLAVKTCSSNARYHTVQCDAVVVGSGCGGGVAAAILASAGYKVVVVEKGDYFTAKDYTSLEGPTMERTYEQGGVFSTSNVTTTIFAGATVGGGSAINWSASIRTPENVLREWAHDHGLPVFASDAYARAMDAVCARLGVTGACEQEGFQNKVLRLGCDALGMRAEHVPRNSSVGHFCGSCNLGCPTGDKKGTDTTWLVDAVDHGAVVLTGVKAERFILERNNNNNNGHRRRKKCVGLIATCVSNGGLTRKLRIEARVSVSACGALMTPPLLKKSGLRNRHIGKNLHLHPVSMAWGYFPEKNTPEDDVIAGKSYEGGIITSMHRVSDRTIIETPAMGPGAFAAMTPWVSGRDMKDRMRRYARTAHAIVLVRDRAAATVGVEGRVSFTPSREDVDELRIGLHRALRILVAAGAAEVGTHRSDGHRLRCKGGVVSEEDIEAFLDEVTVEKGPMHPGSDKWALLSSAHQMGSCRMGKSEKDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIESVAYCVSKGITESLAR >LPERR10G09600.1 pep chromosome:Lperr_V1.4:10:11197563:11202010:-1 gene:LPERR10G09600 transcript:LPERR10G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPHPLLRGGARREKFTHRLHPAQMEALRAMCGALIPSLPAGDGGRADDDKDLARFYLASAADSSIPDEVAELLVTRCIWEAVALTWVVLWMLTTRVGTLLLCGGECVAPGELFVRRFADMPAARREAALRRWSAAPWWKFPLRIAFGIVKILSHYVFYSMVNENSENPHWKAIGYRVENPRRDRTESPPSPSPSPSPPPPPRPLENGIVETRLLTDTTLLRSLTAKGLAVTPASASDAHHTVRCDAVIVGSGCGGGVAAAVLASAGYKVIVIEKGDYFVADDYSSIEGPSMERLFENGGIFCTSNMTTMVFTGATVGGGSAVNWSASIRTPDSVTDEWARDHGLPVFASDAYVEAMEAVCDRIGVTGSCEEEGFQNKVVRRGCDALGLRAEHVPRNSSVGHFCGGCNFGCPTGDKKGTDTTWLVDAVDRGAVVLTGVKAERFILETNHNNGRRGKKCVGLLATCVSNGVTRKLRIEARVSVSACGALMTPPLLKKSGLRNRHVGKNLHLHPVSMAWGYFPEKNTPEDDVIAGKSYEGGIITSMHRVSDRTIIETPALGPGAFAAMTPWVSGRDMKERMRRYARTAHAFALVRDRGFGAVDGEGRVRFTPSRDDTDELRAGLRRALRILVAAGAAEVGTHRSDGLRLRCKGVRDEDLEAFLDEVSIEKGPMYPGSDKWAIFCSAHQMGSCRMGPSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKEIADTLSH >LPERR10G09610.1 pep chromosome:Lperr_V1.4:10:11218788:11219855:1 gene:LPERR10G09610 transcript:LPERR10G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTFTLNVNSTDTIDQIKTKLSAIEGIDKSKQEMFFDGMHLKNEDKLADYNIMTNSSVDLYVTDGIQIFVKIPSVGKTIKLNVRKSSTVADVKAEIKQKEGTLMNKQILMHAGRQLVDNQMLSQCDLSNYQILHLFVCPTAKLHVFINAKGEKTIRLEVKCWYTIADVKMMIETLEGLPACYQILTRVVMALIDSQMLQDQHVKNNDTLFLDQNVQFFVKTWEGKTLTMILKMSDICNEIMDRLAEKLLFMEDLHYLVYRGRILSPWDTLLYHKVERDSTIYVRLLNPGIVKNTTVKDTNKSLDAASRDFLMALASDRTEQDGAVTTVARVKGGGKEQGYGCCAARRREGGGEL >LPERR10G09620.1 pep chromosome:Lperr_V1.4:10:11223497:11226364:1 gene:LPERR10G09620 transcript:LPERR10G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHEQQQRQRLKIHVKVMKTFTLNVNCTDTVDQIKSKLSVIEGIGGSKQEMFFDGMHLKNEDKLADYNIMPNSCVDLYVTDGVQIYVKIPSVGKTIKLNVRKSSTVADIKAEIEQREGIVMNEQILMYAGQQLEDNHMLSRCDLRNDQTLHVLVCPTNRLHVFINVRGEKIICLEAKGWYTVADVKLMIETLEGLPACSQILTRMQSGLGVALTDGQMLQDQNVKNNDTLFLEQNVQFFVKTWKGKTLTMILKMSDTGKEIMDRLEEKLLIKEDMYYLCHRGRVLSPGDTLQNHKVENNSTVFVRFRNSGMISKDKEVIES >LPERR10G09630.1 pep chromosome:Lperr_V1.4:10:11227820:11231124:1 gene:LPERR10G09630 transcript:LPERR10G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGEEEEAFEHTLLVVREVSVYKIPPRVTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPGSGDLFAACFVLPGQRESSVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREHEKEAAGGDGGEESDDGQIDIHPAVNRRLKEGETIRINVKNKSSTGSGMLSSAGLSGGTSAKPKASMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGPNAGIRATKEPSKRNDTFSDLSAMKQNLPSTTDSGQTKSTGAGWAAF >LPERR10G09640.1 pep chromosome:Lperr_V1.4:10:11232978:11236817:1 gene:LPERR10G09640 transcript:LPERR10G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGSEDAPSSATKQRVAAAKQYIEKHYKEQMKNLNDRKERRCSLEKKLADANVSEEEQHNILKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTSAKTQSTNGDGRQQSLPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRVGTKGAEEIKEHSWFSGVEWDKLYEIEAAYTPQVTDELDTQNFEKFEESSDSVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFDASPEGEEKPAEDDEGSAKKTDDPELSRSLSSPST >LPERR10G09640.2 pep chromosome:Lperr_V1.4:10:11232978:11236817:1 gene:LPERR10G09640 transcript:LPERR10G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGSEDAPSSATKQRVAAAKQYIEKHYKEQMKNLNDRKERRCSLEKKLADANVSEEEQHNILKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTSAKTQSTNGDGRQQSLPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRVGTKGAEEIKEHSWFSGVEWDKLYEIEAAYTPQVTDELDTQNFEKFEESSDSVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMDASPEGEEKPAEDDEGSAKKTDDPELSRSLSSPST >LPERR10G09640.3 pep chromosome:Lperr_V1.4:10:11232978:11236817:1 gene:LPERR10G09640 transcript:LPERR10G09640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGSEDAPSSATKQRVAAAKQYIEKHYKEQMKNLNDRKERRCSLEKKLADANVSEEEQHNILKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDMLSSKDLNFVGYTYKNFELVNDPEVLGMDASPEGEEKPAEDDEGSAKKTDDPELSRSLSSPST >LPERR10G09650.1 pep chromosome:Lperr_V1.4:10:11238734:11242935:1 gene:LPERR10G09650 transcript:LPERR10G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSRHPQLHYESKVYMQMQGGNGIPLMKWYGVVGEYNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIITRVEYMHSKGFIHRDIKPDNFLIGLGQKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTSAEVLCKSYPSEFTLYFHYCRSLRFEDRPDYSYLKKLFRDVFTREGHQFDYVFDWTTSKYPQTSPNTKLIQQGSGRMVGVGPSVERTDKTSVGQEIHDRFTGAVEAFARRNPGSGQQGDNLRHKSLADSFGSTEAVVDSERTRTMSRNRSSSKMPAAAAAPSTRPTSSKGDCGDQNRTTGRWVVSTSSSSSRPSTAKQRHHHHHHSAAGVADDERSSPVARNTPPAGSGRSTARCFERLSIGTERRK >LPERR10G09660.1 pep chromosome:Lperr_V1.4:10:11245062:11248886:-1 gene:LPERR10G09660 transcript:LPERR10G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFAKKPTPREAIRNSKRELANATRGIERDIGSLQLEEKKLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQDFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRISGKKVQADERIRRTGTEAGCSEKSWKGHQRQARRWRRRAAPSAPDSEKATTDLVSGEGDGQVCGGEHGMGRRRCRRRRVRRGPATSGAVAASMAWAVGW >LPERR10G09660.2 pep chromosome:Lperr_V1.4:10:11246069:11248886:-1 gene:LPERR10G09660 transcript:LPERR10G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFAKKPTPREAIRNSKRELANATRGIERDIGSLQLEEKKLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQDFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRISGKKVQADESSELDELEQRLAALKNP >LPERR10G09660.3 pep chromosome:Lperr_V1.4:10:11243947:11245260:-1 gene:LPERR10G09660 transcript:LPERR10G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASCPKCAGFREGDNRSGIRGGRRAGLRRRARHGAATMPAATGAERAGDERGGGGEHGVGGGLTTMPKSSNGGDSDNANWLGINDMS >LPERR10G09670.1 pep chromosome:Lperr_V1.4:10:11250559:11251905:-1 gene:LPERR10G09670 transcript:LPERR10G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLSRTPQPHHLAELRIEGAGADQSQVAFSDSCAVGRKRRCLFPAFSPRKRMLLELPPFSSSSSSADPEGVFSPAKTGGGAAFAFFAASPRQLLTPMGSTATGTGSNGDGGFAFLASPTPPVGMIPNAGGGFAFFRSPEPERNAGETTRSGFPFLAPPKPVLAPAVSPSPSPASAAAKELVSGDGGLISGQKPSASSPVKKLPGRSLWSRRLRLSHAAAATTEGRTIPHPPSDEQLHITLPSPPQKFPKTTVSPATGDEPSRGGSYGGATLPASMTATPCCTFLTSLAAKAKGSSHNQSLGAGVASVQGGWRQRHRHAAATGGEVMVLMHFDRKEFQDGVLVL >LPERR10G09680.1 pep chromosome:Lperr_V1.4:10:11255048:11263566:1 gene:LPERR10G09680 transcript:LPERR10G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPVLAEELYAQWLALPETSKLVKSLIEDAKAGATLNVTGSSASTNATSSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFISTVLFKKIDAAGSGTVTRDAFVDYWINDNKITMDTASQIFEILRKPGYNYLTQEDFKPVLKELLSTHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMVDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDLLLAESGWT >LPERR10G09680.2 pep chromosome:Lperr_V1.4:10:11254626:11263566:1 gene:LPERR10G09680 transcript:LPERR10G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPVLAEELYAQWLALPETSKLVKSLIEDAKAGATLNVTGSSASTNATSSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFISTVLFKKIDAAGSGTVTRDAFVDYWINDNKITMDTASQIFEILRKPGYNYLTQEDFKPVLKELLSTHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMVDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDLLLAESGWT >LPERR10G09690.1 pep chromosome:Lperr_V1.4:10:11265383:11267158:-1 gene:LPERR10G09690 transcript:LPERR10G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGDVSCHRCGLWSNGSVPVIINSSMRCLRVRAHAVYLDYPARTEEKNGGNLVVMRPDRRRPKENFFREKNENSIVVVQPDRRLRDDFGREAADFEKDVSLSCAKPGKRVEKNLDGVDAIYSSKHRGKCYGDNLRRYCNSGKLIQACCVIDEMVLHGEIPDPKCCIRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSAMQVLEDMRFSGCSPSGITFNTLIRCMFNQRMYGRAISFWKEQLRLGWPPYVMTSTLLVDLVCKKCGPQKAMEVLDELSFEGCQPDVVTYNALISASCKAGRLNDAKVILTRLTAEGLEPNGTTYCILLHALCNKRKWDEVCDLLEDMNQANCDPDVTTYNIFINYFCKYGHLDQAIDVLEKMVTNNCSPDIVTCNTLLNAISKKGMVEEALGIAHYIRENGYKLVLITYNTLIDALAKKAEIKKAMVLFDEMVSDGISPDDITYGSLVMGFCKKNMADEALDLLNQMLTLGFQVKTTTFVMVIQALCRDGKVEAAAEIIKVMLSKNSIPGSSLCSSIVTKVAKSGWTKEAQMLHQKLLECKIIKEDTQIILSS >LPERR10G09700.1 pep chromosome:Lperr_V1.4:10:11269199:11284283:1 gene:LPERR10G09700 transcript:LPERR10G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQATMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYHACRFILETSLMANARFQAAGAIGDAAIREWGILSDDNKKSLIVVEFSDQEKAAIFFEIEQSIRGIHGPSRQFATINFLEALVSEFSPATASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADTILNGNAGVAEEKACSAAFRLMFQILSWSFKHNVEHANPEAKINSGFRSDAINLKKFERSLVKPGSLWSDVLISSGHTTWVLNFYTNARQKFSYDTLWVDSPIATSCRQLIVQLCSLAGSVFPNDNADAQIKHLVHILSAAILWIEPPDVIATSIRSGASESEFLDGCHILLSMASLTTCSLFDNLLKSMRHYGTINLLSALTSEAVKSFLDNQNEEETWGSEALDILLETWSVILGDIDTDKSPMSVDGAMAASSLFKIIVESHLKAAAESAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQIPEALQAGFSNVVEVAQHPVVALSWSIINFSRQCLDPGIRARYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVNRAELNSVDKHAVQHSRKVLNSFAWENNQGELVLDFVVLISMVALTTYQGETELQTLTCQKLLATVVRRKHTCTYIVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAQQADVVYMSAVIYMILKFVVDFVDGQAVFLDAKETSVLVSFCLKLLQIYSSHNIGKVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDDSDIVERCLTAINALASYHFKERVGGRCGLSSQLMESEGSNGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLILCEHELYQRLVQELLEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKSLRTFLGDVSGFMQIK >LPERR10G09710.1 pep chromosome:Lperr_V1.4:10:11285600:11293676:1 gene:LPERR10G09710 transcript:LPERR10G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCMIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLDFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSSLVERMCWKIAEKRNQTVIWVKPLNNNCYRSRPPGTNPPLCKRGDDPDSVWGVQMEPCITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDKYWSLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKGSVIEFIKKYLNALHWEAVTVVEAESSPESEENEMIFIIRKKLWQPGVSQDPK >LPERR10G09710.2 pep chromosome:Lperr_V1.4:10:11285042:11293676:1 gene:LPERR10G09710 transcript:LPERR10G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCMIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLDFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSSLVERMCWKIAEKRNQTVIWVKPLNNNCYRSRPPGTNPPLCKRGDDPDSVWGVQMEPCITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDKYWSLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKGSVIEFIKKYLNALHWEAVTVVEAESSPESEENEMIFIIRKKLWQPGVSQDPK >LPERR10G09720.1 pep chromosome:Lperr_V1.4:10:11291434:11293782:-1 gene:LPERR10G09720 transcript:LPERR10G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALGDVAAARAAFASLPVRDVVAWTALVGAYADAGQLGEAFDLFESMQESGVMPDVISWNTLVSGFARNGDIRAALHLFDEMRLSGVEPGVNSWNCIISGCVQNARYDEALGIFGEMCESEMPDAVTVASILPACTGLMALGIGKQLHSYVIRCRIKLNVYIGSSLIAMYSECGEFGYARSVFAAIEEKNATVWNELIRSYIIEGRMNEAWEAFRLMQENGLQPDTVTYNSFIAAYARAGQKEQAYKLLSDMVDIGLKPNVVSMNALISGLHHHGHHVDALEAFRYMQLSNGGEAKGWALLGNPIQPNGTTITSVLSLLTDLKLDRLGKEVHCYALRSGLTSNIFVSSKLVDLYGKAGDMDTADKVFQGISNKNVVTWNSLLASYRKNRKPEIALKLFPGMLKSDVIPNLVTLQIALLSSGMTMALQYGRELHGYIRKNWPDGYPTALASALIDMYGKCGKIEAARLVFECTVEKDIATWNAIMSAYLLHRMPSEVTNLFKYIEQSGIQPDSVTFIMLLSACKQEGSMEEARRYFYSMEDVYSIQPTLKHYTCMVDIMGTAGLLEESLELIQKMQFEPDGCLWSTLLKACKLHSNLEIGEKATKALFELEPNNTSNYMLLSNMYANSGLWDSTEAVRVAMTEKGLNVERQCSCLYLGTAVHAFEAGDFSHPAFERILSTWKDLSNRMEQSGYPPQEIEPYCNAEADPLSCQHTERIALCFGLISVHSHDPIRISKNFRMCLECHSSIKFISRDMNREIFVSDGCTYHHFRNGACSCGDMW >LPERR10G09730.1 pep chromosome:Lperr_V1.4:10:11304293:11306567:1 gene:LPERR10G09730 transcript:LPERR10G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSALFPICSWYTYTSPSRHRRPLAMALSRVLATTIPPVLFKLSSVGLPCCGLFLGPVTTDHPFHRVVVVDEGFGLHTPVFSHIEENNPTVVFTVCRSLKNDFHIDTSMIKA >LPERR10G09730.2 pep chromosome:Lperr_V1.4:10:11304108:11306567:1 gene:LPERR10G09730 transcript:LPERR10G09730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYMQICSWYTYTSPSRHRRPLAMALSRVLATTIPPVLFKLSSVGLPCCGLFLGPVTTDHPFHRVVVVDEGFGLHTPVFSHIEENNPTVVFTVCRSLKNDFHIDTSMIKA >LPERR10G09740.1 pep chromosome:Lperr_V1.4:10:11327881:11330795:1 gene:LPERR10G09740 transcript:LPERR10G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREMESTLPPGFRFCPSDEELVCFYLRSKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRIVHDAATRAVVGMRKTLVFYLGRAPNGHKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSSTTEAEQGSDIFITNTGGSIDPSSPTMTTAPLLGSSPDPTVVDRFDHQSTVLEPSMLLMQGGGDHMIAGNNNHCSNSALLNLAMLQYNFVDQPPGDDVAVGTHFDACHGGGDDATMALRMGLEEHGMGEIIEIEPTWRQGGNNCLYRDELYFQ >LPERR10G09740.2 pep chromosome:Lperr_V1.4:10:11329226:11330795:1 gene:LPERR10G09740 transcript:LPERR10G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLVFYLGRAPNGHKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSSTTEAEQGSDIFITNTGGSIDPSSPTMTTAPLLGSSPDPTVVDRFDHQSTVLEPSMLLMQGGGDHMIAGNNNHCSNSALLNLAMLQYNFVDQPPGDDVAVGTHFDACHGGGDDATMALRMGLEEHGMGEIIEIEPTWRQGGNNCLYRDELYFQ >LPERR10G09750.1 pep chromosome:Lperr_V1.4:10:11337865:11355578:1 gene:LPERR10G09750 transcript:LPERR10G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVQRDFEELPSEAFRPLQDSLYGLLKKFSEGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMKSQQDFIPSFLELLIVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGISASNLATHPLVYMALSSLNSEQFLEAAVNVTSELIHFTVSRESNGITEQFPLIQVLIPYVMGLKEQLKDSSKEEEDVKAIARLLADMGDSYVELIATGSDDAMQIVNALLEVTSHPEFDISSMTFNFWHHLMRNLTDSDVLIDATDVLGGDQTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEEKEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIDAAPSELLLPPLVDILNKGMSTSEDTAAAASVAFKRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSVVIMTLPPDHTPRALELICQPVINPLQTGMGHTDHGVAMSILQVRTCRRFMGFTIGAMLEEIQTLYQQHHQACFLYLSSEVIKIFGSDPACASYLASLIQALFGHTVQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDAFDLAKSPEGEKYRELINTIILQRGAILTRIMIASLTGALPSSRLDEVSYVLVSLSRSFGGNMLNWASECITLIPPQALTDSERSRFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLNFTRTASARSAVGGCCGGVRTYPSVLTLYCGNLPARKRASRAAVVLVAQHMYLSVCTGSAAATDSLFSARKTWARQTSRTWTSGVVPLHSSWLCLSISIAISSPEAKLN >LPERR10G09750.2 pep chromosome:Lperr_V1.4:10:11337865:11355578:1 gene:LPERR10G09750 transcript:LPERR10G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVQRDFEELPSEAFRPLQDSLYGLLKKFSEGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMKSQQDFIPSFLELLIVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGISASNLATHPLVYMALSSLNSEQFLEAAVNVTSELIHFTVSRESNGITEQFPLIQVLIPYVMGLKEQLKDSSKEEEDVKAIARLLADMGDSYVELIATGSDDAMQIVNALLEVTSHPEFDISSMTFNFWHHLMRNLTDSDVLIDATDVLGGDQTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEEKEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIDAAPSELLLPPLVDILNKGMSTSEDTAAAASVAFKRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPEVVAEAVNRYWPTLKNIFDQRAWDTRTMESLCRSCKFAVRTCRRFMGFTIGAMLEEIQTLYQQHHQACFLYLSSEVIKIFGSDPACASYLASLIQALFGHTVQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDAFDLAKSPEGEKYRELINTIILQRGAILTRIMIASLTGALPSSRLDEVSYVLVSLSRSFGGNMLNWASECITLIPPQALTDSERSRFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLNFTRTASARSAVGGCCGGVRTYPSVLTLYCGNLPARKRASRAAVVLVAQHMYLSVCTGSAAATDSLFSARKTWARQTSRTWTSGVVPLHSSWLCLSISIAISSPEAKLN >LPERR10G09760.1 pep chromosome:Lperr_V1.4:10:11354606:11359589:-1 gene:LPERR10G09760 transcript:LPERR10G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDRKTACVTGGSGYIASALIKLLLEKGYAVNTTVRNPDDVDKTCHLKDLQTLGPLNIFRADLNEEGSFDEAVAGCVFVFLVAAPMLVHQTHNLEEDMTEGTVRGTLNVMRSCMKARTTVKRVILTSSMTAAAYVPRTLKGDGHVLDEESWSNLDYLATLSLPSAAWAKAYASGKIHSEEAACRFARENGISLATVLPVVVVGAAPAAPKGSFNTNALVLSLLSGEEMAMEMLRQSQELCNGTTPLVHVRDVCRAQVFLAEKSESVAAAEPVQTERYMCCATNTTAARLARFLAGKFPQYNVKTDGFGDVGEDPRVLVSSEKLLKEGFEYEYKDLDKMFDDAVEYGKALGILP >LPERR10G09770.1 pep chromosome:Lperr_V1.4:10:11360807:11361145:1 gene:LPERR10G09770 transcript:LPERR10G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGCPSKPLDPHAPPKIPSMRGRQNSRKKPARRRPIDERKSDATPSNPGPAGAVEAGGGVKGEGIERGREEREERKGESDLWGLCGNFFRSRDTHLGALIVNALSYCSY >LPERR10G09780.1 pep chromosome:Lperr_V1.4:10:11365500:11367643:1 gene:LPERR10G09780 transcript:LPERR10G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQAGAGSPPSSPSTLRHPFVHAEFSLHASLLLSPANEVAMATTAAGTTLLVLASGINNPSRFMKPRLLQDVPGFKYFQTVAQDEQRRKNLHYCCLKILP >LPERR10G09780.2 pep chromosome:Lperr_V1.4:10:11365500:11367323:1 gene:LPERR10G09780 transcript:LPERR10G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQAGAGSPPSSPSTLRHPFVHAEFSLHASLLLSPANEVAMATTAAGTTLLVLASGINNPSRFMKPRLLQDVPGFKYFQTVAQVRIAIVSNLICYST >LPERR10G09790.1 pep chromosome:Lperr_V1.4:10:11373425:11374078:-1 gene:LPERR10G09790 transcript:LPERR10G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAHHAAGAAVAAAPDSPHSDNNSGGGGGFTTAITGAATSSSPSPAVASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHAAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQSRARGVSYEKKKRKKQPTTGVAGAIAGVGHDDVNGGGFHHQPPPGAAA >LPERR10G09800.1 pep chromosome:Lperr_V1.4:10:11373455:11374087:1 gene:LPERR10G09800 transcript:LPERR10G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAAVDIVVPNSGDGAGDASGRLLLPLLLLVANPTSPGLMLPDLTEVEPDGASTERIILGSPAILLAARRRPTSASSEPQACRSGHGHGAGGEGWPKKGHAAACTLVLPNWSRNSSTCAPLHRASDSGGRWLRRYWPNVFQSRRFCDSYLLGDATAAAAAAAVVVGVRAVRRGGDGGAGGVVRHQVHLM >LPERR10G09810.1 pep chromosome:Lperr_V1.4:10:11392503:11396207:-1 gene:LPERR10G09810 transcript:LPERR10G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAAPPAAATVAAPPSLFRRRSLSSSSSSRSALSPLAARPARLSAVPIKRHGGVVAAASAAGSAWFGAGDDENPYEILGTSPLDGFDQVKMAYTRRRKDAESNSDAEYLMKVLERAYDTVMMEQLQSRKKGVAYGSIQVSKDIKYADNQPVVPWGPRYSRSTGKDLRINMAISATFIMYISTMGHADWKPLQFLSFAYFYRILDKLKVTEPSKTPIYNEYGEVEGRGIHMAKRVLRSLGLVIGSILAVSLGYSGLANFSQFLGQYIPSVVYNFQEK >LPERR10G09820.1 pep chromosome:Lperr_V1.4:10:11399594:11404063:1 gene:LPERR10G09820 transcript:LPERR10G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPMRVLVTGAAGQIGYALVPMIARGAMLGPDQPVILHMLDIPPATDSLNGVKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSFAEKNITCLTRLDHNRALAQIAERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTTTGEEPVRKLVADDEWLNSEFITTVQKRGATIITVRKASSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDETAQELSEEKAIAYSCLN >LPERR10G09840.1 pep chromosome:Lperr_V1.4:10:11421609:11422280:-1 gene:LPERR10G09840 transcript:LPERR10G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFVSVGGRQLEGLQRRWFHFSGMVFGAKRLTGFAFLRWATRDVIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWISAAIGGPELDGFFVAWALLDAVVSVLFTVVPWVVVMDRDPRPPGRNSVKEGCYLVSLMATDAALIKCWQTVVCGSMGQLAMVTLAGKVLGGFLHSLAEVYFMVVWLMFYFSARCKEVRLGGRHFGLEDVAAALDGFRQ >LPERR10G09850.1 pep chromosome:Lperr_V1.4:10:11423718:11428236:-1 gene:LPERR10G09850 transcript:LPERR10G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVFALSMYNHSKGSFLLATTSTSSKHTRENICLISLDNLLKSSKFLLDDTCVLGMEILQVDVRCSSEKKAVEVQKKFVSVQNLFLQKEEFTKGVYTWTMNNFPELDLKPPVLSPAFEIGGREWFIKMYPRGDEYSINSLSMYLLPHSSDKLSPEPGMMIELTLSILNQKHEQLHKFSGRLVFASKNGWGWSNFIALNKFKNLVGSSCIVKADITIIGSSSESQIMLLLNPKNKPEAKNYTLLH >LPERR10G09860.1 pep chromosome:Lperr_V1.4:10:11434189:11437170:1 gene:LPERR10G09860 transcript:LPERR10G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCFCANPDLREDTNKPTFIWKIHNFSALLQNQRGDITASASFRCCGYKWTLNVIPFHSEQYFSSIPYIVLGLSLVRSSFKKGYSMNVGYELSIYNHSNEVHSRHKASHKFHVMDTHANKTCLISLDELKNSAGLLVDDTCIFGVKILKIDVFYPEKRSIVVKREPTIIRKEKSVVIKREPTIVQKEKSVVIKKEPTIVYKLFLQKKQLTTRTYTWTINNFLDLESNPSVCSPTFGASGYKWNLRMHPHGDMYSTDSLSLFLILKSINMHEYGKMIEVTLSILDQKYGVHIRKSGRFVFVVMPGWGWSNFIPLKKFKDPSRGYLVGSNCKIEAKISIMGTCFDV >LPERR10G09860.2 pep chromosome:Lperr_V1.4:10:11434310:11437170:1 gene:LPERR10G09860 transcript:LPERR10G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCFCANPDLREDTNKPTFIWKIHNFSALLQNQRGDITASASFRCCGYKWTLNVIPFHSEQYFSSIPYIVLGLSLVRSSFKKGYSMNVGYELSIYNHSNEVHSRHKASHKFHVMDTHANKTCLISLDELKNSAGLLVDDTCIFGVKILKIDVFYPEKRSIVVKREPTIIRKEKSVVIKREPTIVQKEKSVVIKKEPTIVYKLFLQKKQLTTRTYTWTINNFLDLESNPSVCSPTFGASGYKWNLRMHPHGDMYSTDSLSLFLILKSINMHEYGKMIEVTLSILDQKYGVHIRKSGRFVFVVMPGWGWSNFIPLKKFKDPSRGYLVGSNCKIEAKISIMGTCFDV >LPERR10G09870.1 pep chromosome:Lperr_V1.4:10:11437833:11439368:-1 gene:LPERR10G09870 transcript:LPERR10G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISRKVGVAPPPAVIAAPYGKTEKTSFKWMIDGLSSLLAWGEGRTNSRVFEIKGLNWYLQLNLMDKEWGREGTSLKPDIIVEALFKFLIYDHTYGKHSEYELSHQFDAVSTSSGASCMIPLKMLRNTASGFLTGDSCTFGVEFSKITAFRANRMAEMLFANKTFFSACEVYNWDIKDFFKLKSICTSPQFKIGGHRWYLSIYPSGSDKSGKFLSLYLHMKRSGTPRQRSGVLVELSLSIKNQKNGYHRKLTGSCQFSNKKDDGCGWAKFISLERFKDSSNGFLVKGKCCIEADLAIIGS >LPERR10G09880.1 pep chromosome:Lperr_V1.4:10:11443285:11449405:-1 gene:LPERR10G09880 transcript:LPERR10G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRASSVPPSLEEKKTFKWMIDGFSSLLDKGDVWTYSSVFEIMGIKWYLKVNPKDKKNGEEYVSLRLELSNPSLKIDTVVNAYFKFLIYDQSYGKHSEHQVTHKFQTASTSSGTSYMIPLKTLNSSGFLLGDSCVFGVQFVKVVTAKANDKSEILFVQKMNTFNEAKVYTWEIEDFFALKNPSYSPEFELDGHKWLLRIYPSGKDKNENYLSLILEMKDIPCKNSANLVELSISIKDQETANHWKRTGRCQFSENSKSWGWPKFMSLEDFKNSSNGYLVKTKCCIEAEVAIVGRSNEKKLKSKQAVTVPSISSAQTTFKWRIDGFSSLLDKGEGWTYSRVFKIMGLNWYLKLNPMDRKSGDETEYVSLNLELCNTSLKPDTVVDASFKFLIYDQTYGNHNEHQVTHKFQTASSSSGTLCMIPLSTLKSSPGFIFNNSCVFGVEFIKVVAAKVKDTSETLFVQKINAFTEVKAFTWDIEDFFALKSPSYSPEFELGGHKWFLSIYPTGYDKNGNYLSLYLHMKTQNIPNQNSAELVDYCIGIKNQETGKHRKEAGRCQFSKNVDNWGFNKFMSLEDFKDSSNGYLVKTKCCIEAEVTIVGTSKMTGTIHFGP >LPERR10G09880.2 pep chromosome:Lperr_V1.4:10:11443635:11449405:-1 gene:LPERR10G09880 transcript:LPERR10G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRASSVPPSLEEKKTFKWMIDGFSSLLDKGDVWTYSSVFEIMGIKWYLKVNPKDKKNGEEYVSLRLELSNPSLKIDTVVNAYFKFLIYDQSYGKHSEHQVTHKFQTASTSSGTSYMIPLKTLNSSGFLLGDSCVFGVQFVKVVTAKANDKSEILFVQKMNTFNEAKVYTWEIEDFFALKNPSYSPEFELDGHKWLLRIYPSGKDKNENYLSLILEMKDIPCKNSANLVELSISIKDQETANHWKRTGRCQFSENSKSWGWPKFMSLEDFKNSSNGYLVKTKCCIEAEVAIVGRSNEKKLKSKQAVTVPSISSAQTTFKWRIDGFSSLLDKGEGWTYSRVFKIMGLNWYLKLNPMDRKSGDETEYVSLNLELCNTSLKPDTVVDASFKFLIYDQTYGNHNEHQVTHKFQTASSSSGTLCMIPLSTLKSSPGFIFNNSCVFGVEFIKVVAAKVKDTSETLFVQKINAFTEVKAFTWDIEDFFALKSPSYSPEFELGGHKWFLSIYPTGYDKNGNYLSLYLHMKTQNIPNQNSAELVDYCIGIKNQETGKHRKEAGRCQFSKNVDNWGFNKFMSLEDFKDSSNGYLVKTKCCIEAEVTIVGTSKMV >LPERR10G09880.3 pep chromosome:Lperr_V1.4:10:11443148:11449405:-1 gene:LPERR10G09880 transcript:LPERR10G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRASSVPPSLEEKKTFKWMIDGFSSLLDKGDVWTYSSVFEIMGIKWYLKVNPKDKKNGEEYVSLRLELSNPSLKIDTVVNAYFKFLIYDQSYGKHSEHQVTHKFQTASTSSGTSYMIPLKTLNSSGFLLGDSCVFGVQFVKVVTAKANDKSEILFVQKMNTFNEAKVYTWEIEDFFALKNPSYSPEFELDGHKWLLRIYPSGKDKNENYLSLILEMKDIPCKNSANLVELSISIKDQETANHWKRTGRCQFSENSKSWGWPKFMSLEDFKNSSNGYLVKTNFPHHCRLLPPSTRNTELVTSVPANVDS >LPERR10G09880.4 pep chromosome:Lperr_V1.4:10:11443635:11449405:-1 gene:LPERR10G09880 transcript:LPERR10G09880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRASSVPPSLEEKKTFKWMIDGFSSLLDKGDVWTYSSVFEIMGIKWYLKVNPKDKKNGEEYVSLRLELSNPSLKIDTVVNAYFKFLIYDQSYGKHSEHQVTHKFQTASSSSGTLCMIPLSTLKSSPGFIFNNSCVFGVEFIKVVAAKVKDTSETLFVQKINAFTEVKAFTWDIEDFFALKSPSYSPEFELGGHKWFLSIYPTGYDKNGNYLSLYLHMKTQNIPNQNSAELVDYCIGIKNQETGKHRKEAGRCQFSKNVDNWGFNKFMSLEDFKDSSNGYLVKTKCCIEAEVTIVGTSKMV >LPERR10G09890.1 pep chromosome:Lperr_V1.4:10:11451737:11457715:1 gene:LPERR10G09890 transcript:LPERR10G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHVYIQTKATITHKRRENKACPTVHLFPSSEEQIKQNAKRVMLLIFSNILIFRRMTRMRISCFQLYNPPYEYNKNEAKRHRDSHSTYVCMTFRINVFLP >LPERR10G09900.1 pep chromosome:Lperr_V1.4:10:11470641:11481820:1 gene:LPERR10G09900 transcript:LPERR10G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSKAPYKRPNSKKPKPTSIQPTSPSPTPLLKSLPKKGQNPIHTNPVSAAAAAAEDKPASSDAPRRRRSVRPPPVISTPAGVPARDGAGAPRHETLPPPRMPFRPRLPLLPHLRRHLRSPFLPLPARRPLSYYPSTAAAVAEVTESEEDAVVNRAPKLIGFGGVVRGSARVGCNGGAVGEDDVVARIELCHELLRERRWREMRAGLAQLVSEQGSGSAPTLCDILWNRFRECDSNSCVWDALAASYARAQMVHDALYVLTKMTSLNMQISVFTYDSLLRGLRMTDKALELFEDMESCGISPSEHSHIILIDGLCKQDKVGEALSFLQEARKKGKFKPLGMTFNILMSALCNRGFVQDAKSFLCLMLKYGLIPDKYTFSTLIHGLCKVGSMLEAVDLFERVTKEVELEIVTYNCLINGYRLLGKTREIPRIIQMMRGQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLVYEIDNLLGEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNMMCSSLRVMPTSLNHLSILLGLSKKGLLVEARWYLDNVAIKCQPTDVVFYNVVIDGYAKIGDIVNAVRLYDQITVAGLQPTIVTCNSLLYGYCKIGDLQLAKSYFTAIQLSELVPTAVTYTTLMDALSEAGEVNTMIRLFYEMPEKRIKANAVTYSVVIKGLCKQLRFKEAISVLDDMDREGINPDPITYNTLIQGFCEAQDVQMAFHIHDRMLCRGLVPTPVTYNFLINVLCLKGKVTQAEELLDSLRENGIKLKKFAYTTLIKAQCSKRMPINAVLLVGKLLNAGFEVSIVDFSAAINRLCKRQFAIEAFMLVPIMLSVGIYPDTQMYYVLGRALQKSNELVYLPILNALAIKTGFKGSVLVSG >LPERR10G09900.2 pep chromosome:Lperr_V1.4:10:11470641:11481820:1 gene:LPERR10G09900 transcript:LPERR10G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSKAPYKRPNSKKPKPTSIQPTSPSPTPLLKSLPKKGQNPIHTNPVSAAAAAAEDKPASSDAPRRRRSVRPPPVISTPAGVPARDGAGAPRHETLPPPRMPFRPRLPLLPHLRRHLRSPFLPLPARRPLSYYPSTAAAVAEVTESEEDAVVNRAPKLIGFGGVVRGSARVGCNGGAVGEDDVVARIELCHELLRERRWREMRAGLAQLVSEQGSGSAPTLCDILWNRFRECDSNSCVWDALAASYARAQMVHDALYVLTKMTSLNMQISVFTYDSLLRGLRMTDKALELFEDMESCGISPSEHSHIILIDGLCKQDKVGEALSFLQEARKKGKFKPLGMTFNILMSALCNRGFVQDAKSFLCLMLKYGLIPDKYTFSTLIHGLCKVGSMLEAVDLFERVTKEVELEIVTYNCLINGYRLLGKTREIPRIIQMMRGQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLVYEIDNLLGEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNMMCSSLRVMPTSLNHLSILLGLSKKGLLVEARWYLDNVAIKCQPTDVVFYNVVIDGYAKIGDIVNAVRLYDQITVAGLQPTIVTCNSLLYGYCKIGDLQLAKSYFTAIQLSELVPTAVTYTTLMDALSEAGEVNTMIRLFYEMPEKRIKANAVTYSVVIKGLCKQLRFKEAISVLDDMDREGINPDPITYNTLIQGFCEAQDVQMAFHIHDRMLCRGLVPTPVTYNFLINVLCLKGKVTQAEELLDSLRENGIKLKKFAYTTLIKAQCSKRMPINAVLLVGKLLNAGFEVSIVDFSAAINRLCKRQFAIEAFMLVPIMLSVGIYPDTQMYYVLGRALQKSNELVYLPILNALAIKTGFKGSVLVSG >LPERR10G09900.3 pep chromosome:Lperr_V1.4:10:11470641:11481820:1 gene:LPERR10G09900 transcript:LPERR10G09900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSKAPYKRPNSKKPKPTSIQPTSPSPTPLLKSLPKKGQNPIHTNPVSAAAAAAEDKPASSDAPRRRRSVRPPPVISTPAGVPARDGAGAPRHETLPPPRMPFRPRLPLLPHLRRHLRSPFLPLPARRPLSYYPSTAAAVAEVTESEEDAVVNRAPKLIGFGGVVRGSARVGCNGGAVGEDDVVARIELCHELLRERRWREMRAGLAQLVSEQGSGSAPTLCDILWNRFRECDSNSCVWDALAASYARAQMVHDALYVLTKMTSLNMQISVFTYDSLLRGLRMTDKALELFEDMESCGISPSEHSHIILIDGLCKQDKVGEALSFLQEARKKGKFKPLGMTFNILMSALCNRGFVQDAKSFLCLMLKYGLIPDKYTFSTLIHGLCKVGSMLEAVDLFERVTKEVELEIVTYNCLINGYRLLGKTREIPRIIQMMRGQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLVYEIDNLLGEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNMMCSSLRVMPTSLNHLSILLGLSKKGLLVEARWYLDNVAIKCQPTDVVFYNVVIDGYAKIGDIVNAVRLYDQITVAGLQPTIVTCNSLLYGYCKIGDLQLAKSYFTAIQLSELVPTAVTYTTLMDALSEAGEVNTMIRLFYEMPEKRIKANAVTYSVVIKGLCKQLRFKEAISVLDDMDREGINPDPITYNTLIQGFCEAQDVQMAFHIHDRMLCRGLVPTPVTYNFLINVLCLKGKVTQAEELLDSLRENGIKLKKFAYTTLIKAQCSKRMPINAVLLVGKLLNAGFEVSIVDFSAAINRLCKRQFAIEAFMLVPIMLSVGIYPDTQMYYVLGRALQKSNELVYLPILNALAIKTGFKGSVLVSG >LPERR10G09900.4 pep chromosome:Lperr_V1.4:10:11470641:11481820:1 gene:LPERR10G09900 transcript:LPERR10G09900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSKAPYKRPNSKKPKPTSIQPTSPSPTPLLKSLPKKGQNPIHTNPVSAAAAAAEDKPASSDAPRRRRSVRPPPVISTPAGVPARDGAGAPRHETLPPPRMPFRPRLPLLPHLRRHLRSPFLPLPARRPLSYYPSTAAAVAEVTESEEDAVVNRAPKLIGFGGVVRGSARVGCNGGAVGEDDVVARIELCHELLRERRWREMRAGLAQLVSEQGSGSAPTLCDILWNRFRECDSNSCVWDALAASYARAQMVHDALYVLTKMTSLNMQISVFTYDSLLRGLRMTDKALELFEDMESCGISPSEHSHIILIDGLCKQDKVGEALSFLQEARKKGKFKPLGMTFNILMSALCNRGFVQDAKSFLCLMLKYGLIPDKYTFSTLIHGLCKVGSMLEAVDLFERVTKEVELEIVTYNCLINGYRLLGKTREIPRIIQMMRGQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLVYEIDNLLGEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNMMCSSLRVMPTSLNHLSILLGLSKKGLLVEARWYLDNVAIKCQPTDVVFYNVVIDGYAKIGDIVNAVRLYDQITVAGLQPTIVTCNSLLYGYCKIGDLQLAKSYFTAIQLSELVPTAVTYTTLMDALSEAGEVNTMIRLFYEMPEKRIKANAVTYSVVIKGLCKQLRFKEAISVLDDMDREGINPDPITYNTLIQGFCEAQDVQMAFHIHDRMLCRGLVPTPVTYNFLINVLCLKGKVTQAEELLDSLRENGIKLKKFAYTTLIKAQCSKRMPINAVLLVGKLLNAGFEVSIVDFSAAINRLCKRQFAIEAFMLVPIMLSVGIYPDTQMYYVLGRALQKSNELVYLPILNALAIKTGFKGSVLVSG >LPERR10G09900.5 pep chromosome:Lperr_V1.4:10:11470641:11480992:1 gene:LPERR10G09900 transcript:LPERR10G09900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSKAPYKRPNSKKPKPTSIQPTSPSPTPLLKSLPKKGQNPIHTNPVSAAAAAAEDKPASSDAPRRRRSVRPPPVISTPAGVPARDGAGAPRHETLPPPRMPFRPRLPLLPHLRRHLRSPFLPLPARRPLSYYPSTAAAVAEVTESEEDAVVNRAPKLIGFGGVVRGSARVGCNGGAVGEDDVVARIELCHELLRERRWREMRAGLAQLVSEQGSGSAPTLCDILWNRFRECDSNSCVWDALAASYARAQMVHDALYVLTKMTSLNMQISVFTYDSLLRGLRMTDKALELFEDMESCGISPSEHSHIILIDGLCKQDKVGEALSFLQEARKKGKFKPLGMTFNILMSALCNRGFVQDAKSFLCLMLKYGLIPDKYTFSTLIHGLCKVGSMLEAVDLFERVTKEVELEIVTYNCLINGYRLLGKTREIPRIIQMMRGQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLVYEIDNLLGEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNMMCSSLRVMPTSLNHLSILLGLSKKGLLVEARWYLDNVAIKCQPTDVVFYNVVIDGYAKIGDIVNAVRLYDQITVAGLQPTIVTCNSLLYGYCKIGDLQLAKSYFTAIQLSELVPTAVTYTTLMDALSEAGEVNTMIRLFYEMPEKRIKANAVTYSVVIKGLCKQLRFKEAISVLDDMDREGINPDPITYNTLIQGFCEAQDVQMAFHIHDRMLCRGLVPTPVTYNFLINVLCLKGKVTQAEELLDSLRENGIKLKKFAYTTLIKAQCSKRMPINAVLLVGKLLNAGFEVSIVDFSAAINRLCKRQFAIEAFMLVPIMLSVGIYPDTQMYYVLGRALQKSNELVYLPILNALAIKTGFKGSVLVSG >LPERR10G09910.1 pep chromosome:Lperr_V1.4:10:11493216:11497902:1 gene:LPERR10G09910 transcript:LPERR10G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRFKRICVFCGSSQGKKKSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMEKLEEYVPYHDRVASKLNWEIGHIGGY >LPERR10G09920.1 pep chromosome:Lperr_V1.4:10:11504803:11508174:-1 gene:LPERR10G09920 transcript:LPERR10G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKRMKIAAAAEEELAGEIGRSNTGSDQEVAQQTNAAIGGDHSSSLAVSPAVLPINTTSPPLRVLSPPPSGNFEAWQNWPRNPRLKLPTLRKPKDSRTTDAVLSVGLPNKTILEGQFLFFSDHYGIALLEIDVDLPLQRPSIGSGPEYGQEVFVLARDMELSLKESDFPDRSYQMFLSCGVPMAGNGGPVIDHDGNVTGMAFYWSPITTVLSISTIMTCIEMWLKFSHIARPMHGLGVRTFAFLDVSLQEEISLDHGIDSGFIVDEVSYDSAAESLGILPGDVIVSFNDQHSLTLPQLEDYLLSLGWKFLNNSSSMVDIRLEVYDLVKQSKRSITLPVEFCDA >LPERR10G09930.1 pep chromosome:Lperr_V1.4:10:11509351:11511934:1 gene:LPERR10G09930 transcript:LPERR10G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLEEHFGDDLPAVEVSRVAFEEISFGRLLEPDANFYQTSAKVNTHLSSIVVSISLFDGDKMLFACSGIPLPCGRCRENLARFVTSAYFVKEFNDKRNRDDNLRVKVRLPDKTIATGFLGLYDNDIAIVTCLGLHDVRHIDFKESPDIPDAMDVLAAGRAFYSGNLMAMDGSLCREDDTWAPFTQDISKAVLGGPLLGKDGGLLGMNFRVNDDKIVTYGFLPNASLHQRLKHFGILEPKHLHFRGYSLPPGVSSIVPSGFLKTIYWLRSWGYPMPPPLVLEFNGELCNKFQGRFGDLLAWRWYPFRDPSGCRKEGVWEKLPKQVVKVISRRVVSLTSLKNHVKTFACTGLFIKLPGYTANRTVILTSANLVNPRDEDDIDSTLRIEVFLPPNQRGIGTLEFYDLNYNIAIVSLNKNFNSVRPQDIFSNTLQNLSGKVVAVGREVIHGPLMGTFGGVIHPKVQVS >LPERR10G09940.1 pep chromosome:Lperr_V1.4:10:11513327:11516075:1 gene:LPERR10G09940 transcript:LPERR10G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHEAINNIRKASKTMTEQEARQILGVSEQTTWEEIVQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKDKQDGTPP >LPERR10G09950.1 pep chromosome:Lperr_V1.4:10:11517890:11523444:1 gene:LPERR10G09950 transcript:LPERR10G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAMLTIAATKIKLTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTLQVVGFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLKDPFTFRNIAGILVAIFGMGLYSFFSVSESHKKAEGPSPLPISSSQMGEKDVDPLLGAEVKSSPWNETKSVQSYDEVPRTAKSAFSRP >LPERR10G09950.2 pep chromosome:Lperr_V1.4:10:11520995:11523444:1 gene:LPERR10G09950 transcript:LPERR10G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAMLTIAATKIKLTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTLQVVGFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLKDPFTFRNIAGILVAIFGMGLYSFFSVSESHKKAEGPSPLPISSSQMGEKDVDPLLGAEVKSSPWNETKSVQSYDEVPRTAKSAFSRP >LPERR10G09960.1 pep chromosome:Lperr_V1.4:10:11532642:11542820:1 gene:LPERR10G09960 transcript:LPERR10G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAEVVVVAEDAAAPAAAGGGGGGEEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEESALRCFKAAPSSSSSSSSSSSSKHEDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSAHNDPMAADVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEWDFCLQEGRVVEHIDGHTDIIHKKLRADWGMRKRDLLLRRYWRREDDGTYGGGYVISQVNQGKQSVVKHMLAIDWKFWKSYLFTSSAKHITERMLGRVAALREFFRAKNGNCACLDFSSGELTRDMRLQQGENERIKIEMLSANENDRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDESEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAANFDNAPFCYGYTLPKDSSYTMPSTWAMTDPTTFLIRGESYLHDRQKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >LPERR10G09960.2 pep chromosome:Lperr_V1.4:10:11532642:11542820:1 gene:LPERR10G09960 transcript:LPERR10G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAEVVVVAEDAAAPAAAGGGGGGEEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEESALRCFKAAPSSSSSSSSSSSSKHEDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSAHNDPMAADVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYGGGYVISQVNQGKQSVVKHMLAIDWKFWKSYLFTSSAKHITERMLGRVAALREFFRAKNGNCACLDFSSGELTRDMRLQQGENERIKIEMLSANENDRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDESEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAANFDNAPFCYGYTLPKDSSYTMPSTWAMTDPTTFLIRGESYLHDRQKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >LPERR10G09960.3 pep chromosome:Lperr_V1.4:10:11532642:11541218:1 gene:LPERR10G09960 transcript:LPERR10G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAEVVVVAEDAAAPAAAGGGGGGEEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEESALRCFKAAPSSSSSSSSSSSSKHEDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSAHNDPMAADVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEWDFCLQEGRVVEHIDGHTDIIHKKLRADWGMRKRDLLLRRYWRREDDGTYGGGYVISQVNQGKQSVVKHMLAIDWKFWKSYLFTSSAKHITERMLGRVAALREFFRAKNGNCACLDFSSGELTRDMRLQQGENERIKIEMLSANENDRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDESEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAANFDNAPFCYGYTLPKDSSYTMPSTWAMTDPTTFLIRGESYLHDRQKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >LPERR10G09970.1 pep chromosome:Lperr_V1.4:10:11551544:11556233:1 gene:LPERR10G09970 transcript:LPERR10G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERCLDPQLWHACAGGMVQMPAARSRVYYFAQGHAEHANGGGAAAELAAAAPRALRRNEWYKKGNYGEALRHXRALPPLVLCRVDGVRFLADPDTDEVFAKIRLSPVSPAEVDFRDSPEQLCPLAGGDGESPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRVGELCVGIRRAKRVACGGMECMSGWNAPGYGGFSAFLKEEESKLMKGAGGGYMRGRGKVKIADVVEAASLAANGQPFEVAYYPRASTPEFVVKATSVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLFHGGSFNRLDAITPPSRISKGFVVSTAPAHDNVPCLLSIGTPQSTEKSDDRKTTPHIMLFGKAIFADQQITSSRSLETLSPGVTANSSPNGNVQKAGNASDGSGSSICIGFSPHGRGASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHYRDAAGVVKHTGEVPFGDFMKVARRLTIIAGDGGRIERPLIQCLVEQA >LPERR10G09970.2 pep chromosome:Lperr_V1.4:10:11551544:11553474:1 gene:LPERR10G09970 transcript:LPERR10G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERCLDPQLWHACAGGMVQMPAARSRVYYFAQGHAEHANGGGAAAELAAAAPRALRRNEWYKKGNYGEALRHXRALPPLVLCRVDGVRFLADPDTDEVFAKIRLSPVSPAEVDFRDSPEQLCPLAGGDGESPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRVGELCVGIRRAKRVACGGMECMSGWNAPGYGGFSAFLKEEESKLMKGAGGGYMRGRGKVKIADVVEAASLAANGQPFEVAYYPRASTPEFVVKATSVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQHPNRSHATTYLRSDVTPLHPAFTSVHMKH >LPERR10G09970.3 pep chromosome:Lperr_V1.4:10:11554023:11556233:1 gene:LPERR10G09970 transcript:LPERR10G09970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVLVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLFHGGSFNRLDAITPPSRISKGFVVSTAPAHDNVPCLLSIGTPQSTEKSDDRKTTPHIMLFGKAIFADQQITSSRSLETLSPGVTANSSPNGNVQKAGNASDGSGSSICIGFSPHGRGASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHYRDAAGVVKHTGEVPFGDFMKVARRLTIIAGDGGRIERPLIQCLVEQA >LPERR10G09980.1 pep chromosome:Lperr_V1.4:10:11574111:11581651:1 gene:LPERR10G09980 transcript:LPERR10G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAMRSGSGSDGGGGYDKAGMDAGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCKGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSSANMLLCPFRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTAANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTQDDGLLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDVKTDGTPTGRTLDLASSLEVGSTAQPTGDASLDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYIRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGMELLQHADDAGESLLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKMFDDAGRKALYNEIPKLMEQGFVYLPGGVCLSGMGRHVSFERAVAWKVLGEDNNVHCLAFCFVNWSFV >LPERR10G09980.2 pep chromosome:Lperr_V1.4:10:11574476:11581651:1 gene:LPERR10G09980 transcript:LPERR10G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAMRSGSGSDGGGGYDKAGMDAGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCKGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSSANMLLCPFRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTAANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTQDDGLLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDVKTDGTPTGRTLDLASSLEVGSTAQPTGDASLDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYIRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGMELLQHADDAGESLLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKMFDDAGRKALYNEIPKLMEQGFVYLPGGVCLSGMGRHVSFERAVAWKVLGEDNNVHCLAFCFVNWSFV >LPERR10G09990.1 pep chromosome:Lperr_V1.4:10:11586045:11591792:-1 gene:LPERR10G09990 transcript:LPERR10G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRGLQEPSPGSSPEGWSTIAFVYNRAIWTWPPAVERQEANSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERKMAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAVSQTPFQPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSLAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPRFTPPATVQGPKPMMPVQMKDVQHRSPVIPVQVKDAQHQLLKGSLSPVIPVQIKDVQSQPLKESLSPAIPVQIKDVQVQPRNEPLSIGKVAIPSPVISSPVKVEAPAQVKEASQAVAKDVPCSAAVQCTADTSSDFVPKTQLKTADVDNGEAEDHLPVDAEEVEDIIRHLDLK >LPERR10G09990.2 pep chromosome:Lperr_V1.4:10:11586045:11591792:-1 gene:LPERR10G09990 transcript:LPERR10G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTVERQEANSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERKMAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAVSQTPFQPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSLAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPRFTPPATVQGPKPMMPVQMKDVQHRSPVIPVQVKDAQHQLLKGSLSPVIPVQIKDVQSQPLKESLSPAIPVQIKDVQVQPRNEPLSIGKVAIPSPVISSPVKVEAPAQVKEASQAVAKDVPCSAAVQCTADTSSDFVPKTQLKTADVDNGEAEDHLPVDAEEVEDIIRHLDLK >LPERR10G10000.1 pep chromosome:Lperr_V1.4:10:11607490:11608020:-1 gene:LPERR10G10000 transcript:LPERR10G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGMSMVAAHRLFSPSPATAQHGGDLGVELDEADVIWGAGTPSSSSPVESYLRSTPPQSSSKGGKLRAGGGGAVGKSAAAAASMPVNIPDWSKILGAEYRGSAGAARWPSDDRDGCAAGGEVGWVPPHELLLCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >LPERR10G10010.1 pep chromosome:Lperr_V1.4:10:11618076:11618540:1 gene:LPERR10G10010 transcript:LPERR10G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMAAAAAMVFLAVATSLVHGEERPTAAHPHGLPFESPLALSPDAYDFFHPKSRARRGAETAAPAISPYAAPRGERMRESAASVARADQEEGGVAPVRNARRGCARAGTVAGVVVGAAVVAAVAAALAVAYAVARRRVGDGEVGAAAKTSV >LPERR10G10020.1 pep chromosome:Lperr_V1.4:10:11619296:11622096:-1 gene:LPERR10G10020 transcript:LPERR10G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEPEVRLLGSWASPFVLRVMVALRLKVVEYEMQQETLGNKSELLLRSNPVHKKIPVLLHNGKPISESLIIVQYIDEIWASTPAILPSDPYRRAVERFWGEYIGDKFSQVIRVLRGSVPGDKDKMAGEMFTALQYLEEAFVSCSQGKQYFGGDSISYLDIAAGSHLAWIKAVEKISGIKLLDEARFPNLFAWADRFCAHPAVVDVMPDPDKLVEFTVKHAAMCAPK >LPERR10G10030.1 pep chromosome:Lperr_V1.4:10:11623401:11628495:-1 gene:LPERR10G10030 transcript:LPERR10G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAGSEPGPPCAAEMVSEQPAEAQQQEEVVVVATASAAAAATEEAGAGVVTIVISQPEEAGEPKGVAEAVPPPAVEDGGGGGGSKAAAAAVKEVELVRSDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHHTQVIGFGGLIQLMEGAEEDMKGPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRRMPRLPMDGMAPSGYHPGYTSGRGRGNRGRGRRGRSGRLLPPNLVVSPPPFAAATTAAAAMISILAQERLLGFALGSISMGGFVLHQRRAIYRSLADADADAAAPSPVSYYQPSGVSSRRGSTELAHVWNKAVDETLGRLVTYLSSRGW >LPERR10G10030.2 pep chromosome:Lperr_V1.4:10:11623401:11628495:-1 gene:LPERR10G10030 transcript:LPERR10G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAGSEPGPPCAAEMVSEQPAEAQQQEEVVVVATASAAAAATEEAGAGVVTIVISQPEEAGEPKGVAEAVPPPAVEDGGGGGGSKAAAAAVKEVELVRSDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHHTQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRRMPRLPMDGMAPSGYHPGYTSGRGRGNRGRGRRGRSGRLLPPNLVVSPPPFAAATTAAAAMISILAQERLLGFALGSISMGGFVLHQRRAIYRSLADADADAAAPSPVSYYQPSGVSSRRGSTELAHVWNKAVDETLGRLVTYLSSRGW >LPERR10G10040.1 pep chromosome:Lperr_V1.4:10:11633878:11642887:1 gene:LPERR10G10040 transcript:LPERR10G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDPDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKARWQRHAMVAEVEATKAVAIREAELQVEVERTNAARQTEKLKAEQLSKAVVDYEMKVQQANWELYNRQKAAEALLYEQEKAAEATRASADAAFFARQREAEAALYAKQKEAEGLAAMGEAQSAYLSATLSALGGSYAALRDYLMVSSGVYQEMARINADAVRGMEPKISVWSGGVGGGEGGGDAMKEMAGVYRMLPPLLKTVNEQTGMLPPPWLGTLSGGGGPSSIEMARFMVAGPSEYLAITGWGIDDVKLAKKAWMLLYAKLIAPHDHASNHVKQLVKGII >LPERR10G10040.2 pep chromosome:Lperr_V1.4:10:11642920:11649295:1 gene:LPERR10G10040 transcript:LPERR10G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFQGTKKFKQEVFDQVQIVLNKFGLYIYNANVKQLVDEPGHEYFSYLGQKTQKEAQNKAKVDVAEEQMKGEVGTKERQGLTRQNAAKVGAETKVLSVRQEGIALKEEAKVRSEVQVYDNEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYETQKMARFIIASPSEYLAITGWGVDDVKLARKAWVWVGQRCKKFDISPVNYEFDVQAMSAEKLAFKLPAVFAIGPKILPTHGDMEAGDVLQSKLSSENQEALLLYAKLIAPLDRSSNHVIDLVKGVIEGETRVLAASMTMEQIFQGTKNFKDRMFEQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGQKTQQEAVNQAKVDVAEACMKGQMGSKEREGLTRQNAAKVNAETKCGRRVSAGLKEESKVKAEVKVYENEREAEIAAAQAGLTMKKAGWEKQSKVAQVEAVKAVAIREAELQVEVERKNALRLTEKLKAEQLTQANVQYETQVQDSNAALYKRQKAAEATLYEEVKLAEARKAQADAMFFEQKLAEDARLYAKEKEAEALNMVGKAKAEYVSSMLQALGGSYNALRDYLMIDGGMYQEMARINAGAVSGMQPKISIWSNGGGADGANAGAGEAGIGGAGAMQQVAGVYKMLPPLLSTVHEQTGMVPPAWMGSLPNDVPNCAKEYQMV >LPERR10G10040.3 pep chromosome:Lperr_V1.4:10:11633878:11649295:1 gene:LPERR10G10040 transcript:LPERR10G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDPDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQEVFDQVQIVLNKFGLYIYNANVKQLVDEPGHEYFSYLGQKTQKEAQNKAKVDVAEEQMKGEVGTKERQGLTRQNAAKVGAETKVLSVRQEGIALKEEAKVRSEVQVYDNEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQDSNAALYKRQKAAEATLYEEVKLAEARKAQADAMFFEQKLAEDARLYAKEKEAEALNMVGKAKAEYVSSMLQALGGSYNALRDYLMIDGGMYQEMARINAGAVSGMQPKISIWSNGGGADGANAGAGEAGIGGAGAMQQVAGVYKMLPPLLSTVHEQTGMVPPAWMGSLPNDVPNCAKEYQMV >LPERR10G10040.4 pep chromosome:Lperr_V1.4:10:11642920:11649295:1 gene:LPERR10G10040 transcript:LPERR10G10040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFQGTKKFKQEVFDQVQIVLNKFGLYIYNANVKQLVDEPGHEYFSYLGQKTQKEAQNKAKVDVAEEQMKGEVGTKERQGLTRQNAAKVGAETKVLSVRQEGIALKEEAKVRSEVQVYDNEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQDSNAALYKRQKAAEATLYEEVKLAEARKAQADAMFFEQKLAEDARLYAKEKEAEALNMVGKAKAEYVSSMLQALGGSYNALRDYLMIDGGMYQEMARINAGAVSGMQPKISIWSNGGGADGANAGAGEAGIGGAGAMQQVAGVYKMLPPLLSTVHEQTGMVPPAWMGSLPNDVPNCAKEYQMV >LPERR10G10050.1 pep chromosome:Lperr_V1.4:10:11636497:11636691:-1 gene:LPERR10G10050 transcript:LPERR10G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDPVDGRILINTGTLLGYYDPKTLMLETIYSVDILQDGDGLKYRFCPVICQESLICPIPGIL >LPERR10G10060.1 pep chromosome:Lperr_V1.4:10:11650966:11656940:-1 gene:LPERR10G10060 transcript:LPERR10G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERKNALRLTEKLKAEQLSKATVQYETQVQDSNAALYSRQKAAEATLYEQVKSAEARKAQADAKFFEQKLAEDARLYAKQKEAEALNIVGKAKAEYVSSMLDALGGNYNALRDYLMIDSGMYQEMARINASAVSGMQPKISIWNNNGGEAGVGAGAGGIQQMADLYKMLPPLMSSVHEQTGMQPPAWMGSLNMARYVVAGASEYLAITGWGIDDVKLAKKAWVFPGQLCTKIDITPVVYEFSVEAMSSEFLAFKLPAVFTIGPKSKRNPGEEEVVGDLQQRQVKECDEEALLLYAKLIAPLQNKNNHIAKLVNGVIEGETRVLAASMTMEEIFQGGKIFKDKVFNQVQCYLDQFGLYIYNANIKQLEDEPGHEFFYLGLKKQKEVERKALVDSAEANMKGAIGEKERDGLTRQNAAKVDAETKVLLVRQQGVGRKEEAKVKAEVEVYENEREAETAMAHASLEVKKAGFDKRSKVAEVEAVKAIAIREAELQMEVERKNAMRLTEKLKAEQLSKATVQDSNAELYNRQKAAEATLFEHVKSAEACKAQADAKFFEQKMVEDARLYAKQREAESLAKLGKAKAQYVSSMLHKLGGN >LPERR10G10070.1 pep chromosome:Lperr_V1.4:10:11657259:11658689:-1 gene:LPERR10G10070 transcript:LPERR10G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFMVAGPSEYLAITGWGIDDVKLAKKAWVFTGQQCKKFDISPVNYEFKVEAMSSEKLPFNLPAVFTIGPKISQAPGESEAATEEAMLLYAKLIAPHDHASNHVKNLVKGIIEGETRVLAASMTMEEIFQGTEKFKQEVFDHVQHDLNKFGLYIYNANVKQLVDEPGHEYFSYLAQKTQKEA >LPERR10G10080.1 pep chromosome:Lperr_V1.4:10:11659695:11663977:-1 gene:LPERR10G10080 transcript:LPERR10G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVAMPLAPHHHHAHLPSLPHLAAPPPQPPSPPPPPAKEEANPPLPGIIIDAGAGEVEDVYYARKMLQGAVLRPPPHLPQPEAPPGLTRALSAPPLEAADVDDDEQNPVERSASANSTATAVVDMGSIGRFFRDRRDVLSSAITRRISSLKESSSSPPPSPAKPTAAPTDTYGVHEIHLPNVKVTVRLKDAIESDAAADDESAASPFSGNQIKGRVTFFSRSGCRDCAAVRAFFRESTLPYVEINLDVFPERAAEFASLTGSTTTAATARVPQIFLNENLLGGLVVLNSLRNSGEFDRRVRDLAGKRCPDGAPRVPVYGFDDPSKEEDGDDAMVGIVRVLRHRLPIQDRFVRMKLVKNCFSGADMVDGIVNNLECSRKKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAIPKYHNFIRGATNDCEPKPAAAVGLRMTKIMVAILEAYASDDRRHLDYSRIAASEEFRRYVNLVQDLQRVDMSAIAAGERLPFFLNLHNAMAIHAAVRVGQPGAVDRRSFFSDFQYVVGGHPYSLATIRNGILRSNRRQPYTIAKPFGSNDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTQGVEPELRHAAREFLLNGGIEIDIESRTVHLTRIIKWYSADFGQDRDILKWILNYLDPTKAGLLTHLLNDGGQINISYLNYDWSLNV >LPERR10G10090.1 pep chromosome:Lperr_V1.4:10:11667265:11669960:-1 gene:LPERR10G10090 transcript:LPERR10G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDFIAVACYSPLGRCAFSYLRVFLPSYPLLPPPPPPPPRLPLLTVAKMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASVDEAGASAGAAQDDDDDVPELVPGETFEEAAEEKKES >LPERR10G10100.1 pep chromosome:Lperr_V1.4:10:11678893:11682508:-1 gene:LPERR10G10100 transcript:LPERR10G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKPCSNNEVSKIQYRNDPVLDKEPADMYQIKPVKRKRGRQVKTVDAEDVGELGGLQPCQGWKKARRKCADAAKDDHEESANTTHKNARKVSGRSAPKNSRKQKPENVQLEASSSDTINDDIELTVEDLVSIAEEYVKADSLKLHEVETKTARYKEHRCSSLISKEGDTGGSTIKARSIKGLSDTTTKTDTAPSEFSRDAQSIKGLLDTTVKTNTAPSESSRDDSNKQQQYKPNFTVTGDVAQDMLNIFFGPLLSKCPGYEKKSKPIESLVQNANHATEKKELSCDVQSQGEHATLKKDLGRDVQRQGEHATEKKDLSCNVQRQGEPLTKKKSSLKDMVALFL >LPERR10G10110.1 pep chromosome:Lperr_V1.4:10:11684832:11688157:1 gene:LPERR10G10110 transcript:LPERR10G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVCLIGCSSILLVYEYMANGSLDKFLFGEKCGTLNCKQQFNIIIGMAWPCISSSRIPCDIKSSNALLDAWKLNGNNLIELVDKSLDPE >LPERR10G10110.2 pep chromosome:Lperr_V1.4:10:11686576:11688157:1 gene:LPERR10G10110 transcript:LPERR10G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIILLVYEYMANGSLDKFLFGEKCGTLNCKQQFNIIIGMAWPCISSSRIPCDIKSSNALLDAWKLNGNNLIELVDKSLDPE >LPERR10G10120.1 pep chromosome:Lperr_V1.4:10:11695855:11700926:1 gene:LPERR10G10120 transcript:LPERR10G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHAPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFHRGGEGDVSYADVSGGTMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGVIVTCSPTKDAELFNAVRGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGTFTKDQELLVSLPDLVDYVEGFIVLNEQSLHSSSIAFPANVNFNPDFGTKNSPKIYYCIEFAVHDYQNKNINVEQVVEVISRQMSHIVSHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGINDFRDLLMDSISPDNFEGLILIYPLLRHKWDTNTSVVLPDSGSTDPVMYAVGILRSANPDDGCSHHCLQELLHHHRCLAATAATNLGAKQYLAHHPSPVGWHRHFGGWWERFAERKTRFDPLSILGPGQGIFPKGSYGAAYGSYS >LPERR10G10130.1 pep chromosome:Lperr_V1.4:10:11708573:11730206:1 gene:LPERR10G10130 transcript:LPERR10G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTFHKLCVCLREKRLLLDTFHVSVEEQVAKFLKMVGQNHSNSSVGFSFLRSGATISTYFNIVMRAMCELARELICVRSIVTHAKITSSPNRFYPYFELHSLASHRLHSPSSILRSLPQSSASAPLVADMLVLALARSTRPLASYDAFLLAGEIHPRHRPSTASVNALLAALIGAKRADLAEKAFRSALRRRMTPDIYTFNTVISALCRVGQLRKAGDLAKDIRAWGLSPSVATYNSLIDGYCKKGGAGNMYHVDMLLKEMVESRISPSAVTFRVLINGYFKNSNTGAAVRVFEEMKKQGIAATVVTYNVLISGLCREGKVEEGVKLMEEMEDLGLSPDVVTFGSVLNGFCKKGMMADAKGWIDGMPERNVEPGVVTYNILIDGYRRLGMMEDAMAVKEAMAKKGISPNVTTFTCLITGFCRCGDWRSASGLLDEMKEKGIKADVITYNVLIGALCCKGDVKKAVKLLDEMSKVGLEPNHLTYNTVIQGFCDKGNIKGAYEIRTKMEKCRKRANVVTYNVLIKYFCQIGKMEEANDLLNEMLDKGLVPNGITYETIKEGMMEKGYVSHHLTKSTAMAGAATFWLHDDILFNILSYLPAKSAARFRARVAFMARHALFLQLHHRRANRRPDQLNLFLQSSPRRDENHRNVYNFYTWHQQHGGPAKMLMRDDFSGGFASLVTKPLHGLLLISHGRCYFVFNPCTRSLLPLHGTKFPWKSHVHYIPNLTGRAGAPGYNDMSYGLGYCSATDEYKVVRLFSRPIATAATNCEVLVLDAPATWRPTAHQLPADYAVNVVGSSVFLNGVFAVDGSCAGEPPEILFTPEDSRSWELCESPASPVDLDVPAAYANGKIYWVVDSRLKESPSLYSQLMPLDMGSRKFEVIEGPPCRSHGSSRMALLELQGAIGVACADRAADAIDLWTVKDGGGGDWCLECRVELAEFSPEYSSETATPMAVDPVDGRILLNTGTSLGYYDPKSSALETIYSIDIIEDVDGLRYRFCPVICRESVSALLFRNMSRSWRHMLSLESFVKLHLQRANRRDQLKVFFHPAFPTDAEPHPDDCHFLLYSWQLSCMDFADRGFPTPITKSLNGLLLIHSTGHAHGSYYVVNPLTFAPRVNWSPRTTSSDRTPSTRTNTVATCELLAFDMESREFEARHAAMYRDGMMTLLELHGALCVTCLNRATYIVDILSFYVEVVWLQSPCS >LPERR10G10130.2 pep chromosome:Lperr_V1.4:10:11723976:11730206:1 gene:LPERR10G10130 transcript:LPERR10G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEDLGLSPDVVTFGSVLNGFCKKGMMADAKGWIDGMPERNVEPGVVTYNILIDGYRRLGMMEDAMAVKEAMAKKGISPNVTTFTCLITGFCRCGDWRSASGLLDEMKEKGIKADVITYNVLIGALCCKGDVKKAVKLLDEMSKVGLEPNHLTYNTVIQGFCDKGNIKGAYEIRTKMEKCRKRANVVTYNVLIKYFCQIGKMEEANDLLNEMLDKGLVPNGITYETIKEGMMEKGYVSHHLTKSTAMAGAATFWLHDDILFNILSYLPAKSAARFRARVAFMARHALFLQLHHRRANRRPDQLNLFLQSSPRRDENHRNVYNFYTWHQQHGGPAKMLMRDDFSGGFASLVTKPLHGLLLISHGRCYFVFNPCTRSLLPLHGTKFPWKSHVHYIPNLTGRAGAPGYNDMSYGLGYCSATDEYKVVRLFSRPIATAATNCEVLVLDAPATWRPTAHQLPADYAVNVVGSSVFLNGVFAVDGSCAGEPPEILFTPEDSRSWELCESPASPVDLDVPAAYANGKIYWVVDSRLKESPSLYSQLMPLDMGSRKFEVIEGPPCRSHGSSRMALLELQGAIGVACADRAADAIDLWTVKDGGGGDWCLECRVELAEFSPEYSSETATPMAVDPVDGRILLNTGTSLGYYDPKSSALETIYSIDIIEDVDGLRYRFCPVICRESVSALLFRNMSRSWRHMLSLESFVKLHLQRANRRDQLKVFFHPAFPTDAEPHPDDCHFLLYSWQLSCMDFADRGFPTPITKSLNGLLLIHSTGHAHGSYYVVNPLTFAPRVNWSPRTTSSDRTPSTRTNTVATCELLAFDMESREFEARHAAMYRDGMMTLLELHGALCVTCLNRATYIVDILSFYVEVVWLQSPCS >LPERR10G10140.1 pep chromosome:Lperr_V1.4:10:11733195:11736621:-1 gene:LPERR10G10140 transcript:LPERR10G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKVEAAGTETRDEEEDAEMKRGRELGLMEGGASRKKREPEAEWPPGLPSLEWCQKVKENYEKRVAENPDEDWFDPVVKEARDYRKWWEYMSCSMFGPFDKITDIPAMRYTDDPDVPSDASEKDTLQIFSVKITETKQDFQWPIHVFGMSAVRDTIDHHRNIIFNRTRDDCQILTKENPYLLLTGPSRVVAVCDFVDFEAVLKVKGSVESEDKDLSLIGGRLTQYKSNLSTVELTYGYFVESVEATISVQVIDGSWSDGFSAQFTAHTSSLKHNKILLLDSGYDNLAVNADGIIELSRRVVSVELEGELKVSVVANGDNSRVQAEIGFIPDDDDRSSAELNVGFCKMEVTAACGGLLLDVGDGKRCVVSAEFEGELGNSVVAFGCDRIWEIVHTYEGRYCVDLDVGFCKMEITVAGHSLPCIDPDLRVRLYRTYSGLHIRLA >LPERR10G10150.1 pep chromosome:Lperr_V1.4:10:11737690:11739916:-1 gene:LPERR10G10150 transcript:LPERR10G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQLLLQAQRFASQLPKPPQLTGMLILCRDISDRAHKTLPAQSCHSTSQIQLPQTFHCSNDSPLGNHFHIDIVDSDLWPASIDLLHMDHPPKKGCPDEFQDNEDEKVHDSEDEIDDMRHRKKLYYKLDRGSKEFEENNVSLRRRRKGEKGNAKIPKECKKADPDESSSLKVPKLKTKCTVREDDVVEAKRDRVPTFNQMTDPYHNPFCLDIYVTKGSVRACFVHRVTSKVVTVAHSISKDMKFDVGSRKGMKACAAVGAVLAKRAIEDDIHNAVYTPRKGDRIEGKIEIVLRAIIDNGVDVKVKLKQRKPTKEPQTSWAKVFRWVL >LPERR10G10160.1 pep chromosome:Lperr_V1.4:10:11739971:11740712:-1 gene:LPERR10G10160 transcript:LPERR10G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPLGLAIAISKVGFGGGAVLHRKLRGEHDARRRCGWTARGSSGADECARRQQPSTRSPVSSSSRSTVHIQSTCEHTQ >LPERR10G10170.1 pep chromosome:Lperr_V1.4:10:11742778:11745232:1 gene:LPERR10G10170 transcript:LPERR10G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKVLALFLFLILPALVAFLAADHSRDQPLLALPAAAPLEHTIDSSRVVPPAAASHAHPLVAVAGTASRDSSRVVPPAAASHDHPPVAVTGTTSHDSSRIVPPAAASHDHPLVVRAASPHGHPPRRLADREPSSEHDGGIRFAGFTYWIAGFAVAAAGVATKLIDGFAVAAVGMAAKWMGGFAIAIGVSVGVVLINHFFSYPHFARHRRAERPEWPEV >LPERR10G10180.1 pep chromosome:Lperr_V1.4:10:11745675:11748036:-1 gene:LPERR10G10180 transcript:LPERR10G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTNIGDGAGSDPHPRDDRTLCSWVAKPLGHLSRALPGVLPSSLAEGDVPIKLFFTPAAVSQDDNFFYACHPGGQIKKLPYAAGAFPEGALVSPVTKPLHGIVLLRCWPPPPMSFGYFVCKPCTGDVLPLPDSSSPMKMAERRHFPGSTHNYVRYGLGYCSTSNKYKVVRLFCLVDDVGAVTTSCEVFVLDESLCWRPAAGQSPPCEFFWNTMAAVFWNGSLHFLSDDSSSIVTFNVCDESFGSLSPPSLKLQILKLTVLDGDYGGEAARWEMICCIDVAAWPRGTLEAPDLSLMPLGLLHGGGSGKRQEILFGSTNDHKVFTAQVACDGTSSSRPKVVFSPYKTVIGDYHHHDAGGLFPLVGLLDESAAATPVGRTSEELVFSSPSSNAWAEILKTLPARQVARLKLVCRDVRAMIDTDRFVALHAVNANLNRWCPRIMFVKQCNYFGATFMSLEKLVGASSSEMPPPFTDGGSRIVCSMPCHGLNVGSYGRGGDFLCNPATGFYRRIDREDGACSIGLGYNLVANKHVLVRLRHKYNNNTDIRSLECLVRPVEEDGAPVYASGKLHWMARSHAQPEGSPSPTCEIHAFDIGTGSFEVLRGPPCSPGPGGGHTSSLLELRGELCLARMTSRSTNVTMEVWTGNGNGAWLMEHRVELGGRFSSEDTTALHVHPMDGRIYLSTGRALGVYDPITAALETIYSLQSDDDGIDKRFTPILYHESLVWPFNQSHRYHAKKSWSDHIQSAD >LPERR10G10190.1 pep chromosome:Lperr_V1.4:10:11749102:11750070:1 gene:LPERR10G10190 transcript:LPERR10G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTCVEFAVDISVVSVSGAGGGASPEIVFRPDDHESTAGDHDDTRHPSIGLHEESLVTVGPTVEETVFSSPATRAWADVLKFLPARTVANLTAVCRSWRAIAATDRFIRSHAIHANTAKATPPRVRFVMDPVGDLPVDVDVADEIHDPDISPMAFVVSQPVHSLNVGCFSDNIDFICNPIMDYHEVLPLNNDDVDTDDDGEYDDCNIFRSRIALGFDEDEGDHVAARLAYTANRSYEMSCRMRYVRRHEWSPTSPPPPPRRPVASDSTLAFANGKIYWLVDPALVAESSSTTTISLVSLDCRDAVASCGAHLSVAAASPS >LPERR10G10200.1 pep chromosome:Lperr_V1.4:10:11751483:11753752:-1 gene:LPERR10G10200 transcript:LPERR10G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSEASRRVTPSPIQELSKLSQRVGAINLAEGFPDFPAPAHLKAAAAAAIAADHNQYRHVQGICDILAETMRRDHGLDVDPLTDFAILIDQGDEVLIFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKFLKSFTNRTKAVVLNSPHNPTGKVFGKEELLIIAQACKKMDCFVITDEVYEYITYDENKHISLASLPGMQDRTIITSSLSKTYSVTGWRIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSTPDFYSSLKKDYTVRRDYILQTLTDFGLRISFKPQGSIFVFAEISRSWQLSDMDFVTNLITSAGVAAVPGRGFFHTGTDDPSYHHRYVRFAFCKSDDTLKAAAQRMQKLAGSEAWMRPDVLTRPHH >LPERR10G10200.2 pep chromosome:Lperr_V1.4:10:11751483:11753752:-1 gene:LPERR10G10200 transcript:LPERR10G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSEASRRVTPSPIQELSKLSQRVGAINLAEGFPDFPAPAHLKAAAAAAIAADHNQYRHVQGICDILAETMRRDHGLDVDPLTDFAICCGQSEAFSAAIFAIIDQGDEVLIFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKFLKSFTNRTKAVVLNSPHNPTGKVFGKEELLIIAQACKKMDCFVITDEVYEYITYDENKHISLASLPGMQDRTIITSSLSKTYSVTGWRIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSTPDFYSSLKKDYTVRRDYILQTLTDFGLRISFKPQGSIFVFAEISRSWQLSDMDFVTNLITSAGVAAVPGRGFFHTGTDDPSYHHRYVRFAFCKSDDTLKAAAQRMQKLAGSEAWMRPDVLTRPHH >LPERR10G10200.3 pep chromosome:Lperr_V1.4:10:11751483:11753752:-1 gene:LPERR10G10200 transcript:LPERR10G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSEASRRVTPSPIQELSKLSQRVGAINLAEGFPDFPAPAHLKAAAAAAIAADHNQYRHVQGICDILAETMRRDHGLDVDPLTDFAICCGQSEAFSAAIFAIIDQGDEVLIFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKFLKSFTNRTKAVVLNSPHNPTGKVFGKEELLIIAQACKKMDCFVITDEECKIGPSLLPHYQKLTVIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSTPDFYSSLKKDYTVRRDYILQTLTDFGLRISFKPQGSIFVFAEISRSWQLSDMDFVTNLITSAGVAAVPGRGFFHTGTDDPSYHHRYVRFAFCKSDDTLKAAAQRMQKLAGSEAWMRPDVLTRPHH >LPERR10G10210.1 pep chromosome:Lperr_V1.4:10:11755337:11759383:1 gene:LPERR10G10210 transcript:LPERR10G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGCCDARGGNGRSHSSRGKAMLLALGKGLPEQVLPQDKVVETYLQDTICDDPATRAKLERLCKTTTVRTRYTVMSKELLDEHPELKTEGAPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVIAAETTVLGFRPPSHDRPYDLVGAALFGDGASAAIIGAGPIAAEESPFLELQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLVLAFGPGITFEGMLVRGVN >LPERR10G10220.1 pep chromosome:Lperr_V1.4:10:11758209:11759507:-1 gene:LPERR10G10220 transcript:LPERR10G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRRHPHSLPSSSAAAAHLLRRFSALPDVDHPPPTSTPTTPRSSPILDLQLAIRGESDPTRIHSLVAAALSSPSTYPRLHTSRPLFSLAASRLARLRRPDLAASILHSLLAAAPGGNPSPGLLARAISLFPSPDDALRAFSDSPPSARSDLSLSALLSSLLRAGRVDEVKATLDSAEGSLGVAPGRASHNVLLHALVRNSEIDAARELLDEMASKRVKHRPAPDIVSYNTVLAGYSSKGDGEGFEKLLKEINDRKLEPNVVTYNCRIQWFAKKGETFKGEELLDVMESKDVAPNYLTYNALVQGYCKEGNVGLAMRVFKRMKVMKRREGRSALGVSAHSQTYVVLFRSLVEKEKLDDALWICKSCFAMKVAPTFEAVKGLVEGLVKGGKSVEAKDVVAKMNLLVKGDAKVAWEKVVGELSLEGAASSNP >LPERR10G10230.1 pep chromosome:Lperr_V1.4:10:11761232:11770760:-1 gene:LPERR10G10230 transcript:LPERR10G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPDAAAPAAEEPLQSEASADPAAESEGASDSGAVAAATPAAVDGAADGDASTAPAPASSFSATPPPMPANPVPPATPGPPRPPFGAPPAYGSPQAPAFSYNVLPRAPPRPQAMTAPSLPAAALQPPVPVQYFGNRPSFSYNVVSQSNTGLPTGQQFQLDTGTNHAAQRFVPPTSLQPPAPMSLARPSSAFPGAGAMPPNPPGSIRLPFPVPPRPSSNTFVASPQQAQPQTSQLPLNTGSSDVSTSQSHTRSVPEASQTMQLSTGPPSTSTTGSPSIAVQMPTNSSFPTRPEVFGGVGASVPVQPSTILSTPPSLFGRPMAPSASAFPQTSQSVAAPSAFPQTGQQQFHPSYPSAHGTVPSQPLWGYPPQPTGFQQPPFQSYPPGPLGPGRPMFGSSAVPNIQPPGVSTDRDSKELSTTNSGSEQPTHASAELSSTVHGSQNSGQPEDKKTTSIQDSDAWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEAEKIAAQPIPVAWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPAEVSELIKNAESGPLKGSSALLQDAGTVGNKGEISIDINTPAVQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPKGQQVPISGEKSKDNSGDGNMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSRRTIFDHYVRTRADEERKEKKAAQRAAVEAYKQLLEEVSEDINSNKDYQEFKRKWGTDPRFEALDRKEREALFNEKVKSIEEKVQSLRNAVITEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREDAFNEYVAELKSAEKEAEQAAKAKLDEQAKLKERERETRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPKLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVVTPEVAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMARKLKQSDTKEKSDTDGKQRRSSDPPRRR >LPERR10G10230.2 pep chromosome:Lperr_V1.4:10:11761232:11770760:-1 gene:LPERR10G10230 transcript:LPERR10G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPDAAAPAAEEPLQSEASADPAAESEGASDSGAVAAATPAAVDGAADGDASTAPAPASSFSATPPPMPANPVPPATPGPPRPPFGAPPAYGSPQAPAFSYNVLPRAPPRPQAMTAPSLPAAALQPPVPVQYFGNRPSFSYNVVSQSNTGLPTGQQFQLDTGTNHAAQRFVPPTSLQPPAPMSLARPSSAFPGAGAMPPNPPGSIRLPFPVPPRPSSNTFVASPQQAQPQTSQLPLNTGSSDVSTSQSHTRSVPEASQTMQLSTGPPSTSTTGSPSIAVQMPTNSSFPTRPEVFGGVGASVPVQPSTILSTPPSLFGRPMAPSASAFPQTSQSVAAPSAFPQTGQQQFHPSYPSAHGTVPSQPLWGYPPQPTGFQQPPFQSYPPGPLGPGRPMFGSSAVPNIQPPVHGSQNSGQPEDKKTTSIQDSDAWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEAEKIAAQPIPVAWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPAEVSELIKNAESGPLKGSSALLQDAGTVGNKGEISIDINTPAVQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPKGQQVPISGEKSKDNSGDGNMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSRRTIFDHYVRTRADEERKEKKAAQRAAVEAYKQLLEEVSEDINSNKDYQEFKRKWGTDPRFEALDRKEREALFNEKVKSIEEKVQSLRNAVITEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREDAFNEYVAELKSAEKEAEQAAKAKLDEQAKLKERERETRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPKLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVVTPEVAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMARKLKQSDTKEKSDTDGKQRRSSDPPRRR >LPERR10G10240.1 pep chromosome:Lperr_V1.4:10:11771361:11780005:-1 gene:LPERR10G10240 transcript:LPERR10G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLAAAWPRLLSLLPLLAALRLAASASAAGDPGAASGRAEWQVLTRANFSSQIRLHPYILLVVTMPWYGESRSLMEEVQRLVDADKQELGRLKLMVVYRNSEKLLTDVLGATEGIKFIYYQHSVPFKYQGKLRARDMLSSVHYIMSLKHEEAPFTVLHTKEDVKAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSRNQTENVYLSGKTLTRESDGPLEIVIEDEELNFGGGAQLVGSPWKGGFTLANGSVSDQIGITTDENGKLCTVETLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSALPSPDFVNEGNLETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLGISEMDVDPSGVAAFPASRPSAILFIDRFADSSKVRDESKLSLKLLREYAQKNYPSHFSTGGLSSGRMSSKAVPSLMSTGRSAHTATRLNAWASKFMEFGEKMSVMVVNDGGRISYRSADQGSTNNPLYDILTKLLHKTRPAHRSKKTRISFVAKDVGIKQLSDDSEVQVVESLSIQGSQFERNDGSFASSDGGNNDHAEDSVHEYKATEAEYTDDGQTPIKLKKDPANYCGNNEKHLESSDTEVGEQHKTKASDVSLDLQEDVFIDESSSNAPKNFCNIIKEDLECSDTRMEKQEHHNAEASDTSVDLQEEVSTDVHSSNEVGDILHKHKDEETIREGLDILEPDGTTVKFNQEKSRSAIQQDDKLSVLVQESRRIEDVIYEDNLLILDEGSEESDSKYPVHTPLSSSSSHVGDNTDYAEQVTASIPDGHFAGSLFFSDGGYRLLRTLTGGSRIPSLVIIDPIQQKHYVFPDEIEFSYSSLANFFDCFMNQSLSPYYRSALPAISSKELLRPPFINRDFHEADSIPQLTTSCDSNNELFLSNTENAASAWKKDVLVLFSNSWCGFCQRTELVVREVYRSFKNFVSSNSQFLQAQNLQIEEKNEESAMKGFPAIYLMDCTSNECHHLLKSAGKEELYPTLLLFPSENKSAISYESGISVANLFVFLESHASNSPYLLEYKGFLWKKKTVTQRDAPQAIQFDSSDKSSTDVGSGSPSNSERHEVHVLAGSILTATAKLESAIPFDNSQVLIVSADSHEGFQGLIINKRLSWDSFKNLDSSMEPIKHAPLFYGGPVVVQDYYLVSLSRVALDGYLQVIPDVYYGNVAATTQVTRRIKSGEQSAENLWFFLGFSSWGYSQLFDELSEGAWQVSEEPIEHLIWPEN >LPERR10G10240.2 pep chromosome:Lperr_V1.4:10:11771361:11780005:-1 gene:LPERR10G10240 transcript:LPERR10G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLAAAWPRLLSLLPLLAALRLAASASAAGDPGAASGRAEWQVLTRANFSSQIRLHPYILLVVTMPWYGESRSLMEEVQRLVDADKQELGRLKLMVVYRNSEKLLTDVLGATEGIKFIYYQHSVPFKYQGKLRARDMLSSVHYIMSLKHEEAPFTVLHTKEDVKAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSRNQTENEDEELNFGGGAQLVGSPWKGGFTLANGSVSDQIGITTDENGKLCTVETLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSALPSPDFVNEGNLETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLGISEMDVDPSGVAAFPASRPSAILFIDRFADSSKVRDESKLSLKLLREYAQKNYPSHFSTGGLSSGRMSSKAVPSLMSTGRSAHTATRLNAWASKFMEFGEKMSVMVVNDGGRISYRSADQGSTNNPLYDILTKLLHKTRPAHRSKKTRISFVAKDVGIKQLSDDSEVQVVESLSIQGSQFERNDGSFASSDGGNNDHAEDSVHEYKATEAEYTDDGQTPIKLKKDPANYCGNNEKHLESSDTEVGEQHKTKASDVSLDLQEDVFIDESSSNAPKNFCNIIKEDLECSDTRMEKQEHHNAEASDTSVDLQEEVSTDVHSSNEVGDILHKHKDEETIREGLDILEPDGTTVKFNQEKSRSAIQQDDKLSVLVQESRRIEDVIYEDNLLILDEGSEESDSKYPVHTPLSSSSSHVGDNTDYAEQVTASIPDGHFAGSLFFSDGGYRLLRTLTGGSRIPSLVIIDPIQQKHYVFPDEIEFSYSSLANFFDCFMNQSLSPYYRSALPAISSKELLRPPFINRDFHEADSIPQLTTSCDSNNELFLSNTENAASAWKKDVLVLFSNSWCGFCQRTELVVREVYRSFKNFVSSNSQFLQAQNLQIEEKNEESAMKGFPAIYLMDCTSNECHHLLKSAGKEELYPTLLLFPSENKSAISYESGISVANLFVFLESHASNSPYLLEYKGFLWKKKTVTQRDAPQAIQFDSSDKSSTDVGSGSPSNSERHEVHVLAGSILTATAKLESAIPFDNSQVLIVSADSHEGFQGLIINKRLSWDSFKNLDSSMEPIKHAPLFYGGPVVVQDYYLVSLSRVALDGYLQVIPDVYYGNVAATTQVTRRIKSGEQSAENLWFFLGFSSWGYSQLFDELSEGAWQVSEEPIEHLIWPEN >LPERR10G10250.1 pep chromosome:Lperr_V1.4:10:11782841:11791419:1 gene:LPERR10G10250 transcript:LPERR10G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTAAPRAPLPGGGGASACSSSASASAAASMSRQWDPNPNPNPSRMFLAARRGQRLRGAVRRWSEYVGSRWPLTPRGKDAGVGKKRAESYREEQVRGEEESGMGEEEGKWSWERWKQHFALIEESERLVDELQLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAIYDLNSAIEEERYMDAAYIRDHVGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDIRQLNSDGPGFPIFEIYFAEANEGYNLQAVHLKPDDSDSQQLPNMLREKLDMDSINISSSSFGAKHEDHSEGINMDDQNSDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDDEDDNDNPDSENESSEDIGDGDNVEEAEEASAEDNVDESGDESDIEGLISIDFITEDDKDFTFPLSTKSFERMPARLERRDRFSFSFYTEQYSKKQDMEKVQQNSKERVGLHTAQQDDEYLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQKLFGVTHFNRIQMPVSSDPLTEDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >LPERR10G10250.2 pep chromosome:Lperr_V1.4:10:11782841:11792497:1 gene:LPERR10G10250 transcript:LPERR10G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTAAPRAPLPGGGGASACSSSASASAAASMSRQWDPNPNPNPSRMFLAARRGQRLRGAVRRWSEYVGSRWPLTPRGKDAGVGKKRAESYREEQVRGEEESGMGEEEGKWSWERWKQHFALIEESERLVDELQLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAIYDLNSAIEEERYMDAAYIRDHVGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDIRQLNSDGPGFPIFEIYFAEANEGYNLQAVHLKPDDSDSQQLPNMLREKLDMDSINISSSSFGAKHEDHSEGINMDDQNSDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDDEDDNDNPDSENESSEDIGDGDNVEEAEEASAEDNVDESGDESDIEGLISIDFITEDDKDFTFPLSTKSFERMPARLERRDRFSFSFYTEQYSKKQDMEKVQQNSKERVGLHTAQQDDEYLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQKLFGVTHFNRIQMPVSSDPLTEDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >LPERR10G10260.1 pep chromosome:Lperr_V1.4:10:11793739:11796504:1 gene:LPERR10G10260 transcript:LPERR10G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPKEEHAFPRSNGFLFDRAGEIEEVEDAAAAEQSGSPSSSFAAASPAMSSCGQYMLHRVGKLDTLAGIAIKYGVEVADIKRLNALSTDLQMFAHKTLRIPLPGRHPPSSYQNGSYEGDDRECTPPRRLHDDILDSVLRTPRHKVSPAMSLLQGYYGLTPPPKKDTTHEGTEMAVYGKGKSVCLDDDPWFGEPPDSDPFSFQHRKTRSLAIGSSLLNGETEENGDSEKSIRRRQKVDGELLPREENGSAVLARAGKGLALRPKSGSRQDLNKSQQNLMALAEPSISDGLHAVRKSSSTPEFQEPESNNNSSSSSIWSTSKWTLKPDAFTLPLPLPLFDNIPKPIAAWRNKAARD >LPERR10G10270.1 pep chromosome:Lperr_V1.4:10:11797164:11810679:1 gene:LPERR10G10270 transcript:LPERR10G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADEDAVLPPPPPLPPRRPHKQLHPRRSVSLTNPVDQCGTRWRYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRVVVFLAPTVHLVHQQFEVIREYTDLDVMKCSGASGVAEWGADHWKEEVRRNEIVVLTPQILLDALRHAFLAMSVEFYFGSQWRPDVFGMTASPIYIIEDQNELESFCPPATIVSKYYDAYMVDFEYLESKLQILLDEFDALLVSLQESPTNKFEDTNSILETSRKSLSRYHGKILYSLNNLGPIITSEVVKIHIEGVKPLYDSEDCIFSKASLSLHMSYFKEALSLIEEVLPQGYGELMKSESVSEELTKRGYISSKVNTLIIIFKSFGSSNEVICLIFVDRIMTAKAVERKYIKRFFESSSAAIYFGFVPSWKDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARKNNSDYVLMIERGNLEQQERIFRIIQTGYYVKNFALYRHANALSYNLPIQGVYTYQVESTGATITADCCVNLIRKYCEKLPKDRYFIPKPSFEVAIEDGSFKCTLTLPPNAAFQRIVGPLSNSSSLSKQLVSLEACKKLHQLGELNDHLVPLTEETIDADLATADEKCISGPGTTKRKELHGTTRVLSLSGTWIHETESVTLNTYRFDFLCDQEGENYSGFVLLIESELDDDMAYSKMDLFLIPNKMVYTTVTPCGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRTSGAQRDFVFKKGQEIQWSTENMYLLLPLRDSTHVQHDLSIHWEAIESCAGAVEQLRSLYVGNENFIPGNYNAPKRSKGEDIIHLANKSLHYSCIKDSVVLSLHTGRLYTVLDLISEITAADSFDEMCNGKASPFISFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHVGVTSDILKSFYLLPSVMHRLQSLMLACQLRREINYNQQIPIYLILEAITTLRCCETFSLERIELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSNRRYIQDDPSFVVGKSCDRGHRWMCSKTISDCVEALVGAYYVGGGITAALWVMRWFGFDIKCDMKLVQEVKFNASHLCYLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGKLTDLRSALVSNENFAQAVVRNNIHNHLQHGSGILLEQITEYVRSNLECQGKENEFLQHATCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIIEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTNKGEEVIIEMSVQLRDELLIAQGNDRNKKSAKAKAASRILADLKQRDLSIKQCLAKDKQLGIMTSDLEFDLTSSGTQLDYSDLNGYHILKGLSSLKNEVVVKLKMEKGGPRSALFWLCKILQWPMPEFEFVEQRFRTPIIMDGETTTNFNSFVSTITLHIPDATTITLQGERRTDKKSAQDSASLIVLHKLQELKICICKT >LPERR10G10270.2 pep chromosome:Lperr_V1.4:10:11797164:11810679:1 gene:LPERR10G10270 transcript:LPERR10G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADEDAVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRVVVFLAPTVHLVHQQFEVIREYTDLDVMKCSGASGVAEWGADHWKEEVRRNEIVVLTPQILLDALRHAFLAMSVEFYFGSQWRPDVFGMTASPIYIIEDQNELESFCPPATIVSKYYDAYMVDFEYLESKLQILLDEFDALLVSLQESPTNKFEDTNSILETSRKSLSRYHGKILYSLNNLGPIITSEVVKIHIEGVKPLYDSEDCIFSKASLSLHMSYFKEALSLIEEVLPQGYGELMKSESVSEELTKRGYISSKVNTLIIIFKSFGSSNEVICLIFVDRIMTAKAVERKYIKRFFESSSAAIYFGFVPSWKDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARKNNSDYVLMIERGNLEQQERIFRIIQTGYYVKNFALYRHANALSYNLPIQGVYTYQVESTGATITADCCVNLIRKYCEKLPKDRYFIPKPSFEVAIEDGSFKCTLTLPPNAAFQRIVGPLSNSSSLSKQLVSLEACKKLHQLGELNDHLVPLTEETIDADLATADEKCISGPGTTKRKELHGTTRVLSLSGTWIHETESVTLNTYRFDFLCDQEGENYSGFVLLIESELDDDMAYSKMDLFLIPNKMVYTTVTPCGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRTSGAQRDFVFKKGQEIQWSTENMYLLLPLRDSTHVQHDLSIHWEAIESCAGAVEQLRSLYVGNENFIPGNYNAPKRSKGEDIIHLANKSLHYSCIKDSVVLSLHTGRLYTVLDLISEITAADSFDEMCNGKASPFISFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHVGVTSDILKSFYLLPSVMHRLQSLMLACQLRREINYNQQIPIYLILEAITTLRCCETFSLERIELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSNRRYIQDDPSFVVGKSCDRGHRWMCSKTISDCVEALVGAYYVGGGITAALWVMRWFGFDIKCDMKLVQEVKFNASHLCYLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGKLTDLRSALVSNENFAQAVVRNNIHNHLQHGSGILLEQITEYVRSNLECQGKENEFLQHATCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIIEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTNKGEEVIIEMSVQLRDELLIAQGNDRNKKSAKAKAASRILADLKQRDLSIKQCLAKDKQLGIMTSDLEFDLTSSGTQLDYSDLNGYHILKGLSSLKNEVVVKLKMEKGGPRSALFWLCKILQWPMPEFEFVEQRFRTPIIMDGETTTNFNSFVSTITLHIPDATTITLQGERRTDKKSAQDSASLIVLHKLQELKICICKT >LPERR10G10270.3 pep chromosome:Lperr_V1.4:10:11797164:11810679:1 gene:LPERR10G10270 transcript:LPERR10G10270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADADEDAVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRVVVFLAPTVHLVHQQFEVIREYTDLDVMKCSGASGVAEWGADHWKEEVRRNEIVVLTPQILLDALRHAFLAMSVEFYFGSQWRPDVFGMTASPIYIIEDQNELESFCPPATIVSKYYDAYMVDFEYLESKLQILLDEFDALLVSLQESPTNKFEDTNSILETSRKSLSRYHGKILYSLNNLGPIITSEVVKIHIEGVKPLYDSEDCIFSKASLSLHMSYFKEALSLIEEVLPQGYGELMKSESVSEELTKRGYISSKVNTLIIIFKSFGSSNEVICLIFVDRIMTAKAVERKYIKRFFESSSAAIYFGFVPSWKDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARKNNSDYVLMIERGNLEQQERIFRIIQTGYYVKNFALYRHANALSYNLPIQGVYTYQVESTGATITADCCVNLIRKYCEKLPKDRYFIPKPSFEVAIEDGSFKCTLTLPPNAAFQRIVGPLSNSSSLSKQLVSLEACKKLHQLGELNDHLVPLTEETIDADLATADEKCISGPGTTKRKELHGTTRVLSLSGTWIHETESVTLNTYRFDFLCDQEGENYSGFVLLIESELDDDMAYSKMDLFLIPNKMVYTTVTPCGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRTSGAQRDFVFKKGQEIQWSTENMYLLLPLRDSTHVQHDLSIHWEAIESCAGAVEQLRSLYVGNENFIPGNYNAPKRSKGEDIIHLANKSLHYSCIKDSVVLSLHTGRYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHVGVTSDILKSFYLLPSVMHRLQSLMLACQLRREINYNQQIPIYLILEAITTLRCCETFSLERIELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSNRRYIQDDPSFVVGKSCDRGHRWMCSKTISDCVEALVGAYYVGGGITAALWVMRWFGFDIKCDMKLVQEVKFNASHLCYLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGKLTDLRSALVSNENFAQAVVRNNIHNHLQHGSGILLEQITEYVRSNLECQGKENEFLQHATCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIIEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTNKGEEVIIEMSVQLRDELLIAQGNDRNKKSAKAKAASRILADLKQRDLSIKQCLAKDKQLGIMTSDLEFDLTSSGTQLDYSDLNGYHILKGLSSLKNEVVVKLKMEKGGPRSALFWLCKILQWPMPEFEFVEQRFRTPIIMDGETTTNFNSFVSTITLHIPDATTITLQGERRTDKKSAQDSASLIVLHKLQELKICICKT >LPERR10G10280.1 pep chromosome:Lperr_V1.4:10:11809222:11811301:-1 gene:LPERR10G10280 transcript:LPERR10G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAMMMRGGEDEYGGGGEEGEAGCGCSCFPSAFCGLWGSRKRQRRRRRRFRLKLRLSWFFTWPWRKNGGKKKMKKTTTMTEAKGIKSRMLLLLSSSSSSSSSPVGKTKSIATSAAAGSLLLPKVSSFADGNKKQQKKNGSKSLPRQAITGSGESAPPPARPETTPNELAGGVRRAPSRRHGSFRRRDGGGGGLWTMATTLGVIVFFGRVTAVAFLCSCLYAARFIRAQVAGDGAGAAAKGGGDGEKAAAVEEVWTEEHKKKVVMEGLLDRGGKRLSSRFL >LPERR10G10290.1 pep chromosome:Lperr_V1.4:10:11815328:11816001:-1 gene:LPERR10G10290 transcript:LPERR10G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQAEGAGGDQSSAPVSGRQRLWSTLFPCFRGSRRHDKETAARPRRRKRTVPVDSAGDHDEAVSPASPARRRRGCGFLPPLSSCVPGLKRNVEGSDSWQRRRELPPPPRNAKAPPPPRHDVAPAATRGAIAKSPRAAAAARGSSSTSST >LPERR10G10300.1 pep chromosome:Lperr_V1.4:10:11817362:11822107:1 gene:LPERR10G10300 transcript:LPERR10G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVEKFLEEMGLEEGQREEIVAIIKGMGFKNEVSKKYVVEPTLEFGVVQDADRLDAIGAIGIARCFTYGGSKNSTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >LPERR10G10310.1 pep chromosome:Lperr_V1.4:10:11820742:11824509:-1 gene:LPERR10G10310 transcript:LPERR10G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGAQINATATADAAAAGRRHGIAGIMMFPEVQAVELLIAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWVTSVVKSRGGTFTFHGPIFTNLHCVVTADPRNLEHLLKTRFGNFPKGPYFRDTVRDLLGDGIFGADDDTWRTQRKAASLEFHSSEFRALTASSLVELVHRRLIPVLAAVEEVNGVVDLQDVLLRLTFDNVCLIAFGVDPGCLRPGLPEIPFAKAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLQRSLAAVDEFAYDVIRKRRQEVAGAAAAAARRSDLLTVFTKMRDAETGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLTKNPGVEEKILDEIDAIVAARRKTAKGGEEEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYSMGRMESIWGEDCRDYKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVDVVDGHPVAPKMALTMYMKYGLKVKLTKRDKSKL >LPERR10G10320.1 pep chromosome:Lperr_V1.4:10:11833496:11836626:-1 gene:LPERR10G10320 transcript:LPERR10G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSDAVKEEDEGNMDSSQSDQALIAQDFMDIFRNDKHLREVLIKVGQRASGSSAKTAIPPPAQQLQPPPPERAGAWGKRPATGPPPGFAAVRPPPHRRPPPPQPQPHQPPAPPQPAIAHHHHHHHRNATRGGAMFRRRAAGGGGSVSFCGVCEVKCMNAHNMREHEAGRKHRHRVASIAGEKNVRCHVCDVLLASELNVQQHYAGKHHLHRLRYGRGRGPGAQN >LPERR10G10330.1 pep chromosome:Lperr_V1.4:10:11837365:11838984:1 gene:LPERR10G10330 transcript:LPERR10G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >LPERR10G10330.2 pep chromosome:Lperr_V1.4:10:11837365:11839329:1 gene:LPERR10G10330 transcript:LPERR10G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >LPERR10G10340.1 pep chromosome:Lperr_V1.4:10:11843581:11847468:1 gene:LPERR10G10340 transcript:LPERR10G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEPEPEVEETTTTTTTQPTSAAAVAEAEEPAAGAAGREAEEDEDAFEDALTDEQLREKATSQANDAKAEGNKFFGAGEYESALSQYEIALQIASELESAEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDASNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >LPERR10G10350.1 pep chromosome:Lperr_V1.4:10:11847993:11848334:-1 gene:LPERR10G10350 transcript:LPERR10G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLFTFAFAVALFGLLLFAVWMNPVVSIDAVTVSAATTDVAVRLERRWFRLMPDTYRNGTVSVSCAGGAVTARGELRDVTLTAAASPSVATATREAASPTSSGGGERCAWT >LPERR10G10360.1 pep chromosome:Lperr_V1.4:10:11849443:11850501:1 gene:LPERR10G10360 transcript:LPERR10G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEWGWMAEVAVEELAKLEAAQPRRFGPLKAELKLLIAHPSFDAAAVAIAPLPSTTTTTSSSSSSQSDPLDLRIVSTQVLDVRRHEYMIEREVYSHESTSQKRMRWGCHGDGSGGEEEQVGKRRRTRSTAPAKDRAEMAIERAVRCLKRIRAFKASLLGFSD >LPERR10G10370.1 pep chromosome:Lperr_V1.4:10:11850977:11857302:1 gene:LPERR10G10370 transcript:LPERR10G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALTPVDTLRGAQKWYIFAAGTYKFGRKDCDVIVQTDPSISRVHAEIAVEKMVAWDPKSGAPASPSYVRVVDRSKYGTFVNKVQGTQGNRLHKDEDAMLSDGDALTFGTGNATFRLSFVPIVVYFHGKKSGRISPSLQAVMTSIGAYATRKWSDECTHVLVDELCSLTPELLDAVLAKKQIVLGDWFKAMSEKNMHTEMPSCTQYIPKLTIDGMEIKMVEIKLIENCLAGYTFILGSSEKVYKFGDKLHALLESSGAKYLGIDEFCANSQDSGTGENDKEILLVPAKSPLEYSKIRGLFPLSKITDVKLFAAILCGRLEATAIEPPAYIVASSNSTDSDVEIDTATSDHIIAASKSQHHIEHIADDKKEVIAISEEDAVNLMETKPSIDLHSELGKDETVKPLEEDIKIIEKTTMSGLKVEGEDVHVITKVPKDETLDSRDETCHVIYSHDLVVKSLLQSVRAESIETGGTNFKRFRKRGTISGNSFKDMIPYSREPYRESDGERGTLTDFMREEKKRRQMEAIAEDLFNNAKPKKAAAGSSIHTILTGRR >LPERR10G10380.1 pep chromosome:Lperr_V1.4:10:11858724:11859893:-1 gene:LPERR10G10380 transcript:LPERR10G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAAYYAAVARKQYFCYQCNRTVLLAASAAAAGALSCPDCRGDFLEEVNVPAPAIIPFPFAFPSMIPSGGGAAGAGAGSSPTPSSSSTSAATSPPNDLSALLNTMLGPLNLRAEDQRMAGTTSAAGTATPEDESDGFDPMTFFQNYIQNLVDGGTNIQVLLDDASFSLGAGGIGRGGIGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSVLSALPDVIVTDAMVAATDGAECAVCKEDFSPGEGAKQMPCKHIYHADCIMPWLDLHNSCPICRFELPTDDPDYENRKTSNPQPAVGTGAGAASGSSTAGMGAGAASGSSTAAEERGEDGARVGDRRYNMPLPWPFSGFRSQTPQQDGNNGGTGASSHASGSKDGGAPGDKN >LPERR10G10390.1 pep chromosome:Lperr_V1.4:10:11864503:11871290:1 gene:LPERR10G10390 transcript:LPERR10G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREVRAGLKSPVVIRCSHPPPLLRREISRFWRRRRSAAACLRARMEEYDEAAGSKRERESGGESEGGGGGGERKKRDPKAVSLCKQLSAAWHRKAKEECEKMVAENPDVDWSDLLAVSARSYRQDWEYIYGGLYGSFDKTTSIPPMRYTTADPEPDDASEQDTLQIFCVKIKELRRGLQWPIHVFGHIAARDGINHNRNIIFSRTRDDCQTLTQEVPYLLLTGPSRAVVVCNPVDFEAVLKVKGSIESEDKDLSFFAVQLTRFSQIRETHLINKEYTSKLRTLELRLGYVVHSVEATINVRVTDRSWSDDLLAQITAWTSKIKHYPVLLLDKKMRVTADGMIELSRHVVSVESDGELKVSVAAFGSNSNIKTEVEFTPKEAGESNTELDVGFLELTLGYVLHSIEATIDVRVTVIMLNLLPIPPAYHTQQSLVCLIQEIRRGKCLIESSCGELVTFHNDSSKKEVDIGTGRGR >LPERR10G10400.1 pep chromosome:Lperr_V1.4:10:11872154:11877573:1 gene:LPERR10G10400 transcript:LPERR10G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHPQRIEVDKLSVEQLKSLKEQTDLEKMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEKTMVQGKDYCERKINLLKSNFDELVEMFNKKKNIADEMGLLLQAKLRQSSPSPSS >LPERR10G10410.1 pep chromosome:Lperr_V1.4:10:11879116:11881979:1 gene:LPERR10G10410 transcript:LPERR10G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACSGIPLPGGRTKQILTRFVTSAYLVREFMDKRNRDDKLKVAVRLPNGEITYGLLGLYDDDIAIVTCCGFKPVREIDFTATSSISDSSYLRLAGRAFHSSALMAMNGLPCGEHGKTWSPCQNTSSISMAVLGGPLLGDDQRLVGMNFSLCASDDGTVTYEYLAMELLHERLTHFGISEQPDFRGYSLPKGVSSIVPSGSNDPIALVFLKDIYELRSYGYPMPPPLVLEFNGALCDTFEDSFGTIFVWKGYPFGRQGVWEELPRKVVTDISRRVVSLASFKDDYARSFACTGLIIKWHGCKSTVILTSASLVSRHDGIGNLKIEVFLPPKQRGIGKLVFFDLHYNIAVVRLEKNFNAVCPEDIFSETTQRHLK >LPERR10G10410.2 pep chromosome:Lperr_V1.4:10:11881611:11882566:1 gene:LPERR10G10410 transcript:LPERR10G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGKVTKYNKKDCNLDCKGIKLSTCKIKKAGIGGPLITFDGSFVGMNFYDGSGVTPFVPKDKNVQVLNKVEYSQSIMSPIPIDIGGKRKNSLHLLLPVGRYAMRR >LPERR10G10420.1 pep chromosome:Lperr_V1.4:10:11887884:11899227:1 gene:LPERR10G10420 transcript:LPERR10G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTYQSLPLSLVYLLKQYNSEDISLDGLDEELEEHKNYDVLISILANGEKQRDMATLVEGNLDHAEQDLIEDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSISSQIRSLQERSLDISVRLKNRKFSCMFEEKLQHIVFENGDIDTQRQKSVETKLAKFVEEIVAPPSLVTVIIDGEVNDGYIKSLEILSKKLRFSQVDPMINASKSLNDIKPELERLLQKALSKVSEYLTEIFFSMRKPGTNIQILQQNLVQKYRYLILFLREHGSKVYTDVYAAYTDTMKKVLSSHFQVYIEALEKSKLDIGVSSDLTGYDTNIIDLIIKGREHLRNHHFMFSLGKRASILKLPFKHVLRYLFIKAFFGEASLFCQVFEGPFKVIDKHLDHTLRNCHDAVCLMLMICIARKHQLVMLNRRLTCLDTYLDKVVIYLWPRFKTVFDIYLQSLYKCDVKMLWVGGSHPHHIVRCYVEFTTSLIQLNAQCGDGQLDMNLERLRLAIDNLLSRLAENFASPKMQHLFLLNNYDVAISVLKEAGDEANKLQRYFEEKLESNMISFVDELLMEHFKDLINFVRSRVSEDLILYTEPPNIVDVEPIVKNFAVTWKTALELMHNEVVTSCSNLLSGMAILRAAMAQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >LPERR10G10430.1 pep chromosome:Lperr_V1.4:10:11906604:11907653:1 gene:LPERR10G10430 transcript:LPERR10G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYLLTAAAAAVAAAAVAAVVVSAAVAYDSGVVSSPYFLWLAANVIVVWLFSSHHRGVDTADVSSAPGADVDIGVYTSSSSSGHDHVFAVAEADLAAAPAVATKKKRSGKASSAAATSAREDTVDATPDVKKSAVEEEWPDWAFFVENSSAAAAENPADAAAVTNPIVNEKEWSAWVLACTSPETNPAPAATVIEEEKVKPPFNEEKSIDDEVVAITAATDIVDDDVSMDSMWESILQRGARPVTVRKSETWAADDRRRRDRAAENAVAAIRKSATATNMTTPPASPQHERAAPPAPARQPWRTRDALPAMPNDELMRRAESLIRRHHEQLRLQRQESEMRRRPLIRV >LPERR10G10440.1 pep chromosome:Lperr_V1.4:10:11928244:11937165:1 gene:LPERR10G10440 transcript:LPERR10G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKTAALRPRGPGKLQPARSMPLDYKYSSPAKGVPNGVGPLAAVAPEEEEEAVGFEGDADSPYSSQAATTEEAEGEEGEKGGGDAEVDSAAANGARATTTATGTAPRRMSPAGAGSPSQRDARWGDTSSYGARKKHRVFCHLPNGDWALCTVITTSGDESVLKLSEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYIAAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTIGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLSLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFAMVSAVLWLGDVSFTVIDNENHVEIVVDEAAEIVARLLGCSTEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSALPQIFASKMLSQSDNPIPAPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARRHARERIRGVLALQSFIRGENARKMYSSLARKHRAATILQRNLKCWLARRYFINIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMQAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGNHIGSASQLVPRTIGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >LPERR10G10450.1 pep chromosome:Lperr_V1.4:10:11937624:11939711:1 gene:LPERR10G10450 transcript:LPERR10G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRGQRPPAPDAATTVHLASLLQSCGRAGDLRRGRRLHARLVLTGAAAASTFLANHLITMYSHCADPASARRLFDEMPRRNAVTWTALVSGLARNGAHGEALAAFAAMRRAGGATTQFALSSAARAAAALAAPLHGAQLHCVSVRLGFDTELFVASSLADMYSRCGLLSEAFRVFDEMPQKDAVAWTAMIDGYAKNGRLHAAVLAFLDMRREGLVGADQHVFCTVLSALGGLKDGRLGGSIHCCVMKAGFELEVAVRNALADMYAKAMDLDNASRVLKIDPGGWNVVSGTSLIDGYIETDCVEEALGIYVELQRKGIEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTNLIKDSFVDSTLVDMYGKCGRINLSIQLFNEIEYLTDIAWNAVINVFAQHGHAKEAIRAFDRMICSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDTHGIEPKEEHYSCIIDMYGRAGRLDEAYKFISEMPIKPNAYGWCSLLGACRMRGNKELGELAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDRSIKKLPGFSWVDSNKKTHVFGSEDWSHPQKKDIYEKLEELTTRIKEEGYIPDTSILPCNLEDIAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICADCHTALKFISKVEKRDIIVRDNSRFHHFVNGRCSCGDYW >LPERR10G10460.1 pep chromosome:Lperr_V1.4:10:11942953:11943915:-1 gene:LPERR10G10460 transcript:LPERR10G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYADEKIEGKAPRSALVHKKGKKNSIIYRMSRLSQKTDSYVQGFKEHITLGPKISDTLKGKLSFGAKVLQAGSIDKVFRQYFQVEKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGVTTRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFKYLQHVISELR >LPERR10G10460.2 pep chromosome:Lperr_V1.4:10:11942953:11943915:-1 gene:LPERR10G10460 transcript:LPERR10G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYADEKIEGKAPRLSQKTDSYVQGFKEHITLGPKISDTLKGKLSFGAKVLQAGSIDKVFRQYFQVEKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGVTTRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFKYLQHVISELR >LPERR10G10470.1 pep chromosome:Lperr_V1.4:10:11946009:11950951:1 gene:LPERR10G10470 transcript:LPERR10G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGADGNGYLPRPRRARRGGGGGMGSPPPGQAPPPPPPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASEIALQAVEIVRENELSDRVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSQRYKDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQALELETITATFKYTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQAGQSLDGDSQNASPSNKKKKADVSILLSTAPEDAPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSQQHARFLNICLKYFTGDQWYVKESVMK >LPERR10G10480.1 pep chromosome:Lperr_V1.4:10:11951778:11958059:-1 gene:LPERR10G10480 transcript:LPERR10G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATATTSLLPILILRCCHPLISTPPPPRTLALAFPPRGPAHLPFSFRFRLSSSSSPRRRAPASAASAGAKSGRRAKGPSPASAAAAGGAAMSASGGGGGGGVGKRTVADVLMGNARDAARKAKKGTGGGAPSPKKVKTSPPPAKSEAADGVVGKTEAAAGEGKPVSPVKSKRASSPTKSKSVEDAAPVEGKGKRSPSPTRSKSVAASGKPEAKGKSSSPKKAKTLAAKSDTKPSKEGVAVQSNEKVKAQASESKDNQKPSSPKKAKAMDAPKSEERDTSLELKKKGSEFNPMAAAYWSPGEPVPFLFLARALDLIANESGRIVITEILSNVFRTVIATTPDDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISRVLATFRTIAKESGKDSQDKKRSHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVYSENHSAPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKAVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFELATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLDERSVSLRTKVIPKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKVADMYRAQKIHHANNNDDEDDEISLRRGSNGLDFLIL >LPERR10G10480.2 pep chromosome:Lperr_V1.4:10:11951928:11958059:-1 gene:LPERR10G10480 transcript:LPERR10G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATATTSLLPILILRCCHPLISTPPPPRTLALAFPPRGPAHLPFSFRFRLSSSSSPRRRAPASAASAGAKSGRRAKGPSPASAAAAGGAAMSASGGGGGGGVGKRTVADVLMGNARDAARKAKKGTGGGAPSPKKVKTSPPPAKSEAADGVVGKTEAAAGEGKPVSPVKSKRASSPTKSKSVEDAAPVEGKGKRSPSPTRSKSVAASGKPEAKGKSSSPKKAKTLAAKSDTKPSKEGVAVQSNEKVKAQASESKDNQKPSSPKKAKAMDAPKSEERDTSLELKKKGSEFNPMAAAYWSPGEPVPFLFLARALDLIANESGRIVITEILSNVFRTVIATTPDDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISRVLATFRTIAKESGKDSQDKKRSHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVYSENHSAPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKAVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFELATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLDERSVSLRTKVIPKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKVADMYRAQKIHHANNNDDEDDE >LPERR10G10490.1 pep chromosome:Lperr_V1.4:10:11960843:11967890:-1 gene:LPERR10G10490 transcript:LPERR10G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNLSKIAVLVAAIAIVSASCAVARRHNNNEQVMTSADGGGGSGGFPAVMTVNGFEKGEEGGGPSACDGRFHSDGDLIAALSTEWFAGGRRCHKRIRIVTRAGRAVVATVVDECDTRRGCKSNIVDTSPAVWKALGLDTDAGEVRVTCAKIVMAVAVLAAVVDARRHHHAGGGFPAVMTVNGFGKFHGDGELIAELSTEWFAGGRRCHKRIRIVVTRGGGGGRAVEATVVDECDTRRGCKSDIMDSSPAVWRALGLDTDAGELLMVNAKLALLATLALLLASCAAGGRHHHHNGDECGGGRCTSPAVTGETPAVMTVNGFEKGEEGGGPAACDGRFHSDKSMVAALSTGWFAGGSRCHKGIRVTSRQTGRSVVAIVVDECDSRHGCKDNIVDTSAAVWEALGLHTVDGEVPVTWSDV >LPERR10G10500.1 pep chromosome:Lperr_V1.4:10:11970349:11970959:1 gene:LPERR10G10500 transcript:LPERR10G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYRTVGRAGSGGTEDALHVFDEMLRRGRGASIYRLNCGHTVVARNSPAAALTCYKPTTGWSEPAPTRLEAVTFNPLLRGLWLCAEKRTRDAMDERGHPSPCVLGPIRILTACQMSSPTTLFSRGSVLRIAPSLTASSKKGIWTKLTVHTMKCLTGRFCPML >LPERR10G10510.1 pep chromosome:Lperr_V1.4:10:11970985:11973551:1 gene:LPERR10G10510 transcript:LPERR10G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSNGVGYGVLSRMVENGVITDFTSLTIVLCMDIALQVTYGTLLHGYATKDVLVEMYKLMDLMTSKSRGDEVMLVFSKLSLQGLRPICPNEVAYRILVDGLCKSGRVDDAMLNFEEMSNEGLTPGIVHKLHNPSRTCKSNLTDDALRMFQNLCLMAWKLETRTFHIMISALLKVGRNDEAMDSFAVLPANGLVPDDWT >LPERR10G10520.1 pep chromosome:Lperr_V1.4:10:11974303:11975748:-1 gene:LPERR10G10520 transcript:LPERR10G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGLAKEVPVARDQVHKWYVWIMTAFQGASFSRYRIELTKIASLVYVADDIFVLVSTQEERSCFTQAVKTWNSAAADSLPSCMRSCYRALYSVTNDMADMVEKEHGVNPINHLKKAWAVLFDGFMIETKWLSDSHVPASEDYLRNGVVTSGVPLMFLHLLFMLGHDFGADAEGFIDHIPPVISCPAKIFRLWDDMGCAKEGLDGSYKELYLKENPGFDAGDAEEHMRGLITSKWEELNREYFFSRRAFPAGFSQAALNAARMVGVMYGHDGKQRLPVLEDYLRMLLF >LPERR10G10530.1 pep chromosome:Lperr_V1.4:10:11986230:11991595:-1 gene:LPERR10G10530 transcript:LPERR10G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSDSQPRASSRRRRPRRCRKPLDLTPQALPELYTKGVLKMTDGGNSQLNLEKGGSSSPEATQKTKVEREDSGSKVESPTPEKPESRRNGIVISSLARNLLAERYKDRFAAQLLRDEDEDETDDEDETNDEDKTDDGTDSGSISPGDCSQSAVSESIDTFGTFPKDKPNDLPEKHNNLLNLFNRMVSSIRLLRLQKKMTTFKNIATQVEVLTKRKFLYIHLAQMKHLFPEAIRINKVLLHDEKSLCMYADMEISVLMDAVECRSPDQPLYLAICEAFHSKLSSFLDSHHKDIDIPEAMLPEPFNSRDELHLKALHDGHSAEPLVQSSNENELSNASHFPHSFQKLMSQKIIVEGTGKTKLLADPAEISILSANDTDVPKRSSNKQDQHVSATPSRHLITICQESTPKQGTSRSPLMVQTPATQTPKRPLPTPIDKLETTSGQTSEARSASSARRSLIMFSPSKLVESSSDHDGATLIPQREVTTGKCLFPDETHNLTDTLEVNNPVICLADQDKTKKVDTTESQEKIASLQLTFDIICGISRSTKNSLVTKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDTLYSIKEIADQKSVRARLVEVTSHMNKPTNHKITTDKHTTIASPPAVLPQSPSDQPFTESHSSTTLAYTDLPFAVILTRWPQRAIPANQPVESATTISESEWYALSHSDGPPPSLPFSKLLSVSTALVAEPLARHVARTAPGRREEARWVVERAIKRKIAMAAAALALLAILASDTLCCLWRLQGELDVVHGKLTCPFGWADGLSE >LPERR10G10530.2 pep chromosome:Lperr_V1.4:10:11987639:11991595:-1 gene:LPERR10G10530 transcript:LPERR10G10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSDSQPRASSRRRRPRRCRKPLDLTPQALPELYTKGVLKMTDGGNSQLNLEKGGSSSPEATQKTKVEREDSGSKVESPTPEKPESRRNGIVISSLARNLLAERYKDRFAAQLLRDEDEDETDDEDETNDEDKTDDGTDSGSISPGDCSQSAVSESIDTFGTFPKDKPNDLPEKHNNLLNLFNRMVSSIRLLRLQKKMTTFKNIATQVEVLTKRKFLYIHLAQMKHLFPEAIRINKVLLHDEKSLCMYADMEISVLMDAVECRSPDQPLYLAICEAFHSKLSSFLDSHHKDIDIPEAMLPEPFNSRDELHLKALHDGHSAEPLVQSSNENELSNASHFPHSFQKLMSQKIIVEGTGKTKLLADPAEISILSANDTDVPKRSSNKQDQHVSATPSRHLITICQESTPKQGTSRSPLMVQTPATQTPKRPLPTPIDKLETTSGQTSEARSASSARRSLIMFSPSKLVESSSDHDGATLIPQREVTTGKCLFPDETHNLTDTLEVNNPVICLADQDKTKKVDTTESQEKIASLQLTFDIICGISRSTKNSLVTKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDTLYSIKEIADQKSVRARLVEVV >LPERR10G10540.1 pep chromosome:Lperr_V1.4:10:11986469:11987020:1 gene:LPERR10G10540 transcript:LPERR10G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLLIALSTTHLASSLRPGAVLATCRASGYLPGKSGNCEKSNDPDCCEDGKSYPQYHCSPPVTSATSAVLTLNSFEKGKDGGGPSECDNAYHSDSEMVVALSTGWFAGMARCGHRVRITANGKSVYAKVVDECDSVNGCDDEHNYEPPCDNNIVDASPAVWDALGLDKSVGMVHITWSDGD >LPERR10G10550.1 pep chromosome:Lperr_V1.4:10:11991732:11996038:1 gene:LPERR10G10550 transcript:LPERR10G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDSGELELEHACARCRELHDRIAGNPSLPRHHPALGSLLRLVAAELRFLDSRRRRREDPDPTTAPAPPPPLSTNLPHLEALHLLLSHPAVRCPSRLAPLRGVDFACAFRSRPAWAILSARNPSSLAWAAAAADGVRARVAAVMESAREAPPATRPEKLLLVFARGVGADPARGLVEEFGAVEIDLLADFVGDADDGDEEGWVSVRFSPSEDMRRFRAFEIDVAECDGNVLSPPPATTLPPSLEMEVEESGVNLDGGFSIFMGKMRMGSRELVNLDTTALVAIVSGISNGGVGKLMSIPESETRARFKCNYKFVMDQAHSELQSPVLVELGNAVDGKKWLFQTVLQPE >LPERR10G10560.1 pep chromosome:Lperr_V1.4:10:11994691:11997014:-1 gene:LPERR10G10560 transcript:LPERR10G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDSCLARVGAGVAIGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAVFGLFLGAGRPVWGSSASQNLELP >LPERR10G10570.1 pep chromosome:Lperr_V1.4:10:11998908:11999690:-1 gene:LPERR10G10570 transcript:LPERR10G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQRMRIHPLDLESGAGVPHQQRPTAPLVPNGSFRSHKGHPSASSLPPPPRRVAPPMPLPPPKRRRGCCCRLICCVTVTVILLAVIAAAAAAALYLAFDPKAPRYSVSRLSVSAFQVDPTLTATARLDVTVTATNPNARIGIHYEPGSSLAVYYAAHRLAAGELPPFYQGHRNTTVLAVAMSGRAQLGGAAMSALRDAQTTGAVPLVFRAEVPVRVQLGGLRLWRVTSRVTCDLVVDSLGVNNPINIKASNCKFGLKL >LPERR10G10590.1 pep chromosome:Lperr_V1.4:10:12025812:12026507:1 gene:LPERR10G10590 transcript:LPERR10G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHMSAAAAAALVAVVVFAASTAGAVTFDATNTASGTAGGQRFDREVGVDYAKQVLADASAFIWDAFDQPTDGDRKPVDAVTLAVEDIGGVAFTSGDGIHLSAQYVGAYSGDVKAEVTGVLYHEATHVWQWDGRGGANGGLIEGIADFVRLRAGYAPSHWVGPGQGDRWDQGYDVTARFLDYLDSDVVNGFVAQLNAKMKDGYSDDFFVQISGGKTVDQLWQDYKAKYGG >LPERR10G10600.1 pep chromosome:Lperr_V1.4:10:12028790:12030520:1 gene:LPERR10G10600 transcript:LPERR10G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHTAAALLALAAVTTAGAVTYQVDNKAASTAGGQRFDREYGADYAKQVLAAASSFTWSVFRQTSTADRKPVDAVVLAVSDVGGIASTSGNTITLGAGYVAGITGNDFKTQVTGVLYHEVVHVWQWGLQDYGSYPWVYEGIADFVRLKAGYIASGWVQPGQGNSWEDSYSVTARFFDYCNSVKPGFVADLNAKLKNGYNADYFVPITGKTVQQLWQDYKAKYGN >LPERR10G10610.1 pep chromosome:Lperr_V1.4:10:12039093:12041966:1 gene:LPERR10G10610 transcript:LPERR10G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGFDWRVDVAGVLGWAADAAEEAAAEVERRVVLDPVALQRAAAAAGEKDPGEDEPLLLRRRPLHELDDHLQLLHRVCRLHQQRLRIPLQPSHEDLHHHRRRSRSPPAAGDRRKS >LPERR10G10620.1 pep chromosome:Lperr_V1.4:10:12042198:12044487:1 gene:LPERR10G10620 transcript:LPERR10G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIKILKSPSQRRQRKRQSWPQRGYGRCKKKRSGCKRGNSRWLSSGNFGLTTSRRAARIDLLASASNALVQKLRVVLQVVCLWPLHGSTISAFTSLYSWLNVYVDPIDVSAQNVALYHIFVVYNCLLARE >LPERR10G10630.1 pep chromosome:Lperr_V1.4:10:12044925:12052156:-1 gene:LPERR10G10630 transcript:LPERR10G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGVPRSEGTIRDLGRAGSGGGEEDALQVFDELLQRGRRASIYSLNRALTAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGSVIKKGFRVEAIAINPLLKGLCAKKKTNDAIIILHRMTELGCKPDVFSYNVLLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDMRILADVVTYSSIIAALCKAQAMDKAMEVLSTMVENGIMPDCCTYNSIVHGYCSSGQLKEAIGFLEKMCSDGVEPNVVTYNSLIDYLCKNGGCKEAWTIFDSMVKKGLNPTITTYGTLLQGYATEGALLEMHKLMDLMVQNGIQPDHHAFSILICAYAKWEKIDEALLAFCKMRQQGLNPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIHGLCTCEKWEKAEELIFEMLDRGIHPDTIFFNSIIDSLCKEGRVIESEKLFSLIGRIGVKPDTITYNTLIDGYCKSGRMEDTLALFREMVNKGISPNFITCDIMLQGFFQTGKAANAKELFLWIIKSGIQLKLSTYNMKLCLMDLKLETWMLNIVIDALLKVGRKAEAMDLFAAFSANGLVPDIVTYGLIIGNLIDEGLLEESDNLFLTMEKNGCAAYSRMLNHVVRKLLQRGDITRVGTYLSMIDENLFSVEASTASLSVALKENILLAKVDDRLMVMVSCDPTESSAPKVEEMMAGGKPQDISVEAWRARSRYPSAGDSSASRPIALKSKRHINLYQDGILPKLMGGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQVLDPAKGSSCCVTR >LPERR10G10630.2 pep chromosome:Lperr_V1.4:10:12044417:12052156:-1 gene:LPERR10G10630 transcript:LPERR10G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGVPRSEGTIRDLGRAGSGGGEEDALQVFDELLQRGRRASIYSLNRALTAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGSVIKKGFRVEAIAINPLLKGLCAKKKTNDAIIILHRMTELGCKPDVFSYNVLLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDMRILADVVTYSSIIAALCKAQAMDKAMEVLSTMVENGIMPDCCTYNSIVHGYCSSGQLKEAIGFLEKMCSDGVEPNVVTYNSLIDYLCKNGGCKEAWTIFDSMVKKGLNPTITTYGTLLQGYATEGALLEMHKLMDLMVQNGIQPDHHAFSILICAYAKWEKIDEALLAFCKMRQQGLNPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIHGLCTCEKWEKAEELIFEMLDRGIHPDTIFFNSIIDSLCKEGRVIESEKLFSLIGRIGVKPDTITYNTLIDGYCKSGRMDEAMRLLTGMVSVGVEPNCVSYSTLINGYCKSGRMEDTLALFREMVNKGISPNFITCDIMLQGFFQTGKAANAKELFLWIIKSGIQLKLSTYNMKLCLMDLKLETWMLNIVIDALLKVGRKAEAMDLFAAFSANGLVPDIVTYGLIIGNLIDEGLLEESDNLFLTMEKNGCAAYSRMLNHTDGDGVLRSHRIFSTQSGRDDGRWEATRHLSGGLEGKVKDGILPKLMGGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQNII >LPERR10G10630.3 pep chromosome:Lperr_V1.4:10:12044925:12052156:-1 gene:LPERR10G10630 transcript:LPERR10G10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGVPRSEGTIRDLGRAGSGGGEEDALQVFDELLQRGRRASIYSLNRALTAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGSVIKKGFRVEAIAINPLLKGLCAKKKTNDAIIILHRMTELGCKPDVFSYNVLLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDMRILADVVTYSSIIAALCKAQAMDKAMEVLSTMVENGIMPDCCTYNSIVHGYCSSGQLKEAIGFLEKMCSDGVEPNVVTYNSLIDYLCKNGGCKEAWTIFDSMVKKGLNPTITTYGTLLQGYATEGALLEMHKLMDLMVQNGIQPDHHAFSILICAYAKWEKIDEALLAFCKMRQQGLNPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIHGLCTCEKWEKAEELIFEMLDRGIHPDTIFFNSIIDSLCKEGRVIESEKLFSLIGRIGVKPDTITYNTLIDGYCKSGRMDEAMRLLTGMVSVGVEPNCVSYSTLINGYCKSGRMEDTLALFREMVNKGISPNFITCDIMLQGFFQTGKAANAKELFLWIIKSGIQLKLSTYNMKLCLMDLKLETWMLNIVIDALLKVGRKAEAMDLFAAFSANGLVPDIVTYGLIIGNLIDEGLLEESDNLFLTMEKNGCAAYSRMLNHVVRKLLQRGDITRVGTYLSMIDENLFSVEASTASLSVALKENILLAKVDDRLMVMVSCDPTESSAPKVEEMMAGGKPQDISVEAWRARSRYPSAGDSSASRPIALKSKDGILPKLMGGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQVLDPAKGSSCCVTR >LPERR10G10630.4 pep chromosome:Lperr_V1.4:10:12044925:12052156:-1 gene:LPERR10G10630 transcript:LPERR10G10630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGVPRSEGTIRDLGRAGSGGGEEDALQVFDELLQRGRRASIYSLNRALTAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGSVIKKGFRVEAIAINPLLKGLCAKKKTNDAIIILHRMTELGCKPDVFSYNVLLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDMRILADVVTYSSIIAALCKAQAMDKAMEVLSTMVENGIMPDCCTYNSIVHGYCSSGQLKEAIGFLEKMCSDGVEPNVVTYNSLIDYLCKNGGCKEAWTIFDSMVKKGLNPTITTYGTLLQGYATEGALLEMHKLMDLMVQNGIQPDHHAFSILICAYAKWEKIDEALLAFCKMRQQGLNPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIHGLCTCEKWEKAEELIFEMLDRGIHPDTIFFNSIIDSLCKEGRVIESEKLFSLIGRIGVKPDTITYNTLIDGYCKSGRMDEAMRLLTGMVSVGVEPNCVSYSTLINGYCKSGRMEDTLALFREMVNKGISPNFITCDIMLQGFFQTGKAANAKELFLWIIKSGIQLKLSTYNMKLCLMDLKLETWMLNIVIDALLKVGRKAEAMDLFAAFSANGLVPDIVTYGLIIGNLIDEGLLEESDNLFLTMEKNGCAAYSRMLNHTDGDGVLRSHRIFSTQSGRDDGRWEATRHLSGGLEGKVKDGILPKLMGGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQVLDPAKGSSCCVTR >LPERR10G10630.5 pep chromosome:Lperr_V1.4:10:12044925:12052156:-1 gene:LPERR10G10630 transcript:LPERR10G10630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGVPRSEGTIRDLGRAGSGGGEEDALQVFDELLQRGRRASIYSLNRALTAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGSVIKKGFRVEAIAINPLLKGLCAKKKTNDAIIILHRMTELGCKPDVFSYNVLLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDMRILADVVTYSSIIAALCKAQAMDKAMEVLSTMVENGIMPDCCTYNSIVHGYCSSGQLKEAIGFLEKMCSDGVEPNVVTYNSLIDYLCKNGGCKEAWTIFDSMVKKGLNPTITTYGTLLQGYATEGALLEMHKLMDLMVQNGIQPDHHAFSILICAYAKWEKIDEALLAFCKMRQQGLNPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIHGLCTCEKWEKAEELIFEMLDRGIHPDTIFFNSIIDSLCKEGRVIESEKLFSLIGRIGVKPDTITYNTLIDGYCKSGRMDEAMRLLTGMVSVGVEPNCVSYSTLINGYCKSGRMEDTLALFREMVNKGISPNFITCDIMLQGFFQTGKAANAKELFLWIIKSGIQLKLSTYNMKLCLMDLKLETWMLNIVIDALLKVGRKAEAMDLFAAFSANGLVPDIVTYGLIIGNLIDEGLLEESDNLFLTMEKNGCAAYSRMLNHVVRKLLQRGDITRVGTYLSMIDENLFSVEASTASLSVALKENILLAKVDDRLMVMVSCDPTESSAPKVEEMMAGGKPQDISVEAWRARSRYPSAGDSSASRPIALKSKRHINLYQDGILPKLMGGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQVLDPAKGSSCCVTR >LPERR10G10640.1 pep chromosome:Lperr_V1.4:10:12054018:12061814:-1 gene:LPERR10G10640 transcript:LPERR10G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPSPPLLFSPLKPLHLLRFPPRRPRCTASASRLRSRRLLCCAAAASSGEEVFGPRRELTGLQPVVEALPPAARTAAELAVCAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPNQLEGGEVEAIANKYGVSTQDAAFKAELCDLYARFLYSVLPPGDEDLKGDEVQTIIKFKNALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDILKPGTKAANSLTQVVEEVKSVLAFNSLLTTLSKHPDQERFVRGLGPISLGGESDHDRRASDLKLLYRAYATEVLSDGCLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGRLFEKVVVEAISSVDGYDANRRQAVRKAAQGLNLKKEAVMTIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSNATSEPEISESDGDDDEYEWESLETLRKTRPDKELKEKLAKSSQKEITLKDDLPLRDRAELYETYLIFCVTGETTNVAFGTAISTKKDNSEFLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYRKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVKDIAKVRLDNSLVQAVALLRQKKKDEVVSALNDLLACDAAVPATKPLSWPTPGELDDLYGLYMKSIPKPEKLSRLQYLLGISGEKAAQIRDAASAGTLSVATEEEEELAF >LPERR10G10650.1 pep chromosome:Lperr_V1.4:10:12064918:12075546:-1 gene:LPERR10G10650 transcript:LPERR10G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLASAAKPSPLAAAAAFAAASASSSLVSAAWIGRRSGRRRAGVVCAAVSGEEVFGGKRELTGVQPLVEALPPIAKSIDCNAGIGQQQAFEKLIFVTNLVFRDASEYLLPWKRLFGVHESQIDNVMRESAKSLYASQLKSIGRGLDIGKLIEVRREQLAYKLSDEIAAEMFREHAKNLIEENISSALDNLNNRTKVVEEVKSILAFNGSLTILSKFPGEDRFVRGLGPISLGGDSDHDKRVEDLKMLYNAYTMELLSDGHLDDDKLAALNQLRTIFGLGKYEAEAIISDVKAREWTLWPLPSGEPPRCTLNSTATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRYAGEVQFLVSSYKPWWMNKYVQIFQQMSRYDVVDIDGDADGEVRCYRNVVVGATFHKELGVDPSKTASGVSVLDFRTMLRGAFGLDRATATPSGDRWDIRRRPRLLIISRRASRGRAFMNERAMADMAASLGFDVRVGEPDASTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSSAMEIHYLEYVVQLDETTLSEQYPADHPVLRDPMSIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >LPERR10G10660.1 pep chromosome:Lperr_V1.4:10:12078450:12081468:1 gene:LPERR10G10660 transcript:LPERR10G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLWPWWIFLFVAAAAARSPESEMETYRDERGSLVLLRDAMRSGRDLHSNWTGPPCSGGGRSRWYGVSCDGDGRVIAVSLAGIQLTGELPAHFLSNVTRLETLSLRDNAVHGRLPRLEGLTRLVAVDLSGNRFSGPIPAAYAARLPELRRLELQDNLINGTLPDFGQRGLVVFNVSYNFLQGEVPDTAALRRFPATAFGHNLDLCGEVVRTECRREGSPFDDATRQYGGGDGDDSGVRPFDGGGSPARRRGFRLARWSVVVIAIIATVVPFAAVLIFLHHGKNGRVVRLGGRATVVVTGDIKDKATEHAISGKTSGSGSRSTTDSGKGSSELQFFRPEMATTFTLDELFRSTAEMLGKGTLGITYRVTLNASSGEPGPTVVVKRLRNMSHVSRKDFTHTMNLLGKLRHVNVVDVIASYYSKDEKLVVFDHVAGQSLFHLLHENRGEGRTPLTWQSRLAIAKGMAFGLSYLHQTMPLFHRPPHGNLKSSNILVVFPNSGKRRNHPVAKLMDYGFHPLLPPHGQQRLAAAKCPEFGRSNNCRRWPSSRADVYCLGLVLLELVTGKVPVVEDGDGDMAEWARMAVSHEWSTDILDVEIVADRERHGDMLRLTEVALLCAAVEPDRRPRIHEVVRMIDEIAGAGDGDGDGETAAGR >LPERR10G10670.1 pep chromosome:Lperr_V1.4:10:12082432:12084176:1 gene:LPERR10G10670 transcript:LPERR10G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARGPAGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSVLSLGKLYRDTSTPGGLVAVALAHALALAVAVAVSVNVSGGHVNPAVTFGALLGGRVSLVRAVFYWVAQLLGAVVATLLLRLTTGGMRPPGFTLASGVGDWHAVLLEAAMTFGLMYAYYATAIDPKRGHVGTIAPLAVGFLLGANMLAGGPFDGAGMNPARVFGPALVGWRWAHHWVYWLGPFVGAGLAALVYEYLVIPSADAAAAATHGAHQPLAPEDY >LPERR10G10680.1 pep chromosome:Lperr_V1.4:10:12085389:12088149:-1 gene:LPERR10G10680 transcript:LPERR10G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLRLPVGGGAGVGIDALVVAGDVAETRDNFARTMAALRERFGAVFYVPGNHDLWLRRENGRYMDSLEKLTALLDACSELGVDTGPRLIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPPDMGNDDEALALYFDKLNDKNHDAIEEVKKRSKQLLTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKHGASCHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDNWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFAKYY >LPERR10G10690.1 pep chromosome:Lperr_V1.4:10:12089704:12093293:1 gene:LPERR10G10690 transcript:LPERR10G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAMLLLAAAAMIAGGECGRVVHVGEEHRRSMLANGLASTPPMGWNSWNHFACDGNGEEVIRETANALVSTGLAAVGYKYVNIDDCWAEPERDAKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSRALMMAGRPIYFSLCEWGDMHPAKWGASYGNSWRTTNDISDTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVSHISQETYDILANKEVIAVNQDPLGIQGKKVRMEGSNEVWAGPLSGYRTAVVALNRHATETAAIAVHWDDVGLPAGTAVEARDVWQHKAVAGGEFTDKITLDVAPHSCRMLVLKPLLSSRAN >LPERR10G10700.1 pep chromosome:Lperr_V1.4:10:12104163:12119053:1 gene:LPERR10G10700 transcript:LPERR10G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASSSPALLLLLIMVVTLLSEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYNRDSQGNFVPNRQTFPSGIKALADYVHGKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRTVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGKMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMTEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSSIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMLQLGCKNMDLSPFKLDIDELLSNYTEANCTAFADFKRLWMSKKFSYIYEGRPKTNSGAFMQSLFLHCIANMQYFHATEELKKLKEFVVEAKQNGMDVVPALVKKMMDKGMILFGFINLLGDSGAKQVNELNASQNKRVKFACDKLFMNTQIESYMHMDLSSELELDKIKKSSTDYAKAKELALAEASQVVDVEDAKHIVQDDKLLGDKVEEIVKDWDAQKEAFYEKTGLIALQVDTSIFKLVGEDLNSAEEPLLARVSDEEEDALSVAAAAALEGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLLPAGALLIAFAAARGRRQPSGLAAWVAVAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGVIGVGGLVLGVVGLLLLEVPALSVEGNDTAIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVLSVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATKGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIVGEK >LPERR10G10710.1 pep chromosome:Lperr_V1.4:10:12131353:12134031:-1 gene:LPERR10G10710 transcript:LPERR10G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSAAFPHRRHLLLRLRLPPFTCCRYRPLRHHTAADPTRRCIRRSTSMSPPPIHLATPHRRSTSAPRHAASQIHRSINALPHLPIHLAAPRHDLQGRPAMSTASTTVMRHNHSRFFTFLFPLGIKYPVPNPYSLSLDLPITPLRRRYIASDDAHAYPFATPSPSVRLRAAAPISELAASILGAFSIDIAASTPQVPIHPHAHVPPPRRPRQGATDIPGDAPWRHAAEGGDARRWRTQQERPRRVWRLRRAQRGRATVEDAPRTAEDEGGRARRRARRRVAEVSEAKTARMEAGERVSRRSQRQLLHHVAVPAGLPEEAGIYGVGLLLSSNTKTRGVPIVDARTEAEAKKIYNISKVRNRCFSSILFTDFPIFAIPVKVKSCAYVVKNCLCMLSLIVLGTECLLGNPIVDG >LPERR10G10710.2 pep chromosome:Lperr_V1.4:10:12130289:12134031:-1 gene:LPERR10G10710 transcript:LPERR10G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSAAFPHRRHLLLRLRLPPFTCCRYRPLRHHTAADPTRRCIRRSTSMSPPPIHLATPHRRSTSAPRHAASQIHRSINALPHLPIHLAAPRHDLQGRPAMSTASTTNTKTRGVPIVDARTEAEAKKIYNISKMCIVVSVDIESNQFL >LPERR10G10720.1 pep chromosome:Lperr_V1.4:10:12138953:12139174:1 gene:LPERR10G10720 transcript:LPERR10G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLACDGPPPLPIDPSIAQDLFDPQLKKAIAAQVNKNREFDAFVLYQYRTQGFAEIQQEVTDDEQEQEQEQL >LPERR10G10730.1 pep chromosome:Lperr_V1.4:10:12141000:12144461:-1 gene:LPERR10G10730 transcript:LPERR10G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWVEDYGGRRPSSSSSNLQCFLDCTTPAVDTHLLPKAESEMLLVLIQTNGRFSSDSWHHTETDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKALTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAIVSNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSFPGLTSLKSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGCCSSANGKRNDEPDKKISKTQLAPFGLAAHKLQGSLWTNPRTGDHDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >LPERR10G10740.1 pep chromosome:Lperr_V1.4:10:12154337:12158897:1 gene:LPERR10G10740 transcript:LPERR10G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGGAAPAHAGVLVPKSGIFGLNLKACSGSMLKTTSKVGCPLLRVRASVTSSPQKQYSSKTADTESDEEVHIAVLGASGYTGAEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAIKDADFSNVDAVFCCLPHGTTQEIIKSLPKQLKIVDLSAQEAVYGLTEILRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGKRGMQSTMFVEMASGVTVNDLYRHLKSTYEGEEFVKLLHGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGHAVQNLNLMMGLPENTGLQYQPLFP >LPERR10G10750.1 pep chromosome:Lperr_V1.4:10:12165427:12171670:1 gene:LPERR10G10750 transcript:LPERR10G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQQQAAVGVVKAELEDGRGKAAVASSMVAPAALSPLSETLWREKAAAEFLGDVSARLAWRDLTVTVVVTGNGGGGGGETTATQEVLQGLTGHAEPGTMTALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPTEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDHIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQNDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIVIYRLLFFAMIKASEDVTPWVRGYIARRRVQRGKKGRGGAHRGAADRSPSLRAYVVDAGDDGLPAEHP >LPERR10G10760.1 pep chromosome:Lperr_V1.4:10:12174468:12178144:1 gene:LPERR10G10760 transcript:LPERR10G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKVGSSDSGAAASGAAAGGGGAGGGGGGGGGGGGGGAAAKSALALAAGGAPESVTVACPDHLVIADLAVAKSLGAVTTSAVAAARTIGRRSRRPLGERVHICCRCEFPIALYGRLIPCEHAFCLACARSDSSCYLCDERIQKIQTVKVMEGIYICAAPMCLKSFLKKAEFLAHVPEVHANLLQNTPEREERIEPDAPNISRASGGDQRQSQMPEMSTAHAPPRTGVSPSSSSHALDREDRSRYHHSRDHTPQRPPMLSRPPSFHSRHSYPPGDTPNENNPPQGFDRPYNWAPENAPGATPVRQESEHGSQDKQQMMPNAPFMFPPIPHQPNFMMPMNMNQPLMPNTAFNYPLQQDGNPQFFSAPFQMQLPDVGLDQGSASGVQPAPPGPLNFPEGLQRPWGMGLMGNPFQSMQLGQGMPEGAGDPQGGGGMVFLQGGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMQMQMQMQMPLPPPPPTQPSSSGQQPFSRT >LPERR10G10770.1 pep chromosome:Lperr_V1.4:10:12177925:12181822:-1 gene:LPERR10G10770 transcript:LPERR10G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDQKVNVWAIGKPSAVLNRREYFEPASVVRSMTFNKDGKSLFCGLHVLSWEPIICHDVVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVNRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSTMPASKEVPVPASSAMTQKLPKAPVTSNHRLTRSDSVPVLSPRVRLNPKFSDDQKRQIDYLVPVTAPRAHSKVDPHHSTLPLVALAPTNRPRSKISAFSSEGSSFIPVADTRHSPKRRRSSVAGEQSASAGDEDNIADLMENHQEFIHAVKSRLTKLEVIKSMAIYRLCYFEIHRVTVVYRCWQDNDVNGCLDATQRMQDLAVTADIISVLMENTNCITLDICTCILPLASSVLESSYDRHLKVALEMILKLVKSFGATISSTLSFTPVGVDIEAEQRFQRCNLCFQELIKIHSVIFTLTRRQGEVGRSAQELTLFLQAIFQQSSR >LPERR10G10770.2 pep chromosome:Lperr_V1.4:10:12177925:12181822:-1 gene:LPERR10G10770 transcript:LPERR10G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDQKVNVWAIGKPSAVLNRREYFEPASVVRSMTFNKDGKSLFCGLHVLSWEPIICHDVVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVNRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSTMPASKEVPVPASSAMTQKLPKAPVTSNHRLTRSDSVPVLSPRVRLNPKFSDDQKRQIDYLVPVTAPRAHSKVDPHHSTLPLVALAPTNRPRSKISAFSSEGSSFIPVADTRHSPKRRRSSVAGEQSASAGDEDNIADLMENHQEFIHAVKSRLTKLEVVYRCWQDNDVNGCLDATQRMQDLAVTADIISVLMENTNCITLDICTCILPLASSVLESSYDRHLKVALEMILKLVKSFGATISSTLSFTPVGVDIEAEQRFQRCNLCFQELIKIHSVIFTLTRRQGEVGRSAQELTLFLQAIFQQSSR >LPERR10G10770.3 pep chromosome:Lperr_V1.4:10:12177925:12181822:-1 gene:LPERR10G10770 transcript:LPERR10G10770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRAYKLQEFVAHASDVNCVKVGKRTSRILITGGEDQKVNVWAIGKPSAVLASVVRSMTFNKDGKSLFCGLHVLSWEPIICHDVVDIGWSTLGDLIVHEGKLVGCSYNQSCVGVWIVDLMKIEPYAVSNAEEYLNGSVNRSIQADNSISSVLGRLSVSSSPDNETSSSTLHKPSTMPASKEVPVPASSAMTQKLPKAPVTSNHRLTRSDSVPVLSPRVRLNPKFSDDQKRQIDYLVPVTAPRAHSKVDPHHSTLPLVALAPTNRPRSKISAFSSEGSSFIPVADTRHSPKRRRSSVAGEQSASAGDEDNIADLMENHQEFIHAVKSRLTKLEVVYRCWQDNDVNGCLDATQRMQDLAVTADIISVLMENTNCITLDICTCILPLASSVLESSYDRHLKVALEMILKLVKSFGATISSTLSFTPVGVDIEAEQRFQRCNLCFQELIKIHSVIFTLTRRQGEVGRSAQELTLFLQAIFQQSSR >LPERR10G10780.1 pep chromosome:Lperr_V1.4:10:12185824:12190649:1 gene:LPERR10G10780 transcript:LPERR10G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGWRRRAAKRETAMAAVISELRRQANEAYHGGELELATKLCTRAIDLAPSTADLYADRARALIRLRKHEEAITDLDITLELDPNMCRAYLLKSIAFIFLDDCKTARATFALCCSYGCDDSMTTKLLKKCNKNLADEAFEAYSEGDFDLAAELGTLAISTDRKQNETIPDKDKAIQLECTMWEAYLLKGIAYMALRDYRNANIALQLAHSYSFGQPMITGLLKECNDHIADDANKAYAKEVIADTDKAIEFDPTMCNPYLLKGKALMDLRDYRNANDAFELAQSYSSGDRRIIIDLLKNCKGCIAYEDSQELVEQAEAAPAAPLAATAERQDGAELESTHSSGKLKLRYYYNCPTKIVLSIGVTGVVPENVTVNFGEETLTVSLIVSGEVQDIIQYHLFSKVIPENCTYEVQSKEAMIYLAKLDPIIWTSLSPSSMPTSDDYEFSWISKRLHEKNILPPIQNQGEKKTCVFHALTTTAKIELNRRAAQNDPPESFKMNLNK >LPERR10G10790.1 pep chromosome:Lperr_V1.4:10:12200082:12207027:1 gene:LPERR10G10790 transcript:LPERR10G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQFAPVLTLQEPDVSSFRQSFDTTSSEETTTSSEESIATNPPTTPHNIIDGGSSSRTSSSRFKRTLKDQLGIPNLDKFLDNPCTGTDSATHVALQSPSSMFYIRMDRRGSFWTYPDVGGPFQRVDETQEAINCFVGELQHGARCKEPCKFSHVDRMIHDCKHYLQGPPERDPNSPSSKTTYDEKQYLVQAILDQYNDNNNLSGNDAYELEDLVRRQMICENRMWYHHFNFTTKQKGVDGSTSSKLFFAEVTSVEGTNIWEVSCCCRIEIEDDGGHCYGCINKGSPPMKHPNDNNAYAGGHFDYSPFELVFSSSDDEDNVDKEESDEVESLETDEEGVETTLGPRYMLRKRLELMLDEILLQELQED >LPERR10G10790.2 pep chromosome:Lperr_V1.4:10:12200082:12207027:1 gene:LPERR10G10790 transcript:LPERR10G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQFAPVLTLQEPDVSSFRQSFDTTSSEETTTSSEESIATNPPTTPHNIIDGGSSSRTSSSRFKRTLKDQLGIPNLDKMDRRGSFWTYPDVGGPFQRVDETQEAINCFVGELQHGARCKEPCKFSHVDRMIHDCKHYLQGPPERDPNSPSSKTTYDEKQYLVQAILDQYNDNNNLSGNDAYELEDLVRRQMICENRMWYHHFNFTTKQKGVDGSTSSKLFFAEVTSVEGTNIWEVSCCCRIEIEDDGGHCYGCINKGSPPMKHPNDNNAYAGGHFDYSPFELVFSSSDDEDNVDKEESDEVESLETDEEGVETTLGPRYMLRKRLELMLDEILLQELQED >LPERR10G10790.3 pep chromosome:Lperr_V1.4:10:12200082:12207027:1 gene:LPERR10G10790 transcript:LPERR10G10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQFAPVLTLQEPDVSSFRQSFDTTSSEETTTSSEESIATNPPTTPHNIIDGGSSSRTSSSRFKRTLKDQLGIPNLDKFLDNPCTGTDSATHVALQSPSSMFYIRMDRRGSFWTYPDVGGPFQRVDETQEAINCFVGELQHGARVRQREIPILQNDAYELEDLVRRQMICENRMWYHHFNFTTKQKGVDGSTSSKLFFAEVTSVEGTNIWEVSCCCRIEIEDDGGHCYGCINKGSPPMKHPNDNNAYAGGHFDYSPFELVFSSSDDEDNVDKEESDEVESLETDEEGVETTLGPRYMLRKRLELMLDEILLQELQED >LPERR10G10790.4 pep chromosome:Lperr_V1.4:10:12200082:12207027:1 gene:LPERR10G10790 transcript:LPERR10G10790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQFAPVLTLQEPDVSSFRQSFDTTSSEETTTSSEESIATNPPTTPHNIIDGGSSSRTSSSRFKRTLKDQLGIPNLDKMDRRGSFWTYPDVGGPFQRVDETQEAINCFVGELQHGARCKEPCKFSHVDRMIHDCKHYLQGPPERDPNSPSSKTTYDEKQYLVQAILDQYNDNNNLSGNDAYELEDLVRRQMICENRMWYHHFNFTTKQKGVDGSTSSKLFFAEVTSVEGTNIWEEDNVDKEESDEVESLETDEEGVETTLGPRYMLRKRLELMLDEILLQELQED >LPERR10G10800.1 pep chromosome:Lperr_V1.4:10:12208580:12215027:1 gene:LPERR10G10800 transcript:LPERR10G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGDGGGGTLGGRWRSAAERGRKLQRFIIHSLLMDHDRYRRHKPNTSRKRPHSNSEDGKRKRPNSRHDDGPMSSQPIETIYRILCPVKKIGSVLGRGGDIVKALRDETKAKIRVADSIRGADERVIIIFNYPSQTEEADEAVQNISTDGFESMKPHCFAQDALLKIHDKIAEDEDLHGGVGPQKSENVDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSKNLPQCALKSDELVQISGAPTLVRKALYEISTRLHQHPNKDNPPLEEIIDASTQRKHESPPQLSHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAAEAEEFSIKILCASEHIGQVIGRSGGNVRQVEQQTGARVQVKEVGKDISAERLIVVSSQEIPDDRVSPTIEALILLHSKVSPSSENNHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPPPFAPFDGPPVEPSRELMLYGRSANNPPFGWPANDAPYGRPAIDPPYGRPAIDPPYGRPANDPPYRRPANDTPYGGLNNNAPHDPYTAYPVEYFSKREYPSGSSKNTSSASYDIYAAPSRLPNRELPSSASPGADYMSRHSYHDHVPFDRYSSRGTLQLGLSRAGISVQQLGITRGGNSNAYDYTEATEQIHGREDYRRPSGLTGHTGGSVELRIPNGYLESVIGVGGANLAEIRQISGARVKLHEAHPGSSESILEIQGLPDQVKAAQSLLQGFIGANSNSRQAPQSSRMAHYF >LPERR10G10800.2 pep chromosome:Lperr_V1.4:10:12208580:12215027:1 gene:LPERR10G10800 transcript:LPERR10G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGDGGGGTLGGRWRSAAERGRKLQRFIIHSLLMDHDRYRRHKPNTSRKRPHSNSEDGKRKRPNSRHDDGPMSSQPIETIYRILCPVKKIGSVLGRGGDIVKALRDETKAKIRVADSIRGADERVIIIFNYPSQTEEADEAVQNISTDGFESMKPHCFAQDALLKIHDKIAEDEDLHGGVGPQKSENVDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSKNLPQCALKSDELVQISGAPTLVRKALYEISTRLHQHPNKDNPPLEEIIDASTQRKHESPPQLSHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAAEAEEFSIKILCASEHIGQVIGRSGGNVRQVEQQTGARVQVKEVGKDISAERLIVVSSQEIPDDRVSPTIEALILLHSKVSPSSENNHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPPPFAPFDGPPVEPSRELMLYGRSANNPPFGWPANDAPYGRPAIDPPYGRPAIDPPYGRPANDPPYRRPANDTPYGGLNNNAPHDPYTAYPVEYFSKREYPSGSSKNTSSASYDIYAAPSRLPNRELPSSASPGADYMSRHSYHDHVPFDRYSSRGTLQLGLSRAGISVQQLGITRGGNSNAYDYTEATEQIHGREDYRRPSGLTGYGFHRWDSSRTQMWILPLQSLNDDPLCRHTGGSVELRIPNGYLESVIGVGGANLAEIRQISGARVKLHEAHPGSSESILEIQGLPDQVKAAQSLLQGFIGANSNSRQAPQSSRMAHYF >LPERR10G10800.3 pep chromosome:Lperr_V1.4:10:12208580:12215027:1 gene:LPERR10G10800 transcript:LPERR10G10800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGDGGGGTLGGRWRFIIHSLLMDHDRYRRHKPNTSRKRPHSNSEDGKRKRPNSRHDDGPMSSQPIETIYRILCPVKKIGSVLGRGGDIVKALRDETKAKIRVADSIRGADERVIIIFNYPSQTEEADEAVQNISTDGFESMKPHCFAQDALLKIHDKIAEDEDLHGGVGPQKSENVDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSKNLPQCALKSDELVQISGAPTLVRKALYEISTRLHQHPNKDNPPLEEIIDASTQRKHESPPQLSHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAAEAEEFSIKILCASEHIGQVIGRSGGNVRQVEQQTGARVQVKEVGKDISAERLIVVSSQEIPDDRVSPTIEALILLHSKVSPSSENNHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPPPFAPFDGPPVEPSRELMLYGRSANNPPFGWPANDAPYGRPAIDPPYGRPAIDPPYGRPANDPPYRRPANDTPYGGLNNNAPHDPYTAYPVEYFSKREYPSGSSKNTSSASYDIYAAPSRLPNRELPSSASPGADYMSRHSYHDHVPFDRYSSRGTLQLGLSRAGISVQQLGITRGGNSNAYDYTEATEQIHGREDYRRPSGLTGHTGGSVELRIPNGYLESVIGVGGANLAEIRQISGARVKLHEAHPGSSESILEIQGLPDQVKAAQSLLQGFIGANSNSRQAPQSSRMAHYF >LPERR10G10800.4 pep chromosome:Lperr_V1.4:10:12208580:12215027:1 gene:LPERR10G10800 transcript:LPERR10G10800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGDGGGGTLGGRWRFIIHSLLMDHDRYRRHKPNTSRKRPHSNSEDGKRKRPNSRHDDGPMSSQPIETIYRILCPVKKIGSVLGRGGDIVKALRDETKAKIRVADSIRGADERVIIIFNYPSQTEEADEAVQNISTDGFESMKPHCFAQDALLKIHDKIAEDEDLHGGVGPQKSENVDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSKNLPQCALKSDELVQISGAPTLVRKALYEISTRLHQHPNKDNPPLEEIIDASTQRKHESPPQLSHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAAEAEEFSIKILCASEHIGQVIGRSGGNVRQVEQQTGARVQVKEVGKDISAERLIVVSSQEIPDDRVSPTIEALILLHSKVSPSSENNHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPPPFAPFDGPPVEPSRELMLYGRSANNPPFGWPANDAPYGRPAIDPPYGRPAIDPPYGRPANDPPYRRPANDTPYGGLNNNAPHDPYTAYPVEYFSKREYPSGSSKNTSSASYDIYAAPSRLPNRELPSSASPGADYMSRHSYHDHVPFDRYSSRGTLQLGLSRAGISVQQLGITRGGNSNAYDYTEATEQIHGREDYRRPSGLTGYGFHRWDSSRTQMWILPLQSLNDDPLCRHTGGSVELRIPNGYLESVIGVGGANLAEIRQISGARVKLHEAHPGSSESILEIQGLPDQVKAAQSLLQGFIGANSNSRQAPQSSRMAHYF >LPERR10G10800.5 pep chromosome:Lperr_V1.4:10:12208580:12215027:1 gene:LPERR10G10800 transcript:LPERR10G10800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGDGGGGTLGGRWRFIIHSLLMDHDRYRRHKPNTSRKRPHSNSEDGKRKRPNSRHDDGPMSSQPIETIYRILCPVKKIGSVLGRGGDIVKALRDETKAKIRVADSIRGADERVIIIFNYPSQTEEADEAVQNISTDGFESMKPHCFAQDALLKIHDKIAEDEDLHGGVGPQKSENVDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSKNLPQCALKSDELVQISGAPTLVRKALYEISTRLHQHPNKDNPPLEEIIDASTQRKHESPPQLSHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAAEAEEFSIKILCASEHIGQVIGRSGGNVRQVEQQTGARVQVKEVGKDISAERLIVVSSQEIPDDRVSPTIEALILLHSKVSPSSENNHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQATEQIHGREDYRRPSGLTGHTGGSVELRIPNGYLESVIGVGGANLAEIRQISGARVKLHEAHPGSSESILEIQGLPDQVKAAQSLLQGFIGANSNSRQAPQSSRMAHYF >LPERR10G10810.1 pep chromosome:Lperr_V1.4:10:12219842:12221439:-1 gene:LPERR10G10810 transcript:LPERR10G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGKVTPTYGILIGCSCRAGCLDLGLAALGSVIKKGFRVAAITITPLLKGLCAKKKTNDAIIILHRMTELGCMPNVFSYSVLLKGFCHEGRSHEALELLHMMADDGGDCPSDVVSYNTVINGFLKEGQLKEAIGFFEKMCSDGVEPDVVTYSSLMDYLCKNGRCKEARTIFDSIVKKGLEPTITTYGILLQGYATEGALLEMHKLMDLMIQNGVQPDHHVFNILICAYVKWGKIDEALLEVSKMRQQGLSPNVVTYGTVIDGLCKSE >LPERR10G10820.1 pep chromosome:Lperr_V1.4:10:12222651:12225418:-1 gene:LPERR10G10820 transcript:LPERR10G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLRPRLLPVAAASSSSSAHVVAGGGRGRGRGVEWRPHRDRGWSSAALDRPMGAQVVVAAAEGYGGEIGLGCWWCRHGGFNAGAVRPRSVFKGQRGLLLRSDCSGSNLFVCSHGSGSSTCALESSALYCKDLGNSDYFLFYKLEVEGMENLPPNTSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKCCHKNWCSCDTTLLGTGKLMPSGMEGILNSGSVKVIIHHPIEGNDAEKLCSEARKVIAGTLILNDIKNFS >LPERR10G10830.1 pep chromosome:Lperr_V1.4:10:12227116:12235009:-1 gene:LPERR10G10830 transcript:LPERR10G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSLSDGGGAAGGGGFSSSQDAFDFDGDDDGGGGGGDLVVLGSSSQPLPPSQESSSMWDFDEDPPPPPPPRVEGARRQRRRGGRGDEYAAAAEVEEEEERPTVAATSLMEAEEYGEMMESVDEVNFALDGLRATAPRRVRRASFLALLGICASAHRRRLLRAQGLVQQIIDAILVLNIDDPPCTIGAAALLFILASDVQENHLLDSESCVHYLLKLLDPPVNPVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVTRVGGNFKETLRELGGLDSIFDVMVNCHSTLENLIKDTSTSALDLKEGTSLQSAALLLKCMKILENATFLSDDNKTHLLNMSRKLRPKCSPLSFVGVTISTIELLSALSILQNSSAVSSSTYPKSSKLSQQSGSAEVKGGTSWNDGKRKNSKKKNLLSNQTHHSCLSSKSEVSHITISSGSDSGLSQKAFNCSPSISSNGASSGLLSERHRNGSGLKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVNSDSGGGDDPFAFDDVDQEPSSNWELFGSKKNSPQKHKEKPGNGVLAASHESGQPEDLNQSGTTSLFSSKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIIKHFPSFCFIVDNNYNTRDSASYCRDVNLGRELSSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPEIEETQRDVIPLLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVAFHLQLNMITEETHSAVTEVIEKCKLS >LPERR10G10840.1 pep chromosome:Lperr_V1.4:10:12237534:12240580:-1 gene:LPERR10G10840 transcript:LPERR10G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGAVKDSVFLGVRLSDGLKLETSALGLRTKRVNTSSVAIRAQTTAAVSSPTTTPSSPSGKQTLRKGTAIITGASSGLGLATAKALSETGKWHVIMGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVKNVRQMEMPVDVVVCNAAVYQPTAKEPSFTADGFEMSVGVNHLGHFLLAREFLSDLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAAGLNGVASSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHDETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYVTKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLA >LPERR10G10850.1 pep chromosome:Lperr_V1.4:10:12241465:12243381:-1 gene:LPERR10G10850 transcript:LPERR10G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHKWELGHTFHHVHPMEDLTPGEPPIPRRDHIDDAYESDAGDGEFTDDDDYTGDPDEESTVPSSSVGDGGWGLLPPGPVLPPEYMPMPTPRPASGWSYSGRRRPDEWQRFYLDRGVGRHALTETDLNRDIWIAFLLDGGASRNTSWWRSFELRFARCPAAPPWIIFSSE >LPERR10G10850.2 pep chromosome:Lperr_V1.4:10:12242742:12243381:-1 gene:LPERR10G10850 transcript:LPERR10G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHKWELGHTFHHVHPMEDLTPGEPPIPRRDHIDDAYESDAGDGEFTDDDDYTGDPDEESTVPSSSVGDGGWGLLPPGPVLPPEYMPMPTPRPASGWSYSGRRRPDEWQRFYLDRGVGRHALTETDLNRDIWIAFLLDGGASRNTSWWRSFELRFARCPAAPPWLPLSATASIAQFQPLANL >LPERR10G10860.1 pep chromosome:Lperr_V1.4:10:12252984:12254870:1 gene:LPERR10G10860 transcript:LPERR10G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFIASSASSSAPLSYLTPPRPPPPPLLMGQGYGAVDGGFGVPDTGVDAVNVVAPAVRQSGRHAGHPPLPRPPPRQCPRCGSSNTKFCYYNNYSRTQPRYLCKACRRHWTEGGTLRDVPVGGGRKNTKRAPSAAAKAKAAAASVASSSSATSSFPDILRQMLFSPAAATGGGGGYSIDMAAWQQMAAFAGTPDQGGVCDVGGVVGGTAAAADCGGVQYWNGWLQDDMPGLDRSC >LPERR10G10870.1 pep chromosome:Lperr_V1.4:10:12255197:12258178:-1 gene:LPERR10G10870 transcript:LPERR10G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTVLAVILVADIVAFCLAIAAEQSRPTARVETDARQEWTYCVYRPDAATGLGAAALALLLAGQAVAAVSSRCFCCGAALRPGGARACALILFVSSWLTFVIAESCLLAGLVQSAYHTRYRAVFFENPPDCESVRRGTFGAGAAFSLITCVLTGTYYFYFSKSRVSYARREATIGMSPYS >LPERR10G10880.1 pep chromosome:Lperr_V1.4:10:12260110:12272542:1 gene:LPERR10G10880 transcript:LPERR10G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGPSASKADQYAALRELYRPHIDSFDYFVDEGLDRMLLSIRPLEIAKGHLLPPKRDGRVDVPLYPQECRQARTSYHGEFKVDAFIQCNDGPSIRQTFNFGHLPIMLMSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFVNRGAGYTDKAVIIRCVQDDQSSVTVKLYYLQNGSARVGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGIVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFDKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYALVDQTASPDNADALQYQEALLPGHLITIFLKDRLQDWLRKSKPQEVRKILSKTSTYVGKAIESMIKVGKVNSQSGLDLPQRDGMTIQAERLNFHRISSFYNSEGAIKDFNQIKKSLIAHLVGAGMAQLLPRIERTGPPEVLHVHLDGCIVGSIASPKIEEVVNHLRSLKLLPHSGIPEDLEVGYVPLSLGGAYPGLYLFTNPARFLRPVKSLLGLSDGGPSIELIGPFEQAFMEIQCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCAQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSAKSRDNVMEFFCKSNLSRETTTAIESDGLPRIGEKIFPNELYYSVCNNLTGSVRPIKLKGSEPAAIDYVAVNGTNFKDRLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKKTDENSCTSDSIVNEIGPMLASYGFNYHGTEVMYSGVFGTELTFEIFIGPVYYQRLRHMVSDKFQVRNTGRIDQITRQPIGGRKFGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSICGSLLTATVIKSDNQKAKRDMLGLPTIKPPKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKMELRLSNRAELPTSEES >LPERR10G10880.2 pep chromosome:Lperr_V1.4:10:12260110:12272542:1 gene:LPERR10G10880 transcript:LPERR10G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGPSASKADQYAALRELYRPHIDSFDYFVDEGLDRMLLSIRPLEIAKGHLLPPKRDGRVDVPLYPQECRQARTSYHGEFKVDAFIQCNDGPSIRQTFNFGHLPIMLMSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFVNRGAGYTDKAVIIRCVQDDQSSVTVKLYYLQNGSARVGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGIVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFDKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYALVDQTASPDNADALQYQEALLPGHLITIFLKDRLQDWLRKSKRISSFYNSEGAIKDFNQIKKSLIAHLVGAGMAQLLPRIERTGPPEVLHVHLDGCIVGSIASPKIEEVVNHLRSLKLLPHSGIPEDLEVGYVPLSLGGAYPGLYLFTNPARFLRPVKSLLGLSDGGPSIELIGPFEQAFMEIQCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCAQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSAKSRDNVMEFFCKSNLSRETTTAIESDGLPRIGEKIFPNELYYSVCNNLTGSVRPIKLKGSEPAAIDYVAVNGTNFKDRLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKKTDENSCTSDSIVNEIGPMLASYGFNYHGTEVMYSGVFGTELTFEIFIGPVYYQRLRHMVSDKFQVRNTGRIDQITRQPIGGRKFGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSICGSLLTATVIKSDNQKAKRDMLGLPTIKPPKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKMELRLSNRAELPTSEES >LPERR10G10890.1 pep chromosome:Lperr_V1.4:10:12273801:12278816:1 gene:LPERR10G10890 transcript:LPERR10G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEDEEEFEDGESDEEEEEKGGSRKKAKQHAKQLKRLQEKDPEFYKYLEDCDKELLDFDDDEFDDNEENDEEPNSVPKEEPKEIVKPITMQMVDSWCQGAVDGKIGSIRSILQAFQKACHYGEDSGDNSAPKFSVMSGSVLDKVMHFVLKNMDRILRQLLDAPSFGGKKETISELMTTKNWKRHGRLMRLYLVNALHMITELTDEQMIAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFMFLRDLCIQLGSECLDTCLKGIYKAYLVNCKLSKSISGSKLQHIEFLGNCVRELYSVDPQRAYQHAFVFIRQLAVLLRGALTERGPKTSKDKRQKESNKPTKKQMEKSYQKVYDWQYIFCLELWTNVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFGVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFLTLVRLQNFCKTVKADRFRREMKDLIHQIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEKQSSPLSKYVATLHQRSKDRMDALDETSIIVGAESSTFSRRLSEAQKQQDEQDDGEDTIAFSKDLTEKKKTKTPKEKSKKRARDQDDVATEEDIVEDLVLSSDEEDEDEDNNMESDEDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKHQPSKKAPSTTKRKPHPKKKAKH >LPERR10G10900.1 pep chromosome:Lperr_V1.4:10:12280635:12287099:1 gene:LPERR10G10900 transcript:LPERR10G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGADKVTPTLHTYGILIGCCCRAVKGFRVEAITINPLLKGLCAKKKTNDAIIILHRMTELGCMPDVFSYNVLLKGLCHENKSHEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYITYHEMLGRRILPDVVTYSSIIAALCKTQAMDKAMEVLSTMVENGIMPDCFTYNSIVHGYCSSGQLAEAIGFFEKMCSDGVEPNVVTYSLLMDYLCKNGRCEEARTIFDSMVKKGLKPTITTYGILLQGYATEGALLEMHKLMDLIVQNGIQPDHHAFNILIWAYAKRGKIDEAMLAFCKMRQQGLSPDVVTYGIVIGGLCKSGRVDDAMINFKQMINEGVIPDIFVYTSLIDGLCTCEKWEKAEELIYEMLNRGIRPNTIFFTLIIDSHCKEGRVIESRQFFDMMARIGVKPDVITYNALVDAYCLTGKMDEAIKLLASMVSAGVKPDCVTYNTLINGYCKISRMEDGLSLFREMESNDVSPDIITYNIILQSLFRTKRTAAAKELYARIIESGTQVKLPTYNIILHGLCTNNLIDDALQFFKKLCLMDLKLETRTLNIMIDALLKVGRKAEAKDLFAAFPANGLVPNIVTYGLMIGNLIEEGLLEESDNLFLTMEKNGCAANSRLLNHVVRKLLHRGDITRVGTYLSMIDEKQFSVEASTASLLIDDLSRGKYQEYHKFFPEKYKVEQEKQEMQFIVDMLGKERSEFERLKNQKTELASRKQAEYLRKCLEEHNLELRVKAANEAESACQQRLSIAEVELEDLRAKVDALERDVMKLKESIRIKQAETIGQAYEDMQTQNQHLLQQVTDRDDFNTKLVSESVKMKQASGSLLSEKRMLQKQLQHVNRSLESSKLKIASGEEQKREVVITKCFHPFCSPCIQRNLEIRHRKCSGSGTPFGQSDVREVKI >LPERR10G10910.1 pep chromosome:Lperr_V1.4:10:12295709:12301487:1 gene:LPERR10G10910 transcript:LPERR10G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVMLAIHEKKATAADLYRPLRLYISATYSEREAAAADDDLAAVRDLRADAVESPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRGHVHSLAFTWHDAFKTGKKATVASIHLEKAAVLFNLGAVYSQIALAADRATDVGIRTACGAFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALSDAKKVARGVAAPLLDSVNKLETNMKTNLERAMKENDRVYLMRVPDASSLGALPAASLVKPTSLAEVLDASKERLFSSIVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLESELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLMSILDRRPIESALPSISRPIMSLDGNEDTIVGTLKQSLRQLESLGAQRAGLEDMLKEMKRKAQNEQFAAVFNLEDYKVARERCYKQIAAAVAKYRDIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSQAGMQRNTSAPPDQNSPSPPPPQPQHAPHAQGSYGVPPGSDSRPGYSQPEPRSAYTQPYPPYGAPPQQPPYGAPPQQPPYGAPHPGHYQQPPHQPPPNHDYGQQYPGGWRGQYYNPHQPQQPQPPYPQPPYNAQGSYPPHQNNYYRPQ >LPERR10G10920.1 pep chromosome:Lperr_V1.4:10:12302326:12304280:1 gene:LPERR10G10920 transcript:LPERR10G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPSRSRARALAGGLPRSDDTIRGLGRAGDGGGEEDALQVFDELLQRGRRASIYSLNRALSAVARDSPAAAVSCFNRMARAGKVTPTVHTYGILVGCCCRAGRLDLGFAAFGSVIKKGFRLKAITITPVLKGLCAKKRTNDAIIILHRMTELRCMPNVFSYSILLKGLCHENGFFKEGDLEKAYSTYHEMVDKRILPDVVTYNSIIAALCKAQAIDKAMEVLSTIVENGIMPDCVTYNSIVHGYCSTGQLTEAIGFLEKMCSDGVEPDVVTYSSLMDYLCKNGRCKESRRIFDSMVKKGLKPDNTTYGTLLQGYATEGALLEMHKLMDLMGQNGIQPNHHAFTILICAYAKQGKIDEALLEFSKMRQRGLSPDVVTYGTVIDGLCKSGRVDDAMINFKQMINEGVIPNIFVYTSLIRGLCTCEKWEKAEELICEMLDRGIRPNTIFFNSIIDSLCKEGRVIESEKLFSLIGPIGLKHDTTTYTTLIDGYCKSGRMDEAMRLLTGMVSAGVEPNCVSYNTLINGYCKLSRMEDALALFREMVNKGISPDFITCNIMLQGFFQTGIAANAKELFLWIIKSGIQLKLSTYNMILHGLCKNNVTLTAMDDIGSWTSYREGLLQRF >LPERR10G10930.1 pep chromosome:Lperr_V1.4:10:12308058:12310379:-1 gene:LPERR10G10930 transcript:LPERR10G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPSQEDKHLDLEFAVGLQRPSHGATVVPASARLLPSCRHLESPDHSTVATLPSAQALRAAAAAPPRPNIAGGNAHQDLGRSSTLPAPSSTLQNWEGWIRWPQGQIHSTAAVHPPDLARRDGSDDERDGSTPPVATHRRPPCRRTAIATCVAASLSSAPSHGLHRSRSLMPDLATAPPKQPSSSRSPREGRRNSHTLDGLVVPAPRARSRRCFRQLPARPTTPAPVRLCRAAACTSSFVRAPSRLLHAPAKTTSRGPDQGTGGSDLAGAGWKSSDRARVSVVTPRRCSAAASEGGKAALPPPSSRPAGFAGGRSGGSEAERVGIGMRLFAGYAFLVTWKLGIEY >LPERR10G10940.1 pep chromosome:Lperr_V1.4:10:12313952:12318980:1 gene:LPERR10G10940 transcript:LPERR10G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGETLRAELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAIVENFRVQETHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATSRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYARPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREDRRSRRGHTSNADTESKTSSSEFEISGDRRDSGPSARFNQP >LPERR10G10950.1 pep chromosome:Lperr_V1.4:10:12319393:12320620:1 gene:LPERR10G10950 transcript:LPERR10G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIAGIGRGDGGGWFLRRAAARGGAALLGSGHRRLLSHCSITSNSGTSSHPGGSWDGHGQIRRLSGRCAARRPPSRSEQ >LPERR10G10960.1 pep chromosome:Lperr_V1.4:10:12321508:12321963:1 gene:LPERR10G10960 transcript:LPERR10G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFPSSSLSRARAGGVPRSEGAIRDRGSAGGSGGEQDALQVFDELLKRGRGASIYSLTRALTAVARASPAAAVSCFNRMARAGKVTPTLPTYGILIDCCCRARGITCGKDSMASFNTADKGWAFPRVVYFNGDNCVMPPPDAFPVSITA >LPERR10G10970.1 pep chromosome:Lperr_V1.4:10:12323270:12327849:1 gene:LPERR10G10970 transcript:LPERR10G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGGKPLLGAGGGGKRGGGGGGGSYTTTVILAALVLASVALLLLVALGALSLPSAGSGSGRGIGLARPRPRFRRSAAFESGMEEEARGEKGAPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMRKSTVVDSSTGGSKDSRVRTSSGMFLRRGQDKIIRTIEKRISDYSFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLISDVEEGGETIFPTSKVNSSSSPFSNELSECAKKGLSVKPKMGDALLFWSMRPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKMLRTNSNYLM >LPERR10G10970.2 pep chromosome:Lperr_V1.4:10:12327787:12330031:1 gene:LPERR10G10970 transcript:LPERR10G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYFLNELPDFVAEAASPDGGGGEGCSPRGVLGLLSLPYSALSERLLRAALRIKDKARTHARAGALALALQLVVEETWTRARREVTDYTLYTGALGTALLLFKSFQVTGNSADLALAADIVKECDAASHGLPFLTFICGRAGVCALGAVIAKNCDDQLLLTHYLSSFDEIIVTEKVPNELLYGRAGYLWACLFLNTHLGENTIPHEHIISVAKDIIDEGRKLSNRGSCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKPDEKDDVKNTLLYMIRNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTLSKAYQVFHDEHFKQSAAEAAEVVWNRGFLKRVGICHGISGNAYVFLSLYKLTGNVEYLYRAKAFACYLLENADQFIANGVMHGGDHPFSLFEGRAGMAYLLLDMVNPLESKFPAYEL >LPERR10G10980.1 pep chromosome:Lperr_V1.4:10:12330527:12332133:-1 gene:LPERR10G10980 transcript:LPERR10G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLTIRGLKLHIAHIGKGETATLLFVHGFPEVWYSWRHQMVAAAAAGFRAIALDFPGYGLSEPPADLAQASWQGLMNDLLAILDSLSISKVFLVAKDFGVKPAYDLALCHPGRVCGVVSLGVPPIVESLGFSALPEGFYIHRWKEPGRAEADFGRFDTRRILRTIYILFSKSEIPIAKQGQEIMDLADESTPMPQWFTEEDLSAYTNLYNKSGLMTAIQIPYRTKAAKAECAKPRFEMPMFVIMGQKDYTLKFPGLKEYMSSEKLKEIAPDYEITYVPEGSHFVQEQFPDLVNQLMVDFVSKHV >LPERR10G10980.2 pep chromosome:Lperr_V1.4:10:12330527:12332109:-1 gene:LPERR10G10980 transcript:LPERR10G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLTIRGLKLHIAHIGKGETATLLFVHGFPEVWYSWRHQMVAAAAAGFRAIALDFPGYGLSEPPADLAQASWQGLMNDLLAILDSLSISKVFLVAKDFGVKPAYDLALCHPGRVCGVVSLGVPPIVESLGFSALPEGFYIHRWKEPGRAEADFGRFDTRRILRTIYILFSKSEIPIAKQGQEIMDLADESTPMPQWFTEEDLSAYTNLYNKSGLMTAIQIPYRTKAAKAECAKPRFEMPMFVIMGQKDYTLKFPGLKEYMSSEKLKEIAPDYEITYVPEGSHFVQEQFPDLVNQLMVDFVSKHV >LPERR10G10990.1 pep chromosome:Lperr_V1.4:10:12333976:12345601:1 gene:LPERR10G10990 transcript:LPERR10G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNIHIAQVGKDELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAVAPDCRGYGLSGQPPPPEEEEEATVWDDLVADVVAILDALEIPSAFLVGKDFGALPAYDVALRHPRRVRGVACLGVPFSPIPADFSAMPAGFYVLRWGEIMDLADLSVPLPSWLTEDDLDVYASLYEHSGFRFPLQMPYRSIHTRPNQMDARFEVPVLMAMGEKDYAFKFPGFEAAIRGDAMKRFIPNLKITFIPEGGHFVQEQFPEEVNRLLLSFFQEHPKPSIDRAMAIVWKSASTSTTTTTTWLKKCSCMGSRRYGTRGATRCWLSPLPVTVPLRRNGEGTGLSGQPLQPEAAEFDDLIEDLLAIFDALSVPRAFLVAQDFGSMVAYDFALRHPNRTCGLMSLGIPFGITDLPLNTLPEGFYVFRWGQPGRAEADFGRYDVKRVMRTIYTLFSRSEIPIAKEDEEIMDLADLSTPLPEWFTEGDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIEEAKFQVPVYVVMGEKDYVFKFPGIQLLLKGGSMEKLAPDLKITYIPEGCHFVQEQFPDYVNELLLAFLKDHHMAWVRHLRLTTSHAHCDVNTAHPRRRARAGRKPAMATNTTTEQHQIEHVHLPIRGLTLHVAQAGKGEVGTVLFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPETEAAGYDDLIEDLLAILDALAVPKAFLVGKDFGAWPAYDFALRYPNRTSGVMCLGIPFGTAGLSLNFLPEGFYVLRWAQPGRAEADFGWYDVKRVVRTIYILFSRSEVPIANENQEIMDLADLSTPLPEWFTEEDLAVYSSLYEKSGFRYPLQMPYRSMHSRKPIGDAKFQVPVFVVMGEKDYVFKFPGIESLMKKEYMEKHAPDLKITYIPEGSHFVQEQFPDHVNELLLGFLKDHPVAQPQHQQIEHVHLPIRGLTLRSMLAVAAAGYRAVAPEWRGYGLSGQPPEAEAAEFDDLIEDLLAILDALAVPKAFIVAKDFGSIPAYDFALRHPNRTCGVMCLGAPFVSADLPFNTLPEGFYMLRWAQPGRAEADFGRYDFKRVVRAIYILFSRREIPIAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYDKSGFRYPLQMPYRSLHKRKPIEDPKFQVPVFVVMGEKDYVFKFPGVESTASWRSKHQTLKITYIPEGGHFLQEQFPDHVNELLIGFLKDHPVAA >LPERR10G11000.1 pep chromosome:Lperr_V1.4:10:12347787:12350897:-1 gene:LPERR10G11000 transcript:LPERR10G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRGPDGRTRHVDLDPTTATLADLAASASLALGGVSPEHLRIYLSHRRLLPADPSPLLSSLGIGPSSSLLLHLPLLGGMNGPTPTAPPPPPPPPSAQPPARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAGPAVGRGRGKPPGDDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNVEYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQFARQLIQRGCEECPTNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVTKVIDRSIKTLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTRESLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVVEERKLLEEGLKLFPSFFKLWLMLGQMEDWLGHGTKAKEVYENGLKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWTLYYKFELQHGNTDTQKDVLQRCVAAEPKHGERWQAIAKAVENSHLSIEAILKKAVVTLGQEENPNAADP >LPERR10G11010.1 pep chromosome:Lperr_V1.4:10:12353543:12362285:1 gene:LPERR10G11010 transcript:LPERR10G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGAVGDVEGGGGAGGGGGGGGGGHQPSPATVFRIRLKQPPSSLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVRADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPINLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPSNSSNSSNLKTFEEKFLTQQPQSSAGWPNILCVCSVFSSGSVQLHWSQWPSQNSGQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAHTKKQVQDNEITEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANYGGQPPMQTVWSTRVNKSIPPSEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPNLLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSTPSPSNNQGNQGGVASATGSSQMQEWVQGAIAKISNNADGAANAAPNPVSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIHANAQKNSDPSMQKQHLMNGKTEDNTSAVRSGLGAAKVEDGPTSRGQLVFGAKGLEENPVGNKSTRIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPASQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMPQLKINGSTSRHLSDMEEDTDSSFGIQSLWPRKRRLSERDAAFGLKTSVGLGTFLGVMGSRRDVITAVWRTGLEGEWYKCIRCLRQTCAFAQPGAPNTTNEIEAFWISRWTHACPMCGGSWVKALPGFIVYLQQS >LPERR10G11010.2 pep chromosome:Lperr_V1.4:10:12353543:12362969:1 gene:LPERR10G11010 transcript:LPERR10G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAHTKKQVQDNEITEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANYGGQPPMQTVWSTRVNKSIPPSEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPNLLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSTPSPSNNQVSGNQGGVASATGSSQMQEWVQGAIAKISNNADGAANAAPNPVSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIHANAQKNSDPSMQKQHLMNGKTEDNTSAVRSGLGAAKVEDGPTSRGQLVFGAKGLEENPVGNKSTRIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPASQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMPQLKINGSTSRHLSDMEEDTDSSFGIQSLWPRKRRLSERDAAFGLKTSVGLGTFLGVMGSRRDVITAVWRTGLEGEWYKCIRCLRQTCAFAQPGAPNTTNEIEAFWISRWTHACPMCGGSWVKVA >LPERR10G11010.3 pep chromosome:Lperr_V1.4:10:12353543:12362969:1 gene:LPERR10G11010 transcript:LPERR10G11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAHTKKQVQDNEITEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANYGGQPPMQTVWSTRVNKSIPPSEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPNLLLSIQV >LPERR10G11020.1 pep chromosome:Lperr_V1.4:10:12364637:12370569:1 gene:LPERR10G11020 transcript:LPERR10G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGAQPPYPGAQQPPPPPANHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGTGGDPSVAEGFLSRLLHPSPGEAPAAAAAVAGDFTFRDRFLLRDAADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDADGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAQQWAATRLEADEHPSVVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQPKTERSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFVAPSVAGEEKSVLVNGLQKLVLPLIEAFESINFDLCMVATQVGVQKISGITLYAVQEKKVYEPLSDIEIFVDAK >LPERR10G11020.2 pep chromosome:Lperr_V1.4:10:12364637:12370569:1 gene:LPERR10G11020 transcript:LPERR10G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGAQPPYPGAQQPPPPPANHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGTGGDPSVAEGFLSRLLHPSPGEAPAAAAAVAGDFTFRDRFLLRDAADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTYTLLLSILCTKLVALVTILQCWFAHVVIESRLVCTALYNDADGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAQQWAATRLEADEHPSVVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQPKTERSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFVAPSVAGEEKSVLVNGLQKLVLPLIEAFESINFDLCMVATQVGVQKISGITLYAVQEKKVYEPLSDIEIFVDAK >LPERR10G11020.3 pep chromosome:Lperr_V1.4:10:12364637:12370082:1 gene:LPERR10G11020 transcript:LPERR10G11020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGAQPPYPGAQQPPPPPANHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGTGGDPSVAEGFLSRLLHPSPGEAPAAAAAVAGDFTFRDRFLLRDAADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTYTLLLSILCTKLVALVTILQCWFAHVVIESRLVCTALYNDADGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAQQWAATRLEADEHPSVVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQPKTERSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFVAPSVAGEEKSVLVNGLQKLVLPLIEAFESINFDLCMVATQVGVQKISGITLYAVQEKKVYEPLSDIEVKTSSFNSNYDFF >LPERR10G11030.1 pep chromosome:Lperr_V1.4:10:12371463:12373585:-1 gene:LPERR10G11030 transcript:LPERR10G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRICVGLLLQAASGAVACQLMDAAHPGAVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGFMNSYNASERRESSKGGKETNRRTSVPSQAPAKSASATHKAQASSHGTKKANQPLQRGAKPSSANSAAPAYDEQITELKLLVDSLEKERDFYFSKLRDVEILCQSPEIEHLAIVQAIQKILYTADDDPSIMAEAQAMVSQQQQQNEQPMLSPILEASEERLPKQDALKRKSISDLELEEFGMASSSRQRLSDISDVQLCGSPLTSFT >LPERR10G11040.1 pep chromosome:Lperr_V1.4:10:12383841:12386726:1 gene:LPERR10G11040 transcript:LPERR10G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANEVSDLCIGKPAVRSLPLSAAAGDLAAALRRGPPQGGAAASSVAVVAGPPSARAVAGRLGLADVLCFLCAAPGALAHPAAALSTPVSSLLPKDGAGEARRVDPRASVLDALDAVLSGAQVLAVPLRSGGRKKQLAGGGGGVGVAGGDFCWLTQEDLVRYFLNSISIFSHVAGRSVSSLGLIRGAADADADACGLLTVRPHEAAMSAVPLLRRAIATETAVAVVDDDGHLVGELSPALLASCHDEAAAATAAAAVATLSVADLMSYIDYCGGSPPEHIVRAIKAGLKSKGLDAMLELVENETASSFSFSSSSSSASSSDDEAHGRAAQRLRRRPSSGSYGRRSTEEPVVCSPASSLVAVMMQALAHRASYLWVLDEDDDCRLAGIVTFADVLRVFREQLQ >LPERR10G11050.1 pep chromosome:Lperr_V1.4:10:12387786:12388359:-1 gene:LPERR10G11050 transcript:LPERR10G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVASAVMVTATPPEDAATPPPALTWLAVSSSPPSPLLARMAPTRTRMPSRRMASSRSRATSASLSACHSPVARDMSASTSNAMAPTTALASNAAPPALDHNGEACH >LPERR10G11060.1 pep chromosome:Lperr_V1.4:10:12397360:12405099:1 gene:LPERR10G11060 transcript:LPERR10G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRSSAAAAADADAGALYQLHLVKAATARAFVPKELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSTEACRHGRKEVGLPSHVATFSQTEAAVLRNKPVVNSSGFLSLLGMRSAISKQGNDREIEISETKGSCTRHLCNISVPLTGPHKYKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPITHEPQECPHGKISSKDSGLLAEPDAQKQTVLVLLSKPILALEFNSLQMHVDAPKIVIPHSNKKDVRPERNSFATRVGSSLPRLRLLLQRRPPVAATHVLQAGNGTPCPHPIPLRRHPSLLGRNRTDGAIQGRRSAGGGGGGKDARHVFDKLLQRGRGASIYSLNSALTDVARGSTVEAVSCFNRMVRAGANKVTPDLATYGILIGCCCREGRLDLCFAALGSVIKKGFRVSAITFNPLLKGLCTKKRTTDAMDIVLRRMTELGCMPNVFSYNILLKGLCHENKSHEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYATYHEMLDQKILPDIVTYNSIIAALCKAQAMDKAMEELKRMVKNGIMPNCVTYNSLIHGYCSAGQSNEAIGILKKMCDDGVKPNVVTYSSLMDCLCKNQRITEAREIFDSMVKKGIKPDVVTCAILLHGYANEGALVEMHDLLDLMVRNGIQPDCRIFSILIGAYIKQDQVDEALLVFSKMREQGLNPDVVSYGTVIDGLCKSGRVDDAVLTFEQMIDEGVTPNIVVYSSLIFEMLDRGISPNTTLFNSIIVNLCMEQRFKESEELFDLMERVGVKPDVITYNTLVDGYCLAGKMDKAMKLLAGMVTAGMDDAFALFREMVSKGVSPDNITYSIILHGLFQTGRTGAAKELYVRIIKRGMHLEVITCNIILHGLCENNLNDDALRIFQNLCLMDVKLETRTFNIMIGALLKDGRNDEAKDLFAALSANGLVPNDWTYRLMAENLIARGFLEELDGLFLSMEDNGCTVDSWMLNCIVQKLLQRGDVTRAGHYLSMIDEKQFSLEASTASLLDEMVLLKNSMSGLSKGECILKLTHATGLCKNTLTDDALRIFQNLCLMDVKLETRTFNIMIGALLKDDRNDEAKDLFAALSANGLAPDVVSYTLMIEGLIEHGLLEESDNVFLSVDKNGCVPNSRMLNCVVRKLLHKGELGRAGVYLSKIDQNNFPLEASTAELLILLISGGKYNLLVKALPEKYHSLVKSRAV >LPERR10G11060.2 pep chromosome:Lperr_V1.4:10:12396972:12405099:1 gene:LPERR10G11060 transcript:LPERR10G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRSSAAAAADADAGYGHGPPWVFRGRQVSLSISPSPGDLSWLIRSDLIGSWWVGSALYQLHLVKAATARAFVPKELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSTEACRHGRKEVGLPSHVATFSQTEAAVLRNKPVVNSSGFLSLLGMRSAISKQGNDREIEISETKGSCTRHLCNISVPLTGPHKYKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPITHEPQECPHGKISSKDSGLLAEPDAQKQTVLVLLSKPILALEFNSLQMHVDAPKIVIPHSNKKDVRPERNSFATRVGSSLPRLRLLLQRRPPVAATHVLQAGNGTPCPHPIPLRRHPSLLGRNRTDGAIQGRRSAGGGGGGKDARHVFDKLLQRGRGASIYSLNSALTDVARGSTVEAVSCFNRMVRAGANKVTPDLATYGILIGCCCREGRLDLCFAALGSVIKKGFRVSAITFNPLLKGLCTKKRTTDAMDIVLRRMTELGCMPNVFSYNILLKGLCHENKSHEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYATYHEMLDQKILPDIVTYNSIIAALCKAQAMDKAMEELKRMVKNGIMPNCVTYNSLIHGYCSAGQSNEAIGILKKMCDDGVKPNVVTYSSLMDCLCKNQRITEAREIFDSMVKKGIKPDVVTCAILLHGYANEGALVEMHDLLDLMVRNGIQPDCRIFSILIGAYIKQDQVDEALLVFSKMREQGLNPDVVSYGTVIDGLCKSGRVDDAVLTFEQMIDEGVTPNIVVYSSLIFEMLDRGISPNTTLFNSIIVNLCMEQRFKESEELFDLMERVGVKPDVITYNTLVDGYCLAGKMDKAMKLLAGMVTAGMDDAFALFREMVSKGVSPDNITYSIILHGLFQTGRTGAAKELYVRIIKRGMHLEVITCNIILHGLCENNLNDDALRIFQNLCLMDVKLETRTFNIMIGALLKDGRNDEAKDLFAALSANGLVPNDWTYRLMAENLIARGFLEELDGLFLSMEDNGCTVDSWMLNCIVQKLLQRGDVTRAGHYLSMIDEKQFSLEASTASLLDEMVLLKNSMSGLSKGECILKLTHATGLCKNTLTDDALRIFQNLCLMDVKLETRTFNIMIGALLKDDRNDEAKDLFAALSANGLAPDVVSYTLMIEGLIEHGLLEESDNVFLSVDKNGCVPNSRMLNCVVRKLLHKGELGRAGVYLSKIDQNNFPLEASTAELLILLISGGKYNLLVKALPEKYHSLVKSRAV >LPERR10G11060.3 pep chromosome:Lperr_V1.4:10:12396972:12405099:1 gene:LPERR10G11060 transcript:LPERR10G11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRSSAAAAADADAGYGHGPPWVFRGRQVSLSISPSPGDLSWLIRSDLIGSWWVGSALYQLHLVKAATARAFVPKELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSTEACRHGRKVSQAMLLHSHRNKPVVNSSGFLSLLGMRSAISKQGNDREIEISETKGSCTRHLCNISVPLTGPHKYKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPITHEPQECPHGKISSKDSGLLAEPDAQKQTVLVLLSKPILALEFNSLQMHVDAPKIVIPHSNKKDVRPERNSFATRVGSSLPRLRLLLQRRPPVAATHVLQAGNGTPCPHPIPLRRHPSLLGRNRTDGAIQGRRSAGGGGGGKDARHVFDKLLQRGRGASIYSLNSALTDVARGSTVEAVSCFNRMVRAGANKVTPDLATYGILIGCCCREGRLDLCFAALGSVIKKGFRVSAITFNPLLKGLCTKKRTTDAMDIVLRRMTELGCMPNVFSYNILLKGLCHENKSHEALELLHMMADDGGDCPPDVVSYNTVIDGFFKEGDLDKAYATYHEMLDQKILPDIVTYNSIIAALCKAQAMDKAMEELKRMVKNGIMPNCVTYNSLIHGYCSAGQSNEAIGILKKMCDDGVKPNVVTYSSLMDCLCKNQRITEAREIFDSMVKKGIKPDVVTCAILLHGYANEGALVEMHDLLDLMVRNGIQPDCRIFSILIGAYIKQDQVDEALLVFSKMREQGLNPDVVSYGTVIDGLCKSGRVDDAVLTFEQMIDEGVTPNIVVYSSLIFEMLDRGISPNTTLFNSIIVNLCMEQRFKESEELFDLMERVGVKPDVITYNTLVDGYCLAGKMDKAMKLLAGMVTAGMDDAFALFREMVSKGVSPDNITYSIILHGLFQTGRTGAAKELYVRIIKRGMHLEVITCNIILHGLCENNLNDDALRIFQNLCLMDVKLETRTFNIMIGALLKDGRNDEAKDLFAALSANGLVPNDWTYRLMAENLIARGFLEELDGLFLSMEDNGCTVDSWMLNCIVQKLLQRGDVTRAGHYLSMIDEKQFSLEASTASLLDEMVLLKNSMSGLSKGECILKLTHATGLCKNTLTDDALRIFQNLCLMDVKLETRTFNIMIGALLKDDRNDEAKDLFAALSANGLAPDVVSYTLMIEGLIEHGLLEESDNVFLSVDKNGCVPNSRMLNCVVRKLLHKGELGRAGVYLSKIDQNNFPLEASTAELLILLISGGKYNLLVKALPEKYHSLVKSRAV >LPERR10G11060.4 pep chromosome:Lperr_V1.4:10:12389377:12397048:1 gene:LPERR10G11060 transcript:LPERR10G11060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFPTRARTGGVARSERTTRDRGGQVGSGGTEEDARHVFDELLQRGWGASIYSLNRALTDVARGSPAEAVSCFNRMVRAGADKVTPDLYTYGILIGCCCRAGRLELGFAAFGNVIKKGFRVEAITFTLLKGLCTMKRTSDAMDIVLRRMTELGCMPNVFSYSILLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYSTVIDGFFKEGDRDKVYATYHEMLDQKILPDIVTYNSIIASLCKAQAMDKAMEELKRMVKNGIMPNCVTYNSLIHGYCSAGQSNEAIGILKKMCDDGVKPDVVTYNSLMDYFCKDQRITEAREIFDSMVKNGIKPNVVTCFMGMLMKELFILIGAYIKQDQVDEALLVFSKMREQRLNPDVVSYGTVIDGLCKSGRVDDAVLIFEQMIDEGVTPDIIVYSSLIYSLCTCDKWDKAEELIFEMLDRGISPDTTFLTSIIVNLCMEQRFTESEKLFGLMKCVGAKPDVITYTALVDGYCLAGKMDKAMKLLASMVTAGVKPDTVTYSSLINGYCKIGRMDDAFALFREMVRKGVSPDNIIYNIILQGLFQTGRTGAAKELYVRIIKRGMHLEIRTCTIILQGLCENNLNDDALRMFQKLQLMDLQLETRTFNIMIGALLKDGRNDDAKDLFAALPANGLVPNDWTYRLMAENLIERGFLEELDGLFLSMEENGCTVDSRMLNWIVQKLLERGDVPRAGHYLSMIDEKQFSLEASTASLLIDLLSGGKYQEYHGFLPEKYKSFIEPLNAISDSIHEMGPSGMSPNADGISHPHPLN >LPERR10G11080.1 pep chromosome:Lperr_V1.4:10:12415913:12420048:1 gene:LPERR10G11080 transcript:LPERR10G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASLKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNSLSEIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDSSTAADLAGSTWRITRCTRLLRQLSTAAISSASPPVLRRLSSSWKVRERDSCFGKIRFSRRTMSSMTRPARACVGSSSRILVAAAAAAMAEVMPRWRTDE >LPERR10G11080.2 pep chromosome:Lperr_V1.4:10:12415913:12419874:1 gene:LPERR10G11080 transcript:LPERR10G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASLKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNSLSEIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >LPERR10G11080.3 pep chromosome:Lperr_V1.4:10:12415913:12420446:1 gene:LPERR10G11080 transcript:LPERR10G11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASLKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNSLSEIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >LPERR10G11090.1 pep chromosome:Lperr_V1.4:10:12419158:12420011:-1 gene:LPERR10G11090 transcript:LPERR10G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATRILELDPTHARAGRVIDDIVRLEKRIFPKHESLSRTFHDELKRRNTGLIYSAAADDDDEVIGYAMYTCATSLCASITKLAVRESRRRQGHGEALLMAAVESCRRRRVQRVILHVDPARSAAVELYRKVGFQIDATVFGYYAPHRDAYLSAIADCKYICCESVRQFD >LPERR10G11100.1 pep chromosome:Lperr_V1.4:10:12420518:12423360:1 gene:LPERR10G11100 transcript:LPERR10G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVFAGKCGWRDVGEGESVDEFEKRVLGGGGGGSDNNNLFGKLDGYERPCGGFNNWGSMGGFRDRGNSRSVVEELGMGYNSLDDGMDDRLDEASRTFHMTEEVEDEDYDFRPDVNFRRGSTYNVRDLDLTRSAAAKNPTRPQFQTTTEEVLKKADFRVEAGIIIKRSQTRISAKAQHKVAREIKTARALGLLPFTTMGQRPFIPGRSVEVNLSEEEYGYDFVDQKEGKLDENENVDDTVPGMETA >LPERR10G11100.2 pep chromosome:Lperr_V1.4:10:12420518:12423360:1 gene:LPERR10G11100 transcript:LPERR10G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVFAGKCGWRDVGEGESVDEFEKRVLGGGGGGSDNNNLFGKLDGYERPCGGFNNWGSMGGFRDRGNSRSVVEELGMGYNSLDDGMDDRLDEASRTFHMTEEVEDEDYDFRPDVNFRRGSTYNVRDLDLTRSAAAKNPTRPQFQTTTEEVLKKADFRTRISAKAQHKVAREIKTARALGLLPFTTMGQRPFIPGRSVEVNLSEEEYGYDFVDQKEGKLDENENVDDTVPGMETA >LPERR10G11110.1 pep chromosome:Lperr_V1.4:10:12424921:12433605:1 gene:LPERR10G11110 transcript:LPERR10G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGGPVDIREIAAKATLRDVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHTRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLVLDSSSQNNRELALVPVNDSEVTSRWRDDSGSRNGTRGAHRGANAHANGRVGFVTGGHVLSNHTGSDGPLVIPGVLLKDVVSDLPVHLLGHGNIAYRFWEASQGGKRISKIWCAWVGQDGSHGLDGCTTYEQSDFAIVNFSYTYELGRKLSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQSSSAHDNSQAIITGSPTCTTHNLQIGILSSKSMRRELRKQERLASEKACDICGRPMLAEKDVATLLNCRTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIANKGKRRGRKAKNAPKKKITSILCPECQGTGIHVEGDELEKPTISLSEMFCYKLKAIEAHKAWMKAPEVLENCSTGLHFTAEHIENSAEQEVMPLKSLAFYAADV >LPERR10G11110.2 pep chromosome:Lperr_V1.4:10:12424876:12433605:1 gene:LPERR10G11110 transcript:LPERR10G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGGPVDIREIAAKATLRDVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHTRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLVLDSSSQNNRELALVPVNDSEVTSRWRDDSGSRNGTRGAHRGANAHANGRVGFVTGGHVLSNHTGSDGPLVIPGVLLKDVVSDLPVHLLGHGNIAYRFWEASQGGKRISKIWCAWVGQDGSHGLDGCTTYEQSDFAIVNFSYTYELGRKLSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQSSSAHDNSQAIITGSPTCTTHNLQIGILSSKSMRRELRKQERLASEKACDICGRPMLAEKDVATLLNCRTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIANKGKRRGRKAKNAPKKKITSILCPECQGTGIHVEGDELEKPTISLSEMFCYKLKAIEAHKAWMKAPEVLENCSTGLHFTAEHIENSAEQEVMPLKSLAFYAADV >LPERR10G11110.3 pep chromosome:Lperr_V1.4:10:12424876:12433599:1 gene:LPERR10G11110 transcript:LPERR10G11110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGGPVDIREIAAKATLRDVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHTRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLVLDSSSQNNRELALVPVNDSEVTSRWRDDSGSRNGTRGAHRGANAHANGRVGFVTGGHVLSNHTGSDGPLVIPGVLLKDVVSDLPVHLLGHGNIAYRFWEASQGGKRISKIWCAWVGQDGSHGLDGCTTYEQSDFAIVNFSYTYELGRKLSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQSSSAHDNSQAIITGSPTCTTHNLQIGILSSKSMRRELRKQERLASEKACDICGRPMLAEKDVATLLNCRTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIANKGKRRGRKAKNAPKKKITSILCPECQGTGIHVEGDELEKPTISLSEMFCYKLKAIEAHKAWMKAPEVLENCSTGLHFTAEHIENSAEQEVMPLKSLAFYAADV >LPERR10G11120.1 pep chromosome:Lperr_V1.4:10:12433913:12440235:1 gene:LPERR10G11120 transcript:LPERR10G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLRLLLRRSDGGGLLRRLSSAAAVESASPSPPPPQQEGSSVSAAEETASRSPPPPQRQKDSLFRRVAALADPRLPLAPVLEQWSLAEQRPVAKPDLQSIVKYLCQRRRFAQALEMSMWMTERRHLHLSPGDVAYRLDLISKVHGLEKAVEYFESMPNQLKQQQSYGSLLKCYTEAKCVEKAEELFEKMRGMGIAGAYAYNVMMRLYLENGQIERVHSMHQAMEESGITPDIFTIDALVAACVAAEDIGAIEKVLAKADSCNDELMGWHSYASVGKLFMKAGMQERALQAFQESEKKIAKKNNRVAYGFLLNMYADLGMNSEADRIWDVYKSRVPASACNSMYMCRISVLLKMNDLVGAEKAYEEWESKHVYHDPRLINILLTAYCKEGLMEKAEALIDQFIKKGRTPFANTWYKLAAGYFKVGEVLKAVGFTKKALASASNGWIPDLTNVLMSLNYFTEQKNVGEAEEMASLLQRLVPLTRDVYHGLLKTYVNAGKPVSDLLNRMKKDGIEADEETDKILAGEAH >LPERR10G11120.2 pep chromosome:Lperr_V1.4:10:12433913:12436985:1 gene:LPERR10G11120 transcript:LPERR10G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLRLLLRRSDGGGLLRRLSSAAAVESASPSPPPPQQEGSSVSAAEETASRSPPPPQRQKDSLFRRVAALADPRLPLAPVLEQWSLAEQRPVAKPDLQSIVKYLCQRRRFAQALEMSMWMTERRHLHLSPGDVAYRLDLISKVHGLEKAVEYFESMPNQLKQQQSYGSLLKCYTEAKCVEKAEELFEKMRGMGIAGAYAYNVMMRLYLENGQIERVHSMHQAMEESGITPDIFTIDALVAACVAAEDIGAIEKVLAKADSCNDELMGWHSYASVGKLFMKAGMQERALQAFQESEKKIAKKNNRVAYGFLLNMYADLGMNSEADRIWDVYKSRVPASACNSMYMCRISVLLKMNDLVGAEKAYEEWESKHVYHDPRLINILLTAYCKEGLMEKAEALIDQFIKKGRTPFANTWYKLAAGYFKVGEVLKAVGFTKKALASASNGWIPDLTNVLMSLNYFTEQKNVGEAEEMASLLQRLVPLTRDVYHGLLKTYVNAGKPVSDLLNRMKKDGIEADEETDKILAGEAH >LPERR10G11120.3 pep chromosome:Lperr_V1.4:10:12433913:12435749:1 gene:LPERR10G11120 transcript:LPERR10G11120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLRLLLRRSDGGGLLRRLSSAAAVESASPSPPPPQQEGSSVSAAEETASRSPPPPQRQKDSLFRRVAALADPRLPLAPVLEQWSLAEQRPVAKPDLQSIVKYLCQRRRFAQALEMSMWMTERRHLHLSPGDVAYRLDLISKVHGLEKAVEYFESMPNQLKQQQSYGSLLKCYTEAKCVEKAEELFEKMRGMGIAGAYAYNVMMRLYLENGQIERVHSMHQAMEESGITPDIFTIDALVAACVAAEDIGAIEKVLAKADSCNDELMGWHSYASVGKLFMKAGMQERALQAFQESEKKIAKKNNRVAYGFLLNMYADLGMNSEADRIWDVYKSRVPASACNSMYMCRISVLLKMNDLVGAEKAYEEWESKHVYHDPRLINILLTAYCKEGLMEKAEALIDQFIKKGRTPFANTWYKLAAGYFKVGEVLKAVGFTKKALASASNGWIPDLTNVLMSLNYFTEQKNVGEAEEMASLLQRLVPLTRDVYHGLLKTYVNAGKPVSDLLNRMKKDGIEADEETDKILAGEAH >LPERR10G11130.1 pep chromosome:Lperr_V1.4:10:12444797:12449449:1 gene:LPERR10G11130 transcript:LPERR10G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRAAAQRLSSAAAAGATPAYGLRRRFLQEQTAFRSSTAAGVPPDRRHGLIPLADRIRDLGVAFPRINLDGLVPPAPSAREDSSPSPVGVGLTVEEARKVLRATQMEAARARLRASGAGAVPYAEFLRLCCDAAGPDSGASVARALDESGSVIVLGKTVFLRPEMVVKAIEKVIPITQSPPVAEDNPAREELKAMEAQKVDIDRTAVLQVRRELWMGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFAAKQKRLMHARDFDLRRYDELRRACGLPALRSPSPCISTSAQESHCHSYCHCQ >LPERR10G11140.1 pep chromosome:Lperr_V1.4:10:12453197:12457053:-1 gene:LPERR10G11140 transcript:LPERR10G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNFHLQASTAELLVLLASDGKYDQCMKFLPEKYRLGKSMEYFVHYHRRHQTPAPEKLEACGQQAIPNSFVFSD >LPERR10G11150.1 pep chromosome:Lperr_V1.4:10:12457113:12459509:-1 gene:LPERR10G11150 transcript:LPERR10G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAPALSGARAAGVPRSEGAAATQGRGGGGGSAGGRGGEDARQVFDELLERGRGALISALNRALTAVARDSPAAAVTCYSRMARAGANKVNPDLGTHNILIGCCGRAGRLDLGFAAFGIVIKKGFRADAFTMNTLLKGLCAEKRTNDAMDIVRRMAKLGCMPNIISYNIILKGLCDENRSQEAFELLHTMADDGGVGCQPNVFSYSTVIDGLFKEGDVDNAYRTYNEMQDQRVLPNVVTYSSIIAALSKTRAMDKAMGVLAVMVKNGIMPNRITYSSLVHGYCSSGQPKKAIEIFKKMCTDGVEPDVVTYSSLMDHLCKNGKCTEARRIFDSMVKRGLKPNISTYSTLLHGYSSQGALAEMHDLLDLMVQNGLQPDHHVFNILICAYIKEDKVDEAMVVFSKMKQQGLTPNAVNYGTLFDGLYKSGRLDDATLNFKQTIDSGVTPNIIVYTSLIHGLCTCDKWEKAEELISEMLNQGINTNVVFFNIILNILCKEGKCIESKNIFNLMASSGVKPDIYTYNTLIDGYVLNRKMDKAMKLLSSMVSVGVKPDTFTYSSLINGYCKISRIEEALALFREMESNGVSPNIVVYNIILQGLFQTGRTAAGKELYLKIIKSGMQLELCTYNTILQGLWRNNFSDDALQMFRNLCLMNVKLETRTFNIMIGALLRCGRKDEAMDLFASLSAHGLAPDNYTYRLMVKYLIEQGLLEELDDLFLSMEENGCTADSRTLNCIVQKLLQKGEVGMSGVYLSKMDQNNFHLEASTAELLVLLASDRKYDQCMKFLPEKYRLGKFMAV >LPERR10G11160.1 pep chromosome:Lperr_V1.4:10:12461717:12464091:1 gene:LPERR10G11160 transcript:LPERR10G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSAILVGMPPSPPPPTVAFMARRGRFCRPVMACGVGGGGKEEDEKEKQMLPSMAAMPASLRAIQAKRKLEAVRRGAAPRAAGTSAVAALVAAVQGAAAAGGAASSDAMAWVIRKAHLDSPDLAVGLLGLVASCLATVLHSEIHRLKSNPSSAFASSEPAGDGDGGDTTDQVIIDDADAEMPELVELDMETELWSRIGIMHRDAAADETPAFVDDEDGVQEIIDIARVHRRKASYERIIATAAADVNSLILSNYAQLLYQFDKDLDRAEEYFKQAVAATPVDGEAMRRYALFMWHARGDHVGAEEMFTRAIDEEPESTQHRSSYAWFLWMTGGVETCLIDSSNDSSAE >LPERR10G11170.1 pep chromosome:Lperr_V1.4:10:12463870:12465734:-1 gene:LPERR10G11170 transcript:LPERR10G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSCLASPPSATAASRLLRVRLPAPAPRAAARVVAACAAGTGGGSGSEAAGLFAGGAKAVGGFACGVLAAWAIASSSSPVNAATQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFKNTVLSGSTFDDAKMEDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >LPERR10G11180.1 pep chromosome:Lperr_V1.4:10:12467596:12467862:1 gene:LPERR10G11180 transcript:LPERR10G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNGIGGGAYEKLEGYAIWVGASVVSAFFASMERCSCIHLHTADDDGDDYDPEEAKDRPLMLSRPQALPEYYYDRTASSASFAKM >LPERR10G11190.1 pep chromosome:Lperr_V1.4:10:12469649:12469918:-1 gene:LPERR10G11190 transcript:LPERR10G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLPRTRRRIMLLFFLAWLLLAELAGFSNGRRMMEDKGDGSHVKASSEEQLYELPGTRGRPLVNAPSPAYEASERPVPQGSNPLHNR >LPERR10G11200.1 pep chromosome:Lperr_V1.4:10:12471494:12471703:-1 gene:LPERR10G11200 transcript:LPERR10G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSYGRRIILDLDVMAVDGGSPPAKGGGLSRLQIPPSASRQHLGNGYRSMHVVSKRLVPQGPNPLHN >LPERR10G11210.1 pep chromosome:Lperr_V1.4:10:12474265:12477207:-1 gene:LPERR10G11210 transcript:LPERR10G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSATAAAAAFAAAKTRSGSSAAAACPRVAAGGRRRSGVVRCDAGVEAQAQAVAKAASVAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHTEVLPSISDAMGGVRLFVDISVPRNVSACVSDVGHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLTKKMRRSIEELSTSIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >LPERR10G11220.1 pep chromosome:Lperr_V1.4:10:12482200:12484236:-1 gene:LPERR10G11220 transcript:LPERR10G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSAACARPAAAASPAVRRRGAASSSVYLPARRRVSGSVVRCSAGPAGAGISKKVAELWAAAKSASPVVVIAAVAGAAVVYKVGSSLLAPPPPARRMEEQVPPAPEPVQVGEITEEELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLGPLELAALEDWEFKFSSKYVKVGTIKKAVIVEQGGDSTSDAVEEVTIDGEDSILTASANMSTQSRYEEEIEGYSDDS >LPERR10G11230.1 pep chromosome:Lperr_V1.4:10:12485223:12487592:-1 gene:LPERR10G11230 transcript:LPERR10G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVSGIFAAPPPPPPRPREEPEAEPLPPPVQLGEVSEEELKQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMGKYVKVGTVKKTVPVEDGNTSTSPEATETAAAAEPEKVPTTEGKPREVSTEEVKEKEEAAVAAPDESAKESETCFARPHSPKA >LPERR10G11240.1 pep chromosome:Lperr_V1.4:10:12489082:12492800:-1 gene:LPERR10G11240 transcript:LPERR10G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPHPQIPHASASSSAADFVADADADGGILLLLWSCGVWAPRISQIMGMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWNTLDFGLLKSNYIQTRASPFIWVDHRSDKRLARILRLAMAISCGNVSCMIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKLGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMVNLEILNISHCLLLETVATGRKQIIHELDDQTLEKASRLREFHHCQNRSCLACQRMMADEGIMRWYRYEDWFWRRDEVRSLDLQDYGKLFDAECEKLTAVD >LPERR10G11240.2 pep chromosome:Lperr_V1.4:10:12489082:12490404:-1 gene:LPERR10G11240 transcript:LPERR10G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWNTLDFGLLKSNYIQTRASPFIWVDHRSDKRLARILRLAMAISCGNVSCMIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKLGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMVNLEILNISHCLLLETVATGRKQIIHELDDQTLEKASRLREFHHCQNRSCLACQRMMADEGIMRWYRYEDWFWRRDEVRSLDLQDYGKLFDAECEKLTAVD >LPERR10G11250.1 pep chromosome:Lperr_V1.4:10:12496347:12504565:-1 gene:LPERR10G11250 transcript:LPERR10G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRSSLLLLHHHCGCSSAPPQPLAAPIPSRHPLLRPPPPSRPFHLLVPRAAMAGVYEEVLGCLASLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFTRYFWWCWNRLKDKTGDDIPMPAYFRFLALLAFKIFSDEKVDVAVLEVGLGGKYDATNVVKSPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRATELGIPLQVVEPLDLHQLDDQPLGLHGEHQYMNAGLAVALVNTWLQNQGHFKIHAKHYVTLPDQFIKGLSSACLQGRAQIVPDIEVLSKDNSSLIFYLDGAHSPESMEICARWFSCVTKKDEEQPGSLDQPHVSSNSRKILLFNCMSVRDPQRLLPRLLATCAQNGIHFDQALFVPNQSQYNKLGSHASPPSERAQIDLSWQLSLQRVWEGLLHSNKDLNGANSSSTSSVFESLPLAVKWLRETAQQNQSTTFQVLVTGSLHLVGDVLRLLKKHIPTENTRMTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFKCSHCKGKLSPKIPNKLSSLFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTYFKCAHGGCLLTTATYASHNGILHCQNHFWQLFKKTGSYDNLKPAAAKNTGESEVAVEKKEDPETETANKEEASREQVAEAVVDLEHS >LPERR10G11250.2 pep chromosome:Lperr_V1.4:10:12496347:12504565:-1 gene:LPERR10G11250 transcript:LPERR10G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRSSLLLLHHHCGCSSAPPQPLAAPIPSRHPLLRPPPPSRPFHLLVPRAAMAGVYEEVLGCLASLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFTRYFWWCWNRLKDKTGDDIPMPAYFRFLALLAFKIFSDEKVKSPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRATELGIPLQVVEPLDLHQLDDQPLGLHGEHQYMNAGLAVALVNTWLQNQGHFKIHAKHYVTLPDQFIKGLSSACLQGRAQIVPDIEVLSKDNSSLIFYLDGAHSPESMEICARWFSCVTKKDEEQPGSLDQPHVSSNSRKILLFNCMSVRDPQRLLPRLLATCAQNGIHFDQALFVPNQSQYNKLGSHASPPSERAQIDLSWQLSLQRVWEGLLHSNKDLNGANSSSTSSVFESLPLAVKWLRETAQQNQSTTFQVLVTGSLHLVGDVLRLLKKHIPTENTRMTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFKCSHCKGKLSPKIPNKLSSLFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTYFKCAHGGCLLTTATYASHNGILHCQNHFWQLFKKTGSYDNLKPAAAKNTGESEVAVEKKEDPETETANKEEASREQVAEAVVDLEHS >LPERR10G11250.3 pep chromosome:Lperr_V1.4:10:12496347:12504565:-1 gene:LPERR10G11250 transcript:LPERR10G11250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRSSLLLLHHHCGCSSAPPQPLAAPIPSRHPLLRPPPPSRPFHLLVPRAAMAGVYEEVLGCLASLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFHTGLFTSPHLMDVRERFRLDGYSFNLPLCHTDKTGDDIPMPAYFRFLALLAFKIFSDEKVDVAVLEVGLGGKYDATNVVKSPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRATELGIPLQVVEPLDLHQLDDQPLGLHGEHQYMNAGLAVALVNTWLQNQGHFKIHAKHYVTLPDQFIKGLSSACLQGRAQIVPDIEVLSKDNSSLIFYLDGAHSPESMEICARWFSCVTKKDEEQPGSLDQPHVSSNSRKILLFNCMSVRDPQRLLPRLLATCAQNGIHFDQALFVPNQSQYNKLGSHASPPSERAQIDLSWQLSLQRVWEGLLHSNKDLNGANSSSTSSVFESLPLAVKWLRETAQQNQSTTFQVLVTGSLHLVGDVLRLLKKHIPTENTRMTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFKCSHCKGKLSPKIPNKLSSLFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTYFKCAHGGCLLTTATYASHNGILHCQNHFWQLFKKTGSYDNLKPAAAKNTGESEVAVEKKEDPETETANKEEASREQVAEAVVDLEHS >LPERR10G11260.1 pep chromosome:Lperr_V1.4:10:12510923:12513042:1 gene:LPERR10G11260 transcript:LPERR10G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALKFTVRRKPAELVAPASATPRELKRLSDIDDQDGLRFHIPVIQFYRRRLSAAGGWRDPAPVIRAAVAAALVPYYPLAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEQFGDAMQPPFPCLEELVFDVPGSSEVLGSPLMLFQVTRLACGGFILAVRLHHTMADAQGLVQFLAAVAEIARGGAPSMTPVWGREALMARTPPRPAFAHREYDEVADTKGTIIPLDSMSHRSFFFGPREVAAVRSHLSPETRRRATTFEVLTGCLWKCRTAALSPDNDEVMRMICIVNARGDKSGAGIPNGYYGNAFAFPVAVSTAGELIARPISHAVELVSAAKREVNVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFLIPFKNGKGEDGILVPMCLPGPAMDKFVKEMEKLMKPAAVDVDMFAMIKSAL >LPERR10G11270.1 pep chromosome:Lperr_V1.4:10:12514679:12515071:1 gene:LPERR10G11270 transcript:LPERR10G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRRLVFAVAVVAAAAIVSFCAAGEAAALPGRRMLLQAPGQAWTPVPDAGDPHIQELGNWAVSKHDRVAGDGLVFQQVSRAESQEVIGVDYRLHINAGGGGGGGAAFVAVVWESSESGMRKLISFDAE >LPERR10G11280.1 pep chromosome:Lperr_V1.4:10:12516869:12525360:1 gene:LPERR10G11280 transcript:LPERR10G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERDRLGLRGLLPPRVMSFEQQYDRFIKSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNAKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAIHARWPKAVIQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLTDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKVRNGLDPAVARFARGFGPDEIRDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTSKAECTPEDVFKYVGENAIFASGSPFSNVTLGNGRKGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECLASYITEDEIRRGIIFPSISSIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTESDTVDYVARKMWYPIYSPLVNDK >LPERR10G11280.2 pep chromosome:Lperr_V1.4:10:12516869:12525360:1 gene:LPERR10G11280 transcript:LPERR10G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTERDRLGLRGLLPPRVMSFEQQYDRFIKSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNAKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAIHARWPKAVIQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLTDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKVRNGLDPAVARFARGFGPDEIRDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTSKAECTPEDVFKYVGENAIFASGSPFSNVTLGNGRKGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECLASYITEDEIRRGIIFPSISSIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTESDTVDYVARKMWYPIYSPLVNDK >LPERR10G11290.1 pep chromosome:Lperr_V1.4:10:12517104:12517420:-1 gene:LPERR10G11290 transcript:LPERR10G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSEQDENHEIDQGDEEVEKGMRVPALLNHGSWRMSVPRLWTMQGPGTAAPAPAAGADEDESSAAPPPLLPRICADNERRAA >LPERR10G11300.1 pep chromosome:Lperr_V1.4:10:12521516:12525091:-1 gene:LPERR10G11300 transcript:LPERR10G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGFCYLDIWNLVSNNAVRGFGGNHGVHSACIYYHKYRNWPFCLWGELNDTEKWTRLKSCLVKSDDCNGLSRRYKTLKQYKVADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNIDCKLYKNARSVLCYDCDSCKAGVAQYMKAEWRVVAIFSVILFIILSTVYFVGCCARRNAGGSDSKVPSIHIAASLGQIFVNSGTDDCSADTCGDMPDTAIHDGMLIRNSGMAGSWYRGLSLQWHRV >LPERR10G11300.2 pep chromosome:Lperr_V1.4:10:12521516:12525091:-1 gene:LPERR10G11300 transcript:LPERR10G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGIYYHKYRNWPFCLWGELNDTEKWTRLKSCLVKSDDCNGLSRRYKTLKQYKVADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNIDCKLYKNARSVLCYDCDSCKAGVAQYMKAEWRVVAIFSVILFIILSTVYFVGCCARRNAGGSDSKVPSIHIAASLGQIFVNSGTDDCSADTCGDMPDTAIHDGMLIRNSGMAGSWYRGLSLQWHRV >LPERR10G11310.1 pep chromosome:Lperr_V1.4:10:12525919:12527785:-1 gene:LPERR10G11310 transcript:LPERR10G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAARSAGSPAVAGDRKGVREGRGGARQGRLRQVTGEEHGELARSAAKTATAVSGDRRGTGRRRRREQNMDHVYVVSICV >LPERR10G11320.1 pep chromosome:Lperr_V1.4:10:12527175:12530637:1 gene:LPERR10G11320 transcript:LPERR10G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPFQSNFTFCSLLRLLPVPLRSPETAVAVFAALLASSPCSSPVTCRSRPCRAPPRPSRTPFRSPATAGLPALLAAPIIGRSSAWTDLETAGAANCQFPPLLLLNLAGVLAEPEVQNHLRQDGTLQRAIQVGLWIPQYRHACSTKSHCCSRTRPPRRRGSPRS >LPERR10G11330.1 pep chromosome:Lperr_V1.4:10:12529718:12534946:-1 gene:LPERR10G11330 transcript:LPERR10G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYASGGGGGGGRGNGGGGGGFGGGGGGGGGGGGGNHNYYGGRGPQPQQQHAQRNSSSPQQHQQQQWLRRDQASAAAASGEAAAARTAPQLDAVDTSSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLAGTPGRILDLTRKGICVLKDCSMLIMDEADKLLAPEFQPSVEQLIRFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAMYIAPHQGFIWCRDDISDLISH >LPERR10G11340.1 pep chromosome:Lperr_V1.4:10:12536699:12538513:-1 gene:LPERR10G11340 transcript:LPERR10G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPETTKDIAEERAAVPAPEESKPMTVVEDAEKAAVTGDSHERDAHLAKVATEKRISLIKAWEENEKAKADNKAAKKLADITSWENSKVAEIEAEIKKYQEYLERKKAEQVEKLKNSVATVHRAAEEKRAAAEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >LPERR10G11340.2 pep chromosome:Lperr_V1.4:10:12536699:12538004:-1 gene:LPERR10G11340 transcript:LPERR10G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPETTKDIAEERAAVPAPEESKPMTVVEDAEKAAVTGDSHERDAHLAKVATEKRISLIKAWEENEKAKADNKAAKKLADITSWENSKVAEIEAEIKKYQEYLERKKAEQVEKLKNSVATVHRAAEEKRAAAEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >LPERR10G11350.1 pep chromosome:Lperr_V1.4:10:12544910:12545188:1 gene:LPERR10G11350 transcript:LPERR10G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYISEEYVAKRRAEKRAAAAAAARIIAGDGDDGKAPRSTAGGGGGENRRQMRWTAAWSEKGTKGSGGGHFVGGGAVVVEDDVMLSYFSP >LPERR10G11360.1 pep chromosome:Lperr_V1.4:10:12545632:12547878:-1 gene:LPERR10G11360 transcript:LPERR10G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAPAKAARALAACAAGMVLLWCVHFRGGLALGSPTNKGLIFNVHPVFMLIGFIILGSEAIMSYKILPWGHDTNKMIHLLLHAVALLLGSIGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGLQWIFGFVTFFFPGASPTLRRTALPWHVRAGLFVYILSLLAAELGFLEKLTFLQAGGLGKYSSEALVVNFTALLVILLGSTVVLYVTAPVHGEHSHGYSAVHKS >LPERR10G11370.1 pep chromosome:Lperr_V1.4:10:12561429:12566767:1 gene:LPERR10G11370 transcript:LPERR10G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGKAKAVFKLLFHATQVPEVGWEAMMVVVTPREVGRPTARTESAAVADGACQWTAPLFEATKLPSSGKDKIYQFLVYETGSSKAALLGEAMVNLAEYAEAFKPWVVTLPLKGGASSVPGGAQAQLHVTIQRVVGGAAGGCADDVSESGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAVADAMRPMQDGLAISKPPGMRFASRRNMHLHADPVSLLHNSSSFDAISVSGSDGSSGRFTPKNNSSMHNTFLQEGTNPLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLRDAEDDVEKLRSEIATLTRKCDVSDMELQTLRKQIVKESRRGQDLSKEVSSLRDERDALRRECEGLRGMKKKSIHDVNGSGKRLSDGEDPWSQIEELKQELGHEKNLNSDLHVQLQKMQESNSELLLAVKDLDEMLEQKNREISLLHEETVEDPQEAEYELALSNVHKAGHKIDISETSSVQEKEDELMLDALAKTTDGVVTSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVEGLENELQEQSKRLEADIAEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFGANEQLLMQARKEAAELQLQKGQLEELLQQAQEQLGSIQEQHRVKVQQLLTLVDFKSKETDRLVTELKSKNDEFQNQKRCDDAKLAALSEEIDRLKAEIENLSNERDNLFEDNEQKDNELAAHGQKDMLLQERDAEITLLNKELALIKDQLQTYLEEISTLKGSKNEKEEVIEKLQSDIRSSKFEYDNLKLLMSTNESEKHNLASQVLKLRRALETRDDVKQNGIKSDFEDNHHANSKRIKHDDGTTGHRHNANGDCNVHDSTTWSIADRLFLVAMIYQCRDAATHADADQSAKELEVLKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLR >LPERR10G11380.1 pep chromosome:Lperr_V1.4:10:12569891:12570178:-1 gene:LPERR10G11380 transcript:LPERR10G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKWAAAMVAVMVVVVATMMPAAEAQCNAGNLVVCAGAIIGGSAPSATCCSNLRAQSGCFCQYARNPAYASYINSPNARKTLTSCRIAIPRCS >LPERR10G11390.1 pep chromosome:Lperr_V1.4:10:12574783:12577266:1 gene:LPERR10G11390 transcript:LPERR10G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMVMNKHVPEDVGQRNIAAAEEEGGQGQMHRRGGEEKQHKPVLKKVKEKVKKIKKTIAGGGHGGGNNGAGDANGAGAGGEHGGGSSSSGSEEGDDVEDAAAVRMGDDVDQGGYQEDVEDKPVVAMDSDPEVHGAPMYDSAKVPAAERDVGGGGVRLGDIGGDVVEDPAAPNSTTPAPRQGEDIGSTPVVRAFEAMSVSDGDKHVGAGAGKPDEHPMPVSSDVASAGAEEWKDAPTDAAAGENAAATTYTEKIKSAAAGTTEYGKKLATTVYEKVAGVGTVVAGKVQAAGSAIPGVGTGAAATQNTSATAAAAGDQSAASGQQDKGGATTVTGYIADVLRPGDEHRELSQSISGAVQRRKDDVAQRVPAAPPSMAPAQVLAKAREAVTSLAGGARVSETVQPATTTDERNVMADGTVVEEAPVVGGEEIGDPQPKPQPNISMT >LPERR10G11400.1 pep chromosome:Lperr_V1.4:10:12579041:12580582:1 gene:LPERR10G11400 transcript:LPERR10G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGARPPALLRALSASLWSPARRLSGAHAANGGEGRGVGESDGGEVRVGRGGEEVVGEEEAGLDAGRVSPELLLRLPTPGRPETDGDGEDDGENVSPGVGYSSRRRFYEELRVEAERIVRILLQDGPGFNTRQALDEMRPRVSNALVREVLLRIVVSIDSVTRARYPRLAYKFFLWAEEQEGYRHGTSMYNLVLKIFAECGELKAMWRLLEDMTDKGLPVSARTFHLLVCTSGRAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIEQYSLIEWVHQKMISEGHSPDVLTYNVVMRAKYMLGKLDQLHKLLDEMGRNGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPNVLHFTNLIDGLSRAGNLKACKYFFDEMINKGYQPDVVCYTVMITGYVTAGQFDEAQKFFDDMLLRGQLPNVYTYNSMICGLCIIGNFDKACSLLKDMESRGCTPNFRVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRGYRRC >LPERR10G11410.1 pep chromosome:Lperr_V1.4:10:12581999:12583002:-1 gene:LPERR10G11410 transcript:LPERR10G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVILRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >LPERR10G11420.1 pep chromosome:Lperr_V1.4:10:12585038:12594085:1 gene:LPERR10G11420 transcript:LPERR10G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFDEKEMERKLKKDQKAREKEEKRLKAKQKEAIRLRAQAVSDESKKSEKKQRKRGAVDENPEDFVDPETPAGQKKLLASQMAKQYSPSAVEKSWYSWWESSQYFVADASSSKPPFVIIFPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRERNLSRHDLGREKFLSEVLRWKDQHGGTILKQLRTLGASLDWSRECFTMDDNRSKAVTEAFVRLHKEGLIYRDNRIVNWDCSLRTAISDIEVDYFELTEETLLEVPACSTPVQFGALINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYAIHPFNGRKLKIICDAKLVNPSFGTGAVKITPAHDPDDFDTGKRHKLEFITIFTDDGIINENGGPQFKGMPRFSARAAIIDALKAKGLYRDTKSNMMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKSKRIEIIPQQYEQDWYRWLENIHDWCISRQLWWGHRIPAWYVTLEEDEEKEMGHYIDHWIIARNESDAILEAKQRFPGKNYQLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLGFFYPTAVLETGLDILFFWVARMVMMGMQLGGDVPFKKVYLHPIIRDAHGRKMAKCLGNVIDPIDVINGTTLEDLGKKLENGNLDPSELDKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLNIKRVHGYRQWCNKLWNAIRFAMNKLGDQYTPPGNIAVCSMPPVCKWILSVLNKAVGKTISSLEAFKFSEATSSIYSWWQYQLCDVFIEAIKPYFNESEELEPARGACRDTLWLCMDTGLRLLHPFMPYITEELWQRLPQPNEACRKDSIMISEYPSVVQEWTNDQIENEMETVLGVVSKLRSLRPHTDIRERHPAFVLCRGVDVAATIQHYQSQITTLASISSLKILTEDDPIPHDCATNIVNKDLSVYLQLRGAVNTEVEREKLRKKRDEIQRQHDTLSQKMNASGYREKAPQSKQDEDMKRINALLEELEIISEAESELNANH >LPERR10G11430.1 pep chromosome:Lperr_V1.4:10:12592116:12597027:-1 gene:LPERR10G11430 transcript:LPERR10G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPADLRRAVGESTAADLPSTTSRLLAFLQELPLFHQVIGELTDPDLALCRKDKGRAAELKGKGNECFSKKQFEQALGFYSQALRYSPIGSDGTDASLMATLYVNRASTMHKLGLLEECRRDCDRAISVSSNYAKAWYRRGMVNASLKNYSSAIHDLEVALGMEVTSSRKSNIEQELKLILEKHKNVNEIETSSSDHMEAGLPHAEQQCNVSLECISTPNKGRGMTSPNDISPASLIHTEDPLAVIIMKSCRDTHCHYCFSEAPADVVFCPSCTIPIYCSQRCQEKAVGQISWSENTCLESKNIVADIAKLSVTSARCKTPGSKQFAEHRHECGGAHWAAVFPADIILAGRIISRYIEKQLLAGKRTTISGPNLDLVHHYDQDTPASKFETHIYAAVLFLCLRSYYKSGVSWTEDSLSQLVLLICQIKVNSIAIVHIKSMDGGKTSTDNKGFSGSSGAVMCSVEQVRVAQAIYMSGSFFNHSCQPNIHAYFHSRTLVLRSTGYIKAGTPIELSYGPQAGEMDLPERQKSLRENYCFSCGCSSCSVLSLSDLVMNSFRCPQSNCLGAVSELIYHRSKDNFVHDISKADDDMVKAGKLFFKSDTVLNIDPGFCMSCRSQIDLSSAVAMSDEATSKINRLKDLPALDSVPEVLIANALQCLEQIEKLKHPYSKALAQAQDTIAEAFAKIGDQEQARKHCKASIKILEKLYHPRHIIIAHELIKLVSIELSLGDGASAAAAFARADAIFSLYYGPDVKRILPYVDVLRRTVSERSTGSC >LPERR10G11430.2 pep chromosome:Lperr_V1.4:10:12592116:12597027:-1 gene:LPERR10G11430 transcript:LPERR10G11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPADLRRAVGESTAADLPSTTSRLLAFLQELPLFHQVIGELTDPDLALCRKDKGRAAELKGKGNECFSKKQFEQALGFYSQALRYSPIGSDGTDASLMATLYVNRASTMHKLGLLEECRRDCDRAISVSSNYAKAWYRRGMVNASLKNYSSAIHDLEVALGMEVTSSRKSNIEQELKLILEKHKNVNEIETSSSDHMEAGLPHAEQQCNVSLECISTPNKGRGMTSPNDISPASLIHTEDPLAVIIMKSCRDTHCHYCFSEAPADVVFCPSCTIPIYCSQRCQEKAVGQISWSENTCLESKNIVADIAKLSVTSARCKTPGSKQFAEHRHECGGAHWAAVFPADIILAGRIISRYIEKQLLAGKRTTISGPNLDLVHHYDQDTPASKFETHIYAAVLFLCLRSYYKSGVSWTEDSLSQLVLLICQIKVNSIAIVHIKSMDGGKTSTDNKGFSGSSGAVMCSVEQVRVAQAIYMSGSFFNHSCQPNIHAYFHSRTLVLRLVRWIFQRDKSHFERITASVVGVQDISKADDDMVKAGKLFFKSDTVLNIDPGFCMSCRSQIDLSSAVAMSDEATSKINRLKDLPALDSVPEVLIANALQCLEQIEKLKHPYSKALAQAQDTIAEAFAKIGDQEQARKHCKASIKILEKLYHPRHIIIAHELIKLVSIELSLGDGASAAAAFARADAIFSLYYGPDVKRILPYVDVLRRTVSERSTGSC >LPERR10G11440.1 pep chromosome:Lperr_V1.4:10:12598669:12604365:1 gene:LPERR10G11440 transcript:LPERR10G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCCLDIQPAASNANGQVAVPVNPGSRDQERPEMKMNRAALLEVFFASAASGIGTIGFVWATVVLLGGFETDLNQVDFWIFGGDWNTDQKILYGLPLDAVVSYMAFSYSGSPPDLAEEIRRSYNFATSNVEDKPFLLYYAHVKKICKAGRITEAINMTLPALAVGSISSNDQQVRVAAIKILHSDELMSKITEFTEPKISRGVSAMTGDDIQMAKTALTVLSRLAGLSGEQGSMMRQLILRNTFLMSSIREVLQGAESDPRLQEMHMRAMEIVDGFALDIESKHHGSITKVLTLLLNVFRRSQNDEIRLAAGKALARLTIESRVLEEMYQIGDDNLIGEHMGAFLGLIMQFIKLVTAADFNAAVNGNGDIIGNGDSCKKFVQKLKSILEKANRQVTDIHKMHPGIRRFTTELVIWMARADPELHCIHHFVDCGMRGALVEAEQTARRASRQENFKLFSPGGVPVLEYEESLHSLASRALELIPEEPNVQ >LPERR10G11460.1 pep chromosome:Lperr_V1.4:10:12612380:12612856:1 gene:LPERR10G11460 transcript:LPERR10G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLCYIHHTLCKLPTIHIFPGLDRLRSMRRLEIIRCEQLVSMPEDWPPCNMSHLSSKHCPQLLQLPKGLHRLRELEDMEVVVDCEKLTFLPDMKAFTSLERLEISECGSIQSLPSTGLPKKLQFLSINNSCLLSLCCMDLGGAISSLWIDGKLMK >LPERR10G11470.1 pep chromosome:Lperr_V1.4:10:12614965:12623829:1 gene:LPERR10G11470 transcript:LPERR10G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAISRLLWAFRSASYLNISTFPMAAIADLLKKELLQLGNDFNAKQKRLASYVPMIQAVLTGAGKTPLSEQQKIWLTDLKDDDQDKIVKMLLQSDLKRNVEVLPILGEAYIGKTTVAQLIMNDERVSRHFDRTLWVHVSRDFNIERITAYILESLGGGPFHSINFDTLQTNLRGQLQGRRFLLVLDDCWEENWHNWEKLQHPLLNGAVGSKIIVTARSSAVARVLGPSKFYQLRSLPDEDCWLLFRQYAQAYPLNCRLIKEQVIRKCKGIPFIAASLGHKVRLEEDRRKWASILQGEDWSSNSNDFKRALQLSYEQLDSHLKPCFAYSSIVSQKFQFEEEWLIQLWMAQGLIQPNPNSNEPMEDTGRSYFSTLVEQSFFQRAHITGEQHSYCLSWMMHDLALGVSAEECHTMEGSCTLPEQVRHLTVVFRKEIATNLFEKIPRSESLHTLIIMGGSSDFSMNIPDDLGVRFARLRALDFSNFGISDLPESIGKLKHLRCLQLRGTKIKCLPESICSLYNLQTLGLRDCYELSGLPGKISNISKLRHIDLAMTCNPCQYVCSLRCMPKGIGSLTDLQTLSRFVISQRRTDKTDISELANLNNLHGQLIISNLHLVKDSEEALQARLASKQFLKKLELSWGDKVRQTEQILERLNPSSSIEELTISGYSGITCPSWLWSPDYRNLVTMRLYDFKNCNVVPPLGQLPKLENLHLKGWSGLTSMNCSNFCGRSTDAFQSLKKLHFEKLDNFQIWGGSERCAFPALLELVLENCSNLEKLTHYLPSLTKITVEGSLKFDGLWNFPSLKYVNVIASGEWIWKSWGSLSSPISITLCALPTVEFPLGLGWTHPSLQCLEISHCESLKYIPKDWPPRNLNHLSVKHCSQLRELPSGIRRLQALEDIEIIDCPGLTRLPDMDGLTSLLRLEISDCGSILCLPRLPSSVQFLSINKCPQLSSSCKNEHSEDHWKINRIFSVWIDGDQVFSSADEPRFVIPAKLQ >LPERR10G11470.2 pep chromosome:Lperr_V1.4:10:12614965:12623829:1 gene:LPERR10G11470 transcript:LPERR10G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAISRLLWAFRSASYLNISTFPMAAIADLLKKELLQLGNDFNAKQKRLASYVPMIQAVLTGAGKTPLSEQQKIWLTDLKDTNLRGQLQGRRFLLVLDDCWEENWHNWEKLQHPLLNGAVGSKIIVTARSSAVARVLGPSKFYQLRSLPDEDCWLLFRQYAQAYPLNCRLIKEQVIRKCKGIPFIAASLGHKVRLEEDRRKWASILQGEDWSSNSNDFKRALQLSYEQLDSHLKPCFAYSSIVSQKFQFEEEWLIQLWMAQGLIQPNPNSNEPMEDTGRSYFSTLVEQSFFQRAHITGEQHSYCLSWMMHDLALGVSAEECHTMEGSCTLPEQVRHLTVVFRKEIATNLFEKIPRSESLHTLIIMGGSSDFSMNIPDDLGVRFARLRALDFSNFGISDLPESIGKLKHLRCLQLRGTKIKCLPESICSLYNLQTLGLRDCYELSGLPGKISNISKLRHIDLAMTCNPCQYVCSLRCMPKGIGSLTDLQTLSRFVISQRRTDKTDISELANLNNLHGQLIISNLHLVKDSEEALQARLASKQFLKKLELSWGDKVRQTEQILERLNPSSSIEELTISGYSGITCPSWLWSPDYRNLVTMRLYDFKNCNVVPPLGQLPKLENLHLKGWSGLTSMNCSNFCGRSTDAFQSLKKLHFEKLDNFQIWGGSERCAFPALLELVLENCSNLEKLTHYLPSLTKITVEGSLKFDGLWNFPSLKYVNVIASGEWIWKSWGSLSSPISITLCALPTVEFPLGLGWTHPSLQCLEISHCESLKYIPKDWPPRNLNHLSVKHCSQLRELPSGIRRLQALEDIEIIDCPGLTRLPDMDGLTSLLRLEISDCGSILCLPRLPSSVQFLSINKCPQLSSSCKNEHSEDHWKINRIFSVWIDGDQVFSSADEPRFVIPAKLQ >LPERR10G11470.3 pep chromosome:Lperr_V1.4:10:12614965:12623829:1 gene:LPERR10G11470 transcript:LPERR10G11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAISRLLWAFRSASYLNISTFPMAAIADLLKKELLQLGNDFNAKQKRLASYVPMIQAVLTGAGKTPLSEQQKIWLTDLKDNDAVRLIKEQVIRKCKGIPFIAASLGHKVRLEEDRRKWASILQGEDWSSNSNDFKRALQLSYEQLDSHLKPCFAYSSIVSQKFQFEEEWLIQLWMAQGLIQPNPNSNEPMEDTGRSYFSTLVEQSFFQRAHITGEQHSYCLSWMMHDLALGVSAEECHTMEGSCTLPEQVRHLTVVFRKEIATNLFEKIPRSESLHTLIIMGGSSDFSMNIPDDLGVRFARLRALDFSNFGISDLPESIGKLKHLRCLQLRGTKIKCLPESICSLYNLQTLGLRDCYELSGLPGKISNISKLRHIDLAMTCNPCQYVCSLRCMPKGIGSLTDLQTLSRFVISQRRTDKTDISELANLNNLHGQLIISNLHLVKDSEEALQARLASKQFLKKLELSWGDKVRQTEQILERLNPSSSIEELTISGYSGITCPSWLWSPDYRNLVTMRLYDFKNCNVVPPLGQLPKLENLHLKGWSGLTSMNCSNFCGRSTDAFQSLKKLHFEKLDNFQIWGGSERCAFPALLELVLENCSNLEKLTHYLPSLTKITVEGSLKFDGLWNFPSLKYVNVIASGEWIWKSWGSLSSPISITLCALPTVEFPLGLGWTHPSLQCLEISHCESLKYIPKDWPPRNLNHLSVKHCSQLRELPSGIRRLQALEDIEIIDCPGLTRLPDMDGLTSLLRLEISDCGSILCLPRLPSSVQFLSINKCPQLSSSCKNEHSEDHWKINRIFSVWIDGDQVFSSADEPRFVIPAKLQ >LPERR10G11480.1 pep chromosome:Lperr_V1.4:10:12625304:12630857:1 gene:LPERR10G11480 transcript:LPERR10G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAALSAFLQVLFQGIADFVKNELQLERGLDNDCERLILNVEVLQNFLGEAEKRQLSNSVQLLFGKLKDVGYDAMEVLDEASYESQRHQVIHLASLRNLIRSPVIFRHAMRKKINAISQKIEDIRSNATFLKEFRTHKESTPQQTCLRPTVVYGRKNDQEKIVSMLLQSDLKPDIAVLPIVGEPYIGKTIVTKEVFSNEQISRYFELRLWVHVSHDFNIEKITASIIESIEVIPFHCSNLNTLQLCLEKQLRGRRYLLVLDDYWREKWYDWDKLRLPLLTGAAGSKIIVTTRSMVVAEVLGTIGPYKLPRLPEEDCWLLFRRCALRTDTEEYNSGDSLNNRTVEGGSSKECRGVPFIAASLGHKVRQERDRNKWATIVQNESWKDSDFDRALRLHYAQLESHLKPCFAYTSVIPPKVPFNEEWLIRHWMAQGFIQPNPDKETIEEIGRSYFRYLVERSFFQRAGVDPSGEQHGYILPQMMHDLAFHVSGEDCKCYIMGMRVAFNQQKVQHLTIDLNKLTDQNMFDVISEGKCLRTLIVVGGSENFVLRIPDDIEKRFPRLQTLDLSNSGVTELPESIGQLKHLRLTCMPKHIGLLTDLQTLSRFVISKESTLSIHTHKGGIRELANLNDLHGELLISGLEHINDVEEAAHAHLDSKEFLQKIGLSWSGSNKHDEQIMERLKPPTTIEDLTISGYAGMACPRWLSSPDYEKLITLHLYDFKSCTVVPCVGQLPLLEKLSIKGWDGLVSMNCSKFCGRNTACFRSLKKLHLERLDRLYRWDGDDACKLPVLVELVIKNCCKLEQVTHKFPSLVKITVEKSPNFFGLRNFPSLTHVDVTASGEWIWGSWSTLSSPISITLSKLPTVWLPLGTRWFHSSLQRLDISHCDHLECMPEDWPPCNLSHFSVRHCPQLRKLPNGIRHLRSLEDLEIIGCGQLTYLPDMVGLTSLLRMEISGCGSIQSLPCLPSSMQFLSINKCPQLRMNEGGLDQANIKRIFSVWIDGREVFSSANEPRFDIPSKLQKAKFYTRCQYSKIQSSLVPVEIQDSYFPSMACRMWRLIESWAGIYFQLPGELEFELGDWWLLTQCRFQTSYRKAFDTIFHVGLLASVEGTKHEGIQWKFLHFGTSVWRYRGNVDMEGNSHFQF >LPERR10G11490.1 pep chromosome:Lperr_V1.4:10:12631490:12641155:1 gene:LPERR10G11490 transcript:LPERR10G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTGGGYREKSPSPNLGNPLFWSAAARRFPAAEEPWEAAIILPYYLAILTGGGRIGRRSAREFLHGVQAVTT >LPERR10G11490.2 pep chromosome:Lperr_V1.4:10:12631490:12635488:1 gene:LPERR10G11490 transcript:LPERR10G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTGGGYREKSPSPNLGNPLFWSAAARRFPAAEEPWEAAIILPYYLAILTGGGRIGRRSAREFLHGVQAVTT >LPERR10G11500.1 pep chromosome:Lperr_V1.4:10:12632765:12635498:-1 gene:LPERR10G11500 transcript:LPERR10G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSCICILKLIGNGKQDWRFSLPQVTHQAERPEKKINWFMVLEMFFANAASSAGTVGFVWATVVLLGGFVTKLNQVYFWFVTILSFFQAIRRICSDEGIAKTLNMTLIAFAVKSLASNDKEAHQAGVTILHDLVHADSSCSTEALLSIRDCPDAIESLSNMVASSFEDEMPTRETAAWVVARLAVHLHVHGIHSVMRSVWSLLETRDGEVVTPYAAQVKFTQRGLEILEHLSRHACNLTVISSSQGLMAKLTVFTHVPALSDSLAFRKAKYALTVFSRLASCTGIQGINIRHEILENALLLSNIGEIILQGSTASYVLCERAMGIVDGFALDAASRDCGATRKLAVMLMGVFCSLDEENNEVQVQLAAGRALTRLTTDSKANCHAIIRQEEVLEVFKSMLSGQHGTSRRVVVANILKNLCAYAKSGCDCLDSMEKFSADNISMALTAMYQADNLIGEEMEAFLGLVLPLSKLLNATDFCVAVNGNGISSRSFVQKLKSILTQANSDRTSTNTHPGIRRFALEQVIWMAQSEAQLHCFNHFVDCGMRYALVMVQQTARRRWQENFKLSSGDLPVLEYEESLHSVALRAMELIPEEQINGQ >LPERR10G11510.1 pep chromosome:Lperr_V1.4:10:12644408:12647383:1 gene:LPERR10G11510 transcript:LPERR10G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALALFREMVNKGISPNFITCDIMMQGFFQTGRAANAKELFLWIIKSGIQIKLRTYNMILHGLCKNNVTLTAMDDIGSCTSYREGLLQRLKKEVLQKCSGVPFVAVSLGHKVRLEKDRSKWATVVRNEHWNASDYDSALRLSYAQVESHLKPCFAYTSIIPPMILFNEEWRIQHWMAQEFILPNPDTGTVEVEEIGRFFFRYLVELSFFQRAGVDPTGEQHSYILSPMMRDLALQVSGEDCKCYIMGMGVPLNHQQKVRHLTIDLSNIANQNMFDFNVISESRCLCTLLVVGGSENFVPRIPEYIEKRFPRLQTLDLSNSGVTELPGSIGQLKHLRCLQLQSTMIKQLPKSIYDLYLFQTLGLRNCYFLEELPHKIKNPRKLRHIDLVVTHSPFRNVCSLTCMPKEIGLLTDLQTLSRFVISKESTVNIHTHKRGIEELAKLNHLHGGLLISGLEHVNDVEEAARAQLVCKQFLRKIGLSWSGSNKQDEQNMEHVELVKNGQVVARPHLSLKTFLQRSTSRSYNHKAAQIMEHLKPPTIIEELTISGYAGMACPRWFSSPDYLKLVTLHLYDFKNCTVVPHVGQLPLLENLHIKGWGGLVSMNRSKFCGSNTTCFRSLKKLHLERLDRFA >LPERR10G11520.1 pep chromosome:Lperr_V1.4:10:12649232:12651541:1 gene:LPERR10G11520 transcript:LPERR10G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSTWRADKKEENLRGLARKTPSLSFPNLSPSRSPANSLDGGGGGGEGGGGGETMGGHGGLNILPQKKWNVYNFDNREKVKRDEAEAAREEQLRREAERRRESDLRLAALRRNRGLDSPSRPTPPPSSAADPAANPSPAAAADDDESDGGHINLFSATIGGKGGAADFASLASANGGRGAAREREAPENPNPNKKRKKKEEEVRTVGPDEEKYRLGYGLAGKGVAAPWYMSKPLASSSSSKERKDREGGEGKKNGGKKSIEELREERRKREAKEKERERALLGIPSRKERQPERGRSSRNNW >LPERR10G11530.1 pep chromosome:Lperr_V1.4:10:12652033:12654585:-1 gene:LPERR10G11530 transcript:LPERR10G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSCDLVDVDPPELQFPCKPPESPCYLLPVSRFCWVSFSVLNFNFLVCSVELDKQISCPLKITNKTERTVAFKYSEFKKLLSLGIIYVGLNVGCLYAFYASVTMQAQTVAPPDLQCKDKFLVQSVVVSDGLSAKDVTSQMFMKDAGSMVEEVKLKVAYVMPPEPSSEIAEESDVPQRIMVPMQRILHNGRSASELSSGNVSLRSAEMGTEVGSPLGRFVRNEDLLKTSGSVVETRIHAGSDEQYLELSALVVKLTEEKKSALEHNRKLREELELVRRQVGQQQGGFSLAFVLVIGLLSIILGCLVKK >LPERR10G11540.1 pep chromosome:Lperr_V1.4:10:12659938:12660207:-1 gene:LPERR10G11540 transcript:LPERR10G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLAGGAEPPVAAAPAPAPEAVAQGGGKPDRSIAGADVILVGFAAAVIVVIFFYIRITRKNSSNSGIEAARKLEIHKEQPAAVVEV >LPERR10G11550.1 pep chromosome:Lperr_V1.4:10:12664627:12672075:-1 gene:LPERR10G11550 transcript:LPERR10G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKEQLERLKRHKEEEKFLAHARPTGSIIANISVPQYLDGDGIMRGMGMSSEVQLPLSKQNTVVVGGNLVVNGTAGTGAATAVLRHQFSSVSSIEFMATAGLRSLIGIQTFRQISPHSTATSGLSLSLRDGSINLSNAWTRQLSENTVGNIQLSLGIDSSISVGWQKKDEKNSASGEVKLGTNYFGAHAHYTHHFSTKSHGRIAGRVGSTAIDFEIGGGRRISQFSTVRMIYNLGIQGVSWRFELHRAGQKLVVPTYVVKPYYHKREKQKELEKMGSLSAQLTEARHAAKKAQKLLEPVSNRKKNRQLEDDGLVIIKALYGNRKKIKESSELNELNDDVASQILDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDLKLLLVEYTFHGRKYKVENI >LPERR10G11560.1 pep chromosome:Lperr_V1.4:10:12672535:12679944:1 gene:LPERR10G11560 transcript:LPERR10G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFQQGNYNYSKYCPTVGGRIVTATEKHNDSGFDWFDQQFDFEVIGINGKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSMGLQRAAGGYGGVIVNNRDVIAVPFGRPDGGDLTIFIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTNLDVHVGQSYSFLVTMDQNASSDYYIVASARMVNESLWRRVTGVAVLRYSNSGGKASGPLPDPPQDQYDRSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLQSTAPVEINGRRRAALNGLSFTPPETPLRLADAYGVRGVYSLDFPERPLSGPPRMGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGMWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALYCGQLHKQQTPHHKMGTSAAAARTAAAASRMAAAMLLLLLAGAAMISP >LPERR10G11570.1 pep chromosome:Lperr_V1.4:10:12684356:12685951:1 gene:LPERR10G11570 transcript:LPERR10G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATISSNKNQNHHELRSIFLYQQTPALFVPVNSKLPSPKFNSFPISSPPLDGDAHSPFCFSAPFASSLHLSFSLSSDPTPLLSQSPPPPPFHSEIRPRRAAAPASTRIGGAATMLQKFALAFKTKTIEFFAEEEEDEDADGVAVPPAASGDGGGAVLAGQRVVVLKPDPNPSTAEADGEGIGEAAAAVEAALATASSFEAAYLHLQAAHSPFLPDAAASADAAAQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGVAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGTEYSLVYMENIVRSKGFSGSRESGKMMRRKVGFTVVPGFRLGGTVIQCRVYLDCGKRDGVIGE >LPERR10G11580.1 pep chromosome:Lperr_V1.4:10:12690168:12693114:-1 gene:LPERR10G11580 transcript:LPERR10G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSAASAASSFLPVQKQEQQMVVAVDNLPPPATAAMQQQQLVSPATAAAEEEQEQLCYVHCHYCDTVLVVSVPSSSLYKTVTVRCGHCSSLLTVNMMRGLLFPTTTLPTTTTAAPPPPPAHLFPHSSLLLDEMSSTNNQRQQLLQMEQHGIGGMMASAAAARCRNNAAAQPPPAAATQGKGAKEPSPRTNTVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLLKKTSLLPQRKDGLLKDGLYAAAAANMGVAPY >LPERR10G11590.1 pep chromosome:Lperr_V1.4:10:12708579:12713058:-1 gene:LPERR10G11590 transcript:LPERR10G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGGAMMRGGNDSGVQARTKAHNRANQLQLKLIGQSHPTGLTTNLLRLFEARPPLEYRPPVEKRKLPAYTGNPCSPSASIGHVFDKTGCFCGEWRMAQFVSQFLEPDDPEYAKPVPKGETRAEKKARIHQLKLEEGAAKVTEELQKYDPQNDPNATGDPYKTLFAAGLNYETSEHRIKREFEAYGPIKRVRLVTDKITNKPRGYAFIEYMHTRDMKNAYKQADGRKIDNKRILVDVERGRTVPSWRPRRLGGGLGSSRITSEDAVQKHSARYVHLLILKHIEFELMLRVREQHHVGRPGPEEPRTRKDDRHADRDREKSRERIRERSRDERTRESSHDRNRDSREERHHHKDRERTRDRDRERDRGRDRHDRGKHRDHGQDYERERERERSYDRHCGRSRDQNRDYERDRRYLRERDADYGDGEPRHDRSLAEYGQDYSYSHYEQQKSHQSYGYDQDGYEEHYKSRPDNAEAEAPEEGEGKEGDYQHDQVDDYKN >LPERR10G11600.1 pep chromosome:Lperr_V1.4:10:12713168:12719422:1 gene:LPERR10G11600 transcript:LPERR10G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHGPNPALGPVRPIYTSATRVHATATILASILFCLEAKSKQTKTLSLSYLRRRRAATDRTLAAAAAVRRPAGYGEGGLGVVDRLRALRQAGVASMPQVRATEASSGGCGFLECFKEAWSSHKSVHTKVSALTPQQSPEGWEYCLKKGRMRTSQLPRYDWTGPLRPYPISKMRVVPDKIEKPDWALDGIPKIEPDSDLQKSVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVHCTYECLDKAIAIVKPGVRFREVGEIISRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFSWLKP >LPERR10G11600.2 pep chromosome:Lperr_V1.4:10:12713343:12719422:1 gene:LPERR10G11600 transcript:LPERR10G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGSESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQECFKEAWSSHKSVHTKVSALTPQQSPEGWEYCLKKGRMRTSQLPRYDWTGPLRPYPISKMRVVPDKIEKPDWALDGIPKIEPDSDLQKSVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVHCTYECLDKAIAIVKPGVRFREVGEIISRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFSWLKP >LPERR10G11600.3 pep chromosome:Lperr_V1.4:10:12713336:12719422:1 gene:LPERR10G11600 transcript:LPERR10G11600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGSESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQECFKEAWSSHKSVHTKVSALTPQQSPEGWEYCLKKGRMRTSQLPRYDWTGPLRPYPISKMRVVPDKIEKPDWALDGIPKIEPDSDLQKSVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVHCTYECLDKAIAIVKPGVRFREVGEIISRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFSWLKP >LPERR10G11610.1 pep chromosome:Lperr_V1.4:10:12718026:12725828:-1 gene:LPERR10G11610 transcript:LPERR10G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAAAAAAAAGDAGIAGATIPSPGAPIPLSSEPTTDSTDPTATGAAAAVGDDENDSKEVFLRRYFLQEWETVSAILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLENIISPLMLLVRSKTMELGVGTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATTDHMDGLETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMLKVFSSFMEWAQKILLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLCDSAPGIWNDCSVVMKTNIAARSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGNGSSSGSAQQANIDHTDASSFEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKAVNCRRAASAAFQENVGRQGSFPHGIDIVNAADYFALASRSNSYLNVAVSVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNHFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTLTTDMQKALPGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHYIPTYLVSSGEKIANDIISKYVALLDDPNVAARRGAALALGTLPYEFLDKPDDPDAEARVNSVKGLISVCETLTASVEHSSSFEDSIYSYIKDKAMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDSIAVRITQVAKHESELSDMDTSAVNIRQQLIDSSIAQDLVAGIAKQAVEKMDKIREIAVKTLKRILYNQEQYVPSIPYRELLEQIIPNTADLEWAVPTVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASISALVDYLQDSGINTNAEAKNREYVLSCDLLWVIERYQKCDRVITPTLKTVETLLSKKVFLGEGHGEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQLDASSSKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLISAENMDKAQEVLAETCWEGDVEEARRKRSELNEMAGFGAATSQKTGDEVARRKTEERNAASTDENKSYSSLVDFSGY >LPERR10G11610.2 pep chromosome:Lperr_V1.4:10:12718026:12725828:-1 gene:LPERR10G11610 transcript:LPERR10G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAAAAAAAAGDAGIAGATIPSPGAPIPLSSEPTTDSTDPTATGAAAAVGDDENDSKEVFLRRYFLQEWETVSAILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLENIISPLMLLVRSKTMELGVGTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATTDHMDGLETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMLKVFSSFMEWAQKILLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLCDSAPGIWNDCSVVMKTNIAARSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGNGSSSGSAQQANIDHTDASSFEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKVNCRRAASAAFQENVGRQGSFPHGIDIVNAADYFALASRSNSYLNVAVSVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNHFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTLTTDMQKALPGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHYIPTYLVSSGEKIANDIISKYVALLDDPNVAARRGAALALGTLPYEFLDKPDDPDAEARVNSVKGLISVCETLTASVEHSSSFEDSIYSYIKDKAMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDSIAVRITQVAKHESELSDMDTSAVNIRQQLIDSSIAQDLVAGIAKQAVEKMDKIREIAVKTLKRILYNQEQYVPSIPYRELLEQIIPNTADLEWAVPTVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASISALVDYLQDSGINTNAEAKNREYVLSCDLLWVIERYQKCDRVITPTLKTVETLLSKKVFLGEGHGEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQLDASSSKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLISAENMDKAQEVLAETCWEGDVEEARRKRSELNEMAGFGAATSQKTGDEVARRKTEERNAASTDENKSYSSLVDFSGY >LPERR10G11620.1 pep chromosome:Lperr_V1.4:10:12726858:12732855:1 gene:LPERR10G11620 transcript:LPERR10G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHNKEQIHLYVRYIHVHTKPDNTPLHIYDVCRYTQLHIHTVDIYTVYIHIAYGVGVCLDMASTMASALEVRCTTPITCPFTTSLTPPPSPPAAAPLNPSTHMSASVSAALAHVLTLSTSNLTILPVISFSFSAAATRAMASTQRRSESAPSPSMLAQSPAACAATGGRLVWPEEAMDFIVDVALRVAESVTVSAAHASLARLVPTVVEYGESVAAQRVGYPVPAHHVRTNSLVVVVVVVASSLADDGDGRDAVARRRRQNGTSNERIRAIVGCARVGVVYVFFFRAVAYVERWIL >LPERR10G11630.1 pep chromosome:Lperr_V1.4:10:12732092:12732748:-1 gene:LPERR10G11630 transcript:LPERR10G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILSLLVPFCLLLLATASRPSPSSASDDATTTTTTTSEFVRTWCAGTGYPTLCAATLSPYSTTVGTSLAKLAWAALTVTLSATRNATSTMKSMASSGHTNLPPVAAQAAGDCASMLGDGADSLRRCVDAMARVAAAEKEKEMTGRMVRFEVDNVRTWASAALTDADMCVEGFRGAAAGGEGGGVREVVKGHVMGVVHLTSNALAIVDAMSKQTPTP >LPERR10G11640.1 pep chromosome:Lperr_V1.4:10:12738173:12746101:1 gene:LPERR10G11640 transcript:LPERR10G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSTTTLLLSPIPSPHHHHLHPLRLHRRRLVLRLRAAVPPDASPSARSLRLLEWGKVCDSVASFAGTAHGREATKAQLWEVEEVSYEQSRRLLYETEAAVRLIDSAGGMDFSGLDTLMVESAIRGVSGGGVVKGQEAMSVVSLMLFVESLQVTIKAAMKQHEDSHEQLMTLTETILDAVINKSLVKSIQDVIDDDGSVKDTASPELRRYREQVQVLESRLYQLMDRLMRNSENEASLSEARALVAKAELDALSKLTDKILLELDNIQSLLQATVELDKVAARAKYSIAYDGTYPNLYLPNFINGTVSTASGGSISTTSSVHLSKKPWKLYMPNAYHPLLLQQHQENLDRAKKDVASATAEIRRRRIYGQDIVEEDQLASDLDLMKIRVSQLEKDHPVPVDFFIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRARSTSKSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACMEFDEENLKPTFRILWGIPGRSNAINIAERLGLPSDIVESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYLMQSKELHNNLEVAQKNIIDHNSAQRKRKARVVSEYAVMARSIIRKKFQQFRDSAIAKRALEEEKVVEKDKPERLKGPEPTSTPAVKKAQNTNISTATTTEDEDDGIPEVGDLVYVPKLRNEATVVKIDSSKNEVQVQAGIMKLKLKVKDVKIKKRISR >LPERR10G11640.2 pep chromosome:Lperr_V1.4:10:12738173:12746101:1 gene:LPERR10G11640 transcript:LPERR10G11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSTTTLLLSPIPSPHHHHLHPLRLHRRRLVLRLRAAVPPDASPSARSLRLLEWGKVCDSVASFAGTAHGREATKAQLWEVEEVSYEQSRRLLYETEAAVRLIDSAGGMDFSGLDTLMVESAIRGVSGGGVVKGQEAMSVVSLMLFVESLQVTIKAAMKQHEDSHEQLMTLTETILDAVINKSLVKSIQDVIDDDGSVKDTASPELRRYREQVQVLESRLYQLMDRLMRNSENEASLSEARALVAKAELDALSKLTDKILLELDNIQSLLQATVELDKVAARAKYSIAYDGTYPNLYLPNFINGTEIRRRRIYGQDIVEEDQLASDLDLMKIRVSQLEKDHPVPVDFFIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRARSTSKSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACMEFDEENLKPTFRILWGIPGRSNAINIAERLGLPSDIVESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYLMQSKELHNNLEVAQKNIIDHNSAQRKRKARVVSEYAVMARSIIRKKFQQFRDSAIAKRALEEEKVVEKDKPERLKGPEPTSTPAVKKAQNTNISTATTTEDEDDGIPEVGDLVYVPKLRNEATVVKIDSSKNEVQVQAGIMKLKLKVKDVKIKKRISR >LPERR10G11650.1 pep chromosome:Lperr_V1.4:10:12752725:12753078:-1 gene:LPERR10G11650 transcript:LPERR10G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGDAEEDLVLSLLSFYAAWTRGAPNSQGESEHSEEQQWQGGGRGGGEAGGEFIGSVATEMTGLPLRWLAHRPGLGSLALLRLGSARPNLSRLGSAAEVGGGVIESIHFAVILC >LPERR10G11660.1 pep chromosome:Lperr_V1.4:10:12753849:12754280:1 gene:LPERR10G11660 transcript:LPERR10G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQVGDGMDLS >LPERR10G11670.1 pep chromosome:Lperr_V1.4:10:12756724:12765465:-1 gene:LPERR10G11670 transcript:LPERR10G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARMCQSVVVARRRLSRRLMRACMANDLEDEAAGPRDGSTKGEWRRIWDWRRRRIGDRGGGSDDDGGSAWRGERVRRGRRITVAAVGREELETTPTLNPRLELVFEPEPEPEPCLCSRRSLPINPYSGRASPPLPFRRSPGGGEDPLVAKRASHRSIPRRLRFSPPSGDLAGSAATSLCPKPVAPVAPGPHQPSSFSSTRRSFRRRVPSPLPERPTLLPPPVLRPLPADFGCLAAQALLYENQKLVKQLEEQKSEMRLLEGKLEELRDEQCSYDNSLISLNKMWNQLIDDLILLGVRACGNIKNLQALDHEELSEESLQTCPSEEIFLLRLLNTSNFRNNDGSSLSKFVEEALALRYSTTATLMKSLQEAFAVQQARSESLSLALNGANSIEDVTLALENHNDYLKEVVDNLHQAISIINEKHERNLDEIEAFKSNHSREQHELKCLSGELEESMAELEESRRKLAVLQLQSGGGSLMNTSASNGVNGSVSTDKSSDKGMDWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDLQDQLKDENYIFVSKPYTILNDQLHHLNAEVERHRGLVEVLQNERDQFMQKEKEMLAREESVETIQQSITNYDATIEELEHEMQKLMAEKYDLEIKVEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLNRSKDAASEAHALREKADYLRSLLAKRTDEQKEIAGRYNTQVTEIKSLKALIETLDQEKQELQFIVDMLGKECSESRPIEEIEESENRAREQAEYLRKYLEDHNLELRVKAANEAETACQQRLSIAEIELEDLRAKVDASERDVLILKESIRIIEAERDGHICEIETIGQAYEDMQTQNQHLLQQVADRDDLNIKLVSDSVKMKQAYGSLLAENQIFQKKLQHVNSSLESCKQKITCGEEKMKTYVAQAMKSSSENRHTAISLERPMLEVSDAEKELRWLRSTTGFAEKEYETNQKKIAELKLELEQERSERARLEEEYEEVKNEVTELTSETEETTIQKLQNEINECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G11680.1 pep chromosome:Lperr_V1.4:10:12777745:12784072:1 gene:LPERR10G11680 transcript:LPERR10G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVESQYESAFVWNKFLVQGFQEQIKSPFWTVPLVHGYFRQVKMSVPKKDFWLTIIARRSHHFAGPRFLKRGVNELGQVANDVETEQIVFEDTDDKIPPQITSVVQRRGSVPLHWSQETPQFRIKTDILINRDEEYKATRLHFESLMARHGNPIIVLNLLKIFEKKPHESALQLEFARTIDDINENSPAENLILYACMDMKYYSQRGGILPYLLLVGSSALERTGIFHCRITPTSASGDSTWKEEVVRAQLGVLRTNCLDCLDRTNSAQYAYAITAFGHQLEALGLIEELKISEDDPLFHNVMDLYEEMGDVLSMQYTGSAAQHKMFWDIKGQSCVISSFQEVIRSIQPYMHNTLWDRGKQDALNVLFGHCQPQQGKPLPPLLCGSEASCNDEEHGSGSNTMVQGRHLVLGTQNRTREPKYQKAFYSNFMEDLPPAGSLKKSSVLPLPAAAPTDEHVDE >LPERR10G11680.2 pep chromosome:Lperr_V1.4:10:12777745:12784072:1 gene:LPERR10G11680 transcript:LPERR10G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIISEKLLMTRHATCRGGILPYLLLVGSSALERTGIFHCRITPTSASGDSTWKEEVVRAQLGVLRTNCLDCLDRTNSAQYAYAITAFGHQLEALGLIEELKISEDDPLFHNVMDLYEEMGDVLSMQYTGSAAQHKMFWDIKGQSCVISSFQEVIRSIQPYMHNTLWDRGKQDALNVLFGHCQPQQGKPLPPLLCGSEASCNDEEHGSGSNTMVQGRHLVLGTQNRTREPKYQKAFYSNFMEDLPPAGSLKKSSVLPLPAAAPTDEHVDE >LPERR10G11680.3 pep chromosome:Lperr_V1.4:10:12777745:12784232:1 gene:LPERR10G11680 transcript:LPERR10G11680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVESQYESAFVWNKFLVQGFQEQIKSPFWTVPLVHGYFRQVKMSVPKKDFWLTIIARRSHHFAGPRFLKRGVNELGQVANDVETEQIVFEDTDDKIPPQITSVVQRRGSVPLHWSQETPQFRIKTDILINRDEEYKATRLHFESLMARHGNPIIVLNLLKIFEKKPHESALQLEFARTIDDINENSPAENLILYACMDMKYYSQRKEEVVRAQLGVLRTNCLDCLDRTNSAQYAYAITAFGHQLEALGLIEELKISEDDPLFHNVMDLYEEMGDVLSMQYTGSAAQHKMFWDIKGQSCVISSFQEVIRSIQPYMHNTLWDRGKQDALNVLFGHCQPQQGKPLPPLLCGSEASCNDEEHGSGSNTMVQGRHLVLGTQNRTREPKYQKAFYSNFMEDLPPAEPFEKAFKGFSNETK >LPERR10G11690.1 pep chromosome:Lperr_V1.4:10:12784538:12791623:1 gene:LPERR10G11690 transcript:LPERR10G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLLRLSISPSSSPPPPPSVAQAAPVRLRRERRQPRLLATAAAATASLATPAADTERRKHELLRAVQETGRGFTASADQRAAIEEAIVSVEKLGAGEGSPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDSSDAGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFFSLQLVN >LPERR10G11700.1 pep chromosome:Lperr_V1.4:10:12790678:12791007:-1 gene:LPERR10G11700 transcript:LPERR10G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSTKAAMDAKEVMRRNAELEKAAAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAVMQAVEYQQQVRELSERLAFVDGILRSSSSGRRGAIAAGMD >LPERR10G11710.1 pep chromosome:Lperr_V1.4:10:12795006:12795938:-1 gene:LPERR10G11710 transcript:LPERR10G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYLLRKKLAAARSVVFLPPATADAGEVFMDAFVAAPAVSCVPMFTPNFSTASPAMSRRYPPVFTDDGRPRLPLSLAEAAAEGASIPAASLAALATRVA >LPERR10G11720.1 pep chromosome:Lperr_V1.4:10:12795944:12796210:-1 gene:LPERR10G11720 transcript:LPERR10G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHGARGFDGVSPTPPAPAAPLPLAEVVATAAADDERLRFLRPGALARLRDSKVIAHSLRSAAAVAVPSSPPPPPPSAAAGEFFWGE >LPERR10G11730.1 pep chromosome:Lperr_V1.4:10:12800879:12801238:-1 gene:LPERR10G11730 transcript:LPERR10G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKQVATTATATATATMDPKEVMRRNAELEKAAAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAAMQAVEYQQQVRELTDRLAFANDVLKSSGSCSSSVMIGVTGMD >LPERR10G11740.1 pep chromosome:Lperr_V1.4:10:12808150:12808476:-1 gene:LPERR10G11740 transcript:LPERR10G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCRLFAQALLLLIIVAVLAPATSMAAITTKQHGQKSHACGGDGGSHASPATTRPPCSGHRGQIPARMLGLRGIRAPPPPRPHPPVSYARPQPPSMICPPPPPESL >LPERR10G11750.1 pep chromosome:Lperr_V1.4:10:12810628:12812305:1 gene:LPERR10G11750 transcript:LPERR10G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGWSSLPADLMGEITGRLSTDADHINIHQVCTHWRTLTSLPSFLRPLVLARRTYWAPALAAGDDEYSIRILRGRNQVSLCPGLNSDLECILFWRPGDDDWSILGKFVPRSIASHGGKIYCIDSKEKMTVVYDLGILGLTNQQPPYTTDLHCRFRHPDFAEVYRLKWTPEGKLELSERVTNLGEHALFLGQWRTQEIYFGCAYSNKITSTQFHCKENENFLIKIIKI >LPERR10G11760.1 pep chromosome:Lperr_V1.4:10:12814966:12818391:1 gene:LPERR10G11760 transcript:LPERR10G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >LPERR10G11760.2 pep chromosome:Lperr_V1.4:10:12815621:12818391:1 gene:LPERR10G11760 transcript:LPERR10G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >LPERR10G11760.3 pep chromosome:Lperr_V1.4:10:12815012:12818391:1 gene:LPERR10G11760 transcript:LPERR10G11760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >LPERR10G11770.1 pep chromosome:Lperr_V1.4:10:12819334:12819893:1 gene:LPERR10G11770 transcript:LPERR10G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMALSPGRKSAAAAHARTASQPACHHHPAIARLAGGVGGAVAEAAAAIAAASEAVFLACAAMSSATAAPRSRTWLARLRVVTASKKVSPEIATATAEALERLEERIGELENGSEKVFRRLLQTRVSLLNIHNPL >LPERR10G11780.1 pep chromosome:Lperr_V1.4:10:12821755:12824397:-1 gene:LPERR10G11780 transcript:LPERR10G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLGGRHNLFNSYLDHLERNLLNTLSLPLSISPMHSSSSSSLSNAGAAAFATPSLVSHLFDDADADTSRDIATHRRKMASDAAAVTAAASATMPIKFRF >LPERR10G11780.2 pep chromosome:Lperr_V1.4:10:12821755:12824397:-1 gene:LPERR10G11780 transcript:LPERR10G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLGGRNYKGLCMFRSVTLVCSNLLNTLSLPLSISPMHSSSSSSLSNAGAAAFATPSLVSHLFDDADADTSRDIATHRRKMASDAAAVTAAASATMPIKFRF >LPERR10G11790.1 pep chromosome:Lperr_V1.4:10:12822916:12823116:1 gene:LPERR10G11790 transcript:LPERR10G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVSASASSNKWLTRLGVAKAAAPALERLEELEECIGEMESGSERVFRRLLQTRVTLLNIHNPL >LPERR10G11800.1 pep chromosome:Lperr_V1.4:10:12824407:12825421:-1 gene:LPERR10G11800 transcript:LPERR10G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARLVHARGKTTAAQHVAARHLDHTFEKLAAAHLPLVAAAPLVDALRARSLSLTAPAADLLAVAASPSSAAGTLHRLLAMSSSRSLPLRAVFRVWRELALPDDFEESVIAGHPNLFRLAPNPSEPNTHILNLVADPASEGFTPAVEKTRPEKFAFKLQFPPGFRLKKEYRKKVKEWQQLPYIGPYELSNQKGVGSKRVSKMARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVEPNDVSEARKKLVELMLLRRRGLGNANSKANMASCHTVDAGDDTSDLQEQEI >LPERR10G11810.1 pep chromosome:Lperr_V1.4:10:12827826:12832626:1 gene:LPERR10G11810 transcript:LPERR10G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESKKQRLTYILLVSALCVAFYVLGAWQNTTLPKPVASSSSAITKVDCDPATGVPSFGSASSSQETLDFEAHHQLSLDDADAEATVEPFPACPLNFSEYTPCEDRTRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFRWPQSRDYAWFNNIPHKELSVEKAVQNWIQVDGERFRFPGGGTMFPRGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKDEQDKIEDVARSLCWNKVVEKGDLSIWQKPKNHLECASIKKKYKTPHICKSDNPDAAWYKKMEACVTPLPEVSNQGEIAGGAVERWPERAFAVPPRVKRGLIPGIDAKKFEEDKKLWEKRVAYYKRTLPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPDGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKILVAVKTYWTGNASEQH >LPERR10G11810.2 pep chromosome:Lperr_V1.4:10:12828974:12832626:1 gene:LPERR10G11810 transcript:LPERR10G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESKKQRLTYILLVSALCVAFYVLGAWQNTTLPKPVASSSSAITKVDCDPATGVPSFGSASSSQETLDFEAHHQLSLDDADAEATVEPFPACPLNFSEYTPCEDRTRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFRWPQSRDYAWFNNIPHKELSVEKAVQNWIQVDGERFRFPGGGTMFPRGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKDEQDKIEDVARSLCWNKVVEKGDLSIWQKPKNHLECASIKKKYKTPHICKSDNPDAAWYKKMEACVTPLPEVSNQGEIAGGAVERWPERAFAVPPRVKRGLIPGIDAKKFEEDKKLWEKRVAYYKRTLPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPDGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKILVAVKTYWTGNASEQH >LPERR10G11820.1 pep chromosome:Lperr_V1.4:10:12834686:12835111:-1 gene:LPERR10G11820 transcript:LPERR10G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKQQQQQMGVVAPKGCVTVRVGAEGEEQRRFAVPLGHLSHPLFGELLDEAEREYGFKHPGAIAIPCRVDRFVHLEHLIDQDLHHHHGHGGGSSHHHHLIDLDAAENGTGDAHHHHHHHSSSSSSQIHLHLPRFAGCFRA >LPERR10G11830.1 pep chromosome:Lperr_V1.4:10:12840867:12847401:-1 gene:LPERR10G11830 transcript:LPERR10G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQILAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYSEEDAKVIVEQILNVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDTPWISVSPAGKDFVKRLLNKDYRKRMTAAQALSHPWLRDESRPIPLDMLVLKLIKGYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSNTDGRISIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFQAATISPYQLEALSRWEEIAEAAFEYFEQEGNRPITIEELAQEMNLSSAAYTIVRDWIRPSDGKLSFLALVLPTVSPRKQPQKKLLQKGAEGHKCRSVFRFLLTMVRRHFMVLRTITKCFQKQNSRKATLFVCRLVRCLVLSYFMGLVAKCHTVLTSTDSVAIGAIKAFPLTRLGPQQIARKTRSPSAGRAGKAEIFTKETGL >LPERR10G11840.1 pep chromosome:Lperr_V1.4:10:12856972:12858907:-1 gene:LPERR10G11840 transcript:LPERR10G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTTLFVLSMASLVITAISIVVSWQWHTSAMMISRKAVLRLGHVPTTVVTDGAVAVDALVRRAAAFSDRPAGGGATSIISGGRLHNINTVPYGPLWSALSRNLTSESFHPARGLAVASPRHAARGDGSRRRLSDGEMVGLVSEYLGAATGTVVAQLEWALANLRHMGRDVSLLGGTNVARGRVVSFAIEEIGRDNKVWTSPEEFLPERFMAGGKGAGMRLAIGSKQETTKVKMMPFGAGRRTCPGMGYAILHLEYFLANLVTTFEWSRIPGEEVDLTADYGSITTMKYPHRALVAPLSATTVGVVNT >LPERR10G11850.1 pep chromosome:Lperr_V1.4:10:12864829:12870096:1 gene:LPERR10G11850 transcript:LPERR10G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRAVAVVAVLLLLLARGAEPIGLWLPPPGGGGGGSGSLGAAGPGRYLTQEERWMEQTLDHFNPTVESHLHLCFPVSISRSNSMLPSDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNSKYNRTGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLPVYNFTDFDKQIGESAGPECKAALQETTRLVDGQLQSGDNSVKQLFGASMLENDGDFLYLLADAAAIAFQYGNPDAVCSPLVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPSPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSPPEALNKVRKQIVDHIDLWLSECQDQGYDKEPLLGWSVATY >LPERR10G11860.1 pep chromosome:Lperr_V1.4:10:12877757:12881243:-1 gene:LPERR10G11860 transcript:LPERR10G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVRVQEDEGVLLKVNWPVNWEDACPGLKRGHLLQRTRASLMYFCPVEHIAPKIEVDLTNIDVRDRVLMHDIPVQPSLKLLSENNTIPITLVKHSKNNETNIFSSDGTWYAFWAPNTSSV >LPERR10G11870.1 pep chromosome:Lperr_V1.4:10:12891148:12896413:1 gene:LPERR10G11870 transcript:LPERR10G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRLAFLHLAVAVVVLLLLARGADPLDPGRTPPLAAGDGVAPVRYLTTEERWMDQRLDHFSPTDRRQFKQRYFEFTDYHTGSAGGGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQYYQDTLNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHMTCGSLASSGVVLAVYNFTDFDKQVGESAGPECKAALQEVTRLVDEQLQLNSHSVKALFGAQTLKNDGDFLFFLADAAAIAFQYGNPDAVCSPLINAKKSGRNLLEAYAQYVQDFFIGKWGTTVSSYDQEYLKKPNPDDTNFGGSKFAARLPIFKWHPKMIAFALQRYHLDLCRNVYGEGVYPDVFMTNLYYGGTMIAASKIVFTNGSQDPWHHASKQKSSQDSDPSNCSSPEAVSTARRQIASHIDLWLSQCRETTRPL >LPERR10G11880.1 pep chromosome:Lperr_V1.4:10:12898462:12907362:1 gene:LPERR10G11880 transcript:LPERR10G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELCCSGVLGGSAPPSRAAKVGTVPGVSSPPGFVPASRPKKRRIGRAGFRPPPPAPTCDERKAAADDVIHILRSADGPAEALEIFTSAARQSSRVVHTTASCNYMLELMRAHGRVGDMAKVFDVMQRQIVKTNVGTFAAIFRGIGVEGGLQSAPVALPIMKEAGIVLNAYTYNGLIYFLVKSGFDKEALEVYKVMVTDGIVPSVRTYSVLMVAFGKRRDVETVVWLLREMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILGKMESEGCKPDVITHTVLIQILCDAGRIGDAKDVFWKMKKSDQKPDRVTYITLLDKFGDNGDELSVMEIWNAMKADGYNDNVVAYTAVVDALCQIGRVFEASEMFDEMKQKGISPEQYSYNSLISGYLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDAITYTMMIKCCSKASKVDEAVKIFYDMIENKCVPDVLAVNSLIDTLYKAGRVDEAWQIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYYSSYPPNLITYNTILDCLCKNGAVNDALDMLYNMTMKGCRPDLSSYNTVIYGLVNEERFDEAFSIFCQMKKVLIPDYATVCTIIPSFVKTGLMKEALHTIKDYFLQPGSKTDRSSCHSLMEGILKKAGTEKSTEFAETIASSGITVDDFFLCPLIKHLCKQKKALEAHELVNKFKSLGVSLKTGSYNSLIRGLVDENLIDIAESLFAEMKELGCGPDEFTYNLILDAMGKSMRIEDMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFDEMLEYGCKANCTIYNILLNGHRIAGNTEQVCHLFQNMVDEGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLSEAGLEPDLITYNLLIDGLGKSKRLEEALSLFNDMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >LPERR10G11880.2 pep chromosome:Lperr_V1.4:10:12898739:12907362:1 gene:LPERR10G11880 transcript:LPERR10G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCSGVLGGSAPPSRAAKVGTVPGVSSPPGFVPASRPKKRRIGRAGFRPPPPAPTCDERKAAADDVIHILRSADGPAEALEIFTSAARQSSRVVHTTASCNYMLELMRAHGRVGDMAKVFDVMQRQIVKTNVGTFAAIFRGIGVEGGLQSAPVALPIMKEAGIVLNAYTYNGLIYFLVKSGFDKEALEVYKVMVTDGIVPSVRTYSVLMVAFGKRRDVETVVWLLREMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILGKMESEGCKPDVITHTVLIQILCDAGRIGDAKDVFWKMKKSDQKPDRVTYITLLDKFGDNGDELSVMEIWNAMKADGYNDNVVAYTAVVDALCQIGRVFEASEMFDEMKQKGISPEQYSYNSLISGYLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDAITYTMMIKCCSKASKVDEAVKIFYDMIENKCVPDVLAVNSLIDTLYKAGRVDEAWQIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYYSSYPPNLITYNTILDCLCKNGAVNDALDMLYNMTMKGCRPDLSSYNTVIYGLVNEERFDEAFSIFCQMKKVLIPDYATVCTIIPSFVKTGLMKEALHTIKDYFLQPGSKTDRSSCHSLMEGILKKAGTEKSTEFAETIASSGITVDDFFLCPLIKHLCKQKKALEAHELVNKFKSLGVSLKTGSYNSLIRGLVDENLIDIAESLFAEMKELGCGPDEFTYNLILDAMGKSMRIEDMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFDEMLEYGCKANCTIYNILLNGHRIAGNTEQVCHLFQNMVDEGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLSEAGLEPDLITYNLLIDGLGKSKRLEEALSLFNDMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQFWDCRQLQVSRYIEFYDAMSVPMAIVLTGQLLLGQQGMFARLEDAKAAQSLNGQLDIAGKVIKVSAVTEQVGHPSNRSSLETCEHNEVADRQPHRLVTITMPRTNKIRVPGLLDAQQHTNA >LPERR10G11880.3 pep chromosome:Lperr_V1.4:10:12898739:12907362:1 gene:LPERR10G11880 transcript:LPERR10G11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCSGVLGGSAPPSRAAKVGTVPGVSSPPGFVPASRPKKRRIGRAGFRPPPPAPTCDERKAAADDVIHILRSADGPAEALEIFTSAARQSSRVVHTTASCNYMLELMRAHGRVGDMAKVFDVMQRQIVKTNVGTFAAIFRGIGVEGGLQSAPVALPIMKEAGIVLNAYTYNGLIYFLVKSGFDKEALEVYKVMVTDGIVPSVRTYSVLMVAFGKRRDVETVVWLLREMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILGKMESEGCKPDVITHTVLIQILCDAGRIGDAKDVFWKMKKSDQKPDRVTYITLLDKFGDNGDELSVMEIWNAMKADGYNDNVVAYTAVVDALCQIGRVFEASEMFDEMKQKGISPEQYSYNSLISGYLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDAITYTMMIKCCSKASKVDEAVKIFYDMIENKCVPDVLAVNSLIDTLYKAGRVDEAWQIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYYSSYPPNLITYNTILDCLCKNGAVNDALDMLYNMTMKGCRPDLSSYNTVIYGLVNEERFDEAFSIFCQMKKVLIPDYATVCTIIPSFVKTGLMKEALHTIKDYFLQPGSKTDRSSCHSLMEGILKKAGTEKSTEFAETIASSGITVDDFFLCPLIKHLCKQKKALEAHELVNKFKSLGVSLKTGSYNSLIRGLVDENLIDIAESLFAEMKELGCGPDEFTYNLILDAMGKSMRIEDMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFDEMLEYGCKANCTIYNILLNGHRIAGNTEQVCHLFQNMVDEGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLSEAGLEPDLITYNLLIDGLGKSKRLEEALSLFNDMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQFWDCRQLQVSRYIEFYDAMSVPMAIVLTGQLLLGQQGMFARLEDAKAAQSLNGQLDIAGKVIKVTLQTARPWKPVSTMR >LPERR10G11880.4 pep chromosome:Lperr_V1.4:10:12902028:12907362:1 gene:LPERR10G11880 transcript:LPERR10G11880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFCIFLSIKEKGSTVSRYIEFYDAMSVPMAIVLTGQLLLGQQGMFARLEDAKAAQSLNGQLDIAGKVIKVSAVTEQVGHPSNRSSLETCEHNEVADRQPHRLVTITMPRTNKIRVPGLLDAQQHTNA >LPERR10G11880.5 pep chromosome:Lperr_V1.4:10:12902028:12907362:1 gene:LPERR10G11880 transcript:LPERR10G11880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFCIFLSIKEKGSTVSRYIEFYDAMSVPMAIVLTGQLLLGQQGMFARLEDAKAAQSLNGQLDIAGKVIKVTLQTARPWKPVSTMR >LPERR10G11890.1 pep chromosome:Lperr_V1.4:10:12908701:12919366:1 gene:LPERR10G11890 transcript:LPERR10G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPGQGAELLSPGEAEWPPELRLPPPPPPLEPPPPPSTPQLRGEPSPPPPPPPSASAAAPPPRHSEGFDDSQFLGSIMGASPAAASHQQQSVAAAAVEQPVVVKRKRGRPPKNRDAAAAAAAAAPPKPVKEDNEEVVCFICFDGGNLVVCDRRGCTKVYHPACIKRDESFFRSRGKWNCGWHICSSCEKAVHYMCYTCTYSLCKGCIKQGKFFGVRGNKGFCDTCYSTILLIESKDEDAAKIKVDFDDKNSWEYLFKLYWLDLKGKHSLTLEELMNAKSCWTVRSTSARREKEESSNDLYDANDDLDASSDGSSRKRKRSCSSGRRGRKRQANGAITAREREISIKVAESLPKRAAKDGMTFRGDTQWASSELLEFIGHMRNGDISYISQFDVQVLLLEYIKQNNLRDPRRKSQIICDARLNNLFRKPHVGHFEMLKLLEMHFHAKETVNGDSQKAIDPGSAQVDSGGYNDMASKLSSDKRRKVHKKVERESPDKLENYAAIDMHNINLIYLRRSLLEDLIDENGTFSDKIAGTFVRIRTGVGQKQDMYRLVKVLGEIFTTTDVTTNSHVSTHKVAERYSVGKKTTDRALEILNLDKKEIITMDTISNQDFTEDECKRLRQSMKCGLITQLKVGDIHKKAKVFQLQRVNDCVEKLQLLSTPEERARRINEDPEVHVDPSMAPNYESADELDVKNAVDWTSRNGSDLLFHGRKGTETNFMQNHTQSCFNTNHQTTISPPTEGVTHRPVEGNLSLLSTNGCDIPEPSIDLNNILCETASLSSSEVVSGEMEPEKVWHYKDPSGNVQGPFTLLQLSKWVSHFPRDLRIWLTFESERSSLLLTEVLSKQQKDFIQPSPEVDNNKSTWEGIGQDRVNSSLAGSNSSSPIDYNVTYSSRLPSPPADCSVSAREGPNFPGGPSPFMTSWKPKKDDQILHGQTQHQGNYSHTITSSVVSYGPAGTCDEWPPQGNSDEWNNRQDSGGMWSPTTPHTSRSNLEHRPDRCITKTQLQNDSDRKSLAGPAENLLQLSIASAKPESCCPVKPIEDGDSRSASRVLNQSGAPFYIPQSAPASSNLSKSEETMNQCKPCEPKASNKSCEPDASNAPANQPPKPEDDPVYTEDFDSTHPCPAPEHDTKEVLKDRLGSTSVAPDESTTKAHEQSSTAFVSETSGPPSGKTDGLQQPKDTSFLLERDLKAGDSITRTEQLKEGSTTFKRENMTVNPISDTEAIVSGVLGSLTETYNLHEETPLENFTPTSAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWGVQDDQSNDMWSLSSPTPALQPSGLGANVKDASRAIEEVIVAQGNSAVVESSRTLEKQIEKVPSASTDCGVLEQVKPKPRASSSPEESAKLSGLQPSSTSLQGSTKSAGLPLSGTSLERGTEPSGLPPSVTSLEWSTKVSGVQSSRSSLEESKKALARQPSGSSLDENTKASGRQPSGSSIDGCKKPSDKQPSGASQEGNAKPTGWQPPVSSLEGGTKASGWKPSISVDVNTKASGWQKSSSSPDGSRKASLWQSSGVSPMEGSTKASGWQRSSSSPDGSKKASVWQSSGLSPVEGSTKASGWQRSSSSPDGSRKASVWQSSGPSPVEGSTKASGWQPSPRESSKPKQNSTWSSSQSRNSSSTHQSTTPTAKYSSETPRRQGNSNSNTAGWGEALGNNKNWNSSSGNATGRGSHHSSHHHDRHSQGSEPWRGSSNHSRRSDHRQDHGSGGSSRSSSKRGICRFYENGYCRRGTSCQYQHR >LPERR10G11900.1 pep chromosome:Lperr_V1.4:10:12920469:12925189:-1 gene:LPERR10G11900 transcript:LPERR10G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQSVLLGSHSLFDDAPLAADDDAAAARHLWTHDSHSLLRPVLRFLEIDHKAWPGVETTAATSEHKHHIGAFLRKVFEDEDDGEAAAAERSEHELALAKAVDAMAMGLENDAVVVAADELTINRDVVRIDQDDGGGEGSSSAEETPGGSRSNKDYRKMAVLYMLLSACVADVNMAEEGMGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEQSRGNESPNSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGSVKEFEFKTIGENHNQGRLAVAILVTGFAFTEEDYLKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAMELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRSDKAGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELAFLGNNEGIVERAVMIGAPVSDKGELWEPARKMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVDVPGVENVDVTELVVGHSSYLTLIKQILDQLELNTYYPVFYPCTPKSK >LPERR10G11900.2 pep chromosome:Lperr_V1.4:10:12920469:12925189:-1 gene:LPERR10G11900 transcript:LPERR10G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQSVLLGSHSLFDDAPLAADDDAAAARHLWTHDSHSLLRPVLRFLEIDHKAWPGVETTAATSEHKHHIGAFLRKVFEDEDDGEAAAAERSEHELALAKAVDAMAMGLENDAVVVAADELTINRDVVRIDQDDGGGEGSSSAEETPGGSRSNKDYRKMAVLYMLLSACVADVNMAEEGMGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEQSRGNESPNSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGSVKEFEFKTIGENHNQGRLAVAILVTGFAFTEEDYLKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAMELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRSDKAGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELAFLGNNEGIVERAVMIGAPVSDKGELWEPARKNRYGILRSVAHYLVHGNDHSCSLLTQGLAGIQAVDVPGVENVDVTELVVGHSSYLTLIKQILDQLELNTYYPVFYPCTPKSK >LPERR10G11910.1 pep chromosome:Lperr_V1.4:10:12930777:12935403:-1 gene:LPERR10G11910 transcript:LPERR10G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMVQFTMEWLQDPLSLVIVVTVAFLLLQIRRSSPATAPLPPGPKPLPIIGNMQMMDQLTHRGLAALAKEYGGLIHLKLGRLHAFAVSTPEFAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGAFWRQMRKLCVVKLFSRRRAETWLAVRDESAALVRAVARHGENGGERVAVNLGELIFSLTKNVIFRAAFGTRGDDERQDEFIGILQEFSKLFGAFNIGDFIPWLRWMDTNGINRRLVAARAALDGFIDKIIDEHIERGKNPDDADADMVDDMLAFLAEVKPNAGKVAAGAGDDDLQNTLQLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPGDLRRLQNELAAVVGLDRNVDERDLENLPFLKCVVKETLRLHPPIPLLLHETASDCLLAGGRYSVPKGSRVMINVWAIGRDRSAWKDADVFRPSRFVAGEGEAEGIDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVARLAHGFDWSLPDGMKPSELDMSDVFGLTAPRAVRLSAVATPRLTCSFE >LPERR10G11920.1 pep chromosome:Lperr_V1.4:10:12945815:12947998:-1 gene:LPERR10G11920 transcript:LPERR10G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATAAAAAAATLLRLPLARLSSHLRSLPSPPIPPPRLRIYTSHRLLSSLLASTHGPPAAASSLAEAVAAPDGEGIEAEEEEEAVSEDGEEARSPSFVLPRLPRPKLSVKERKDLASYAHGLGKRLKSQQVGKGGVTPSMVSAFNDNLESNELLKLKIHGNCPVELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKREQSARSSTRFLRSQVSSEEHPRSFTTKRYVKSGGTFRPQQKRKPLASKGSSYGRG >LPERR10G11930.1 pep chromosome:Lperr_V1.4:10:12949351:12952511:-1 gene:LPERR10G11930 transcript:LPERR10G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAKARLLMEKERGNLLKALGTQVAEPLRSMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVSAMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGVPPPAVESSMPPPPSYEEINGVFMRNTVAELVESVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVAQVF >LPERR10G11930.2 pep chromosome:Lperr_V1.4:10:12949351:12952617:-1 gene:LPERR10G11930 transcript:LPERR10G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGAGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGAENTCTSGSTLSKAAMCFAKARLLMEKERGNLLKALGTQVAEPLRSMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVSAMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGVPPPAVESSMPPPPSYEEINGVFMRNTVAELVESVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVAQVF >LPERR10G11940.1 pep chromosome:Lperr_V1.4:10:12953661:12964437:-1 gene:LPERR10G11940 transcript:LPERR10G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGHRRPPTSTSSSAGSYLTGRLMPRSYSSASSVSSSSHFFGGGSGSRSTTPGRRGSSSSAGVAAPAPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDDYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGIIGGASQEEIISLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSQQRHNSASEEDKSSASQDSSMLVKNDGATKDTLSSALPDAADEINQLRCASGDHSSIAGSGHDEMQATITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLECEIREKRRHMRALEQQLMESGEASVANASLMDMQQTISKLTAQCSEKAFDLELRSADNRVLQEQLQQKNEEISDLQGKVLRLEQQLTTKIEASPEQYTEEEHHDLKSKLQSKEGESEKLKYENLKIMEENRELVNQNHKLCEEVAYAKELASSAAVELKNLAEEVTKQSIQNAKLEKELLIAQEMAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKCASLARLPVQNVLCAS >LPERR10G11940.2 pep chromosome:Lperr_V1.4:10:12954126:12964437:-1 gene:LPERR10G11940 transcript:LPERR10G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGHRRPPTSTSSSAGSYLTGRLMPRSYSSASSVSSSSHFFGGGSGSRSTTPGRRGSSSSAGVAAPAPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDDYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGIIGGASQEEIISLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSQQRHNSASEEDKSSASQDSSMLVKNDGATKDTLSSALPDAADEINQLRCASGDHSSIAGSGHDEMQATITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLECEIREKRRHMRALEQQLMESGEASVANASLMDMQQTISKLTAQCSEKAFDLELRSADNRVLQEQLQQKNEEISDLQGKVLRLEQQLTTKIEASPEQYTEEEHHDLKSKLQSKEGESEKLKYENLKIMEENRELVNQNHKLCEEVAYAKELASSAAVELKNLAEEVTKQSIQNAKLEKELLIAQEMAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKCASLARLPVQNVLCAVQESQTG >LPERR10G11940.3 pep chromosome:Lperr_V1.4:10:12953661:12964437:-1 gene:LPERR10G11940 transcript:LPERR10G11940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGHRRPPTSTSSSAGSYLTGRLMPRSYSSASSVSSSSHFFGGGSGSRSTTPGRRGSSSSAGVAAPAPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGIIGGASQEEIISLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSQQRHNSASEEDKSSASQDSSMLVKNDGATKDTLSSALPDAADEINQLRCASGDHSSIAGSGHDEMQATITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLECEIREKRRHMRALEQQLMESGEASVANASLMDMQQTISKLTAQCSEKAFDLELRSADNRVLQEQLQQKNEEISDLQGKVLRLEQQLTTKIEASPEQYTEEEHHDLKSKLQSKEGESEKLKYENLKIMEENRELVNQNHKLCEEVAYAKELASSAAVELKNLAEEVTKQSIQNAKLEKELLIAQEMAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKCASLARLPVQNVLCAS >LPERR10G11940.4 pep chromosome:Lperr_V1.4:10:12954126:12964437:-1 gene:LPERR10G11940 transcript:LPERR10G11940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGHRRPPTSTSSSAGSYLTGRLMPRSYSSASSVSSSSHFFGGGSGSRSTTPGRRGSSSSAGVAAPAPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGIIGGASQEEIISLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSQQRHNSASEEDKSSASQDSSMLVKNDGATKDTLSSALPDAADEINQLRCASGDHSSIAGSGHDEMQATITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLECEIREKRRHMRALEQQLMESGEASVANASLMDMQQTISKLTAQCSEKAFDLELRSADNRVLQEQLQQKNEEISDLQGKVLRLEQQLTTKIEASPEQYTEEEHHDLKSKLQSKEGESEKLKYENLKIMEENRELVNQNHKLCEEVAYAKELASSAAVELKNLAEEVTKQSIQNAKLEKELLIAQEMAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKCASLARLPVQNVLCAVQESQTG >LPERR10G11950.1 pep chromosome:Lperr_V1.4:10:12970655:12975521:-1 gene:LPERR10G11950 transcript:LPERR10G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGAVGASPENGMSTAPATPGTPAPLFAEGRVDSLSYERKSMPRCKCLPVEAWASSTNGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGSAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSVCAAFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILIAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLIAPTLGAIAGAGVYTAVKLRDENGETPRPQRSFRR >LPERR10G11960.1 pep chromosome:Lperr_V1.4:10:12985287:12989745:1 gene:LPERR10G11960 transcript:LPERR10G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEAKRHLGDIDDDMTCQLASTQPENHGPNGPRGPIPNVSLRVSNFLPPHQIIPFPIFSSSSLPFASVSHRRGGRRTSTLLPPPRRFIEIELIPAAEMSRAHGSPRSFFPVGNPFRVMFPGGAHLSRKLQESLTSYEDALALSLRKLKPDAASDVFTLSWMRLAVDCLSELHTNISTLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDQGQLHLQFALHVLGSESGIPSQEQLRRAEPSLREWMELVGVRCPRLVSCSTALQELAENLSLMKVKHSAKGKVLMRALYGIESVTVFICSVFVAVLSGSTKPLVELHVPEKFGWSRAFNDLLAAISEELTSQLSGGRVAAVKELEEVEACAKRLHVLATTSQVEEETANLANAVSHTKEEIMSDSIAQEGDHQFSLKLADDTTRECEMVMPESIAEEGKQEAEMEDTKTTICKKELAMVERISYKEHQDSNIKQVNGSNDEISLVVPERTNAQESKDELFNCISSMLKSAEELRLGLDSLSKRVGDFFQIVLTGRDALLCNLRISDATSKVAEVSS >LPERR10G11960.2 pep chromosome:Lperr_V1.4:10:12985287:12989745:1 gene:LPERR10G11960 transcript:LPERR10G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEAKRHLGDIDDDMTCQLASTQPENHGPNGPRGPIPNVSLRVSNFLPPHQIIPFPIFSSSSLPFASVSHRRGGRRTSTLLPPPRRFIEIELIPAAEMSRAHGSPRSFFPVGNPFRVMFPGGAHLSRKLQESLTSYEDALALSLRKLKPDAASDVFTLSWMRLAVDCLSELHTNISTLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDQGQLHLQFALHVLGSESGIPSQEQLRRAEPSLREWMELVGVRCPRLVSCSTALQELAENLSLMKVKHSAKGKVLMRALYGIESVTVFICSVFVAVLSGSTKPLVELHVPEKFGWSRAFNDLLAAISEELTSQLSGGRVAAVKELEEVEACAKRLHVLATTSQVEEETANLANAVSHTKEEIMSDSIAQEGDHQFSLKLADDTTRECEMVMPESIAEEGKQEAEMEDTKTTICKKELAMVERISYKEHQDSNIKQVNGSNDEISLVVPERTNAQESKDELFNCISSMLKSAEELRLGLDSLSKRVGDFFQIVLTGRDALLCNLRISDATSKVAENSLLSSCHEGRGDS >LPERR10G11970.1 pep chromosome:Lperr_V1.4:10:12989986:12990541:1 gene:LPERR10G11970 transcript:LPERR10G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTPSWLLLLAALAIPLAVGTTVNFMVAEMGRDEKEWEKPMEFMPERFLAGGDGEGVDVTGSKGIRMMPFGAGRRICAGIGVAMLHLEYFVANMVREFEWKEVAGDEVDFAERREFTTVMAKPLRAQLIKRA >LPERR10G11980.1 pep chromosome:Lperr_V1.4:10:12991601:12993139:-1 gene:LPERR10G11980 transcript:LPERR10G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLTIAAVILLPLLFLFLHNASSRRRLPPGPPALPLFGNLLWLRHSAADVEPLLLKLFKQYGPVVTLRIGSRLSIFVADRKLAHAALIGAGAALADRPTAATSSLLGVTDNIITRANYGATWRLLRRNLVSHTLQQSRVDAFAPARVWVRRVLVEKLAAGETSSPGNVMEAFQYTMFCLLVLMCFGERLDEPAVRAIEESERAWLIYISRRMSVFFFFPSITKHLFRGRLETAHALRRRQKDLFMPLINARREFKRQASPPASETTFQHSYVDTLLDVKIAEEGNRSLTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPSIQSKLYSEIKSVVGDDDNDGEVLERNIRDKDNKMPYLNAVIKEGLRKHPPGHFVLPHKAAEDIEIGGYLIPKGTTVNFMVAEMGRDEKEWENAMDFSPERFLDGGHGVGVDMHGTKGIKMMPFGVGRRICAGLNIAMLHLEYFVGSMVMEFEWKEAEGFEVEFSEKREFTTVMAKPLRPRLVPRRS >LPERR10G11990.1 pep chromosome:Lperr_V1.4:10:12993793:12995367:1 gene:LPERR10G11990 transcript:LPERR10G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHLLLAAILLILLPFLVVFGAGDSRRRRLPPGPPSLPLIGSTVWLTNSLADAVPLLRRLIARHGPVVSLRVGSTRLLVFVADRRLAHAALVERGAALADRPSLTSTTLLGENDNLISRASYGATWRLLRRNLVSEMLHPSRVRLFSPARSWVRRVLIEKLRESGDSGAVVGTFQYAMFCLLVLMCFGERLDEPAVRAVAAAQRDALLYMSSKMAVFAFFPAITRHVFRRRLLTAHALRRRQKELFLPLINARRKFKKSHGGGVAGGEARKETTFEHSYVDTLLDIRLPDADSVNVGDRALTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEINSKITTTTGADIDGEVTEEDTHNMPYLKAVILEGLRKHPPGHMVLPHKAAEEIEIGGYVIPKGTTVNFMVAEMGRDEKEWEKPMEFIPERFLAGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNIAMLHLEYFVANMVREFEWKEVAGDEVDFAEKTEFTTVMAKPLRARLVPRRT >LPERR10G12000.1 pep chromosome:Lperr_V1.4:10:12997773:12999302:-1 gene:LPERR10G12000 transcript:LPERR10G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYIILGAILAAAIAAVVIQFRGNNGGRRLPPGPPTVPLLGSVVLLTKMLTDVEPETLLRRLISQYGPIVSLRKGTHVSVFISDHRLAHVALVEGGAALSDRPSPPENFLLGETNNLITRGSYGATWRLLRRNLVSETLHPSRVRHVFAPARTWARHVLLARMRASPEKHDVMDTLRYVTFYLLVAMCFGERLDEATVRDVSATQHDILVHMSSFMGVFAYFPAITKHIFRRRLERVYSLRRRQRELFLPLINLRRKHKTNHVAGENTLENCYVDTLLDIKLPDERRALTDDEIIKLCSEFLNGGTDTTATGLEWIMAELIKNPTIQTKLHNEIKSKITTTNNDEVTEEDIQEMPYLRAVVMEGLRKHPPAHFVLPHRAAEDIAVGGYVIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSKGRIRMMPFGVGRRICAGLNIAMLHLEYFVANMVMEFEWKEVAGDEVDFTEKREFTTVMAKPLRARLVPRRG >LPERR10G12010.1 pep chromosome:Lperr_V1.4:10:13010020:13012098:1 gene:LPERR10G12010 transcript:LPERR10G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISSSGASRAAPAYSISRYFSTVLDGLKYSSSHEWVKNDGSVATIGITDHAQGHLGEVVFVELPDAGTKVSQGGAFGNVESVKATSDVNSPISGEVVEVNTKLTETPGLINSSPYEDGWMIKVKPSSPSEIDGLLDAAKYTKHCEEEDAH >LPERR10G12020.1 pep chromosome:Lperr_V1.4:10:13014342:13015506:-1 gene:LPERR10G12020 transcript:LPERR10G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMYSFFCCSCGGNTAAANCGGERECDDDDAWAGEDGGKGGGGVVGAAARRLSWAQVEAMTGGFTSAVVGEGGFSTVYLARISGALAAVKVHRSSERLHRVFRQELDALLRLRHPHIVRLLAFCDQQEEEVLVLEFAANGNLHERLHGGGKGSGAMPWARRVTVALQVARALEYLHDRCEPAVVHGDVKASNVLLDASMSAKLCDFGSSRMGFSAAVRAPRSSAPRHTMLGSPGYVDPHYIRSGMVTKKSDVYSFGVLLLELLTGMEAFCAAEGRLLTAVLAPRLKPAGAAVHDARMLVDERLGSAYDAGEAAAVAAVAAACVGENPTLRPSMADVVRSLEAISAVDGRSDGGNMKL >LPERR10G12030.1 pep chromosome:Lperr_V1.4:10:13021235:13026877:1 gene:LPERR10G12030 transcript:LPERR10G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLRVAAAAAARLAGAPRWGPAAAATVRWLSGGRESMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIKEEKHKPGSVVHTVGWPLDLNTYGGSFIYHLDNRQLSIGLVVALNYRNPFMSPYDEFQKFKQHPAVRKILEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEASFKTLVEGSSMELYWENLKKSWIWEELYKARNYRPAFEYGFIPGMALSAVERYIFKGKAPFTLKHGRPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVSDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >LPERR10G12030.2 pep chromosome:Lperr_V1.4:10:13021235:13026877:1 gene:LPERR10G12030 transcript:LPERR10G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLRVAAAAAARLAGAPRWGPAAAATVRWLSGGRESMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASVATNDVGIAKDGSKRETFQPGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIKEEKHKPGSVVHTVGWPLDLNTYGGSFIYHLDNRQLSIGLVVALNYRNPFMSPYDEFQKFKQHPAVRKILEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEASFKTLVEGSSMELYWENLKKSWIWEELYKARNYRPAFEYGFIPGMALSAVERYIFKGKAPFTLKHGRPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVSDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >LPERR10G12030.3 pep chromosome:Lperr_V1.4:10:13021235:13026877:1 gene:LPERR10G12030 transcript:LPERR10G12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLRVAAAAAARLAGAPRWGPAAAATVRWLSGGRESMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGVELRGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVS >LPERR10G12030.4 pep chromosome:Lperr_V1.4:10:13021235:13025324:1 gene:LPERR10G12030 transcript:LPERR10G12030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLRVAAAAAARLAGAPRWGPAAAATVRWLSGGRESMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIKEEKHKPGSVVHTVGWPLDLNTYGGSFIYHLDNRQLSIGLVVALNYRNPFMSPYDEFQKFKQHPAVRKILEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEASFKTLVEGSSMELYWENLKKSWIWEELYKARNYRPAFEYGFIPGMALSAVERKGTLYVKAWET >LPERR10G12040.1 pep chromosome:Lperr_V1.4:10:13029166:13029978:-1 gene:LPERR10G12040 transcript:LPERR10G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPPPASSPESPFRVFVGYDPREHEAYEVCRRSLLRHASIPVDVIPIRQLELRAAGLYWRERGPTESTEFSFTRFLTPYLAGFNGWALFVDCDFLYLADIAGLLSCLHSTPNSDRLAVACVKHEYAPAESTKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPAAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPDDPATLPKAIHYTSGGPWFERYRNCEFAELWIKEAEELKADKEKEEKKQLLVKANGEEEKEGN >LPERR10G12050.1 pep chromosome:Lperr_V1.4:10:13029698:13030437:1 gene:LPERR10G12050 transcript:LPERR10G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAEWGEIGLERRGGTKNQRWQMKRRKTSWGERERGSGGVKSVGASVTAMGAGRGGNTATAWKGIQVDLFLPDFARRWAARASWSRHGHVRR >LPERR10G12060.1 pep chromosome:Lperr_V1.4:10:13030868:13035020:1 gene:LPERR10G12060 transcript:LPERR10G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFICFYLNHVLVPALQFPHQSSGDAYLSTGINCLTASGNLYYGVQMLTGTTKLAGSLSLWSLKYCCQQLVLASLVG >LPERR10G12070.1 pep chromosome:Lperr_V1.4:10:13042494:13044836:-1 gene:LPERR10G12070 transcript:LPERR10G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFRDATLKGPLWEEVSRKLAEEGYRRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTAAGGATAAPAPVTSLAPPATALRAPAEPPPVVAPPPLPAMGTTNMMSFSTSNTEEYSDEEEDDDDDSDDDGTEDIGGGGDERGKRKRVSEGGAGAAGAGTGGGGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVVSFIQKITGQTIPMPPPPIPAPAITIIPPPSQPPPPPPPSQQAPPPPPSQPSPSPSPQTTKSQLTPTPTPTQQQHHQNQHQHDIVMTPAGGDHDGSGGGGGGQASSSRWPKAEVHALIQLRSNLDNRYQEAGPKGPLWEEISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNASSGGGAAAALPPPDHTDPAPATTAVTVAAPISQTPPATTSATTNGGGAADGGGGSGGMQVTASNGSVVAGGGGKFFTGTAAAKKVSELS >LPERR10G12080.1 pep chromosome:Lperr_V1.4:10:13061932:13067453:-1 gene:LPERR10G12080 transcript:LPERR10G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHHAAPPPPPPPPISILPPRLCPLATAVLALLSLLLATALWVLLVLSPSQSPTAHAALSDAADWAFAAATAGGEGGGGEASSSSSSPLSLGHIVFGIAGSAQLWPRRREYVRLWWDPSAMRGHVWLDAGAPPAAPGPSAPGDGGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGVGGGGARWVVLVDDDTVVSADNLVAVLGKYDWREMVYVGAPSESHSANTYFSHGMAFGGGGVALSFPLATALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTDSMSFLQRSICYDKRQKLTYAVSLGYVIQVYPNVLLPRELERSERTYIAYNRMSQRTEFDFDTKDVQKSLCKKPILFFLRDVWKDGNITRGSYIRSSVRDDLKNKVFCFRSPPLPDIDEIQVSASPLSKTWHLEYRCFGIR >LPERR10G12080.2 pep chromosome:Lperr_V1.4:10:13065113:13067453:-1 gene:LPERR10G12080 transcript:LPERR10G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHHAAPPPPPPPPISILPPRLCPLATAVLALLSLLLATALWVLLVLSPSQSPTAHAALSDAADWAFAAATAGGEGGGGEASSSSSSPLSLGHIVFGIAGSAQLWPRRREYVRLWWDPSAMRGHVWLDAGAPPAAPGPSAPGDGGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGVGGGGARWVVLVDDDTVVSADNLVAVLGKYDWREMVYVGAPSESHSANTYFSHGMAFGGGGVALSFPLATALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTDSMSFLQRSICYDKRQKLTYAVSLGYVIQVYPNVLLPRELERSERTYIAYNRMSQRTEFDFDTKDVQKSLCKKPILFFLRDVWKDGNITRGSYIRSSVRDDLKNKVFCFRSPPLPDIDEIQVSASPLSKTWHLVCSNIPNNISYLVSTYAFANYHFIVHTADIGTKKVMQCA >LPERR10G12090.1 pep chromosome:Lperr_V1.4:10:13070694:13079373:1 gene:LPERR10G12090 transcript:LPERR10G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKSDHHEQNLTSPRGLIHKVLRRASSRRSPTAADPNPSPVFVETSNSKFLKQKDIDDAIKDPEKAGTNDNRIEDEKSDLLGYEMCSGKLTLDNKSKSASGEQSVSGSSSNCFDARLTTEALVWGSNILELEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLRFLSTSPQDAFRWVKGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPYVKSRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGLTPIDVFAVEWIQSGTIHFGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDVSGSEHKIVEGQEKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPRANNEPSELVRAIDPKSKRLSIGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTDKAWPGSSAANDAKSSRGTTTTVHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPKWDNQPNWEPEPPIDLPGPLDDIELGLTKELVPSLGERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLRFLVFLQFGKHISLPNVEYLKVRSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >LPERR10G12090.2 pep chromosome:Lperr_V1.4:10:13070694:13081217:1 gene:LPERR10G12090 transcript:LPERR10G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKSDHHEQNLTSPRGLIHKVLRRASSRRSPTAADPNPSPVFVETSNSKFLKQKDIDDAIKDPEKAGTNDNRIEDEKSDLLGYEMCSGKLTLDNKSKSASGEQSVSGSSSNCFDARLTTEALVWGSNILELEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLRFLSTSPQDAFRWVKGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPYVKSRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGLTPIDVFAVEWIQSGTIHFGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDVSGSEHKIVEGQEKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPRANNEPSELVRAIDPKSKRLSIGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTDKAWPGSSAANDAKSSRGTTTTVHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPKWDNQPNWEPEPPIDLPGPLDDIELGLTKELVPSLGERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLRFLVFLQFGKHISLPNVEYLKVRSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >LPERR10G12090.3 pep chromosome:Lperr_V1.4:10:13070694:13081217:1 gene:LPERR10G12090 transcript:LPERR10G12090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKSDHHEQNLTSPRGLIHKVLRRASSRRSPTAADPNPSPVFVETSNSKFLKQKDIDDAIKDPEKAGTNDNRIEDEKSDLLGYEMCSGKLTLDNKSKSASGEQSVSGSSSNCFDARLTTEALVWGSNILELEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLRFLSTSPQDAFRWVKGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPYVKSRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGLTPIDVFAVEWIQSGTIHFGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDVSGSEHKIVEGQEKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPRANNEPSELVRAIDPKSKRLSIGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTDKAWPGSSAANDAKSSRGTTTTVHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPKWDNQPNWEPEPPIDLPGPLDDIELGLTKELVPSLGERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLRFLVFLQFGKHISLPNVEYLKVRSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >LPERR10G12090.4 pep chromosome:Lperr_V1.4:10:13071738:13081217:1 gene:LPERR10G12090 transcript:LPERR10G12090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKSDHHEQNLTSPRGLIHKVLRRASSRRSPTAADPNPSPVFVETSNSKFLKQKDIDDAIKDPEKAGTNDNRIEDEKSDLLGYEMCSGKLTLDNKSKSASGEQSVSGSSSNCFDARLTTEALVWGSNILELEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLRFLSTSPQDAFRWVKGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPYVKSRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGLTPIDVFAVEWIQSGTIHFGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDVSGSEHKIVEGQEKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPRANNEPSELVRAIDPKSKRLSIGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTDKAWPGSSAANDAKSSRGTTTTVHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPKWDNQPNWEPEPPIDLPGPLDDIELGLTKELVPSLGERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLRFLVFLQFGKHISLPNVEYLKVRSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >LPERR10G12100.1 pep chromosome:Lperr_V1.4:10:13080368:13080991:-1 gene:LPERR10G12100 transcript:LPERR10G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRSSLSLQPPPFLLIVLLTAAMAVPAARAAWVDYPSGVPCGETIPVEQCDPGDAAANSACRDMCHYGGCRRGGECVSLGFGRGRGCHCKC >LPERR10G12110.1 pep chromosome:Lperr_V1.4:10:13099482:13106231:1 gene:LPERR10G12110 transcript:LPERR10G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAMPFAPQPHTSGGSLRRPSPPPAAALRLGLPLFWPWEKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDNELQECFDLALKNGINLFDTADSYGTGRLNGQSERLLGKFIREFEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQIDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHGYLASRGVPLSSAQVQFSLLSIGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLICLKNIAQRKGKTMSQVAINWCICKGTIPIPGVKTVRHVEDNLGALGWRLSPTEVSELEAAAMESPNKMVQNIFQTA >LPERR10G12120.1 pep chromosome:Lperr_V1.4:10:13103195:13106247:-1 gene:LPERR10G12120 transcript:LPERR10G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVMAAAAAASDLVTLKRPLQNGGDDDNNDAKSALRRRAAESDPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDLYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRASGVRATFVDADDEEAVREAVRKGETKVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPMVVSPARLGADVVVHSVSKYISGGADIIAGAICGPASLVNSMMDLQDGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRAAEYAARMRRMGLRVTYPGLPDHPHHARLRAMGNPGYGAGGMLCVDMGSEERANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMSPEERARAGISPGLVRMSVGYNGTLEQRWAQFERALSLMQMQQSDRDAANAKYCKV >LPERR10G12130.1 pep chromosome:Lperr_V1.4:10:13114270:13114782:-1 gene:LPERR10G12130 transcript:LPERR10G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTVTTAVPVIGKESSREGSAEITTGARECFKRILELTKSIGFPTTLPLRQLVECGLVRDTGFVWMKQKKPYEHYFRATGTWVRYETEVTAFVEEGRIKRMNGMKSKQLLMWVPIVEMRLDGDARDWIYFKSSIGIGRSFPASVFADEVAAAEAFANEADTDLANDR >LPERR10G12140.1 pep chromosome:Lperr_V1.4:10:13116045:13116593:-1 gene:LPERR10G12140 transcript:LPERR10G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTETTTATAATATAIVGKEREGAEIATGAEECFKQSMELMRALGFPEGMMPLRELEECGLVRETGFVWMKQKKPYEHYFRGTGTKVRYDTEVTAFVEEGRMKRMTGVRSKQLLLWVPIVEMSLDGEARDKIYFKSSVGIGRSFPASAFADETAAAAAFIKEAEAAAATAPVDVAAADK >LPERR10G12150.1 pep chromosome:Lperr_V1.4:10:13117562:13123097:1 gene:LPERR10G12150 transcript:LPERR10G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGYVERVAAGLVGPVAGGGRWNTAVAVGVTAAAGIALVVIVVSSRRGGLKSPWWRRRRKAALKEQEWVSLFTPEGKLQDGGVKLVKKVRSGGIEPSIRAQVWPFLLGVYSLDSSEAEREAVKAHNRKGYLLLRKRCLQKSVYSNEKSKQSNETAGVNHVERVSSEKGEDTVSPVGSEEIPEKSSVEEHLVNKDSVGPVGSEEAPEKSCVEDHLVSEEVNPFANSEEKVQGDTSKTIPEKLTDENHSSSSSSSEEGSEKSGLTHVETSRVDVASVQQSLTEDEQESIPRYSNTGGNLENDSELSKVTRPVKSARAVEDFETWQRIIRLDAVRANDEWASYSPSQAAVSRDKAIESAKAVCLKDYEHLEPHRIHHASRLVAILEAYAIYDPEIGYCQGMSDLLAPMLAVLEDDNEAFWCFTGFMRKARHNFRLDEVGIRRQLNMVSRIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVMTLHSRIE >LPERR10G12150.2 pep chromosome:Lperr_V1.4:10:13117562:13121342:1 gene:LPERR10G12150 transcript:LPERR10G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGYVERVAAGLVGPVAGGGRWNTAVAVGVTAAAGIALVVIVVSSRRGGLKSPWWRRRRKAALKEQEWVSLFTPEGKLQDGGVKLVKKVRSGGIEPSIRAQVWPFLLGVYSLDSSEAEREAVKAHNRKGYLLLRKRCLQKSVYSNEKSKQSNETAGVNHVERVSSEKGEDTVSPVGSEEIPEKSSVEEHLVNKDSVGPVGSEEAPEKSCVEDHLVSEEVNPFANSEEKVQGDTSKTIPEKLTDENHSSSSSSSEEGSEKSGLTHVETSRVDVASVQQSLTEDEQESIPRYSNTGGNLENDSELSKVTRPVKSARAVEDFETWQRIIRLDAVRANDEWASYSPSQAAVSRDKAIESAKAVCLKDYEHLEPHRIHHASRLVAILEAYAIYDPEIGYCQGMSDLLAPMLAVLEDDNEAFWCFTGFMRKARHNFRLDEVGIRRQLNMVSRIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVMTLHSRIE >LPERR10G12150.3 pep chromosome:Lperr_V1.4:10:13117562:13121147:1 gene:LPERR10G12150 transcript:LPERR10G12150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGYVERVAAGLVGPVAGGGRWNTAVAVGVTAAAGIALVVIVVSSRRGGLKSPWWRRRRKAALKEQEWVSLFTPEGKLQDGGVKLVKKVRSGGIEPSIRAQVWPFLLGVYSLDSSEAEREAVKAHNRKGYLLLRKRCLQKSVYSNEKSKQSNETAGVNHVERVSSEKGEDTVSPVGSEEIPEKSSVEEHLVNKDSVGPVGSEEAPEKSCVEDHLVSEEVNPFANSEEKVQGDTSKTIPEKLTDENHSSSSSSSEEGSEKSGLTHVETSRVDVASVQQSLTEDEQESIPRYSNTGGNLENDSELSKVTRPVKSARAVEDFETWQRIIRLDAVRANDEWASYSPSQAAVSRDKAIESAKAVCLKDYEHLEPHRIHHASRLVAILEAYAIYDPEIGYCQGMSDLLAPMLAVLEDDNEAFWCFTGFMRKARHNFRLDEVGIRRQLNMVSRIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVMTLHSRIE >LPERR10G12160.1 pep chromosome:Lperr_V1.4:10:13120887:13122799:-1 gene:LPERR10G12160 transcript:LPERR10G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKVFGFEEVASHNVTKDCWLIIAGKVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYHIGEIDASTIPLKRTHVTPQQVPGNPDKGDDMLIKILQFLVPILILGLAFAIRQYTKSE >LPERR10G12170.1 pep chromosome:Lperr_V1.4:10:13123208:13131138:1 gene:LPERR10G12170 transcript:LPERR10G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAGGGAGVANGAAGVVEEEEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLVELKEDGEAAGGSGGGVKPAFGARSGIGSVDAEGSSYSQESTQQLSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYINAIERNHDDPDAYYNWALVLQESADNVDPHSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVLLSWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGRPGVSPSELYSQSAIYVAAAHALKPNYSVYHKVTLLLLSFYVVQLPLPYLKVGYLTAPPENKAIAPHKEWERAQFVLNHEGLEQVASDQPPQTQSPEHLDSGRKLFRVAVADIISVSACADLTLPPGAGLCIDTIHGARFLVADNWEALDGWLDAIRLVYTIFARGRSDVLAGIITG >LPERR10G12170.2 pep chromosome:Lperr_V1.4:10:13123208:13131138:1 gene:LPERR10G12170 transcript:LPERR10G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAGGGAGVANGAAGVVEEEEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLVELKEDGEAAGGSGGGVKPAFGARSGIGSVDAEGSSYSQESTQQLSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYINAIERNHDDPDAYYNWALVLQESADNVDPHSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVLLSWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGRPGVSPSELYSQSAIYVAAAHALKPNYSVYHSALRLVRSMLPLPYLKVGYLTAPPENKAIAPHKEWERAQFVLNHEGLEQVASDQPPQTQSPEHLDSGRKLFRVAVADIISVSACADLTLPPGAGLCIDTIHGARFLVADNWEALDGWLDAIRLVYTIFARGRSDVLAGIITG >LPERR10G12180.1 pep chromosome:Lperr_V1.4:10:13142934:13152362:1 gene:LPERR10G12180 transcript:LPERR10G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSLMEEVGYGAHAVVYRAVFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVDHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTTEVEKDSFSRNHLGKSSSTNENVFSGRASTIAANSDGKGDLAFDSFDFDDHNVDAKIVPNGYDNARSDNNSSPSTSKQDPESKYWRSTSGQKQQISGTPAVHSGGENISTAEKSRGVERDATVQLASDKHRTETRRAANLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQGSPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSVLIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLSGISPQLRNMDSETTVGSVNSDKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDENEET >LPERR10G12180.2 pep chromosome:Lperr_V1.4:10:13142649:13152362:1 gene:LPERR10G12180 transcript:LPERR10G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRSASAGGGAASAAAAGAAPSFTANPRDYQLMEEVGYGAHAVVYRAVFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVDHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTTEVEKDSFSRNHLGKSSSTNENVFSGRASTIAANSDGKGDLAFDSFDFDDHNVDAKIVPNGYDNARSDNNSSPSTSKQDPESKYWRSTSGQKQQISGTPAVHSGGENISTAEKSRGVERDATVQLASDKHRTETRRAANLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQGSPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSVLIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLSGISPQLRNMDSETTVGSVNSDKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDENEET >LPERR10G12180.3 pep chromosome:Lperr_V1.4:10:13142649:13152362:1 gene:LPERR10G12180 transcript:LPERR10G12180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRSASAGGGAASAAAAGAAPSFTANPRDYQLMEEVGYGAHAVVYRAVFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVDHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKYVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTTEVEKDSFSRNHLGKSSSTNENVFSGRASTIAANSDGKGDLAFDSFDFDDHNVDAKIVPNGYDNARSDNNSSPSTSKQDPESKYWRSTSGQKQQISGTPAVHSGGENISTAEKSRGVERDATVQLASDKHRTETRRAANLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQGSPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSVLIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLSGISPQLRNMDSETTVGSVNSDKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDENEET >LPERR10G12190.1 pep chromosome:Lperr_V1.4:10:13152698:13155358:-1 gene:LPERR10G12190 transcript:LPERR10G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQREEQSSGRRQPETGKNLIKIPSYQEVFGSGTGASSSAAPPSYNPSPPNAAGAAASLSSSSSSSFSQAFSFLKSTEFYSPPPPPPQATTPRPPQTSLASSAPKNAILVSHRQKGNPLLKHIRNARWTYADIVPDYVLGQSSCALYISLRYHLLHPDYLYYRIKELQKNFKLRVILCHIDVEDVVKPLHEVTRTALLHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRASSRTNIVIPDTPDREKGSDQPSSTSDGAQGDVEKPDALKSSNVRSALTAAFAKYSEKIHNQNRDSTSVAGEDTSRSTTEDKKDR >LPERR10G12200.1 pep chromosome:Lperr_V1.4:10:13163830:13165398:-1 gene:LPERR10G12200 transcript:LPERR10G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRDGGGGGVVGIVAYAALAVVALRVVLSYKSVAHAARRLWRWADEWAQAYQYYDVPRFVSVSGGDGAENPLFRKATAYVAALPSLEDADAACVVSSAHKTNDFSLHLGPGHTAHDAFLGARLAWTNAAAGGERLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLHANTGGLSPMRWASAPFTHPATLDTVAMDPELKSRVRADLESFLKGRAYYHRLGRAWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRSGCDDLRGLLMDTAPRSLILIEDLDRYLCGGDGETPAARTSRMLSFMDGLSSCCGEERVMVFTMSGDKDGLDPAVLRPGRLDVHISFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHAGGGKRLSPAELGEIMLANRGSPSRALRTVINALQHVAAPAATAPPRASSAAAVATSRPPTSLTGRWSGNLDEASGANQSPSGGGGGGGFGKDAPMREIKKLYGLIKIRSRKDGGVVPVDDTAPANGRGSDVSADKDR >LPERR10G12210.1 pep chromosome:Lperr_V1.4:10:13172408:13176622:1 gene:LPERR10G12210 transcript:LPERR10G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAALELGKSGKDRVTTTPAFNAFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISACTLFLPVVTNFLVPTSSEKGGSISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITIMFGMCAIFLFMAAILQRRLMVVSDLHRSTKAVEMTGEDEPLNP >LPERR10G12220.1 pep chromosome:Lperr_V1.4:10:13176531:13177109:-1 gene:LPERR10G12220 transcript:LPERR10G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFPSGSDSSGETGRRKYNPYHDLNTPYSYKTLYELPTSPEFLFPEESTAQRRSWGENLTYYTGVGYLSGAVAGAALGLRAAAAGAEVGDTAKIRANRVLNSCGSSGRRVGNRLGVIGLMYAGMESAMVAVRDRDDWINSVAAGLGTGALFRAANGPRSAAVAGAVGGVLAGAAMAGKQFAKRYVSAI >LPERR10G12230.1 pep chromosome:Lperr_V1.4:10:13180916:13182208:1 gene:LPERR10G12230 transcript:LPERR10G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSRACRPRRGAELAGGSSRDWAWLHADPLRSVFRRLAGERERVRFAAVCKNWGSAAAAWPARPWLVGSRRNDRSGTGSATASAFWISHASDRGLVPFSAAAAVPIPAGSEFLSSTRGYVAICRPEESPRAITLYNPVTARRIPLPAIGFFKRWHDVGTVVLSADPDTAAEWSAVAVGYPANCIAYYSSATNDWTPVTFNSAGYAGVEHFRGQFYVAFKAQIGVLDLDDDVPTINVLEIAGDDDDGGSDSDASSGAGNKYAGLEDEDPPRKRIVESHLVECNGELLLVVMHDEVQYTDSKAAAAAAIVVGGRSSRNKSDERWVDVFQVEWVQNGRVRLLRMEDLGSYALFIDRNHAFALSPEEFPAILANCIYIVEQQGHPDGLVRVVNFNDDTNEWVVGDEDIFPDDGKLGCTLVGWALRGWVLPKY >LPERR10G12240.1 pep chromosome:Lperr_V1.4:10:13183328:13183696:1 gene:LPERR10G12240 transcript:LPERR10G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTHTDDPTWAAPPALACWLSHGGDRGLIPFAATAAAVLVLTESEFLSLLWGYVAISRPEENPRAITLYNSITACRIPLSAISFFKRWHDVATVVLSTDPTRRRSGPPSSSATRQTPRLL >LPERR10G12250.1 pep chromosome:Lperr_V1.4:10:13183716:13184105:1 gene:LPERR10G12250 transcript:LPERR10G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAFNSAGYAGIGILDLDGKVPTINPLEIAGDDGLESDASSGSGNNYAGLEDEDHPSKRIIESHLVECNGELLHVVMHDENGESKATAAAAIAIGGRNSRNKSDERWVDVY >LPERR10G12260.1 pep chromosome:Lperr_V1.4:10:13184879:13185896:1 gene:LPERR10G12260 transcript:LPERR10G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHYNPVTTCRVPLPTISFFKRWHNVSTTVLLADPDMATEWSALAVGYPVICLAFYCSIVNDWMPIAFNSASYACIEHFRGRLYVTFKSWIGILDLDGDVLAINPLEIAGDGDNDYSESDARDGPSPKIKWGHLLDLLDEDPPSKRIVESHLVECNGELLLMVMHVEGQYNNSKVEWVGDGAVRLLRMEDLGSFALFICRNHVFALSPKEFPTILANCVYIVEQQCQPDGLVRVVNFNDDTNEWVGDKDIFPDDGKLRCTLVGWAFRGWVLPKY >LPERR10G12270.1 pep chromosome:Lperr_V1.4:10:13196262:13204827:-1 gene:LPERR10G12270 transcript:LPERR10G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERDVVVSEDAAAAAAAASSSSFAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRFHLEEEEMDSEGEDVSILSEELIQKVMSGDEIKLEDLSEDEIKRFRQALASGELSKMIEPWTPWWKKPSARSIALSPDGSQLIRHVSVEDASISDPMADQESISEIPEGPESSLPSLKQLTRAEPSPLLAVHLVDILYCYCFTLRLYNGDWHSDPFGASTVAMSMSKVMGDDAKPETVSEALTACIEETCSPAYRHTGGFKFAIGLVDDIISLLSLGGNALVCALCDFRRLIHTSERMFKAEKLGKTERARSTQKLRGADRKLYFMTCWVHEQPNEAWPSLARLVEVQKASLEELNGSSQLHRDDRKKDAQSKLFPPPPAAAAAAARSEADLVARPPPPPQQQPGIAWKLVTLPFYVVSGGVGLIAGSIRLGAWVAGGVLSRSLSLIGFAQGGGGGDRLLELPPSAAEAVDFVAEFEREFGAGRGPRFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGSLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGAVEECSASLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERQQREEQEKREREAAEAERKRKQEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPERGPDVTRVLIRFPTGERKERRFHSNTTITSVYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKFSQTLEEAGLHPQASLFIEIEQ >LPERR10G12280.1 pep chromosome:Lperr_V1.4:10:13206108:13208731:1 gene:LPERR10G12280 transcript:LPERR10G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGEGEERARPPTASERRRMYRDMALSLRCGLRDASAGFSFLRLRGLRALLRSLRSAADADASTRLFRQSQALRDLQVVSVVFEHSLRRAQEESVVTVGQVLGIEIEPVKLRNPATDSEVALALRVLEGCCLLCRDCAAAAHRLNAVKILLNILMARGMLEQRACLDTLLALMVDSSENLMDFMDHDGLTKVVDLVKDTQRDEHLLRFI >LPERR10G12290.1 pep chromosome:Lperr_V1.4:10:13209029:13217005:-1 gene:LPERR10G12290 transcript:LPERR10G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETAPRLLTPEIIRAAAKQSKGIQIVPLSLRRAIKRYLRDQDKSHMNRKVLLLSASFERAKGTGVELAAAATRGALLDDPRAPSGAEQRAARWKVRSAYGDIGLWYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPMSIERVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRKIEKHERGHDLSYALGEIPSLNDRITIVRQLWDLTRDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKSEKSTQAAPSGMKSIISQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETRKERHAKRNPGDLIIDYDEQFPSDEEESPDNAEDSLVPYETDAQELGLFHEAEEEELEEESVRADLGGGWGRIIYSPLRRGRQVQLDVCRATKRDASEGAFERIVITQSNNPDLHHQARSTTQAKVLLLRRGLRRFPSKCSSPSSCSSSEALRRAALLLLHFRISGGDPSEALHHRRFPDCAILHLVGRMGSTEKFRFCIDRGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGEKIPRSSKIPTSKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKASNLYEEVVEVDERVQLVGGDGERDDGSSVEGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLALGMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGDQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDATRKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAQAARRVELLVKQVKEKLIEQGFGEDSIRTNSYLNLRYEGTDTAIMVKQPEKESGSDYADEFVRLFQQEYGFKLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVQESSCRIYFSYGWHETPLYKLQNLGYGHVLEGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAAPSTVNVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVCWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKLIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIVRLLQSPSSDELTNQKIPGTRKIQDNLSDLRAQVAANQRGITLIKELINQYGLTTVQSYMNHVQNNAEEAVRAMLKIVASRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPEGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGGNGARGANYLVKKDGRRVYLGGKNTVMVNAGEILQIFTPGGGGFGSP >LPERR10G12290.2 pep chromosome:Lperr_V1.4:10:13213061:13217005:-1 gene:LPERR10G12290 transcript:LPERR10G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETAPRLLTPEIIRAAAKQSKGIQIVPLSLRRAIKRYLRDQDKSHMNRKVLLLSASFERAKGTGVELAAAATRGALLDDPRAPSGAEQRAARWKVRSAYGDIGLWYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPMSIERVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRKIEKHERGHDLSYALGEIPSLNDRITIVRQLWDLTRDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKSEKSTQAAPSGMKSIISQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETRKERHAKRNPGDLIIDYDEQFPSDEEESPDNAEDSLVPYETDAQELGLFHEAEEEELEEESVRADLGGGWGRIIYSPLRRGRQVQLDVCRATKRDASEGAFERIVITQSNNPDLHHQARRSLWAQPKPKFSFSGEGCGASLPSVRRLHPVRPAKPYGELLSSSSTSG >LPERR10G12300.1 pep chromosome:Lperr_V1.4:10:13218918:13223406:-1 gene:LPERR10G12300 transcript:LPERR10G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAADAGDGEEEQAQALLALLQRVQSEALRALGPHDFDPKLYVDLPLATDATAAAAAAALASLMRLPAPSRGEMDAYISRYFAQAGSDLVAVADPPDFEPEPRGFLPRVESGAARAWALDVHALWRALTRRVSPAVAARPERHTLLPLPGRVVVPGSRFREVYYWDSYWVIRGLLVSKMYETAKDIALNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMIFDIYMATGDMVFVRRAFPSLVKEHSFWMSEVHNVRIMDNHGRVHNLSRYQAMWNKPRPESATIDEEFASKLTSTGAKEKFYHQVASTAESGWDFSSRWMRDSTDMTTLTTSYIIPVDLNTFILKMERDIAFFAKLIGDMTISERFSEASKARHNAIESVLWNSDMEQWLDYWLPTDENCQGVYQWKPNSQNRVIFASNFVPLWLNAHHAGLERFVDEAKLVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHMIVEGLLRSDSAEARKLAEDIATRWVRTNYAAYKATGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVILSFLEEFGWPQEKEIGCSS >LPERR10G12300.2 pep chromosome:Lperr_V1.4:10:13218918:13223406:-1 gene:LPERR10G12300 transcript:LPERR10G12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAADAGDGEEEQAQALLALLQRVQSEALRALGPHDFDPKLYVDLPLATDATAAAAAAALASLMRLPAPSRGEMDAYISRYFAQAGSDLVAVADPPDFEPEPRGFLPRVESGAARAWALDVHALWRALTRRVSPAVAARPERHTLLPLPGRVVVPGSRFREVYYWDSYWVIRGLLVSKMYETAKDIALNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMIFDIYMATGDMLSFHAEVHNVRIMDNHGRVHNLSRYQAMWNKPRPESATIDEEFASKLTSTGAKEKFYHQVASTAESGWDFSSRWMRDSTDMTTLTTSYIIPVDLNTFILKMERDIAFFAKLIGDMTISERFSEASKARHNAIESVLWNSDMEQWLDYWLPTDENCQGVYQWKPNSQNRVIFASNFVPLWLNAHHAGLERFVDEAKLVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHMIVEGLLRSDSAEARKLAEDIATRWVRTNYAAYKATGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVILSFLEEFGWPQEKEIGCSS >LPERR10G12310.1 pep chromosome:Lperr_V1.4:10:13226498:13228049:-1 gene:LPERR10G12310 transcript:LPERR10G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAAVFPWGGGSPAWIEVPEKSKSAFMELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >LPERR10G12320.1 pep chromosome:Lperr_V1.4:10:13233989:13239472:1 gene:LPERR10G12320 transcript:LPERR10G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAARRSLASRLSPHLARRLHPVTPHLLSSHSGDDEPSPSLPPPHLPPFLPSSAGQTLNHHHHHFIPFSLHHLPGRGFSSAAAPAGEADASAGVLVDAAPTGEVDAAAGVLADAASTLPPAPFPGEVAAAAADSFVPVAALQHLIDAIHSFTGLNWWASIALTTVLIRSATVPLLVNQLKATQKLNAIKPEMESIKDEMNAMDPKSADEGKRKMTELFKKHGVSPFTPLKGLLIQGPIFMSFFFAIRNMVDKVPSMKGGGALWFTDLTTPDALYILPVLTAFIFWVTVELNLQEGMEGSPMASKMKNFSRGMALMTVPFTMSFAKGIFCYWITSNLFTLSYGIVIRRPAVRKYLNLPDLQAQSASAKKQMFNLFGGSKALPAAESPVAITGGPGSSLEQPDAAALGYRVKNLKKVKSRGKSRRRR >LPERR10G12320.2 pep chromosome:Lperr_V1.4:10:13233989:13239545:1 gene:LPERR10G12320 transcript:LPERR10G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAARRSLASRLSPHLARRLHPVTPHLLSSHSGDDEPSPSLPPPHLPPFLPSSAGQTLNHHHHHFIPFSLHHLPGRGFSSAAAPAGEADASAGVLVDAAPTGEVDAAAGVLADAASTLPPAPFPGEVAAAAADSFVPVAALQHLIDAIHSFTGLNWWASIALTTVLIRSATVPLLVNQLKATQKLNAIKPEMESIKDEMNAMDPKSADEGKRKMTELFKKHGVSPFTPLKGLLIQGPIFMSFFFAIRNMVDKVPSMKGGGALWFTDLTTPDALYILPVLTAFIFWVTVELNLQEGMEGSPMASKMKNFSRGMALMTVPFTMSFAKGIFCYWITSNLFTLSYGIVIRRPAVRKYLNLPDLQAQSASAKKQMFNLFGGSKALPAAESPVAITGGPGSSLEQPDAAALGYRVKNLKKVKSRGKSRRRRIFTYSET >LPERR10G12320.3 pep chromosome:Lperr_V1.4:10:13228949:13234039:1 gene:LPERR10G12320 transcript:LPERR10G12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAAAARRSLASRFSNHLTRRLHPALPHLLPSGDDPRDQSPLSPPPGPQSPRFPLPESSRTPKTLLPLLPFGTHLAGPPRRGFSSASSSPSGAGFDVSTVLSDATDAAAAVAASATPGSFPSEVALAVEGSSLSTTAVQYLIDAVHSCTGLNWWLSIALSTVVLRCTLFPLSIMTMRGVLGMKQEILEVAKLMKSANNEETTNKAADGVIHLLKRLGLPCFVTILSPYSFMTLYFAISNMVEKVPSLKEGGAFWFTDLTTPDALYIFPVMTSLFLVIGLEFSSHYRKTPLSKEEKIAMHIMRTSFLLTAPLTAMLPQAFSCYFVTWSITGLVHRIVIRQPAVKKLLFGDLTKPTGLQSDGSKGTAAEDSTERTKATDASDCDSMERTKATHASVLSSDGSKGPAAKDSPRPIERTKATTDASVHRTERTKTSDASAHTRLRPKICTR >LPERR10G12330.1 pep chromosome:Lperr_V1.4:10:13245373:13248344:1 gene:LPERR10G12330 transcript:LPERR10G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLMLWESPPASPAMGKKRSDGLGLGMGTLVGQLGELLSQAVMPPAPRVCGTPGGPPVTAPRVRLSDGRHLAYEESGVPKEAARYRIVFSHGFTGSRLDSLRASQEVAEELGVYMVAFDRAGYGESDPNPNRSVKSAAMDMAELADALGLGEKFYAVGVSLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPPEEAAAAYGRQSYGDQWALRVSHHAPGILHWWMEQSWLPTSTVVDNTTFLPNKRDADVRRTLTADGTLQKKKEMATQQGINESYYRDMTVMFGKWEFDPMALPEPPCPVHIWQGDEDGLVPVVLQRHVAGKLTWVKYHELPGTGHFLSAVPGLGDTVLRTLFG >LPERR10G12340.1 pep chromosome:Lperr_V1.4:10:13249642:13256309:1 gene:LPERR10G12340 transcript:LPERR10G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSTGNGSPPVALMVLMAAAMVAGWFVNAVRPPPPTPCGTEGGPPVTAARVRMRDGRFLAYAESGVSRETARFKVVYSHGFSGGRMDSPRASQALLEEMGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGLKFHLICSSLGCHAAWASYYYIPHRLAGAAMMAPVINYRWPALPRGLARQLYRRQPIGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLFALSTGLFQKKARVATQQGVQESFYRDMAVMFGRWPEFEPAELEEPPFPVHLFQGDEDGVVPVQLQRHICRRVGWVNYHELAGVGHFLSAVPGLGDRIVSTLLK >LPERR10G12350.1 pep chromosome:Lperr_V1.4:10:13254087:13257957:-1 gene:LPERR10G12350 transcript:LPERR10G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGVDVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFIPSSPLEDGASSIVDGADCQTNSGSSPCSSLGLKFNESARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWIPVDVARMFGRRFLEPLLSPNSNSSIPVYQPSSYLALPLMSILNIAREFGLLYTVSSVDDSDLCAVCLERSCSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTEGLKSSSALTFCNPCILNTRSVDSPATVCKADIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDATETQDGSEAQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCQREEQCNAEINA >LPERR10G12350.2 pep chromosome:Lperr_V1.4:10:13254085:13257982:-1 gene:LPERR10G12350 transcript:LPERR10G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGVDVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFIPSSPLEDGASSIVDGADCQTNSGSSPCSSLGLKFNESARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWIPVDVARMFGRRFLEPLLSPNSNSSIPVYQPSSYLALPLMSILNIAREFGLLYTVSSVDDSDLCAVCLERSCSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTEGLKSSSALTFCNPCILNTRSVDSPATVCKADIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDATETQDGSEAQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCQREEQCNAEINA >LPERR10G12360.1 pep chromosome:Lperr_V1.4:10:13261235:13265271:-1 gene:LPERR10G12360 transcript:LPERR10G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRYRDKDPKEAAIEIQDPFPQLQKPQGRIHKHTTTHLAIPATNNRRRELPEASRVSCPPRSPASPRRGGAARSLRSGARDGGGRLREEEEQGRLGLGIAAGRGWRMHMMRRLKSIASGRSSVSDPGGDSGTKRPKFDQDGAGDIVIEPHLSDDKPTRIDQAISSSHSDAESSSAASKNPGRTEEAGADILPKEMNDMTISDDKVDGRNEKESEGIVVNGNGTETGQIIMTTIGGQNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKIIDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVVAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTSLSNGRPLPPLFDFSAAELEGLPVELVHRIIPEHMRK >LPERR10G12370.1 pep chromosome:Lperr_V1.4:10:13268803:13271385:1 gene:LPERR10G12370 transcript:LPERR10G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRYDVEKGGRKREEEDAAAAAAAEYRQREGEREWVPWLVPAIVVANLVVFAVAMYVNNCPSSSRGNGRRGGHGDSCVAGGFLRRFSFQPLSENPLLGPSSATLQKMGALVWDKVVHGHQGWRLVTCLWLHAGLVHLLANMLSLVLIGLRLEQQFGYMRVGIIYLVSGIGGSVLSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFFTGFLLGFIFLMRPHYGWMQRYVLPSSVKYTTKKYLTYQWILLAVASILAVIGFAVGLSMLFRGVNANERCQWCHYLSCIPTSRWSCGN >LPERR10G12380.1 pep chromosome:Lperr_V1.4:10:13272374:13279560:1 gene:LPERR10G12380 transcript:LPERR10G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESAGPCTDGTNPNKAVEQLSSVTAADKKVASGNVAFTETCRFSVVLSMLFGGVNANERCQWCQVANYLSCIPTSRWSCGN >LPERR10G12390.1 pep chromosome:Lperr_V1.4:10:13279821:13281305:-1 gene:LPERR10G12390 transcript:LPERR10G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGSPPISRAGAGGARRCGGRLTRVDLLTVALAGMLCWASYTLSVWHNNRGAADSSVLRGGAAGGGYTACGDADEELDFASHHAADDAGLSVSSGAAANPTPRRALRATATAAANSGLNAGDMAKGIFAHVKAAPRNPRGQDC >LPERR10G12400.1 pep chromosome:Lperr_V1.4:10:13289354:13292214:1 gene:LPERR10G12400 transcript:LPERR10G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSSLFGLDGGEGESSAAAVAAGAGLGDLPELCAAQVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMSFVDGCGGGGGDDGRRVRRRRRPAGKKEIYARLSRPVPFDGGSKEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFQSVAYLQQIWWFEVVGEMDFCFPVGTYSLYFRVHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWLLYHAGDFVASKPDQTIKLKFSMAQIDCTHTKGGLCVDSVLIYPKGFQQERMVRSQKCRC >LPERR10G12410.1 pep chromosome:Lperr_V1.4:10:13293984:13298340:1 gene:LPERR10G12410 transcript:LPERR10G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPLRHRVATAAAASRPRSRRPCAARLHRLRLRVRASGSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGIGFGALVLLNHLLSPTPTPAQLVGTNHFIPPATNRHGFLGLTCCVKLQRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDNLSAAQKEEMAWASYILLRNTNTTSVLIAIGNQLCVRGYWDQPEDISKYAMIEWFKSQVQEAGITDLREVLYFPTSSDIRLGKILPPGILSVLAQPVLNNPDPTNGEIKAEGFILLASNANYAYSEKDRVWIRTVANKFQCA >LPERR10G12410.2 pep chromosome:Lperr_V1.4:10:13293984:13298699:1 gene:LPERR10G12410 transcript:LPERR10G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPLRHRVATAAAASRPRSRRPCAARLHRLRLRVRASGSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGIGFGALVLLNHLLSPTPTPAQLVGTNHFIPPATNRHGFLGLTCCVKLQRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDNLSAAQKEEMAWASYILLRNTNTTSVLIAIGNQLCVRGYWDQPEDISKYAMIEWFKSQVQEAGITDLREVLYFPTSSDIRLGKILPPGILSVLAQPVLNNPDPTNGEIKAEGFILLASNANYAYSEKDRVWIRTVANKFQCA >LPERR10G12410.3 pep chromosome:Lperr_V1.4:10:13293984:13297288:1 gene:LPERR10G12410 transcript:LPERR10G12410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPLRHRVATAAAASRPRSRRPCAARLHRLRLRVRASGSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGIGFGALVLLNHLLSPTPTPAQLVGTNHFIPPATNRHGFLGLTCCVKLQRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDNLSAAQKEEMAWASYILLRNTNTTSVLIAIGNQLCVRGYWDQPEDISKYAMIEWFKSQVQEAGITDLREVLYFPTSSDIRLGKILPPGILSVLAQPVLNNPDPTNGEIKAEGFILLASNANYAYSEKDRVWIRTVANKFQCA >LPERR10G12410.4 pep chromosome:Lperr_V1.4:10:13293984:13298699:1 gene:LPERR10G12410 transcript:LPERR10G12410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPLRHRVATAAAASRPRSRRPCAARLHRLRLRVRASGSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGIGFGALVLLNHLLSPTPTPAQLVGTNHFIPPATNRHGFLGLTCCVKLQRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDNLSAAQKEEMAWASYILLRNTNTTSVLIAIGNQLCVRGYWDQPEDISKYAMIEWFKSQVQEAGITDLREVLYFPTSSDIRLGKILPPGILSVLAQPVLNNPDPTNGEIKAEGFILLASNANYAYSEKDRVWIRTVANKFQCA >LPERR10G12420.1 pep chromosome:Lperr_V1.4:10:13299155:13306429:1 gene:LPERR10G12420 transcript:LPERR10G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVFLIIAAAAAAAVALGVSRLLRALAIGVGSRRGRVFAGVGEEDEERIEDHKTVECFSFEKEMSFQSCAGDPPPLAAATAEELLERARGLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEATELGARCREPPHAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATGTAAPPPATAAAADAAAATDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCEGLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSADIARAIVGHSGVRPLIDVCQTGDSISQSAAAGTLKNISAVPEVRQSLAEEGIVRVMINLLDFGVVLGSKEYAAECLQNLTLSNDNLRRAVVSDGGLRSILAYLDGPLPQESAVAALRNLVSAISPDSLVSLGVLPSLVHVLREGSVGAQQAAAAAICKISSSSEMKRLIGEHGCMPLLVRLLEAKSNAAREVAAQAVASLMSCCPPNARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLSLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERVSSCSIMGTSRKSRCVLAPMTGNAIAVSVTLLFFEGQKEDTLMSDDWVKSNAASNPNPVSYATAVKSKNEASKPLHRRLWAINSVDMCGPIHFGL >LPERR10G12430.1 pep chromosome:Lperr_V1.4:10:13306058:13306240:-1 gene:LPERR10G12430 transcript:LPERR10G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMELGILLIPLTLVFVPCRRIVLFLKRIQEFHRSITHPSFTSGDMLSRFSNLNSMAMML >LPERR10G12440.1 pep chromosome:Lperr_V1.4:10:13312778:13312966:-1 gene:LPERR10G12440 transcript:LPERR10G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPFTLVFVPCRRIVLLLKRLQEFHRSMTRPRRISGDMISRFSGLHNSLVFVL >LPERR10G12450.1 pep chromosome:Lperr_V1.4:10:13314598:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGGKSLDLPVVDLASSDLGSAAEFIRKACVEYGFFYVVNHGVEEGLLEKVFAESRKFFEQPMEEKMALKRNSSHLGYTPCGADKLDASSKFKGDLNENFCVRPIGVEGSQNDANQWPSIERFPCWKETIKLYHATAKATGKRILCLIALSLNLGTEFIDCPVAFLRLLHYPGEVNEGDDGNCGASAHSDYGILTLLATDGTPGLQICREKDRHPQLWEDIRHIEGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.2 pep chromosome:Lperr_V1.4:10:13314598:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGGKSLDLPVVDLASSDLGSAAEFIRKACVEYGFFYVVNHGVEEGLLEKVFAESRKFFEQPMEEKMALKRNSSHLGYTPCGADKLDASSKFKGDLNENFCVRPIGVEGSQNDANQWPSIERFPCWKETIKLYHATAKATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.3 pep chromosome:Lperr_V1.4:10:13314598:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGGKSLDLPVVDLASSDLGSAAEFIRKACVEYGFFYVVNHGVEEGLLEKVFAESRKFFEQPMEEKMALKRNSSHLGYTPCGADKLDASSKFKGDLNENFCVRPIGVEGSQNDANQWPSIERFPCWKETIKLYHATAKATGKRILCLIALSEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.4 pep chromosome:Lperr_V1.4:10:13319224:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAHSIDTELQTPSCRRWIFAAAATTCRQERFSGGQPEMVAGAGNHLDLPVVDLASFDLRTAAESVRKACVEYGFFYVVSHGVEKGLLEKVFAESRRFFELPMEEKMALKRNKSHLGYTPPYADKLDASSKFKGDLNENFKLGPIGDEGSQNGANQWPSEERFPFWKETMKRYHTTARATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSAARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.5 pep chromosome:Lperr_V1.4:10:13319224:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAHSIDTELQTPSCRRWIFAAAATTCRQERFSGGQPEMVAGAGNHLDLPVVDLASFDLRTAAESVRKACVEYGFFYVVSHGVEKGLLEKVFAESRRFFELPMEEKMALKRNKSHLGYTPPYADKLDASSKFKGDLNENFKLGPIGDEGSQNGANQWPSEERFPFWKETMKRYHTTARATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSAARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.6 pep chromosome:Lperr_V1.4:10:13323549:13331304:1 gene:LPERR10G12450 transcript:LPERR10G12450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAARGGGGDAGEQQPEMAACAGNRLDLPVVELASPDLGAAAESVRKACVESGFFYVVNHGVEEGLLEKVFVESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLHNDANQWPSEVHLPSWKDTIKMYHATALATGKRILSLIALSLNLNTEFFENIGAFICPSAFLRLLHYPAEVDKSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGALIVNIGDLLERSTVHRVVAVGKERYSVAFFLDPNPDLVVRCLESCSSETCPPSKPLSWPEPRLLCFDTWQSSSKNVNLQILFPKHAEEQLYMFKQGIYTSSVTV >LPERR10G12450.7 pep chromosome:Lperr_V1.4:10:13319224:13331699:1 gene:LPERR10G12450 transcript:LPERR10G12450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAHSIDTELQTPSCRRWIFAAAATTCRQERFSGGQPEMVAGAGNHLDLPVVDLASFDLRTAAESVRKACVEYGFFYVVSHGVEKGLLEKVFAESRRFFELPMEEKMALKRNKSHLGYTPPYADKLDASSKFKGDLNENFKLGPIGDEGSQNGANQWPSEERFPFWKETMKRYHTTARATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSGDYLKERLSVTYK >LPERR10G12450.8 pep chromosome:Lperr_V1.4:10:13319224:13331650:1 gene:LPERR10G12450 transcript:LPERR10G12450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAHSIDTELQTPSCRRWIFAAAATTCRQERFSGGQPEMVAGAGNHLDLPVVDLASFDLRTAAESVRKACVEYGFFYVVSHGVEKGLLEKVFAESRRFFELPMEEKMALKRNKSHLGYTPPYADKLDASSKFKGDLNENFKLGPIGDEGSQNGANQWPSEERFPFWKETMKRYHTTARATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEEHLPSWKETFKLCHATALDTSKRILSLIALSLDLEAEFFENIGAFSCPSAALRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSGDYLKERLSVTYK >LPERR10G12450.9 pep chromosome:Lperr_V1.4:10:13319224:13331699:1 gene:LPERR10G12450 transcript:LPERR10G12450.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAHSIDTELQTPSCRRWIFAAAATTCRQERFSGGQPEMVAGAGNHLDLPVVDLASFDLRTAAESVRKACVEYGFFYVVSHGVEKGLLEKVFAESRRFFELPMEEKMALKRNKSHLGYTPPYADKLDASSKFKGDLNENFKLGPIGDEGSQNGANQWPSEERFPFWKETMKRYHTTARATGNRILSLIALSLNLNAEFFDCPIGFLRLLHYPGEVNESDDGNYGTSAHSDFGILTLLATDGTPGLQICREKDKHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEECPPSQSVNTDAGRGRQQAASRGGGGRPEMAAGAGNRLDLPVVDLASPDLGAAAESVRKACVESGFFYVVNHGLEEGLLEKVFEESRRFFELPMEEKMALLRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGAIGDESLQDDANQYPSEGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRHPQLWEDVHHIDGSTVHRVVAVGKERYSVAFFLDPNPDLVVKCLESCCSEICPPRFPPVKSGDYLKERLSVTYK >LPERR10G12460.1 pep chromosome:Lperr_V1.4:10:13339584:13341239:1 gene:LPERR10G12460 transcript:LPERR10G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLVDGQHLLPTCRCNGGAQNAVAGDDDVEARFVVVVTPKMTSSTAPCDRDLPPELEDRSPSVKEAAAILRLSLPMIMTGLILYIRPMISMLFLGRLGDLALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAKNLPLVGATMRRMVFLLLAVSVPVAFLWSHMETLLLAAGQDAAIAAVAQRYILFCLPDLVFLSFLHPLRVYLRVQSINLPLTACAALAVAAHLPINHLFVSVLGLGVEGVALAAAFANLNLVFLLLVFIYVSGVHRDTGGFTLPTTKLFKDMEGWTRLIKLSLESCASVCLEWWWYEIMILLCGLLANPTATVAAMGVLIQTTSLLYIFPSSLGFGVSTRVSNALGANRPCAARAAARAGLAMSFAQGVASLAFALAVRRSWARMFTDDAGIVALVASVLPILGVCELGNCPQTTGCGVLRGSARPKDGARVNLAAFYGVGTPVAVALAFWAGMDFKGLWLGLLAAQAACVAVMLVVIQRTDWELQAKLAQVLAGVGDGDGDGHDVNGLAAVDVKIAATHGDEDSSLLITVS >LPERR10G12470.1 pep chromosome:Lperr_V1.4:10:13354277:13355521:-1 gene:LPERR10G12470 transcript:LPERR10G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSSSSSSLHLLPNTKLSSSSSSSRPPSFLPIAKINGVNGHSKKSKSPNGKPHINGDGAKKGPVNGKSKAATRQVNGKNNDRIHLSVSTGGGVGQDGSGLRVAFQGAPGAYSEFAAKTALPGCETVPCRAFADALSAVAGGGVDRAILPVESTMEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRLLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPATTTTTPAMPVDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNDGGGNGGAGAATAGTPVMILDTSARGAPTLRAFPHVLYVDCEGASHDPRVLDAIKEIEKFAVFVRVLGCYAADSNVYDLQ >LPERR10G12480.1 pep chromosome:Lperr_V1.4:10:13362867:13363732:1 gene:LPERR10G12480 transcript:LPERR10G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQRASTMVVQQGRRRARAETRHPVYRGVRMRAGKWVSEIRELRKPSRIWLGTYATPEMAAAAYDAAALALRGRAAPLNFPDAALSRPAPQSVSPDDVRAAAAAAAAANAAACRSGGAGEDNDRFRQGGGVMVDEDDVLEMPRLMVSMAEGLMISPPPVVTSMEADGGGLIDEAGGGVMRLWDHS >LPERR10G12490.1 pep chromosome:Lperr_V1.4:10:13370970:13374018:1 gene:LPERR10G12490 transcript:LPERR10G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAGGSKPLRLKDLLELDCESCSAAGFRCYPRRLCVVAAGAAAATAAATTVSSSSSQMRHPRLSSLSKSLSRRLKGGFWRRHDEEEEAAAAPASSIAAAAGCCSISDSETSSDSSNSTTGRKSHSQSESEFSSASSDILHAGDGEPSTTGAKHEVMKMKSKEEEDDKEQFSPVGVMDFPFDEDDDDAIVIGEEDRVAAGVCTPSFSDSIAQLQRRKMQMKPKILRLGSTGELGGVDLEARFAALESDRLTDVVPTQHQCSANTTALPRHDDHRSDDAFKKDPDEYTLLDLLVDTVSAVVAETERSRRWMHTSEEGREIGVVMAAMVMDELIHEMVSDLVA >LPERR10G12500.1 pep chromosome:Lperr_V1.4:10:13374110:13376982:-1 gene:LPERR10G12500 transcript:LPERR10G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRAPWLALLVVLAAAAAAVAMVGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDRPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPVSAALSALCLLVQFNGWLSFFLLLSYKLPLRPETQTTYYEYTGLWHIYGLLSMNAWFWRAIYHSCDTFWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASIAQFLLWAVWAVMTKHPSCLKILFVIIGCVFSIVLETYDIPPRWGYVDGRVFCVAVSIPLTYLWWKFAKEDAEMRTSAIIKKTSP >LPERR10G12500.2 pep chromosome:Lperr_V1.4:10:13374709:13376982:-1 gene:LPERR10G12500 transcript:LPERR10G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRAPWLALLVVLAAAAAAVAMVGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDRPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPVSAALSALCLLVQFNGWLSFFLLLSYKLPLRPETQTTYYEYTGLWHIYGLLSMNAWFWRAIYHSCDTFWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASIAQFLLWAVWAVMTKHPSCLKILFVIIGCVFSIVLETYDIPPRWGYVDGRVFCVAVSIPLTYLWWKFAKEDAEMRTSAIIKKTR >LPERR10G12510.1 pep chromosome:Lperr_V1.4:10:13381929:13382252:1 gene:LPERR10G12510 transcript:LPERR10G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEISMRMRRKVAAEVVAWCLALAVVALLLVGSVDDQKEEEVVVRGARLAAARPCEEIYVVGEEETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRASH >LPERR10G12520.1 pep chromosome:Lperr_V1.4:10:13384541:13388830:1 gene:LPERR10G12520 transcript:LPERR10G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRRASSAPAPALAAAVLVSLCLTALSADEQLENLRFVRHAQDAPLVSSYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYANMSSEEHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNEYVRAAGWDARLVNSSYRWVERSLVFRPDVPPWQAALRDALLESGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFKRQDGVPYPVAYGVVFTDPLGVQHRVYLRDGDKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVLVDQPMVGQGVADNPMNSVFIPSPIPVELSLVQVVGITRSGSFIEGVSGSEFGMQVSDGALRWAARRSFGMFSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHIELRTTDPRANPLVWFNYFRETEDVERCVHGLETIERVIQSQAFSNFTYANASVESIFSDSASFPVNLLPRHVNDSRSPEQYCRDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRK >LPERR10G12530.1 pep chromosome:Lperr_V1.4:10:13387450:13393879:-1 gene:LPERR10G12530 transcript:LPERR10G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNHGGGGYPLPPPPHQQQQYPYPYGQYQYPPPQPQPASAYLAPSPSFPGYSSPPPPQPYAHHSGPLQPYPPPPQHHHAYPPPAYGHGGYDPYPPSPAAYPTYPSPSPSISPSGSFHHQPESPSPSAPPSYPIADVLANMRISDRADYPPPPPAATAVPASSPSVLPPSASFSGGGSSHGGMQVVPYGSQAGGSQHGSMRPSLKVVLLHGTLNIWVYDARNLPNKDLFSKRVGDLLGPRIIGAVGSKMSSANMTSDPYVTIQVSYATVARTYVVPNNENPIWMQNFIVPVGHDAAEVQFIVKDNDVFGAQLIGTVAIPAEKLLSGDMIEGIYPVLEPNGKPCARDAVLRLSIQFIPVAQLTMYHHGVVAGPDCMGVPNTYFPLRRGNRITLYQDAHVPDGCLPDIWLDHGVRYQHGQCWRDIYDAICQARRLIYIVGWSVFHTIHLVREGAEKVPSLGDLLKIKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPRHPLFRSLQTVHKEDYYNPNFAPVDDRGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLAKSYDDALLSIERIPDVISINDAIYFSDNDPESWHVQVFRSIDSNSAKGFPKDPREATQKNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVVPMWPEGNPTGAPTQRILYWQNKTMQMMYETIYRALKEEGLDDVYEPQDYLNFFCLGNREVTDSPSTSNGTSTPQEQARKHRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGAIEDGFNYPETLECMRRVRYIGERNWERFLDNEVTEMSGHLMKYPVSVDRKGKVKPLPGCATFPDMGGNICGSFRAIQENLTI >LPERR10G12540.1 pep chromosome:Lperr_V1.4:10:13398095:13400359:-1 gene:LPERR10G12540 transcript:LPERR10G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMRWRLCLAVVALLCTPLLSTGYLHERKNYIVHLEPRDDAADSVEEWHRSFLPQETNLESSSSTDGDDGPHIVYSYSDVFTGFAARLTDEEADTVRDMPGCLRLYPEEFLPLATTRSPGFLGLHLGNEAFWSMSGFGHGVVIGILDTGILPSHPSFGDDGIQPPPKGWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAGFKAMERGIVVSCAAGNAGPDPGTVGNGAPWMLTVAAGTMDRAIRTTVRLGDGQVFDGESLFQPGNNSAANPLPLVFPGADGSDTSRDCSVLRGAEVAGKVVLCESRGLNNRVEAGQTVAAYGGVGMIVMNRAAEGYTTFADAHVLPASHVSFDAGNKIAAYINSTSSPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPIPCSDVKTITEAELNYPSLVVNLLAQPITVNRTVTNVGKPNSVYTAVVDMPKEVAVIVQPPMLRFTEVKEKQSFTVTMRWAGQPNVAGAEGNLKWVSDEHIVRSPIVIPAKAE >LPERR10G12550.1 pep chromosome:Lperr_V1.4:10:13404171:13417403:-1 gene:LPERR10G12550 transcript:LPERR10G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSYWSALSVAVALVAICSYYLAVTHAGGKARRRRWRQPPVVGTVFHQLYHFRRLHDYYTELCREHMTFQLLAAPGRRQIYTCEPAVVEHILRTNFPNYGKGPLNSDVLSDLFGEGIFAVDGDKWKTQRKIASYDFSTRALRDFSVDVFKRNAAKLAAVVSRHAATSTNQSMDFKGLLTRATMDSIFTIAFGQDLNTLDGSGEGTRFAAAFDDAGEYTLLRYLNPFWKVARLLNVGVEAKLKKRIKVVDEFVYKLIRARSDEFSKTKAHDTRVRDDLLSRFIQATTSESGTVNFKYLRDIVLNIVIAAKDSTSGSLAWFLYMSCKRPEVQEKIFHEIMEATNAGGRVSVDEFLQNLTDQALNKMHYLHAALTETLRLYPSENKQCFSDDVLPNGFNVNRGDAVFYMPYAMGRMEFLWGKDTEVYRPERWLDKNGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLIRFFVFKLCNVDDSDIDYTCNRSGSPSNGYGKMSKSNAMGAEGGRAGGGDSSCYSPAAVTAAAGLVLVAICSYLAVAVTWRGGGKQKRRRAPLVGTMFHQLYHARRLHDYHTELSREHLTFRLLVPAAGRSQIYTCDPAVVEHILSTNFANGPFNYDNMRDLFGDGIFAVDGDKWKRQRKIASYDFSTRALRDFSGAVFKRNAAKLAAVVSNLAASNQSTDFQGLLMRATMDSIFAIAFGQDLNTLDSGGDGEGRRFAAAFDDSNEFTMLRYINLFWKLARLLNVGAEAKLVERIKVVDGFVCKLIRARSDELSNHKADGPNSRQDILSRFIEATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMVCKHPEVQEKICREAIEATNAGESVSIDEFSQSLTDQALNKMHYLHAALTETLRLYPAVPMDNKQCFSDDVLPNGFNVNKGDIVFYIPYAMGRMESLWGKDAEAFRPERWLDENGVFQQESPFKFKAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLKLHDDKEIVNYRTMITLSIDQGLHLTATAR >LPERR10G12560.1 pep chromosome:Lperr_V1.4:10:13423560:13424428:-1 gene:LPERR10G12560 transcript:LPERR10G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSSTINGSGDPAPAVRVLGFSPSPFVTRVLVALKLKGVEYELLEEKVGTKSELLLRSNPIHKKVPVLLYHGKPISESLIIVEYIDEVWASNGAPAILPRDPHDRAVQRFWAQYIDDKIPPAIRVLRSVDIAEEKEKAVGELSTTLQYLEEAFVKCSQGKNYFGGDNIGYLDIALGGLFGWIKAVEKLAGVKLFEEAKVPNLISWADRFRVHPAVMDVLVDADILVDLTLKYITLFKALDLGLPVEFGKLAQNLN >LPERR10G12570.1 pep chromosome:Lperr_V1.4:10:13427389:13429496:-1 gene:LPERR10G12570 transcript:LPERR10G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNITQCHDKFKFKVDINQNIKVFTEDDIKRITSNFSIPIGQGGFGEVYRGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHKNVVELIGYCIGESTLMIVTKYISNGNLDDILHNSSISMPLDVRLGIVIGCAEALIYMHSMHLSSDSRICHGDIKPANILLDSNMTAKLSDFGVSRLLSGGVTQYTEHIKGSPCYMDPIYFHEGCLTPRSDVYSFGIVLLEIIARKRVRKGDINLIGSFNKARANGKGGEIFDAAIANGKNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLCILKKELKDIHEKYSEPILASHYAWRKNDKQGKTMPSYNSRMQLKKSLSMFKRNISNSKILSELGNVRIFTQEELNEVTQNYSDLLSKGTSGKVYKGKLEDNTVVAVRIFSEVLEGSEEAFINGGMIVSQIFHKNIIRLLGYCLNADCPAFVYQYDAKGTLSDILDGHEDFPLHLRVKIAVQTAEALEYLHSSAAGSIIKHGYVVPCKILLDDSFTPKLTGFSWARRLNNDDAIHDQRVSLKLKTDVYQFGVLLFSLISRKNFVFYADHEQQTLQLRAAYEADNSGRTFFDDDIAAHSEDIALLEEIGKLLLKCICPEIDQRPTMKQVAEYLRIIRRCWKNNCTAEGAAPEIGVGKDPAY >LPERR10G12580.1 pep chromosome:Lperr_V1.4:10:13437313:13439164:-1 gene:LPERR10G12580 transcript:LPERR10G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSGERSAAAAVRVLGSSTSPFVLRVMVALKLKGVEYEMLEETLGEKSELLLKSNPVHKKIPVLLHHGKPIPESLIIVEYIDEVWASNGVPAILPRDPYDRAVERFWAQYIDDKFPRGIRVTIGTVAGDQDEVIREMSTALQYLEEAFVKCSQEKHYFGGDSISYLDIALGSHLGWVKAVEKIAGVELLDRTKVPNLAAWADRFCAHPAVVDAVPDADKLVEFSVKYAALAKAFNASNITAN >LPERR10G12590.1 pep chromosome:Lperr_V1.4:10:13442836:13445656:1 gene:LPERR10G12590 transcript:LPERR10G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGDDLKLLGMWASPYVLRVKLALALKGIDYEYVEVDLKNKSELLLTSNPVHQKVPVLIHNGKPICESQIIVQYLDDAFPDAGAPLLPSDPHDRALARFWAAFNDETLVKASNQASWGKTEEEKAEGEKKVLEALEKMEIGMRECSKGKPFFGGDDVGFVDVMLGGFLAWVRATDAMRGVKRFDPDATPLLAAWAERFVELDVAKALMPEVDKLIEFAKVLQARAAASA >LPERR10G12600.1 pep chromosome:Lperr_V1.4:10:13447576:13449276:1 gene:LPERR10G12600 transcript:LPERR10G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGENKLKLLGMWTSPFALRVKLALSFKGLSYEYVEEDLRNKSELLLSSNPVHKKVPVLIHNGKPICESQIIVQYIDETFPGADASLLPSDPYERAVARFWAAYIDDKLLKSWLQASMGKTEEEKAEALKETFAAVANLEAAFKECSKGKPFFGGDTVGYLDVTLGGLVSWMHAGEALYGMRLFDATRSPLLDAWVDRFGSLDAAKAVLPDAGRLAEYAKKRQAEQAAAAAAN >LPERR10G12610.1 pep chromosome:Lperr_V1.4:10:13450215:13451843:1 gene:LPERR10G12610 transcript:LPERR10G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEELMLLGKWPSPFVTRVQIALGLKGLSYEYVKQDLKNKSELLLTSNPVHKKIPVLIHNGKPICDSSIIVQYIDEAFPDSGAAFLPLDPYDRAVARFWTAYIDDKFVPASAQAFMGKTEEEKAEGTKNLVTAVETLEGAFGSDAEGKKKPFFGGDTVGIADIALGAMISRVRASEVLTGFKIFDAEKAPLLAAWAERFAELDAAKKVLPDVDGVVEFAKMRLAEAAAASGN >LPERR10G12620.1 pep chromosome:Lperr_V1.4:10:13455649:13456948:1 gene:LPERR10G12620 transcript:LPERR10G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELMLLATWPSPFVTRVQIALGLKGLSYEYVKQDLKNKSELLLSSNPVHKKIPVLIHNGKPICESSIIVQYIDEAFPDSGAALLPFVSALVPSFMGKTEEEKAEGRKNLVTVVETLEGALGSSEGKKKPFFGGDAVGIADIALGGMISMVRANEVLSGFRVFDAEKTPLLAAWAERFTELEATRKVLPDVDDVVEYAKMRLAEAAVASASGN >LPERR10G12630.1 pep chromosome:Lperr_V1.4:10:13461015:13469565:1 gene:LPERR10G12630 transcript:LPERR10G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVCHISHRSPPPPLPPPMDGSHRSPPPPPPLSATTLRRPPLSIRRRHQLHPLAPSVLSVPSPRLDTEISVMSTLLAEASQGGRIKEVIAEGPSKRKLAALDPPTSKRRRSPMVSRGETNDFQCNRGEITTCLSREDLKCRISKRQIIVSNGRASVKSILKLRQGLKLRQGKQLLTVAVLRMAGRGDELKLLGLWASPFTLRVKLALSFKGLSYEYIEEDLSNKSDLLLKSNPVHKKVPVLIHNGNPICESQIIVQYLDETFPTSGASLFPSDPYDRAIARFWATYINDKLMQPWLQSSIGKTEEEKAEAMKQTAEAVANLETAFKECSKGKPFFGGDTVGYLDISLGAMIGWIRAGEALYGMRTFNDTGSPLLKTWMERFAELDATKAVLPDTGRLVEFVKVRRAQQAATAASSS >LPERR10G12640.1 pep chromosome:Lperr_V1.4:10:13481025:13501348:1 gene:LPERR10G12640 transcript:LPERR10G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQEEKAAAMEETFAAVVNLEKAFEECSKGKPFFGGDAVGFLDVVLGAFVGMVRVGEAMYGKRLFDASRSPLLDVWLDRFAAQDAAKAVLPDTGSLIEFAKMKHVEWGVATATARRDNHDLKLLGTWPSPFVIRVRLALSLKGLSYEYIEQDISNKSDLLLVSNPIHKKVPVLIHAGKPICESQIIVHYIDEAFPVAGAALLPSDPFDRAAARFWVAYIDDILATKFRAMGEAKEEKEKGEAAAEVFAAIEKLEEGMKACSKGKAFFGGDGAGCVDVALGGFLGWIKAAEVLAGVTFLDGARTPLLAAWADRFSALDAAKEAIPTVEKFCEFHLARQAAAAAGFPPEHSPNAFSSCAIAASLLGAVSGVSPYVIRAQMALAVKGLAHDYFPEDLTQKSQLPLDSNPVHKSVPVLIHNGKPVCDSLVIVEYIHDAFPGAGIPLLPSDPYDRAVARFWAAFIDAKVFPPCLVILEEGVKTDQEGKAAKVEETLAALQLMEGAFGHCSKGNKPFFGGDAVGYLDVGVTDIAGVTPPLLDAARTPELVASAARFRADKTVGSLVPGVDKVEKYVNTVLYPKWKAAGAAKSLRINYHTT >LPERR10G12640.2 pep chromosome:Lperr_V1.4:10:13492478:13501441:1 gene:LPERR10G12640 transcript:LPERR10G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITMAGRDNHDLKLLGTWPSPFVIRVRLALSLKGLSYEYIEQDISNKSDLLLVSNPIHKKVPVLIHAGKPICESQIIVHYIDEAFPVAGAALLPSDPFDRAAARFWVAYIDDILATKFRAMGEAKEEKEKGEAAAEVFAAIEKLEEGMKACSKGKAFFGGDGAGCVDVALGGFLGWIKAAEVLAGVTFLDGARTPLLAAWADRFSALDAAKEAIPTVEKFCEFHLARQAAAAAGFPPEHSPNAFSSCAIAASLLGAVSGVSPYVIRAQMALAVKGLAHDYFPEDLTQKSQLPLDSNPVHKSVPVLIHNGKPVCDSLVIVEYIHDAFPGAGIPLLPSDPYDRAVARFWAAFIDAKVFPPCLVILEEGVKTDQEGKAAKVEETLAALQLMEGAFGHCSKGNKPFFGGDAVGYLDVGVTDIAGVTPPLLDAARTPELVASAARFRADKTVGSLVPGVDKVEKYVNTVLYPKWKAAGAAINPSAPKQL >LPERR10G12640.3 pep chromosome:Lperr_V1.4:10:13481025:13486350:1 gene:LPERR10G12640 transcript:LPERR10G12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWQKASLGSTEEEKAEALKLTVEAIDNLETAFKECSKGKPFFGGDAVGYLDVTLGAVVGWARAGETLFGRKLFDATKSPLLAAWMERFVAQDAVKAVLPENEKLIEYGKMSIAYHAQRAAAAAAAAKNNISPLSDRANLLNPTSNTHTHTVSMAGGDELKMLGMWASPYVSRVKLALHLKGLSYDYIEEDLANKSDLFLRSNPVHKTVPVLIHNGHPICESSIIVQYIDETFPDAGVSLLPSDPYDRAVARFWAGFIDDQLLAAWRMVYRAKTVEERDEHMSRTLAAVDVLEGGLKECSKGKAFFGGDSVGYVDVVLGALVSWVHANDVITGTKLFDAANTPLLAAWLERFGELEAAKVVLQDVDRVVEYTKKFLPEANVTAADNQ >LPERR10G12640.4 pep chromosome:Lperr_V1.4:10:13503514:13507391:1 gene:LPERR10G12640 transcript:LPERR10G12640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDLKLLGVWTSPFVIRVRVVLNLKSIPYEYIEENLGDKSDLLLTSNPVHKSVPVLLHDGRPVNESQIIIQYIDELWPDRQSVLPSDPYDRAVARFWAAYVDDKVRPAWLAIVFGSKTEEEREVAVAKAVEALETLEGAFEGKKKPFFGGERIGFVDVVLGGYLGWFTAIDKMIGRRLIDPERMPALAAWEENFRAADAAKGVVPDDADKVLEFREKLLSWSAKKAEAKTRSEMAGGGELKLLGVWTSPFVLRVRFVLNLKSLTYEYIEESLGDKSDLLLTSNPVNKSVPVLLHDGCPVNESQIIIQYIDEVWPDRQSVLPSDPYDRAVARFWAAYIDDKLELAWLGILFRSKTEEEREVAVAHAVAALETLEGAFVECSKGKKPFFGGERIEFVDVVLGGYLGWFTAIERLIGRRLIDPARTPALAAWEDRFRATDVAKSVVSDDADKMLEFRRILLDWSASGIITL >LPERR10G12640.5 pep chromosome:Lperr_V1.4:10:13501236:13504662:1 gene:LPERR10G12640 transcript:LPERR10G12640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPKKEFGNMTDASGDELKLLGVWDSPYVNRVQIVLNLKGISYEYIEEDLMNKSDLLLRSNPVHKKVPVLIHNGKPIAESQVIVQYLDDAFPNAGVLLLPSDPFERAVARFWAVYVDDKVGSPWYTILFAKEREEKLEAAARAISAMETIEAAFREKNAAEGAPFFGGDGIGFVDVVLGSYLGWFRVIEKMIGVRILDAARTPLLAAWAERFEAADAVRGVLPDDVDKVIDFLQTFLH >LPERR10G12650.1 pep chromosome:Lperr_V1.4:10:13494465:13495707:-1 gene:LPERR10G12650 transcript:LPERR10G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDVKVLGVVVSPFAIRVRIALNMKGVSYEYMEEDLTNKSELLLSSNPIHKKVPVLIHGGKPICESLVIVQYVDEVFPGAAVLPSDPYDRAVARFWAAYVDDKMFPPMVAFLLAVTEEERAAKAEETLAAMAQLEKAFGECSGGKPFFGGDSIGYVDLALGSNLQWLETSYQMFGVALLDAVKTPLLTAWAKRFVEADAAKGVVPDTALAVEFCKKLRAR >LPERR10G12660.1 pep chromosome:Lperr_V1.4:10:13509204:13512383:-1 gene:LPERR10G12660 transcript:LPERR10G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKFLVTMPFSQCCHLPRTQDKLNGWPWRFQSVTESLRRRLRSPTSEHNNFQRNARGGAAAAAALPVLLLLNCAAAACAATAAETRALLEFKAALTDDPGGALANWTLAGDPCRDFAGVSCDPASGAVQRLRLHGEGLEGALSPSLARLPALESVSLFGNRLAGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGSFPFLRLLDLSYNAFSGEIPAALFGECPRLRYVSLAHNSLTGRVPPGIGNCVRLAGFDFSYNNLDGELPEKVCAPPEMSYISVRSNSLAGAIDGKLTGCRSLDVLDVGSNNFSGDPPFGLLALVNITYFNVSSNNFSGEIPAISTCGDRFAYLDASRNRLDGAVPETVANCRDLNFLDFSENALSGEIPPELGDISNLAHFNVSFNNLTGSIPSSPLLQQFGPTAFMGNPLLCGPPLENPCAGRNARRLGVPVIIAIVVAAAILVGICIISAMNIKAYKRRREQNQQQLHDEEEEEILVSDSAAIVSPGSTVITGKLVLFRKNSFSSRYEDWEAGTKAVLDKNCLVGVGSIGAVYRASFETGVSIAVKKLDTLGRIKNQDEFEHEMGRLRGLIHPNLVTFYGYYWSPSTQLLLSEFVDNGNTLHDHLHGNRRRAVPVSSHGGLCWERRFKIAVATARAIAYLHHDCKPQVLHLNIKSRNILLDSDHEAKLSDFGLVKLLPEPRNLQSAAAGYVAPELASSSSSRYSDKCDVFSFGVVLLEIVTGRKPVSSSHGHGTAPASAVVVVLRDYVREILESGTVSGCFDRSLRGFVEAELVQVLKLGLVCTSETPSRRPSMAEVVQFLESIRSSS >LPERR10G12670.1 pep chromosome:Lperr_V1.4:10:13513876:13516021:-1 gene:LPERR10G12670 transcript:LPERR10G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNANELMMHRHLQQQQQGSGKQRAGLPPTPPPAAATSHGDVCMDGGGGLPPRKAHRRSRSDVPFGYFQPLPPPSPKTETGWGGLLPGVGVGVSAGDDLLNAYMSMEGISATDGMNNNSDGDSSGIRTNGGADSSENESEDYAGGGGDSQVLLWGGGGGGEAAGKKRRSNVAGEPTAAAAARHARSLSMDSLMGKLSFAANGDQPAKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGMATQNNELKFRLQSMEQQAQLRDALNEALTAEVHRLKLAANEVGDTSSSSNLAHQIQLRCQNQMLDLHKQQQQQQAEQIPFYQLEQPEQNGTARNHESK >LPERR10G12680.1 pep chromosome:Lperr_V1.4:10:13520338:13527263:-1 gene:LPERR10G12680 transcript:LPERR10G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIACMNSTSRLQIGVENICSYQPLNVVIVPGQEREASASQAQSGAARDGRRRRRRSTHVRSD >LPERR10G12690.1 pep chromosome:Lperr_V1.4:10:13532268:13532756:1 gene:LPERR10G12690 transcript:LPERR10G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPDLLHLRPLPFAEAKHPHSPRRGQPRRKPPRRPFTSLRRRILLAVAPREPEEGVGNSWGGRREDPWEARGRRHPLLPDPALDSTPGAAWPHRGHGRRAGFGGGDAGDLLPPASYLGSN >LPERR10G12700.1 pep chromosome:Lperr_V1.4:10:13532794:13541739:1 gene:LPERR10G12700 transcript:LPERR10G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIRSAPGRGKSDEDERRPIGSLFRLRRKRKALGSGEARGDSNPSVESEVPDGVVPGEEMDDTLASIKRKLRKPKKGREGGDAAVAGSGAEGEVLAEQEDVQGGVSNVVDGVLDDKSILEVVKAEVDEVVGNALKGSGGLGLEDSLSTLFKKPGRKARLVSVKEAESGEVADSHAEEILDKGYGLVSDRVSEGTTKRRKRRTKEQMKAAAAAKYGSALPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKQKASDDGVCQRSLGETVEQDVETRIVLDDGSRNSSDGASRHIEASAWLKPCSGELAEEVSHRAVNAATDGVSDEHTYPQTLLKERNDDAGYSHDKPPKSTVKGMKPTEMLKKPVRQKDKLSTDVGNKYVVGPGDTKNVNIEQNSAVPTEGKFNQSAIGISESHLTGKYLRPHKMITPVKELDVVDVVAPSDLEDMDNTSKLKRVTRSARKRKHGDMAYEGDVDWETLMQEQGLFSNLPAALADHSIKSKDKIKISDVLDNGDDSGVAAVRAGLKAKAVTPIEKIKFKDILKRKGGLQEYLECRNMILKRWSKDVKHILDLAEFGVSNVFLDDEPPRQTLIRDVYLFLDQNGYINAGIASGKVKTNHESPPEVVELSKLNESHERKYVSIQDEIVTEPVQDKKAGVKNTECVLTEASNEGNSSAAIHYDAQDLPPPLKSDEQISKEKNLGVLTEGRDESVLPRNSNVHSKPDIDGFILKVEGDSLHEAEAADIEHSGNKHEVIDRVESGGYGKRIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGEKVPDDLDGDLESEYNGLLDEMAQLFEQNGESAVGLSLEDGLEYALRKNRVAHSEHDDQLRIMSSAGAVDICESASTEKEIAHCGKEDKIDVLSPLERRVMNWHFAHLEYGCAASLKSVSLPYWNQDDVYGGFGGAHCMIKGGYGTVLESLAKGLDVQLNQVVTEVFYGSEEPGSSGNSKKFVKVSTSNGNEFVGDAVLITVPLGCLKTQTIKFSPSLPDWKLSSIDRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVNNAMVVLRRLFRDVSVPDPVASVVTNWGSDPFSRGAYSFVAVGASGRDYDILGRPVADCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLEACELSTALCKNSSDVSYPLVSKESLLQEMFFSAKTTSGRLHLGKELLKLPPDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKATNGGLKLLRRMPSTESSKPRSKDLQSGKSIHHPLLKNPSTYVLPIENSYVACLLTEDLNFKHIDGSMNPYDSGLVEAYASVEAEINAPRELPKIPDFHTFAMRDHYLDESDTRKKVLSDNHGKLECISEIDSRNGKAKNPSADHANCADVDSSKMTGNCTPKSYSNEKACLITIKDHSTDNGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESRPASEGQSRGVEHLRQGLINFISTVLMPLYRNKRVDREGYKTIMRKAVTKNILNTICVALFSTILLIFSSLLQFMKSVSGRIIIESCTEGEKMLTVHDFLDSRRKDKIQAFVDKLVERHCHLNRPPNS >LPERR10G12700.2 pep chromosome:Lperr_V1.4:10:13532794:13541532:1 gene:LPERR10G12700 transcript:LPERR10G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIRSAPGRGKSDEDERRPIGSLFRLRRKRKALGSGEARGDSNPSVESEVPDGVVPGEEMDDTLASIKRKLRKPKKGREGGDAAVAGSGAEGEVLAEQEDVQGGVSNVVDGVLDDKSILEVVKAEVDEVVGNALKGSGGLGLEDSLSTLFKKPGRKARLVSVKEAESGEVADSHAEEILDKGYGLVSDRVSEGTTKRRKRRTKEQMKAAAAAKYGSALPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKQKASDDGVCQRSLGETVEQDVETRIVLDDGSRNSSDGASRHIEASAWLKPCSGELAEEVSHRAVNAATDGVSDEHTYPQTLLKERNDDAGYSHDKPPKSTVKGMKPTEMLKKPVRQKDKLSTDVGNKYVVGPGDTKNVNIEQNSAVPTEGKFNQSAIGISESHLTGKYLRPHKMITPVKELDVVDVVAPSDLEDMDNTSKLKRVTRSARKRKHGDMAYEGDVDWETLMQEQGLFSNLPAALADHSIKSKDKIKISDVLDNGDDSGVAAVRAGLKAKAVTPIEKIKFKDILKRKGGLQEYLECRNMILKRWSKDVKHILDLAEFGVSNVFLDDEPPRQTLIRDVYLFLDQNGYINAGIASGKVKTNHESPPEVVELSKLNESHERKYVSIQDEIVTEPVQDKKAGVKNTECVLTEASNEGNSSAAIHYDAQDLPPPLKSDEQISKEKNLGVLTEGRDESVLPRNSNVHSKPDIDGFILKVEGDSLHEAEAADIEHSGNKHEVIDRVESGGYGKRIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGEKVPDDLDGDLESEYNGLLDEMAQLFEQNGESAVGLSLEDGLEYALRKNRVAHSEHDDQLRIMSSAGAVDICESASTEKEIAHCGKEDKIDVLSPLERRVMNWHFAHLEYGCAASLKSVSLPYWNQDDVYGGFGGAHCMIKGGYGTVLESLAKGLDVQLNQVVTEVFYGSEEPGSSGNSKKFVKVSTSNGNEFVGDAVLITVPLGCLKTQTIKFSPSLPDWKLSSIDRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVNNAMVVLRRLFRDVSVPDPVASVVTNWGSDPFSRGAYSFVAVGASGRDYDILGRPVADCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLEACELSTALCKNSSDVSYPLVSKESLLQEMFFSAKTTSGRLHLGKELLKLPPDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKATNGGLKLLRRMPSTESSKPRSKDLQSGKSIHHPLLKNPSTYVLPIENSYVACLLTEDLNFKHIDGSMNPYDSGLVEAYASVEAEINAPRELPKIPDFHTFAMRDHYLDESDTRKKVLSDNHGKLECISEIDSRNGKAKNPSADHANCADVDSSKMTGNCTPKSYSNEKACLITIKDHSTDNGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESRPASEGQSRGVEHLRQGLINFISTVLMPLYRNKRVDREGYKTIMRKAVTKNILNTICVALFSTILLIFSSLLQFMKSVSGRIIIESCTEGEKMLTVHDFLDSRRKDKIQAFVDKLVERHCHLNRPPNS >LPERR10G12710.1 pep chromosome:Lperr_V1.4:10:13544431:13547429:-1 gene:LPERR10G12710 transcript:LPERR10G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSALASAGRAANEAVSFVVFMLLDVVEVLLCVVYKVADYMLEGAWRPCYCSSSPSSSAAAASGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYTRPSVLAAAPGGRRRRHGVVVGVGGGATTVTVHSAIVQMLRGKIGVDGEHKPYPSPRWSDCHCSNCNPADTGRLFVHVEAPPPPETETEDVLFIHGFISSSGFWTETVLPNVSASARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVKSFHIVAHSLGSILALALAVKYPAATAGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKWLEIVRDQLTCDVTVYHGRDDELLPVQCSYAVKAKIPRARVKVIDGKDHVTIVVRRQKELAMELEEIWNRQR >LPERR10G12720.1 pep chromosome:Lperr_V1.4:10:13555304:13556455:-1 gene:LPERR10G12720 transcript:LPERR10G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMSKKIVVKLDLHDNREKQKAMKAVSTLVGIDELSVDMASQKMTVIGMVDPVNVVSKLRKSSWAATIDSVGPAKEPEKKEEKKDGGDGKKDGDAAKKDGEEKKDGDGKKDGGDGEKKDEGKKEDGEKKPAPPTPEQQFAELMNQYRAAYSYNPSYNPYMNTHYFVQSVEENPNSCNIC >LPERR10G12730.1 pep chromosome:Lperr_V1.4:10:13565942:13566739:1 gene:LPERR10G12730 transcript:LPERR10G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGGAGAGAGIRIKKKARSFMCGGCGGSKAVSISDGSSDKQSPISTPPPANTSSTTTASAGNKTATTTTAASYSFSPSSTDGDADMTSVGSTPSVAALLRQLGELERSVRSLRGAVAADDDGKNGGGGGGRRRHRRTVSDGCGGGSGRVEESVAVVKESADPLADFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFSGYERTPDFLVSHRRTTTTKKKLPAYYAAAAAAADEDDDSNTWIAA >LPERR10G12740.1 pep chromosome:Lperr_V1.4:10:13568076:13573943:1 gene:LPERR10G12740 transcript:LPERR10G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLGLLRRTHLLSCHASSSSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLYEATGKTKRPSIVFPSAARRMDTLNDLLTASDLVSLHCALTNETTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKALTILQSFFYDGVVPNNALSDDEEISEAGCEDDQLAKQAKEQICDGGQQTDESQLTLESDKRRVISHSEEPQASGQSQNIVPRSEGRRSRSGKKGKKRPARRRSQQQRDELSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDSKTKLRSSAESPMEIISENKLTTGVSVKPLERLKDGFVVALRTTDNSGFHVARERIAGGGWYLDVVSKATKRDPAAQFLITFRNKDTIGLRSFVAGGKLLQVNKNMELVFVSYSFDVTESWTLEGSLLDCCKLVNHKNPSAVLDAYIEILAAVSEEDGVTRWLD >LPERR10G12750.1 pep chromosome:Lperr_V1.4:10:13572998:13573657:-1 gene:LPERR10G12750 transcript:LPERR10G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHQTALQPPQINPWPHTSTTNLSPPQVALPKRRRSPLRWLQAAGSGGAIGSSAVTDTDSTTTTTTKSPSKKTSRKEKQRMRRQEKEQEQQQMLLEALDVKNGGGGEGDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERDQGVAVPTWLSLLTILVAFGTSALGIAFGTLTASWDPEKEGSLLGLEEARANWPVLWKEEIEKAKKKK >LPERR10G12760.1 pep chromosome:Lperr_V1.4:10:13574617:13577393:-1 gene:LPERR10G12760 transcript:LPERR10G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWKPPPPPPSARHVVAKSPPRRQLPHPPPQRHPPSPPPPPPRHEIHREHKQQGTAAATSGWSVGYINARLSQRTPVLGLRAWVLVAAGAAAAVLVALLVIICICRRCRRRGRKIPRLAPISHHGRSMRSLKQHQAQPRQSMSDKDIEEAVRSWHPPPPAQQFVTASYQPPIEVIKAEQKKPLIVVESARTSGETATSSGESACDWSSENGGGGSDAEPEVSRRGWGRRYTRRELEEATNGLAAENVIGEGGYGVVYKGVLRDHTAVAIKNLHNNRGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRMLVYEYMENSNLDKWLHHGDDEISPLTWDIRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILLDPYWNARVSDFGLAKILCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIITGRSPVDYTRPTAEVNLVEWLKHMVTERRVEEVVDPRLPDMPPSKLLKRAVLAALRCVDPDGSQRPTMGHIVSMLEDDLKFRDELQLARDLSPHASDSFERGEL >LPERR10G12770.1 pep chromosome:Lperr_V1.4:10:13586205:13587668:1 gene:LPERR10G12770 transcript:LPERR10G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFIPKQTFAFCHSLLSSRLLPSSPTSPSPLPVQALLTTAGLLPCHPDLTLVSLNSLLRVLSRRASSPSHPLLALRLLALMLSTDSPLPPPDHLSFPFALSAAATVVLSPGSQIHALVVKNGMFPSDHYVTTALLQLHAARPDDARRVFDELPKREAIHYDLVIGAYTRAGMAAEGLGVFREMFVDGVVPDAIVLTTAIAACAQAGALECGEWAHWYVERTAPELLGDAFVGSALVSMYAKCGCLEKAVRVFDGMPERNDYVWGTMVGAFAVHGMADEAVACLDRMASEDGVRPDNVALLGALSACAHAGRVEEGLVLLCEMRRRYGVAPGHEHYSCTVDMLCRVGRLEDAVALIETMPMPPLASVWGSVLSGCRMHGNVELAEVAAAELGKLGAGADEGIYVQLSNIYLDANRKDDARRVRKMIGNRGIRKVAAYSAVEVDGVVSSFVADDQAHQRRVEIWEVLWLLAEQMGGETDEEETLAAVS >LPERR10G12780.1 pep chromosome:Lperr_V1.4:10:13588186:13588669:-1 gene:LPERR10G12780 transcript:LPERR10G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGYVTSDGFCPKNNALVITTSKNEVYVFDVEAKQLSDWSKRYTHHLPRRFQEFPGEGNVLH >LPERR10G12790.1 pep chromosome:Lperr_V1.4:10:13593027:13594034:1 gene:LPERR10G12790 transcript:LPERR10G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAANSFVYIDEAALHSVLPFPSLIAHLRTSLPAFSDGIHCPHRVSFPLPTAPSAAALLLMPSWSTHPSLPYLALKAVTSFPSNSPRLPSVHAAVSLFDSATGVPLASLDGSALTLLRTAAVSALAASLLASPTRPPSTLALAGAGALAPYLAEAHLSAFPSISRILIWNRTKAKSAALAAKLRDAHPGIAVEEVDTMDEAVSAADVVSCATGSHEPIVRGEILRPGAHLDLVGSFTPAMRECDDEALRRGRVFVDFEAAMHEAGELVGALQRGVIRREDVAGTLAELAAGSVAGRRGDDEITVFKSVGTAVVDLLAAQLAYETYIATAKNT >LPERR10G12800.1 pep chromosome:Lperr_V1.4:10:13597018:13599817:1 gene:LPERR10G12800 transcript:LPERR10G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAITPATVFLARTARVSPSPLPPPRILAVRRAVLGDGPANGGVEEDGDDAVARRAERKRSERRTYLVAAVMSSLGFTSMAAAAVYYRFASQMDGGGDAVPATEMLGTFALSVGAAVGMELWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIANSAPAIALLAYGLLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHTDKFDGVPYGLFLGPKELEEVGGTEELEKEIKKRIKRKEAMDAI >LPERR10G12810.1 pep chromosome:Lperr_V1.4:10:13608356:13612544:1 gene:LPERR10G12810 transcript:LPERR10G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGGGGGGGGGGGGGAQIKGMATHGGRYVMYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSETSEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYLVFELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTMETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRQRFRNMSPGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAYNPDHPY >LPERR10G12810.2 pep chromosome:Lperr_V1.4:10:13608356:13611763:1 gene:LPERR10G12810 transcript:LPERR10G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGGGGGGGGGGGGGAQIKGMATHGGRYVMYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSETSEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYLVFELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTMETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRQRFRNMSPGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAYNPDHPY >LPERR10G12820.1 pep chromosome:Lperr_V1.4:10:13615160:13619600:1 gene:LPERR10G12820 transcript:LPERR10G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPIGKNPMYTYRERIVLGETDCSIATVNRILRELSREWQGHSYDLLSRNCNHFCDVLCDRLNVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQNSQPDSPSNQSRGGPTFQGAWFKNIISAGAKPSSSESTSSHDTGDGSPLQNQKSAEHSTRL >LPERR10G12830.1 pep chromosome:Lperr_V1.4:10:13619337:13622204:-1 gene:LPERR10G12830 transcript:LPERR10G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGSCPQSTVYHWFVLFAVWLCGSQHVLSEKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNANPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIMDKTAFDCSIPLAPLVADVICCPQVNSLMNMFQAAYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFERIVNVTKLLDACSSVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSPPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNNIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPTSGSSGPRVNWSAFHSCK >LPERR10G12840.1 pep chromosome:Lperr_V1.4:10:13625910:13626644:-1 gene:LPERR10G12840 transcript:LPERR10G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSFERLGGGEDDLGHSFGSSVVSAELFSMRWTTLLTEDHDGGDDDFDFDFGTPCADAAHCSSPLLVGAVRIFSDEQAPAGIVAAASSSPLFHSALSTPASVIATTASSRRAGRAPALMVTRRILVRYLRFVVPLCRKVRRSLRLSPRSRGGASLAASSTTTSPARRSTSSSYASAADQHWCHGNADTAVRDAILYCKKSIGQDM >LPERR10G12850.1 pep chromosome:Lperr_V1.4:10:13630096:13630867:-1 gene:LPERR10G12850 transcript:LPERR10G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPYGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFVDSKNSHRAMKDKKLAGSSGSKSVQTDRDAKIAAIKQKLKSLGDEGCSKKRPRLESDDLTGKASKQSEKKC >LPERR10G12860.1 pep chromosome:Lperr_V1.4:10:13631486:13634131:-1 gene:LPERR10G12860 transcript:LPERR10G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPLAVVLLLAVAASLPASNGQQTAGFSPQFAVLLACGAGGDVVVTTDSPQRTFVPDDGMLSGSSSRRAARLTNPDTSPPSPLYAAARGGASAFSYRLGYSAAAAPDGNTTLVLRLHFFPFAASQSGDLSAARFSVSAMGRYVLLRSFSPPRAGGSGAGVVREFFLPSDGSGRFDVTFTPESGGIAFVNAVELFPAPAELLWKTAVMAVNAGVSPSYQALETLYRLNVGGASPVTPANDTMWRTWLPDDAYLVPATISSVKTTQSQIVFDGVTYTRMIAPDAVYKSQRTTTSTRSNVTWTFAVDGESSSYVVRLHFCAFEELSSVVGQGVEFNVYLMKSMATKDLKAKDYATRNMPIQAFYVDYVTTVPAGGENLTVSIGRAASNNDSKEAILNGLEIMKVRTTEMTPANSSGKSSTSKAVIASIAAVLGVAILSGISLCVLFVRRRRQRRATQPSPEEEMEEKESVTTPWSPFTPDGEGSVSTGSSAVTPRRMNMKLHIPFAEIMVATGGFSDANLLGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEIIVLSSIRHRHLVSLIGYCNERSEMILVYELMEHGTLRSHLYGSGSGDGDDASPPPPPLSWKQRLEICIGAAKGLHYLHSGHSDNIIHRDVKSTNILLGGGGGGDGGGIVAKVADFGLSRIGPAVGETHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEALCARPVIDQSLPQEEINLAEWAMQLSKKGKFERIVDPAILAAGDANMNSLRKFAETAGRCIADYGEHRPSMGDVVWNLEYCLQLQESQTDSGETALDDSAAHLPRDFVVARRVVAAPPLGRNASVDAGEDMSWSETASFTESGNVFSQIMSRDGR >LPERR10G12870.1 pep chromosome:Lperr_V1.4:10:13636394:13638186:-1 gene:LPERR10G12870 transcript:LPERR10G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAGEKLPPSNGGGAHGSSGKAAARFSLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPDQTAAMSRFVVAPMPNSSSLNKSSPSPPPPSPSPPIKPMRTADEAPTALRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDKPVSEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPPSSRAAALRRLFDGPVRLDSAAVAQQSVCYDMEHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRESRMDRRRNVTVTEYERHRGKQPDCRWRIPDPAALVDNIVVLKKPDPNLWKRSPRRNCCKVVSSPKKAGKDRSMTVNVGVCKEGEFAKRD >LPERR10G12870.2 pep chromosome:Lperr_V1.4:10:13636500:13638186:-1 gene:LPERR10G12870 transcript:LPERR10G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAGEKLPPSNGGGAHGSSGKAAARFSLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPDQTAAMSRFVVAPMPNSSSLNKSSPSPPPPSPSPPIKPMRTADEAPTALRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDKPVSEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPPSSRAAALRRLFDGPVRLDSAAVAQQSVCYDMEHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRESRMDRRRNVTVTEYERHRGKQPDCRWRIPDPAALVDNIVVLKKPDPNLWKRSPRRNCCKVVSSPKKAGKDRSMTVNVGVCKEGEFAKV >LPERR10G12880.1 pep chromosome:Lperr_V1.4:10:13641071:13644809:-1 gene:LPERR10G12880 transcript:LPERR10G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVVVDGGGAGAGETELYGLHQHQHHGVAEMFGHGNKGGLGALVVGGGVDDGGATVHHFGGLGELHHRQQQSQAPLSLSLHRPETAAAATSLLMQQQQNHHHHQQQPSAAAAWQLQQHQGGAGAWHLRSSRFLLPTQQLLQEFCSLPVKSTTSPSAAASKAAKAAQEDGGGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCDQMRALSGSFEAVAGERAAAAYTRLASRTISKHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEDGGGSGPQSQASNPQNPNPSSYTSEDRGEQKPSRTQLLHDAGSLASVVSIGGGHHHHQSINFGMMDQLDFDAYEAAAAAGGQGFGGGGAGGVSLTLGLQQQHADAHDGVNVAAFAAAAPASAGAGGAAEYLFMAGGGGEHQHQQLPPGAAHAQFAGAGFHLLHDLAG >LPERR10G12890.1 pep chromosome:Lperr_V1.4:10:13661431:13662020:-1 gene:LPERR10G12890 transcript:LPERR10G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTSENRSDVDDAKNEAKMEEERSSLQPPHGRRERQDAHHCRTCYCSKRTQTAGDPSACEILLMLVAALAAIGMLTMAVVVYVGII >LPERR10G12900.1 pep chromosome:Lperr_V1.4:10:13663764:13664703:-1 gene:LPERR10G12900 transcript:LPERR10G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLLLPVSMAMAMQKHGGGGGGGGERLWRWAKTAYFLAAMLASLLLVCAPPLLVVILDLALPPAMLSSRLSPSTSFVAAVVAQARAFDFGTSLVDVLAVSAARAVVILAAYAACGGGGGIGSDAAAVSGARGGAPRRRVPDKLPGAAPLARLPDRRRGGEVKRGPSNTKGAEAV >LPERR10G12910.1 pep chromosome:Lperr_V1.4:10:13670466:13672575:1 gene:LPERR10G12910 transcript:LPERR10G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAIKADFTCCCLSLWCGPCVSYMLRKRALYYDMSRYVCCAGYMPCSGKCGERNCPELCLATEVFCCFGSSVASTRFLLQDEFNIQTTQCDNCIIVLPFPTISFIHFCHRHCFRLQVNTTLCLLQSFMFCLQQFACICSLVACIVGNEELSEASQLISCISDTVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPYVGYAPQAQPTYYR >LPERR10G12920.1 pep chromosome:Lperr_V1.4:10:13673276:13674635:1 gene:LPERR10G12920 transcript:LPERR10G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSMMTTTILAAILISLAGVATTADAKFRAMQWTPAHATFYGDETASETMGGACGYGDLYASGYGTDTAALSTTLFRDGYGCGTCYQLRCVGTSSCYRGSPVITVTATNLCPPNWSIPSDAGGWCNPPRSHFDLAKPAFMKMADWHAGIVPVMYRRVPCVRSGGVRFSLQGNGYWLLVYVMNVAGAGDVGDMWVSGGGQGWMRMSHNWGASYQAFAQLGGRELSFKVTSYTTGETILAAGVAPASWCVGLTYQARVNFR >LPERR10G12930.1 pep chromosome:Lperr_V1.4:10:13675740:13677665:-1 gene:LPERR10G12930 transcript:LPERR10G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGIARGRLAEERKAWRKNHPHGFVAKPETMADGSSNLMVWHCTIPGKQGTDWEGGFYPLTLHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRLQAKQYPALL >LPERR10G12930.2 pep chromosome:Lperr_V1.4:10:13675740:13677665:-1 gene:LPERR10G12930 transcript:LPERR10G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGIARGRLAEERKAWRKNHPHGFVAKPETMADGSSNLMVWHCTIPGKQGVSSRSAAAAGGDPLFLFDLIGLFTAQASDWITDWEGGFYPLTLHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRLQAKQYPALL >LPERR10G12940.1 pep chromosome:Lperr_V1.4:10:13688895:13692742:1 gene:LPERR10G12940 transcript:LPERR10G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAHVTCADMPAENDDRYKAYTEDHVNNKTLQQDIQKVKDDTLGLAKKLEALDDSRRKILEENLEGCSIEELRGLEITIEKSLHNIRLKKTELLEQQIAKLKEKKERTLLTDNENLSGKHRNLEAAALVANHMTATPAWPRDATATSSKADAMDVDTELFIGLPGTRRSSSRPETG >LPERR10G12950.1 pep chromosome:Lperr_V1.4:10:13703702:13710670:1 gene:LPERR10G12950 transcript:LPERR10G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLDLARKLEALDDSRRKMLGENLESSSIEELRGLEIKLEKSLHNIRLKKERTLLKDNENLRGKHRNLEAAALVANRMTATPAWPRDVTATSSKADAMDVETELSIGLPGTERSSSRPEKG >LPERR10G12960.1 pep chromosome:Lperr_V1.4:10:13719909:13724903:1 gene:LPERR10G12960 transcript:LPERR10G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATEHQLLSTAVHDTMPDKYVRPESQRPRLGDVVSDARIPVVDLASADRAAVVSAIGDACRTHGFFQVVNHGVDESLIMAVMAVAREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLEHFVPDWPSNPESFKEIMSTYCTEVRELGFRLYEAISESLGLEESYIKKTMGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGAFVINLGDQLQALSNGKYRSVWHRAVVNSDRERLSVASFLCPCNSVELGPAKKLITEETPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >LPERR10G12970.1 pep chromosome:Lperr_V1.4:10:13725650:13727258:1 gene:LPERR10G12970 transcript:LPERR10G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAIQRPTPSYQFPALNVWYPSHPSPLIYPHRRPQIPTVHRRKHRSILFVWIDRRHRSIGYCTWSKLASTDSSMAPTVATTTPAVATTAAAATTAEVKPAKRSIGLGLPALPPLPGLASHAQPRVASFCKRLARNVVAMAAGEAPAAPIAANAEITEFIDALKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVSAIDRLPVIPGLMEAVGIGYSGWFAYRNLLFKPDREAFFAKVREVYEDIISG >LPERR10G12980.1 pep chromosome:Lperr_V1.4:10:13728504:13735605:1 gene:LPERR10G12980 transcript:LPERR10G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERRGTDTAAMSLVVAVAGILLQAAAMVADAQTLKLKYYDVTCPAAESIVFDEVQKAWNADRSIPASLIRLHFHDCFVNGCDGSVLLEAGDGQAEKNAKPNLSLRGYEVVDRVKARLEATCKQTVSCADILAYAARDSVRVMTGGYKYEVPGGRPDGMMSRATMTSDLPPPTQRNVDVLAGYFTKKGLSMDDMVVLSGAHTLGVAKCGTFGYRLTSDSDNGIDAAFRNDLRRQCHYNPNNVVALDAGSQYAFDTSYYANVLANRTVLESDAALASTETRARVWQLRTNPGMFMSSFGTAMVKMGGLRGNNPGKIRDNCRRVRTPVSTKVTVLIRELSGKLAMASSSSSSYFQVVAVTMLLMATSLQAQLRVGFYDNSCPAAEIIVQQEVSKAVSANPGLAAGLVRLHFHDCFVRGCDASVLIDSTKGNTAEKDAGPNTSLRGFDVVDKIKARVEQACFGVVSCADILAFAARDSVALTGGNAYQVPAGRRDGTVSRSSDTNGNLPPPTASVSQMTQIFSSKGLSQKDMVALSGAHTIGASHCSSFSSRLYSSGTTANGKDPTMDPSYLAQLANQCPQSGAAGGTLVALDAVTPNAFDEGFFKGVMANRGLLASDQALLGDKNTAVQVVMYANDPSTFQSDFAAAMVKMGAIGVLTGGSGKVRANCRVA >LPERR10G12990.1 pep chromosome:Lperr_V1.4:10:13744902:13745792:-1 gene:LPERR10G12990 transcript:LPERR10G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTPISPAPPLNGGGGRGEEDSDRSAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAAAANGGVAAGKGLVLSFEDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVSFGRGLGDAAARCRLFIDFRRRRRHDAFVFPPPPTTMAPSSHHHHHHNLQRQLAPLPSVPLCPWRDYYGGGGTASSGRHVLFLRPQVVTSPAAVLKSVPVHAAVETTAAARPKRVRLFGVNLDCPAAAMDDGEAEAASLLQQLPSPSSSTSSSTAGKKMCSLDLGL >LPERR10G13000.1 pep chromosome:Lperr_V1.4:10:13756228:13760817:-1 gene:LPERR10G13000 transcript:LPERR10G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPTDHLSSPPPYAAATAPSSLSPSAAPFTVDCPRPSSADPHHRAPNPTGLDLPTAPSLYAAAGGGGDWGSASWMDPPSSYMAPVAAPPAYKGEGPASAPYGIFPGTHFGNFLDIPPLRSESSQSTSAKGPGTWLGTSEVLPSGVGTSVFSQQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYEKYMTQLSACSSDMRPPVMWTTPVNSSEVAEQMFPVMNKNAGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTADKHHKKWRSSATASNMATGGNHLFNSLGADHHVVRCLGNGRPVQESSEMKSDWGIFNSKVSPPEVGYVQSREFSSDMPEINNPTVDSPCWKGAPAAYPHSFGIMKNTDNPNYVKGVGGYNSSHQIEQAPGWSLKYSDLFSKQQEVSASESVKSDALKTFKLPVARKNYEDNKDVPLVTAGIYNGTGNDGSYFPEEQDTRRQKCYDSAEDFKNEAAGREENPSASKGKLLSEDSAYHIASITEESLNRRPIPLGSAPRLPVEDLSESLHVNVSSQAVGADECTVSQICTKGVQKQPHYYSDAGGNMLKTSCESSSMSPAMLLKQMHSLSVMFISTCNGGPSLQGYEEELLQSVIQNLRDASSSRSKDQNMSHSRNNLWMAMPGHSIVENSSELKNSISQAVAKLPEDKMLADIDVSQLTIYKNLWIEAEASACKLKYELQLTRMKLATMKNRNNTQVPVDSSKDNKAFISTISNGKRQNCDKESTAYPVNLQSLGEDSCDGQPPVVNRCIVDGADAEVTKKLKYLQSNLENRCSSRENNFKEQEEASKISCALEDAVMARLKVLNSRPDNMTSSKEENTNQQLDMSKNRPDNIDDAESSKQKPDESTDTTDLIDNAVSSKHKPDEGTDAEDMTDNAVMSRLRILKCRNDNINALADVSKQHVEGYTDQSNWDKDGVMAKIQAPNGEVASISLGCQNILHSGNVMKHLEGKDSINLLGDTTCSDEDNGCNALSDEVNDKSAVQSDDSFAMNHCWQQTTMDTHICTAGSQENSLISSSVHKYDIFPPEWEHVLKENFFHPGK >LPERR10G13000.2 pep chromosome:Lperr_V1.4:10:13756228:13760817:-1 gene:LPERR10G13000 transcript:LPERR10G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPTDHLSSPPPYAAATAPSSLSPSAAPFTVDCPRPSSADPHHRAPNPTGLDLPTAPSLYAAAGGGGDWGSASWMDPPSSYMAPVAAPPAYKGEGPASAPYGIFPGTHFGNFLDIPPLRSESSQSTSAKGPGTWLGTSEVLPSGVGTSVFSQQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYEKYMTQLSACSSDMRPPVMWTTPVNSSEVAEQMFPVMNKNAGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTADKHHKKWRSSATASNMATGGNHLFNSLGADHHVVRCLGNGRPVQESSEMKSDWGIFNSKVSPPEVGYVQSREFSSDMPEINNPTVDSPCWKGAPAAYPHSFGIMKNTDNPNYVKGVGGYNSSHQIEQAPGWSLKYSDLFSKQQEVSASESVKSDALKTFKLPVARKNYEDNKDVPLVTAGIYNGTGNDGSYFPEEQDTRRQKCYDSAEDFKNEAAGREENPSASKGKLLSEDSAYHIASITEESLNRRPIPLGSAPRLPVEDLSESLHVNVSSQAVGADECTVSQICTKGVQKQPHYYSDAGGNMLKTSCESSSMSPAMLLKQMHSLSVMFISTCNGGPSLQGYEEELLQSVIQNLRDASSSRSKDQNMSHSRNNLWMAMPGHSIVENSSELKNSISQAVAKLPEDKMLADIDVSQLTIYKNLWIEAEASACKLKYELQLTRMKLATMKNRNNTQVPVDSSKDNKAFISTISNGKRQNCDKESTAYPVNLQSLGEDSCDGQPPVVNRCIVDGADAEVTKKLKYLQSNLENRCSSRENNFKEQEEASKISCALEDAVMARLKVLNSRPDNMTSSKEENTNQQLDMSKNRPDNIDDAVMSRLRILKSRPDNITPLDQESSKEKTDESTDTADLIDNTVMSRLRILKSRPDNSTPLDQESSKQKPDESTDTTDLIDNAVMSRLRILKSRPDNLTPLYQVSSKHKPDEGTDAEDMTDNAVMSRLRILKCRNDNINALADVSKQHVEGYTDQSNWDKDGVMAKIQAPNGEVASISLGCQNILHSGNVMKHLEGKDSINLLGDTTCSDEDNGCNALSDEVNDKSAVQSDDSFAMNHCWQQTTMDTHICTAGSQENSLISSSVHKYDIFPPEWEHVLKENFFHPGK >LPERR10G13000.3 pep chromosome:Lperr_V1.4:10:13756228:13760817:-1 gene:LPERR10G13000 transcript:LPERR10G13000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPTDHLSSPPPYAAATAPSSLSPSAAPFTVDCPRPSSADPHHRAPNPTGLDLPTAPSLYAAAGGGGDWGSASWMDPPSSYMAPVAAPPAYKGEGPASAPYGIFPGTHFGNFLDIPPLRSESSQSTSAKGPGTWLGTSEVLPSGVGTSVFSQQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYEKYMTQLSACSSDMRPPVMWTTPVNSSEVAEQMFPVMNKNAGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTADKHHKKWRSSATASNMATGGNHLFNSLGADHHVVRCLGNGRPVQESSEMKSDWGIFNSKVSPPEVGYVQSREFSSDMPEINNPTVDSPCWKGAPAAYPHSFGIMKNTDNPNYVKGVGGYNSSHQIEQAPGWSLKYSDLFSKQQEVSASESVKSDALKTFKLPVARKNYEDNKDVPLVTAGIYNGTGNDGSYFPEEQDTRRQKCYDSAEDFKNEAAGREENPSASKGKLLSEDSAYHIASITEESLNRRPIPLGSAPRLPVEDLSESLHVNVSSQAVGADECTVSQICTKGVQKQPHYYSDAGGNMLKTSCESSSMSPAMLLKQMHSLSVMFISTCNGGPSLQGYEEELLQSVIQNLRDASSSRSKAVAKLPEDKMLADIDVSQLTIYKNLWIEAEASACKLKYELQLTRMKLATMKNRNNTQVPVDSSKDNKAFISTISNGKRQNCDKESTAYPVNLQSLGEDSCDGQPPVVNRCIVDGADAEVTKKLKYLQSNLENRCSSRENNFKEQEEASKISCALEDAVMARLKVLNSRPDNMTSSKEENTNQQLDMSKNRPDNIDDAVMSRLRILKSRPDNITPLDQESSKEKTDESTDTADLIDNTVMSRLRILKSRPDNSTPLDQESSKQKPDESTDTTDLIDNAVMSRLRILKSRPDNLTPLYQVSSKHKPDEGTDAEDMTDNAVMSRLRILKCRNDNINALADVSKQHVEGYTDQSNWDKDGVMAKIQAPNGEVASISLGCQNILHSGNVMKHLEGKDSINLLGDTTCSDEDNGCNALSDEVNDKSAVQSDDSFAMNHCWQQTTMDTHICTAGSQENSLISSSVHKYDIFPPEWEHVLKENFFHPGK >LPERR10G13010.1 pep chromosome:Lperr_V1.4:10:13761515:13762018:1 gene:LPERR10G13010 transcript:LPERR10G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVSDVFLSFFCCCCYAPGDHHGMSSTHNDAALRHHHRRGGRSSSKPAVSLQTVELKVRMCCEGCERVVRHALSNLRGVDSVEVDVAMEKVRVTGYVDRGRVLQAVRRSGKKAEFWPAISSMTMMSVARFGTSRVR >LPERR10G13020.1 pep chromosome:Lperr_V1.4:10:13763860:13770773:1 gene:LPERR10G13020 transcript:LPERR10G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLEVEAAAAAALNGAVDWWRDVNESPMWQDRIFHALAVLYGLVSVVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNLQLIHPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVVYAIQIILWLVLWWKPVRVMVILSKMFFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >LPERR10G13020.2 pep chromosome:Lperr_V1.4:10:13763860:13770773:1 gene:LPERR10G13020 transcript:LPERR10G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLEVEAAAAAALNGAVDWWRDVNESPMWQDRIFHALAVLYGLVSVVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGGGAIDSVCAATKFAAHTSRDNSTCASRYAWARILHNARAMSTDGLRPTFYWINAVVYAIQIILWLVLWWKPVRVMVILSKMFFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >LPERR10G13030.1 pep chromosome:Lperr_V1.4:10:13768391:13775297:-1 gene:LPERR10G13030 transcript:LPERR10G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGDGRDWRREGDPAAEASSSSHAFDGGGWGDGDDDDGSGGGGGESWHEAAMAAGKRSSSSSSLRDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVTKIPETFCSMEQYVTSFFGPLLEEVRGDMCSSMEDISRAPYASVLSVNAMRKGKGLYEIKLDKWRGVSHGGGIDVYKPKAADVLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMEIGAHGDERQQMGINRYDKLYAEGFDKSWEMLDQEAVAPVSSNSFVQKNGRKEHSAIQKCFEKHSDRQEQNGMGICGNSSKRWSFYATYLTNMVTYDRVWVVLRRGLTMDSKIIISMFGKNNHAKGHCNYCRSKTHDEIKDELCNFKLNDSQLDAVANCILASECCHNSSVGLIWGPPGTGKTTTVAVMLHMLLMKEQRILACAPTNMAVLQVASRLIQLIQDFSSSRCYSFGDIVLFGNKDRLHIGNELSKVYLDDRVLKLLRCFKREDGWKKCVDSVMNFLINCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVEELETCINTFVDHLPTDSLGRNFDRIMFARSLLDKLQQLLCADDVSDELLYTIFEPSDEHLDSSVSHDLTDDATVDLHDHEISLDNPMEIKTLCIKTLMDLSKMRLPCEDNENSIRDLCLKQAKLIFCTASSSFELFRLQSVKPISILVIDEAAQLKECESLVPLLLPGIEHALLIGDENQLSSLVKSKISKDAEFGRSLYERLCTMGHRKHLLEIQYRMHPGINKFPNANFYDNRISDGPSVKQEDYAKSYLPGPIYGSYSFIHIENDMEMLDELGQSSKNMVEVAVAANIVERLAKAIPLNWIKLISVCMVPKTTAECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNQEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGGTLLASNSIWAELVHDSKRRGCFFDALDDKNLAETIMLASKGGEQQNQREQRSAHNASRLSSGSSRHGIIARGNSRPMGPSHFSVSGNTRRSTGHDSRVDTSHTKEDMHRTHFQRHESYSGDYSQVPPPNQYWRNSYRPPRDNYGDQKVFREHPKHHPVHSREKTYHEIMCSTSQTGNGRFPYSGSFQREKSHRQTSVLGEPQPLGGDYNKGFQNGTSPHPCWRNSSQIRPNTCETGAPQLQSMNNHNQFSNNLRLAPYRAFGCRGRGRPPYDDRGRGGWHERSNNHWMEGSHHQVQNGTYNSPVTMHRGTKREWCKAVASDSPHQVNAKIRSESADQPHQHDQHGGYGSASHQMRTIEPGDVPEQHEMKTDSYKAEASSSSPKDSTRATRPESAEQSYCQAQGDSSGAASHESPVPERRGTASECEAVCHQGNTSGSPNRDDTEVVLEGAELLHCQLQPDGPGCTEVILEGAELPHCQAQTDGSGFASQEPPVPEQ >LPERR10G13040.1 pep chromosome:Lperr_V1.4:10:13778124:13802701:-1 gene:LPERR10G13040 transcript:LPERR10G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVCTAGSRLEGECLLVEVEENVYVLLRQASQDANPLEFTGQIEPHRAHDPQAHAALGTHGLRRGLEQSIRGRVLADAAAEGVAVPIYCVANFTIGTPPQPVSGFIDLNVLKVHQMLQAGSAPVQHVGIGLGRTPWSLVTQTNVTTFSYCLAPHGPGKNSALFLGASAKLAGSSKIASTPLVSISNSSNCCLNTNNDGSDPNYMVQLEGIKAGDVAILTTSSGITVLLDTFRPFSILADGAYQVLKKVVTSALGSPAAKPLKPFDLCFQQAKVSEAPDLVFTFHGGAALTVPSTKYLLDVGNGTVCMPILSSAARLMLPPELEGLTILGSLQQENIHFLFDLEKKTLSFEPADCIAITMGRLMATLLLVLCLISLTTCSLPNRAAALYMHGLRRGMEQSIRGRLLTDTAAESMAMPIKWSSLDTLYNVANLAIGTPPQSVSGILDLNGAAIWTQCSTCTSCFKQDLPLYNPSASSTYQPEPCSTALCQSIPPENRNCSGDGECRYEGPPSLFGHTFGIIISYDIVTIGTAKGRRLIFGCVTANDINITLGGSSGFIGLGRTPWSLIGQMNITVFSYCLSPHGPGKNSVLFLGTSEKLTGSKIASTPLVRTSNSSNDDGSDPYYMVQLEGIKAGDEAVATASSSITVVLDTFLSFSYLADSVYQGLKKVVTSALGSPTAKPLKSFDLCFQGAKVSDAPELVFTFQGGATLTVPSTKYLLGVGNGTVCLSILNSASWLDLTGERLLLEIKQQMNVLLLQASQDADPFKLTRQPQPRRAQDRQAHPAVAVVEQAGVRRAPDGEHVGAYHAARLAAARVRRVDAHVLAVAGGGAVAAVRRDGVAEIGAAWLRLVGVRLGRIEQRELLLEAASSPEFTLSALRPDELAGEPFDVCFPKSSAGGAPELVLTFQGGAAMRVPPASYLLDDGNGGVCLAILSSARLRLPRELEGISILGSLQQENTHLLFDLEKKTLSFEPADCSKLSSENLPAGAVPHNIQCC >LPERR10G13040.2 pep chromosome:Lperr_V1.4:10:13778124:13799952:-1 gene:LPERR10G13040 transcript:LPERR10G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWNISYTALGTHGLRRGLEQSIRGRVLADAAAEGVAVPIYCVANFTIGTPPQPVSGFIDLNVLKVHQMLQAGSAPVQHVGIGLGRTPWSLVTQTNVTTFSYCLAPHGPGKNSALFLGASAKLAGSSKIASTPLVSISNSSNCCLNTNNDGSDPNYMVQLEGIKAGDVAILTTSSGITVLLDTFRPFSILADGAYQVLKKVVTSALGSPAAKPLKPFDLCFQQAKVSEAPDLVFTFHGGAALTVPSTKYLLDVGNGTVCMPILSSAARLMLPPELEGLTILGSLQQENIHFLFDLEKKTLSFEPADCIAITMGRLMATLLLVLCLISLTTCSLPNRAAALYMHGLRRGMEQSIRGRLLTDTAAESMAMPIKWSSLDTLYNVANLAIGTPPQSVSGILDLNGAAIWTQCSTCTSCFKQDLPLYNPSASSTYQPEPCSTALCQSIPPENRNCSGDGECRYEGPPSLFGHTFGIIISYDIVTIGTAKGRRLIFGCVTANDINITLGGSSGFIGLGRTPWSLIGQMNITVFSYCLSPHGPGKNSVLFLGTSEKLTGSKIASTPLVRTSNSSNDDGSDPYYMVQLEGIKAGDEAVATASSSITVVLDTFLSFSYLADSVYQGLKKVVTSALGSPTAKPLKSFDLCFQGAKVSDAPELVFTFQGGATLTVPSTKYLLGVGNGTVCLSILNSASWLDLTGERLLLEIKQQMNVLLLQASQDADPFKLTRQPQPRRAQDRQAHPAVAVVEQAGVRRAPDGEHVGAYHAARLAAARVRRVDAHVLAVAGGGAVAAVRRDGVAEIGAAWLRLVGVRLGRIEQRELLLEAASSPEFTLSALRPDELAGEPFDVCFPKSSAGGAPELVLTFQGGAAMRVPPASYLLDDGNGGVCLAILSSARLRLPRELEGISILGSLQQENTHLLFDLEKKTLSFEPADCSKLSSENLPAGAVPHNIQCC >LPERR10G13040.3 pep chromosome:Lperr_V1.4:10:13801051:13802305:-1 gene:LPERR10G13040 transcript:LPERR10G13040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDYQKAKFDSTLHSAGWKESVSLSRSKRMCMFSCGKLPRMLIPSSSPVKLSPTVLMIPKHTSVEKLQSYTTIKLVWPSFEETGAMDHQPADASRIPTPRNFDAIIFNCCHQTHQHKRY >LPERR10G13040.4 pep chromosome:Lperr_V1.4:10:13801051:13802305:-1 gene:LPERR10G13040 transcript:LPERR10G13040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDYQKAKFDSTLHSVEKLQSYTTIKLVWPSFEETGAMDHQPADASRIPTPRNFDAIIFNCCHQTHQHKRY >LPERR10G13050.1 pep chromosome:Lperr_V1.4:10:13784102:13785485:1 gene:LPERR10G13050 transcript:LPERR10G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVAGTTLFILCLISLTTTFAAAAFWPYDLRQGLEQAVRGRLLAGSGGGGAVVPISWSHAHYVANFTIGSPPQPASGIIDLSGELVWTQCAQCKLRRGGCFKQELPLFDPAKSNTYKTEPCGTDLCDSIPPDSRNCSSSGDGKNVCVYAADTRGGETGGVVGTDVFAVGSTANASLAFGCVVDSDIDAAAGLDGASGFVGLGRTPWSLVSQTGVAAFSYCLAGAGKGNSALFLGDAAKLTAGKSAPTTPFVNISGGDDEAFDNYYKVRLDGVKAGDAVIALPAGGSVVLLDTYSPVTFLVDGAYQALKKAVTVAVGGAPTAAPLKPFDVCFPKSSAGGAPELVLTFQGGAAMRVPPASYLLDDGNGGVCLAILSSARLRLPRELEGISILGSLQQENIHLLFDLEKKTLSFEPADCSKLN >LPERR10G13060.1 pep chromosome:Lperr_V1.4:10:13794114:13794329:1 gene:LPERR10G13060 transcript:LPERR10G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAGPSGFVGVAGTPWSLVSQMNATAFSYCLAPPESGKKSRLFLGAGGKTAATTTTPFVKTLPDDPLRS >LPERR10G13070.1 pep chromosome:Lperr_V1.4:10:13794763:13795137:1 gene:LPERR10G13070 transcript:LPERR10G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSSGGNISRAAELRIGMHTVPFPTSNRYFVDGTVNAAPPWNVNTRSGASLTFACWKQRSKGFSGFAAGEPRAEVTTFLRTWYAPSARILNGLKVSSRTVMPLDVVKIATSPALIPSSWTM >LPERR10G13080.1 pep chromosome:Lperr_V1.4:10:13802711:13803946:-1 gene:LPERR10G13080 transcript:LPERR10G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLATLLLVLCLISLTTCSSSNRAIALGMDGLWRGLEQSIRGRLLADTAAEGVAVPINWSSRALYSVANFTIGTPPQSVSGIIDLHGELIWTQCSTCTSCFKQDLPRFNPSASSTYQPETCGTALCQSIPPDSRNCSGDAVCGYEAPPSLFGQTSGIASTDIVTIGTAKGGRLLFGCVTESDINNTMGGPSGFIGLGRTPWSLVAQTNVTAFSYCLSPHGPGKNSALFLGASAKLTGSKITSTPLVSTSNSSNDDGSDPYYMVQLEGIKAGDMVVATASSGITVMLDTFAPFSYLSDGEYQVLKKVVTSALGSPTAKPLKPFDLCFQEAKVSDAPELVFTFQGGATLTVPSTKYVLDVGNGTVCLSILNLAAVNGIGVLGSLPQENTHFLFDLKKGTLSFQPADCSSLS >LPERR10G13090.1 pep chromosome:Lperr_V1.4:10:13807128:13808180:-1 gene:LPERR10G13090 transcript:LPERR10G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQLGVFAACVVLFVPMGLAGWHLSRNKVLFFSGALFLSLAVGVHLSPYLPSLPHLLLLSSSSSRLLPLPSSFSSSCVPLLHRVSWSDSSAGIIGGGGRSWSWPPSIASTCGLARVSKDDASVLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAVEPELFRRHSDYRAAVPARGISVDFVWAPFESNLTRLLREDLRLAPRAPDVLVVGSGLWHMLHVGDARSYGDGLGSIADAARSLRSPLPVPPPHMFWLGLPRLVNHMLNTDAKRARMNDTMVRDYDLEVEQRGLLQRDGGPFLLLDVGKLSRGCGQQCTADGMHYDGDVYDAVLHIMLNALVIESQQRI >LPERR10G13100.1 pep chromosome:Lperr_V1.4:10:13809751:13810062:-1 gene:LPERR10G13100 transcript:LPERR10G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >LPERR10G13110.1 pep chromosome:Lperr_V1.4:10:13820354:13825135:1 gene:LPERR10G13110 transcript:LPERR10G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGAYGNNYQNYNQFQNDRFAPRYDNENDNEDCYSGSSGASLVGALRQGLKLKSIPVLQWKTPNIRELYTLGRELGKGQFGTTYLCTEISTGCQYACKTVLKSNFRYMSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDELAVHIVMELCAGGELFSKIKKQGHYSERKAAELMKIIVGIIETCHSHGVMHRDLKPENFLLLDAEDEFSVKAIDFGLSVFFKPVRIVSTHKSGQVFTEVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLSGVPPFWAEHPWIYDNGVATDRALERSVFPHLKQFSAMNRLKKLSLQVIVERLSEEEIVGLREMSKGMDTKKRSVVTFDELKGLRRYNPVFKDAEISDLMKAADNDTASTINWEEFIAAAVSLNKIERDEHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDSFLEEMIMEVDQNNDGQIDYAEFVTMMQNNKKLGLGWQTVESSLNVALREAPQVY >LPERR10G13120.1 pep chromosome:Lperr_V1.4:10:13826761:13831204:1 gene:LPERR10G13120 transcript:LPERR10G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGEAAPARSGADILSDYLDRPDAIHRRAASLAIVRSAGGGGGGGDGRSIVDGGRDDRRARSSRRLSLSSWRRPLISRTPAAGDGETAADSRRYWFKSAAAAGDSGGKTKAAIWEWKPVRALARIGKRRAGCLFSVEVAAVRGMPAASMDGLRLAVTVRKSESLSSSAGGGVQTMPATVRGGGAEFEETLFIRCNLYFTGGADSGKPLKLEPRRFVVSVVPVEAPGIRLGAHTVDVSNLVLDSVHKSSEGRRVRWFEKSFPLSGKATGGELIVKFGFQLMDDVGLCLYTQPGTESDDVYSSPARARIHNKNSFSVSSTMAPKISASDSAISPSMRAYKKLIERLSVDEHGEAVRSSSLIPRKLADDEVSGDVPPEYEVVDKGVETVKEVVHYQAHRDVLKELDSIAEQIEAIEALMTTSGSGKKSPSPKTADQRLDADEEMVTVQFLRKLEVDDDGKGRKLKQPMSPPESEKKAPPPPVVLDLGIGIGTAVQTRDGGFLVSMNPFDLPLTSSDPPPKLAMQVSRPFVLPASAMAATGFDVLQKMAAAGGGGAEEIRNMVAKLGAMDNLTGKTPEQVGFEGIAAAVIGGRRTTEGASSSAARSVRLVRKLAAAVCHGRSERVATGIWTADDDPETIEEVIAFAIQKLEAMAVDALLIQAEMADDDAPFEVAAGDDAADARKVFDELISPDEWSESEHGSEGRVTVVAAIQLRDPSRRYEAVGAPMIAVVQSARMIGINGGGGRFKVRSLHVGGVQMRCSPAGGGGGGGGGGRRASWSAERQKLTAMQWSRLAHLIFAPGIAIARSLLHSYGD >LPERR10G13130.1 pep chromosome:Lperr_V1.4:10:13837128:13842251:1 gene:LPERR10G13130 transcript:LPERR10G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVITTFSGAVADSVGRRPMLIASAVLYFVSGLVMLWSPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTTIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESQREGDDYGSDHGGDDIEDSLQSPLISRQATSMEGKEIAAPHGSIMGAVGRGSGLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTGDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSEAVSKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILVLVNVVNVGTMVHASLSTISVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCMIAFLFVFMKVPETKGMPLEVITEFFSVGAKQKEATD >LPERR10G13140.1 pep chromosome:Lperr_V1.4:10:13843372:13844160:-1 gene:LPERR10G13140 transcript:LPERR10G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPPLPSSTTRRLLQSGGGSDNPGRIPGIPPADPPSGGVSSDVVVILAALLCALICVVGLAAVARCARNRRNNSTSAAGGGRNSPSPSTNPADSAAAAAHFHGITTTTTTATAATAKGLKKKALKALPKLAYADAVAAAAAARGAAAAAEGDEENQLAEEVLSECAICLSEFGEKEEVRVMPQCGHGFHVACVDVWLRSNSSCPSCRRPIVLDDPSPPKRCRKCEAIVLDAIVAASASSSSSASGAGAGGGRRGGGFLP >LPERR10G13150.1 pep chromosome:Lperr_V1.4:10:13847704:13852183:1 gene:LPERR10G13150 transcript:LPERR10G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWQSPQTLRFFMITSLVPGLQTKGDGQALTVVAELLLLHFLVQIVQHRDLRPRQERQHLQVAIQGYYLLYFLQAPASCNLGKEKLPWRCSSSVATVALTTTSTRTSGCATESRLRCFAGEISCLVGDDENGVVSVSEQSESDSDPVAPTWAMVLYRWRCRTGTAASSSASVSWWLAVVSAAVLGVPEAGSWDDPFCCFFFTTALCHFFRAFAVCSSKIVLFICDPIWRTMNNSTPVSGRQVKKEILC >LPERR10G13150.2 pep chromosome:Lperr_V1.4:10:13847704:13852183:1 gene:LPERR10G13150 transcript:LPERR10G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWQSPQTLRFFMITSLVPGLQTKGDGQALTVVAELLLLHFLVQIVRFETKARTPTSSGNLGKEKLPWRCSSSVATVALTTTSTRTSGCATESRLRCFAGEISCLVGDDENGVVSVSEQSESDSDPVAPTWAMVLYRWRCRTGTAASSSASVSWWLAVVSAAVLGVPEAGSWDDPFCCFFFTTALCHFFRAFAVCSSKIVLFICDPIWRTMNNSTPVSGRQVKKEILC >LPERR10G13150.3 pep chromosome:Lperr_V1.4:10:13849561:13852183:1 gene:LPERR10G13150 transcript:LPERR10G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAWRRARVRGAAAAAAESRRRRRRGSSRKTGRRIRNLGKEKLPWRCSSSVATVALTTTSTRTSGCATESRLRCFAGEISCLVGDDENGVVSVSEQSESDSDPVAPTWAMVLYRWRCRTGTAASSSASVSWWLAVVSAAVLGVPEAGSWDDPFCCFFFTTALCHFFRAFAVCSSKIVLFICDPIWRTMNNSTPVSGRQVKKEILC >LPERR10G13160.1 pep chromosome:Lperr_V1.4:10:13848247:13854967:-1 gene:LPERR10G13160 transcript:LPERR10G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVAGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRGSEHEVPGGNGRRHLSENPTNFKCHKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSSILLYFAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAKMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVFVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQKGSSHDPASGTPSTAADTTASHHETDADDDAAVPVRHLHRYKTIAHVGATGSLSDSDCSDTETTPFSSSPTRQLISPAKQRSLDSVAQPEVRVDVVVNATVATEEEHRHGSFSFPSAFWSCGRSQ >LPERR10G13160.2 pep chromosome:Lperr_V1.4:10:13848247:13854967:-1 gene:LPERR10G13160 transcript:LPERR10G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVAGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRGSEHEVPGGNGRRHLSENPTNFKCHKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKVRSIKVQAYSSNIFCETTCKLLEQKFYSALFWTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAKMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVFVQFMCSYITLPLYALVNYSHHMTKFSYSLDFHLTFREHTELHTINQHKISFLTCLPLTGVELFIVLQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQKGSSHDPASGTPSTAADTTASHHETDADDDAAVPVRHLHRYKTIAHVGATGSLSDSDCSDTETTPFSSSPTRQLISPAKQRSLDSVAQPEVRVDVVVNATVATEEEHRHGSFSFPSAFWSCGRSQ >LPERR10G13160.3 pep chromosome:Lperr_V1.4:10:13848247:13854967:-1 gene:LPERR10G13160 transcript:LPERR10G13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVAGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRGSEHEVPGGNGRRHLSENPTNFKCHKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAKMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVFVQFMCSYITLPLYALVNYSHHMTKFSYSLDFHLTFREHTELHTINQHKISFLTCLPLTGVELFIVLQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQKGSSHDPASGTPSTAADTTASHHETDADDDAAVPVRHLHRYKTIAHVGATGSLSDSDCSDTETTPFSSSPTRQLISPAKQRSLDSVAQPEVRVDVVVNATVATEEEHRHGSFSFPSAFWSCGRSQ >LPERR10G13160.4 pep chromosome:Lperr_V1.4:10:13850680:13854967:-1 gene:LPERR10G13160 transcript:LPERR10G13160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVAGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRGSEHEVPGGNGRRHLSENPTNFKCHKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSSILLYFAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAKMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVFVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQKGSSHDPASGTPSTAADTTASHHETDADDDAAVPVRHLHRYKTIAHVGATGSLSDSDCSDTETTPFSSSPTRQLISPAKQRSLDSVAQPEVRVDVVVNATVATEEEHRHGSFSFPRLPAQNLQQK >LPERR10G13160.5 pep chromosome:Lperr_V1.4:10:13850680:13854967:-1 gene:LPERR10G13160 transcript:LPERR10G13160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVAGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRGSEHEVPGGNGRRHLSENPTNFKCHKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKVRSIKVQAYSSNIFCETTCKLLEQKFYSALFWTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAKMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVFVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQKGSSHDPASGTPSTAADTTASHHETDADDDAAVPVRHLHRYKTIAHVGATGSLSDSDCSDTETTPFSSSPTRQLISPAKQRSLDSVAQPEVRVDVVVNATVATEEEHRHGSFSFPRLPAQNLQQK >LPERR10G13170.1 pep chromosome:Lperr_V1.4:10:13861550:13863350:1 gene:LPERR10G13170 transcript:LPERR10G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKDGGEGASGEASGVYKRTQSMPTTPTTPVTPSSSTTTPRGSNVWRSVFHPGSNIATKGLGANLFDRPQPNSPTVYDWLYSDETKSSHR >LPERR10G13180.1 pep chromosome:Lperr_V1.4:10:13869136:13872960:1 gene:LPERR10G13180 transcript:LPERR10G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSGSGGSTPVGGKPPRHHLTSIRHCASSARIAAATAEFDLDSGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEYLGMRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLKFIIEDGHFPSSIENAIRSAFVKADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKLRIENLGGTVFDGYLNGQLSVARAIGDWHMKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVQEALRRNSYDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNV >LPERR10G13190.1 pep chromosome:Lperr_V1.4:10:13874027:13877725:-1 gene:LPERR10G13190 transcript:LPERR10G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRGSMVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMSNDDLGMQGIQQMDQEQTFAGGMQVWTDMQHYECDGPLNCRCHSSSKHAKGSLLLLPHHQQQLLQRPNQMETTQAGSVRSQGGGVVGGGICEIDVSSGLAVDTAQAAASYYTQLQHQHGPPPAGAGHDAAAPPPISTPRLLGVVVMTTWLRGSRQEHDVSPPPANGDELSLSLTLDSGLMSCRSSSGSSWLSSPSTSFSGGGCTTMRDSVSLDLSL >LPERR10G13200.1 pep chromosome:Lperr_V1.4:10:13885600:13888717:-1 gene:LPERR10G13200 transcript:LPERR10G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQASPFLLLLLGSLALATLCGLAAAARPETGSLDAATAAMQEHDRVMSLPGQPAYSSGFRQYSGYVTTDEYLGKALFYWFFEATDKPDEKPLVLWLNGGPGCSSIGYGQAQELGPFLVKKDVAGLELNPYAWNQAYGSYTFLVKWFQRFPQHKMKEFYIAGESYAGHYIPQLANVIVEQNKIASKENYINLKGIMIGNAYMDGDTDLVGIVDSAWHHAIISDKLYSDFQKFCNFSLEDLSKECTAAINQFSALYNIIDIYSLYTTRCELGYPNFNSSFAAQIGEITSRIPMGYDPCTQTNSISRAWKDSDMTVLPIVKKLTQSGLRVWIYSGDTDARIPTSSTRYTLKKLGLPIKEDWSPWFHHKQVGGWTVVFDGLTFVTVRGAGHMVPSIMPEQALELFKYFLANKELPSKPY >LPERR10G13210.1 pep chromosome:Lperr_V1.4:10:13890388:13890627:1 gene:LPERR10G13210 transcript:LPERR10G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITVKVKTLTGKEVVVSIEESETVARIKEQIEATEGIPPAQQTLIHGGRQLADDMIVEMCNIRHGSELHLALALRGGA >LPERR10G13220.1 pep chromosome:Lperr_V1.4:10:13900081:13902297:1 gene:LPERR10G13220 transcript:LPERR10G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVQLWSDWEIQLLMILSFTLQMFLFFTGGLRRCSTRPLLRFCMWVAYLGADLVALYALGYVSRHQDVTSSKGTLREVHPLSFIWAPFLLMHLGGQDTITAFAIEDNHLWMMHLLNLGVQVALTLYVFWKSVDLHYLLILIPGVLVFVAGIIKYGERTLALMYGELKKIHGSTGNKDKESFPKVGDIDEDDSTKASFSKLCESEVDNVDNEDRVKSPKEDEVNVGYFDLVSFALHSAPGIRQLFAGHTLHQIEKRCRDVLTFKIKKVHSQKLLEIELCLMYDDLYTKAMVLRARRGIILRSYGSSTDEQRWPNLGPLKKLLHNSNMSFGYGIVCFHIFTEAHLLKCYHPSLQELVDLATTSMKSSRVRNLNCNRFNLVHEPDTSVNTLMEIRDMWTMLLIYSAGKSKAETHAAQLSNGGELLTFAWLLMAHLQLGDVGEQYDFFFAGVPPRPPQLIERSPNRTGGYIYVPEDVGPHANKLQRLKGKRKSYQEIMGHNGPDNKRYVAERFPSGWAPAFRRTSQLSNLRDAKHSVISELA >LPERR10G13230.1 pep chromosome:Lperr_V1.4:10:13909643:13911114:-1 gene:LPERR10G13230 transcript:LPERR10G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNGESIWLNLPNDIMETIADKAGDISTGRALFRSVCRPWRAAVPDTPRLLLPAAQQDGADDPLLFPLSRGWSLAVDVRDTSCHLTHLAAGATAPLPSLNAVRSTAAASSRVVRHGYEHAAAPAATPAGTTPPRHRKNFRVKRSFRVASSAGDRRRRTRSGWKIRMNSSWKSIYLQDPGLSSDDDRFRIKIKYLWYLMLLETDLEFSDLLRLAVHVPPENTPAASTVGIVIMMYHPIQGKTGMVFCRPGDAEWTKIENPIDDDEFRHNLIDFAYFDGKMFAMDRNGTTAAIDADTLEVIDLVGVPPETRNFTSRLFGTVNGDYAVDTVDHLHLLALPSKLLVVRVRVSSSSSVPESFDVFELGQDDDRDGGELEWRKLDGDDVGGNYGLFLDGHHATFSDDGGGGGSRIYYVQSGRSCRPRETAYCYSMRDKQMECLYSLPENCEEQYSTKPSWFVPYICLLLVIVVGNTTDYTVLQM >LPERR10G13240.1 pep chromosome:Lperr_V1.4:10:13912334:13913629:1 gene:LPERR10G13240 transcript:LPERR10G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCWKVVINPAWKRRLKQESVDNVTGDDDGYRIRIKFLWYFMFLETDLDFSDMLRFAVHVPAAASADGMVIMMYHMERHDGGDGRRHAGIGRPRRRAAGHVQHRLDQAVRPLLGDDVTVVDRLHLAALPSKLLVVRVRVVPSSSSSSEPESFDVFELGRHDGGGELEWRKVDGDGVDGGNYDLFLDGHHATIFGCGGGGRIYYVHEKWMVGDAGVAAYCYRMRDGELECVYKPPPEDCMEQYSTKPSWFVP >LPERR10G13250.1 pep chromosome:Lperr_V1.4:10:13914405:13915187:1 gene:LPERR10G13250 transcript:LPERR10G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCDDKCGCAVPCPGGDACRCASSARSDGGGDERHTTCSCGDHCGCSPCACGRESLPTGRDNRRAGCSCGESCTCASCGATTAATT >LPERR10G13260.1 pep chromosome:Lperr_V1.4:10:13916184:13920418:-1 gene:LPERR10G13260 transcript:LPERR10G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEAGGAGGGGDGGESAPAAVAAAGGASLHIRCANGSKFTVQTDLAATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSPAPAAASPPGSTAPSTGPTGGLGSLFPGLGGTGTAGTRPSGLFGPGFPELDQMQQQLTQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMREIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDAATNAPNTGSESTTGTPAPNTNPLPNPWSANAGGAQGATRSGSTGNARTNPIGSLAGLGSADLSNMFSNLAGNTGGTGSTGGLGGLGSADLGSMLGGSPDSSSLSQILQNPAMMQMMQNIMSDPQSMNQLLNFNPNARNLIESNPQLREMFQNPEFIRQMTSPETLQLLLSSQQSMYSLLRQHQPRQGGNQGGNGAGMYENVTVDTLMDMLSGLGAGGGIGAPNPSNAPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVERLLGNLGQ >LPERR10G13270.1 pep chromosome:Lperr_V1.4:10:13924893:13926608:1 gene:LPERR10G13270 transcript:LPERR10G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSVVVFVVVVGMSALVSGCDRCVRQSKAGFLDSSIGLNAGSCGYGSLAATFNGGHIAAASPTLFRGGVGCGACFQVRCKDGKMCSTAGAKVVVTDEARTAKNGTDLVLSAAAFAAMARPGMAAKLRSRRAVDVEYKRIPCEYAAGRNLSIRVEEKSRPPTELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTKQAPAAPLQMRVVVTGGYDGKWVWADGEVLPRRWMAGEVYDAGVQIADVAQEGCYPCDTQEWQ >LPERR10G13280.1 pep chromosome:Lperr_V1.4:10:13932528:13933299:1 gene:LPERR10G13280 transcript:LPERR10G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLSTLTSPKAVAPASFLLDCARPKKLTSYARARSTSLPVRLHPLVAGLHDAARALLGWADAPAHTGPAWVSAGAALAGKVLDALAALLHHPSQSQSPLRRRPSTEQLLDDLLLLADLHALFRDSLLSLRNLLAETHSSLRRRDGRFRRSGVGVGGVGGERGAVAEDADAVLAGANAGEPDVDHLLRRRRTVSFEDYCNEEEEERKTAMARVRGLEECVVAAENGCEEVYRALVNARVSLLNLLTPTF >LPERR10G13290.1 pep chromosome:Lperr_V1.4:10:13939665:13944700:1 gene:LPERR10G13290 transcript:LPERR10G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRVGSHAPEKPPPHHRRAPPPPHPRRPSFTLHASSSSSAAASTTTVRASSAAGGAAHGGGVPAFAEFSLAELRAATGGFAAANIVSESGEKAPNLVYRGRLQGHGGGGGADIAVKKFGKLAWPDPKQFAEEARGVGKLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGRSYSTNLAYTPPEYLRNGRVTPESVIFSFGTILIDLLSGKRIPPTHALDMIRSRSIQAIMETNLEGKYSIEEATTLVDLASQCLQYEPRDRPDIKKLVSILEPLQTKSEVPSYVMLGVPKPEEVPKSPPTPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGSNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYSQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKNTRGP >LPERR10G13300.1 pep chromosome:Lperr_V1.4:10:13948795:13954199:1 gene:LPERR10G13300 transcript:LPERR10G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIGDMTTTAAMRFARAVACAAALVLGVAASGAAAQGGVGSVITSAVFNSMLPNRDNSLCPARGFYTYDAFIAAANTFPAFGTSGGSAELNRRELAAFFGQTSHETNGGTRGAADQFQWGYCFKEERNKATSPPYYGRGPIQLTGQQNYQLAGKALNLDLVGNPDLVSTNAVISFRTAIWFWMTAQDNKPSCHDVILRRWMPSAADTAAGRVPGYGVITNIINGRFECGIGRNDANEDRIGYYRRYCSLLGADTGSNLDCYAQRNFASG >LPERR10G13300.2 pep chromosome:Lperr_V1.4:10:13946119:13948866:1 gene:LPERR10G13300 transcript:LPERR10G13300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMFARAVACAAALVLGVAASGAAAQGGVGSVITRSVFNSMLPNRDNSLCPARGFYTYDAFIAAAKTFPAFGTSGGSAELNRREIAAFFGQTSHETTGGTRGAADQFQWGYCFKEERNKATRSNYQLAGNALKLNLVGNPDLVSTNAVVSFRTAIWFWMTAQGNKPSSHDVIIGRWRPTAADTAAGRVPGYGVITNIINGGIECGVGRNDANVDRIGYYRRYCSLLGAGTGNNLDCYTQRNFAS >LPERR10G13300.3 pep chromosome:Lperr_V1.4:10:13946119:13954199:1 gene:LPERR10G13300 transcript:LPERR10G13300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMFARAVACAAALVLGVAASGAAAQGGVGSVITRSVFNSMLPNRDNSLCPARGFYTYDAFIAAAKTFPAFGTSGGSAELNRREIAAFFGQTSHETTGGTRGAADQFQWGYCFKEERNKATQQNYQLAGKALNLDLVGNPDLVSTNAVISFRTAIWFWMTAQDNKPSCHDVILRRWMPSAADTAAGRVPGYGVITNIINGRFECGIGRNDANEDRIGYYRRYCSLLGADTGSNLDCYAQRNFASG >LPERR10G13310.1 pep chromosome:Lperr_V1.4:10:13951681:13954607:-1 gene:LPERR10G13310 transcript:LPERR10G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITPDYLASWKFR >LPERR10G13320.1 pep chromosome:Lperr_V1.4:10:13957130:13960718:1 gene:LPERR10G13320 transcript:LPERR10G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAGVVKAALLLVAPALLAVLLYSPDAFSPAPMPPEYSYAAAVSVPASRHDSLALAASERVGEGRLPAPEDMAHDAAGGWLYTGCADGWVRRVSVVSGDVEDWVPTGGRPLGVALAADGGLVVADADVGLLKVSPEKKVELLTNEADGIRFALTDGVDVAGDGTIYFTDASHKYSLAEHMADVLEARPHGRVMSFDPSTRQTTVLARDLYFANGVAVSPDQDSLIFCETIMRRCSRYHINGDKAGTVEKFIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDILMKSPFLRKLLYMVEKFVVAIPQGLKNAGAISVSLAGEPVTMYSDAGLALTTGWLKVGDYLYYGSLEKPYLSRINIAKSPVEKA >LPERR10G13330.1 pep chromosome:Lperr_V1.4:10:13961367:13962128:1 gene:LPERR10G13330 transcript:LPERR10G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHCDDLPATFRHRPWDRLDETALLIINQTYAAAAAIASPGRRFLHDGAVEVSSIADSSPAVVVTVIATPASCFVTLDAAAAPHNYAKLTSSKKKARLGHCLARTSVSVLPATLYLSLNSGDGGNGGDMMECCSSGEVAAAGGAVAIVEAIRMRLEEAIRHETAILVDGRRFKCVAGGTSSGLEEVIEVMKALEEMRREIDVPVMVRMRRLKRCHGDVGGGDITMADQEEDVDDEAMVKRFRAMGFL >LPERR10G13340.1 pep chromosome:Lperr_V1.4:10:13968007:13969107:-1 gene:LPERR10G13340 transcript:LPERR10G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPTSAEVARVLACLFEKDVEFQLIRVDSFRGHKRMPQYLKLQPHGEALTFEDGNVTLVGNPDLIGTGALERSSIEQWLQTEAQSFDVPSADLVYSLAFLPPTTPLDGAGAAAAADCDQIDQMHAGHREKVKEMKEVYERSRKEVSKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAGDPRSLRLIESRRNVSRWWADVSGRESWKYVKSLSRPPATSSAEAPF >LPERR10G13350.1 pep chromosome:Lperr_V1.4:10:13979558:13982454:1 gene:LPERR10G13350 transcript:LPERR10G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHTAPASTAAAAGMYRHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQIHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAVKLQFHSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKLGDGGDVPTTLLGEHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTASKLFLCKNSLSKSGLSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSNYPYDCYCPPHLMDNRFVGITGLAFSHQSELLISYNDENIYLFPKNGGLGPDPKSSVKIESNKGSKSTMATSGEVVNGPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNVEELKPRKRTKLWHFSLPEELIMHVLASRRRRQAAGDDSSEDLEDSTGLLNLVLRAAERDVPSDEDEETSEDSGDCSLD >LPERR10G13350.2 pep chromosome:Lperr_V1.4:10:13979558:13982614:1 gene:LPERR10G13350 transcript:LPERR10G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHTAPASTAAAAGMYRHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQIHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAVKLQFHSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKLGDGGDVPTTLLGEHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTASKLFLCKNSLSKSGLSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSNYPYDCYCPPHLMDNRFVGITGLAFSHQSELLISYNDENIYLFPKNGGLGPDPKSSVKIESNKGSKSTMATSGEVVNGPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNVEELKPRKRTKLWHFSLPEELIMHVLASRRRRQAAGDDSSEDLEDSTGLLNLVLRAAERDVPSDEDEETSEDSGDCSLD >LPERR10G13360.1 pep chromosome:Lperr_V1.4:10:13999394:14002644:1 gene:LPERR10G13360 transcript:LPERR10G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPATSPSSSSPAAVARVVACRVICAVATCVFAAVGSVVGAVTGSVIGVATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSTDSGVWSLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGDNAVDSAGEAVCCSVCLQDFHVGEMARRLPSCRHLFHVACIDCWLVRHGSCPLCRRDI >LPERR10G13360.2 pep chromosome:Lperr_V1.4:10:13999590:14002644:1 gene:LPERR10G13360 transcript:LPERR10G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPATSPSSSSPAAVARVVACRVICAVATCVFAAVGSVVGAVTGSVIGVATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSTDSGVWSLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGDNAVDSAGEAVCCSVCLQDFHVGEMARRLPSCRHLFHVACIDCWLVRHGSCPLCRRDI >LPERR10G13370.1 pep chromosome:Lperr_V1.4:10:14012750:14020937:1 gene:LPERR10G13370 transcript:LPERR10G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMDYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDQSQVESGSLSTTEEPDLQGSFVGVYDGHGGPETARYINDHLFNHLRGFASEHNCMSADVIRKAFRATEEGFFSLVSSQWSMKPQLAAVGSCCLVGVICGANLYIANLGDSRAVLGRLIKGTGEVLAMQLSAEHNASYEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLPETFRRPLLSSEPAIVVHQLQATDQFIIFASDGLWEHLSNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTASWSRPTVSLRGGGVTLPANSLAPFSVPT >LPERR10G13370.2 pep chromosome:Lperr_V1.4:10:14012750:14020937:1 gene:LPERR10G13370 transcript:LPERR10G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMDYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDQSQVESGSLSTTEEPDLQGSFVGVYDGHGGPETARYINDHLFNHLRGFASEHNCMSADVIRKAFRATEEGFFSLVSSQWSMKPQLAAVGSCCLVGVICGANLYIANLGDSRAVLGRLIKGTGEVLAMQLSAEHNASYEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLPETFRRPLLSSEPAIVVHQLQATDQFIIFASDGLWEHLSNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTASWSRPTVSLRGGGVTLPANSLAPFSVPT >LPERR10G13370.3 pep chromosome:Lperr_V1.4:10:14013846:14020937:1 gene:LPERR10G13370 transcript:LPERR10G13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMDYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDQSQVESGSLSTTEEPDLQGSFVGVYDGHGGPETARYINDHLFNHLRGFASEHNCMSADVIRKAFRATEEGFFSLVSSQWSMKPQLAAVGSCCLVGVICGANLYIANLGDSRAVLGRLIKGTGEVLAMQLSAEHNASYEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLPETFRRPLLSSEPAIVVHQLQATDQFIIFASDGLWEHLSNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTASWSRPTVSLRGGGVTLPANSLAPFSVPT >LPERR10G13380.1 pep chromosome:Lperr_V1.4:10:14019676:14022360:-1 gene:LPERR10G13380 transcript:LPERR10G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASSASTSSSTKRTRSARRLPSLPKPRASNPPSPDASPSTPPPPAAAASPPLAVSPVGAGGGGKIGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILILDPLTIDVIPFVEQLTHHVPLKNLVGNGQRGGGGENGEKQDGSPGDQVPCLNEATGAEHELPFEFQVLELALETVCSSFDANVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALMSSAASNSIVPGGTSLSRLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMIFIILLGYAWWKKLLGP >LPERR10G13390.1 pep chromosome:Lperr_V1.4:10:14022623:14025649:1 gene:LPERR10G13390 transcript:LPERR10G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSVLLFGCAATLLPRSRCATACFLLPATRRMGRGRRWGLLRSSSPPEGVPGELMEEESKFVPLNAEDPMYGPPALLLIGFEEGETEKVQEFLKELDGDFLKELPALFEIAKSMPRICIFSGLTGEEMMMFINAFPETGFEPAAFAALVPNSADKILGEVIDEIMGDHEMLTGKN >LPERR10G13400.1 pep chromosome:Lperr_V1.4:10:14030540:14037513:1 gene:LPERR10G13400 transcript:LPERR10G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAEAAWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVEPYKLQPRVRLSRAEFVRCYRDVMRIFFLVIGPLQLVSYPTIKMVGIHTGLPLPSLGEMAVQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIVLRQMEAIETHSGFDFPFSLTKYIPFYGGADYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRFHKAYQAKMKALGQNDGDKADGNGYYEKMD >LPERR10G13410.1 pep chromosome:Lperr_V1.4:10:14034346:14037307:-1 gene:LPERR10G13410 transcript:LPERR10G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELWMTTTGSASSFFFLDQVSRFSSSFLIPNSQSTPESRAVAVAAGDFHGRNLAAVRLTRLLVLLAPAAAAHHQRTAGFVLSVPRTVMHHIGETATVVLADLPMICATIVSAQDILLETVQMWLSAMPVGFQGTLQQSVRPKISAGTAKSLATWLAAARMKGYAVTVASQVTLQETALLHHRCQRGGPPPFRGGGPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRY >LPERR10G13410.2 pep chromosome:Lperr_V1.4:10:14034346:14036392:-1 gene:LPERR10G13410 transcript:LPERR10G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPPPKDRRIRSERTSYRHAPYRRDSHRDLPMICATIVSAQDILLETVQMWLSAMPVGFQGTLQQSVRPKISAGTAKSLATWLAAARMKGYAVTVASQVTLQETALLHHRCQRGGPPPFRGGGPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRY >LPERR10G13410.3 pep chromosome:Lperr_V1.4:10:14034346:14037307:-1 gene:LPERR10G13410 transcript:LPERR10G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELWMTTTGSASSFFFLDQVSRFSSSFLIPNSQSTPESRAVAVAAGDFHGRNLAAVRLTRLLVLLAPADLPMICATIVSAQDILLETVQMWLSAMPVGFQGTLQQSVRPKISAGTAKSLATWLAAARMKGYAVTVASQVTLQETALLHHRCQRGGPPPFRGGGPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRY >LPERR10G13410.4 pep chromosome:Lperr_V1.4:10:14036480:14037307:-1 gene:LPERR10G13410 transcript:LPERR10G13410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELWMTTTGSASSFFFLDQVSRFSSSFLIPNSQSTPESRAVAVAAGDFHGRNLAAVRLTRLLVLLAPAACGFVVDREEGRKEGRKERGL >LPERR10G13420.1 pep chromosome:Lperr_V1.4:10:14037521:14038921:1 gene:LPERR10G13420 transcript:LPERR10G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVTSNGETAPPPPPATAAATWTPPYCTIVAADMSDFCYLSCPRCERALPDHAAACAACGGGGGVPAPVRVYRLGVSLATHDRVVRAVVFDRAARGLVGCPADELSRFFSEHEGADRAAEEALEGEMCRVAMRALAAAKGGDGGGDDEEERFRAVSVVPLRDGFRPLIDTLTTLYYPDDGDAPETSSSPPRLELEK >LPERR10G13430.1 pep chromosome:Lperr_V1.4:10:14041670:14045170:1 gene:LPERR10G13430 transcript:LPERR10G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGNGSLQGSAAHALLPAACILLLMMMMHSSEAQPSPGYYPSKMYRSMAFSEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSIRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYSKKVEGTFPEREMWAYASIWDASDWATDAGRYRADYRYQPFVSRFSDLKIAGCAAAAPPGCNPVPASGDGSAARQEAAMAWAQRNSMVYYYCQDYSRDHTFYPEC >LPERR10G13440.1 pep chromosome:Lperr_V1.4:10:14044232:14048726:-1 gene:LPERR10G13440 transcript:LPERR10G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGGEEGEEQGRRLGGTVRGDGEVAAPPVVELSSSRSGEEGSSGDEDEEEESQGSVEEVTRGGGGNREARVLESQDGAEAERQSQSLPGCPICMNAWTADGVHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKQKDIINLYVPEIYVPYNDLEKQVLSLSEKNESLQKQNQELVQEIKEHKRHIILQQNFINESSLKRQNEIFLDGARVMGINASSQIIFTSGRAPGVGAEHVLTKVSMSRRGLQRIHLPPDTKAIRDMCILPEGHAVFASLGKKLSLFRLLAGPVQAIKLNGMLLTFDIRQTATPLHSMTGLSTHPVHTIHSVVDGSGFRKDPASGMLMGAETGDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGDHLLIRRTSNTSFTKDQICKGNVSGLRMSKSAIIPCTGSNPHQQNLFAYGDEALCGVRTWRLPSLQTFTDLRPHRQPILDLRFAESSTGERYLGCLSEDRLQVFRVR >LPERR10G13450.1 pep chromosome:Lperr_V1.4:10:14049025:14051795:1 gene:LPERR10G13450 transcript:LPERR10G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLSAPFSRGRGEERKKEAAAAMARSVAYVSPAKLLAMARGNPRVAIIDVRDEERSYQAHIAGSHHFASRSFAARLPELARVTGDKDTLVFHCALSKVRGPSCAKMFSDYLADQTKDGSGVKNIMVLERGFNGWEISGQPVCRCTDAPCKGTCSPEEPEL >LPERR10G13460.1 pep chromosome:Lperr_V1.4:10:14052569:14053363:1 gene:LPERR10G13460 transcript:LPERR10G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLSSRRLLSSLLHHPPIPIPXPSPSPTISARFLSSSAPGRRGPRGATDIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYAADPTKHSRVRLGGLVLEGSVAHPSSSSSQIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDEVRSETAAAGRKVSGKARECECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAGGAIVAAEGTTTATAAVALDGANKASS >LPERR10G13470.1 pep chromosome:Lperr_V1.4:10:14056388:14057635:1 gene:LPERR10G13470 transcript:LPERR10G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRSASMLALVVAVAAAAATCADAWHNYGASKFTVTGSVLCQDCTKSWNAYAYNAKPIPESVVGITCLDKETGRTVYHSVDKTDGKGMFNLEVPYTIGKASLHPSSCLVRLASSGNQGCAVFTNFNGGKTGERPSRPSHVYPGKVTYAAGPFYFTLTQCDDKGAVSGY >LPERR10G13480.1 pep chromosome:Lperr_V1.4:10:14058713:14065784:1 gene:LPERR10G13480 transcript:LPERR10G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHSDAAHHLPTSAGGPGASSSAAAARRRRRPGLSCRPSHLFFAIVVALFTASLLVVWQLLPIGDGDGDKAAEGQARGGGGGGGGGVMMRFSSSSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLTNLGYEVEVLAFVDGKANDILENICHVNVVSPHSLKSIDWSKYNGVLVSSLEGKREPFQYLPVVWLIHEDALGQLLRNSEQHQAIPNHIEDWRTHFNACTYVVFPDSYLPLLHSTLDTGNFLVISGSPVDIWATKRYALSHTQESIRKQDGNKEDVVVLVIGSYLFFDELPWDFATIMRSSAPHIMDMAKTKNLGVHFIFFCGNDTDAYNSAFQELASHMGFPVGSVKHFSMTHDIRNLLASADIVLYGSLRQEPVFPPLLLRSMAFEIPIIVPNLTVITKYITDGIHGILFSADDPSTMVSAFTRILGEKGLLATAYSVALEGKLLSKNMLAYDCITSHVMLLESVLHYPSYAKLPSPVSNVQERTWLWDLFETKATLGNSSSEDDSRLARIIDNLVGESHESNQTTYSDSNDTSLYNYPSISDWNDLNEIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGSWPFLHRGSLYRGITLSKGGRRPRSDDVDAVTRLSVLDNPYYRDRLCEFGAMFAIANRIDTVHKLPWIGFQSWQAGGRKVSLSESAEETLEETMAGENHEDVIYYWAPMDIDQTSSFWSLCDYLNAGRCRTLFEDAFRTMYGLPEGVAALPPMPNDGGYWSTLHSWVMPTPSFLKFIMFSRMFLDSLHSLNVNSTDPDSCLLGASQPEKRHCYCRILEVLVNVWAYHSGRRMAYLNPVTGEIREQHHLDERDEMWVKFFNFTLLKSMDEDLAEEADDGMHPGDGQWLWPLTGQVFWPGIADREREEKYMKKLDKKLKNKVKLLERQKSGYKQKPLGQ >LPERR10G13490.1 pep chromosome:Lperr_V1.4:10:14067815:14077793:1 gene:LPERR10G13490 transcript:LPERR10G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAPPSSSSSTTPHPSLFGDGGGGGGELFGSAPGPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPPPSASRPEVPARAAAAAAAARAIAGLPPHEKISLPSNSEDLVSIYGSNPQGQAVDELEEVFYEEEFDPIKYILQSIPEEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHISSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQAFQLLPEYLQILENYSSLSSVQEMGRGIEAWLARTIQKLDTHLLGVCQTFNEESYLTVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHFVLKEMLEEEVGNNTQRNRFTYSDLCAQVPEPKFRPCLLKTLESLFSLMCSYYTIMSFCPEVKSIESKGENSTDKNSAVQSAGESLVDSAEMSDRTSSSDFSNHDTSTSGTDSPFYQLRTDATKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYVVAFHRQNIYALKMVLEKESWAIMSAEASQIISLAGLTGDGAALISPTCRSSTLPIHYRGNSTMSDTGKEKYGFASWTRIENPFFYKLENGSTESPKSNLMFNSSVHNSSAHGSTSNGNRKNAPPDEENEDLLADFIDEDSQLPSRLAKTKIVKGNYSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLDIVNVELFKGISQLFGIFYHCIYETFGNQDKGQSGKSLPDHQSFRLKAALSKITQDSDQWIKPQNISYSPSSTLSMNSTFAQMDVMPTAPPSSMFTSYALKERCAAAETILLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGIEHNGAAFEIAWLKGLWLVVCVLIVNWSATDDVVCTPCYSYVDLLLGEFKHYKTRLDHGGISKELQDLLLEYGIESIAEVLVEGLSRVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >LPERR10G13500.1 pep chromosome:Lperr_V1.4:10:14077577:14079771:-1 gene:LPERR10G13500 transcript:LPERR10G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIGVLVFVSLVILFALDFLSVFPAEWLGYHGIILVLLETPSGFALFYYLYRPNALEVTWAGLTLLGSQTEPVFDKEFTAFEDKANATNQETGVSAEFARMIKKRLRPKQKLAVGKQEYKTIIEKCLVSGIYCLFDDAVMELMWGLKNNMEHYVPEETSDLSKEDRLQMSVGMKMVLDRYGFHDVKPEMVNRDITKYTRHLYECDVSVNKHAKSLCRYRDIFEKIPGIGFEGWHLEKLAAAFKLICYPDQEIETGEREELLSDAEVLHLVNYARHIKSYSILDRRSCFEMYEHVPMSREWRPRTLETLQYMSRRQKKHMKLNLCVRRRHK >LPERR10G13510.1 pep chromosome:Lperr_V1.4:10:14082831:14083229:-1 gene:LPERR10G13510 transcript:LPERR10G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMITASPEFYKPAAPPSPPVFSPCGSPLRLLQQYGAGDDQECGGGGGICRTPTGVGSSLNQPGTCPPAPRKPRAPAAPCRKRLFEVEVFSLRLDELERLFWRPPPPPPSPSHQQQPPPPQKRRRVAKLGT >LPERR10G13520.1 pep chromosome:Lperr_V1.4:10:14089478:14095535:1 gene:LPERR10G13520 transcript:LPERR10G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASASAPCIPFLSPPPPPKLVSLPRRCIRLPPPRSSGNPRGGGGGGGDDSTTPWVSPDWLTTLSRSVATRLGRGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREDGPVYRLAAGPRDFVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTLKLILSSVQVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLEISALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNAVEELITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKVLLRRAIIDDVLPGNYKIKAGQDVMISVYNIHRSPEVWDRADEFVPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKVDIELVPDQKINMTTGATIHTTNGLYMNVSMRKVEREPDFALSESR >LPERR10G13530.1 pep chromosome:Lperr_V1.4:10:14094898:14095365:-1 gene:LPERR10G13530 transcript:LPERR10G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVAWFLKKAAGIETASGRPGHNVVSSLTLRHVYEIAKLKQSDPYCKHMSLEALCKSIIGTANSMGIEIVKDL >LPERR10G13540.1 pep chromosome:Lperr_V1.4:10:14099735:14100433:1 gene:LPERR10G13540 transcript:LPERR10G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLATGGGGGEGPPATPAVAGRPSQFNLSSGAATAVVFVSIVLCFILLCTYCRCARQRAIAGARARVVTSLRGVDLLLRPSHLAAIALPVLPYSSIAAEKGRKPVLEDCPVCLEPFAASAAAAGEDCGEEDGGVKVVPACGHVFHAGCIDRWLAVRNSCPVCRCAVVSYRARDTAVVVDDGDGDGEVDDDQEAVLERVVAMIEAIREEQREEEAAAAASGGGEGEVMTSR >LPERR10G13550.1 pep chromosome:Lperr_V1.4:10:14103199:14111986:-1 gene:LPERR10G13550 transcript:LPERR10G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLDRRGSSDRLGERIEFRFSGFRAVQVPVVSDRLILSIVVMDTGKTIAKSTKAAPRNGVCQWPDSVLEPIWFSQDEVSKEFQECQCRFVVSMGSTKNVILGEVLLNLTTHLCSSDSTAISLPLDKCDSGTVLQLNLQCLGSNSKTSDVKSWNEPSPHHDDCTPTNDDMECTSDGSDSMLNRTAHSLSGNNLGGSYQDEAGNRNASFSASKSYQSSNSGDGTADRTNLPPSDSSNDELYMQRHNSASPVTVRVSADHSDAVTRSNNSSFSSRTPARNMLQGNNAQPFVGDLSQLSSGVSDTSQDILDRSEDTIDELRGEAKMWQKKTRKLKHGLQTLKKECADKSKQQSELVLELAASNSERDSLRQEIEKLKCCLEEVTSRQSISGSTRSGDVIEVQEVKDDVQLLKESNANLAAQLKKTQEANIELVSILQELEETIEVQRVEISNISHTRDLIDHEHSKNDISIQEDVEWARKMSVKEDEIAMLRGKLDRMLNVENANGEGSEAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSGVAKGDDSCAPNSEEVSSEGDLSDRSASKVKYLETKCADLELKLISFRSESSELEEKLQKCQEELKDRTLELSDLRDKLSGAMEMEEGDTGRAKSCKLRSEKLDDNDSETELDALRSTILLKEQEIERLQHSKIEMESFISEIENEKNKLEECLEASLKECSVTSTCLDEVREELLGLTSSVDSHVSTNKILETKISELESCKLNLELHISKLEHENIELSESISGLDAQLTYLTSEKELSMVQMDESRSLITNLNDKLKQQQAEVDAQKVELKENQIEYHRRLSEVQEDSEAIRRSNAKLQATVDRVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKAMDFCKTLEFLEAKLSSLQKDISFKEQSLLSELENIFQEHKEHEERINRAHFLLNKIEKEKTVEISNLERDVISLTSQVSSTQEERENSALDTIREVSILLADKAKLEANLEDFNAQIIQYKSQLEDLKESKTKIKGLVDSLNASKQNEESLATDVDHMRRSIEAARSNEDNLRKTLCEVELKFKSSNYEKQQIIEEISELKIQVDKIAGLQDEVLTLQSSLDKAKYEKGNLEGLLQSLSEECEELKAQRGVLTDKVSCIQDTLNVANEGNQNEISMQTKVVMLDDDPPAKKASDVLEAELKSELNILKGANSEYQQKIHSLEKENEDLTRRSQLMDKELELKKSQNKDENITKQGNDANENGDSPVNDVPELQSRIQLLEIRLAEALEENKLYRGELKSPMPEGKSVSKDGKENDDDKISQLESELKDMQERLLNMSMQYAEVEAQREELVMELKNANAKKGRKN >LPERR10G13560.1 pep chromosome:Lperr_V1.4:10:14118450:14119076:-1 gene:LPERR10G13560 transcript:LPERR10G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATRSRGIGESGGHASRVSVVRCIVASMLAAVVVAGLVALVFWLVVRPKPIEYTVTRAVARHVSVTGDAVNATFYLTVAVDNPNRRVSMRYDNVEIRVFYGEDTQLAVADVAPSWHQPRRNETALQVRAVARSAAVGERAARELERDVAAGEVAVDVRVSAGVRFVVGGVASRYYHLRGTCSPVNIGLSPSAARSFKSVPCDVEI >LPERR10G13570.1 pep chromosome:Lperr_V1.4:10:14124261:14127284:1 gene:LPERR10G13570 transcript:LPERR10G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPSKLIMGSISFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMVICHVISPDDAYGSIDLPILGLLFATMVVGGYLKAAGMFRHLGRLLAWRSRGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFVGFLLGILPAMLAGMAVNMVMLLCMYWKELEGIGVDDVAVGKEMEAVEEGRHVAATAEEEEEKSDDGEEVMSVMAENISTKHRWFMECSEQRRKLFLKSFAYVVTIGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSRINHVSGVTVLSIIILLLSNLASNVPTVLLMGDEVAAAAAAISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWSHVIFGLPSTLVVTAIGIPLIGKINI >LPERR10G13580.1 pep chromosome:Lperr_V1.4:10:14130530:14133294:-1 gene:LPERR10G13580 transcript:LPERR10G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFNRKGASGFSGASTAEEVTAGVDARGLLAVITGASSGIGLETARVLAMRGVRVVMAVRNVAAGHKASEAIRADIPGAGVHVLELDLSSMDSILTVVVNINNAGIMTRDCTLSIDGLELQFATNHIDYIKLLTFLTRFFSFVAYGQSKLANILHSSELSRVLKDNQETVKYEQGDCVNISVNAVHPGVVTTNLFRNRTIINALVNSIGRIICKTVEQGAATTCYVALHPRVTGISGKYFGNCNLETPSSKASNSELARKLWEFSSKIVSS >LPERR10G13590.1 pep chromosome:Lperr_V1.4:10:14134294:14134792:1 gene:LPERR10G13590 transcript:LPERR10G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGHLDETISAIFGCSVIRLHTLGTTSNGFIVYFMSDTLLIMSYAHIMHGFLWVPTCGVFLQQNDLSSAKIEESQHICNLVMFFHRPTHCAHKDIPRQNKELTDNYKDHRLLAEDHLLLKHLNTNEFAQTT >LPERR10G13600.1 pep chromosome:Lperr_V1.4:10:14141328:14142188:-1 gene:LPERR10G13600 transcript:LPERR10G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTCGGGITLPSTGAPPPPLHPTPTSPTSSRPHHHYYLFSIKQLNSFGAAAVLAFSTTVPLTDIAFALLVIPYLAILSSIAFPQKPGKPDPAAPIYLGGRARRALHWAYDAAGFLLGAALPALYILDGLRSGDTAGVAAASPHAFLLAAQIFTEGLAAAWPARFSLPVRAAVVVMYGARRMFAASEWLSEEMEKRDQFGGVGGAAAVAKRRVVAGRALAVANLAYWGFNLFAFLLPFYIPKALDRYYCGRGGEEDHGAVAAAIDDVNVDDDDDDAKKKKDS >LPERR10G13610.1 pep chromosome:Lperr_V1.4:10:14143195:14150391:-1 gene:LPERR10G13610 transcript:LPERR10G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETATEANLREQLEQTLADDPSSPLHHYNLGVFLWERAEAEVAVRENSEEEARRLRAAAAEHFLAAAKLNPNDGVPFRFLGHHYALAGDGQRAAKCYQRAVTLSPDDAEAGEALCDLLDLEGKESLEVALCKEAAGKSPRAFWAFRRLGYLQVHQKKWSEAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDGSRVFALIESGNIQLMLGYFRKGVEQFRSALEMAPHNHSAYFGLASALLAWARQCVMTGAFGWAASLLKEASEAAEVCTSLTGNLSCVWKLHGDAQLALARCFPWDDGNINRAMDGGTFRATVLEWRNSCLSAANGAKLSYQRALHLTPWEANIHNDTAICLDLIYSIEDNNSLGPIVWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHCFIRALHLDTSLSEAWAYLGKIYRQSGDKQLARQAFDRSRSIDPSLALPWAGMSAEHYHQPGDGPVNECFESCLRAVQILPLPEFQIGLGSIAARSGELLSPQVLMAVRQAVQRAPHFPESHNINGLVSEVRSDFQSAIASYRHAKFSFDVMQNSKTDDRCHVADVSVNLARSLCKAGLTTEAVRECEELTKQGFLSADGLQIYAFSLWKLGRHDEALSVSRNLAEILSSMNQEGATAALGFICTLTYNISGKDSAADIIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPLLSMPPRLTSYEVMSEVHSNIALGKAIDGELDNFLRVDGGLSYLKKVLHMYPDCSLVRNHLGSLLLSSGDWMASHKAVRVTSLSHGYTSNRGLRSPHQIQTCAAVSCYGTCTSYPKFSFPTCEEQYLCGYNAICHLQRWVHLEPWNKVARRLLVLALFQKAREEKYPKHICTILKRLILQVLSSGSNSQNNKVVQFGNYLLLLVASEVSLQSGDHGNCLAQATEALGVISSSVDSFFAHLQLCRTYVMQGNLLNSRSEYMKCLQNRTDTEIGWVMLRQLASICSLEGTPDEIEIHLRECVERKGSNASKWLSLFYLACAQCSAWNEDFASAEKAIAQACAEGDPDSCVLFLNGAISMDIAWRFAAPQFISRAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKTKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSSAAASQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQFVYV >LPERR10G13620.1 pep chromosome:Lperr_V1.4:10:14151365:14156314:-1 gene:LPERR10G13620 transcript:LPERR10G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQKTLFRDKVYVALPEQPTDGKSILSWVIDHASDRTEIIIIHIITTSDFESRMQDLDNYLDQCSRKKVKAEKEVFFFTKVDEGLLHLIEIYGITKLVMGAASDKHYRRKMKAPQSQTAVSVMQRAHSYCNIWFICNGKLTFVREASCCPITKSKSMRLPSAVDNCNMDLQSLLESNIKTKRLENMYVNEMELRKEAEAKLSQEKEESECLKHTTVALQNDLDWLKYQLNENANRLQELNQQKCFLEHHISESDSVATYLEENMKAMESLVQSLKLEYSKMKRERDDAVKEARGMRIEKELTTSCVYGAMSSEFSLMELEQATENFSNSLNIGQGGFGSVYRGFLRNTTVAIKMLHTDSLNGQSQFHQEVAILNRVRHPNLVTLIGACTEASALVYEFLPNGSLEDRLNCVDNTLPLTWQVRIQIITEICSALIFLHKHRPHAVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSNAHYTSRPMGTPAYMDPGFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVQEALNGDDLQSVLDHSAGDWPLVHVEQLARIALQCTELSKQMRPDLEHDVWKVLEPMRKEAFSPLCQFFRSVLSEYSSAFVTATPSYFLCPISQVIMRDPQMAADGFTYEADAIRDWLDKGLDRSPVTNQTLANRDTIPNIALRSAIHEYLKQNKMNRSFAYMNSSVSDLC >LPERR10G13620.2 pep chromosome:Lperr_V1.4:10:14151365:14156314:-1 gene:LPERR10G13620 transcript:LPERR10G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQKTLFRDKVYVALPEQPTDGKSILSWVIDHASDRTEIIIIHIITTSDFESRMQDLDNYLDQCSRKKVKAEKEVFFFTKVDEGLLHLIEIYGITKLVMGAASDKHYRRKMKAPQSQTATKRLENMYVNEMELRKEAEAKLSQEKEESECLKHTTVALQNDLDWLKYQLNENANRLQELNQQKCFLEHHISESDSVATYLEENMKAMESLVQSLKLEYSKMKRERDDAVKEARGMRIEKELTTSCVYGAMSSEFSLMELEQATENFSNSLNIGQGGFGSVYRGFLRNTTVAIKMLHTDSLNGQSQFHQEVAILNRVRHPNLVTLIGACTEASALVYEFLPNGSLEDRLNCVDNTLPLTWQVRIQIITEICSALIFLHKHRPHAVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSNAHYTSRPMGTPAYMDPGFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVQEALNGDDLQSVLDHSAGDWPLVHVEQLARIALQCTELSKQMRPDLEHDVWKVLEPMRKEAFSPLCQFFRSVLSEYSSAFVTATPSYFLCPISQVIMRDPQMAADGFTYEADAIRDWLDKGLDRSPVTNQTLANRDTIPNIALRSAIHEYLKQNKMNRSFAYMNSSVSDLC >LPERR10G13630.1 pep chromosome:Lperr_V1.4:10:14158911:14163383:-1 gene:LPERR10G13630 transcript:LPERR10G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYELASRIAFEHLEHISHKFEFSATNIEPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDAVPLALAENSGLSPIDTLTAVKSEQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVILPSDY >LPERR10G13640.1 pep chromosome:Lperr_V1.4:10:14163914:14164417:1 gene:LPERR10G13640 transcript:LPERR10G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDELSEAYSEFVAAAAAVVEARAQAGGEKTPATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGATSSSSSAAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGGASAAGPGGGGGGAGAGAAAASGVAGQHGHGGVDARFGEDGAQ >LPERR10G13650.1 pep chromosome:Lperr_V1.4:10:14167562:14172305:1 gene:LPERR10G13650 transcript:LPERR10G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKIAVVAMVVAAMIGGGSCGPPKVPPGPNITATYTTKWLPARATWYGKPTGAGPDDNGGACGIKEVNLPPYSGMTSCGNDPIFKDGRGCGSCFEVRCQKPADACSQNPVTVFITDMNYEPISAYHFDLSGKAFGAMAKPGKEQALRNNGIIDMEFRRVRCKYPAGQKINFHVEKGSNPNYLAVLVKFVADDGDIVQMDLQEKGSQEWKPMKESWGAIWRYDCAKPLKGPFSLRVTSESGKKLVAKDVIPANWKPDTFYKSNVQF >LPERR10G13660.1 pep chromosome:Lperr_V1.4:10:14169848:14174532:-1 gene:LPERR10G13660 transcript:LPERR10G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRQGGDRPPAAAEIAGGVVEAAAAAAAANNNRVFVALPAQHKSGKSTLSWALRHLADVAPAAAVVVAHVHSPAQMIPMSMGAKFHASKLRPEQVSNYRKYEREEMEKNLNEYLEQCSRMKVKCEKIIIENEHIAKGIVELILLHGVTKLVMGAAADKQYSRKMKLPKSKTAIEVMQNANPSCKIWFVCKEHLIYSTREFVVPISPNAQSPITSRGSSNLSALGGTTNQTANNAVNGYIQRSMSEKVVPAASRTSLQMHSRSTLQETIGSLNMESTSVDSWDSIPRGNFPSSYRTSSTVTEELSSNSSSSGIPRDDISTLASCDFSNSAPHHLQGDTDSNTNLFGKLEEAFTEAEKHRKLAYDESLRRQKTEEELILYHQKVRKSEDLFLNESEQRKKVEETLAKANVDIQLLKEEMDALKHNRDDINSNLSEVSEQKVALELQVVEYRSIVNDLRDTVAESQTLIDSLQLEFEQLKHERNNALKHAEELHREKQNMVSSSNLAWSTEFSLLELQEATHNFSDAMKIGEGGFGCVYRGLLRNTAVAIKMLRSQNLQGQTQFQQEVAVLSRVRHPNLVILVGYCSEASGLVYEFLPNGSLEDHLARENNKPSLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLNANFVSKLSDFGISCLLNKSSTVSRSFYQTTNPRGTFAYMDPEFLTSGELTARSDIYSFGIIILRLVTGKPALGIAREVEDALDKGELELLVDQSAGDWPFVQAEKLMLLGLQCAELSRRRRPDRMNDVWRVIESLVKSASLPEPQSFRYWLDKNRTPFYFICPISQEVMRDPHIAADGFTYEAEAIKGWLDSGNNTSPMTKSTLEHHQLIPNLALRSAIEEFMQRQQQQMLP >LPERR10G13670.1 pep chromosome:Lperr_V1.4:10:14175427:14182501:-1 gene:LPERR10G13670 transcript:LPERR10G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAPAEKTEVEKVFVAVAAEKGRSTLSWALGHFRGSGAKIVITHVHVPPQTIPFHVSNVSPEQVSLFRRIEREKVDKLLNEYVHQCWKMKVKCEKLVIEKDSVVSGLLELIAQHGITKLVIAAAADKHYSRKMDKPKSKTATEIMQRADPSCQIWDKKVEIASADTPLSQLFEEDTPLSPDSGQTVLQSSPPQEQNDNAKELGFDDELNNACIAAENLMERALSESLRRQKADEEVISSLQRVKQFEDMYLEEVRRRKELEGALFRANRELIRLKQEMDMPRIQHSTILGERQEEITDKFNFRQRTLDMKSDLGTTGQVIKPHQEYLQLHLDHYNGVRQQEPLLHQRNLTTFSPPSSIPSQFDKDSIPSHFICPISQEVMREPCIAGDGFTYEAEAIINWFDEGHEVSPMTKQPLANHDLIPNFALRSIFVTGRSTVPPDHTLPLFLTATAARGDTPSPISLSAAAAARRRTVLFSLVMENGGVDDGERRPAEAEVDRVGGVAEEVEPAGDAEGDVEAALDAAAAAVAEVMTPADAKQTPGAAAAAAWREAAWEEGDGDEFVDVLEGDGDEKRDGSALENGEGLVAGSGSRSLAVEGDEEGYGSCADVGIEEDVGGDANQERLEEEALAESIRGYVTEAVLADRNKEQSGNSEAVSFAQHKSQIEKSREEIAARSSKIEVSKQSGGEPSVVVEELDESSSSDDESKATSAPPTRSISGVGGRSNGPSLPSRPAGLGASSSLSQRSARPVQQARANGSVAVDRETRQDVESSGDGDENDEIREKLQMIRVKFLRLANRFGQTPHNMVVSQVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDARLDTNAFATSTRKVQEVVGAVEGIEVKVIDTPGLSCSSSDQHYNQKVLNSVKRLISKSPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGPNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANVLLKLQDNPTGKPLMRIPPLPFLLSSLLQSRALLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHVQRKAYLEELDYREKLFYKKQIKEERMRRKIMKKMAAEASARTDNFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLTKDKKDCSLQMEVASSLKHSEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTSAGISATLLGDSVSAGVKVEDKLIVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTVALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLESYSVST >LPERR10G13680.1 pep chromosome:Lperr_V1.4:10:14183388:14186838:-1 gene:LPERR10G13680 transcript:LPERR10G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLAFHLPFPFPSTSRPPPPRALPPPTTRRLPPRLAATRRYRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPDSAAGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPPPPPPSPSQPGEEVEGDGVVDELSPEEVIDLDEIRKLQGLSVVSLADEDDEEADGGEVDYGDGRLPLDDDGEVFDLGDELGLEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVAVVADQTVDIEGTLACRALVIVDDITSALRVLPACLYRRPSKDMAVIGVAGTEGVTTTAHLVKAMYEAMGVRTGMVGVLGAYVFGNNKLDAQPNAAGDPIAVQRVMATMLYNGAEVAVLETATDGMSPSGVDSEIDYDIAVLTNVRHADGEAAMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDNARTPEALSRLLDGVKELGPRRIVTVVGCCGEKERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVEFFDDREECREALQYVDQLHRAGIDTSEFPWRCYPKATDYVVFNRAELLCLLKEQH >LPERR10G13690.1 pep chromosome:Lperr_V1.4:10:14188198:14192722:1 gene:LPERR10G13690 transcript:LPERR10G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTASRGALLAVAPLADRRSTRLYLSPPLSPPSIQIRDQLYSMSSLPLKARGMRRCEASLASDYTKASEVADLDWENLGFGIVQTDSMYIAKCGQDGKFSDGELVPFGPIALNPSSGVLNYGQGLFEGLKAYRKTDDSILLFRPEENALRMRTGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDRFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVRGTILPGITRKSIIDVALSKDFQVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRIEYAGNRGVGVVSRQLYTSLTSLQMGQAEDWLGWTVQLS >LPERR10G13700.1 pep chromosome:Lperr_V1.4:10:14197599:14203865:1 gene:LPERR10G13700 transcript:LPERR10G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYHANYRQEDSCVVSVTITFNYETWRLSGRKTARKVSAAMDPARMPRQAYAELKAIVECTTATELEIVELKRTSCFLERREPYGHFNFLVKCSDGTPTLFFAETHSDCTDESDVYLCCPLEENDNGDCFGCRKCGVDLRHPIVADYFGGHRDICTVDNNVDDEVTCFMD >LPERR10G13700.2 pep chromosome:Lperr_V1.4:10:14197599:14203865:1 gene:LPERR10G13700 transcript:LPERR10G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYHANYRQEDSCVVSVTITFNYETWRLSGRKTARKVSAAMDPAATELEIVELKRTSCFLERREPYGHFNFLVKCSDGTPTLFFAETHSDCTDESDVYLCCPLEENDNGDCFGCRKCGVDLRHPIVADYFGGHRDICTVDNNVDDEVTCFMD >LPERR10G13700.3 pep chromosome:Lperr_V1.4:10:14197599:14203865:1 gene:LPERR10G13700 transcript:LPERR10G13700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYHANYRQEDSCVVSVTITFNYETWRLSGRKTARKVSAAMDPAATELEIVELKRTSCFLERREPYGHFNFLVKCSDGTPTLFFAETHSDCTDESDVYLCCPLEENDNGDCFGCRKCGVDLRHPIVADYFGGHRDICTVDNNVDDEVTCFMD >LPERR10G13710.1 pep chromosome:Lperr_V1.4:10:14205203:14207607:1 gene:LPERR10G13710 transcript:LPERR10G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVPESTSSSQSSAGGKKKESSKAEYNVEKNDSGCTSAGLKTWENPTPRQSSAISSVDLDLLQDAFVTACRRMRRPRKLPSREELIRRGEEHDRLSLQIALRTYAKKNNMLPSELDFLEVKKRNLIVEGGKGYVHFNFLVKDILDDTSSLFFAEIHPDCKKEDDVYLCCPLEDNDCGNCFGCQRQALDLRHPTSGYLGGHQDVCFPFMVLENEEEEE >LPERR10G13710.2 pep chromosome:Lperr_V1.4:10:14205393:14207607:1 gene:LPERR10G13710 transcript:LPERR10G13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSNAMSTRVPESTSSSQSSAGGKKKESSKAEYNVEKNDSGCTSAGLKTWENPTPRQSSAISSVDLDLLQDAFVTACRRMRRPRKLPSREELIRRGEEHDRLSLQIALRTYAKKNNMLPSELDFLEVKKRNLIVEGGKGYVHFNFLVKDILDDTSSLFFAEIHPDCKKEDDVYLCCPLEDNDCGNCFGCQRQALDLRHPTSGYLGGHQDVCFPFMVLENEEEEE >LPERR10G13720.1 pep chromosome:Lperr_V1.4:10:14220946:14226372:1 gene:LPERR10G13720 transcript:LPERR10G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDPKFLLDSKQVSRRHTFRDIADGKHSTKKSGDVDETDEDDNKEECTSQKRTVKKLMEDELGKVNILKKIPTNEVQRGLPDLGYVSLDGGSEHTNKPDVALNQHTDIFAPYLSGSMYSQGSKSLNHPEEYDLESDLANFLGEIYKCHGECPHDDCKSKSELCPSLKSLIHNKLNDLNNPHSDLGYEQSQESKVEGLLGDNSLSNNRAAQFKEFKDALEILSSNKELFLKLLQKPNAHILDNIQKHQNSRLTTKLEPNKSLGRLSIIEETRDSNHELTTKAQGKETKHMFFWRKDKSDRKHKPERTSRPQPVSKIVILKPNQGGRIDETETTSSRYLHQQPCASPAPEFSGRESSKFSIKKVRRRFKIVTGESKRERNATPADILPGDSHWLKDSVIAVKNPRHLTEGSLPDKAASNFKNGIKPSTSSKQKQQNDSQNEISDHIVVPTGESIFYEEAKKHLADMLKSNSQSDSHPTVQISKSLEGMLSLPHYNVSSPRSDHRGKCQTVLSSEEAEVCLVSAIDVEESTQERSKLQDDSESNAYCTSAAVDKQETVLEECDMEEHAQEGTKYASDKVDTIPVEGVGKLDCSKTICNLQCIPAEQDSPLPVSSDTEEILEGKEPVKIFMSSPESMIEKLEQQDPKTPEPRSPKLPDGCPVQSNEKKEQPSPVSVLDSFDEDDNSPECRTVKEYELHEDFHGTLHFPDDESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDQIDDMKFLFDCICEALTQIQERYFRLSSWLSFVKHDIRTPPVGEDLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEEIVVEIWEFLLDELIDEAVFDLWN >LPERR10G13720.2 pep chromosome:Lperr_V1.4:10:14220946:14227036:1 gene:LPERR10G13720 transcript:LPERR10G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDPKFLLDSKQVSRRHTFRDIADGKHSTKKSGDVDETDEDDNKEECTSQKRTVKKLMEDELGKVNILKKIPTNEVQRGLPDLGYVSLDGGSEHTNKPDVALNQHTDIFAPYLSGSMYSQGSKSLNHPEEYDLESDLANFLGEIYKCHGECPHDDCKSKSELCPSLKSLIHNKLNDLNNPHSDLGYEQSQESKVEGLLGDNSLSNNRAAQFKEFKDALEILSSNKELFLKLLQKPNAHILDNIQKHQNSRLTTKLEPNKSLGRLSIIEETRDSNHELTTKAQGKETKHMFFWRKDKSDRKHKPERTSRPQPVSKIVILKPNQGGRIDETETTSSRYLHQQPCASPAPEFSGRESSKFSIKKVRRRFKIVTGESKRERNATPADILPGDSHWLKDSVIAVKNPRHLTEGSLPDKAASNFKNGIKPSTSSKQKQQNDSQNEISDHIVVPTGESIFYEEAKKHLADMLKSNSQSDSHPTVQISKSLEGMLSLPHYNVSSPRSDHRGKCQTVLSSEEAEVCLVSAIDVEESTQERSKLQDDSESNAYCTSAAVDKQETVLEECDMEEHAQEGTKYASDKVDTIPVEGVGKLDCSKTICNLQCIPAEQDSPLPVSSDTEEILEGKEPVKIFMSSPESMIEKLEQQDPKTPEPRSPKLPDGCPVQSNEKKEQPSPVSVLDSFDEDDNSPECRTVKEYELHEDFHGTLHFPDDESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDQIDDMKFLFDCICEALTQIQERYFRLSSWLSFVKHDIRTPPVGEDLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEEIVVEIWEFLLDELIDEAVFDLWN >LPERR10G13730.1 pep chromosome:Lperr_V1.4:10:14230156:14243548:1 gene:LPERR10G13730 transcript:LPERR10G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGAGVPGRGAVAGGREKDRPEPSSFHGSNYPLNSRWKPLASPYKLKCDKEPLNARLGAPDFYPQTSNCPEETITKEYVQSGYKDTVEGIEEAKEIVLSQIPYFSRPDIATKCKEALKKQFRAINESRAQKRKAGQVYGVPLSGSLLTKPGIYPEQMHSNEDTRRKWIEALAQPNRSLWSLAEQVPRGFRRKSLFNHLIRYNVPLLRASWLVKVTYLNQVQPSSNDISPVSPDNLRAHHWTKDVIEYLQQLLDELCSKNGGFAPPSSQEQPLPCLIAGDSPTKLKTGASPAGTDVEEPSLHFKCSYMTRIVQWHLMEQLLVPSSLIEWVFDQLQERNSVEVLEFLLPIVLALVDSITLSQTYVHMLVEILIQRLSDVSPGSLSIKNVTKRSSTTTALVELLQYLVLAVPDTFVSLDCFPLPSVVAPDVYGKGALLKTTGSGRITNSARQNASRNFSCGYAICSVQKRASDLSSVANPNLQVRGAANVVQALDKALVTGNLTAAYKSVFNSLSDTLMEETWIKEVTPCLLSSLMWMGTVELSLVCSVFFICEWATCNFRDCRTSQFQNVKFSGSKDFSQVYMAVHLLKNKMDEINSLSSSKSSSELAMNNHLNSGTLNQSSIKVTGMVNAYGFIDNTKSINENNKKDTFSSPSPLHDIIVCWLNQHEITNASEFKVVDILMMELIRSGIFYPQMYVQQLIVSGITNRNDTLFDLERKTRHYKILKHLPGFCLYDILEEAGIAEDQTLSETVSTYSSERRLVLCELSSGLATDVNVEGRVPLSSCLRKLTDLKMDSRDDNHGRVPNQVKEVKFMISSMLNFGYSTLLAESGREQIKTNQKGQTSSINSEDDVEYAKTGCKDSSRTKRQKLDKDMFPFQGSPLVQSDEEDVWWVRKEQKQELFTLETIHQSVKETSRDKAATGQKTQNLAQHAADRIDGSQGASTSHVCDNKLSCPHHKPGTNSNNLKDVDHTSTLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIVGDETKHSDITVRNGEIASQWRFGEDELLSVIYIMDICCDLLSAIRLIIWLLSKVYIGTNMSGQVGRGIMPPEHKENQVFQGTEGFLFASLLRYENILLATDLLPEVLSVSINRNVHKSGASQSASVAFAYARYFLKKYRDVASVARWERNFRSTSDKSLLAELDSGRSITGDSIISGISAGEELDGHVHQKLNGKTGVLPSMKEIVQRQTEKVACILKGKNPTAPKNPSYSETEDSYQIAHEIVLGLAECIRQNGGVSLDGDYSLVASAVSAIVGNAGHAIAKHLDNFGGTYPGVTSSNSSNLIRHTLDVHINLLSLLKEALGEQFSRVFEISLAVEASSAVAPSFAPPKSHQSQCEQSSETHDAHGNHANEVACNSSKCFDVKTVKVAAAVSALVVGAIVRGVVSLERMIVVLRLKEGLDILQFLRIFKGSTNGVVHTIGNSKMDSSTEVLVHWFKILIGNCKTVYDGVIAEILGDYYVLAFSKLQRTLPLTMVLPPAYSIFAMVLWRPYLVKTSTSNHHDSQLNQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDLEFAAIVTMGNDGTYALEPGHAEVSTKNDAKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKTTPYVKALRTLSPNAEGFSLSEREKGLTEIILSRLLVRPDAAPLYSELVHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLINLTQRKGFPMKRQYWKPWGWSKLIRYVDANKSSKRKLEVDSIEEREVDGLVDARKTSNSKLQNVVINPEEHGSTQKYLTQEALAELVLPCIDRSSTEFRFVFANDLIKHMGVISEHIRAAVWNGIKLTSSSPSGNEGLSKPNGRKGICGGSPNIGKHNPVPNDSTTPSASSLRSSIWLRLQFIIRLLPVIISDSNMRQTLASSILGLLGTRVVYEDADSLEPYSDVLVDCPSESLFERLLCVLHALLGNSRPSWLKTNPGTKPAVKFSRDLSAIDKEVVKSLQSALDRMELPATIRRRIQVAMPILPTSCLPSITCGPPLLSSAALLPFQCSTSTAAGPQQQFPDSWVPTNLSSRSKAALPSQDPDMKIDPWTLLEDGTNCPNTNSVSSSASGVTGDHANLKACSWLKDSVRMRRTNLTYVGPLDEDS >LPERR10G13740.1 pep chromosome:Lperr_V1.4:10:14244280:14246749:-1 gene:LPERR10G13740 transcript:LPERR10G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASVSDESSEANGGGGGCSSPSTTTTPSMDAVNLSRTFSDVSSFSEEQSSSVDHSGPFDPPSVATTVSKLIGRRSPASAALSRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGSCHKLEPLPEGKKTMWRREMDCLLSVCDYIVEFFPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILDSFQKAEFWYADAGTRSFGSVTSSSTMSSSSFRRSMHRNEDKWWLPVPCVPDEGISGKARKDLQKKRDCASQIHKAAVAINTGVLGDMEVPDSFMAVLPKSGKASVGDSVYRAMLGAEKFSPDYLLDCLDMSSEHEALAMADRVEAAMYVWRRKASASHGRSRWSAVKELVGDDEDQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >LPERR10G13750.1 pep chromosome:Lperr_V1.4:10:14257849:14259368:1 gene:LPERR10G13750 transcript:LPERR10G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSSSNTSSSCILVVVINRLLAYCRLGHGFCFHASLNDAVAVGPTNVITVVKRRRLWRVYLAAKENPKVVQQRDMGFTLPPLWTSSKSVGRWSLGLGCRSLKTWYAPALVAAAMQQNSRNPRSSFAAVVAPVAAKSPRSPTTSASTSSAALDASTATASATHLPPSPCSSSLCHDVLGIGRCPLSSRSPSLFSL >LPERR10G13760.1 pep chromosome:Lperr_V1.4:10:14260071:14261360:1 gene:LPERR10G13760 transcript:LPERR10G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPMQQRRSLATRRAAALAAAAARRNPRNPRLRRAAVVAAVARYAISSFPDYLRLRLVDRAWRLHCRRSGHPPPPFPWLLLPRSAAAAAAAAGPRRVAFYDIPGGRSYRYDLNFAGAVVATGHGWVVMAGENPRRLTLVNPVNDDRRVLPWPFPSSTTRRNAANASVDNRFHVVLTSSPNVSSGGNCFLVVVTDRLLAYCRLGRDGFFGGWETLRAPGFRFHVSLSDALAVGPTTVVAVDERRRLWRVDLAVEGNPKVVQRRDTGFELPPPWTEDVAVTRQYLVESAGHVILVVSDERHTRVAMYKLNWDARAWLPAAAVPGGGGDGRVLLLGRGCSAAVPAASAGGRAAGSVLFVRQPSMIPDVDVVAAAAGGGGGMAWFWSESRVGAAPGDLLVLKKTVPHRNGEFGAGGDSFWFFPAVDPDENAI >LPERR10G13770.1 pep chromosome:Lperr_V1.4:10:14261720:14267824:-1 gene:LPERR10G13770 transcript:LPERR10G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPWPLWPVVLIAVAVIVAAVAAPVAAEEKLVVPMTLLPDAASTGAGKYYSSASFYDFRYFVMAHSSAILRANCAAARRRRRRLCLDGSTPAYHLHRGGGAGAGRWLLQFEGGGWCNDVQSCAERASTRRGSTRVMNKLEVFSGILSNDPAMNPDFYNWNRVKLRYCDGGSFAGDSVIRNGSSVLYFRGQRIWDAIISDLLPKGLAKAEKVLLSGCSAGGLATFFHCDDLKSHLGGSATVKCMSDAGFFLDVDDISGNNTVGPFFRSLVALQGAEKKLNKDCLSSTDYPYQCFFPQYILPYIRAPYFILNSAYDVYQFHHNFVPPSCDPGDQWSRCKSDPGACSTSQIVTLQGLRSAMLTALKPFQDDQRVGMFINSCFAHCQSELQDTWYASNSPRLHNKTIAELVGDWYFERGPGKEIDCAYPCDSTCHNLVSFFPGEKEYTIVSWEYPCPIVIKIAKISFNLVNKLKDEYCYNNGSQGIDDGGPCMNTAPKLYTNRSILQMILYLSILHTAKQFIIPYDI >LPERR10G13770.2 pep chromosome:Lperr_V1.4:10:14261720:14267824:-1 gene:LPERR10G13770 transcript:LPERR10G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPWPLWPVVLIAVAVIVAAVAAPVAAEEKLVVPMTLLPDAASTGAGKYYSSASFYDFRYFVMAHSSAILRANCAAARRRRRRLCLDGSTPAYHLHRGGGAGAGRWLLQFEGGGWCNDVQSCAERASTRRGSTRVMNKLEVFSGILSNDPAMNPDFYNWNRVKLRYCDGGSFAGDSVIRNGSSVLYFRGQRIWDAIISDLLPKGLAKAEKVLLSGCSAGGLATFFHCDDLKSHLGGSATVKCMSDAGFFLDVDDISGNNTVGPFFRSLVALQGAEKKLNKDCLSSTDYPYQCFFPQYILPYIRAPYFILNSAYDVYQFHHNFVPPSCDPGDQWSRCKSDPGACSTSQIVTLQGLRSAMLTALKPFQDDQRVGMFINSCFAHCQSELQDTWYASNSPRLHNKTIAELVGDWYFERGPGKEIDCAYPCDSTCHNLVPSNQNGSQGIDDGGPCMNTAPKLYTNRSILQMILYLSILHTAKQFIIPYDI >LPERR10G13780.1 pep chromosome:Lperr_V1.4:10:14268787:14276725:1 gene:LPERR10G13780 transcript:LPERR10G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEAESPSREAQPSRYHMLKRPDGKAGELHLNRIPNFHCKSLPSSRREGNLEDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGSHFSLQHSRSCKPSSSMETSSKVQLATWELLSLSLRELPDENSRLGRPRKDCNLLKDCAEDGLLEISLDEDTSASVHTRPMEGTSSKDTRSNCQHPADVYSDRSKHGEGDPVSKLPKSLSTKVGVFDATCPPESIHGANSSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLPHEGKGSGLRKSLLSGISRTEQSHTPNCQQSGEAQSLTVTSSPTHLHAVLKLDPSNDAFGFEFCTKGPEESIYANIWKDGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQTGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCNAVNNSISRGSLERNNLMERLNNTRNNSDASTSSLWSREDLHPHLEVAAAVIQVPFHKAQSKESKDGSSSGTIKVAAAGGAHGLPRDDETSPSPLLDRLKSGGGCDCGGWDMSCPIVVLDNAYDSHWVDSIMNESKHPMELPFQGNKEALPGISMKAIGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKEEVKQLIESVTTKEKKKKKTKRRKERTPPSIVLDPPFSPMGRV >LPERR10G13780.2 pep chromosome:Lperr_V1.4:10:14268787:14275865:1 gene:LPERR10G13780 transcript:LPERR10G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEAESPSREAQPSRYHMLKRPDGKAGELHLNRIPNFHCKSLPSSRREGNLEDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGSHFSLQHSRSCKPSSSMETSSKVQLATWELLSLSLRELPDENSRLGRPRKDCNLLKDCAEDGLLEISLDEDTSASVHTRPMEGTSSKDTRSNCQHPADVYSDRSKHGEGDPVSKLPKSLSTKVGVFDATCPPESIHGANSSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLPHEGKGSGLRKSLLSGISRTEQSHTPNCQQSGEAQSLTVTSSPTHLHAVLKLDPSNDAFGFEFCTKGPEESIYANIWKDGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQTGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCNAVNNSISRGSLERNNLMERLNNTRNNSDASTSSLWSREDLHPHLEVAAAVIQVPFHKAQSKESKDGSSSGTIKVAAAGGAHGLPRDDETSPSPLLDRLKSGGGCDCGGWDMSCPIVVLDNAYDSHWVDSIMNESKHPMELPFQGNKEALPGISMKAIGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKEEVKQLIESVTTKEKKKKKTKRRKERTPPSIVLDPPFSPMGRV >LPERR10G13780.3 pep chromosome:Lperr_V1.4:10:14271222:14276586:1 gene:LPERR10G13780 transcript:LPERR10G13780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFEWSFYDFFQLEVDEQHHARGRRTASEELHQIVQLASNQPPKGRIYFFFSCPELKILNAALVKYTKVFTMGNRSRQRVAKEAMQSINEEAESPSREAQPSRYHMLKRPDGKAGELHLNRIPNFHCKSLPSSRREGNLEDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGSHFSLQHSRSCKPSSSMETSSKVQLATWELLSLSLRELPDENSRLGRPRKDCNLLKDCAEDGLLEISLDEDTSASVHTRPMEGTSSKDTRSNCQHPADVYSDRSKHGEGDPVSKLPKSLSTKVGVFDATCPPESIHGANSSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLPHEGKGSGLRKSLLSGISRTEQSHTPNCQQSGEAQSLTVTSSPTHLHAVLKLDPSNDAFGFEFCTKGPEESIYANIWKDGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQTGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCNAVNNSISRGSLERNNLMERLNNTRNNSDASTSSLWSREDLHPHLEVAAAVIQVPFHKAQSKESKDGSSSGTIKVAAAGGAHGLPRDDETSPSPLLDRLKSGGGCDCGGWDMSCPIVVLDNAYDSHWVDSIMNESKHPMELPFQGNKEALPGISMKAIGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKEEVKQLIESVTTKEKKKKKTKRRKERTPPSIVLDPPFSPMGRVYNCSYYY >LPERR10G13790.1 pep chromosome:Lperr_V1.4:10:14280243:14283555:1 gene:LPERR10G13790 transcript:LPERR10G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRIQKRVLASFAAAAAAAAKLPEAAVVAAATTATGADAAEDDVISPSAVLQEQVQSVLEFGDTERLFAGERTATLVRTLAVLQAMSVGPLVDVATAALRSPAVAGSVPGRAAARATAYQHFCAGETAGEAAAAVRRLWRGGMGGILDYGIEDAEDGLACDRNAAGFLAAVDVAASLPPGSASVCIKITALCPIALLEKASDLLRWQHKHPSLNLPWKTHGFPILADSSPLYLTSSEPPALTADEERELSAAHGRLLAIAGRCAEHDIHLLVDAEYATVQPAIDYLTFAAALAFNVAGGGGEGRAIVHGTIQAYLVDARDRLGAMARAADGEGVCLALKLVRGAYLARETRLAARLGVPSPVHATAGDTHACFDGCAAFLLDRAARRRGAAAVTLATHNVESGRRAAARAVELGIGRGGGSGDGRGLLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEHVIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMMGRE >LPERR10G13800.1 pep chromosome:Lperr_V1.4:10:14284743:14291572:1 gene:LPERR10G13800 transcript:LPERR10G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALLVFARRWIGGAVHGGLTVVYLLAAADLRAGRGSSDECGERLRKLYLDFSRRPWTNGGLEELDNAQGFPQAGERGDEPRAIEVVVSNSGQSLIEGIVVRAGTVRRKMFLASLLLQGPALQCAQPVDEEETVPRHTQCSNKLGR >LPERR10G13810.1 pep chromosome:Lperr_V1.4:10:14297020:14298771:-1 gene:LPERR10G13810 transcript:LPERR10G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGDGWDHAAGDAAAAATGMAPDNTFLRWIIGGEDGAGVMDTPVLEQMMSPPSNAAFGHNLSPSSFAAAAAMEDTKPAEALRFALSPTGDSPSPPASTPYDVVLKLGAYKTFSEISPFLQFQHLTCVQAILDELAGATSSSCIHVIDFDIGMGEQWASLMQELASHRRAGAGVTPAPALKVTALVSPASHHPLELQLIHENLSSFAAELGVFFQFAVYNIDTLDPSELLSIAGAGGEAVAVHLPVGAAHAATLPAILRLVKRLGAKVVVAVDRGVDRPDMPLAAHILNSLHSSVFLLDSIDAVGIDADTATKIERFLIHPSIEQSVVARHRGGGAGVTWRGVFAAAGFVAATATTFAESQAESLVSKAHVRGFRVEKRGGALCLYWQRGELVSVSAWRC >LPERR10G13820.1 pep chromosome:Lperr_V1.4:10:14304897:14308829:1 gene:LPERR10G13820 transcript:LPERR10G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAVGSRILGGQGAAARAAASALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGVAAALNDKASKIPYTPKVYPFDNLREELGDRP >LPERR10G13830.1 pep chromosome:Lperr_V1.4:10:14308247:14308681:-1 gene:LPERR10G13830 transcript:LPERR10G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTLTAATLMSLTLLLLLVATPSSACGCNGGCPCKNPPASGVGGGGGKCPIDALKLGVCADVLGGLLNLNQLLGSSAASSGGEKCCGLIGGLADVDAAVCLCTAIKANVLGLVGVELPVQLSVLVNRCGKKLPSGFHCSAN >LPERR10G13840.1 pep chromosome:Lperr_V1.4:10:14331542:14334985:-1 gene:LPERR10G13840 transcript:LPERR10G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIAPLLALSILLFAAVAHGCEPYCSGGRPVIPTPPVVPTPSYYRHGRCPIDALKLRVCANVLNVVGVKIGAGPDDCCPLLSPLADLDAAVCLCTAIKANVLGIKLNLPVDLSLILNNSMASTKDVAPFLALSLLLLAVAAHGCEPYCSGGRPVIPTPPVVPTPSGRCPIDALKLRVCANVLNLVGVKIGAGPDDCCPLLSPLADLDAAVCLCTAIKANVLGINLNVPVDLSLILNKCGKICPSDFTC >LPERR10G13850.1 pep chromosome:Lperr_V1.4:10:14338630:14341503:1 gene:LPERR10G13850 transcript:LPERR10G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKVFVALSAETKAGRSTLAWALGHFRDAGATIVITHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAEREKVDRLLDHYVNQCMRMKMKCEKLVTEDEDVVAGLVKLITLYQITKLVISAAPDRNYSRKMDKPTSRTATAIIQRADLPCKIWFVCKEQLICTSGKELETTQEPTPSNPDIDHGVMQLTVQEEQDDDNKLELGFYDEIKKACKAAENLMMRALTESSRRQKADEELASSLQKAKKYEELYLEEVRKREELEAALVRASREIAQLKQEKNLSKNDQNTIMEESQELITGKLILGSSGQAIEPLQEYLDHDDNSVRELEALLLQRKVAAFSPSSVMHSPFDEDCCIPSYFVCPILQEVMREPCIAADGFTYETDAIRGWIDGGHSVSPVTGQPLQHYEIIPNLSLRSVIQDHARRRQYSFSRCNVILTN >LPERR10G13860.1 pep chromosome:Lperr_V1.4:10:14344863:14348603:1 gene:LPERR10G13860 transcript:LPERR10G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSIGRLRLIPSTLALMAVQRQTAASSRRRSASAASASAPAASASAARGQGSKCKHSPLPRRARSLQEQALPWPPLQIDLL >LPERR10G13870.1 pep chromosome:Lperr_V1.4:10:14345150:14345536:-1 gene:LPERR10G13870 transcript:LPERR10G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALILAMTLLAVVSMASACSPYCPPEPKPKPPTPKPGTGSCPRDALKLHVCANVLGLVKAKIGAVEPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGINLNLPIDLSLILNNCGKICPSDYQCA >LPERR10G13880.1 pep chromosome:Lperr_V1.4:10:14348162:14348581:-1 gene:LPERR10G13880 transcript:LPERR10G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVTLLAVAMASACTYCPDPEPPTPKPPAPKPKPPTPSGGGYGTRSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCVH >LPERR10G13890.1 pep chromosome:Lperr_V1.4:10:14350832:14354826:-1 gene:LPERR10G13890 transcript:LPERR10G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAAAAAARRAGGALRHGVLGGIRSLSSLHPLSSSAAAAENEEVLVEGKAMARAAVLNRPGHLNALTTTMGARLNRFYESWEDNPDIGFVMMKGSGRAFCAGGDVVNLRQLISEGKMDECKDFFRTLYSFIYVLGTYLKPHVSILDGVTMGGGGGISIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKINGVDMIALGLATHYSTSGHLDLVDERLATLVTDDPSVIDSSLAQYGDLVYPDKNSIVHRLEVIDKCFSLDTVEEIVDAMESEAARLNEDWCSLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGFSEQFSHDFREGVRARLVDKDLEPKWDPPALEYVSADMVDSYFAPLGEFEPELKLPTESREAFV >LPERR10G13900.1 pep chromosome:Lperr_V1.4:10:14355993:14358231:-1 gene:LPERR10G13900 transcript:LPERR10G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQYELKHCVLIFQLFLFFLWLKLFSLLQNAGSSRLMGWMRLAVLTTTVRRVLLMDLKTSNSPVIADSLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQSKDFVSDVQADELDLLYRNWVVNHPSALTSFEDIINLAKGKRLALFLDYDGTLSPIVDNPENAVMSEEMRSAVSHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRNSEFSGQHVECIRSTGSESKEVNLFQPASEFLPMISEVFTKLGESIKDIDGARMEDNKFCVSVHYRNVAPDDYAEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVFPIYVGDDRTDEDAFKVLKATNLGFGILVSSVPKDTDAFYSLRDPAEVMEFLKKLASWKEEST >LPERR10G13910.1 pep chromosome:Lperr_V1.4:10:14367037:14368940:1 gene:LPERR10G13910 transcript:LPERR10G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLSIPFFLFSVAIAAAAAAAAVAVSGDANQPDPCAGRRIHIRRLPPRFNTHLLRHCDAAFPLADPSSPATSSPPCSSLSNHGLGPRTHPTTHSWYRTDERLLEVFFHRRIAEHDCLVADPSAADAVFVPYYAALDSLPFVLDPSLLDSSSIHGADLADFLSRDSPRVLARRHGHDHFLVIAGSAWDYSQPPAMGIWGTTSLLRLPALENFTFLTAEARSWPWQEHAVPRATAFHPATMPRLRSWLSRAARSRRAALMLFAGGVSRPSRPNIRGSILAECANLTASGGAGDCVVVDCSGGKCDHDPIRYMVPMLHAKFCLQPPGDTPTRRSTFDAIIAGCVPVFFEDAAARRQYGWHLPPARYGEFSVYINKEAVVFGGVKIGETLAAVNETEVRRMRERVLEMAPRVIYRRHGSLPEMRETTKDAFDLAVDGALRRIRKRVQALEDGMPERIYSMEDDAVAF >LPERR10G13920.1 pep chromosome:Lperr_V1.4:10:14368985:14371884:-1 gene:LPERR10G13920 transcript:LPERR10G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQLRLAVLRYLEAFARRFNLYELVRFETEVVRVRRDGGGGGGGRWAVTSRKIGEKEKRDYDDEEEVYDAVVVCNGHYSEPRVACIPGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDIASVAKEVHVADRSAPACTFKRQPGYDNMWLHSMIDRAQEDGSVVFQDGSSTKADIIMHCTGYLYDFPFLGEDSTITIDDNCVDPLYKHVFPLEVAPHLSFIGLPWKVIPFPLFELQSKWVARVLSGRVKLPSRDEMMEDAKAVYSKLEACGWPKRYAHNFSGYQFEYDDWLAEQCGHPPIEQWRKMMYAANKENKAARPESYRDEWDDDHLVAEAKEDFKKYFPHDCNAVNITEKTIILYIQNLKSGTGTDARQQLFATKQD >LPERR10G13930.1 pep chromosome:Lperr_V1.4:10:14375801:14379687:1 gene:LPERR10G13930 transcript:LPERR10G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNRSAPANKRKWDFGFVEQNMDGRNPIRINTDVGGGGHRYVIDLEKPATSDDDVEFVSYALECHKGRAQDRYASAENCSTAESGQLCSEWNASRVSPGSVGSSDTPGCQSPIKPDNTESRHLLIDLNVPQEESLHVFYAPSQITRPTLVNSSSSHPEDFWNGSSKGYKKECGFGVGSSKESSIMVIAPSSAANSSREVVQYPLNHPKNLQGSRDNIHKRENSQHGHAVDKACGSRCQFLPQQRFSVSSCGINDSSSGLQKSGDNHVACQAGLPPLVVHTKLPHDASIVISSGEEKVLFDLNVPAESIDMQSTITSNSFRDKLEKNDGSEETVTGHSFSKRNSMHAETSIEERTIEDRQISVSKDGNRTLFPVSKSNEIENVQSSDLMSVNSRHLIAETPHVNNIGWPQQRESNDGPSSSQETLTGTGDKMVCIAAETLISIFSSSVCTTDCPGSDSQTAGEDRNDEPQDSLDSFEEFVLNVEEIRDDGESIPVIPPNKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGVQGSSSTRGRPPKHRPTSRK >LPERR10G13940.1 pep chromosome:Lperr_V1.4:10:14385076:14385447:1 gene:LPERR10G13940 transcript:LPERR10G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAACFAFFFGLGFFLSGGGAILRGFLLTDFLTSSAPAHDTGGECIFLLLGDGATAASATAYDLQLRERIHAVAIGSRRRLRIGVCGNAVVVAGELWTWLWALLYYGEERIYLLCCFCFLP >LPERR10G13950.1 pep chromosome:Lperr_V1.4:10:14391088:14396488:1 gene:LPERR10G13950 transcript:LPERR10G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLAADCVPGAGGPDAAEADCSPTPPAVAAEEETAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPPPAASAARLIGVPRGGVGGAVGDHRPIGFVPLKVSSFSESKSDDKRFYIITPTKTLQLRTGSTKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEAAIKDCEQIIHSEFTQYHSQMKQRCDEYLSFIGSLPRDLEVVNSGDASAVDKPRSELFKHDCSSSGKCSEYSNTESSDDAGKQDAGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGTQKFGELLAIDKTNEHLLPSLKRRSELPVPLEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEYGSRGNGLMRILHVAAFAVSGYASTDSRPCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWADSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNIRGNRQYSCRLTFKEQSFLDRNPRQVHGIVTDTNGTKVASLMGKWDESISCIINDDASKVNSHIANQSAGATLLWEKNEPPTNPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFRRDTEDGTFRYIGGYWEAREQRKWVGCNDIFGNVSLCTSASI >LPERR10G13960.1 pep chromosome:Lperr_V1.4:10:14397615:14401725:-1 gene:LPERR10G13960 transcript:LPERR10G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETASSDGKTLTDAWDYKGRPAIRAATGGWSCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAIFAAVQSTGVMILTVSTAAAGLRPPSCSDPKGSVLSGCVPATGTQLGILYLGLYLTALGTGGLKSSVSGFGSDQFDENDDGERKKMMRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAAGILAGLAVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDLLYDVADAAAAGEDVKGKQRLPHSKECRFLDHAAIISGETPPSSATKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQAQLMDRHIAGSSFLIPAGSLTVFLIGSILLTVPFYDRLVVPIARKFTGNPHGLSPLQRVFVGLFFSIAGMAVAAVVERHRATSPEILTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKITGGHGGGGGGGWLADNLDKGRLDYFYWLLAVISAINLVFFTFAARGYVYKEKRLADAGIELADEENLAVGGH >LPERR10G13970.1 pep chromosome:Lperr_V1.4:10:14412621:14419245:-1 gene:LPERR10G13970 transcript:LPERR10G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEKELKLLGSWSSPFTLRVKLALSFKGLSYAYVEEDLIGNKSELLLRSNPVHKKVPVLIHNGNPICESQIIVQYLDEAFPDAGVSLLPSDPYDRAVARFWAAYINDKAMKQTLEAVTNLETAFKECSKGKPFFGGDTVGYLDISLGSQCYRTSTSWWQRHNGLLLLQLQTIELRRLFVGAAEGGTAKSDVTWHLLFAPMPSASRRRTVAVVGAGAAGIVAARELLREGHAVTVFERSDRVGGTWAYDPRPDLAGAGAGGVHVSSSMYASLRTNLPRELMGFSGFDMAGRVFAGDARTFPGHREVLAFLAAFAEESGVSGHVRLRAEVVRVWPHLAAAAGDDGEQGERWNVAWRGEAAGGEVVEEVFDAVVVCNGHCTVPLVPKLRGIGNWKGKQMHSHNYRTPEPFQDQIVIVIGLGASGVDIAREVSKVAKEVHIASRYTEDRLGKVDMYQNVWLHSEVDCIQDDGQVRFSEGSVVAADTILYCTGYRYHFPFLDMEGLTVDDNRVGPLYKHVFPPKHAPNLSFVGLPVKTIIFQLFELESRWVARALSGRAKLPSSVAMAAAVEEDYQRMEAAGKPKRHTHSLMPDWVEYMDWLAAQVGEAPVEERRREMYEKALRCIWSMDDSYRDNWEKEEEQSPTRIETSSTNHSWVHRGITDKSRPRRAASDLRSIRAKHVRLWSLLRQELFDSTILGDQGRRGVVWIGMINTNKMSKQECMVNLLSEDVLANILCCLAPRHLAISRSVCEPWCKIIDGHRLLRADLLPHSVGGIYIKFYEMYPTTFFSRPSTGPTWVQLPPKPHRKDEYLVFDPTLSPHYEVLQIPYARNAMDPSTGGLEWPQPTFILHVFSSRTNKWKERLFVREGVAVCKVSDLESNWGHIQHNAVYWKGVLYVNCESKFVMRISLSNEKYQVIEPPGDFSDAVQYHDFYLGKSEKGVYCATFYLYRSGGVRIYILNESCGELEWVFKCNFDPGPVLELERIDRRGSWTLQEFEDNDNEATENGDFEATVERNFDWDSDDNDGVIVPAGPNYYHRDERRISFLGFHPYKEVVFLSENISRGLAYHLNSSKLQDLGHLRPKYYDSYGNRIIFSIHTLDGKVSRR >LPERR10G13970.2 pep chromosome:Lperr_V1.4:10:14412621:14418140:-1 gene:LPERR10G13970 transcript:LPERR10G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDVPIILVSWDVLLSEGGTAKSDVTWHLLFAPMPSASRRRTVAVVGAGAAGIVAARELLREGHAVTVFERSDRVGGTWAYDPRPDLAGAGAGGVHVSSSMYASLRTNLPRELMGFSGFDMAGRVFAGDARTFPGHREVLAFLAAFAEESGVSGHVRLRAEVVRVVEEVFDAVVVCNGHCTVPLVPKLRGIGNWKGKQMHSHNYRTPEPFQDQIVIVIGLGASGVDIAREVSKVAKEVHIASRYTEDRLGKVDMYQNVWLHSEVDCIQDDGQVRFSEGSVVAADTILYCTGYRYHFPFLDMEGLTVDDNRVGPLYKHVFPPKHAPNLSFVGLPVKTIIFQLFELESRWVARALSGRAKLPSSVAMAAAVEEDYQRMEAAGKPKRHTHSLMPDWVEYMDWLAAQVGEAPVEERRREMYEKALRCIWSMDDSYRDNWEKEEEQSPTRIETSSTNHSWVHRGITDKSRPRRAASDLRSIRAKHVRLWSLLRQELFDSTILGDQGRRGVVWIGMINTNKMSKQECMVNLLSEDVLANILCCLAPRHLAISRSVCEPWCKIIDGHRLLRADLLPHSVGGIYIKFYEMYPTTFFSRPSTGPTWVQLPPKPHRKDEYLVFDPTLSPHYEVLQIPYARNAMDPSTGGLEWPQPTFILHVFSSRTNKWKERLFVREGVAVCKVSDLESNWGHIQHNAVYWKGVLYVNCESKFVMRISLSNEKYQVIEPPGDFSDAVQYHDFYLGKSEKGVYCATFYLYRSGGVRIYILNESCGELEWVFKCNFDPGPVLELERIDRRGSWTLQEFEDNDNEATENGDFEATVERNFDWDSDDNDGVIVPAGPNYYHRDERRISFLGFHPYKEVVFLSENISRGLAYHLNSSKLQDLGHLRPKYYDSYGNRIIFSIHTLDGKVSRR >LPERR10G13970.3 pep chromosome:Lperr_V1.4:10:14412621:14418140:-1 gene:LPERR10G13970 transcript:LPERR10G13970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDVPIILVSWDVLLSEGGTAKSDVTWHLLFAPMPSASRRRTVAVVGAGAAGIVAARELLREGHAVTVFERSDRVGGTWAYDPRPDLAGAGAGGVHVSSSMYASLRTNLPRELMGFSGFDMAGRVFAGDARTFPGHREVLAFLAAFAEESGVSGHVRLRAEVVRVWPHLAAAAGDDGEQGERWNVAWRGEAAGGEVVEEVFDAVVVCNGHCTVPLVPKLRGIGNWKGKQMHSHNYRTPEPFQDQIVIVIGLGASGVDIAREVSKVAKEVHIASRYTEDRLGKVDMYQNVWLHSEVDCIQDDGQVRFSEGSVVAADTILYCTGYRYHFPFLDMEGLTVDDNRVGPLYKHVFPPKHAPNLSFVGLPVKTIIFQLFELESRWVARALSGRAKLPSSVAMAAAVEEDYQRMEAAGKPKRHTHSLMPDWVEYMDWLAAQVGEAPVEERRREMYEKALRCIWSMDDSYRDNWEKEEEQSPTRIETSSTNHSWVHRGITDKSRPRRAASDLRSIRAKHVRLWSLLRQELFDSTILGDQGRRGVVWIGMINTNKMSKQECMVNLLSEDVLANILCCLAPRHLAISRSVCEPWCKIIDGHRLLRADLLPHSVGGIYIKFYEMYPTTFFSRPSTGPTWVQLPPKPHRKDEYLVFDPTLSPHYEVLQIPYARNAMDPSTGGLEWPQPTFILHVFSSRTNKWKERLFVREGVAVCKVSDLESNWGHIQHNAVYWKGVLYVNCESKFVMRISLSNEKYQVIEPPGDFSDAVQYHDFYLGKSEKGVYCATFYLYRSGGVRIYILNESCGELEWVFKCNFDPGPVLELERIDRRGSWTLQEFEDNDNEATENGDFEATVERNFDWDSDDNDGVIVPAGPNYYHRDERRISFLGFHPYKEVVFLSENISRGLAYHLNSSKLQDLGHLRPKYYDSYGNRIIFSIHTLDGKVSRR >LPERR10G13970.4 pep chromosome:Lperr_V1.4:10:14418182:14419245:-1 gene:LPERR10G13970 transcript:LPERR10G13970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEKELKLLGSWSSPFTLRVKLALSFKGLSYAYVEEDLIGNKSELLLRSNPVHKKVPVLIHNGNPICESQIIVQYLDEAFPDAGVSLLPSDPYDRAVARFWAAYINDKAMKQTLEAVTNLETAFKECSKGKPFFGGDTVGYLDISLGSQVGWIRATEAMCGMKTFNDTTSPLLFTWMERFATLEATKSVLPDINKLVAKAQRAVAAATANN >LPERR10G13980.1 pep chromosome:Lperr_V1.4:10:14421109:14421624:1 gene:LPERR10G13980 transcript:LPERR10G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSFSLFLALNLLLFTITTTACPSCGSNGGGSHGHYGGGGGGGNSGSSGGSNGGNGGYGGRYGGGYGGGGNGGGSPSTSGWYGKCPTDALKLGVCANVLDLIKAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCSP >LPERR10G13990.1 pep chromosome:Lperr_V1.4:10:14423942:14426522:-1 gene:LPERR10G13990 transcript:LPERR10G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGIVGGAAPRRLLSRSASTTSAATRAGAFVYDGMRPAPLFSSTNFARSLRKAATFSSGKKNHAVDDDGGVKAAPPRRALSSKENTMPAAAASGPWEPKSRRRRGSGSSSPESDAGNTPRTSSVTAARKKFDEAEKEEAAHRARMLAARLLQNIQAAKRMVAQRRRQKLKLARLLRPQLAHLAAWDPLARPHADAVDGLAAVLAAACTAVPLAAGAQGDVESLQEAMFACVDTVNEIEANADTFFATAGVTSSTLEELVRTIKEEVEGLQEAMRLAKIVTSLQVQEVSLRANLIQANKQKLDMGASVPSIANSGWACL >LPERR10G14000.1 pep chromosome:Lperr_V1.4:10:14434033:14435398:1 gene:LPERR10G14000 transcript:LPERR10G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLETTVMGSSCFMAMWLIRPYSNGQWEERSFVREGEAAGRVADMPSHCSFVEQDAVYQQGARYINCYKKFVMRYRFKISLSNEKYRVIKPPHFQIFIWEIREGFHIYILDESCGEVKWVSRHFCYLGPIVGRERIDRPRSLILQEYEDVTVEGKFDWDSDNDDVIVPEAEREFVSNDTFTFLGFHPYREIVFLSENSIGGLAYHLSSSKVQSLGHLCPKHDEERTSIEPVIEACFLYTPWMGRFFEEN >LPERR10G14010.1 pep chromosome:Lperr_V1.4:10:14440491:14444085:1 gene:LPERR10G14010 transcript:LPERR10G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPPAAAWRWVLVAAAALMVSSSSAAAAADGGVAVAAAAEGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLAGAGVGADADRVLIASSDVPADWVRAMREEDGMRVVLVENMKNPYENNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRDNSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITKLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYTIPFFIIPRTVHPFMGWSLYLFGAFALGMLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASVVRVMDSLQTMLEREPFFPRLGEPVQETEFSKLY >LPERR10G14010.2 pep chromosome:Lperr_V1.4:10:14440491:14445277:1 gene:LPERR10G14010 transcript:LPERR10G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPPAAAWRWVLVAAAALMVSSSSAAAAADGGVAVAAAAEGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLAGAGVGADADRVLIASSDVPADWVRAMREEDGMRVVLVENMKNPYENNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRDNSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITKLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYTIPFFIIPRTVHPFMGWSLYLFGAFALGMLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASVVRVMDSLQTMLEREPFFPRLGEPVQETEFSKLY >LPERR10G14020.1 pep chromosome:Lperr_V1.4:10:14444428:14445596:-1 gene:LPERR10G14020 transcript:LPERR10G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKPAAAGAAAFRRVPLPPQPRRITRFGAIRASPPSAGGGDELPAALLPNARRRRDGCGFSLGVDLGEARTGLAVGMGITLPRPLTVLKLRGQRLETMLLDIAQQQEADELIVGLPVSGDGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTSIDALEFMISRGIKRSARDVQSDAYSAMMILERYFSSSGQGANIVLPKQQELQGKLLARSKQDAEIY >LPERR10G14030.1 pep chromosome:Lperr_V1.4:10:14451662:14452627:-1 gene:LPERR10G14030 transcript:LPERR10G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAVNSPSSPAMSLASTSSTEIEMGVKKTAASYVLRENPKKSYKVFDAGEFSGDGVVAAAGGESSVVQDGESDAESSPRGGGAGSFAVSRRRSKRPRRRPAAAPTSSVSDATPEEDVAMSLVLLSRDSWTRSRSENEQNNHDDDVFDMAGDGEEDYNYGDAAAARRRSRYKCGACRKVFRSYQALGGHRASLKKGKGGCCVSPPPPAASSGGAATAAIHECPFCFRVFESGQALGGHKRVHMSSAGVAQSPSPSPAKCWESSGSIDLNMPAAIEDDFELSAVYDAEFASNRE >LPERR10G14040.1 pep chromosome:Lperr_V1.4:10:14465710:14480036:-1 gene:LPERR10G14040 transcript:LPERR10G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVGREWDKEEAEFACAVPAHSHCTITEYIGESCYNSKMAGVSAKVAVMVFSVLGMYGFAAGVVYSNNWLDAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFLDGAGCGACYQIKCSNNPSCSGRPQTVIITDMNYYPVARYHFDLSGTAFGSLAKPGLNDQLRHAGIIDIQFKRVPCYHRGLYVNFHVEDGSNPVYMAILVEFANKDGTVVQLDIMESRPDGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIANRVIPANWRANTNYGSRVQFCCVCVSEMIAAKMGTKVAAFVAVLSMLVVYGSCAGHHDKYNTSAATNYGSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFMSMTSCGNQPLFNDGKGCGSCYQIKCTNNAACSGNPETVIITDMNYYPVAKYHFDLSGFAFGAMAKPGMNDQLRHAGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESRSAYGGPTQVWTPMRESWGSIWRLDSNHRLQAPFSIRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >LPERR10G14050.1 pep chromosome:Lperr_V1.4:10:14480243:14481571:-1 gene:LPERR10G14050 transcript:LPERR10G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMASKAALVALFSLLAATFVSGARPMSFNQTEFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNQYPFSSMTSCGNEPLFKDGKGCGSCYQIRCTNNAACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGMNDQLRHAGIIDIEFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDTVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVANQVIPANWTPTAVYRSFVQYSS >LPERR10G14060.1 pep chromosome:Lperr_V1.4:10:14488656:14497574:1 gene:LPERR10G14060 transcript:LPERR10G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSWLAAAAVFASLLAVGHCAAAGGVADFNATDADFAGGVGLNSSDAAVYWGPWTPARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCKKDPSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGKLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEFSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >LPERR10G14060.2 pep chromosome:Lperr_V1.4:10:14488715:14497574:1 gene:LPERR10G14060 transcript:LPERR10G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSWLAAAAVFASLLAVGHCAAAGGVADFNATDADFAGGVGLNSSDAAVYWGPWTPARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCKKDPSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGKLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEFSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >LPERR10G14070.1 pep chromosome:Lperr_V1.4:10:14493612:14497249:-1 gene:LPERR10G14070 transcript:LPERR10G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDVTPPPPQLTAGGAAGNRAVSSGGGGFVPVSEDQSYYEVAELTWEKGNISSHGLNRPPPPPPFQSIAVAGDRETLEAVVGAAAEEEGKRMSKRARVACASQGSVAPGGGGESTLPTLDAGSGDDVFTMTTATNNSTSLELELDKAGSPETENTSIAGGAKGWHEENVVIKGEGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKADKASMLDEVINYLKQLQAQVQVMSRMSSMMMPMGMAMPQLQMSVMAQMAQMAQFGLSMMNMGHQSGYPGLTPPMMHTPPFHLPVSSWDATAAGDRPPLQPIAGAAASDPFSAFLAAQQNAQQPPNSMEAYNRMMAMYQKLNQQQDQPSNSRQ >LPERR10G14070.2 pep chromosome:Lperr_V1.4:10:14492639:14496527:-1 gene:LPERR10G14070 transcript:LPERR10G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEENVVIKGEGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKADKASMLDEVINYLKQLQAQVQVMSRMSSMMMPMGMAMPQLQMSVMAQMAQMAQFGLSMMNMGHQSGYPGLTPPMMHTPPFHLPVSSWDATAAGDRPPLQPIAGAAASDPFSAFLAAQQNAQQPPNSMEAYNRMMAMYQKLNQQQDQPSNSRHAPTQIGQESDDFSLCNSTEVAGPFVYTRRRTCRGPRGNSSILGDVLQMGKEKGKRKAPANVTVSAIHPKYMSYP >LPERR10G14070.3 pep chromosome:Lperr_V1.4:10:14493612:14496527:-1 gene:LPERR10G14070 transcript:LPERR10G14070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEENVVIKGEGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKADKASMLDEVINYLKQLQAQVQVMSRMSSMMMPMGMAMPQLQMSVMAQMAQMAQFGLSMMNMGHQSGYPGLTPPMMHTPPFHLPVSSWDATAAGDRPPLQPIAGAAASDPFSAFLAAQQNAQQPPNSMEAYNRMMAMYQKLNQQQDQPSNSRQ >LPERR10G14080.1 pep chromosome:Lperr_V1.4:10:14502679:14522815:-1 gene:LPERR10G14080 transcript:LPERR10G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTPAVVDEVRALVQGVDSSNFDSTHRELCQLADCSPDGCMLLLRVCLDEVMVNVNVAKSFQSKHDLLRIVFKYCLGKPYFGTSFCEALKTVQFNDLFLETFSNELGLSAGERVIIGLALSDSENLGLILQGQKFAISHIEELCANPTHVLSNDQIHEIVVFLHQTDGLSKHMDSFTNIISLLKVKERPFYVPDPIKEGNARSTVSSRHMELYNGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDVAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAQSTYSTFLSAVGNSQSSDSSQFTAWNVDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFRLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPADTFTFKHCSRTMVFPELANRMQDNQAWYCLDLLEVLCQLAELGYATMVRSILDYPLVHCPDVLLLGVSHVNTAYNLLQYEVLSCVFPVIMKDSTYNSLMNSLWHVNPYLTLRGFVDSHSDINCLLRTVEICQDLTILSAVLDSTPFAFSIKLATFAFRQNHSNLEKWLVEKLSAQGEPFLEHQKVLSNILKPWFRIFAENPVLQSHSGQLLSNQLVEELRRVEAVHESRSHGAVGRDIPTSEAGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERALAKISSSQNEPNVGSMLSADQHGSSSIGNIEASEASWQLINPTPTQLERPHQQRHQGFLGERSKGSTNIIQAKNILSSGQTPLASAPGDLAINLKAATPPSSQASPHHSTTISAPSQPTGFLRSRSSAPSGIRQPSFNTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRNRQFEGNPDFSNKDVSASQTPVVAEVSSGVMPTINHAEPQPEINSTSRATSLPNMLSQYAAPLRLPPNSMVEDDKAALIMPEQFEPGFAISNAIITGVFLMAAIPRADIYFRINEKLNSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSIIQGITNNSESTEQIINILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAIPYTQGLKRVPDALRPKPAGHLSAAQRRVYEDFITVWHSQSSQNAGATAAATAMAVAPSNSGVPRVYSPNSALPDSSSFSTLNIAPFASASQSTELVHEESDRSATQLPSLSAKIGTSDTSTQVIGTTNVASIFPPMVPNDLPVGEPTSVNKDLVSSAPLSPTTAVDRMGSVFAEPLNTGDALERYQQVSQKLDALIAKDGKDVEIQKSLTSYSDVSVEMKLHWPLHRRSLCDNAANSVYVTCLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIEIIVGLIRSELLNLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFAAMKDENIRLSRDKKVAVSFSEWCNICDHPTMGDSAYTHYIVQHQQDGLLKGDELTDRFFHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYFSVDLGPSKGSLFNKVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEIAIPPRIMSDVDGALKSKQLKTQVDEYLKRPEGSFLTDLKQKLLLPQNEANIAGTRYNVPLAVQQLQLNKLNASASAQQMNQNPLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKAGDDGVGLTDGGH >LPERR10G14080.2 pep chromosome:Lperr_V1.4:10:14502679:14522815:-1 gene:LPERR10G14080 transcript:LPERR10G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTPAVVDEVRALVQGVDSSNFDSTHRELCQLADCSPDGCMLLLRVCLDEVMVNVNVAKSFQSKHDLLRIVFKYCLGKPYFGTSFCEALKTVQFNDLFLETFSNELGLSAGERVIIGLALSDSENLGLILQGQKFAISHIEELCANPTHVLSNDQIHEIVVFLHQTDGLSKHMDSFTNIISLLKVKERPFYVPDPIKEGNARSTVSSRHMELYNGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDVAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAQSTYSTFLSAVGNSQSSDSSQFTAWNVDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFRLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPADTFTFKHCSRTMVFPELANRMQDNQAWYCLDLLEVLCQLAELGYATMVRSILDYPLVHCPDVLLLGVSHVNTAYNLLQYEVLSCVFPVIMKDSTYNSLMNSLWHVNPYLTLRGFVDSHSDINCLLRTVEICQDLTILSAVLDSTPFAFSIKLATFAFRQNHSNLEKWLVEKLSAQGEPFLEHQKVLSNILKPWFRIFAENPVLQSHSGQLLSNQLVEELRRVEAVHESRSHGAVGRDIPTSEAGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERALAKISSSQNEPNVGSMLSADQHGSSSIGNIEASEASWQLINPTPTQLERPHQQRHQGFLGERSKGSTNIIQAKNILSSGQTPLASAPGDLAINLKAATPPSSQASPHHSTTISAPSQPTGFLRSRSSAPSGIRQPSFNTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRNRQFEGNPDFSNKDVSASQTPVVAEVSSGVMPTINHAEPQPEINSTSRATSLPNMLSQYAAPLRLPPNSMVEDDKAALIMPEQFEPGFAISNAIITGVFLMAAIPRADIYFRINEKLNSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSIIQGITNNSESTEQIINILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAIPYTQGLKRVPDALRPKPAGHLSAAQRRVYEDFITVWHSQSSQNAGATAAATAMAVAPSNSGVPRVYSPNSALPDSSSFSTLNIAPFASASQSTELVHEESDRSATQLPSLSAKIGTSDTSTQVIGTTNVASIFPPMVPNDLPVGEPTSVNKDLVSSAPLSPTTAVDRMGSVFAEPLNTGDALERYQQVSQKLDALIAKDGKDVEIQKSLTSYSDVSVEMKLHWPLHRRSLCDNAANSVYVTCLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIEIIVGLIRSELLNLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFAAMKDENIRLSRDKKVAVSFSEWCNICDHPTMGDSAYTHYIVQHQQDGLLKGDELTDRFFHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYFSVDLGPSKGSLFNKPQKLHAQTIAMQCTEGLAILPEIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEIAIPPRIMSDVDGALKSKQLKTQVDEYLKRPEGSFLTDLKQKLLLPQNEANIAGTRYNVPLAVQQLQLNKLNASASAQQMNQNPLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKAGDDGVGLTDGGH >LPERR10G14080.3 pep chromosome:Lperr_V1.4:10:14502679:14522815:-1 gene:LPERR10G14080 transcript:LPERR10G14080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTPAVVDEVRALVQGVDSSNFDSTHRELCQLADCSPDGCMLLLRVCLDEVMVNVNVAKSFQSKHDLLRIVFKYCLGKPYFGTSFCEALKTVQFNDLFLETFSNELGLSAGERVIIGLALSDSENLGLILQGQKFAISHIEELCANPTHVLSNDQIHEIVVFLHQTDGLSKHMDSFTNIISLLKVKERPFYVPDPIKEGNARSTVSSRHMELYNGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDVAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAQSTYSTFLSAVGNSQSSDSSQFTAWNVDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFRLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPADTFTFKHCSRTMVFPELANRMQDNQAWYCLDLLEVLCQLAELGYATMVRSILDYPLVHCPDVLLLGVSHVNTAYNLLQYEVLSCVFPVIMKDSTYNSLMNSLWHVNPYLTLRGFVDSHSDINCLLRTVEICQDLTILSAVLDSTPFAFSIKLATFAFRQNHSNLEKWLVEKLSAQGEPFLEHQKVLSNILKPWFRIFAENPVLQSHSGQLLSNQLVEELRRVEAVHESRSHGAVGRDIPTSEAGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERALAKISSSQNEPNVGSMLSADQHGSSSIGNIEASEASWQLINPTPTQLERPHQQRHQGFLGERSKGSTNIIQAKNILSSGQTPLASAPGDLAINLKAATPPSSQASPHHSTTISAPSQPTGFLRSRSSAPSGIRQPSFNTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKVLFKNLSVDMKDVKPSSLLKDRNRQFEGNPDFSNKDVSASQTPVVAEVSSGVMPTINHAEPQPEINSTSRATSLPNMLSQYAAPLRLPPNSMVEDDKAALIMPEQFEPGFAISNAIITGVFLMAAIPRADIYFRINEKLNSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSIIQGITNNSESTEQIINILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAIPYTQGLKRVPDALRPKPAGHLSAAQRRVYEDFITVWHSQSSQNAGATAAATAMAVAPSNSGVPRVYSPNSALPDSSSFSTLNIAPFASASQSTELVHEESDRSATQLPSLSAKIGTSDTSTQVIGTTNVASIFPPMVPNDLPVGEPTSVNKDLVSSAPLSPTTAVDRMGSVFAEPLNTGDALERYQQVSQKLDALIAKDGKDVEIQKSLTSYSDVSVEMKLHWPLHRRSLCDNAANSVYVTCLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIEIIVGLIRSELLNLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFAAMKDENIRLSRDKKVAVSFSEWCNICDHPTMGDSAYTHYIVQHQQDGLLKGDELTDRFFHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYFSVDLGPSKGSLFNKVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEIAIPPRIMSDVDGALKSKQLKTQVDEYLKRPEGSFLTDLKQKLLLPQNEANIAGTRYNVPLAVQQLQLNKLNASASAQQMNQNPLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKAGDDGVGLTDGGH >LPERR10G14090.1 pep chromosome:Lperr_V1.4:10:14527761:14529035:-1 gene:LPERR10G14090 transcript:LPERR10G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGGGVDLGMGMSTYHHHHNASSAAAIAAAPTMMSHHHHHHGAVGGGYSASAAAAHHHHYYGMPPPAMGDAMRVDELLDLSNTPGAHDFFPASAAAAGDNNNGHHHHHHHVSAMGGEPSGTTSSDHQTSMLSFADDFYIPTEEAAELEWLSKFVDDSYSDMPNYHSSAHAAMAAAAAAANNAGGSSAGGQDSCLTAAAPGRGARSKRSRATAAAAAAWHSLVPRPASNSSPSSSSCSSSDFPSSNKDAAAAARPRGSGKKSPGPAAAAAEVGMEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELIVIRGSHRDAASAAHAAAAGGRPELMFRDYGVC >LPERR10G14100.1 pep chromosome:Lperr_V1.4:10:14544026:14544968:1 gene:LPERR10G14100 transcript:LPERR10G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTADVSVDLSLVLYPPPHSTRHWRVSSSPAKLLPKNRLLSESEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNTQQ >LPERR10G14110.1 pep chromosome:Lperr_V1.4:10:14547429:14550875:1 gene:LPERR10G14110 transcript:LPERR10G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAQPEGSHQSAPSVKPALSSCRRNKSENTSFVSDLRDNIQEFIHASPDEHRTCFTKTIQRMFGLSKVVAERSAQAKEPEAESVLPLQTTVSRVRSCGAWDTDAISFIHITRAAIRWRPARTSSLVDTDDS >LPERR10G14110.2 pep chromosome:Lperr_V1.4:10:14547429:14550802:1 gene:LPERR10G14110 transcript:LPERR10G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAQPEGSHQSAPSVKPALSSCRRNKSENTSFVSDLRDNIQEFIHASPDEHRTCFTKTIQRMFGLSKVVAERSAQAKEPEAESVLPLQTTVSRVRSCGAWDTDAISFIHITRAAIRWRPARTRCRTNGVCKNIAICSLYVMAQVITWGEGDW >LPERR10G14120.1 pep chromosome:Lperr_V1.4:10:14547436:14549179:-1 gene:LPERR10G14120 transcript:LPERR10G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCRGAAAAAAAACLLLLLAAASRGVAHGAHGHGHGGVAWHSFKQLLDARRGSRVTGLAELKRYMARFGYMAKNSDNDEAFDEHLEAAVMRYQTRLSLPVTGRLDSATLDQIMSPRCGVTDADGDGDRATSHGGAVSRFTFFKGEPRWTRSDVPPIVLTYAVSPTATVDYLPPPTVRAVFQRAFARWASAIPIGFVETDDYAGADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFAVDATATAIDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVKLTVDDVEGVQALYGSNPQFSLSSLSEQGSTSSSPPGRGSFSSRWIVTVTRWSEEAKRFQLQIYSSGMTTKQVSQREQIGENLQVEHQQTSTS >LPERR10G14130.1 pep chromosome:Lperr_V1.4:10:14552848:14553615:-1 gene:LPERR10G14130 transcript:LPERR10G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCGRTDEATKTTTLDRTPKDTADDEESGRYTFLPGRPRWHGRTLLTYAVSTTATVEYIPLSTVRAVVRTAFARWADVIPMRFVEADRLYNDNDPDITLGFHPFTGGKCAGCGTSDGYDGAKTLAHSSRPMQGWIHVNTAWRWTVNLDVDMDPSAYDLESIVIHEIGHVLGLDHSTSLTSVMYKSFDYRTKKIKLNIYDVLGIQELYGATRYFSFKSYFKQELLRHNQRQEEEKRKRSFWRRGLAYILPSGIAS >LPERR10G14140.1 pep chromosome:Lperr_V1.4:10:14555204:14556393:-1 gene:LPERR10G14140 transcript:LPERR10G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHWSVDLSAEEDKSAVVFDLESLAVHEIGHILGLDLSTTPGKTYSMNGPFYGARVAGAVILLHSARITGVVGINPIPPKEKL >LPERR10G14150.1 pep chromosome:Lperr_V1.4:10:14556435:14556847:-1 gene:LPERR10G14150 transcript:LPERR10G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPCLLLLAIVFILSCHPVAAARLGPTTTKPHGGGAVNRTAADGADVCPVTDGHVDYLPRDTVRAVLRSAFARWAEVIPVSFEEIMDDDGFDGADIKVGFYHGEHGDGPRLMVRETC >LPERR10G14160.1 pep chromosome:Lperr_V1.4:10:14558080:14558886:-1 gene:LPERR10G14160 transcript:LPERR10G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSRRRRDATPACLLLLTMLFILSRLPAAAARPAPTTMTKPHGGGAVISRYSFFPDKPRWTRPPGQVDQPMVLTYALSKTDTVDYLPRDAVRAVLRSAFARWAEVIPVSFEEITDDDGYSGAEIKVGFYHGEHGDGAPFDGPRNVLAHASPPEDGGLHFDAAEHWSVDLSAEEDKSAVVYDLESVAVHEIGHILGLDHSTVRRSVMWPTAGPWEREVRLNVDDIEGVQKLYGANPNFSFVEYFKPAHETPASRFWQLGLAYILLVPF >LPERR10G14170.1 pep chromosome:Lperr_V1.4:10:14559336:14560103:-1 gene:LPERR10G14170 transcript:LPERR10G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRLHLLAILLLYVLISYMPSLAAARPAPETTPPPPPQHYGGGEVILDPPHWVWPEGQPKVMTYALSHNSTTIASIPRHAVLATLRSAFATWAEAIPIKFIEISDDDDADADIKVGFYSGEHGDRAQFDGPRHILAHASVIGAIHFDATEHWTVDLAREKRSAKNVFDLETVATHEIGHVLGLGHSPLRRSVMYALIGERERKVRLNIDDIQGVQELYGVNPSFDWGVYYKKDVESSPGSSFWGLGLACFLLL >LPERR10G14180.1 pep chromosome:Lperr_V1.4:10:14560397:14596541:-1 gene:LPERR10G14180 transcript:LPERR10G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGCRPGFRKDGRWFPVQNNVGDVLEILTNGKLQVQDGERIRNKRTTTRRGCSKSLGIPINGRSKNLWVLAETYNGSNEKIPERYIRTDASSEEDISDCRSTMAIPIIDLKKLLDPQSSEEECANLGSACECWGFFQVINHGVSDEVIGNLKNDIIEFFRQPFDSKKAYSQQPNSLEGYGQAFVMSEEQKLDWGDMLYLQVHPSESRNLMFWPTHPASFRQSIDAYSSETTSLSLCLFEFMAKSVGAEPESLLGIFKDQHRGMRMNYYPPCPKSDKVIGLSPHTDAGGLTLLLQVNDTQGLQIKKDGKWLNVNALNGAIIVNIGDMLEILSNGKFRSVEHRAVIHPNKERISAALFHSPGENLMISPLPEFVKDGKLRYRSVSHRDWLTQYFTSQLDGRNRLESLKLEQEIMAEARTIGSLPVPNVQELAGTCNGPDKQIPERYIRPEASSEEVIINNNHGNMSIPIIDLAKLLSPQSSEEECVKLRSACQYWGFFQVINHGVSDEVIENLRKNLVEFFSQPLDAKKKYSQMPNNLEGYGQGFVVSDNQKLDWADMLYLQVQPSDSRDLRFWPTYPASFRHSIEAYSSETENIALCLLQFMAKAVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDVKFWSVLLGWLILINLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.10 pep chromosome:Lperr_V1.4:10:14588358:14596541:-1 gene:LPERR10G14180 transcript:LPERR10G14180.10 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGCRPGFRKDGRWFPVQNNVGDVLEILTNGKLQVQDGERIRNKRTTTRRGCSKSLGIPINGRSKNLWVLAETYNGSNEKIPERYIRTDASSEEDISDCRSTMAIPIIDLKKLLDPQSSEEECANLGSACECWGFFQVINHGVSDEVIGNLKNDIIEFFRQPFDSKKAYSQQPNSLEGYGQAFVMSEEQKLDWGDMLYLQVHPSESRNLMFWPTHPASFRQSIDAYSSETTSLSLCLFEFMAKSVGAEPESLLGIFKDQHRGMRMNYYPPCPKSDKVIGLSPHTDAGGLTLLLQVNDTQGLQIKKDGKWLNVNALNGAIIVNIGDMLEILSNGKFRSVEHRAVIHPNKERISAALFHSPGENLMISPLPEFVKDGKLRYRSVSHRDWLTQYFTSQLDGRNRLESLKLEQEIMAEARTIGSLPVPNVQELAGTCNGPDKQIPERYIRPEASSEEVIINNNHGNMSIPIIDLAKLLSPQSSEEECVKLRSACQYWGFFQVINHGVSDEVIENLRKNLVEFFSQPLDAKKKYSQMPNNLEGYGQGFVVSDNQKLDWADMLYLQVQPSDSRDLRFWPTYPASFRHSIEAYSSETENIALCLLQFMAKAVGVEPKSLLSIFEEPIKGMRMNYYPPCWQADKVLGLSPHTDPGGLTLLLQVNDVQGLQIKKDGKWFSVNTLNGALIVNIGDTLEILSNGKFRSVEHRAVINPSRERISAALFHYPCQNLVISPLPDFVKDGKVNYKTITYQDLLTEYFTAELDGRNRLEKMKLEP >LPERR10G14180.2 pep chromosome:Lperr_V1.4:10:14560397:14596541:-1 gene:LPERR10G14180 transcript:LPERR10G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGCRPGFRKDGRWFPVQNNVGDVLEILTNGKLQVQDGERIRNKRTTTRRGCSKSLGIPINGRSKNLWVLAETYNGSNEKIPERYIRTDASSEEDISDCRSTMAIPIIDLKKLLDPQSSEEECANLGSACECWGFFQVINHGVSDEVIGNLKNDIIEFFRQPFDSKKAYSQQPNSLEGYGQAFVMSEEQKLDWGDMLYLQVHPSESRNLMFWPTHPASFRQSIDAYSSETTSLSLCLFEFMAKSVGAEPESLLGIFKDQHRGMRMNYYPPCPKSDKVIGLSPHTDAGGLTLLLQVNDTQGLQIKKDGKWLNVNALNGAIIVNIGDMLEILSNGKFRSVEHRAVIHPNKERISAALFHSPGENLMISPLPEFVKDGKLRYRSVSHRDWLTQYFTSQLDGRNRLESLKLEQEIMAEARTIGSLPVPNVQELAGTCNGPDKQIPERYIRPEASSEEVIINNNHGNMSIPIIDLAKLLSPQSSEEECVKLRSACQYWGFFQVINHGVSDEVIENLRKNLVEFFSQPLDAKKKYSQMPNNLEGYGQGFVVSDNQKLDWADMLYLQVQPSDSRDLRFWPTYPASFRHSIEAYSSETENIALCLLQFMAKAVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDGKVKYRSISFHDLMTQFFTQQLDGRNKLEILKNLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.3 pep chromosome:Lperr_V1.4:10:14560397:14586562:-1 gene:LPERR10G14180 transcript:LPERR10G14180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCWQADKVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDVKFWSVLLGWLILINLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.4 pep chromosome:Lperr_V1.4:10:14560397:14586562:-1 gene:LPERR10G14180 transcript:LPERR10G14180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCWQADKIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDGKVKYRSISFHDLMTQFFTQQLDGRNKLEILKNLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.5 pep chromosome:Lperr_V1.4:10:14560397:14586562:-1 gene:LPERR10G14180 transcript:LPERR10G14180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCWQADKVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDGKVKYRSISFHDLMTQFFTQQLDGRNKLEILKNLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.6 pep chromosome:Lperr_V1.4:10:14560397:14587479:-1 gene:LPERR10G14180 transcript:LPERR10G14180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARTVGSLPMPTVQALAGTCNGPDEHILERYIMTDATSEEVISNNQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.7 pep chromosome:Lperr_V1.4:10:14560397:14586562:-1 gene:LPERR10G14180 transcript:LPERR10G14180.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCWQADKVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFVKDGKVKYRSISFHDLMTQFFTQQLDGRNKLEILKNLGIAMAGARTVGSLPVPNVQELARTCNGPDEHIPERYIIRTDDSSDEVICNYQGDMAIPIIDLNKLLSPQSSDEECVKLRSACQYWGFFQLINHGVPDEVIANFKRDVVDFFSQPLDAKEEYKQLPNSIEGYGHAFVFSDDQKLDWADQLYVQVHPRDSRDLRFWPTSPASFRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.8 pep chromosome:Lperr_V1.4:10:14560397:14583256:-1 gene:LPERR10G14180 transcript:LPERR10G14180.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRQSIDAYSSETNSLALCLFEFMAKAVGAKPELLVGIFEDQLRGIRMAYYPPCRQADKVMGISPHTDVVGLTLLLQINDVQGLQIKRDGKWFSVDAPNNAIIANIGDTLEILSNGKFRSVEHRAVIHPNKERISASLFHYPCENMMISPLPEFVKDDKVKYKSISYHDFMKQFFTQQLDGRNRGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14180.9 pep chromosome:Lperr_V1.4:10:14560397:14586562:-1 gene:LPERR10G14180 transcript:LPERR10G14180.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCWQADKVMGISPHTDVAGLILLLQVNDVQVLQIKRDGKWFSVDAPNSAIIVNIGDTLEILSNGKFRSVAHRTVINPNKERISASLFHCPCEDMVISPLPEFGNHNKQCASSNLEKIKIIMSLPVPNVQELAWTCNRLDRQIPERYVRPEAGTGEVVSGCDINAAIPVIDLARLLDPRSSQEECAKLGSACQHWGFFQLINHGVPDEVINNMREDLIEFFRLPLEAKEAYAKPIDKLEGYGQHFVVSEKQKLDWGDLLYLRLRPTESRDMSLWPAHPPSFRGPPQTLRANYYPQCRQAGKVLGLSPHCDSGGLTLLLQMNNVQGLQIRKGGKWLAVDALDDAFIVNVGDTLEILSNGRYKSIEHGATVHPEKERISAALFHHVSPNSIVGPLPELVKDGGKPLYKMVHEDFMKRFVSAKLDGRANELAQTCNRPYQEIPERYIRPEVGTDEIISGHDINSAIPVIDLAKLLDPQSSQEECAKLGSACQHWGFFQLINHGVPDEVINDVREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSERQKLDWGDLLHLRLRPTESRDMSFWPAHPPSFRNSMERYSSETAKLARCLFEFLAMDMGVDPESLLEIFRGQPQTMRANYYPPCRQADKVLGLSPHCDATSLTLLLQVNDVQGLQIRKDGKWLAVNALDGAFIINIGDMLEVLSNGRYRSIEHRAMVNPEKERISAAVFHQACRKATIGPLPELVMNNGGKPLYKSMGYEDFMKRFFSAKLDGRANVEGLRIQNSRGLATDLTSRYLRGTSGQIEAGAGEVISGCDINAAIPIIDLAKLLDPQLSQDECAKRGSACQHWGFFQLINHSVPDKVINDMREDLTEFFRLPLETKQAYAKPTDKFEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDMSFWPAHPQSSRYSSETATVANCLLKFLAKDMGVDPESLLDIFGGQPQTLRANYYPPCRQAGKVLGLSPHCDSGGLTLLLQVNNVQGLQIRKDGNWLAVNALDDAIIVNVGDTLEILSNGRYKSIEHRAVVHPEKERISAAVFHHVSGNSTVGPLPELVKDGGKPVYKSMAHEDFMKRFFSDKLDGRANVDGLRI >LPERR10G14190.1 pep chromosome:Lperr_V1.4:10:14577812:14580592:1 gene:LPERR10G14190 transcript:LPERR10G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQLQSPCSPTTKIPLSIVASSAATASAAQMGSFAARQWRRPRRHRLPRPPHGLHPPCRALAEHAPMISSEPPPAIATFSRVFQRCAAQAGSREALAAGRAAHARMVTSGFVPTAFVSNCLLQMYARCGGAACARRVFDAMPHRDTVSWNTMLTAYSHAGDISAADALFGEMPDPDVVSWNALVSGYCQRGMFWESVYLFMEMARRGVSPDRTTFAVLLKSCSALEELALGVQVHGLAVKTGLEVDVRTGSALVDMYGKCRCLEDALCFFYGMPERNWVSWGAAIAGCVHNEQYMRGLELFIEMQRLGLGVSQPAYASVFRSCAAVSYLNTGRQLHAHAIKNKFNSDRIVGTAIVDVYAKANSLADARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMELFQFMLRSSIGFDAVSLSGVFSACAETKGYFKGQQVHSLAIKSGFDVDICVNNAVLDLYGKCKALKEAYLIFQDMRQKDSVSWNSIIAALEQNGHYDDTIIHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGRQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGNMPDSLLVFEKAQKRDFVSWNAMICGYALHGLGVEALKMFERMQKENVVPNHATFVAVLRACSHVGMLDDGCHYFHLMTTHYELEPQLEHFACMVDILGRSKGPREAVKFINSMPFQADAVIWKTLLSICKIRQDIEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGHLKKEPGCSWIEVQSEMHGFLVGDKAHPRSVELYEMLDDLIGEMKLSGYEPDSASFVEVGEEGSAPEQDDLLGVVSG >LPERR10G14200.1 pep chromosome:Lperr_V1.4:10:14588120:14589077:1 gene:LPERR10G14200 transcript:LPERR10G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHTIILRTQTGSKFFSESCNRRDGFMVDGYGSNFIFSSRFLPSSSAVKYSVSKSWPCTSFT >LPERR10G14210.1 pep chromosome:Lperr_V1.4:10:14597769:14603703:-1 gene:LPERR10G14210 transcript:LPERR10G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFDKLKVNFIDQDESVQVVADTIGSSGDIPERYVRPEIEADHVIIADADSYRLPVIDMSRLINHEFSKEETAKLGSACEDWGFFQLVNHGVDEQVLQQIKDDITGFFKLPLQEKMTVAILPNGLQGFGHHFVFSKEQKLDWVDLMFLTTRPVEERSLDFWPINPPTFRSTYCLLKQAGWLGYRASFFSSNSLDKYSLEIENVSAKLFKFMAMNLGVDEEALLGTFKGQPQSVRINHYPPCSQADKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGKWFAVKNLPGALVVNVGDVLEIITNGKYKSIEHRAVINPDKERITIAAFQSAPLSCTIGPLQELLMKGDPRYRTVDGVEFTKGYFAAKLEGRRYLESLKLGVLGLLVRGLGPTVALQSPQSTVEVEKKKQWNAENLPKTKPHEARRRDESPPAASLPLKLDDEILLRLPPRPSSLPRASLVSSPTPASFHRLFRARHRNHPLIGVFTNEGRYGSISFRSVLDPPNLIPTEALLADSLAVIECPSGPNGLRFNSYQIVLARVGGLGLATILSCNLQMWERKVSSEAYNCRNLGKAMAEVRTIGSLPVPNVQALAGTCNGSDERIPERYIRTEATCEEVISNYHGDMAILIIDLSKLLSPQSSEEERLINHGVPEEVIENFRSSIVHFFSQPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDTRDLRFWPTYPASFRQSLDAYSSETKSLALCLFKFMAKAVDADPESLLSIFEDQP >LPERR10G14210.2 pep chromosome:Lperr_V1.4:10:14597769:14603703:-1 gene:LPERR10G14210 transcript:LPERR10G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFDKLKVNFIDQDESVQVVADTIGSSGDIPERLINHEFSKEETAKLGSACEDWGFFQLVNHGVDEQVLQQIKDDITGFFKLPLQEKMTVAILPNGLQGFGHHFVFSKEQKLDWVDLMFLTTRPVEERSLDFWPINPPTFRNSLDKYSLEIENVSAKLFKFMAMNLGVDEEALLGTFKGQPQSVRINHYPPCSQADKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGKWFAVKNLPGALVVNVGDVLEIITNGKYKSIEHRAVINPDKERITIAAFQSAPLSCTIGPLQELLMKGDPRYRTVDGVEFTKGYFAAKLEGRRYLESLKLGVLGLLVRGLGPTVALQSPQSTVEVEKKKQWNAENLPKTKPHEARRRDESPPAASLPLKLDDEILLRLPPRPSSLPRASLVSSPTPASFHRLFRARHRNHPLIGVFTNEGRYGSISFRSVLDPPNLIPTEALLADSLAVIECPSGPNGLRFNSYQIVLARVGGLGLATILSCNLQMWERKVSSEAYNCRNLGKAMAEVRTIGSLPVPNVQALAGTCNGSDERIPERYIRTEATCEEVISNYHGDMAILIIDLSKLLSPQSSEEERLINHGVPEEVIENFRSSIVHFFSQPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDTRDLRFWPTYPASFRQSLDAYSSETKSLALCLFKFMAKAVDADPESLLSIFEDQP >LPERR10G14210.3 pep chromosome:Lperr_V1.4:10:14597769:14603703:-1 gene:LPERR10G14210 transcript:LPERR10G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFDKLKVNFIDQDESVQVVADTIGSSGDIPERYVRPEIEADHVIIADADSYRLPVIDMSRLINHEFSKEETAKLGSACEDWGFFQLVNHGVDEQVLQQIKDDITGFFKLPLQEKMTVAILPNGLQGFGHHFVFSKEQKLDWVDLMFLTTRPVEERSLDFWPINPPTFRNSLDKYSLEIENVSAKLFKFMAMNLGVDEEALLGTFKGQPQSVRINHYPPCSQADKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGKWFAVKNLPGALVVNVGDVLEIITNGKYKSIEHRAVINPDKERITIAAFQSAPLSCTIGPLQELLMKGDPRYRTVDGVEFTKGYFAAKLEGRRYLESLKLGVLGLLVRGLGPTVALQSPQSTVEVEKKKQWNAENLPKTKPHEARRRDESPPAASLPLKLDDEILLRLPPRPSSLPRASLVSSPTPASFHRLFRARHRNHPLIGVFTNEGRYGSISFRSVLDPPNLIPTEALLADSLAVIECPSGPNGLRFNSYQIVLARVGGLGLATILSCNLQMWERKVSSEAYNCRNLGKAMAEVRTIGSLPVPNVQALAGTCNGSDERIPERYIRTEATCEEVISNYHGDMAILIIDLSKLLSPQSSEEERLINHGVPEEVIENFRSSIVHFFSQPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDTRDLRFWPTYPASFRQSLDAYSSETKSLALCLFKFMAKAVDADPESLLSIFEDQP >LPERR10G14210.4 pep chromosome:Lperr_V1.4:10:14596597:14597759:-1 gene:LPERR10G14210 transcript:LPERR10G14210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYPPCQQADKVIGLSPHTDVVGLMLLLQVSDVQGLQIKRDGKWFSVNALNGALIVNIGDTLEILSNGKFKSVEHRAVIHPNKERISAALFHYPRENLVLSPLPEFVKDGKLIAVIVCELWVGWRVGVTDQRLSDITVLQTPLQEKIANESAKMFKFMAINLTLRTLANSECMINH >LPERR10G14220.1 pep chromosome:Lperr_V1.4:10:14605899:14619838:-1 gene:LPERR10G14220 transcript:LPERR10G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLLSSQNFQLAGEDNSKFPAGLRQFPLPKLDIDDQLHNHLRFDNLIDSEEFFSGQGHGNSWIEVLSAGSSVVDFSSTAAESCSINRKNNVWSEATSTESVEMLLKSVGENEMTENMDDNAHRQLSGMDSQTDPSNMQPKSSNSPSGNIIVPSENDKSQSTRSEIAEDPSRIQPQLKHITAFSMDEKTEQAVGSTLSDKKSNYTLESVSERCIVRGRSSSQKNTPESCPDVGSYFEAVHVDDSLDNLNIHTDGVDSRKLDNEPFSDLAPLQNIYATSSYHFEQDNQESGVPVTTQGTEICHVKENKDGLHGLQNFSCTSQHLVASDLTSEVSNAALLSESSDGLLEAITNPVKMLHKSDDTSKRASATLQPSFLQVEHAAEGIQDSIDRSNEPAIEKFGSGEEPNSAKSDRVEPDLKNSNPHLVVSLETKSGEFIQSPKGKQLDHVTEGSEDTKYDRVDDANLSTSDDIKHREQNEDSVDNPSGGVMEEKTVRGEISAVSMNTVHIAKSGHGEKGTVSTSATNDKFDSLDNVVPGISSACLPVERDPSISSVNHEMALKEGDKISAMNHEVPLKDDGKSTIKVGEHNTTPPVSEPFRKGSTGSVNPNIDAICGSGTDAVAEIPQCEEHATSSGSLITNETKDTLGDHPDANPQKVQTTKTLMQSERHEDIVAPSSALGVSPEKAEQNNGKISLNGMDDLGVHIQDKVLSHGADHTIGTVSSENKTDLEHRTGDGSCTDATCGSPTVISCNKPCPEEDGQGNNALLHHKQTELPMDPKDNTAETDNSSGSKESSRNVKPTLTSEETRTAEDKSFSFEVGTPLNISKKAHAPAWSPLPRSEVAQSPEATSVIPKPGNPSKNSSSKSKESAIVETGKEHLSGRKVVGSAEGSAINSHAGHSTKAKSTPLKEEQQHPTPQASALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAEPYMVSAFGESVSGGGKPAWEAILRAASERYNSQRSSLSGLETPTSSLIGSRVAEKGSKGTAVKTEPASKKGGKIVSSSHTTVPHHLPTFNMSPLGNSTLSLQRGSHLDFSQAVSPVFPYSSQMRQPTSSTATWFPQSPGPRTAPWLVQPQNLIFDSSMQSAVPTTVNETTKGASSKNISISQAVSPVVPSTVSPLKVIPEEKQNASASTSKRRATAQKSRKRKKAPASPEQQPTIASFSPATQQAPGFTLSTHSPSNILTSGLVSNTGLITSVPNYQITGMKDAEQRILSEQISGAIEQSMGQAKGAGVHAMDAIRHAEGIWSHLSTNSKGKLPAEVEQKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVFSSSVNSLQKHDTGDKVNNNLASLSSSTPTSSWKINDSIHPPGSIISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKTINTNNNLVTEQLEVPKDSLKSGRKRGGKAKHDHAIQHSEPSSSGKEMQLDGVQSGNMTEDVPTVAPLNGNRNDTAPNIIWNGIEKGSAVEVLADKGGSGVAWFSAKVLDISNHSAYISYDSRTEETGLREECVPLKQEGEKAPQIRLAHPATISRLKGTRKRRRDTSGNYSWAIGDHVDAWIGDSGDSLVVDAWNLRPSLVWKDGQWIVWSRGKTIDCVKGDSPHEKRRRTKGSVHAPTGGEAANTYTDKSTNAATKQDEPKPLSLSERDMVFNIGKSVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKVSEGNVSTRPVKHLVPHLPRPREGTSKVDQKGKRIGEMRSRGLKSTVSQDSATNIIPGKGSLSMSVPSSGVFESSYAFAGSATGSSNNMNLSVEKNSSAHSVGLRAEDTSVSELQMQAASTVPTTKKNLTTTDRAKRKHVPSMENSNRTTNKTSEIPGKNADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGKSSFFVLLNYV >LPERR10G14220.2 pep chromosome:Lperr_V1.4:10:14605899:14619838:-1 gene:LPERR10G14220 transcript:LPERR10G14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLLSSQNFQLAGEDNSKFPAGLRQFPLPKLDIDDQLHNHLRFDNLIDSEEFFSGQGHGNSWIEVLSAGSSVVDFSSTAAESCSINRKNNVWSEATSTESVEMLLKSVGENEMTENMDDNAHRQLSGMDSQTDPSNMQPKSSNSPSGNIIVPSENDKSQSTRSEIAEDPSRIQPQLKHITAFSMDEKTEQAVGSTLSDKKSNYTLESVSERCIVRGRSSSQKNTPESCPDVGSYFEAVHVDDSLDNLNIHTDGVDSRKLDNEPFSDLAPLQNIYATSSYHFEQDNQESGVPVTTQGTEICHVKENKDGLHGLQNFSCTSQHLVASDLTSEVSNAALLSESSDGLLEAITNPVKMLHKSDDTSKRASATLQPSFLQVEHAAEGIQDSIDRSNEPAIEKFGSGEEPNSAKSDRVEPDLKNSNPHLVVSLETKSGEFIQSPKGKQLDHVTEGSEDTKYDRVDDANLSTSDDIKHREQNEDSVDNPSGGVMEEKTVRGEISAVSMNTVHIAKSGHGEKGTVSTSATNDKFDSLDNVVPGISSACLPVERDPSISSVNHEMALKEGDVSALEHDPATQHFAPPNSGHQEKKSASINISKSIIDSVAVSETLSTTKDRSDCSEGVVSNDSSALLPDEKISAMNHEVPLKDDGKSTIKVGEHNTTPPVSEPFRKGSTGSVNPNIDAICGSGTDAVAEIPQCEEHATSSGSLITNETKDTLGDHPDANPQKVQTTKTLMQSERHEDIVAPSSALGVSPEKAEQNNGKISLNGMDDLGVHIQENKTDLEHRTGDGSCTDATCGSPTVISCNKPCPEEDGQGNNALLHHKQTELPMDPKDNTAETDNSSGSKESSRNVKPTLTSEETRTAEDKSFSFEVGTPLNISKKAHAPAWSPLPRSEVAQSPEATSVIPKPGNPSKNSSSKSKESAIVETGKEHLSGRKVVGSAEGSAINSHAGHSTKAKSTPLKEEQQHPTPQASALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAEPYMVSAFGESVSGGGKPAWEAILRAASERYNSQRSSLSGLETPTSSLIGSRVAEKGSKGTAVKTEPASKKGGKIVSSSHTTVPHHLPTFNMSPLGNSTLSLQRGSHLDFSQAVSPVFPYSSQMRQPTSSTATWFPQSPGPRTAPWLVQPQNLIFDSSMQSAVPTTVNETTKGASSKNISISQAVSPVVPSTVSPLKVIPEEKQNASASTSKRRATAQKSRKRKKAPASPEQQPTIASFSPATQQAPGFTLSTHSPSNILTSGLVSNTGLITSVPNYQITGMKDAEQRILSEQISGAIEQSMGQAKGAGVHAMDAIRHAEGIWSHLSTNSKGKLPAEVEQKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVFSSSVNSLQKHDTGDKVNNNLASLSSSTPTSSWKINDSIHPPGSIISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKTINTNNNLVTEQLEVPKDSLKSGRKRGGKAKHDHAIQHSEPSSSGKEMQLDGVQSGNMTEDVPTVAPLNGNRNDTAPNIIWNGIEKGSAVEVLADKGGSGVAWFSAKVLDISNHSAYISYDSRTEETGLREECVPLKQEGEKAPQIRLAHPATISRLKGTRKRRRDTSGNYSWAIGDHVDAWIGDSGDSLVVDAWNLRPSLVWKDGQWIVWSRGKTIDCVKGDSPHEKRRRTKGSVHAPTGGEAANTYTDKSTNAATKQDEPKPLSLSERDMVFNIGKSVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKVSEGNVSTRPVKHLVPHLPRPREGTSKVDQKGKRIGEMRSRGLKSTVSQDSATNIIPGKGSLSMSVPSSGVFESSYAFAGSATGSSNNMNLSVEKNSSAHSVGLRAEDTSVSELQMQAASTVPTTKKNLTTTDRAKRKHVPSMENSNRTTNKTSEIPGKNADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGKSSFFVLLNYV >LPERR10G14220.3 pep chromosome:Lperr_V1.4:10:14605899:14619838:-1 gene:LPERR10G14220 transcript:LPERR10G14220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLLSSQNFQLAGEDNSKFPAGLRQFPLPKLDIDDQLHNHLRFDNLIDSEEFFSGQGHGNSWIEVLSAGSSVVDFSSTAAESCSINRKNNVWSEATSTESVEMLLKSVGENEMTENMDDNAHRQLSGMDSQTDPSNMQPKSSNSPSGNIIVPSENDKSQSTRSEIAEDPSRIQPQLKHITAFSMDEKTEQAVGSTLSDKKSNYTLESVSERCIVRGRSSSQKNTPESCPDVGSYFEAVHVDDSLDNLNIHTDGVDSRKLDNEPFSDLAPLQNIYATSSYHFEQDNQESGVPVTTQGTEICHVKENKDGLHGLQNFSCTSQHLVASDLTSEVSNAALLSESSDGLLEAITNPVKMLHKSDDTSKRASATLQPSFLQVEHAAEGIQDSIDRSNEPAIEKFGSGEEPNSAKSDRVEPDLKNSNPHLVVSLETKSGEFIQSPKGKQLDHVTEGSEDTKYDRVDDANLSTSDDIKHREQNEDSVDNPSGGVMEEKTVRGEISAVSMNTVHIAKSGHGEKGTVSTSATNDKFDSLDNVVPGISSACLPVERDPSISSVNHEMALKEGDVSALEHDPATQHFAPPNSGHQEKKSASINISKSIIDSVAVSETLSTTKDRSDCSEGVVSNDSSALLPDEKISAMNHEVPLKDDGKSTIKVGEHNTTPPVSEPFRKGSTGSVNPNIDAICGSGTDAVAEIPQCEEHATSSGSLITNETKDTLGDHPDANPQKVQTTKTLMQSERHEDIVAPSSALGVSPEKAEQNNGKISLNGMDDLGVHIQDKVLSHGADHTIGTVSSENKTDLEHRTGDGSCTDATCGSPTVISCNKPCPEEDGQGNNALLHHKQTELPMDPKDNTAETDNSSGSKESSRNVKPTLTSEETRTAEDKSFSFEVGTPLNISKKAHAPAWSPLPRSEVAQSPEATSVIPKPGNPSKNSSSKSKESAIVETGKEHLSGRKVVGSAEGSAINSHAGHSTKAKSTPLKEEQQHPTPQASALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAEPYMVSAFGESVSGGGKPAWEAILRAASERYNSQRSSLSGLETPTSSLIGSRVAEKGSKGTAVKTEPASKKGGKIVSSSHTTVPHHLPTFNMSPLGNSTLSLQRGSHLDFSQAVSPVFPYSSQMRQPTSSTATWFPQSPGPRTAPWLVQPQNLIFDSSMQSAVPTTVNETTKGASSKNISISQAVSPVVPSTVSPLKVIPEEKQNASASTSKRRATAQKSRKRKKAPASPEQQPTIASFSPATQQAPGFTLSTHSPSNILTSGLVSNTGLITSVPNYQITGMKDAEQRILSEQISGAIEQSMGQAKGAGVHAMDAIRHAEGIWSHLSTNSKGKLPAEVEQKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVFSSSVNSLQKHDTGDKVNNNLASLSSSTPTSSWKINDSIHPPGSIISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKTINTNNNLVTEQLEVPKDSLKSGRKRGGKAKHDHAIQHSEPSSSGKEMQLDGVQSGNMTEDVPTVAPLNGNRNDTAPNIIWNGIEKGSAVEVLADKGGSGVAWFSAKVLDISNHSAYISYDSRTEETGLREECVPLKQEGEKAPQIRLAHPATISRLKGTRKRRRDTSGNYSWAIGDHVDAWIGDSGDSLVVDAWNLRPSLVWKDGQWIVWSRGKTIDCVKGDSPHEKRRRTKGSVHAPTGGEAANTYTDKSTNAATKQDEPKPLSLSERDMVFNIGKSVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKVSEGNVSTRPVKHLVPHLPRPREGTSKVDQKGKRIGEMRSRGLKSTVSQDSATNIIPGKGSLSMSVPSSGVFESSYAFAGSATGSSNNMNLSVEKNSSAHSVGLRAEDTSVSELQMQAASTVPTTKKNLTTTDRAKRKHVPSMENSNRTTNKTSEIPGKNADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGKSSFFVLLNYV >LPERR10G14230.1 pep chromosome:Lperr_V1.4:10:14621909:14623202:1 gene:LPERR10G14230 transcript:LPERR10G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPNFTTIRIQRFRRVACRPWSGRKRSGTWHCKGATRRPAPPSSGRRRRRRSAGWRPGWRGRRRCREPRRGARRRRRGRPHGRSARRDAAGTPSSTSSPPRSPAGTHASLAPAPP >LPERR10G14240.1 pep chromosome:Lperr_V1.4:10:14622396:14626266:-1 gene:LPERR10G14240 transcript:LPERR10G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAMESAAQEAKKTAAAAAAVAGGGAQEPRGNGNDGGGVHAFPRHRRSKSASSDRSLEPCKHGALHDQRCTQANAAPTSHHPLESTTRNSHAVEGLTRDHSRASASPNHRVSLENDQIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSVSGASSGQSSGISSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRTKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTESPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNIMEELERAKREFLQASVVVRKSKKVFLPRLAERYAREAGLAGADELLAWARDNADARATQDAIQRCVDGGGGRRKAAQAVEWLPYNARFRYAFSRTMVDKPLF >LPERR10G14250.1 pep chromosome:Lperr_V1.4:10:14629895:14632215:1 gene:LPERR10G14250 transcript:LPERR10G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNILSPSWRRGAYALHEGNRGGAIHTCWSRFHSGQMLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTFTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >LPERR10G14260.1 pep chromosome:Lperr_V1.4:10:14636752:14639984:1 gene:LPERR10G14260 transcript:LPERR10G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIPDTFVIEMDEEEAVAAIPPPQTPLEPMEYLSRSWSVSASEISKILFSGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHMDTRRNSISSHHQLIGKWFQHKETSRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATSSDIQTSKMAAAMASATELLASHCVEIAQQAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATIKQRVQRDMRNNASVLPYDKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYNELPIWVEPGRQFTEETCCFGLSTAQGLVEFECENSTSKQKWVDDVKNLLRQVSADVEVENKLGSAKLS >LPERR10G14270.1 pep chromosome:Lperr_V1.4:10:14641981:14643003:1 gene:LPERR10G14270 transcript:LPERR10G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAKDGDATVAALTRSFPTVMVETLLTEYVGFDAVIGRKVKSSQRGGYFSGVMVNDDDVINSTKKNAPRPLIFHDGRLAFTPTPWAALAMYTYLPFAVLLSLLRIAIFTLLPRKISSAAAALAGVRLRVTGTAPPPPLAAGNGGRLYASNHRTLLDAVAISSALGRPVSTVTYSLGRLSEILSPIPLLRLTRDREEDRRRMASLLTKGDDVVVCPEGTTCREPYLLRFSPLFAELADEVTPVAVHADAGMFYATSTSPLAKCFDSVFFLMNPSPGYSVSFLEPVAAAGGDGGGGSIEVANRVQRVIADELGYEATALTRKAKYLLLAGNEGVVEAKS >LPERR10G14280.1 pep chromosome:Lperr_V1.4:10:14643460:14646371:-1 gene:LPERR10G14280 transcript:LPERR10G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGHPSRYVKLTKDQEHAAADGDIRPGELNQPVAVPQLERRRCGECGQELPESYQAPADEPWTTGICGCAEDAESCWTGLFCPCVLFGRNVEALRENIPWTTPCTCHAVCVEGGIALAILTLIFPGIDPNTAVLIGEGLMFSWWLFATYTGIFRQQLQRKYHLKNSPCDPCLVHCCLHWCANCQEHRERKGRLADNNTDPITVVNPPPVQEMSMARNPTITQENGAATAAVAEHDNVEDIPL >LPERR10G14290.1 pep chromosome:Lperr_V1.4:10:14647881:14650359:-1 gene:LPERR10G14290 transcript:LPERR10G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASGAACGVCGGVGECGCLLMQRHGGGVGVGGGGGGGIRCWIAADLNRGFPLAMFQGEEEMTTAVVEEDVHGEAAAAGGGLQEFQFFGHDVDHDSVAWLFNDPAPPGGTDHQLNHGRSSPAAAAAVAAQRQVFDAYAQSYQPGHGLTFDMSFCGSTFTDAVSSVTKDAAAALVANGGDTAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVSDGEEAGATPPPSVAGGRLDLGWFRS >LPERR10G14300.1 pep chromosome:Lperr_V1.4:10:14657335:14660780:-1 gene:LPERR10G14300 transcript:LPERR10G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLNIGKTEGIKSIPSYFNAGNKGEEEEEDIPDMDTYEDTGNYSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKKAKDSVGRPTKADHGANNHSLWKDLYMQDVCIHVCFIRLESNCKLCTNLRLKPFVLKIIEHARLHTSAGLYCEVWLHGLSV >LPERR10G14310.1 pep chromosome:Lperr_V1.4:10:14662619:14674951:1 gene:LPERR10G14310 transcript:LPERR10G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETDAASLREAILGASRPAAAARAVSSVSEFLRRHGAAGDNHPRAFFADALPALLFRVFVASPDSPSFIDLAAADPALAELLASLLSPSGPLLAAVSAADRHGLLRFVFPPERLPDWLRLALSSDAVSSSDEVISPLLAGRVGSELHLSVFEYYLFWFAYYPISVATAMATATAAARARKALPSISEPALKSIGRIESWMSTLASSASRNLGQKPESSLYLKLLYSYLKEFVPNSCVPPRNSGGTLLHRAVSDGMDAAESFRRAEFFVHTLIQFWLIGDDFSPLPVQIYLAYGLPLSPKVHTNATLVERPPAPGVGDAVKLFVMYMNKINACVDIDVPNVLEGISSFKETCNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWMAYMEPWKAQKEDLDGYDLAPPGGRNVQRVTEGKRQMCEAVYTPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLAASTELLGLIYNVDAAYHSRILGSSSCYLDHVLKYVPSIREQLQDWEDGLSESDADGSFLHERRNSDLRLFSIDEEGAYNLLQLLLLRAESEIQRLPGDAVQSFQTLDLIRSKMKKIFRDHIESSQPMNLPAREYNQHHGRGEVFTPKHPGPWKHSLANVNWMTRPISDSEVAWLARLLIRFSAWLNETLRLDRDDSDTTSTGPTNVNFDGNELSSVGGPKDAARMVFVGACSLLVLVGQSILHFMRAHRIRINLRILASKKFLTAVMLYGLFTVARNVLS >LPERR10G14310.2 pep chromosome:Lperr_V1.4:10:14662619:14674951:1 gene:LPERR10G14310 transcript:LPERR10G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETDAASLREAILGASRPAAAARAVSSVSEFLRRHGAAGDNHPRAFFADALPALLFRVFVASPDSPSFIDLAAADPALAELLASLLSPSGPLLAAVSAADRHGLLRFVFPPERLPDWLRLALSSDAVSSSDEVISPLLAGRVGSELHLSVFEYYLFWFAYYPISVATAMATATAAARARKALPSISEPALKSIGRIESWMSTLASSASRNLGQKPESSLYLKLLYSYLKEFVPNSCVPPRNSGGTLLHRAVSDGMDAAESFRRAEFFVHTLIQFWLIGDDFSPLPVQIYLAYGLPLSPKVHTNATLVERPPAPGVGDAVKLFVMYMNKINACVDIDVPNVLEGISSFKETCNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWMAYMEPWKAQKEDLDGYDLAPPGGRNVQRVTEGKRQMCEAVYTPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLAASTELLGLIYNVDAAYHSRILGSSSCYLDHVLKYVPSIREQLQDWEDGLSESDADGSFLHERRNSDLRLFSIDEEGAYNLLQLLLLRAESEIQRLPGDAVQSFQTLDLIRSKMKKIFRDHIESSQPMNLPAREYNQHHGRGEVFTPKHPGPWKHSLANVNWMTRPISDSEVAWLARLLIRFSAWLNETLRLDRDDSDTTSTGPTNVNFDGNELSSVGGPKDAARMVFVGACSLLVLVGQSILHFMRAHRIRINLRILASKKFLTAVMLYGLFTVARNVLS >LPERR10G14320.1 pep chromosome:Lperr_V1.4:10:14677732:14678670:-1 gene:LPERR10G14320 transcript:LPERR10G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHELQETSSSSSSSATSTSSSCSSAVTDASSSPSPARLSNAAVAGAGGGGKRKKKLEEETKKKRKRSSEGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGRAAHLNFPHLAAVLPRAASADPKDVQAAASLAASFSTSPQDAGDAADEHLAGAAFRASPTSPFSSEDVAHADEHLADAAFRASPSSSEADGVAPCDGHADEHLAGAAKNDENDCAATPSSAGGDGDGEAAAEEAEQQLFDLPDLLFDIHDVAAFGFPAMWAPLADEVNAELRLEEPLLWDLGVADA >LPERR10G14330.1 pep chromosome:Lperr_V1.4:10:14683242:14687485:1 gene:LPERR10G14330 transcript:LPERR10G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPGPGPWDRAAVEIRLDRATLNEWLAEGSEASGQEEEVGEKLILFSGNDYMGLSSHPAIRGAAMKAAKEYGMGPRGSALICGYTTYHKLVEESLAALKKKEDCLLCPTGFSANMAVMTALGNISSLLAVGRKPAENERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMSHLDHLFLFSMDGDFSPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSREEKWRRSVVWRHVQYFASLTKLNITSPIISIVVGSEEAALRAGRHMLRSGFHATPIRPPTVANNSQCFSFFRRHHKAGGCTEAVAP >LPERR10G14330.2 pep chromosome:Lperr_V1.4:10:14682951:14687485:1 gene:LPERR10G14330 transcript:LPERR10G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPGPGPWDRAAVEIRLDRATLNEWLAEGSEASGQEEEVGEKLILFSGNDYMGLSSHPAIRGAAMKAAKEYGMGPRGSALICGYTTYHKLVEESLAALKKKEDCLLCPTGFSANMAVMTALGNISSLLAVGRKPAENERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMSHLDHLFLFSMDGDFSPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSREEKWRRSVVWRHVQYFASLTKLNITSPIISIVVGSEEAALRAGRHMLRSGFHATPIRPPTVANNSQCFSFFRRHHKAGGCTEAVAP >LPERR10G14330.3 pep chromosome:Lperr_V1.4:10:14683242:14687485:1 gene:LPERR10G14330 transcript:LPERR10G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPGPGPWDRAAVEIRLDRATLNEWLAEGSEASGQEEEVGEKLILFSGNDYMGLSSHPAIRGAAMKAAKEYGMGPRGSALICGYTTYHKLVEESLAALKKKEDCLLCPTGFSANMAVMTALGNISSLLAVGRKPAENERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMSHLDHLFLFSMDGDFSPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGAALYVSREEKWRRSVVWRHVQYFASLTKLNITSPIISIVVGSEEAALRAGRHMLRSGFHATPIRPPTVANNSQCFSFFRRHHKAGGCTEAVAP >LPERR10G14330.4 pep chromosome:Lperr_V1.4:10:14682951:14687485:1 gene:LPERR10G14330 transcript:LPERR10G14330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPGPGPWDRAAVEIRLDRATLNEWLAEGSEASGQEEEVGEKLILFSGNDYMGLSSHPAIRGAAMKAAKEYGMGPRGSALICGYTTYHKLVEESLAALKKKEDCLLCPTGFSANMAVMTALGNISSLLAVGRKPAENERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMSHLDHLFLFSMDGDFSPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGAALYVSREEKWRRSVVWRHVQYFASLTKLNITSPIISIVVGSEEAALRAGRHMLRSGFHATPIRPPTVANNSQCFSFFRRHHKAGGCTEAVAP >LPERR10G14340.1 pep chromosome:Lperr_V1.4:10:14689119:14690194:-1 gene:LPERR10G14340 transcript:LPERR10G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSLREFHRMDREFFRRLVRELGQEPGPMRWVMALWLWLESAGHHEFTRRVAAMPGSVVLRFVDEALACLARLPRRRAGAGEAAARRLDALAADPALQLLPCTNALLVEPIEGIAYFDAHRDEIMEGVSSVYRNVCRVVFTDDDTNANEAAAFAAAFLPRCIRDELDLDGPMLLQQPTQMYGHFSPPTPAPAPPPPMLNPMASPWFPAPSHHQNQQHQIQNYSPLPEDYRSLFITFSRGYPIRQEDIIHFFNSLFGPCVESVLVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKFMINGRHLWARIYVPSSK >LPERR10G14350.1 pep chromosome:Lperr_V1.4:10:14692868:14698289:1 gene:LPERR10G14350 transcript:LPERR10G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGDTAPAPAAAMADIFMEISNSKTASMAVGVVPAASAATVQSDAAKFIFFCWDEGEGHKSPQAWRPREVEIGEPKEGEIRIKNKSIGVNYVDIYYRTGLHHEHLPFVPGKEAVGVVSAVGPGVTGIKVGDVVGYADTQMGTYTEEQIIPAILAIPIPPSVDHITAASVLLKGMTAYVLVRQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTQEKAAQATEDGCHHVIIYTKEDFVTRVAEITSGKGVHVVYDAVGKDTFKGSLECLMQRGCMISYGQSSGRPEPVPLSDLAPKSLFLGRPGMRHYTTTRDELLHAAGEVFAGIMAGVLHVRVNHVYPLHEAARAHAVLEARRTSGSVVLLPGS >LPERR10G14350.2 pep chromosome:Lperr_V1.4:10:14692868:14698289:1 gene:LPERR10G14350 transcript:LPERR10G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGDTAPAPAAAMADIFMEISNSKTASMAVGVVPAASAATVQSDAAKFIFFCWDEGEGHKSPQAWRPREVEIGEPKEGEIRIKNKSIGVNYVDIYYRTGLHHEHLPFVPGKEAVGVVSAVGPGVTGIKVGDVVGYADTQMGTYTEEQIIPAILAIPIPPSVDHITAASVLLKGMTAYVLVRQAFKWANALGATVIGTVSTQEKAAQATEDGCHHVIIYTKEDFVTRVAEITSGKGVHVVYDAVGKDTFKGSLECLMQRGCMISYGQSSGRPEPVPLSDLAPKSLFLGRPGMRHYTTTRDELLHAAGEVFAGIMAGVLHVRVNHVYPLHEAARAHAVLEARRTSGSVVLLPGS >LPERR10G14360.1 pep chromosome:Lperr_V1.4:10:14694672:14698478:-1 gene:LPERR10G14360 transcript:LPERR10G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNANVAQDGLVDCGPISWEYASNTWLAGGPWEGAAFCLPIQNRFQIRSDPIRLAGDGDGGAGEMDFPASRGRWRKRSARSHVPLLVAVLVLLVPASLLLSSAYSSLLRSILPFSGSAAAGRGGGGDGRMCGRSTEIEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVSDPADLRAAVWDHSIQLLRERRYVSMGDIIDLSPIKDVVSTIDFRVFVSLWCGVDMRKACFSGLCFAVTGGGSLSGDYDRCRSMLSGLVGSENECVYPVQDDCRTTVWTFQANNDGALDSFQPDEDLKRRKKIAYVRRRKDMYKALGPGSEADGASLLAFGTLFSGPYKGSESYFDIHESPKDRRLQTVLEKVEFLPFAPEIISAGKEFAKNKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVEMEMKKNKGSSPINMFIMTDLPPTNWSKTYLADIAKDGRYKLHTLKESDELVVQTAERLMAAEHGVRSGFVPRNIENTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNCFWMSYRKAADSLFLLSCWLIWKERNARVFDQQSRTAEQLFQEIKKEIMVWKSASLLTSDE >LPERR10G14370.1 pep chromosome:Lperr_V1.4:10:14698667:14706397:1 gene:LPERR10G14370 transcript:LPERR10G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTPNPIPLLFSNKFTRPTHSLSLASTPAGGGNWKGIEEEESMAIGAFVESGGGGYGGRVTPFVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPGVYRKKQDSNTSHYCQFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLMNRILLGVGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAALPAAFLTIGAIFLPETPSFIIERDGDTYKARILLQRLRGTTSVQKELDDLVAAGDLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVTRLCATSANIIAMIVVDRFGRRKLFLVGGIQMIFAQLAVGAILAAEFKDYGLMDKEYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVIFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEQEEKQAGKIALPSM >LPERR10G14380.1 pep chromosome:Lperr_V1.4:10:14703383:14706131:-1 gene:LPERR10G14380 transcript:LPERR10G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSIRKSASMGNLSLLSAGSTSGGASPADGPPDGAADGGGGYASDDFVQGSSSASRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQANMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEEVDSMESDTSAIAESSSASAIMPENLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPAQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >LPERR10G14390.1 pep chromosome:Lperr_V1.4:10:14712376:14717422:1 gene:LPERR10G14390 transcript:LPERR10G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDEVENHDPTVTVGVTVSSSKSSKYAVKWALENFCAKEMVKFMLIHVLQKVTTVPTPMGNYIPIDKVRSDIASAYEKEVECKARTMLLFYKNMCDEKAQAEVLVVKGEDVAETISNVVLTYEIHKLVVGVSSQGNFVRKSKGTRTSSQICKSVPSICAVYVVSKGGLSAVYSPGSGHKSSELLLSNDSSKSEIHSDDKPSLSDATPLRSSRSNLSWENLDSLSSADHDRPRSLHEYLTESTSTSAGDNNRSSTPCVSGQTPRPSNVLISDKATMASSPLQELMHSEDLDDVNSELEKLRLELRHIKGVCKIVQDESINASQHVIDLAAKRTEEEARLKEVHSRINRVNEEARQEKEQRDTLEAQCRHVRDLARKEALQKQILQLRASKEADKMQRLEKLLESDGMSYSTFTWGEIESATSSFSEALKIGSGSNGTVYKGNLHQISVAIKVLTSDDSHRIKHFKQELEILGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQCKGGTAPLPWYQRLRIAWEITLALVYLHSSKPKPIIHRDLKPANILLDSKFTSKIGDVGLSTLLPLGDALSATRTIYKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTAKPPMGLADLVEQAVENGNLVDMLDKRAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLNDFASAVSDPVQPMISGPPSHFLCPILKRVMQDPCIASDGYSYDWVAIEMWLCENDVSPITKSRLPNKDLVPNHALLCAITSWKAEARS >LPERR10G14400.1 pep chromosome:Lperr_V1.4:10:14723257:14723689:1 gene:LPERR10G14400 transcript:LPERR10G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARLHEAAREVGVVRPYDSLASTSLGGLSSQVDALAEGIKGVPEEVDEVAKDSSYDLARQVATVILASYQAHDPNFDHDFPAGTEESTQRRVADVVDSIMVGFDGTPAAFQIAYQDDPSDEGDAEDAPSDPPAA >LPERR10G14410.1 pep chromosome:Lperr_V1.4:10:14729648:14731824:1 gene:LPERR10G14410 transcript:LPERR10G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVHGRRDDQHHLGLGLGLSLSLSLGAAANDQPAAAAPRHVAAAAHVSPSPFFSDRRSTAACHDEMIAPLPFLRGIDVNRTPAAAATARGASCSEEEEETGASSPNSTLSSLSGKRGAPAGSSRATAAGAGSDDEDSGGGGGGSRKKLRLSKDQAAVLEETFKEHNTLNPKQKAALARDLKLKPRQVEVWFQNRRARTKLKQTEGAD >LPERR10G14420.1 pep chromosome:Lperr_V1.4:10:14736888:14739933:-1 gene:LPERR10G14420 transcript:LPERR10G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDSGGGGGGDGGAAAAVEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPSCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAFEHVRLRRPRVLLASAQRQAVEEFYQLRVKKSGKSSCLDIPIIRPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGMWEISLVYSVQFASQAAFAGFSYLWVRCRASKSKGALPAPENNNVGSDSCSLEAEQLAKAHPCLLQGVMVNP >LPERR10G14430.1 pep chromosome:Lperr_V1.4:10:14742039:14743519:-1 gene:LPERR10G14430 transcript:LPERR10G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASLLQSFPFRAAVFAACVLLIPLLPSPQQPPAAAAAGGDGGGRRGEAFVAKVWELLHLLVVGIAVSYGLFSSRNDAGGRRGDEKDVAAAAKGDNVGYVSQMIHDSLVFDDGGGDVALDTPRAGGEVVRSSWSAIRRPDEPVVVVATAAGAAGDVGGGGLPLLVVVRRCLSPSPQPEFGGDGGEARRRRSSHDMAGDGNETVLRSPIPWRSRSGRLDASPSPTPKRLSPASSLTNETLAKASEDYSRRRISPYKQSSPPAPPPPPPPPPFLIHGYHPPAAADRRTPAARSFKEELHDLSTRGRGFDDYSSVAKPRISIDGSSSSSSTSTYYPIGKSVRTIRGGGGRESSQIQSQEQPDVTVAGAIAGDAAVNLHGSDSDDPYGGYRAYQSIPKFQYERGSSDPILGNVTVSSESSDDDDDVDDDAGGEVSTMGSSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >LPERR10G14440.1 pep chromosome:Lperr_V1.4:10:14751647:14753247:-1 gene:LPERR10G14440 transcript:LPERR10G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQEEELKSPPVMFRLFGVDVRGGGGVDEEEYEEEEDGFPIKKSSSMPNLNSIDPPPADGGGKRRASDDSELASGQLKRRRRKVQERKKGIPWTEEEHKKFLDGLRQLGKGDWRGISKNFVTTRTATQVASHAQKYFLRQVNPGKKKRRASLFDVVAEYDDDQLPSPQSVGTKPAPTQEIIHTDRGDVLIPSYPVARDYSGNSVQVDEHTEYVNRSKAAEEMSLSMISGLEMASSSISSLELSIAPPYGAIGAIKVL >LPERR10G14450.1 pep chromosome:Lperr_V1.4:10:14757242:14758822:1 gene:LPERR10G14450 transcript:LPERR10G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAAITATPRQTRPSPLNPNARSAASPATNATVSTTTTTRTHLSNLDRLLIRPPPLPLPLHNKRPPPPPPADDLAAADDDRSSRGGRLLNALNLSTLLPFTRKPAVDEMSPRSLAHLQRLLTLSPRQSPKCSIAGEWRRFHGDGGWDGLLDPLDQNLRREILRYGDLVQAAYATFHSMPAPEQRALVLPDRSYRATRCLFATSSLSIPTWMANRRSSATAWLTQRSSFVGYVAVCDDENEIRRMGRRDIVVVLRGTATCPEWAENLRAGLVPVDDDDDDVASSSSPATAKVAKGFLSLYKTAGDHVASLSESIVDEVKRLVDLYKGEEMSITVVGHSLGASLALLAADELAAAELRRAIPIAVVSFGGPKTGNRAFADRLERVRGVNVLRVVNAGDVVTRVPAAAAAPAMMIGGGGGGGHVHVGAELRVDSRDSPCLRADAGPACCHDLEAYLHLLDGFAGAGKPFRADASRSVARLLTYQRPSVRRAYVERARVLGFEPPATPRNGAGGEGQYGYLASPT >LPERR10G14460.1 pep chromosome:Lperr_V1.4:10:14764466:14766297:-1 gene:LPERR10G14460 transcript:LPERR10G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGFGLVAAVGSRGLAACLRGEPTLEVQRRRPPLPTTTAINRTACNGDGGLRHRPPPSSASLLHRCSLSPVAALAFSVLATIPEIPSSPSPADDQENELDDRPIGKVYLTKSICHGKL >LPERR10G14470.1 pep chromosome:Lperr_V1.4:10:14769643:14772452:1 gene:LPERR10G14470 transcript:LPERR10G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHYHTRSRSQLPIAAANQDFQFQFELLPKVFHFQMDGGGGGGGVQSEKKKKKLPEKKVQVLAQMVDQVRLSIASSEDEEGNAPPPRSSFSGASHPPEPVDDIDTVFVAVDGRDKAKPATNSKPVIVWDASPPASGAASPHSSIDSSGAAATVTSIAPSAKTSVSSSSAASDWTTTTNLTAGAGAGGKPHKGGDLRWKAIMSARATSGPLAMGNFRLLRRLGCGDIGTVYLSELNISCNGGNGGAAARAWFAMKVMDKASLESRRKLSRATTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSSSSPTSDPKRSSNSQSCATQPGAAACIQPTTCFLPKLFKKPKNNRQFSSSSASALPEVVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTLGIFLHELMYGRTPFKGNTNRATLFNVVGQQLRFPESSSPATSDAARDLIRGLLAKEPAARLGVKRGAAEIKQHPFFAGVNWALIRCSTPPGVPRAVVEPVPAPAPAKSPPVKTVEMMRNGNDNGNSKRMTGPPPEVESGGKYLDFEFF >LPERR10G14480.1 pep chromosome:Lperr_V1.4:10:14773219:14773833:-1 gene:LPERR10G14480 transcript:LPERR10G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINRVEDDDVILEVEMLRVEVEMERRMRREAEAVGEAMAAELEEERRRRVEAEAEAAEMRAEVGRAMEELDDERRMLRVAEIWREERVRIKLADAMAAMELHLQQQIQLLASSADDGNRSSKATASGQQQQVMLRREIAAAGGGGENPHIVRGIKGFVEFPRAVRLRRREERDQKVDDLVSNLECQRAQLRAFTRRRHGDPPC >LPERR10G14490.1 pep chromosome:Lperr_V1.4:10:14777495:14781252:-1 gene:LPERR10G14490 transcript:LPERR10G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKVEQEDTVRRCKERRRQMKEAVASRQHLASAHADYLRSLRLTAAALSRFAHGHPSLSVSHHTAPVLLSTAPPIPPPIPPPIPPSSTASSSLPPPTPHLPHPAAQAHQQQQQSHPAPVAVRVPPRGGGSGARRFKVPHILSDSSVGVASPAQSSFRKQAGMGVGTPSSSSAWDWENFYPPSPPDSEFFERRKADLEEANRLRELEDEEKARSSYLHHRNHRLKEEDELDDDEREEEEEDEMHCGGGGGGWEDDDEHYASTTTSETRSEEGELGNRSERGFAARSEYAAAAAVPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLSEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQIKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNTPQEAYTSLISRELTTFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAEAYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIALCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >LPERR10G14500.1 pep chromosome:Lperr_V1.4:10:14788786:14789400:1 gene:LPERR10G14500 transcript:LPERR10G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESFRFLDLIRSHLLDDSHHHLAPPRIRPAPVSLPPRPEVFDGYGEEEEDFRRYRGVRQRPWGKYAAEIRDPARKGARVWLGTYDTAVEAARAYDRAAFGLRGSKAILNFPNEVAAVAGRNWASPPGGGNKRGRSPEEEGEDCCVLRREVKKERMMTSPPPVKEEEEEAGDIWDELMGICSLPPLSPMSPHPHMAFPQLSVI >LPERR10G14510.1 pep chromosome:Lperr_V1.4:10:14791389:14797555:1 gene:LPERR10G14510 transcript:LPERR10G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMRGLAFAAAVLLLFVVASSPALVSVASAVPFIVLHGIGDQCENGGMASFTDLLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYSIVGLSQGNLIGRAVIEYCNDAPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMADYLKGCKFLPKLNNEIPSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKSLDEAGRVKFVSVPGGHLSISRNDMKKYIVPYLKPGGSSRQGIRRILSD >LPERR10G14520.1 pep chromosome:Lperr_V1.4:10:14800464:14807674:-1 gene:LPERR10G14520 transcript:LPERR10G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRLCKENGKGKDVVVADEEMAAASSSSAARGLGLGVVVAVAGGGECGGVDWTALPDDTVLQVFTRLNYRDRASMAAACSAWRALGSSPCLWSALDLRAHRCDAEVASSLSSRCGSLRRLRLRGHEAAAAAASGLRARGLREVVADGCRGLTDATLAVLAARHEALESLQIGPDPLERVSSDALRHVALCCSRLRRLRLSGLRDADADAIGALARYCPLLEDVAFLDCGSVDEDALAGIRSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICALNCKFVEEEQAHNPGAFSNSKGKLVLTITSNIFKSAVSLFHGKIVKENEVFNECNWKDNNKALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGTEMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEVVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGIAILTNLARSMNRLVAEEAAGGLWNLSVGEEHKSAIATSGGIKALCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNQGVRQEAAGALWNLSFDDRNREGIAAAGGVQALVSLAQECLSASVGLQERAAGALWGLSISEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSEGSSKSANVEGARRMALKHIQTFVLTFSDPQVFAAASTSSASAALSQIADSVFIQEAGNLRCSGAEIARFVAMLRNSASILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAATTASIEAKVFARIVLRNLEHHQIGTST >LPERR10G14530.1 pep chromosome:Lperr_V1.4:10:14809587:14814159:-1 gene:LPERR10G14530 transcript:LPERR10G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDGRHLAVAVDYRLVVRDVVSLNVVQLFSCVDKISLLDWAPDSEYILCGLYKRPMVQVWSLSQPDWTCKIDEGSAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMGVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRNPSNAAIFKEVDDPWQLDMSELCLSEGYSRNMQGNRAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPNCPRLVFCTETPHLYMWTPSGACCVNIPLPNFRVVDLKWNSEGNCLLLKDRDSFCCAALVSPLPEEEADQSDDSSEDE >LPERR10G14540.1 pep chromosome:Lperr_V1.4:10:14816419:14820340:1 gene:LPERR10G14540 transcript:LPERR10G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPRAFRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGAIPGLNQVMAATRGATDAFSGVTRHVNSALRKSGLKNIEAGIGCGVGIGHGFGIGIALKPQVIYGIQSTVGEIMSKVTSRLKDNPSLSSATNTMADSVPSNGQTPNGMPIDKAKSAKSNFHHTSNEISQVQPPHGFHSQHGMQPEITGSRTEKVVANFLQNPLFQNDTKMDIRDAAGNSHEMDNVLELLLKHQRMIDELRDENEKLRQMLIEELKVSPSKLQLGHKNGGKAYNPCSDCFECRRRSRKTTR >LPERR10G14550.1 pep chromosome:Lperr_V1.4:10:14820849:14826669:1 gene:LPERR10G14550 transcript:LPERR10G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQLVGQSSCASIATGEVHLEVMRELQDRLPTLNMFTAAPSSEFSTGSNVFPHVEISMPYLPPKIDQFIEGNDGSVLAGMGSALVPMEVTDIHGCINTFHASVDSEISRIREPEGADPLCNSRVEDSEELEKVSTLLTLAVGVVLAGGKKNGIEEDLNLVAERPSSPTNCSTKAADVIGTIDFISKDAKALEYCNPNAQYPQKILTCGQDSNAPVGDAYVAIHEKETEGISFQPNEGTETEPIGHEVICATMGSLCQPSPNTKVEHAALPVQATAYDCISNENLNNAAENRTNTHLNRGEPSQNEVAVRLSKKEQDKKIMKQRDKSKKKALPKEDKDQVAAKVEKGHAEPKPLPNFPNFEIEEEEGSGGYGTVYRARRKSDGKVFAIKCPHTNAHSHHVNNELKMLERFGGKNFVIKYECSFRSNDLECFVLEHVEHDRPENLKKEINVFDLRWYAFCLFKALASLHKQGIVHRDVKPGNFLFSRKRTKGYLIDFNLANDLHQKFFRNSKSEAISRGKETISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKIDNKGRHGTQTADVSGVTSGKDPTSTKTSLDRLKQPMLYKGRKELMNFLHDTMQSPDKNTPTAPVSQRKRIAAPFGNVDQKLFMLTPMPLRSGASAVAGSGMFNSKGHGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDIKSLNSVDLKKWCAANTRRPEFLKLIPDSLYNLVDKCLSVNPRCRITSEDALMHDFFDPCHEILQKHKERKRPTPCNNLPCLPQNTMVKANGSKRSSTVPSTVNSVS >LPERR10G14560.1 pep chromosome:Lperr_V1.4:10:14827055:14832012:1 gene:LPERR10G14560 transcript:LPERR10G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTSPASSSPLLLLSSRLHRRSPLLHHRRRFLSPTPTTTNSSFAPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDTPAPGNQFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPSYEDVCTGATYHNEVVRVQYDVSACKYDDLLGVFWARHDPTTPNRSGNDVGTQYRSGIYYYTPEQEKAARESMEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSASKGCNDPIRCYG >LPERR10G14570.1 pep chromosome:Lperr_V1.4:10:14830198:14831941:-1 gene:LPERR10G14570 transcript:LPERR10G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLRAMKLISVERSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWKSAQHSWIYEA >LPERR10G14580.1 pep chromosome:Lperr_V1.4:10:14833849:14834214:-1 gene:LPERR10G14580 transcript:LPERR10G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGLLVYGGLLRAFPNSSLLRRLLDRRLVAAVFVALVLADIAAAGAVANLLAALAVGVPVIVLHASFRLRDDLEAASPTTEGGGGEEETAAVVEKKEDGDVEAGPTRRSMATAPRSPR >LPERR10G14590.1 pep chromosome:Lperr_V1.4:10:14838727:14839493:1 gene:LPERR10G14590 transcript:LPERR10G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEMVETAAAAAVPRVVAILSSLLQRVAERNDVAAAAAAKGEKPAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDMYANQIKPILYEILDKVE >LPERR10G14600.1 pep chromosome:Lperr_V1.4:10:14844726:14849934:1 gene:LPERR10G14600 transcript:LPERR10G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAAEFGEADSPPAPAAAPAEETEAAPAAEAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLDGPVGATERIVLVSGKEDPGLEFPPAMDALMRVFKRVSGITDGAAEGTQAATTPGVCAARLLVPGAQAINLIGKQGASIKAIQESTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKINATVTQERSTDAWNDMAHPSIVSAQVNQPPSVVDEYILPIKRDPLYLEREPLVDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAYQLIQDSLAAHRDPVRTYAGLDPVYRPSYSQYGSSAYPSSSLPSYSSMDGGGYSSGLGGYGSSYRY >LPERR10G14600.2 pep chromosome:Lperr_V1.4:10:14844751:14849934:1 gene:LPERR10G14600 transcript:LPERR10G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAAEFGEADSPPAPAAAPAEETEAAPAAEAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLDGPVGATERIVLVSGKEDPGLEFPPAMDALMRVFKRVSGITDGAAEGTQAATTPGVCAARLLVPGAQAINLIGKQGASIKAIQESTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKINATVTQERSTDAWNDMAHPSIVSAQVNQPPSVVDEYILPIKRDPLYLEREPLVDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAYQLIQDSLAAHRDPVRTYAGLDPVYRPSYSQYGSSAYPSSSLPSYSSMDGGGYSSGLGGYGSSYRY >LPERR10G14600.3 pep chromosome:Lperr_V1.4:10:14849475:14850220:1 gene:LPERR10G14600 transcript:LPERR10G14600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLMSFFGILVPGVPANCIGDIADAPAAGKDDGGAATGGTTDDGTGAAGGIVAGAAAGAGVGSGGGGGRGAQERKGSADTCTINNHYEQAILTEGT >LPERR10G14610.1 pep chromosome:Lperr_V1.4:10:14848827:14850860:-1 gene:LPERR10G14610 transcript:LPERR10G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEERPAPDPNDAKQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPPPEPTPAPAAAPATMPPAAPVPSSVVPPVAAPPSSFPAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKIG >LPERR10G14620.1 pep chromosome:Lperr_V1.4:10:14852935:14855287:1 gene:LPERR10G14620 transcript:LPERR10G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPNPDAISSPDLPPLATPLAAAAAAAAAAASSGPSSAAGGGGGSGRRLPPPCWTHEETLALIEAYRDRWEALRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERNRAAGRSKPPKWPFFPLLHDLAGGGGPEPGSNPIIKIKSKGSGASAAAAAASPASLSPLSSESDEAEEGRSRSLHGLISNGGNGSGSGGGLRFTIPKASRSKAPPPPKLEKREEEEEEDEEDAEAEAMAEVASALRAVGDKFLRMEERRLEMALQIEKERMESEMKRTQTLLDAQQLFVEAFLSKQQQQHHHHHHKKAKVRVCVHFVFGGKTSNPRGMKRPPSFVMMCRFLRSCLALQL >LPERR10G14630.1 pep chromosome:Lperr_V1.4:10:14855519:14859465:-1 gene:LPERR10G14630 transcript:LPERR10G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKWNMRRRRRRGMVRAKSSSSEKGWEPGSWRARPASRQIPEYPDAAALGEAERALASFPPLVFAGEARKLEERLGDAAMGRTFLLQGGDCAESFREFGADNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDAFDEKSRTPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYVELSQRVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENMRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRCELRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIAERLRKKRDRAWNRLVYRAVA >LPERR10G14630.2 pep chromosome:Lperr_V1.4:10:14855179:14858903:-1 gene:LPERR10G14630 transcript:LPERR10G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTIAGTAIPTHHAADFHGARRRRRRRGMVRAKSSSSEKGWEPGSWRARPASRQIPEYPDAAALGEAERALASFPPLVFAGEARKLEERLGDAAMGRTFLLQGGDCAESFREFGADNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDAFDEKSRTPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYVELSQRVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENMRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRCELRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIAERLRKKRDRAWNSARQERRNRHIITNEGGRFMPRGLLVLPPNTK >LPERR10G14630.3 pep chromosome:Lperr_V1.4:10:14855519:14858903:-1 gene:LPERR10G14630 transcript:LPERR10G14630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTIAGTAIPTHHAADFHGARRRRRRRGMVRAKSSSSEKGWEPGSWRARPASRQIPEYPDAAALGEAERALASFPPLVFAGEARKLEERLGDAAMGRTFLLQGGDCAESFREFGADNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDAFDEKSRTPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYVELSQRVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENMRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRCELRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIAERLRKKRDRAWNRLVYRAVA >LPERR10G14640.1 pep chromosome:Lperr_V1.4:10:14859519:14861869:-1 gene:LPERR10G14640 transcript:LPERR10G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFNEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVADVWSCGVTLYVMLIGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHPWFLKNLPKEISEREKANYKDKDTGPASQAVEEIMRIIQEAKVPGDMTAAAADDPALLAELAALKSDDEEEAEELAADEYTY >LPERR10G14640.2 pep chromosome:Lperr_V1.4:10:14859519:14861869:-1 gene:LPERR10G14640 transcript:LPERR10G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFNEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKASYFSMFCKLQFFTVADVWSCGVTLYVMLIGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHPWFLKNLPKEISEREKANYKDKDTGPASQAVEEIMRIIQEAKVPGDMTAAAADDPALLAELAALKSDDEEEAEELAADEYTY >LPERR10G14650.1 pep chromosome:Lperr_V1.4:10:14864123:14873579:1 gene:LPERR10G14650 transcript:LPERR10G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKVKQMLIALLGESEMRLSDEIIETILDKTFSDADLNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEEFTLSVLLCMMAFNLHIKIMSSFLRCALLLPASREAEEAEVVRRGRGMSGAYRDRGFGGGAAAAAEMERKRIKDAFEKHLDRSSPSTSRGTAAAAAAKERDRLAAGGKLPASLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLWKSSRTMILEDALEYTVVANPVFQQGNQIFLGLAR >LPERR10G14650.2 pep chromosome:Lperr_V1.4:10:14864123:14873576:1 gene:LPERR10G14650 transcript:LPERR10G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKVKQMLIALLGESEMRLSDEIIETILDKTFSDADLNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEEFTLSVLLCMMAFNLHIKIMSSFLRCALLLPASREAEEAEVVRRGRGMSGAYRDRGFGGGAAAAAEMERKRIKDAFEKHLDRSSPSTSRGTAAAAAAKERDRLAAGGKLPASLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLWKSSRTMILEDALEYTVVANPVFQQGNQIFLGLAR >LPERR10G14660.1 pep chromosome:Lperr_V1.4:10:14875422:14879804:1 gene:LPERR10G14660 transcript:LPERR10G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHLLPVVAAASASAAPSPDAVAADEKSSPPPSDPNHLAPPPSLRIHIPSSPHHALPSTPHKRPVITTTATATTAPSSSSSAPSSRRRKVVAPSSAAPAAAAASAAARHLLRCLHLRLRLLLLISLPTLYFLSPSPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFPLPLLPLRRPRRSPILWSIGSSPSASTSAPTTGHFVQVYSNGDVYEGQFNRGRCTGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRIPNNGNDLPLSVV >LPERR10G14670.1 pep chromosome:Lperr_V1.4:10:14880325:14883712:1 gene:LPERR10G14670 transcript:LPERR10G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLINCSGCRTPLQLPHGAPCIRCAICGAVTHVAAAPPPGGDPARAAGAGAVAQYNQQQPGWGPPPPPAHGRKRAVICGISYKYSRHELKGCINDAKCMRHLLTTRFHFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEIDGMDETLCPLDFETQGMIVDDEINTALVRPLTHGVKLHALIDACHSGTALDLPFLCRMNRSGQYIWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSTIRSTGDSMGGGGGAVTSLITMLLTGGSVSSGGLKQDPQLTACEHFDVYAKPFSL >LPERR10G14680.1 pep chromosome:Lperr_V1.4:10:14884713:14887514:-1 gene:LPERR10G14680 transcript:LPERR10G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLSPAAHKPTTTAGDDSPPPCCVSIPSPPPSATRRLRLTRAAPVEHLEAAPESAILHGGGGGGGGHGRRKGTPVYVMLPLDTIGAGGKLGRGRAMAASLMALKSAGVEGVMVDVWWGVVEREGPRRYDWEGYAELVRMAEIAGVKLQMVMSFHQCGGNVGDSCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDNVPVLKGRTPIQVYSDFMRSFRDTFRDYLGNTIVEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQSYDKYMRASLQAAALAAGHEEWGRDGGPHDAGQYKQFPEETGFFRRDGTWNTDYGRFFLGWYSGMLLEHGDRVLAAAESVFRGTGAALSAKVAGIHWHYRTRSHAAELTAGYYNTRHRDGYAAIAAAVARRGAALNFTCMEMRDQQQPEHAGCSPELLVRQVRDAARSARATLAGENALERYDAAAFAQVVATAASARLAAFTYLRMNKNLFDGDNWRQFVAFVRDMANGGERGAALPSCDTEQSDLYVGFLDAAVKRAAPEAEAAAAVV >LPERR10G14690.1 pep chromosome:Lperr_V1.4:10:14892100:14922550:-1 gene:LPERR10G14690 transcript:LPERR10G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEIKLLESQQQMKSELNSSWLGSFISTVIGNIKLSISNIHIRYEDIESNPGHPFAAGLALSKLSAVTVDELGKETFATGGDLDRIKKSVELESLALYFDSDSSPWSVDKSWEDLLPSEWSQIFELRKQDSTNLPSKPHTYILRPISGKAKYTKIQLEEAKKTGQALQNAAVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLPVKSDPRSWWKYAYKVVVHETKKASGNLSWEQLLKNARLRKTYVSLYASSLKSDMSRLVVDDNEEIKKLDRELDMEVILQWRMLAHKFVEQSAETYQYAQQNKKQSWWSFGWTGSSKDEGDSKSFNDEDWERLNRIIGYKENNDYIPVQQDMKLMQFYFEIRMKHNASKLIIDSSEYLADLSCENFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAEYLKDSIDQIVAFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMSRVLKDQSRFSLNLDIAAPKITVPTKFCPDDLHETKLLLDLGNLILRTEEIWDSYDSEEQDMYLNFNLVLSDVSAFLVDGDYYWNETSSEVNLLPVIDKCGIALKLQQIQLENPLYPSTRMAVRVPSLGFHFSPARYHRLMEILKIFQDNDSEENSSDLAHLWDQADFEGWLVLDVSQILEDTGALIMLFDNEETRKIWQNRLQGAIYRASGSAAVSSFPEEVFPSESNSFKGSFPDVANTEKLFVAGILDELKICFSCGFESNHKLKNVLLAKESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYYYPGSPVPRYLARSFINSMQTKEAPTPSRKNSAGHKGAALKKNDSEERFFEASDDFDEFETPMVHERSISDYFSTQNFLPTSLPSLQPPAFSRIPGLMPDTELQSAGFTAEGITFDSFVKAQIVIYDQQSPQYNNLDNRVVISVATLTFFCHRPTVIAIMEFMNAINLSNVPDADKNKDTALDPIEDNMAEEPKSDLEAEPVIKRLLAKGKSRTVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIVSYFMGLVPKSSGGVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETNSSDFLELDVLYIKIQNKFQWIGGDKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFVIHRSLRDLMHQLPAVEAAIKCHWNIHFTSNVSQVEVLKAALSNREYEIISECALSNFSETPHTVPALDPQYGTSTSESHVSSSSSSESIQDLSQDVETWITNKISVSINLVELSLHSGSTRDSPMASMQASGAWLLYKSNTREESFLFATLKGFSVFDDREGTRDELRLAIGKSATVRDTSSADGYDNPNELNSGERRIQKDLGLEPTPSMLIFDAIMRKSSTSVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLQMTSPLVFSDQVYYQECSAFSLSSQKPLIVDNEKFDHYIYDGKGGKLYLRDRDGKILSGPSAERFIHVLCGKGLQFRNVTIVNGEYLDSCISLGSDCWYSASENDNVYLVRENEGLISTPSEESSEQVVKNTSANRSTEFIIEVQAIGPELTFYSTSRSAGENSALSTKVIHARTDAFCRLIMKGDSMEMSGNILGLKMESNGIRVIEPFDLSVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLVCSQFDKVATLQGSESDQVYSFWRPRAPSGYAIFGDFLTPMNDSPTKGVLALNTNIVRVKRPLSYKLIWRSGPTRTNGLQHSEKDMQNKPTNVDQFCSVWLPVAPVGYVALGCVASAGTTEPPLSSVFCLSSSLVSSCGLWDCIPLRDHSNMTFWRVDNAFGSFLPGDPAHMRVDGNAYDLRHMLFNNADSSKTSSIGQNSHSDASQIERSALTSGRLFEAVASFKLIWSNNGMSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRNSGDDAFLRAPEDYQLVGRIKKHRGTEGISFWFPQAPPGFVALGCVASKSSPMKEDLNLLRCIRSDMVKGGQFSEESVWDSSGARTSEPFSLWTVDNDAGTFLVRSEFRRPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGISFDSVGFSYHGGPHHLNATVGMSFAARSYNDKYISWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLKLNVSVSNTNMLSQAYASWNNISLGNELYRKEASSTSERSILDVHERRSYYVIPQNKLGQDIYIRTTEYRSPDVTLLSSGDDRSIKVPASTDLLDSHLKGRSVRLYRSMVTVIVANAEIKVGEGLATGEYMVAVRIYSEDCIASGVQQQSARTCAAAGEQSSQIIKKVEWNEMFFFKVESEDNYVLEFVVLDAGGGQPVGIYSTPLKQVVQKLPSTSGSNYAKFDLTLGDLAATKTVEHESVESSGKIRFAVLISGRANTQRGSRASQARSKGGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYISMRSLVSITNTTDFIVDLRLKGRYSRSAQSDGQGENSNKDDQISVGLLEPGSSVPVPLSGISNPVLVYMLQLRPTNHHEQVQYSWSDVQERRSQTEYRNEEILDICVSDLYESENLLFCVQTDGTSSTFEGLWFCLSIEAKEIGKDVHTNPIYDWSIIIKPPLSLTYYLPISAHYVLSTSHLDEEDTSCSQGTLNPGEVVKVQNVDPRNPLYLSLVPHGGWTSTHEPVPISHPTQVPSKFINLRSSLSERIVQIVLEQSSDKDYLMARAIRIYVPYWISFGRLPPINLQFVDISGRTEKRRFLTRPRSERSEKILYEIKHEELVEGYTVASGLNFKGLGLSASACRHGSGQFGVLKELSPLSDMDGAVDLSAYDDDGKCTHILLCSKPSSYQAVPTKVIYVRPYMTFTNRAGQDLYIKLSVGDEPKVLHANDWRVSFMYSEGGPEKLQVRLVDTDWCQPLDIVKEDTVVIAMRKQDGTQKFMKAEIRGYEEGSRFLIVFRLGPSDGPIRVENRTSSITINARQSGLGEDSWIQVKPLSTRKYSWDDPYGHTTFDFSIQKGDLTFFQCVDLENPDESSIGFREHELKLSIVETTDVKILKFSDYPRRQEGEYRSDLGDHQASPVVQNETDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLIIGHMQLDNQLPLSIMPVAFATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYSNLHFVSTNSNSTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHIPQRIRDPRAIHRDGIIREYDKVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQRVALVTNKRVILLQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYPRPSHVIIHLKNFRRSENFVRLIRCNVDEEHEPQALLLCSSIRKMWRSHQADVKVVPLKVPSGRHDVYFASDEDTRESHSFARPLLSPRGAVADDGRVFSIWRPLCPSGYVSTGDVAHVGTHPPHVASIYKNVGGNFALPLGYDLVWRNCADDYRSPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAYCVKERFAEDALYEEQIVWASSDAYPWGCYVYQVQSKSLQFIAIRQPKEESRLKPKKVSESYAQQALERS >LPERR10G14700.1 pep chromosome:Lperr_V1.4:10:14925697:14929151:1 gene:LPERR10G14700 transcript:LPERR10G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGFGGRGGRGDGGGRGGGGRGFGRGGDSGGRGGRFGGRGGRTPRGRGGPGRGGGGRGGMKGGSKVVVVQHKHAGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARIVALNASYFLKNGGHFVMSIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKIKGTS >LPERR10G14710.1 pep chromosome:Lperr_V1.4:10:14929773:14932727:1 gene:LPERR10G14710 transcript:LPERR10G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSGADQTSPRGNDWEVVQLTASTYASAPGPRMSDPSDEAEVKGYSTKGDDSAAAALLLSGHFSVSRNEVESLLRGDDSKERQKELCGQDAVSAECDDEKFQETCEDKLKDDLNRTASFDKGKSLSLVDMEFDDGKAFQGMGLVGEEPFGFSSSRYSPIDAKKDLILSVTESKSEKKTEEPPSHNVSPVIDPSKVVASSEQNKPDGSELPRDASWRKQLLSLYKNVRKSNKFWPIVVAATALVGITYFGRRWHKVKPQHQPIKLYPSSGNEKVNQAVGPLNRIKDILVAGNHPTPAIHANVRLS >LPERR10G14710.2 pep chromosome:Lperr_V1.4:10:14929773:14932727:1 gene:LPERR10G14710 transcript:LPERR10G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSGADQTSPRGNDWEVVQLTASTYASAPGPRMSDPSDEAEVKGYSTKGDDSAAAALLLSGHFSVSRNEVESLLRGDDSKERQKELCGQDAVSAECDDEKFQETCEDKLKDDLNRTASFDKGKSLSLVDMEFDDGKAFQGMGLVGEEPFGFSSSRYSPIDAKKDLILSVTESKSEKKTEEPPSHNVSPVIDPSKVVASSEQNKPDGSELPRDASWRKQLLSLYKNVRKSNKFWPIVVAATALVGITYFGRRWHKVKPQHQPIKLYPSSGNEKVNQAVGPLNRIKDILVAGNHPTPAIHANVRLS >LPERR10G14720.1 pep chromosome:Lperr_V1.4:10:14937732:14939174:-1 gene:LPERR10G14720 transcript:LPERR10G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARGSECVDDQFARSRFPQLSSPPPTQSNPSHTWRALRFASILPPFFPPLPMAVQAHYCNHHHQHHQQPPLFLARGVVSPETAMEFPQMTRQQQQQPPLFLDFSRGDGDGGNSRKRARETDSAAAAAMAMAHKRPPAPPAMGLRLDFDDGCSEHVSTTTSAASSLISDELVTQFDTYKNETANLLQDHTERLRRAVGESRRRHYRAMVAAAAAAAARRMREAEGEATAAARRGAELEERVVRLRAEAAAWQAKALADQSTAAALHAQLQQAQARGKSAAAEEDGNFAGAGDADDAESVFVDPDRVEEITPPPATARPCRNCRRRAASVVLLPCRHLCMCEACEPAVSAAGAACPACRGAVTGTVQVFLS >LPERR10G14730.1 pep chromosome:Lperr_V1.4:10:14954232:14963072:1 gene:LPERR10G14730 transcript:LPERR10G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYWADGPPTRLPVPPRLASPGQTATEAKRKREPSSPSPTSPRRSEMSAAGEEEKKPAGEGGGGGGGARFSPKVKGQIFCRLPLPHPETMDATALQYENQKLVQQLEAQKSKMRTLEGKFKELRDEQCSYDNALICLNKMWNQLIDDLVLLGVRAGGDLNNLQALDHEELSEESLESCPSEEIFLFRLMNSKNFRNNDESSLSKLVEEALALRHSTTVTLMKSLQEAFAVQQARSESLSLALDGQSFNEDIIVALEKHNDYLKEVVDNLRQAMSIINEKHRRYLNEIEAFKNNQSRELHEIKCLSGGELEESMAELEESQRKLAVLQLQRAGGLLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDIQDQLKDENYIVTSKPYMILSDQLHHLNAEIERYKGLVEVLQNEKDQFMQKEEEMLAKAESVDSIKQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDKYNTQVTEIKSLKALIETLEQEKQEMQFIVDMLGKECSESRPISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRMKQAEVDAHISEIETIGQAYEDMQTQNQHLLQQVTDRDDFNIKLVSESVKMKQASGSLLSEKHMLQKKLQHVISSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGFAEREYETNQKKIAELKMELERERSERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G14730.2 pep chromosome:Lperr_V1.4:10:14954232:14963072:1 gene:LPERR10G14730 transcript:LPERR10G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYWADGPPTRLPVPPRLASPGQTATEAKRKREPSSPSPTSPRRSEMSAAGEEEKKPAGEGGGGGGGARFSPKMDATALQYENQKLVQQLEAQKSKMRTLEGKFKELRDEQCSYDNALICLNKMWNQLIDDLVLLGVRAGGDLNNLQALDHEELSEESLESCPSEEIFLFRLMNSKNFRNNDESSLSKLVEEALALRHSTTVTLMKSLQEAFAVQQARSESLSLALDGQSFNEDIIVALEKHNDYLKEVVDNLRQAMSIINEKHRRYLNEIEAFKNNQSRELHEIKCLSGGELEESMAELEESQRKLAVLQLQRAGGLLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDIQDQLKDENYIVTSKPYMILSDQLHHLNAEIERYKGLVEVLQNEKDQFMQKEEEMLAKAESVDSIKQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDKYNTQVTEIKSLKALIETLEQEKQEMQFIVDMLGKECSESRPISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRMKQAEVDAHISEIETIGQAYEDMQTQNQHLLQQVTDRDDFNIKLVSESVKMKQASGSLLSEKHMLQKKLQHVISSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGFAEREYETNQKKIAELKMELERERSERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G14730.3 pep chromosome:Lperr_V1.4:10:14954232:14963072:1 gene:LPERR10G14730 transcript:LPERR10G14730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYWADGPPTRLPVPPRLASPGQTATEAKRKREPSSPSPTSPRRSEMSAAGEEEKKPAGEGGGGGGGARFSPKVKGQIFCRLPLPHPETMDATALQYENQKLVQQLEAQKSKMRTLEGKFKELRDEQCSYDNALICLNKMWNQLIDDLVLLGVRAGGDLNNLQALDHEELSEESLESCPSEEIFLFRLMNSKNFRNNDESSLSKLVEEALALRHSTTVTLMKSLQEAFAVQQARSESLSLALDGQSFNEDIIVALEKHNDYLKEVVDNLRQAMSIINEKHRRYLNEIEAFKNNQSRELHEIKCLSGGELEESMAELEESQRKLAVLQLQRAGGLLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDIQDQLKDENYIVTSKPYMILSDQLHHLNAEIERYKGLVEVLQNEKDQFMQKEEEMLAKAESVDSIKQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHIDEQKEISDKYNTQVTEIKSLKALIETLEQEKQEMQFIVDMLGKECSESRPISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRMKQAEVDAHISEIETIGQAYEDMQTQNQHLLQQVTDRDDFNIKLVSESVKMKQASGSLLSEKHMLQKKLQHVISSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGFAEREYETNQKKIAELKMELERERSERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G14730.4 pep chromosome:Lperr_V1.4:10:14954232:14963072:1 gene:LPERR10G14730 transcript:LPERR10G14730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYWADGPPTRLPVPPRLASPGQTATEAKRKREPSSPSPTSPRRSEMSAAGEEEKKPAGEGGGGGGGARFSPKVKGQIFCRLPLPHPETMDATALQYENQKLVQQLEAQKSKMRTLEGKFKELRDEQCSYDNALICLNKMWNQLIDDLVLLGVRAGGDLNNLQALDHEELSEESLESCPSEEIFLFRLMNSKNFRNNDESSLSKLVEEALALRHSTTVTLMKSLQEAFAVQQARSESLSLALDGQSFNEDIIVALEKHNDYLKEVVDNLRQAMSIINEKHRRYLNEIEAFKNNQSRELHEIKCLSGGELEESMAELEESQRKLAVLQLQRAGGLLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDIQDQLKDENYIVTSKPYMILSDQLHHLNAEIERYKGLVEVLQNEKDQFMQKEEEMLAKAESVDSIKQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDKYNTQVTEIKSLKALIETLEQEKQEMQFIVDMLGKECSESRPISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERLVLTIGQAYEDMQTQNQHLLQQVTDRDDFNIKLVSESVKMKQASGSLLSEKHMLQKKLQHVISSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGFAEREYETNQKKIAELKMELERERSERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G14730.5 pep chromosome:Lperr_V1.4:10:14954232:14963072:1 gene:LPERR10G14730 transcript:LPERR10G14730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWATYWADGPPTRLPVPPRLASPGQTATEAKRKREPSSPSPTSPRRSEMSAAGEEEKKPAGEGGGGGGGARFSPKVKGQIFCRLPLPHPETMDATALQYENQKLVQQLEAQKSKMRTLEGKFKELRDEQCSYDNALICLNKMWNQLIDDLVLLGVRAGGDLNNLQALDHEELSEESLESCPSEEIFLFRLMNSKNFRNNDESSLSKLVEEALALRHSTTVTLMKSLQEAFAVQQARSESLSLALDGQSFNEDIIVALEKHNDYLKEVVDNLRQAMSIINEKHRRYLNEIEAFKNNQSRELHEIKCLSGGELEESMAELEESQRKLAVLQLQRAGGLLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLTLSKQLEDIQDQLKDENYIVTSKPYMILSDQLHHLNAEIERYKGLVEVLQNEKDQFMQKEEEMLAKAESVDSIKQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDKYNTQVTEIKSLKALIETLEQEKQEMQFIVDMLGKECSESRPISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKTIGQAYEDMQTQNQHLLQQVTDRDDFNIKLVSESVKMKQASGSLLSEKHMLQKKLQHVISSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGFAEREYETNQKKIAELKMELERERSERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >LPERR10G14740.1 pep chromosome:Lperr_V1.4:10:14966348:14967995:1 gene:LPERR10G14740 transcript:LPERR10G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELGDWPNNFSTQISFYQWQRGASLARLMDARDFPAGEFHFKGYFTHCDDLVLAPTGTNLYLFNPATREAITLPQGHHGSSRS >LPERR10G14750.1 pep chromosome:Lperr_V1.4:10:14974160:14975254:1 gene:LPERR10G14750 transcript:LPERR10G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVIPPPPAERRVPADDGGGRHKVEDGGGGGVVAGISPSILIIAVIVVVMLLASLSIHYFIRHLCRHAAAARGSPSSSISAAAVTLPPVLPVVVRPSPAAAAADVKAKAAAEEEAERLISRLPLFTLASSLAALPKCSTDCAVCQSAFGADDELRLLPACRHAFHSRCVDPWLRANPSCPLCRSSISLPHPPLPDLLRLQLGSISNRRPNPNPNPNPNSAATAAAVVAAYPIPTLPNSEYLVEEELEVVIKQPTAAAAVAEPSQAAPAMAAAAGERGLTPTASFSSARSTERWSNRWSSRWSSRWSSGRWSSRYDAGAVTAAATAEWWWDMDGGVAPAARRREVEEQGNAFYGFVRWLTGAY >LPERR10G14760.1 pep chromosome:Lperr_V1.4:10:14983481:14984260:-1 gene:LPERR10G14760 transcript:LPERR10G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGELSFARVGVDERWTWVSPDEHPCLGLYSGGFVDFFHDDDDGLFYALRGDASIYTLDLNSPPNHHPIVVVEKIMAGELVPPREQPAAMYILRAPWGDILQVWRWKRYIDLMEEEETPSSSEELADNLNDDDVDLEPIMGGNDELYPYLELRTTEIQVFRVDLDQQKLVEISLGEHALFLGYNATMCLSTKDYPVLKPNCAYITDDSLEYVNNYPNSWREIGIWDMETNQLQSLACAETSLPWLNCPSPVWIKPSLC >LPERR10G14770.1 pep chromosome:Lperr_V1.4:10:14984500:14989363:1 gene:LPERR10G14770 transcript:LPERR10G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAASPFLLPAAASRRPLVAGTGRRAASSLRVAALKYDPSKVAPQSDRVLVRLEQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSDVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >LPERR10G14790.1 pep chromosome:Lperr_V1.4:10:14994748:14995188:1 gene:LPERR10G14790 transcript:LPERR10G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSASDLPSSASKRKRTTLTCSRDWSSLGGVIADRVLANDIADFIRFRAVCRPWRLSSSSIYPLHALDPRHWIMLKVATGRRRRFLNVATGERIHTEIHRSFPTAATTRCSPSHPRGFSSCSTNALSSSDCSIPSPAASPISRR >LPERR10G14800.1 pep chromosome:Lperr_V1.4:10:14995233:15000208:1 gene:LPERR10G14800 transcript:LPERR10G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKSLCVCGVGLVSISTVVICLRPRLIAIAKPSDERWKIIDGAAFVDSALTFQGRFYCTPQWAAHCHDQGRRPQLLTAAVAARRSNPPSGSLHLVDNGGDLMVVHRMMIHLINHFEVYRVDLDNQELILAKGFRARAVFMGMHRAVSLLPAQIYFPSLLPDTLYLGFDCEGPIRGYIVKDGSGEPCRRRRYRSRIRERITTLSNSRDWSSLGGEGPAGVIADCVLANDVADFIRFRAVCLPWRLSSSSIDPLHALDPRFLPRHWIMLDNRRFLNVTTGEQIHTEIPEISGDGDHTLLALTPEGLLLLLHEETLILQLLNPLTRRLTDLPPVNSLLSSKNLRDWRSGKQIGKSLHVGGVGIASISTVVVCLQTSLMAIAKPGDDRWIVIAGMPFVDSALTFQGRFYCTVGKNLMVLDHETPQLLIAAARRSNPPPPDFFCSLHLVDNGGELMLVNRMIRLIKVSRMEEGSHVAIDEADQASVVRFGRKMIAIAKPGDESWTNVIMDDIYFHIDSALSFAGRFYCAVSSIYNHGAGLKP >LPERR10G14800.2 pep chromosome:Lperr_V1.4:10:14995233:14998606:1 gene:LPERR10G14800 transcript:LPERR10G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKSLCVCGVGLVSISTVVICLRPRLIAIAKPSDERWKIIDGAAFVDSALTFQGRFYCTPQWAAHCHDQGRRPQLLTAAVAARRSNPPSGSLHLVDNGGDLMVVHRMMIHLINHFEVYRVDLDNQELILAKGFRARAVFMGMHRAVSLLPAQIYFPSLLPDTLYLGFDCEGPIRGYIVKDGSGEPCRRRRYRSRIRERITTLSNSRDWSSLGGEGPAGVIADCVLANDVADFIRFRAVCLPWRLSSSSIDPLHALDPRFLPRHWIMLDNRRFLNVTTGEQIHTEIPEISGDGDHTLLALTPEGLLLLLHEETLILQLLNPLTRRLTDLPPVNSLLSSKNLRDWRSGKQIGKSLHVGGVGIASISTVVVCLQTSLMAIAKPGDDRWIVIAGMPFVDSALTFQGRFYCTVGKNLMVLDHETPQLLIAAARRSNPPPPDFFCSLHLVDNGGELMLVNRMIRLIKGENNSSSSMHYKTEYEVYSVNLDNGELIPVNDGFRGRAVFMGLRRAVSLLPAEVFPSVLPDTLYLGFGCEESNRMNLMDGYSVKDGRGEPCRHRRSRSSFVDCLSHCIRGTGKHLA >LPERR10G14800.3 pep chromosome:Lperr_V1.4:10:14997821:15000208:1 gene:LPERR10G14800 transcript:LPERR10G14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKPGDDRWIVIAGMPFVDSALTFQGRFYCTVGKNLMVLDHETPQLLIAAARRSNPPPPDFFCSLHLVDNGGELMLVNRMIRLIKGENNSSSSMHYKTEYEVYSVNLDNGELIPVNDGFRGRAVFMGLRRAVSLLPAEVFPSVLPDTLYLGFGCEESNRMNLMDGYSVKDGRGEPCRHRRSRSSFVDCLSHCIRGTGKHLA >LPERR10G14810.1 pep chromosome:Lperr_V1.4:10:15000237:15004157:1 gene:LPERR10G14810 transcript:LPERR10G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVADGSKRIPIYSYLSRMSTSLHLVDNGGELMLAHRTVRSTQRAARYSLRSRKSMRGLPGGFWREGFGSGQRIARAGRPCSWAIVGPSLFCLQMVSLCHFDEKRAEEEKKGKKRGLPASDHPSAAPPVAVAASASVSARGRRLRPCTPSTSPPTSLLGLYRGFASPHLTVVPCCPRPTAVTPPSYIQEIWWVK >LPERR10G14810.2 pep chromosome:Lperr_V1.4:10:15000237:15004157:1 gene:LPERR10G14810 transcript:LPERR10G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVADGSKRIPIYSYLSRMSTSLHLVDNGGELMLAHRTVRSTQRAARYSLRSRKSMRGLPGGFWREGFGSGQRIARAGRPCSWAIVGPSLFCLQMVSLCHFDEKRAEEEKKGKKRGLPASDHPSAAPPVAVAASASVSARGRRLRPCTPSTSPPTSLLGLYRGFASPHLTVVPCCPRPTAVTPPSYIQEIWWVK >LPERR10G14810.3 pep chromosome:Lperr_V1.4:10:15000714:15004157:1 gene:LPERR10G14810 transcript:LPERR10G14810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVRRVQQRVRKAREDMDRWDDLNSRLLSQFTNATSIISRLPRGSFGEADGEFGDYLHFYEGDSALRDTNQMVRGGSALSAKQMQLQVGILPTIADCLDGLQTLCEMHQAEHALKSSVISLLTWTTSSSDIAAMRQLLIDQPNIPKDEVQSIFDIIFADEIC >LPERR10G14810.4 pep chromosome:Lperr_V1.4:10:15000714:15004157:1 gene:LPERR10G14810 transcript:LPERR10G14810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVRRVQQRVRKAREDMDRWDDLNSRLLSQFTNATSIISRLPRGSFGEADGEFGDYLHFYEGDRRNSIALPDLYIRLCGILIRWHALKSSVISLLTWTTSSSDIAAMRQLLIDQPNIPKDEVQSIFDIIFADEIC >LPERR10G14810.5 pep chromosome:Lperr_V1.4:10:15000237:15002935:1 gene:LPERR10G14810 transcript:LPERR10G14810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVADGSKRIPIYSYLSRMSTSLHLVDNGGELMLAHRTVRSTQRAARYSLRSRKSMRGLPGGFWREGFGSGQRIARAGRPCSWAIVGPSLFCLQMVSLCHFDEKRAEEEKKGKKRGLPASDHPSAAPPVAVAASASVSARGRRLRPCTPSTSPPTSLLGLYRGFASPHLTVVPCCPRPTAVTPPSYIQEIWWVK >LPERR10G14820.1 pep chromosome:Lperr_V1.4:10:15004201:15004808:-1 gene:LPERR10G14820 transcript:LPERR10G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRKFPIHELLTSYKECQEGLITIPNQHATELGVQRHGLLHLTTTDEREHSGIVGEEIPDGHYGEHLGCGGGEGEAGVPVEHGVVRAHVRSRHSAEQAVRIPRAAEEEVERQEAVGELGGARHEVLEAGEADVEEEAAGEGGERRRGAAEQEEAGEGGEERERRAKAGPGEFREEGHRGARVAAGDAAVEGGEAAGV >LPERR10G14830.1 pep chromosome:Lperr_V1.4:10:15006510:15009866:-1 gene:LPERR10G14830 transcript:LPERR10G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRRGDSFAFCSAAETAATAGGGPCRRSPASTCLLHRLHQRRAGNRMESSSARSNTSERNHLDYARSASMDSAGPSLGARSGSILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDSSVLAQVIDSGDRELALENNADEEVENNLEHHKGGPLPDDTSMRIHGNNSQGTSVVAPVSLMETKDINGPTSSPIKVEVEPYKLSWMQDYASYLIHLAVFGFFGVFTRYGLQKLFGPGCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRQISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGAETGERLRGWILKCIREKSSIGSKCDWEHWRVDTKTKHHALLAVMVILLSFIWILSVVLAIMKVHRLADGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKQRHLKWLPVGTLAANVLAAAIMAALAVTAKAVNTKQSTVVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGILIYSVPVWVEHY >LPERR10G14830.2 pep chromosome:Lperr_V1.4:10:15007642:15009866:-1 gene:LPERR10G14830 transcript:LPERR10G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRRGDSFAFCSAAETAATAGGGPCRRSPASTCLLHRLHQRRAGNRMESSSARSNTSERNHLDYARSASMDSAGPSLGARSGSILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDSSVLAQVIDSGDRELALENNADEEVENNLEHHKGGPLPDDTSMRIHGNNSQGTSVVAPVSLMETKDINGPTSSPIKVEVEPYKLSWMQDYASYLIHLAVFGFFGVFTRYGLQKLFGPGCLALTSDQSPLYLDLPSNMVSAILPAQIIEMEEIISYRATT >LPERR10G14830.3 pep chromosome:Lperr_V1.4:10:15006510:15007578:-1 gene:LPERR10G14830 transcript:LPERR10G14830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFGIIFKADIRQISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGAETGERLRGWILKCIREKSSIGSKCDWEHWRVDTKTKHHALLAVMVILLSFIWILSVVLAIMKVHRLADGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKQRHLKWLPVGTLAANVLAAAIMAALAVTAKAVNTKQSTVVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGILIYSVPVWVEHY >LPERR10G14840.1 pep chromosome:Lperr_V1.4:10:15011195:15014385:1 gene:LPERR10G14840 transcript:LPERR10G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHYEVALKILRLAFSPKCDHRGNKEGIVDIGGSSPGQIQTIPSCSKHGNDRMRTLSIFVAGIFSHFPSVEYSHPEGYDMVTGEGNWVPEVLQTGINHDGEEEVLSCPPIAVLGAELNHLKSPVNIQELAFCNGNSWPGVIDMEQDLPCVLLCIFSFIITKHCIHLDSSISMTNTLVYFTEEVKANK >LPERR10G14840.2 pep chromosome:Lperr_V1.4:10:15011195:15014385:1 gene:LPERR10G14840 transcript:LPERR10G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHYEVALKILRLAFSPKCDHRGNKEGIVDIGGSSPGQIQTIPSCSKHGNDRMRTLSIFVAGIFSHFPSVEYSHPEGYDMVTGEGNWVPEVLQTGINHDGEEEVLSCPPIAVLGAELNHLKSPVNIQELAFCNGNSWPGVIDMEQDLPCVLLCIFSFIITKHCIHLDSSISMTNTLK >LPERR10G14840.3 pep chromosome:Lperr_V1.4:10:15011195:15014385:1 gene:LPERR10G14840 transcript:LPERR10G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHYEVALKILRLAFSPKCDHRGNKEGIVDIGGSSPGQIQTIPSCSKHGNDRMRTLSIFVTGEGNWVPEVLQTGINHDGEEEVLSCPPIAVLGAELNHLKSPVNIQELAFCNGNSWPGVIDMEQDLPCVLLCIFSFIITKHCIHLDSSISMTNTLVYFTEEVKANK >LPERR10G14840.4 pep chromosome:Lperr_V1.4:10:15010055:15014473:1 gene:LPERR10G14840 transcript:LPERR10G14840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTTEAPNKKQVFSSPRNQKQAQRWPKSPKKRTQKNKKKRLTFLEQHLKEQGLVGKCKWCSKDSDATVVSIEMLATKGVPHARTLYKERKTLFWQL >LPERR10G14840.5 pep chromosome:Lperr_V1.4:10:15010055:15012249:1 gene:LPERR10G14840 transcript:LPERR10G14840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHYEVALKILRLAFSPKCDHSMCMKGMCLSQGTKLRDIWYSSLVLFVEEGKQIWSWWRCVEHCLVLFPSSFPGLEMPTVDTTMHGGRNSMSMG >LPERR10G14850.1 pep chromosome:Lperr_V1.4:10:15014268:15024636:-1 gene:LPERR10G14850 transcript:LPERR10G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRCCSRKGVGRYGGIKVYAVLGDDGADYAKNNTWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSSARVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVRNTCAHRACPLHLGSVSEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGDDPPISTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWLKHVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGSDRGRPRGAGEVEPEADGKPAFPAASGLPQVELEPEVTEFAVAVSPAASRRRRRHRSPAGRKWARSEAGDYRLSWNFRIFTSHRLLCFCYILQLPKQGLSFFI >LPERR10G14850.2 pep chromosome:Lperr_V1.4:10:15010909:15014258:-1 gene:LPERR10G14850 transcript:LPERR10G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSFGRQHLNGDHCGITVFAAPFALANQTLLLQVLLQKDCTQQFNTSMLPSYHGIPKVIQLSAIKHICLDLLCEIYKGVGHRYGGIKVYAVLGDDEAENAKKNTWEVLFHVNDPGPRVPIAKGKFLDVNRALEMVQFGTQYCDWRARQDLLLTIMVLHNKVVEIFNPLARELKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAKMETLANDGLLQDGGSSAPTDTRISLALSTSSAPRYVHRKTLLRSLNVSGPVQSYNPSLKNFWYPVTFSSDLKDDTMVPIDLFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKMLNVRIRSLPCFEQDGMVWIWPGDDPPMSTIPSLLPPSGFTIHLQTVTEVPMEHGLLLDNLLDIAHAPFVHTSTFAKGWSVPSLVKFLTPSSGFQGYWDPYPIDMEFRPPCMVVSTVGISKPGKLEGKSTKQCSTHLHQLHICLPSSTNKTRLLYQMSLNFVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRNSIERGADRFPFNNESESGT >LPERR10G14850.3 pep chromosome:Lperr_V1.4:10:15010909:15014258:-1 gene:LPERR10G14850 transcript:LPERR10G14850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSFGRQHLNGDHCGITVFAAPFALANQTLLLQVLLQKGIKVYAVLGDDEAENAKKNTWEVLFHVNDPGPRVPIAKGKFLDVNRALEMVQFGTQYCDWRARQDLLLTIMVLHNKVVEIFNPLARELKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAKMETLANDGLLQDGGSSAPTDTRISLALSTSSAPRYVHRKTLLRSLNVSGPVQSYNPSLKNFWYPVTFSSDLKDDTMVPIDLFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKMLNVRIRSLPCFEQDGMVWIWPGDDPPMSTIPSLLPPSGFTIHLQTVTEVPMEHGLLLDNLLDIAHAPFVHTSTFAKGWSVPSLVKFLTPSSGFQGYWDPYPIDMEFRPPCMVVSTVGISKPGKLEGKSTKQCSTHLHQLHICLPSSTNKTRLLYQMSLNFVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRNSIERGADRFPFNNESESGT >LPERR10G14860.1 pep chromosome:Lperr_V1.4:10:15016236:15020827:1 gene:LPERR10G14860 transcript:LPERR10G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGCGGGDGYCELRDFRFELDLRKARGGGEGGFTVCFWLYLSRSARPSSVILNQIAGGGGDKVPFLALGEGNKLLLFPLMGFHREAPTPDSSYPWTDITKLTEANECPLENWFHVGCEVAENIMRLHIDGDLVAEAHLRPLYDEPDYQNGANQINLLGSKDKLEGYVYNMVVSSMLGNIQQQYAKASCRRNFILEVVLIDAFGESAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGLEYPAVDRPLPIIRGRALFKLKISQLSSKCDNKLFRIYFSTLDVRKYPFLEAYSKPIRCISRSRTSRPLGSGKQIGSASMDEIPSINNGEGHNGKENGRVQTYNPSSTNKEERKMVLDKGAHDVMGFDSTASDSDSMDAGSSWSGSDGDEVESFSDTEVFRYCLDGTYERSKFLRAAAPSVNEDDLVKLANQVSLYSGCTHHRNQIVMSKQLLQMGADAWSIISKNNEHALWSSAVPEIKAKFLDIVHISNRGLSEQDLEVLRGIAGCGDDIGRDEFDKLWSWLYPVAVALSKNKINKLWDLTTHRWIEGLITLEEAENALRKSRERLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSFDVRVDRMPSSMQS >LPERR10G14880.1 pep chromosome:Lperr_V1.4:10:15036042:15036872:-1 gene:LPERR10G14880 transcript:LPERR10G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKVHPYANAVGVCAPCLRDRLLDLAAQRAAAEDDDTKSSSSSLLFARSVSPYYAAARRSDACAAASASASDFLFFRTPQLAPTHARAAADSDSLAGGRKVSRHRSFFSAIFGGGGRNRHDSSTSSAAAAAAKDPPRRSTSWLSSIVRRKRRPAAAAATVDEAAAAAAAADSPGGSTTSSSWWFPSPSPSSTARQHRRRHTGVGVGGGGDGISAGFAVCLSPLVRPSSGGGGRRRCQPPDPSSLGETHRRNLSAGGAASFGRNTSRKLADMGRFR >LPERR10G14890.1 pep chromosome:Lperr_V1.4:10:15041080:15046203:-1 gene:LPERR10G14890 transcript:LPERR10G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGDGGGTASLPTLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFSDFSLVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGQRTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSPEYSHLASRMGSEYLAKLLSQHLEAVIRAQIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHNVLKELVRRSIGETQELKRFPTLQAELAAACFHSLERFREDGRKTTVRLVDMEATYLTVEFFRKLPQEVDKDKPGTGTGTGTGTGNPSTPSVDRYADAHFRRIASNVSAYIGMVSDTLKNTIPKSVVHCQVREAKRSLLNYFYTQVGRKDATELAQLLDEDPALMERRQQCFKRLELYKSARNEIDAVSWTR >LPERR10G14890.2 pep chromosome:Lperr_V1.4:10:15041082:15046203:-1 gene:LPERR10G14890 transcript:LPERR10G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGDGGGTASLPTLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFSDFSLVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGQRTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSPEYSHLASRMGSEYLAKLLSQHLEAVIRAQIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHNVLKELVRRSIGETQELKRFPTLQAELAAACFHSLERFREDGRKTTVRLVDMEATYLTVEFFRKLPQEVDKDKPGTGTGTGTGTGNPSTPSVDRYADAHFRRIASNVSAYIGMVSDTLKNTIPKSVVHCQVREAKRSLLNYFYTQVGRKDATELAQLLDEDPALMERRQQCFKRLELYKSARNEIDAVSWTR >LPERR10G14900.1 pep chromosome:Lperr_V1.4:10:15052089:15056085:1 gene:LPERR10G14900 transcript:LPERR10G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILILYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSILISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVLAVKKAIDMLPGIDPQVMHLRMKNLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPREGIEEVPDIRLVHAHLEPINDRCRTQSKGN >LPERR10G14900.2 pep chromosome:Lperr_V1.4:10:15052099:15056085:1 gene:LPERR10G14900 transcript:LPERR10G14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILILYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSILISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVLAVKKAIDMLPGIDPQVMHLRMKNLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPREGIEEVPDIRLVHAHLEPINDRCRTQSKGN >LPERR10G14900.3 pep chromosome:Lperr_V1.4:10:15052089:15056085:1 gene:LPERR10G14900 transcript:LPERR10G14900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSILISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVLAVKKAIDMLPGIDPQVMHLRMKNLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPREGIEEVPDIRLVHAHLEPINDRCRTQSKGN >LPERR10G14900.4 pep chromosome:Lperr_V1.4:10:15052099:15056085:1 gene:LPERR10G14900 transcript:LPERR10G14900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEKRHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILILYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSILISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVLAVKKAIDMLPGIDPQVMHLRMKNLYSWDDVAKRTEIVYDRAMQSSKTDLLERLPRPGKALKRSQISG >LPERR10G14910.1 pep chromosome:Lperr_V1.4:10:15062780:15065994:-1 gene:LPERR10G14910 transcript:LPERR10G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRPNSSKGSAIAAAAAAYGHRAQGSSPRKVLEESDRIRRGEKEKKKEKKNSPFNWPTKIDGPKWVGIEHQAHKAYCRETLPTPTTDGDERNPQSAAAAPAGSSVAYASTGCDLRRRRLYATGQNLPIDVQNATIQCKEKLWRSKCCHPADMPIIPLQAMEFFSRTWGPSSSNLFEVFSPSTLGTSSDDHQPEKCVLASEMASPIQQKAQAKATNLGSRLTSAVKTGLERCSLGELLTLTASAATCLRGAAALKLSADIRCISSSNSMGINATGIQKGTTLRVRLPCGSV >LPERR10G14910.2 pep chromosome:Lperr_V1.4:10:15062780:15065994:-1 gene:LPERR10G14910 transcript:LPERR10G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRPNSSKGSAIAAAAAAYGHRAQGSSPRKVLEESDRIRRGEKEKKKEKKNSPFNWPTKIDGPKWVGIEHQAHKAYCRETLPTPTTDGDERNPQSAAAAPAGSSVAYASTGCDLRRRRLYATGQNLPIDVQNATIQCKEKLWRSKCCHPADMPIIPLQAMEFFSRTWGPSSSNLFEVFSPSTLGTSSDDHQPEKCVLASEMASPIQQKAQAKATNLGSRLTSAVKTGLERCSLGELLTLTASAATCLRGAAALKLSADIRCISSSNSMGINATGIQKGSV >LPERR10G14920.1 pep chromosome:Lperr_V1.4:10:15065954:15071193:1 gene:LPERR10G14920 transcript:LPERR10G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSAPADSADSAQTVATRVAAEASTVLSFLSAIPAPVLFLSSQADTTPAMAAASWATETAAWACAVCSRSSSRLFLLRPPVMGMLSIKYPLRIALMCPMLSCSFFSGNQSIFISSLHFTVPNPTKHQWPSLKRKSDKVLILEYSANAQNFPRFLPRFSAEIVATSPCATYVLTQVGWFGLFLRCPDLDFPLARIPCQVKQEIDH >LPERR10G14930.1 pep chromosome:Lperr_V1.4:10:15069085:15071914:-1 gene:LPERR10G14930 transcript:LPERR10G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKKGVEFGMASLQRCHSLSCQMAESTQIDAQKAGNRCSKEKSRRPKCCHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVGHQEDEMIGDEDDEAHGDTVRFDGGRTQLFNQTWGILANGKSRSGQRKNKPNQPTWLNMGHMRAILRGYLMDSIPITGGRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRNSTGAGIADRKLSTVLASAATLVATVCAESAESAGADRSRITSAVKAGLDSRSPTELLTLTATAATCLRGAAVLKLRADVSRGISSSSSNNSMMMSTNTATSIQKGTILRVCLPCGRLRLRTVAVFPERGTVTLRLGKKRLHGAFMTYQHYEVLTVSGCGNAVVDGRKFYPLALGTAAGTVQLLLDNQVHCKVWKASIESMLSDRELKHAKC >LPERR10G14940.1 pep chromosome:Lperr_V1.4:10:15074970:15076683:-1 gene:LPERR10G14940 transcript:LPERR10G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAPSLPLHVGAAAASLQGKNFKGRKTSTCLRSAAVPTNMAAIASNNTLPKLAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQVGFNNGVPITEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEAFFRKLAPEQLKAVDGLHDLCRWIDAHKLKRAAVTNAPRSNAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALELIDASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLNDAGASLLIKDFQDPKLLAILDELKPAVAVEQV >LPERR10G14940.2 pep chromosome:Lperr_V1.4:10:15074972:15076683:-1 gene:LPERR10G14940 transcript:LPERR10G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAPSLPLHVGAAAASLQGKNFKGRKTSTCLRSAAVPTNMAAIASNNTLPKLAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQVGFNNGVPITEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEAFFRKLAPEQLKAVDGLHDLCRWIDAHKLKRAAVTNAPRSNAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALELIDASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLNDAGASLLIKDFQDPKLLAILDELKPAVAVEQV >LPERR10G14950.1 pep chromosome:Lperr_V1.4:10:15078978:15081389:1 gene:LPERR10G14950 transcript:LPERR10G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLVASLFRSAAAPRPPSTPPLLNRAAAYSSSAAGGGQDFPLPGSEAAPRPGLFAGTMDRRQPSYGDHLMESQQLRQDYGVRRQFDIHGTNFGAAMPKIPGGERSSYFGFGNSSRYLDEHKQLLVKGKRDFVHVLLKKKKTFVTVTDVMGNKKTGASAGSLEDRKGRARLSKYAAEATAEHVGRAAKKMGIKSVVMKVKGAAFFSKKKKVILSFREGFRGERVREQSPVVFIHDVTQLPHNGCRLRKQRRV >LPERR10G14960.1 pep chromosome:Lperr_V1.4:10:15081602:15084484:1 gene:LPERR10G14960 transcript:LPERR10G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWHPPPPPPPAAASARRVSFLSENGRPRRHRAATITPRAFGGGGSDFDGFMRRAWRGANAGAERLAFEVRQTAQRLDGRFSISRRLAEAARAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELTDFMATPIGRALTTIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNRSSGRAGSSRSSGPDVIDVEFEEK >LPERR10G14970.1 pep chromosome:Lperr_V1.4:10:15085067:15089185:-1 gene:LPERR10G14970 transcript:LPERR10G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIPMQGIDARARELGIDLSSVDLDSINLPAGEDFGIPSDDEDLLRDEDPMELEMGFANVVVVDNLPVVPPEKFDKLENVIRKIYSQIGVIKEGGLWMPINQETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTVTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNISDVRTGKVMRDFKGNADEFTPSGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKIENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVFELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMRTANNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFYQFMWRPRPPSLLTPEKEDEIAKNLRKYSKKYEQEDQDAFNQLSEQERKRRKQLQEEWEGWVAKWKQLHEEERPYRIELRDGEASDDEEEYDTKEVEVEEVVHVQEEEVPFDLDQE >LPERR10G14980.1 pep chromosome:Lperr_V1.4:10:15090773:15096200:1 gene:LPERR10G14980 transcript:LPERR10G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMTPGDRRTRSTMSIIIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTNKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAENEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWIQFKGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLIPWNSNDGMYMFEVDRVLRPGGYWILSGPPINWKTSHQKWKRSKEDLEAEQNTIEKIAEMLCWDKIHEKGDTAIWQKKTDSNGCPSKDDRTSKMCKIQDADDVWYKKMEGCITPFPEEAQLQKFPERLFAIPPRIQQGRTPGVTEEIYEEDNKLWKKHANTYKKINKLIGSSRYKNIMDMNAGLGSFAAVLQSPVSWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDMIHASGLFSLYRNKCNIEDILLEMDRMLRPEGAVILRDNVEVLNKVRRTVPGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGSEEDNSL >LPERR10G14980.2 pep chromosome:Lperr_V1.4:10:15090841:15096200:1 gene:LPERR10G14980 transcript:LPERR10G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMTPGDRRTRSTMSIIIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTNKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAENEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWIQFKGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLIPWNSNDGMYMFEVDRVLRPGGYWILSGPPINWKTSHQKWKRSKEDLEAEQNTIEKIAEMLCWDKIHEKGDTAIWQKKTDSNGCPSKDDRTSKMCKIQDADDVWYKKMEGCITPFPEEAQLQKFPERLFAIPPRIQQGRTPGVTEEIYEEDNKLWKKHANTYKKINKLIGSSRYKNIMDMNAGLGSFAAVLQSPVSWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDMIHASGLFSLYRNKCNIEDILLEMDRMLRPEGAVILRDNVEVLNKVRRTVPGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGSEEDNSL >LPERR10G14980.3 pep chromosome:Lperr_V1.4:10:15090773:15093492:1 gene:LPERR10G14980 transcript:LPERR10G14980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMTPGDRRTRSTMSIIIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTNKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAENEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWIQFKGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLIPWNSNDGMYMFEVDRVLRPGGYWILSGPPINWKTSHQKWKRSKEDLEAEQNTIEKIAEMLCWDKIHEKGDTAIWQKKTDSNGCPSKDDRTSKMCKIQDADDVWYVLYVYSTNICVLSTSNMSKKLN >LPERR10G14990.1 pep chromosome:Lperr_V1.4:10:15099481:15101435:1 gene:LPERR10G14990 transcript:LPERR10G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVSRDIHRLLIPTINLAPLLHRAHHVRPLPAAAHHHEQRPSGEERELSGDQIGRAMG >LPERR10G15000.1 pep chromosome:Lperr_V1.4:10:15103644:15106125:-1 gene:LPERR10G15000 transcript:LPERR10G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAVINRRIAFFCAFLVAYWTLIIYQRNSRDPIRYSLLEKNRERMVYLQILVRRDIAFVNCACVNHKMAGNNVVWQPQIVEEMLRYYKEKIQNEGRQFVFKEVHHEECAKQINEKYQTNFTSRQVYHKFHKLKSQWKVILDAKNGANFDDVEKKKSFMMKQKLLRMKGQNLLMSPYDWYDEMEFIFQDKHATGEFTVLQAPYDHPMTKDVDFIGEKEGNCLPEQENDIAGSSSSKRPKGGKTNKCKRVKATDDPILKITGAMDNMSETMHFNHVTHPNESLFNIIDDMVEYPVLVRLQVQTYLATNADIAAMLKGRPLDFIKEYVAQWIVQNYP >LPERR10G15010.1 pep chromosome:Lperr_V1.4:10:15107225:15107513:-1 gene:LPERR10G15010 transcript:LPERR10G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALISYEVGDGTLVNFWQDSWLSQGPISSTHKNLMSFLGRSNLTVQQGLTNRRWIRALQVYQPRQWKNISTYGKLCKQFNCKKEYQTKRFGN >LPERR10G15020.1 pep chromosome:Lperr_V1.4:10:15114580:15115629:1 gene:LPERR10G15020 transcript:LPERR10G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRSYSSAASALCFCKVLLMVLALISTLHTASVEGGRAAAATIGGMNGALDPTYTPRVAPGRPYTRPCGAYYNKCPPQAAGSP >LPERR10G15030.1 pep chromosome:Lperr_V1.4:10:15117592:15118792:1 gene:LPERR10G15030 transcript:LPERR10G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRNSSSAATVLSLCKVLLMVIALICTLQTAPVHGGRAVPEMSGKAGSVLDPRYTPPTTPGGSYTGRGCKGSYRCTPPAAAASP >LPERR10G15040.1 pep chromosome:Lperr_V1.4:10:15120823:15122016:1 gene:LPERR10G15040 transcript:LPERR10G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRNSSSAGALLSLCKVLLTVLALICTLHTASVHGGRSLAAIGGGGALDPTYTPRVAPGKPYTPPRGCGTVYGCRNSPP >LPERR10G15050.1 pep chromosome:Lperr_V1.4:10:15124684:15125397:1 gene:LPERR10G15050 transcript:LPERR10G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQAVSLCKVLMMVLALIFAMHTAPVDGGRAAAAVRDGYVPYPRGYGGYAPVCIGYRCPPAATASGSGSGSP >LPERR10G15060.1 pep chromosome:Lperr_V1.4:10:15127462:15131248:1 gene:LPERR10G15060 transcript:LPERR10G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKALAALPHLVKSLRSSEPSPRHLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQTYDDTGFMINKVKYEGSLLVVENKIMTWSPKTFAEITAESLSIFKVVHPIPEILILGCGRYIQPISPELRKFIRSTGMKLEAVDSRNASSTYNILNEEGRPVAAALLPFGVTS >LPERR10G15060.2 pep chromosome:Lperr_V1.4:10:15127462:15130739:1 gene:LPERR10G15060 transcript:LPERR10G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKALAALPHLVKSLRSSEPSPRHLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQTYDDTGFMINKVKYEGSLLVVENKIMTWSPKTFAEITAESLSIFKVVHPIPEILILGCGRYIQPISPELRKFIRSTGMKLEAVDSIRVFCPLIPLMPSLCPCLIFPIVRQRNASSTYNILNEEGRPVAAALLPFGVTS >LPERR10G15070.1 pep chromosome:Lperr_V1.4:10:15131754:15136242:1 gene:LPERR10G15070 transcript:LPERR10G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIGGFMAAPRVALRPATPVAVAASAQPQPRRASVAARALRASTSEKVADLAIGTNGSLSARSNAENTAETTSQMASANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTEGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTDDTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSSNADIHVIPKIESADSIPNLRSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPAASPSLVTRQQALLNEEFCQSQLSRMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMNFSDDAEETFSRAISSLLSAQYVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >LPERR10G15080.1 pep chromosome:Lperr_V1.4:10:15139560:15143659:1 gene:LPERR10G15080 transcript:LPERR10G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKAAGAGPLPPKDAAALPADKPADPEAANGGGGGDAAAVEGGGGDEKEAAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPTILSPLGKACSSMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >LPERR10G15090.1 pep chromosome:Lperr_V1.4:10:15152727:15155715:1 gene:LPERR10G15090 transcript:LPERR10G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGDVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFMGAYALCRVIKRHEAGLNGEPPAAKAAARTMSKVSSSSSLVTVDHQQMIGRTSNANTSPFTPPTSTNVSDLDDMFQQIQSCVTPYSGFDLPPPMPQDMFFSGAGGFPARQDFYGEAMGTSVSEHELKWDNLAGVGGGGGETWNTAAASTLLCRQASDGDDLTAWFAAVADDNMSVF >LPERR10G15090.2 pep chromosome:Lperr_V1.4:10:15152724:15155715:1 gene:LPERR10G15090 transcript:LPERR10G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGDVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFMGAYALCRVIKRHEAGLNGEPPAAKAAARTMSKVSSSSSLVTVDHQQMIGRTSNANTSPFTPPTSTNVSDLDDMFQQIQSCVTPYSGFDLPPPMPQDMFFSGAGGFPARQDFYGEAMGTSVSEHELKWDNLAGVGGGGGETWNTAAASTLLCRQASDGDDLTAWFAAVADDNMSVF >LPERR10G15100.1 pep chromosome:Lperr_V1.4:10:15156918:15163218:1 gene:LPERR10G15100 transcript:LPERR10G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAFSRRLSAAVRGLSGAWYGRHMAAADRAIRSRLPLVDVVLEVRDARVPAASAFGPLRRRSSPEEPDRRRLVVLNKADLADPHETEKWMAYMEQTSCSCVALNSHSREGIKELLNAVRARIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPVFLDNESGPLLALTGAIKDSMIQEFDIAQFLLAILDSKEEYKEWENLNLTGDMSSMNRAMPCSSHHNKRQYASDHTQDFVVKAVRQALFDTISSFKGDLGNENELKSLIECQFIALQEAFRVSAELSEDLHKLVATKLLNLYRTGRLGRYTLDRTPDVRKKMV >LPERR10G15100.2 pep chromosome:Lperr_V1.4:10:15156918:15160617:1 gene:LPERR10G15100 transcript:LPERR10G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAFSRRLSAAVRGLSGAWYGRHMAAADRAIRSRLPLVDVVLEVRDARVPAASAFGPLRRRSSPEEPDRRRLVVLNKADLADPHETEKWMAYMEQTSCSCVALNSHSREGIKELLNAVRARIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPVFLDNESGPLLALTGAIKDSMIQEFDIAQFLLAILDSKEEYKEWENLNLTGDMSSMNRAMPCSSHHNKRQYASDHTQDFVVKAVRQALFDTISSFKGDLGNENELKSLIECQFIALQEAFRVSAELSEDLHKLVATKLLNLYRTGRLGRYTLDRTPDVRKKMV >LPERR10G15110.1 pep chromosome:Lperr_V1.4:10:15159061:15161266:-1 gene:LPERR10G15110 transcript:LPERR10G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSIDKNSSSILHQQLKKISRIPASWGAHAWGIGREDPRRAIHALKVGTSLTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVLLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDNQASRSSIHIGYRAVLDSKPSDETLAHYASWEPRHSMQCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAQEVVKVLQELAISIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKQTATRTTLPSFKTDSTSLLERRKTKADQASERKERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGAPLDNHTVSTAAE >LPERR10G15120.1 pep chromosome:Lperr_V1.4:10:15165686:15169165:1 gene:LPERR10G15120 transcript:LPERR10G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKSPSPAAAAVEELTRLYRELPPRPTVEEVEAAEAVLASADAEEAARLEEVDAESSSAAAARKREGVPGELLAVLREARRNAVRLRALQQRKEAAHVVELERRFKVFDDLIQRASRVVSSGEAGGDSATGDWGGGGVEREVDLEMEVRRKEAVVAAAAAVAEMERGSKGLAVLGLESKPISSLRRDVSAGSDMEKLSLIQVASLIESSAKKGTTELNLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSNLIDLDLHANQLKSLPASFGNLTSLANLDLSSNMLKVLPDCLGKLTNLRRLIVETNELEELPYTIGSCTSLLELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICLATSLVKLNLSRNFADLRALPRSIGNLEMLEELDISSNQIRVLPDSFKCLSRLRVFHADETPLELPPREVVKLGAQAVVQYMADMNAARGTIQKKTDKGSFWSWLFSLFGCCKNDQELGLVPV >LPERR10G15130.1 pep chromosome:Lperr_V1.4:10:15172668:15174701:-1 gene:LPERR10G15130 transcript:LPERR10G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWHDIKDRSECEVLIEDMSVQYEKGLEPPPMKLYSSIKIGRRQWAMLFSRKGILDDERLSVCSTLLQ >LPERR10G15130.2 pep chromosome:Lperr_V1.4:10:15172668:15174505:-1 gene:LPERR10G15130 transcript:LPERR10G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYVLIEDMSVQYEKGLEPPPMKLYSSIKIGRRQWAMLFSRKGILDDERLSVCSTLLQ >LPERR10G15140.1 pep chromosome:Lperr_V1.4:10:15174901:15182524:-1 gene:LPERR10G15140 transcript:LPERR10G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRLKDLALPEESSEGRASGRRVEGPGHREVVAAKMMESKLTSRKRPADSPIVKVESGTCNVCCSPCSSCLHRNIAITDSNMDCGSSQTCCARSETKNSSFLRSDKGLHGKGKGGENDDEFSATSSPASYTENGENKVVARSSVAADSEVDKPAKRRRLINHGSRSPRIECHDDSNSCVTGVSAAGKLLLDKKKDKLSMSASSRDLTVNCKDNSFNSQNRLRIFFIEESSGKKRSDVHVMNRSSSDRSLPAVSHSFATKKLLRTQSSLSASQGLCPKRPTHGSGNLQDNLAHQPCEKASSNKNIERSLGGKSDPSVLGAERHGTMTNCGTSSREKIKAGSLTKNIENGTSCLRIGSLERADIQSNDAVNRNDNDKQEQNQGCSMDTGNGRKLNMQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECHLKEEQNQTRSNDGAAKIKIFDGKNQNSETMNNPKTLKVATTDLDSGTPVTDPLSGRNQKLHLLSTDAEARQVKCTTPTAERLDGKNKNSGIMVNRKKLQVTTSGFEARHSTCSTPTSGNLDKNQSFDKKSQGSEALLNHKKLRIATDMESPLSNEGVRSPPKSFKRYAENTLSSTPRLLKTESPRKHDVLSRENSFKSSNKGSLKSPDNIPTRTPSVSSSMALPRSYSVGNLANVKKPVPSPRGLLSKQPSFNNSNNEPKVKQLAEPVVSKLKPSKHSPRDPREKGPIRKIMKSGSFKHEASVCKDSSSSKQKQSVHSSQNEKPRIVKPVKPTNLLERRASFNLQKPSIPSSPRSDSSTRTADPRNDQDNPRPGPSILKSSKKTGKLLIPYSNCCKEYITVPLTNFLYGSSKLGLVKKKHSSILSKSEKQGITDHPTSTGVVSSKGTYVVKASDPLIPVDKIENDSTDDACETPLVLVNNDNEMVIKPEVVSMPCAPVICGSDLQDIVSTSCSEEVQYEQKHLERSESGFSRSAVAIQASEDILPDCPQGCLVPYNPDNPDSKLNDMNIKQQAFVDQSAASGSSFGALVIPEQTYIWQGTFEVSRPGNSEMYDGFQAHLSTCASPKVLEVVKQLPQRITFAEVPRHSSWPLHFKEVKPNEDNIALYFFAKDVESYERAYGKLLGNMLAGDLSLTANISGIELLIFTSDKLPEKTQRWNGLLFFWGVFYAKKENSSTELLVKGMDPLELNGPVNRLVCSPNMPQSLGIDLNECPVDDFCDSAVSVEVKIKNSGASVDHEAERHSCEIHRPETACTGNILLGTPTAVPYGVHVHTGSKGECLNIKPEYQSDTQGGKRAAGRDMEEEESYYKNKAPCFAKQHTVASRSVSDEILANTQSPVSFKEVSLRHSVGPKLSEDPSDLILKRDFVLSDSSSMYKRQKTSDGKYSACTFGDGQLTSKYLSKIHPLPADQHMSLNDAQYICRVPADPCSPTKSNSDHIIHVLSSDDEDFLERRNTLNKASLKKEEGTSPLLSLSLSMASKKHNLAGSDTGDDGPLSLSLGLPGVVVSNQALEMKQFLPEKPGMNTSLLL >LPERR10G15150.1 pep chromosome:Lperr_V1.4:10:15193390:15194706:-1 gene:LPERR10G15150 transcript:LPERR10G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFGWMQWPGAYCAQTKSGCCMPKTDVVPAGDLYVAGFTVYDAATNYICRERLQRHPFNIHQYWNNIKCPSTTGQKSWKNAWETSGVCSNLTESAYFETALALRNKINPLSRLVSNALSQTFGLYSVERIKKTIQCSKGPFNMQIFICVTEDTKTFMECPPPKKPYICSDEILFHPFKKWMLNTTTN >LPERR10G15160.1 pep chromosome:Lperr_V1.4:10:15198315:15199182:1 gene:LPERR10G15160 transcript:LPERR10G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVLSFLCLLMFMPLFFTPGCGNEVCEEWLSDTYRMLVMCSSEKCNQLCVSEGATRGRCGFLIVRSFCYCTKECDES >LPERR10G15170.1 pep chromosome:Lperr_V1.4:10:15205840:15211039:-1 gene:LPERR10G15170 transcript:LPERR10G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPADSDQVLVETNGVTRTLVLNRPKQLNALSSAMIMGFLKHFTAYQDDEGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYNKPQVSLLTGIVMGGGAGVSIHGRFRVVTESTVFAMPETALGLFPDIGASCFLSRLPGFYGEYVGLTGVRLDAPEMLACGLATHFVPSDKLALLEESLKKVDTSNPFAICGIIDQYSQQASLKEKSVLNRLEIIDRCFSKRTVEEIIASLEQEALNVADEWIAAAIQSLRKASPT >LPERR10G15170.2 pep chromosome:Lperr_V1.4:10:15205840:15211039:-1 gene:LPERR10G15170 transcript:LPERR10G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPADSDQVLVETNGVTRTLVLNRPKQLNALSSAMIMGFLKHFTAYQDDEGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYNKPQVSLLTGIVMGGGAGVSIHGRFRVVTESTVFAMPETALGLFPDIGASCFLSRLPGFYGEYVGLTGVRLDAPEMLACGLATHFVPSDVGLLKLALLEESLKKVDTSNPFAICGIIDQYSQQASLKEKSVLNRLEIIDRCFSKRTVEEIIASLEQEALNVADEWIAAAIQSLRKASPT >LPERR10G15180.1 pep chromosome:Lperr_V1.4:10:15212146:15215591:-1 gene:LPERR10G15180 transcript:LPERR10G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLLLLSAKEDPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGHQQQQQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >LPERR10G15190.1 pep chromosome:Lperr_V1.4:10:15219147:15222757:1 gene:LPERR10G15190 transcript:LPERR10G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSTSRVLHRLASPPLSPSSCASSLARARRRVARRRPQRGYSCFAVRWEERVVVVPMALGGGGIGFEDAADDDSDDEEDSHGALRPREGEEEDEDFNLDLQPPPSGPERWDVLGLGQAMVDFSGMVDDDFLERLGIEKGTRKVVNHEERGRVLRAMDGCTYKAAAGGSLSNSLVALSRLGSSRSANYPELRIAMAGSVGSDPLGSFYRAKLRRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLGYDSDLASIVSKSNVLIVEGYLFELPHTIEAIKQACEDAHKNGALIAVTASDVSCIKRCYNDFWDIVVNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPSPCVPVDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAVVVGQQGTRLRVQDADRLAKSFVLHLDNLEFCSDVETDHVSNL >LPERR10G15200.1 pep chromosome:Lperr_V1.4:10:15222914:15225484:1 gene:LPERR10G15200 transcript:LPERR10G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLVAHYGGDQPPPPAFEEGVHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITEPLRKKIRVTRYQSTLYTMHYGEYAAYVKKNRGAAPEINGAPIVNGFKPGH >LPERR10G15210.1 pep chromosome:Lperr_V1.4:10:15226620:15228124:-1 gene:LPERR10G15210 transcript:LPERR10G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIERVFADSDDDSCEIDHADSDDDCCEIDPAEFASKVQLKVSESEDVILLTSKGQIKVEEDCHDTDANTICLDISDSDNDLDLHQYAAGDRMDCPYEIDEDEATLCKVDGDEDKCRANNVQKSQQLLTDESRVFDEEDDDDFVVVGRDALWPGETSLIQGTCANFPFNKTSHESHCPKFPTLRRIQMCPREKRIRTKVSNL >LPERR10G15220.1 pep chromosome:Lperr_V1.4:10:15228887:15230642:-1 gene:LPERR10G15220 transcript:LPERR10G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTLSSSLPSKFPKSPNPIPSIARVYCFSSSSAMAKAKTRGRRPAPPPPPTATPPSTAAAKVVIDITSSPDSSGGKGGGGGKRAHVSPLDIDLDGIEMWTPRQKRRLEDDCCILAADPLAPNAAADAAGGGDDDDVAVVAERGKVACRDYPHPRSACAKFPFSSTPHDKHCQQCFCYVCDVVAPCSSWKKGQGGGHCNASDQDKQWKIKRVARQRQTQAVK >LPERR10G15230.1 pep chromosome:Lperr_V1.4:10:15233631:15235318:1 gene:LPERR10G15230 transcript:LPERR10G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPRPANPRAARRRLGRRPPLRLPPSLQALHPQLPTPPLLRRFRRRRRRAPPRPPPRGQRHLRPPRQHQHRAPRPPRRRPPRLRIHHHRRRAPPPQLPLRHHRLRARRRRRRRPDLLRRPRVVHR >LPERR10G15240.1 pep chromosome:Lperr_V1.4:10:15239498:15244139:-1 gene:LPERR10G15240 transcript:LPERR10G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLAAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQLPKYAGAVDAVKQTVAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGQALTVKQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAADSGVALPKGPMDVAKHVVREAGTKGLFKGLVPTMGREVPGNAVMFGVYEATKQYLAGGQDTSNLGRGSLIVAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALEQMERFMHSLVEKKLQTELATEHSLLQKKMGDVAKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVNQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALATAVPAPATAAATATAGATTATATVAAVKYGGPVDVARHVLRSEGGMAGLFKGLLPTLAREVPGNALMFGVYEAIKQQLAGGHDTSSLGRGSLVIAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYKGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >LPERR10G15250.1 pep chromosome:Lperr_V1.4:10:15246691:15248259:-1 gene:LPERR10G15250 transcript:LPERR10G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPAQTVGTVLCCICGVSMSPNPANMCARCLRARVDITEGVPRHAAVVYCPDCASYLQPPRSWLRATPESPELMQILLRRLTRPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLNGVVLEQTHPVEFTVHDRLCDACSRAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLAIRVAAAPGGLDFFFGSRSHAARLVDFLTTVAPIHTNTAKQLVSHDTKSSVYNYKYTFSVEICPICREDLIALSPQVSRDLGGLGPLVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEISIDGSRYQLAYAQVARVSDFGKNDTIFTVRTHLGHLLNPGDTALGYDLYGANLNDDDMDTAMTRHNVPEVILVKKSYEKRSRTRRWKLKRLPMEEDGANKAKGDEEKRANEYEEFLRDLENNPEIRFNMNLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEDEEEEGNAHTGMVA >LPERR10G15260.1 pep chromosome:Lperr_V1.4:10:15249070:15249693:1 gene:LPERR10G15260 transcript:LPERR10G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRLLLDAAAAAHDPVVASSSSSSRRSPPQQSSSFPTLLPVFILFVLLLCFLSIFLIRDLLHFFSLCLRRRHQRSSHHHDAGDGDDHSADPPAPPRKPAGLDPAVLASFPTVLFAASSSAAAAAECAVCLSEFAGGEDVRLLTVCRHVFHTSCIDSWLRGHTTCPVCRSDLDVRPAPAPPSDEVQVPAVAVDVDVDCDDRSTSPA >LPERR10G15270.1 pep chromosome:Lperr_V1.4:10:15250403:15253341:-1 gene:LPERR10G15270 transcript:LPERR10G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTTLSDALDHDRRRESRRNLARSSSQLMLGFHQWGAACLPITAVSRPRPRTPRSQPPPPHVVANAAVAVAERRPLREREEAAAKRVHDHHTPAESKSEAAAVAPSDSEVSTGGDQSSRTQSEVSSCDLALPASTFGRGGNIWVKVLPKIVASQSPQVDSPQTSSESTPTAEENKYVWADKYRPSLLKDFICNKDAARELYKQVTAHECSHIIFEGPPAVGKRSMVSALIRDAFAPDGLEIEEQTKRFELKGEIVKHIDIRVKFSDHHVEVNLADIHGYEKHVITTLLNESIPSPNSVCSHANCRVIVVHDADKLSSDLQHYIGWFLGRHLCKVVTLKPPSSDEIIKVLEYIAVQESIELPRDIARRITMSAGNNLRQAIRSFEATWKAKLYVIRGKIRKLIEHNVSPYFIFSHLVAELKRDRDQEFQNSIDELASELKRCKDCMFEKGRCKECKYRDKTMEIRNINIEGFAKDGHDQGETIQCFIKIEEFTVRFMGFYRSLKAKSNSGGVL >LPERR10G15280.1 pep chromosome:Lperr_V1.4:10:15256705:15257987:-1 gene:LPERR10G15280 transcript:LPERR10G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHDSPFKELRLKNRRIMGGGGPEPEDDETAATAADGGEQWPRWLRPLLSARFFAHCKVHANSHCSGECNMFCLDCAAAGAGAAAGESAALCSLCIAGSHGQHHTIQIRRSSYHDVIRVPDIARFMDIAGVQTYVINSARVVFLNERPQHKPGKSNSGAGGGGGANICEVCSRSLLDNFRFCSLGCKLIGCSPDAAAARKKKRLRHASDSDDSRTNAAAKRSFTPSTPPPPPPPAKRRKGIPHRAPFGSLII >LPERR10G15290.1 pep chromosome:Lperr_V1.4:10:15263594:15267799:-1 gene:LPERR10G15290 transcript:LPERR10G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAVAADKNTVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKSSTEDGNNSWPSSPVAASQPSNQAAAIPDLKLEEASKEVVSEKTEPEVVRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEEPAPALPPVTENSTTRSKSHTSRFEYVENIPSAGSNSEENQVIGGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKAQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQISLQKFSGSSAISSADLFGHPTNNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >LPERR10G15300.1 pep chromosome:Lperr_V1.4:10:15278426:15280558:1 gene:LPERR10G15300 transcript:LPERR10G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNGTMMMEAFMNSDPPFPWVGANASTPPPPPPPPQMAVAPAAPATAAAAAAFNQDTLQQRLQSIIEGSRETWTYAIFWQSSIDVTTGASLLGWGDGYYKGCDEDKRKQRSSTPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFPNGLGLPGQALFAGQPTWIAAGLSSAPCERARQAYTFGLRTMVCLPLASGVLELGSTDVIFQTGDSIGRIRGLFNLNGGGGGGGVAWPAAQQQQQETDPSVLWLADGPGIDMKDSISGAEISVSKPQQQQQIQHFENGSSSTLTENPSPSVHAPPAPPAAAPMHRQHQHQQQSSQAQQGPFRRELNFSDFASNGGGGGGVAPPFFKPETGEILNFGNDGISRRNPSPAPPASLTTAPGSLFSQHTAAANADAKSNNNNQKRSMEATSRASNTNNHHHHHLSAATTTTTATTANEGMLSFSSAPTGGTTGAPAKSESDHSDVEASVREVESSRVVAPPPPPDAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTSLETDKETLHAQIEALKKERDARPPAPATAAAIDGGARCHAVEIEAKILGLEAMIRVQCHKRNHPAARLMTALRELELDVYHASVSVVKDLMIQQVAVKMATRVYSQDQLNAALYSRIAEPTGSAVTRC >LPERR10G15310.1 pep chromosome:Lperr_V1.4:10:15282814:15296938:-1 gene:LPERR10G15310 transcript:LPERR10G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAASAPPSAAVPDPSSPRASSSSAAAAEEPEYLARYFVVKHSWRGRYKRILCIATSGLVTLDPATLAVTNSYDASFGFDRAAPEGNATEFTLSLRTDPRGKFKALRFSSPLRAGILTELHRLRPVHPVVEFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDNYGKRTSEGGGFVLCPLYGRKSKAFMAASGSTNTTKTANSTVGLSLQVDNSQSMTTTDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVAHIHIPHHTIDMEAAAVHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPINIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKIMSVPEQGMPSNNNDADIYGHTNVAPYGADVHQRHANQYPSAHTPSSGLSSDPSYAVPHSFVPEAFAENNHQTGVLQLDSHSYLVDSNGNGDLANSAHSDFSVPAQVVVENTPVGSGRLLCNWYGFWKAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSDTLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSNKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEDACKILEISLDDLIFSEDGNSKQASELSSANLTNNVENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKNDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHCTELEFVPSAVDAALQTAANVSVSSELQSALLAAGFLWYVLPLLLQYDSTAEENATGEAHGVGARVQIAKNLHAVHAIQALSRLCGLGDDGISSPSNQPAFDALRALLTPKLADMLRNHPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDQQRSSQGPDGSYDLAESHSFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVEKWNSLSLEENMMHQHGSGNETSTTENGDVNGSTDEGKEENSLEKHRVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALSVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRPCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQIKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYESNAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASQQATSRSQVEPSDPENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSASRLTYALPAPAPQPALVRLPSTAPVLPSTPANPSGRHSYQHS >LPERR10G15320.1 pep chromosome:Lperr_V1.4:10:15303023:15305342:1 gene:LPERR10G15320 transcript:LPERR10G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEVEVGLRRRERHHRRSRGGRFRAEIMEIDPPGLIAIPRGADSGFAAAVREPLVKLQRPKFEFEGWDWDYISWPHDRLDANLEMRDSDPEATFEADRKASEDFLHRSTLQLDKCKTDQRKPEQQDMELEDEDKFVSSLLNVDYEITGCSSSEPWSTAWQRNVPRHEHRILIAL >LPERR10G15330.1 pep chromosome:Lperr_V1.4:10:15308177:15312959:-1 gene:LPERR10G15330 transcript:LPERR10G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSAADALFIPNPALAGFMPSFHHFSNPTLIPKEEGVMSGLKDEELEMDMELSGGSGSAHLDGLLSFADVNDIDDHKPQPNNDQHQNAATTANGGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLGEMSFEEQQLRIENARLKDELDRLACIATRYGGGGGGRQPVLSTSALSCISAPPPPPVLMPPLDLDMNVYSRHFAEQPPVIGEHLVMPHHHHQHQMVDGYIMDAAPPPVQEQDRQLVLDLAATAADQVATMCRAGEPLWQLRRHHSSEVMSVEEHARMFKHNAGGVARTEGTRDNAVVIMNSINLVDAFLDTNKWMELFPSIVSKARTIQIINHGAASGHLGNGTLLLMQAEVQFLSPLVPAREVVFFRYCIHNADEGSWAIVDFPAEGFEEGILQASVVRCRRRPSGCMIQDMPNGYSRVVWVEHMEIVGEEKPLQPVFRDYVAGGAAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTALSDSTEDTIRVATRKNTEPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSIHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPSPPAAPTISSSTTTTGNGESSTPPPPRNSSNTDEPAINGCLVTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITVALKGSGSAAAEPASAGSDHQCKGGFDKKPALTTQISFSSRQCDVPLKMTKIPPTTRKQWGHFDHFGKLLNATTICCN >LPERR10G15340.1 pep chromosome:Lperr_V1.4:10:15317966:15323438:1 gene:LPERR10G15340 transcript:LPERR10G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFAAASLLLPSPSPSPTLHAQRSRRPFRIPLRSRRPPVAAAAAAGSGGGGGIPDEWGDRSPSAPETPSNPDPPIDDDEWGREDAAMGNSRPGAVTDEWGEPGVPEPQPTTSAADPPTNDDEWGGDPAPAPQGDEEEEVERREELKRCLVDTVYGSELGFRASAEVRGEVLELVTQLEGTNPTPEPVQATDLLDGNWILIYTAYSELLPILAVGAAPLFKVDKISQEIDTNSMTIVNASTISSPVASFSFSATASFDVQSPSRIEVQFKEGSFQPPKISSSVDLPAQVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDNDLRISRGDGGLFVLVKEGSPLLDQL >LPERR10G15350.1 pep chromosome:Lperr_V1.4:10:15321938:15329746:-1 gene:LPERR10G15350 transcript:LPERR10G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAAAVASDETLAAIFAQLKPHTVTLLDFIRSRTSASKSAAASSLRAMASFLRSAPDPTLQLCFEKEANANDPGELDISDAIAEGGLVCLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPLEASEEFRQGIIRCFRAMILQLYPCLDHSCSCKQATALPTALSITNLECGAIVIPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRRESLITLRVLIAKVGSADALAFFLPGLVSRLGKVLYTSKNVISGAAGSALSIEQAVLGLTDALMIVLNDKKNLSGLDISSKEDVIFCSDGNSSSEHVLQMLRQLPAKTLSEQIGSGEATEDLTTGGSKTSADRRELHVKRTKQWLEETANNVDKLLSATFPHECLCVLACDDAAAVSEAAQDSLDYLFSQGQRVLTEDDVADIFTRFVQKLPQMVLGSEEIIAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHNIPASTSTKISVIQDNGLPYTTHNSVDYELPHVPPWFVHVNNQKLYFALAGIVRLVGLSTVSGEETSASLSVFVDTLLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGVSDRSLSICLQIFNKSSAQIIGAPGQNDQLTASGLHSGGTNRNVWNISERMGMRDHIIHCIGSILHEYMAPEVWDLPTESDSELSLSELNIPLHFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALASAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLSYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGQAIQNFMEKRRDTCAMSEKVDVDAHPNFGELEYWEDLLCKLNEMRRYRRIVGSLVGSCVIASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDEFLDDMDDMDATEDVDENRLLPAVNKLWPYLIICLRNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVVWRLLALSPFRRKRMVLMDEKAIVLPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSATALESVLKKVCGLVVGIAYSGLIGLREAAIKALTGFACIDSDLVWLLLADVYYSLNTRDMPLPPKDLVELCDLLPPPMSSREYLFVLYGGEGVRCDVDPSSVHEVFKSMQDKVFT >LPERR10G15360.1 pep chromosome:Lperr_V1.4:10:15331602:15332421:1 gene:LPERR10G15360 transcript:LPERR10G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSVGSPGGKPRLLDGGGAAEADEKAGKAAAARAAPDKSIHLIPVLTLLCFLILFLLSHDPASAASSSLPTATTRSLEATAAETTGGVHRRLKQEPRLPRGRGRRMGMAPAVTSARRGGR >LPERR10G15370.1 pep chromosome:Lperr_V1.4:10:15334896:15335286:-1 gene:LPERR10G15370 transcript:LPERR10G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKEFRSLDDDSWMFAAPRSRINLVSMSGGCLRKQQEKMADIGQASKKTRNF >LPERR10G15380.1 pep chromosome:Lperr_V1.4:10:15335640:15338891:1 gene:LPERR10G15380 transcript:LPERR10G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDERPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHGDLSI >LPERR10G15390.1 pep chromosome:Lperr_V1.4:10:15341217:15342242:-1 gene:LPERR10G15390 transcript:LPERR10G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADEPPSGGERRYVIGYALAPKKQQSFIQASLVSLAGGRGLELVAVDPSRPLPEQGPFDLLIHKLYGEEWRAQLDAFSALHPSVPVVDPPHAIDRLHNRISMLQVVSELDAAPHHQTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHRDGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSTDALADSAEGSVSFSQVSNLPTERTTAQEYYDDVRLEDAVMPPAAFVNHIAAALRQALGLHLFNFDMIRDVRGTGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHPYKDPEKEEEKGSNHAVVK >LPERR10G15400.1 pep chromosome:Lperr_V1.4:10:15351494:15352237:-1 gene:LPERR10G15400 transcript:LPERR10G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGTTKLTRSASLSPRLRRSRTTIIIRSSAAPRPSSISLRRATSDMDISAPLPLLRDIVIEDDDDGIGGRGKGNGSGGRGGGGGRQDNGMPPQQQMGDYYRRVLRAEPENPLLLRNYGRYLQEVEGDLAGAEDCYARALLASPDDADLLSLYGQVVWEASRDQDRAAAYLQRAVHQAPDDCYVLGSYASFLWDAEDDDDEPQEAAAEPALVPADPPPLALRM >LPERR10G15410.1 pep chromosome:Lperr_V1.4:10:15357517:15359017:-1 gene:LPERR10G15410 transcript:LPERR10G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGLVLAATDPLRAFLATAAATQHLPADLRDLASQPAVPYRSLREIWCAASPDTRPPLRRLLHSTEFLLPSPKPREKSDELKARLDKLREMQERREYAELVRDVAPAKEDTPEPFSSYKDQIGFGLHVVVIMFTGYLVGFIAFRALFNNSPVMNAAGGILGLVGGMLVETVLFIIRSSSKELASSVPRPKKVQ >LPERR10G15420.1 pep chromosome:Lperr_V1.4:10:15360443:15364311:1 gene:LPERR10G15420 transcript:LPERR10G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSVCVMDASGPLGHAIVDRLLRRGYTVHAATYPQSESEYGDGQPRLKVFRADPLDYHAIADAVHGCSGLFAIFNNTCSSLEDEEEMVEAEVRAAHNILEACAQTETMERVVFNSSVTAVVWRPPQPEEEDDDDDDNTTASASPLWHALAKTLSEKTAWALAMDRGVDMVAINGGLLTGPGLTAAHPYLKGAPDMYDHGVLVTVDVDFLADAHVAAYECPTAYGRYLCFNNAVCRPEDAAKLAQMLISPSSPRTPPPPSDELKVIPQMIHTNKLNKLMLEFTSGIYGDIN >LPERR10G15430.1 pep chromosome:Lperr_V1.4:10:15364900:15367855:-1 gene:LPERR10G15430 transcript:LPERR10G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSAHEVAEWAGKAGFKWKLEMWVEILCGLLAYKIIRRVFFADSDDPAHLADLDSAHSDLCFALASRLEKLYSGRCFVGLRIPDPDAGERQHIDIVLVTNRELMVVSIHNISGFVEVDKDGNWTCPSDKKHKHDVIPNPVLQVNRLAANLQAYLEQRGAKLPDGYITGRVVLPNPNCRPSYAITVQPEVVLYDQWKDLKADSKGGLSTWFKGAFSGSKGDMQDSLLQNLHFILSTSPMWDRLELRGDKNVLGEFIEFKGRHDDIQALKCLKRSKVSRFIVQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKELSVKQYTEMLFQPLHSKKVKKFKLSSVASVTLSA >LPERR10G15440.1 pep chromosome:Lperr_V1.4:10:15368503:15371795:1 gene:LPERR10G15440 transcript:LPERR10G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKVSAPRVSRLQMRQQPSAAANKSSSSSAAAAAQNNALDKSSMDILTKPSPPERRSFKAAAAPRATTPDKVHVRASNARSSASSELQLQLQAQLKAVQDELKNARDHLAAIDADKAQLHANLDHALAAQRAAEEALELERFKSLEREQLAIDVAQSKEHDWNARCHAELDTVKAELAVAKQIGDTNAGEVARLKSEMDTKAEEAAAIVGKLESEVSGLRGELQNAEKLIHGLKVDIAYAKRAEADANQSTQDWKTKAESLQSRLDELSSLNKSNEDSLATLTNTFQECKSTLQHEQSMVFELKEKVSSLEKEACEYKEGFLETNRRLDIATEEARQLQATIDKLRSEHKILNEAHQQVVTNEKTVSSQIGMLSQDKIRIQHELDDARDERDKAKKAVEDLAAAMREVSSEAREAKERVLAKQTELDGAQIQIAELKTGMKNAQDRYQVMLDESKYEVEFLKKTVEKMGSEAKISNDELASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSFGDAEKQVQELKAEKSQLLDKLKQLELSNSDTRVQQTADESESSRLKDILSSKEKEVLALNNEVTDLRLRETAALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLIGLLKAAENEANAAKDDKAQLQAQLRLLESKITEANLTAEEEKINSLRLKETLAEKEKELLIIARENDSLRTREAAAQSKIDELSSVVAAEAPTARKLAGENSTTNGVAMIRSPEKQQSMFRKMICSPMDNVRDDMNNSNRQEDEIKDVEAEAVKQQHQVKVKHEKEEASAMDANTLENSKIIEDDISKHRDDDDNESSDDDDIESQGDDATVDQMNGLLIHGPTSSFNQEQQHSHNKKKKALLKKFGSLLKKKAHFTKLNNHA >LPERR10G15450.1 pep chromosome:Lperr_V1.4:10:15372052:15372404:-1 gene:LPERR10G15450 transcript:LPERR10G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDHTSPSISVAQIYSIDNEKEKLITDLSKTASSSSQKSLTRTAGVCSRLMHPIQRESTLVHT >LPERR10G15460.1 pep chromosome:Lperr_V1.4:10:15375466:15376329:-1 gene:LPERR10G15460 transcript:LPERR10G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSSSSSSNSNPHLGVNKLGRNIRKATPPPPPQQPPARPPQPQPQVYNISKNQFRDIVQQLTAGTPSPPPPQHHHQQQPHRPLPPQQQQPKPPSMRLQKIRPPPISTPVARPPPVHNHHHQIPPNPNQNPAFHRPPPPQPMPPPMPMPTWADSPVSAYMRILENSLFSATPPGAAAAAGQPPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPGPLTPNFPALSPLPGTGILGPGPMAPPSPGLWFPQSPSGLLSPSGFLPILSPRWRDM >LPERR10G15470.1 pep chromosome:Lperr_V1.4:10:15385381:15387308:-1 gene:LPERR10G15470 transcript:LPERR10G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRRITRSMAKSAAAARPALHDITNDSPIVGLAAAGLHTPASTAAKARPRPRRTPGSGEALLRGQVKTLLQKVDEDAAASSAPSPNLLRIQSLLGVARSPAQLLAPTPANTPQLSAAASAAPHAFAFSVPCVLEEEDLLPKLQVIAAALPPPPAQAEENLGECNRALVFEDSPGKSDLSNSGSVVLSSSLPFQDSSSDRSPDDDSSSAWSIQVNASSEKDDEDTFTEQDQEEEEWLTEDEADDDDECFDDLCEGMSNMSVFDDEEQVEEQEKKVGLPAFQGKHTRFIYDSDGEMEREDVAYVPVENCTMVLRGLPVPEGKHLRFHEEEEE >LPERR10G15480.1 pep chromosome:Lperr_V1.4:10:15387536:15390006:-1 gene:LPERR10G15480 transcript:LPERR10G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSWSGPQQLVIISSAVLMMMSSWAAAAVDLSPAAFDVAYTPLFGGDNLVRSPDGRTVHLKLDRFTGSGFVSKSAYHHGFFSASIKLPDDYTAGVVVAFYLSNGDVEARRAGLGAAGHVYGNGSTSRGREERYLLPLDPTAAFHSYAIAWSPAAVLFYIDGAPIHRRLPGQAHVRGTARPGRPTAAGTRWTTPTRPATTWIGFECGLTSP >LPERR10G15490.1 pep chromosome:Lperr_V1.4:10:15403972:15410660:1 gene:LPERR10G15490 transcript:LPERR10G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRNCLSAEVRIGLETLKRRRLERMRLSAQNNEDHSPAVPARSGGDALRTPANCGVRLHANNGTGLSSGTTKNKDPFAKRRVDKFDMSNLEWVDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAEWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREISFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGNAAPGFERVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNILLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFSLGSLASRRYALLNRTPLLAHEELLCRSAELLYQKMLNSDPKSLNKSEHPHSQRCVKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVSCGCYFDPICLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDIFLDKERSGFDSKKQAEKNDPSLKTTRNLGNTESNLLEDAFSGETAADAAKSSPATSTLTSFAQHDVPVLAEATVCANQANQVGSTTKQAICTSLVNRTDAVGAHSSSMVDANNGTGSCNASAVECSGDSDSESEIFRVKRRSGVSGKPASDAKASNLSDQQVLRRLKKVRPEIQQDNKRPEDYGPCSVPSGRMGIRNSNSSSSSGEEHWRMKRRQLETQQDDSSYSAKQKSYSYPSTSYSSREEFAETSRDAAAEFRPKRLKIRLPSSSANRVVEQSSSAQR >LPERR10G15490.2 pep chromosome:Lperr_V1.4:10:15403972:15410660:1 gene:LPERR10G15490 transcript:LPERR10G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRNCLSAEVRIGLETLKRRRLERMRLSAQNNEDHSPAVPARSGGDALRTPANCGVRLHANNGTGLSSGTTKNKDPFAKRRVDKFDMSNLEWVDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAEWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREISFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSNAAPGFERVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNILLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFSLGSLASRRYALLNRTPLLAHEELLCRSAELLYQKMLNSDPKSLNKSEHPHSQRCVKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVSCGCYFDPICLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDIFLDKERSGFDSKKQAEKNDPSLKTTRNLGNTESNLLEDAFSGETAADAAKSSPATSTLTSFAQHDVPVLAEATVCANQANQVGSTTKQAICTSLVNRTDAVGAHSSSMVDANNGTGSCNASAVECSGDSDSESEIFRVKRRSGVSGKPASDAKASNLSDQQVLRRLKKVRPEIQQDNKRPEDYGPCSVPSGRMGIRNSNSSSSSGEEHWRMKRRQLETQQDDSSYSAKQKSYSYPSTSYSSREEFAETSRDAAAEFRPKRLKIRLPSSSANRVVEQSSSAQR >LPERR10G15500.1 pep chromosome:Lperr_V1.4:10:15404442:15404621:-1 gene:LPERR10G15500 transcript:LPERR10G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPEGRRKTAARKGKGNGTEVGWGGGCQETPLCNVRTPGWGRKTESAAAVCWVGRCDG >LPERR10G15510.1 pep chromosome:Lperr_V1.4:10:15411941:15415229:1 gene:LPERR10G15510 transcript:LPERR10G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLAVMLTLAMQQPLVWAGVSECRGRSRQPNKDARGGDDAGEVRSLCHPLPLQPSPSISALQWSGKQQAGRLTVLMLASACSSSSSFSLSVSSPSSSSTFCCFRPRPSWPRRSLLIAAFPPSSTRHRLRLRPRASASPSTAPPKDYEFTDGNGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLQTLINVKQLYDRIKSSETIWFIDEDQLVVNLKKVEHELKWPDIDESWQSLTSGITQLLTGISIHIVGDATDINEAVAKEIAEGIGYLPVCTSELLESATQKSIDTYEASAKEEAQRSVSSGSVAYAKSDVVVKLGGWDPEYTRAVAQGCLVALKQLTMADKKLAAWASTVNSQGLKANMKKPGYRVYGAARTLQQLEIMPNVSKGYKLAKIIVCKLLKIILLFKSRSKSKQTVSAGKCTHEEKRGDTPPKNVRLDTLHLSSEYQFLQDCLKKHETCLWRINTVQHHDSDSMTTQ >LPERR10G15520.1 pep chromosome:Lperr_V1.4:10:15415514:15418289:-1 gene:LPERR10G15520 transcript:LPERR10G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKCGRNTSSLKRKRDKPAAPCSAVYDTSKLHQHPTDNSVRFYVDEARKAKIRCHLNVQIIQGYQNFMTSALPKRILLRQGGEWKDFPQQIVKLAHKNFRAKKTITEGKYQSQLFLLDFVHMTFIDSKTDLQRPIAWIDENGKQYFPESFVEDQILYRKKDFGDGNNVYIAVEPDGTREMRDHVGASESFAESSNFESSTDDASSAKRYRAEKSATGKKTGGVGETTGENEPHILLPIPCRSLLQDKLGEQSRAQLAVSAVQKLLLQGLSSVLSSKDIVGIYRTPVLDNNNKESCFNLFKKQVERTNCKRGNANVRYAWLACSKSSVDEMMLNGVLQFKKPAKCPDYGIGTILAPANCANTCVNYCDVDENGIVHMMLCRVIMGNVEIVHHGSRQHRPSNEYFDSGVDDLKNPQHYIVWDMNLNSHVYSEFVVTIKLPSSVKGSPATQEDCHNLSEVSSLVLSSGSPDSVSQDMNLWRSPALGGQCEAPMLGDTVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHETPNTWAKMMGKP >LPERR10G15530.1 pep chromosome:Lperr_V1.4:10:15422429:15429011:1 gene:LPERR10G15530 transcript:LPERR10G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLAASPAWTAILPAPARLCCSRRTALRLESKGAWRPAARGPRVPTKGAVLAAEVVGPSPLLDARNEQELILHIRNEVDKGKLPADVAATLEELYYNYKNADPFTFPPYHKSVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDIEQKLRQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGSGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVTGHIYPLSLLCYEVMPPPQKVEKEIGERRVISFHGVGLSVAEEIKYSNITVHTKNVDECREKFSEALYNSVIDQYNVLKSAIFRGRGAVSSNSDISLSQPWR >LPERR10G15530.2 pep chromosome:Lperr_V1.4:10:15422429:15427591:1 gene:LPERR10G15530 transcript:LPERR10G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLAASPAWTAILPAPARLCCSRRTALRLESKGAWRPAARGPRVPTKGAVLAAEVVGPSPLLDARNEQELILHIRNEVDKGKLPADVAATLEELYYNYKNADPFTFPPYHKSVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDIEQKLRQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGSGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVTGHIYPLSLLCYEVMPPPQKVEKEIGERRVISFHGVGLSVAEEIKYSNITVHTKNVDECREKFSEALYNSVIDQYNVLKSAIFRGRGAVSSNSDISLSQPWR >LPERR10G15540.1 pep chromosome:Lperr_V1.4:10:15429491:15432661:1 gene:LPERR10G15540 transcript:LPERR10G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDGPDWATNLEICDMVNSGNVNSIELVRAIKRRIMLNNPRVQYLSLVLLETVAKNCEKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSAPSAEPYSEAAQEGYQEIPDESFAPVRVVPAVQVNEAFEVARNSVELLSTVLSSPQKEALKDDLTTTLVQQCRQCQHTIQRIIETAGDNEAQLFEALGVHDELEKVLSKYEELKEPVVAEPEPEPAMIPVTVEPENSPRAISKDDSVAGPAGSGGDDLLQDLDDMIFGKKGGTSSQQDRKGKKDDFISF >LPERR10G15550.1 pep chromosome:Lperr_V1.4:10:15433712:15438520:-1 gene:LPERR10G15550 transcript:LPERR10G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDCNPEQGDGDGDEARPDVDDGGRPSPPAHESLCKGVEMRKHPEAEEDSAKKLAPLGDGAVDGAAESDGDGDEVDHDDGERRYHEGGPLDGVELGELVVDVVAELLGGEREGDLDAGDDLEEALQDGGEVGAGAADEPELLVSPPLLQRDARPLDLQHCEQAERDGDDEQVGEECDVERLHDELAREEGQRRQEAVDDEEHGREGVDANVELHKLAIDRLKKNRGIGTALTSSSSSGADRTGTLTN >LPERR10G15560.1 pep chromosome:Lperr_V1.4:10:15434226:15437680:1 gene:LPERR10G15560 transcript:LPERR10G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPTSAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMEEPGDEQQYVSSSLFTGGFNSVTRAHVMEKQPSSARRAAAASACMVQGCGSKIMRNGRGADILPCDCDFKICVDCFTDAVKDGGVCPGCKEPYKHHDWEDVVSASMSAAMNRALSLPHGPPKMERRMSLVKQSAAAAGANGESFDHNRWLFETKGTYGYGNAIWPEDGAGADADDGPMAGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVVIRLVALAFFLMWRIKHQNEDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLTVLKEKFETPTPSNPTGKSDLPGIDVFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAPGGDENQLLEPIKIPKATWMADGTHWPGTWLQPSPEHARGDHAGIIQVMLKPPAPSAGSSRSPLDFSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHGSGGGLLSCCLPRRSPVEDEEETMALHGAGGETAKKFGNSSFLIESIPVAEFQGRPLADHPSVKNGRPAGALTVARETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTSGRDAFRGTAPINLTDRLHQVLRWATGSVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLSYLLVITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >LPERR10G15570.1 pep chromosome:Lperr_V1.4:10:15440654:15446349:-1 gene:LPERR10G15570 transcript:LPERR10G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYVESQRQARPDLADSYADLADLYHRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDATITFLEGVITKLRETRQLRINEPILYVKMQIASLNLEKGNQKECKNLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSDSFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRSSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQSLPSGLWLHQAPSYPSLPGLLFSPSPPASSMLHATRTRTPRLSAAAAAFFTSRPPPPPPPPLSPRLVDATVSRCPSDALAITFFLWCARRPAYFHPPASFDRLLPAAARLASRLRTAPAILHHLRALGCPIRPHTFLLLLRLYWRGGIYPLVLQLFDQMPLWGFHPNAFARNVVLDVLLRTRQHHSALCFLRDSPSTNYLTYAIFITHLCRAGNWPGVRACFSAMLHQGFLPGTDTLAAVFACCSKLGTMSQLLQLLSFTLVSGYHLTSPMWTCLIARFCREGRLDEAIGMLSNMLASGSSPTVVTYTPLLRALYRAGRRDIATELFATMSSTNCSPDLVLHNVLMDCMTKEKRYDAALGIYLNLHKSHIKPDAYTLSTLVQALQLSQNVSLLPRLFLDSDDISYDLVACNSLLNALCKSGFPSQAVQFFANMITRDIRPDSYSYVGLLDSLCQLGRIDRAINVYHSIVSSDPDSNAYVHSAILHGLVKKGHNRMALMILNEAVRQNYALDAVCYGVVLHGLLQAHLIEEACMLFDKMKRSGMAANTCTYNIMLRGLCRTRDTHAVKWLLREMECSDVEMDSISFNIMIVFLIKMQHISSATALVREMANLGMKLSTKTSSLISQSIGHAYALEDANIAENDQSDSTNDLLACSAS >LPERR10G15580.1 pep chromosome:Lperr_V1.4:10:15448054:15449181:-1 gene:LPERR10G15580 transcript:LPERR10G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSSSSSGLNVAFLLSMVATNLVSLYHLSTRASTAIAPHPPEPEQQQQLIRQLSAIRATVSHLNHLRSSTPPPPPPPPELILYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDSLSLAEPLLLRGCHPLPRRRCFSPSSSPKLLLPSDPFSPLPDSAVRWPPGAKCASFSCLPPSLGFDLSRTEAARFMRAEGPLDLTVAQLLRLASLTRAGPIRLGLDVGGGTATLAARLKRAANATVVTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPLGDGTMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFSCRRADLAAVYDPMLRRLGYKTIKWVVADKSAPQAAGGAKHDEVYLTALLQKPS >LPERR10G15590.1 pep chromosome:Lperr_V1.4:10:15450676:15453142:-1 gene:LPERR10G15590 transcript:LPERR10G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNNDLEHCLIAPSHAAAVRCDQTSWLRSIVEMVQLMMSLGMVVGLDKLLEKAFAAASIKFPSALFGMFCVFAVLLLLDAFAPALARGFIAFFDPATLFIHRWLPLFFVPSLVILPLSVRDVSPASAIKIFSITFGGWFASLAVAGYTALTVRRTVKTQLLPPEPMNKPSPFAALELWAWGALFLASFALAYVSPTALGTTATTCLPFLLASTVFGYMLGSRLPSAARKVLHPIICCALSADLAAVAYGYLSRSGLDAVLGDYLTKAPSNPGAGDILMGFLGSVIISFAFSMFKQRKLVRRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPTLTISILPRCITVALALSIVSFFEGVNSSITAAVVVLTGLIGANFAQAVMDKLGLKDPIARGIGTASSAHGLGTAAVSAKEPEALPFCAMAYALTGIFASLICSISVVRQSLVYIAGSPAYDK >LPERR10G15600.1 pep chromosome:Lperr_V1.4:10:15454028:15456464:-1 gene:LPERR10G15600 transcript:LPERR10G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSHSRKRSKKQATDPEQQQQQPAEEGRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGSADDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKDRIQEKLKRQTSRGIIGGLMQQQPVAAA >LPERR10G15610.1 pep chromosome:Lperr_V1.4:10:15463730:15468627:-1 gene:LPERR10G15610 transcript:LPERR10G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDLGVSAFINILSAFVFLLLFAALRVQPVNDRVYFPKLYLTGQRSHHPRGFVNLDICSYFRFLSWVPGALRMSQPELIHHAGLDSVVYLRIYTLGLKIFLPIMIVALLVLIPVNVSGGTLLNLRKEVVFSNIDKLSISNVSPGSNSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCGREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISVAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIEAKVVKYFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYAFIHQPPTQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHYYCKSRFEPAFRKYPLEEAMEKDKLERASEPNLNLKSYLSNAYLHPIFHMFEQQQEGEEQREEKVEVRIDKAQKQQRNHHRQEEEEESQATAHHYYHHHHEQTTTTHEHYHQHEHMSMSSSQYHMTDGSPSPPHFVYHYGVDP >LPERR10G15620.1 pep chromosome:Lperr_V1.4:10:15469860:15473855:-1 gene:LPERR10G15620 transcript:LPERR10G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKGIQHEHGGDCESTAPLLLAPHEPYRISAAIFPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAVAPNFPIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLLVEVVSGWRYMYATSTPLCLIMGLGMCWLPSSPRWLLLCAIQGKGNLMESKENATRCLCRLRGQASPDLVSGQVNLILDELAYIDQDRQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDKLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSGFGVIALASLVFIFCIVPETKGLTLEEIEASL >LPERR10G15630.1 pep chromosome:Lperr_V1.4:10:15474408:15477324:-1 gene:LPERR10G15630 transcript:LPERR10G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKATCNKCIFDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDSTGDELLEKNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAS >LPERR10G15640.1 pep chromosome:Lperr_V1.4:10:15483924:15485370:1 gene:LPERR10G15640 transcript:LPERR10G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNMFWQSAADQGELLDVVRPRHPDISNSPYLLVEAAGGEQQRQIAAASHGDVDGNEQQQQHCEGLLHAVLPPPLQPMIHHDQDRVLEEEPAGGAQAELMFGDHQQQAPPPMKRRRSETRKKVVCIPAATSSAGGGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVITYTSDHNHPWPTHRNALAGSTRPSAAPPTPPPAITTHHLKHEEEVHQLPHHQLLQYPADHLLFHCPPSFGFFN >LPERR10G15650.1 pep chromosome:Lperr_V1.4:10:15485748:15491650:1 gene:LPERR10G15650 transcript:LPERR10G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIESGDPQLPLLHHHQVSASNEHYTKPFNWKAPALILAFEFLESIAFAGISLNLVVYLGNVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISIVFYLIGLLVITASAVIPSLQPAPCQGNSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAVNLGVFISGTVVVWIQQNVAWSLGFGIASICLIVATVAFVAGTPVYRVQLPTGSPLKSIIMVFVASFKKRKVEVPANDALLHEGDDADSRNGQSIKLAHTDGFRCLDKAAVVVYEDEDAEESRKEVSSWSSSWLVEEVKILVRMLPIWVTSVLYAASIGQTATTFVQQGNAMNTRIGSFSVPAASLNSAEVIFMMVWVVFQDSVVIPIARRYTGNPAGLTQLQRMGVGRFLVVPALAVAAMLETWRLRSVRAGAGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSFVISIVAALTTSGGSKGWLPADLNDGHLDYYFWLWTAISALNFVVYTAFAKNYTVKRLVVPHPHPTH >LPERR10G15660.1 pep chromosome:Lperr_V1.4:10:15486681:15487946:-1 gene:LPERR10G15660 transcript:LPERR10G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCAAADLGRRLLSSSSLSAPARRPLPPPLIPRAFPISSRHHHLPIAPLHLPLGLPLHPATQTQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSLKFRFRIMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALLHLAYAKVIKKLNFCS >LPERR10G15670.1 pep chromosome:Lperr_V1.4:10:15494678:15497202:1 gene:LPERR10G15670 transcript:LPERR10G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRMERQRRGFRWKAPAIILGFELLESIAFSGVALNLVVYLAAVLHGSIAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASLFFYLAGLVLLTVSAAVPSLRPAACSAPPGAGACSPATGSQFSVFFAALYLTSIGTGGVKSALLPFGAEQYEESNTDQEKKKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLFLAAAAFLAGTPCYTMRRLPAGDTPIKSILRVLVAAFRNRNRTIDHLYEGGGSKQQEEEVLAHTEGLRCLDKAAAIAPAPAVVNGLGKGSPSRWELCRVSEVERVKVLARIVPIWLTCVLYAASLGQMTTTFIQQGMAMDTRVFGGSFKVPVASLVSIEVVFMLLWVLLHDVLVMPLARRFRPAVGLTQLQRMGVGRVLVVLSMATAALVERRRLRGERRSMNIAWQLPQFIILAGSDVFSGIAQLEFFYGEAPASMRSICSAFSFLALSLGFYVNSLVVTLVAKITKRHDGSGGWLAPNLDDGHLDYYFWLWAIISAANLALYLLLAARYKSKTPSPPPQPNSPSHP >LPERR10G15680.1 pep chromosome:Lperr_V1.4:10:15500464:15506108:1 gene:LPERR10G15680 transcript:LPERR10G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFILIHPHDHDHGNASVAGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >LPERR10G15680.2 pep chromosome:Lperr_V1.4:10:15500464:15506108:1 gene:LPERR10G15680 transcript:LPERR10G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >LPERR10G15690.1 pep chromosome:Lperr_V1.4:10:15503233:15508139:-1 gene:LPERR10G15690 transcript:LPERR10G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRVGGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPSHPHAAAGVGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLTHPINIYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTIEKWPTLANLPCWQNDQQHIQGHKYENPGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQPPSGNAPPGSQSVVPRPIPRQMQQPMVNMSRMTGANMAAFNAASQAGMAGLNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPNQQKRRF >LPERR10G15700.1 pep chromosome:Lperr_V1.4:10:15510897:15513660:1 gene:LPERR10G15700 transcript:LPERR10G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGKPVLTQAVGYAVVLGFGAFFALFTTFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVIFVFLVYTSSAKLGSPRVVYDRLMAVASAARDCSTTDGLSRTGQACGPVSGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVFGFGAFMGVLAVVLNVAGVSLGWMYLAMGVLVGSAVIPIALLLLWSKANAVGAMAGAVSGCALGVTVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLARPQGYDWGTSRDITTVESVSTDPALDEELKEERLLHAKRWIVKWGMVFTAVIVVAWPVLSLPARRYSLGYFTLWAAVAIAWGTVGSAVIILLPLVESWATITKVCAGMFTNDAVYERLDDVNLRLRAIMGTMPEAEKRYRQLHDTEMHPTGTHPVPPPNDDHHQLHS >LPERR10G15700.2 pep chromosome:Lperr_V1.4:10:15510567:15513660:1 gene:LPERR10G15700 transcript:LPERR10G15700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGKPVLTQAVGYAVVLGFGAFFALFTTFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVIFVFLVYTSSAKLGSPRVVYDRLMAVASAARDCSTTDGLSRTGQACGPVSGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVFGFGAFMGVLAVVLNVAGVSLGWMYLAMGVLVGSAVIPIALLLLWSKANAVGAMAGAVSGCALGVTVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLARPQGYDWGTSRDITTVESVSTDPALDEELKEERLLHAKRWIVKWGMVFTAVIVVAWPVLSLPARRYSLGYFTLWAAVAIAWGTVGSAVIILLPLVESWATITKVCAGMFTNDAVYERLDDVNLRLRAIMGTMPEAEKRYRQLHDTEMHPTGTHPVPPPNDDHHQLHS >LPERR10G15710.1 pep chromosome:Lperr_V1.4:10:15516833:15522926:1 gene:LPERR10G15710 transcript:LPERR10G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGGRGGRGRGRGGGGGGEDDDLHLHKAARSGDLAAAESLCEANPLALNSRDRLSRTPLHLAAWAGHVEVVKCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADIAVKTKGGQTALHVAEKDDVRAFLKECEESLKKGGELPSEKKDDSVSMIAEKADDGKASGEAAKDGDEAGVGEKRKNDGTAAGSKSPELKKAKVSLGHLVSANDMDEEEEEGLSSLNPSPLLGVRAESDSPGAGGGDGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTVGMGIAGSTASIILGGGTKGKRFAMPNTRIMMHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPHVPDDEIY >LPERR10G15720.1 pep chromosome:Lperr_V1.4:10:15522050:15524763:-1 gene:LPERR10G15720 transcript:LPERR10G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCSVAVELVKVATRNLARSTVAANNPHHLFSSSFLCWDPLFSSSSAFGLSAFLICAVLSTRLSSSSASNPQVRSVCGVIHMDPFFRRAFSDPLCLEDNDVQNGIERCPFLRNINEPTSFSFSSVNFPVHARGAKGPIFEDGPNFDMAFRVFHGQDGVVPLSEGSFERIEKPLPKPNPEFNPLAAKAASISISAFGGFFSFGDFSNKRNKKNGNQKKPNNLPQNGSQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAIVAARAALSRTAFAKELRPQPLPTKILVIALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASVLGQTIGSRAERIRLKRAAAAKVTADGQGDTSTGMSLKTGRYTDVQFWDPLALRVESTMGARSPVLVPTVGAFH >LPERR10G15720.2 pep chromosome:Lperr_V1.4:10:15522050:15524763:-1 gene:LPERR10G15720 transcript:LPERR10G15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCSVAVELVKVATRNLARSTVAANNPHHLFSSSFLCWDPLFSSSSAFGLSAFLICAVLSTRLSSSSASNPQARGAKGPIFEDGPNFDMAFRVFHGQDGVVPLSEGSFERIEKPLPKPNPEFNPLAAKAASISISAFGGFFSFGDFSNKRNKKNGNQKKPNNLPQNGSQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAIVAARAALSRTAFAKELRPQPLPTKILVIALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASVLGQTIGSRAERIRLKRAAAAKVTADGQGDTSTGMSLKTGRYTDVQFWDPLALRVESTMGARSPVLVPTVGAFH >LPERR10G15730.1 pep chromosome:Lperr_V1.4:10:15526300:15540546:-1 gene:LPERR10G15730 transcript:LPERR10G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWIGRWKEHRQERFPHPKGEADDAAGGIYLPGKMWAEKCKEDDGSARLSIWAVGSVVVFNPRPRVLSPFPCLSLGRQAGAGEEKWMDAAAAEKAEREREGSGSSSEWGDGVGAVGFRVKACSRESPAQKAGNVLEPDLRSHWSTATNTKDWILLELNEPCLLSHIRIYNKSVLEWEITAGLRYNKPDAFVKVRPRCEAPKRDIVYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPVPGLEPDLHPLVNYLLPHITTAHKQSSSSHNNMHLQLLKDIASRLPPFLPQIEADLASIIDTPESSVHFLALLAGPFYPILHLINERDFTKALISSSDSDALKSSLASTPTAQTRRSRSPSSIQPASSFLAFRSETAVLLLRKAHKDKTLGIVCLKASKVLQELLETDPLLNERDASCLVLCTDYSSLFGEEFSLSQNCFDASILSILDIVSVEEGILHVLYAAASQPLLCNKLAEKKSDMWSVLPLVQALLPALRPPLSPGSAEQVDGGFSQWNHPNVHKALSQIATMSVSSSVLHPLLRACAGYLSSYLSSHVKAACVLLDLCRGPLSPWVPMITAKVDLAVELLEDLLDIIQGVGQSLARSRAALKYIALAISGHMDDVLTEYKVVKHKLLFILEMLDPFIDPSVSVMKDAMAFGDVSVVHLEKQARACNISLNIIREAVKRHSVLPSLELEWRRGSVAPSVLLSTLDPHMPLPPDVDLCKSSVTEIDQIPLTVQNCPPHSCNAEDVDGRDTSETILRAEITEQCNSLFAPEELELSELTNTLEGKNHEKVSTDLDHNFLEDSKSNGKLSAGLFQLDNIIAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPAIEGHNAGIDAFLLAAECYVNPLFLLDFHSSSESLDEIERIHAELIQGNCFSESKHMRAKDIDLVKIYNLENKRDKAVLDLLMQAARFDCEYQGKIPDGEPYPDDTKDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFQRKGHSPNEILLQSLLFLLHSATDLFCPPENVIDLILKSAEDLNGKLVSLYNSVNARDKKLDRVKLHYLRRRWLLLQKLVLASSGSDNTRELLSIKRDGFRFKSLVTPSAWIHKISDFSRSSSPLPRFLGWMAVSRYAKEYLNEQLFLASDFSQLTNLLSVFTDELSLMDGVTTQNIKSDKIEQSGHNNFVLLKKEPLLSEDSEQPSMRLFQILLPELHFFFPSMSKQFDAFGQTILEAVGLQLKCLPKSAVQDILCWFSEMCLWPYLENIREHLAFANGVNCLRGNIAAKAKAVVFYLLESIVAEHLEAIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGSVSGQITECSDFEFLCFEELFEIIGFNKQSEDTPSNKNQAFTDGCETVLVQNIKLFGISVLSVRDQSTEFANSISPDDIVERDRKAQVNVEQVQRKPAEYHENGGNSKGVDSLLPVCIKEFCGALERFVSNLTPSIEGCWKWHHQLTSRLSLSIAKCLLYAKCLKSIAEGDITYSSFEQGVGTEISTDLAQKHWENALQGLAETILVNQEKQCWQVASVMLDYIIRLPNVLAWDNVLSAMSSAIKHFCDHAPRISWRLQTEKWLSILVSYRIEDLKNSEVSLIDLFCTLLSHVEPEQRSIALQQLGRIITGTTKVDSEYTTYKQNSVSSGSTVTSLLVTRTWDRVAALAFYDSSMLLRKHALALLTECIPFVDRNHVRSFLASSNSILNGAEKFSYTIEEGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSVPGYFGDMEKDLCQALCQLRSESDAKTVVKELITESAAKPVNTDFKGIRESILQVMSSLSSVESYFEFFSIRTDQEYQELEEAEIELELVKNERAFQNFTVHPQDTEVPDMSSYHKDANEVNKQLRQIREHIRSLERSRLREEITVRRQKKLLIRHTREKYLEETSSREIELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANPNSRSRERYRERDNGRAQQEGSLRSSSRGLEGGSGPSISSSGTTVVLGGARSFSGNLPTILQPRERSTDERSTGYEDNAEGSGDASSIGDSELGSSALDGLGVSTGTRHGARGSKSSTSRQVVERRERDGRREGKWERKHS >LPERR10G15730.2 pep chromosome:Lperr_V1.4:10:15526300:15540546:-1 gene:LPERR10G15730 transcript:LPERR10G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWIGRWKEHRQERFPHPKGEADDAAGGIYLPGKMWAEKCKEDDGSARLSIWAVGSVVVFNPRPRVLSPFPCLSLGRQAGAGEEKWMDAAAAEKAEREREGSGSSSEWGDGVGAVGFRVKACSRESPAQKAGNVLEPDLRSHWSTATNTKDWILLELNEPCLLSHIRIYNKSVLEWEITAGLRYNKPDAFVKVRPRCEAPKRDIVYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPVPGLEPDLHPLVNYLLPHITTAHKQSSSSHNNMHLQLLKDIASRLPPFLPQIEADLASIIDTPESSVHFLALLAGPFYPILHLINERDFTKALISSSDSDALKSSLASTPTAQTRRSRSPSSIQPASSFLAFRSETAVLLLRKAHKDKTLGIVCLKASKVLQELLETDPLLNESIHNAGMLSRQVSDEICRRDASCLVLCTDYSSLFGEEFSLSQNCFDASILSILDIVSVEEGILHVLYAAASQPLLCNKLAEKKSDMWSVLPLVQALLPALRPPLSPGSAEQVDGGFSQWNHPNVHKALSQIATMSVSSSVLHPLLRACAGYLSSYLSSHVKAACVLLDLCRGPLSPWVPMITAKVDLAVELLEDLLDIIQGVGQSLARSRAALKYIALAISGHMDDVLTEYKVVKHKLLFILEMLDPFIDPSVSVMKDAMAFGDVSVVHLEKQARACNISLNIIREAVKRHSVLPSLELEWRRGSVAPSVLLSTLDPHMPLPPDVDLCKSSVTEIDQIPLTVQNCPPHSCNAEDVDGRDTSETILRAEITEQCNSLFAPEELELSELTNTLEGKNHEKVSTDLDHNFLEDSKSNGKLSAGLFQLDNIIAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPAIEGHNAGIDAFLLAAECYVNPLFLLDFHSSSESLDEIERIHAELIQGNCFSESKHMRAKDIDLVKIYNLENKRDKAVLDLLMQAARFDCEYQGKIPDGEPYPDDTKDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFQRKGHSPNEILLQSLLFLLHSATDLFCPPENVIDLILKSAEDLNGKLVSLYNSVNARDKKLDRVKLHYLRRRWLLLQKLVLASSGSDNTRELLSIKRDGFRFKSLVTPSAWIHKISDFSRSSSPLPRFLGWMAVSRYAKEYLNEQLFLASDFSQLTNLLSVFTDELSLMDGVTTQNIKSDKIEQSGHNNFVLLKKEPLLSEDSEQPSMRLFQILLPELHFFFPSMSKQFDAFGQTILEAVGLQLKCLPKSAVQDILCWFSEMCLWPYLENIREHLAFANGVNCLRGNIAAKAKAVVFYLLESIVAEHLEAIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGSVSGQITECSDFEFLCFEELFEIIGFNKQSEDTPSNKNQAFTDGCETVLVQNIKLFGISVLSVRDQSTEFANSISPDDIVERDRKAQVNVEQVQRKPAEYHENGGNSKGVDSLLPVCIKEFCGALERFVSNLTPSIEGCWKWHHQLTSRLSLSIAKCLLYAKCLKSIAEGDITYSSFEQGVGTEISTDLAQKHWENALQGLAETILVNQEKQCWQVASVMLDYIIRLPNVLAWDNVLSAMSSAIKHFCDHAPRISWRLQTEKWLSILVSYRIEDLKNSEVSLIDLFCTLLSHVEPEQRSIALQQLGRIITGTTKVDSEYTTYKQNSVSSGSTVTSLLVTRTWDRVAALAFYDSSMLLRKHALALLTECIPFVDRNHVRSFLASSNSILNGAEKFSYTIEEGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSVPGYFGDMEKDLCQALCQLRSESDAKTVVKELITESAAKPVNTDFKGIRESILQVMSSLSSVESYFEFFSIRTDQEYQELEEAEIELELVKNERAFQNFTVHPQDTEVPDMSSYHKDANEVNKQLRQIREHIRSLERSRLREEITVRRQKKLLIRHTREKYLEETSSREIELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANPNSRSRERYRERDNGRAQQEGSLRSSSRGLEGGSGPSISSSGTTVVLGGARSFSGNLPTILQPRERSTDERSTGYEDNAEGSGDASSIGDSELGSSALDGLGVSTGTRHGARGSKSSTSRQVVERRERDGRREGKWERKHS >LPERR10G15730.3 pep chromosome:Lperr_V1.4:10:15526300:15540546:-1 gene:LPERR10G15730 transcript:LPERR10G15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWIGRWKEHRQERFPHPKGEADDAAGGIYLPGKMWAEKCKEDDGSARLSIWAVGSVVVFNPRPRVLSPFPCLSLGRQAGAGEEKWMDAAAAEKAEREREGSGSSSEWGDGVGAVGFRVKACSRESPAQKAGNVLEPDLRSHWSTATNTKDWILLELNEPCLLSHIRIYNKSVLEWEITAGLRYNKPDAFVKVRPRCEAPKRDIVYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPVPGLEPDLHPLVNYLLPHITTAHKQSSSSHNNMHLQLLKDIASRLPPFLPQIEADLASIIDTPESSVHFLALLAGPFYPILHLINERDFTKALISSSDSDALKSSLASTPTAQTRRSRSPSSIQPASSFLAFRSETAVLLLRKAHKDKTLGIVCLKASKVLQELLETDPLLNESIHNAGMLSRQVSDEICRRDASCLVLCTDYSSLFGEEFSLSQNCFDASILSILDIVSVEEGILHVLYAAASQPLLCNKLAEKKSDMWSVLPLVQALLPALRPPLSPGSAEQVDGGFSQWNHPNVHKALSQVKAACVLLDLCRGPLSPWVPMITAKVDLAVELLEDLLDIIQGVGQSLARSRAALKYIALAISGHMDDVLTEYKVVKHKLLFILEMLDPFIDPSVSVMKDAMAFGDVSVVHLEKQARACNISLNIIREAVKRHSVLPSLELEWRRGSVAPSVLLSTLDPHMPLPPDVDLCKSSVTEIDQIPLTVQNCPPHSCNAEDVDGRDTSETILRAEITEQCNSLFAPEELELSELTNTLEGKNHEKVSTDLDHNFLEDSKSNGKLSAGLFQLDNIIAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPAIEGHNAGIDAFLLAAECYVNPLFLLDFHSSSESLDEIERIHAELIQGNCFSESKHMRAKDIDLVKIYNLENKRDKAVLDLLMQAARFDCEYQGKIPDGEPYPDDTKDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFQRKGHSPNEILLQSLLFLLHSATDLFCPPENVIDLILKSAEDLNGKLVSLYNSVNARDKKLDRVKLHYLRRRWLLLQKLVLASSGSDNTRELLSIKRDGFRFKSLVTPSAWIHKISDFSRSSSPLPRFLGWMAVSRYAKEYLNEQLFLASDFSQLTNLLSVFTDELSLMDGVTTQNIKSDKIEQSGHNNFVLLKKEPLLSEDSEQPSMRLFQILLPELHFFFPSMSKQFDAFGQTILEAVGLQLKCLPKSAVQDILCWFSEMCLWPYLENIREHLAFANGVNCLRGNIAAKAKAVVFYLLESIVAEHLEAIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGSVSGQITECSDFEFLCFEELFEIIGFNKQSEDTPSNKNQAFTDGCETVLVQNIKLFGISVLSVRDQSTEFANSISPDDIVERDRKAQVNVEQVQRKPAEYHENGGNSKGVDSLLPVCIKEFCGALERFVSNLTPSIEGCWKWHHQLTSRLSLSIAKCLLYAKCLKSIAEGDITYSSFEQGVGTEISTDLAQKHWENALQGLAETILVNQEKQCWQVASVMLDYIIRLPNVLAWDNVLSAMSSAIKHFCDHAPRISWRLQTEKWLSILVSYRIEDLKNSEVSLIDLFCTLLSHVEPEQRSIALQQLGRIITGTTKVDSEYTTYKQNSVSSGSTVTSLLVTRTWDRVAALAFYDSSMLLRKHALALLTECIPFVDRNHVRSFLASSNSILNGAEKFSYTIEEGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSVPGYFGDMEKDLCQALCQLRSESDAKTVVKELITESAAKPVNTDFKGIRESILQVMSSLSSVESYFEFFSIRTDQEYQELEEAEIELELVKNERAFQNFTVHPQDTEVPDMSSYHKDANEVNKQLRQIREHIRSLERSRLREEITVRRQKKLLIRHTREKYLEETSSREIELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANPNSRSRERYRERDNGRAQQEGSLRSSSRGLEGGSGPSISSSGTTVVLGGARSFSGNLPTILQPRERSTDERSTGYEDNAEGSGDASSIGDSELGSSALDGLGVSTGTRHGARGSKSSTSRQVVERRERDGRREGKWERKHS >LPERR10G15740.1 pep chromosome:Lperr_V1.4:10:15542396:15544409:-1 gene:LPERR10G15740 transcript:LPERR10G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERAARSRAVPVALLLVVLCAFSFYLGGIYSTGRSLLDVAASNGIINLQQQQPSAAVAVLRTKAVVFPECPADYQDYTPCTDPKRWRKYGNYRLSFMERHCPPAVERKECLVPAPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGEKFIFPGGGTMFPNGVGAYADMMAELIPGMRDGTVRTALDTGCGVASWGGDLLGRGRGILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSASFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLKRMLASMCFKPYSNKGDIAVWQKSADPACYDKLAPPVSKCDDSVDPDAAWYVPMRSCLTAPSPRYKKLSLDATPKWPMRLSVAPERISAVPGSSAASFKHDDSTWKLRTKHYKSLLPPLGTDKIRNVMDMNTVYAGFAASLVKDPVWVMNVVSSYGPNSLGLVYDRGLIGTNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENTYFLDSIATIAKGMRWSCDKHDTEHKADKEKVLICQKKFWSGKNTQH >LPERR10G15750.1 pep chromosome:Lperr_V1.4:10:15550493:15556807:-1 gene:LPERR10G15750 transcript:LPERR10G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYWAVLVYPSNPEKVVVLGLEVVGTTGLSDGLSLCNHHPILRKGKAKRDQSGETRETDGGTDQIVLTFPMPPQAQAPSSGGGGGAAWRYLLLLLTGLPLALAALAFVLQWRGGGVDDPTARWPPHAFPGMTDPTPPSFLSSSSSSSSSSSACAQVLTASSGPSFPYLRGWSFPFDSHAALHPKVCVQTSTSAGLDQILPWLFYHKVVGIAQFLLFVEGKAAKPQVAGVLESIPGVKVVYRTPELEEQQARSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELLYPGGGAEYSVRHLLAEVPGDVDMVIFPNYESSIERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAASQSLVNDKVSLKENNALNRNGTKIEKVSRKFITVNSQASARKILQAVEPTGRDSNVYAVPPLSPPSLDVHLVELS >LPERR11G00010.1 pep chromosome:Lperr_V1.4:11:1271:2034:1 gene:LPERR11G00010 transcript:LPERR11G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILSLFGNRGQLELMEKWYEKFRGYGIVPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIDAFAEAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFSNAGLFHKVVGMVKLAERLDVPMDTSFHNSVLAACVKAEDLMEMERVFRHMKQMQCVPDATTYSILVQAYHKEGMTDKIYGLQQENPTLVPTDLVHNSKHETVVHLMA >LPERR11G00020.1 pep chromosome:Lperr_V1.4:11:4645:7243:-1 gene:LPERR11G00020 transcript:LPERR11G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQPKMKHQVLSGGGASVATRPMMRASRSTTAAVPPAKDRPAAVSTRRAARVSGNSEEKKPDSELEALRREVERLRRCNEELEQQLAVAHHSVAQLRQQQQLVAAAACSSIPPPPPPPPTSRGIPQGQGVPVPPPPPPPKPNNNSSRRPPGLNASSSKATALVDMYKSLSLTTTTTTTNTATSSFVGELQNRSTHLLAIKADVESKAGLINHLITKVHQTTYSNVEQSDETAVLKHFSWPERKADALREATFEYRHLNSVLTQISKSDDITTLVSCEATLTKTSALQHKLEKSMARLVNLRSSAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGEALLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRAQLASSPP >LPERR11G00020.2 pep chromosome:Lperr_V1.4:11:4645:7243:-1 gene:LPERR11G00020 transcript:LPERR11G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQPKMKHQVLSGGGASVATRPMMRASRSTTAAVPPAKDRPAAVSTRRAARVSGNSEEKKPDSELEALRREVERLRRCNEELEQQLAVAHHSVAQLRQQQQLVAAAACSSIPPPPPPPPTSRGIPQGQGVPVPPPPPPPKPNNNSSRRPPGLNASSSKATALVDMYKSLSLTTTTTTTNTATSSFVGELQNRSTHLLADYSTQIKADVESKAGLINHLITKVHQTTYSNVEQSDETAVLKHFSWPERKADALREATFEYRHLNSVLTQISKSDDITTLVSCEATLTKTSALQHKLEKSMARLVNLRSSAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGEALLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRAQLASSPP >LPERR11G00020.3 pep chromosome:Lperr_V1.4:11:4645:6352:-1 gene:LPERR11G00020 transcript:LPERR11G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQVLSGGGASVATRPMMRASRSTTAAVPPAKDRPAAVSTRRAARVSGNSEEKKPDSELEALRREVERLRRCNEELEQQLAVAHHSVAQLRQQQQLVAAAACSSIPPPPPPPPTSRGIPQGQGVPVPPPPPPPKPNNNSSRRPPGLNASSSKATALVDMYKSLSLTTTTTTTNTATSSFVGELQNRSTHLLAIKADVESKAGLINHLITKVHQTTYSNVEQSDETAVLKHFSWPERKADALREATFEYRHLNSVLTQISKSDDITTLVSCEATLTKTSALQHKLEKSMARLVNLRSSAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGEALLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRAQLASSPP >LPERR11G00020.4 pep chromosome:Lperr_V1.4:11:4645:6352:-1 gene:LPERR11G00020 transcript:LPERR11G00020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQVLSGGGASVATRPMMRASRSTTAAVPPAKDRPAAVSTRRAARVSGNSEEKKPDSELEALRREVERLRRCNEELEQQLAVAHHSVAQLRQQQQLVAAAACSSIPPPPPPPPTSRGIPQGQGVPVPPPPPPPKPNNNSSRRPPGLNASSSKATALVDMYKSLSLTTTTTTTNTATSSFVGELQNRSTHLLADYSTQIKADVESKAGLINHLITKVHQTTYSNVEQSDETAVLKHFSWPERKADALREATFEYRHLNSVLTQISKSDDITTLVSCEATLTKTSALQHKLEKSMARLVNLRSSAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGEALLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRAQLASSPP >LPERR11G00020.5 pep chromosome:Lperr_V1.4:11:4645:5135:-1 gene:LPERR11G00020 transcript:LPERR11G00020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVNLRSSAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGEALLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRAQLASSPP >LPERR11G00030.1 pep chromosome:Lperr_V1.4:11:8654:10694:-1 gene:LPERR11G00030 transcript:LPERR11G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWAPFFFILLSCILSRALPCCSGKQTVHDDMNPTMPITVPSTNPAPTIITVPSTNPTITIPSLNPLPTPITAPAPSMVNPSTLPAPVTYPLPTPSSTSAPMAPVSTPSAPLTNPTSNPMTPPALTTTPPTALVVSGQQVWCVAKAGSTETALQNALDYACGIGGADCSAIQPSGNCYYPNTLDAHASYAFNSYYKRSPASSSCDFGGTAILVDLCGWVQSCVDNSYYIIQ >LPERR11G00040.1 pep chromosome:Lperr_V1.4:11:27839:37793:-1 gene:LPERR11G00040 transcript:LPERR11G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKQQQHDDEQEEEAGAAAVEEKKAASSVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKITGEVEYNGANLSTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKVAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMMVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEVLSKKDQQQYWSRTEEIYSFVIVDHFCEKFKASQVGQNLAEELAIPFEKSEVHNNVLSSNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLVESITWTSISYYLIGYSPEASRFFCQLLILFLIHTGALSLFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWVHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALLGFILLLNVGSAIGLTIKKPPGTSRAIISRDKLATFDQRGRDTSKDKDNKIPKLQVGNALAPNKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGLSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGMSDLHFQTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYPMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRR >LPERR11G00040.2 pep chromosome:Lperr_V1.4:11:27839:37793:-1 gene:LPERR11G00040 transcript:LPERR11G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKQQQHDDEQEEEAGAAAVEEKKAASSVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKITGEVEYNGANLSTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKVAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMMVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEVLSKKDQQQYWSRTEEIYSFVIVDHFCEKFKASQVGQNLAEELAIPFEKSEVHNNVLSSNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLVESITWTSISYYLIGYSPEASSIYAYLAKMGILDLAIVITMSGVTLGRRVLMDRGLDFSSYFYWISVGALLGFILLLNVGSAIGLTIKKPPGTSRAIISRDKLATFDQRGRDTSKDKDNKIPKLQVGNALAPNKSDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGLSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGMSDLHFQTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYPMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRR >LPERR11G00040.3 pep chromosome:Lperr_V1.4:11:27839:37793:-1 gene:LPERR11G00040 transcript:LPERR11G00040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKQQQHDDEQEEEAGAAAVEEKKAASSVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKITGEVEYNGANLSTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKVAGITPDLDIDTYMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMMVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEVLSKKDQQQYWSRTEEIYSFVIVDHFCEKFKASQVGQNLAEELAIPFEKSEVHNNVLSSNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLVESITWTSISYYLIGYSPEASRFFCQLLILFLIHTGALSLFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWVHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALLGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDNKIPKLQVGNALAPNKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGLSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGMSDLHFQTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYPMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRR >LPERR11G00040.4 pep chromosome:Lperr_V1.4:11:27839:37793:-1 gene:LPERR11G00040 transcript:LPERR11G00040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKQQQHDDEQEEEAGAAAVEEKKAASSVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKITGEVEYNGANLSTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKVAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMMVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEVLSKKDQQQYWSRTEEIYSFVIVDHFCEKFKASQVGQNLAEELAIPFEKSEVHNNVLSSNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLVESITWTSISYYLIGYSPEASSIYAYLAKMGILDLAIVITMSGVTLGRRVLMDRGLDFSSYFYWISVGALLGFILLLNVGSAIGLTIKKRTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGLSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGMSDLHFQTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYPMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRR >LPERR11G00040.5 pep chromosome:Lperr_V1.4:11:27839:37793:-1 gene:LPERR11G00040 transcript:LPERR11G00040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKQQQHDDEQEEEAGAAAVEEKKAASSVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKITGEVEYNGANLSTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKVAGITPDLDIDTYMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMMVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEVLSKKDQQQYWSRTEEIYSFVIVDHFCEKFKASQVGQNLAEELAIPFEKSEVHNNVLSSNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLVESITWTSISYYLIGYSPEASRFFCQLLILFLIHTGALSLFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWVHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALLGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDNKIPKLQVGNALAPNKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGLSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDEGWRVDIRWASWTPFMDKDTLVKSLSKPALGMSDLHFQTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYPMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRR >LPERR11G00050.1 pep chromosome:Lperr_V1.4:11:38997:40388:-1 gene:LPERR11G00050 transcript:LPERR11G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKDEFTLEEERAKESAAMIASYPDRIPVIVERFSSSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFINNTLPQTAQLMESIYESYKDEDDGFLYMCYSSEKTFG >LPERR11G00060.1 pep chromosome:Lperr_V1.4:11:40617:43498:1 gene:LPERR11G00060 transcript:LPERR11G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLDMALDDLITTNRRRRRAPSSSSPMARRSHSRAAAAPYHAAITCFQAPPPVAYGYGNGTQPTNVDTPTKLYISNLDYAVSNEDIKELFSELGNIKRYSINYDKSGRSKGTAEVVFSTRSDALAAVKKYNNVHLDGKPMKIELIGTNIQTPAPLPLPPPAIFGFTAPAAYFTSPTKSGAGRGGRGWAQGRGGRGWAQGRGGFGGRGRGHMGRGRGKRYLGSRKVSAEELDADLDKYHAEGMQIS >LPERR11G00070.1 pep chromosome:Lperr_V1.4:11:45187:48250:-1 gene:LPERR11G00070 transcript:LPERR11G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLATSGVHVSIMPPAPPQEEQVVDVLAQRWRWSVASGFRAALACTIVGVASVYAPLAIRRHLTFPAFAYVVTVIVMTTGEGDATLGSALRATLAALHATVMGAVPSVLPLWLAHRTGAGESVVATTAVVALSTFAVALAGSAGPVAKRIALGQIIIVYVARFRQESMPVLLHPANVVACTALGVVAALLGVSLPLPRLARREARDKRAAYLEVAAERVRLLAHAFQLHLHEAERPACCCRRRLSACIMSQVDRAASAGAVLLRRISSVQGDLQWERIPALLRRRWCIWDDGEGDQQVGARLPELIEMPLRGMEMACTQMQQQQHVPTTGINTCCTSSICPTSTATWVQHATDQVRLALLTKRNSSSTGSSIEMATKLASTAMETPSAQLGQHDNDDVNVDQQLAPSVFLMCMDLLLHGSAAGSSPPPPKLQLPAIHPDAVASQAGKVATTKDQDGDGEQSPQPGQMKKKKHIKKETITSRVVVASKCGFSLGLAVLLGLLFSSDHGFWSGLIVATTMSTARDWTWALAIARAHGTAIGSVYGALACLLIDQRRHMELRFVALLPWLILTAGFLKRSRAYGAAGGVAAAVSGIIIVGRRYDEPPMAFTMARLVETFIGLACTVVADLVFQPAARPSAKAKAQLARCLKALKGCFDSTSKVKVKAVQQQVGLLEKCVAEAAGEPHFLPFPANSYHKVVASMGRMAQLLYLYTHARAAAAVALDEDDATQRFHSLVSASLDRSSIRLRSCKVKDEEKEKQQEEDLEAGFRVSSCSCNCNDEETPETVVHSFLNHALLQQKHREQEAAATTTKTRYLMASIGFCMGEMAKEAQSLEAYLLDLILCSH >LPERR11G00080.1 pep chromosome:Lperr_V1.4:11:60260:60718:-1 gene:LPERR11G00080 transcript:LPERR11G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVDIDNNSRGWRVEATRARGEGGGGGLYRKRPELQTMSGYNRFVWCRHRRKSLVADPVGIDNWRQAWLRVYALTGVELGDVSPPSWTSNSLLGRPSSPLLLVMGLHGASTLPTVGPCRGALRVVSLENDNRRRGIGHDMPSDVLVLVNGH >LPERR11G00090.1 pep chromosome:Lperr_V1.4:11:61655:62980:-1 gene:LPERR11G00090 transcript:LPERR11G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRREEDGYEYSEDSVVNSRGMRLFTCSWKPPEAPRGVVCLCHGYAVECSVTMRGTGERLARAGYAVYGLDYEGHGHSDGLQGYVPDLDALVRDCDAFFSSVAASFPRRRFLLGESMGGAVALLLHRMRPDFWSGAILVAPMCKIAEEMRPHPVVVSVLKAMTSIIPTWRVVPTNDVIDLAYRLQQKRDEIRGNPLCYQGRPRLKTAYELLRVSLLIESTILPQVSLPFLIVHGADDRVTDPSVSDLLYRSAATPTQDKTFNLYPAMWHALTSGELPQNVDTVFRDIIQWLHQRTTIHGSADSITSQSAVPSETDNKAKHDDTSHCGKQTS >LPERR11G00100.1 pep chromosome:Lperr_V1.4:11:67137:71429:1 gene:LPERR11G00100 transcript:LPERR11G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDFFFDLSLLLPDDDSKSNNIAAATAAVARALDLGCSAVALDRSHRGILAHDSHSPIASSSSLPQYTRLTLSLDSATALALSAARLLRTYDIVAARPLTQAAFNHLCHLARVRALVALKLSSTGSEVKIAILIKSEHSFNSAAKAEHILNQIAADFGGRISSKDRLLNSWSTSCSSSSEAAAAAATRVAAVGSRYSAEERRERIDKYRTKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSDDGGPAASAPADESSFEVSPHAPLTTSTANVVPEWWPAVQEALATQEQDDAAADELLAAYLGVSSLDLYSPPRH >LPERR11G00100.2 pep chromosome:Lperr_V1.4:11:69691:71429:1 gene:LPERR11G00100 transcript:LPERR11G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQSWSTSCSSSSEAAAAAATRVAAVGSRYSAEERRERIDKYRTKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSDDGGPAASAPADESSFEVSPHAPLTTSTANVVPEWWPAVQEALATQEQDDAAADELLAAYLGVSSLDLYSPPRH >LPERR11G00100.3 pep chromosome:Lperr_V1.4:11:67137:68324:1 gene:LPERR11G00100 transcript:LPERR11G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDFFFDLSLLLPDDDSKSNNIAAATAAVARALDLGCSAVALDRSHRGILAHDSHSPIASSSSLPQYTRLTLSLDSATALALSAARLLRTYDIVAARPLTQAAFNHLCHLARVRALVALKLSSTGSEVKIAILIKSEHSFNSAAKAEHILNQ >LPERR11G00100.4 pep chromosome:Lperr_V1.4:11:69024:71429:1 gene:LPERR11G00100 transcript:LPERR11G00100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQSWSTSCSSSSEAAAAAATRVAAVGSRYSAEERRERIDKYRTKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSDDGGPAASAPADESSFEVSPHAPLTTSTANVVPEWWPAVQEALATQEQDDAAADELLAAYLGVSSLDLYSPPRH >LPERR11G00100.5 pep chromosome:Lperr_V1.4:11:66115:68412:1 gene:LPERR11G00100 transcript:LPERR11G00100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQPTSRKERIHKARIVACSPIETRPNRQVRVPLPHQLRRRRNPPPVLRSPSVFSRLPPPHLRPRPATAAPIPSSPPLARNHPAVAVAATTVTRPSRPRRRLCGHIAPSPPRARAHLVPATAGARLSRSPGRGSPLPPPAVARFSSGGRPTSTKETHRQ >LPERR11G00100.6 pep chromosome:Lperr_V1.4:11:71393:75388:1 gene:LPERR11G00100 transcript:LPERR11G00100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFNQNITYHYACRKRLADGRARVKGRFVSNSGSGGNEDDIAAHEPPSMTSTLVNNNDNSDAAATRMVPVPEWWPEMQEGLACRDEIEISMSVNLHLCDANDMELIAAYVGVSSIDLCAYLHRPPPPSP >LPERR11G00110.1 pep chromosome:Lperr_V1.4:11:88859:89458:1 gene:LPERR11G00110 transcript:LPERR11G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRQLCARHQQQPSPAGAAAPAAPPAVMQLHHHHPYAAAGATANNIIMPPHLQQQQQHPAAAGGEWAHYCSIASASGASTAADHHETMAAVPPCCRPLKTLELFPTKSTAGGLKEDCCSSSKSSSCSTSTN >LPERR11G00120.1 pep chromosome:Lperr_V1.4:11:97286:104461:1 gene:LPERR11G00120 transcript:LPERR11G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDTASWRAIQKVLESGEKIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAELQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDRQPLKILHEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPDDADEKKRRKSRSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPGRQLMYRLLHRDPCNRLGSYEGANEIKGHPFFRGINWPLIRATAPPKLEVPLFWDEAMEKKAGLMATDSHTDMF >LPERR11G00120.2 pep chromosome:Lperr_V1.4:11:97286:104461:1 gene:LPERR11G00120 transcript:LPERR11G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDTASWRAIQKVLESGEKIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAELQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDRQPLKILHEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPDDADEKKRRKSRSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPGRQLMYRLLHRDPCNRLGSYEGANEIKGHPFFRGINWPLIRATAPPKLEVPLFWDEAMEKKAGLMATDSHTDMF >LPERR11G00120.3 pep chromosome:Lperr_V1.4:11:97286:104461:1 gene:LPERR11G00120 transcript:LPERR11G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDTASWRAIQKVLESGEKIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAELQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDRQPLKILHEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPDDADEKKRRKSRSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPGRQLMYRLLHRDPCNRLGSYEGANEIKGHPFFRGINWPLIRATAPPKLEVPLFWDEAMEKKAGLMATDSHTDMF >LPERR11G00130.1 pep chromosome:Lperr_V1.4:11:105446:112831:1 gene:LPERR11G00130 transcript:LPERR11G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGANPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYEEHEAASVVLFSYRFASYGKVTFIMPMPSSQTSDRRGGSSASNTRKDEYNWRYDSDDISEEVLRTSNALENVQLDRKARNLTTSWRHPGDGAE >LPERR11G00130.2 pep chromosome:Lperr_V1.4:11:105446:112357:1 gene:LPERR11G00130 transcript:LPERR11G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGANPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYEEHEAASVVLFSYRFASYGKVTFIMPMPSSQTSDRRGGSSASNTRKDEYNWRYDSDDISEEVLRTSNALENVQLDRKARNLTTSWRHPGDGAE >LPERR11G00130.3 pep chromosome:Lperr_V1.4:11:105299:112831:1 gene:LPERR11G00130 transcript:LPERR11G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGANPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYEEHEAASVVLFSYRPMPSSQTSDRRGGSSASNTRKDEYNWRYDSDDISEEVLRTSNALENVQLDRKARNLTTSWRHPGDGAE >LPERR11G00130.4 pep chromosome:Lperr_V1.4:11:105299:110492:1 gene:LPERR11G00130 transcript:LPERR11G00130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGANPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYEEHEAASVVLFSYRFASYGKANAIVADFRGGSSASNTRKDEYNWRYDSDDISEEVLRTSNALENVQLDRKARNLTTSWRSELLSFFFAPSIFAWSSVSDHNTITPSRHPGDGAE >LPERR11G00140.1 pep chromosome:Lperr_V1.4:11:111182:114856:-1 gene:LPERR11G00140 transcript:LPERR11G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRGGGDEEEEEERVLSHGDVGPHFLNDRIIAFYLAHLQSQFHGDGDDLLLLPPSIPYLLANLPDPASVAEPLRLPSRRLVLLPVNDNPDVSHAEGGTHWTLLLLDNTNPVSGPRFVHHDSLPPTNLPSARRLAAVLRPLLPNRTIPLVEGPTPRQTNGYDCGVFVLAVARAICHWWTTASHQLEPDWFEAVKREVNADSVKAMRTQLLHLIDTLIQANNNTTNNQHTSTQLPCSPSIASTSLCMSQHTRLWCHMQSHKKVAAVKPVASRPSSRLRTFSMLPEDSTATDSPWVTSQEEAIILRRPKATRFTPPMSNSSTDVAATRFEDSGTHRTYDKKKADEGQVACRDNLTGPQSVRKPIISVKNSLSYDGYNWRKYGQKQVKRSKFPRSYYKCTYPACPVKRKVETTLDGQIAEIVYNGEHNHPKPHPAKKSTLSSIVETVDATNDGGLETRLEGCDQAIGSDAVVEAFKGGFNCLDGFGNGNEISDCKKRTK >LPERR11G00150.1 pep chromosome:Lperr_V1.4:11:117990:123077:1 gene:LPERR11G00150 transcript:LPERR11G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRSGTVLPPPADDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDMNTRTALTGVASSQSLTKSKVDPQSNSISSSSIVSNTKLPSSWNDDTSTVPKTMEGRDSLSKTLKPYKPGIAKETQALTSLESSLDIDFSTIPSAWNDDDVVSDDMSKGSEEKHVVNDNEKLICSVSSMPIESGHLASKPSTSPKKDVAVKSNRQSPPNCISSQVVAKSEVKDGDGDHQVTNMASKTPTLVALKDQSNQVAIDTAIENIRSEDTDIDRLSLGVSSVTLSRKDGAQSMEEKQQLDAILNASVVVPLSHNLMLADNKDSTCQPSPDKHHDWCSDLPSSVTPLLNGIENSAVATDKSHVRVLDATAQASSSPYVHFPNTSSISLWNGKESSHASTSSTMIQPGLSSSFDSTSTMLNGHPEGLETIYAPGKVPEHLRVKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIISDILSLEFDPWDESYSTANNFAKMLSASEKNNVLFDAPSWNTKTSSTESRFSFARQDNQGSYSSMRNYRSEQNFSSSSQNSHGNIHQSGIAFQPPEEGFSKINSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSQEGLNPPPGFSSHNGPSPPPGFSSQGGSNQLQTSNDPRLQLLMQQNVPSHQNLGFADHAQDVFNPMNDYLASRLIPQNHSSLSSYAQMSLQQPRISHLTNGHWDGWGDLRQGNNAPMPEMSRMLYPTEANNFHMLGSNDLYNRAFGL >LPERR11G00160.1 pep chromosome:Lperr_V1.4:11:124332:128597:-1 gene:LPERR11G00160 transcript:LPERR11G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAALLLLQLLLLFSLSTAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVFGGQKANLLVPNEVLPQQQQYSTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGATPWTTVLIYDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVKRANYLVDVAPGTERISTTKPIFVGTNEEPPQRVMQTAVVGKKGSLTYRMDLEDFPANAWGVAYFAEIEDLAPNETRKFKLVIPGKPEFSKPTLNVEENAQGKYRLYEPGYTNIPLPFVFYFEFNKTNDSSEGPILNAMEIYKYVQITTGSQDANTMASLVSRYPQAGWAQEGGDPCLPASWSWVQCSSEAAPKIFSITLSGKNITGVIPVELTELSGLVELWLDGNSFSGQIPDFSGCRDLQYIHLENNQLTGALPPSLGELPNLKELYIQNNKLSGEVPQTLFKSSIIFNFSGNSDLRMGHSNIGRTIVIVCVVVGAVLFLVAVIGCYVFTCKRKKKSSDAPAKKLGSFCSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLHTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPDDVKITSWVKRLEIAEDAAKEVLKEIQDAIAIERGPELQWELPSSIQQLMSKTSPSVNTDSVDLEQNASFDELFMRPGLR >LPERR11G00170.1 pep chromosome:Lperr_V1.4:11:134381:143852:1 gene:LPERR11G00170 transcript:LPERR11G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVENAIWGYSIGTRLVDEFLAKSDVSRRVDFKEIADVIAKVLLIAGSKIVDMAGIPRSTRIHRLWLIEEEEYVAANNSNKKQDGPNHNTHNYYSAANVTVSHAKVTIS >LPERR11G00180.1 pep chromosome:Lperr_V1.4:11:142429:145605:-1 gene:LPERR11G00180 transcript:LPERR11G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAAALLLLHLQLFLLFSFSTAQPGFISLDCGGDVDYTDGIGIQWTSDAKFVSGGQKANLLVQNEVLQQQQQYSTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSIGATPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVKRANYLVDNNKLSGEVPQALFKRSIIFNFSGNSDLRMGHSNIGRTIVIVCVVVGAVLFLVAVIGCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLREVLKEIQDAIAIERGPELQRELPSSIQQLMSKTSPSVNTDSVDLEQNASFDELLMRPGLR >LPERR11G00190.1 pep chromosome:Lperr_V1.4:11:148328:149730:1 gene:LPERR11G00190 transcript:LPERR11G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSSGKATPTPPTASSLPGVPCRLRARGDLHLLDANSLSLAAARSNNPHLSYSMLRRGGDFLPDRRAYTAAIARLPPARALRLFHAVLHHLKRAPTNCPSSSMPDTTFHSLVAAYVGFRDIPTAETIVQAMRDRRLDICALLRTQTDDDHHHNQQMPNSHLLDHIVINPSEEEEDMPVPLLPKAYPPNSRVYTTLMKGYMNAGRVDDVLTMLRAMRREGETSRPDHVTYTTVISTLMDRDGVRPSRVTYNVLIKGYCHQLEVAKARDLVAVDMADAGIKPDVVTYNTLIDGCVLTDDSAGAVALFNDMRAQGIAPSAASYTTLMKAFAASGQPKLAHKVFDEMEKDPRVAVDRAAWNMLVEAYCRLGLLDQAKAVVERMKARGVQPDVATYGSLAKGIAVARKPGEALLLWEVIRDKSAEVDGEALADVCCSGRRWRWWR >LPERR11G00200.1 pep chromosome:Lperr_V1.4:11:148416:156948:-1 gene:LPERR11G00200 transcript:LPERR11G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTPTPGSLSRGPTTSLLAMPLTKTRKRLEVVAVVGPSVVGDGGGPGVVGLGVAARARSAAWTDRAHDGGGIGVGGGVGMLGWPRRAGVGRRSRGRSPGGGGHDRLPLSSLPKKDQSSLRYPQNPFPAEPGIQTCKWPRRAASIQLPDLNNPSQDRHHILDCPTPCEYKGGATSKKRKAKPARGFNLRKSITWNPAFFTEQGVLDNTELSMLSGSQVKATRSPASGCSSTFSPLSRFGKSGNTSVLKEDGENSRGKFPAKCVSTENKGRKLFASSIASGQDVQKAPVGSQDKTSARSIQKYKQSMDLKSRFHLRKQLPTNVSAASNLHVQSVTNGSTIKVSASSFPGLLDVNDCSVKQSLSKSIISFSAKSGNTNNQEMTDDTQQLSSIVGCTPNDLNCQSKSDNGEAAVDRLTSTEGPNIDSEMELDTDDESTAKEAPLSHLGSECDHDYRSTECSPMTLAVPSPCVDQEARTANLMENAASSIEKADTAVGRIRSNHSSTEERRPVLSEEQDTEDRIEFDTKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00200.2 pep chromosome:Lperr_V1.4:11:148416:156948:-1 gene:LPERR11G00200 transcript:LPERR11G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTPTPGSLSRGPTTSLLAMPLTKTRKRLEVVAVVGPSVVGDGGGPGVVGLGVAARARSAAWTDRAHDGGGIGVGGGVGMLGWPRRAGVGRRSRGRSPGGGGHDRLPLSSLPKKDQSSLRYPQNPFPAEPGIQTCKWPRRAASIQLPDLNNPSQDRHHILDCPTPCEYKGGATSKKRKAKPARGFNLRKSITWNPAFFTEQGVLDNTELSMLSGSQVKATRSPASGCSSTFSPLSRFGKSGNTSVLKEDGENSRGKFPAKCVSTENKGRKLFASSIASGQDVQKAPVGSQDKTSARSIQKYKQSMDLKSRFHLRKQLPTNVSAASNLHVQSVTNGSTIKVSASSFPGLLDVNDCSVKQSLSKSIISFSAKSGNTNNQEMTDDTQQLSSIVGNDARNEMPNETNVVMSGTIEDIKPIEDTYNLNAICCTSIEPVEDLKVICSPSKPTMGSNLIISCISSSRCTSNDLNCQSKSDNGEAAVDRDKSLSGETSSTICSSEVNNCTPATDSFQESCSCYQQNMLVQSIHCRDQMPQRDSSRDKKPSLAYSKLDSNDSLCTEVQLTSTEGPNIDSEMELDTDDESTAKEAPLSHLGSECDHDYRSTECSPMTLAVPSPCVDQEARTANLMENAASSIEKADTAVGRIRSNHSSTEERRPVLSEEQDTEDRIEFDTKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00200.3 pep chromosome:Lperr_V1.4:11:154597:156948:-1 gene:LPERR11G00200 transcript:LPERR11G00200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTPTPGSLSRGPTTSLLAMPLTKTRKRLEVVAVVGPSVVGDGGGPGVVGLGVAARARSAAWTDRAHDGGGIGVGGGVGMLGWPRRAGVGRRSRGRSPGGGGHDRLPLSSLPKKDQSSLRYPQNQGSKHVNGLAEQHQSSYQICQEQSLSRSTPHLGLPNPRKAKPARGFNLRKSITWNPAFFTEQGVLDNTELSMLSGSQVKATRSPASGCSSTFSPLSRFGKSGNTSVLKEDGENSRGKFPAKCVSTENKGRKLFASSIASGQDVQKAPVGSQDKTSARSIQKYKQSMDLKSRFHLRKQLPTNVSAASNLHVQSVTNGSTIKVSASSFPGLLDVNDCSVKQSLSKSIISFSAKSGNTNNQEMTDDTQQLSSIVGNDARNEMPNETNVVMSDLKVICSPSEPTIGSNLIISYISSSGCTPNDLNCQSKSDNGEAAVDRKSTIALQLLIPSKNPAPAISGIC >LPERR11G00200.4 pep chromosome:Lperr_V1.4:11:154597:156948:-1 gene:LPERR11G00200 transcript:LPERR11G00200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTPTPGSLSRGPTTSLLAMPLTKTRKRLEVVAVVGPSVVGDGGGPGVVGLGVAARARSAAWTDRAHDGGGIGVGGGVGMLGWPRRAGVGRRSRGRSPGGGGHDRLPLSSLPKKDQSSLRYPQNQGSKHVNGLAEQHQSSYQICQEQSLSRSTPHLGLPNPRKAKPARGFNLRKSITWNPAFFTEQGVLDNTELSMLSGSQVKATRSPASGCSSTFSPLSRFGKSGNTSVLKEDGENSRGKFPAKCVSTENKGRKLFASSIASGQDVQKAPVGSQDKTSARSIQKYKQSMDLKSRFHLRKQLPTNVSAASNLHVQSVTNGSTIKVSASSFPGLLDVNDCSVKQSLSKSIISFSAKSGNTNNQEMTDDTQQLSSIVGCTPNDLNCQSKSDNGEAAVDRKSTIALQLLIPSKNPAPAISGIC >LPERR11G00200.5 pep chromosome:Lperr_V1.4:11:148416:153797:-1 gene:LPERR11G00200 transcript:LPERR11G00200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAPSPCVDPEGQAASANLTESIVPYSVKMPDDFSLAENGATTEELIPRDNDGARNGMPKECNVVLSVGQDLNVVCCSSIEPVEDSCFLKVICSSGDHTARSKLTTSCKLDSNASNIDCEMELDRDDALAAKEAPRLHEGAEWDHDYTECSPMNLGVPSPCVDQAAQTASLMENTTSLTEKADTADGRIKSHHSSTEEIRPILSEEQDTEGRIEFDTNKLSSSEGVSNIGNEMPNETNVVMSVGQGTIEDIKPIEDTYNLNAICCTSIEPVEDLKVICSPSKPTMGSNLIISCISSSRCTSNDLNCQSKSDNGEAAVDRDKSLSGETSSTICSSEVNNCTPATDSFQESCSCYQQNMLVQSIHCRDQMPQRDSSRDKKPSLAYSKLDSNDSLCTEVQLTSTEGPNIDSEMELDTDDESTAKEAPLSHLGSECDHDYRSTECSPMTLAVPSPCVDQEARTANLMENAASSIEKADTAVGRIRSNHSSTEERRPVLSEEQDTEDRIEFDTKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00200.6 pep chromosome:Lperr_V1.4:11:148416:153797:-1 gene:LPERR11G00200 transcript:LPERR11G00200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAPSPCVDPEGQAASANLTESIVPYSVKMPDDFSLAENGATTEELIPRDNDGARNGMPKECNVVLSVGQDLNVVCCSSIEPVEDSCFLKVICSSGDHTARSKLTTSCKLDSNASNIDCEMELDRDDALAAKEAPRLHEGAEWDHDYTECSPMNLGVPSPCVDQAAQTASLMENTTSLTEKADTADDRIEFDTKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00200.7 pep chromosome:Lperr_V1.4:11:154597:156948:-1 gene:LPERR11G00200 transcript:LPERR11G00200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTPTPGSLSRGPTTSLLAMPLTKTRKRLEVVAVVGPSVVGDGGGPGVVGLGVAARARSAAWTDRAHDGGGIGVGGGVGMLGWPRRAGVGRRSRGRSPGGGGHDRLPLSSLPKKDQSSLRYPQNPFPAEPGIQTCKWPRRAASIQLPDLNNPSQDRHHILDCPTPCEYKGGATSKKRKAKPARGFNLRKSITWNPAFFTEQGVLDNTELSMLSGSQVKATRSPASGCSSTFSPLSRFGKSGNTSVLKEDGENSRGKFPAKCVSTENKGRKLFASSIASGQDVQKAPVGSQDKTSARSIQKYKQSMDLKSRFHLRKQLPTNVSAASNLHVQSVTNGSTIKVSASSFPGLLDVNDCSVKQSLSKSIISFSAKSGNTNNQEMTDDTQQLSSIVGCTPNDLNCQSKSDNGEAAVDRKSTIALQLLIPSKNPAPAISGIC >LPERR11G00200.8 pep chromosome:Lperr_V1.4:11:148416:152205:-1 gene:LPERR11G00200 transcript:LPERR11G00200.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPNETNVVMSVGQGTIEDIKPIEDTYNLNAICCTSIEPVEDLKVICSPSKPTMGSNLIISCISSSRCTSNDLNCQSKSDNGEAAVDRDKSLSGETSSTICSSEVNNCTPATDSFQESCSCYQQNMLVQSIHCRDQMPQRDSSRDKKPSLAYSKLDSNDSLCTEVQLTSTEGPNIDSEMELDTDDESTAKEAPLSHLGSECDHDYRSTECSPMTLAVPSPCVDQEARTANLMENAASSIEKADTAVGRIRSNHSSTEERRPVLSEEQDTEDRIEFDTKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00200.9 pep chromosome:Lperr_V1.4:11:148416:153797:-1 gene:LPERR11G00200 transcript:LPERR11G00200.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAPSPCVDPEGQAASANLTESIVPYSVKMPDDFSLAENGATTEELIPRDNDGARNGMPKECNVVLSVGQDLNVVCCSSIEPVEDSCFLKVICSSGDHTARSKLTTSCKLDSNASNIDCEMELDRDDALAAKEAPRLHEGAEWDHDYTECSPMNLGVPSPCVDQAAQTASLMENTTSLTEKADTADGRIKSHHSSTEEIRPILSEEQDTEGRIEFDTNKLSSSEGVSNIGTNNSVHKSRTNTSSKAHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKFQDVGPFDCTKYSKIVGAIHHEMVLLVVLLGILLEADPVCGIVGVGEAEPELEGLRGALPLPASVLPGLRGVLAGEHPRGQLHRGDDGGVGDVVGAARLPFTAHGAQHGEDVVDAAGVHVALHQRGVHTRVGRVRLGKQRHRHVLFFFRRVDDDVVQQMRVGHLLIVVVVVIRLCSEQGADVEASVAHGLDDGLCGGDVAEADVGGDEGVEGGVGHGGRRAVGGGSLEVVEDGVEEAERACRWKARDGGSVGAAVGEEVTAAAEHGVGEVGVVGTGGGEGEGVGVQQVEVAAGAEALEKEELE >LPERR11G00210.1 pep chromosome:Lperr_V1.4:11:157620:159239:1 gene:LPERR11G00210 transcript:LPERR11G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLMFVYFLMLLGLQMIGIWRPRQKKLADIINEALSAKQKFQQCEILFVPRNQIDYVAKLAADSLQTQIAKAVAVNAGKEKRENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCATKLTVEGSKIFLSPRLLAIMVQRIREAQIPPTQKIYCPYPKCSALMSMSQLIHPMQASSSKYTAVDAVTLRKCVKCTGSFCISCKVPWHDQMTCYEYKRRCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAVHDDPEDEDDDYYDDDDNNYYVGEGLQYNVDYHRQYDGGDRNRDHFYQYNR >LPERR11G00220.1 pep chromosome:Lperr_V1.4:11:160411:163040:-1 gene:LPERR11G00220 transcript:LPERR11G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHPFLAAARAALAPLHGSKQQQQPHCLHALIANFRSCPKPDPPHPHQLQLDLLLCVAFDSFTHNLHLLEKQFHPHVHHLDRLIHGKKADFDGFLSHLGFAKVGVPPPQAGIMPTPPPQPAVSEEEEPTDVNEDKAEIDNPHPPHRLPVRLLNIPLSNVERLRSTLSTVSLTELIDLVPQLVGRSPDAHPDKKKLFSVHDFFRYTESEGKRFFEEMDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIRLRGLYRGSIPAILGQFSRSVLS >LPERR11G00230.1 pep chromosome:Lperr_V1.4:11:173963:176086:1 gene:LPERR11G00230 transcript:LPERR11G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGHYKSMAWLPPGILLIKSAAFFSTVQGIILTPQDSYLMLTGPSRAIVLLDPVTFEVDLKVKGETEAEDRVLSLSVFIHHMSPNYISHSHVIQRDISSKHSEIELTYAALAYTIEATIVSVQVIDGSWPGFLRGRVVCRTASVDGVEFVLLDSRDERMSINSSGDIELSRRVVSVELGGKLIFCVAVSHGDKNSDIVFTPKKARRNTGVCDLGFCKAEATVCWSLLATERPLFSGH >LPERR11G00240.1 pep chromosome:Lperr_V1.4:11:177937:184170:-1 gene:LPERR11G00240 transcript:LPERR11G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHLAGGERLHAMVVEGSRQAMDSSAVGVESSASAAEDPRCAFARLCGLSGNSHRASRGASPLLRTPTDRDLTLATPPADVQQSAMQPTNLFRLCLSNIRKLVVKLVACGKKLAVNQIYDIFELLPSKIQFGILVESLTGQWALLL >LPERR11G00240.2 pep chromosome:Lperr_V1.4:11:177937:184170:-1 gene:LPERR11G00240 transcript:LPERR11G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHLAGGERLHAMVVEGSRQAMDSSAVGVESSASAAEDPRCAFARLCGLSGNSHRASRGASPLLRTPTDRDLTLATPPADLVACGKKLAVNQIYDIFELLPSKIQFGILVESLTGQWALLL >LPERR11G00250.1 pep chromosome:Lperr_V1.4:11:188818:191644:-1 gene:LPERR11G00250 transcript:LPERR11G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYRNRNRSSFHHHPSISLAAVDGYRCRTSRWVHAPARGVTSHEDCAIKDRKEIEKHLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHDRIEMPLPPLVFLASPAASSSPSCDAAAAVDPLDPSHCGLPSTGTGTRELDVAKSLCLAKHERCAL >LPERR11G00250.2 pep chromosome:Lperr_V1.4:11:189420:191644:-1 gene:LPERR11G00250 transcript:LPERR11G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYRNRNRSSFHHHPSISLAAVDGYRCRTSRWVHAPARGVTSHEDCAIKDRKEIEKHLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHDRIEMPLPPLVFLASPAASSSPSCDAAAAVDPLDPSHCGLPSTGTGTRPMA >LPERR11G00250.3 pep chromosome:Lperr_V1.4:11:188818:191644:-1 gene:LPERR11G00250 transcript:LPERR11G00250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYRNRNRSSFHHHPSISLAAVDGYRCRTSRWVHAPARGVTSHEDCAIKDRKEIEKHLTEGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHDRIEMPLPPLVFLASPAASSSPSCDAAAAVDPLDPSHCGLPSTGTGTRISLNQELDVAKSLCLAKHERCAL >LPERR11G00250.4 pep chromosome:Lperr_V1.4:11:188818:191644:-1 gene:LPERR11G00250 transcript:LPERR11G00250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYRNRNRSSFHHHPSISLAAVDGYRCRTSRWVHAPARGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHDRIEMPLPPLVFLASPAASSSPSCDAAAAVDPLDPSHCGLPSTGTGTRISLNQELDVAKSLCLAKHERCAL >LPERR11G00250.5 pep chromosome:Lperr_V1.4:11:188818:191644:-1 gene:LPERR11G00250 transcript:LPERR11G00250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYRNRNRSSFHHHPSISLAAVDGYRCRTSRWVHAPARGAAMKSETDDIEMQNAEKHTTNELEEGELPDSHDRIEMPLPPLVFLASPAASSSPSCDAAAAVDPLDPSHCGLPSTGTGTRELDVAKSLCLAKHERCAL >LPERR11G00260.1 pep chromosome:Lperr_V1.4:11:198948:199931:-1 gene:LPERR11G00260 transcript:LPERR11G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHPPLTPWSSMRAWTLGAHAPRERCHLLLPPLPFLPKPQHNFLTLSTLSHSQQTRRKTTLSPPNSLFFPVGRRDLAVTTVPQIFGNADITFQQPGVLWARRSRPRELASIVVVLSRAATAQIGVVIPFPQPLATGEPISCSGCESSSPRTAAVFLPPPVTIAAASRHWSSSAPKDCTVPFHPSSGPDRAANATCSGNPSRPSPPALLRLPLPPSTSRPPSCPPWPPLSFSFRARPPPRRCSPSPPAPLPRRPLCLGH >LPERR11G00270.1 pep chromosome:Lperr_V1.4:11:200706:201346:-1 gene:LPERR11G00270 transcript:LPERR11G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRISAGPGMSSRGSGRRTSSRGAAASRRAPRRRGTSSCVWICRAGGGAQRSGGWTSSAAAADDLAWQRVAHGGGLTSFVASAEEVRWGNAEERWSLEGHARDDVLFRRSDP >LPERR11G00280.1 pep chromosome:Lperr_V1.4:11:201595:206060:1 gene:LPERR11G00280 transcript:LPERR11G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPEGRKKKKTIIKPLDLEEEQESSSEKDDDEIEEFRRVWMSRHARNFGSFQHTTTIPAMRYTFGHIPQVAGTDNGLQVFSIKLILLNTDEWPLHVYGLVAARDCLDPRRNLLFHRTRDNPQILTQHHPFLQLTGPSRAIVLIDPVDFEVQLKAKSLDQDPDQDDQILNFGVVASGHFPSSNKTCFGKRSNVEFRLSLLQDSVEATIVSVQLVDHSSWPNHLPAGALVCRTPNINNHQDDFVLLDSRQHGSSGTMPIDDDGVIQLSRRVVMVQLAGQLIVDVLAFSSLQQQQRIGQVVTAKGQIVFEPKTSSVSVKTCDLGGLCKLRICVAWSLVDTLPPAEYF >LPERR11G00290.1 pep chromosome:Lperr_V1.4:11:204463:210816:-1 gene:LPERR11G00290 transcript:LPERR11G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDPADDPILADCKYKLNHFRIKELKDVLHQLELPKQGRKQELVDGILALLSDQQDQGSKMNGLSNIKLIEKATVATIVGDTFIKMHGSTNVVPASRDQTDPGYIAKPKRKSDDYAQLDVKVRCPCGYSMANDSLIKVLFRTSYYSYKYYHLGVIIHNLSTPFQCEGSQCNTQQHVGCVIISEKPADSVPPELPLHFYCDICRISRADPFWVTINHPVLPVSITPSKIAPDGSYAVQYFEKTFPISRAFWEMLQKDEYDLQVWCILFNDNVPFRMHWPLHSDIQINGIPIRVVNRPSTQQLGVNGRDDGPILTSYVREGSNKIVLSRSDSRTFCLGVRIVKRRSVEQEQDGENFNDALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIQCCGDDVSEIDVKPDGSWRVKGGSELKGLVQWHLPDGTLCMPTNIGSKPNLGIVKHEIKEEPLSEDTGGRLKLGIRRNNNGQWEINNRGDSSWMPPSDNGQNGHFEGENCVGSTSNTDDENGKNEIYNQEIGQFDQFTSNVHDLDSSPLDAHFPPPPTEQDIILLSDSDDDNVMVLSPGGVNFSSAHDNGNAFPPNPPEASGICEEQPRGGPYEALPLEGLDDLELPCWEFSLSPQDAAGTHVTDNQCEVQDYPDNRQSLHEPISGVHLGVTAAVNPLECELDGLQASRSSERDGDHNQTCRDGHSEDWTNHSISSTRVSVVRSGDNGLRGEMPTIGQGQDRSVRQKIIVTIESDSD >LPERR11G00300.1 pep chromosome:Lperr_V1.4:11:215668:220490:1 gene:LPERR11G00300 transcript:LPERR11G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPHLSPPAAAASIRRRRIHLRRQLLAAAAAASIRTATAGPVALRCPLRSVFCVVCVALLTLLLRRHPSRRRATTPHAAAPHASVQTHIRLARRHCILQLHHPSPNTPASLESTSGYSAPLAAAPVSV >LPERR11G00300.2 pep chromosome:Lperr_V1.4:11:217174:220490:1 gene:LPERR11G00300 transcript:LPERR11G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLAMVHPAETTRSGGSGQMAGRQQRSHGGCLSAAPRPVRLPNRGPYRRPACRPALHACAVLAAGLLLTAPRRPP >LPERR11G00300.3 pep chromosome:Lperr_V1.4:11:216291:220490:1 gene:LPERR11G00300 transcript:LPERR11G00300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCNSMVHPAETTRSGGSGQMAGRQQRSHGGCLSAAPRPVRLPNRGPYRRPACRPALHACAVLAAGLLLTAPRRPP >LPERR11G00300.4 pep chromosome:Lperr_V1.4:11:215668:219025:1 gene:LPERR11G00300 transcript:LPERR11G00300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPHLSPPAAAASIRRRRIHLRRQLLAAAAAASIRTATAGPVALRCPLRSVFCVVCVALLTLLLRRHPSRRRATTPHAAAPHASVQTHIRLARRHCILQLHHPSPNTPASLESTSGYSAPLAAAPVSV >LPERR11G00310.1 pep chromosome:Lperr_V1.4:11:232932:239484:1 gene:LPERR11G00310 transcript:LPERR11G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVGAASAADDFAGASPYRILHVDPSSIERSDIGVAAAMASATTSGLIISIGDLKELVSDEAEVQERGRRVVAEVTRVLETHTKVGRVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVHAAAATAGPASGGLMPPATTVAAFSKPPASLMESPVPFGGFLCDNYEMNSLTANSCPQVLRCQQCNDKYEQEVATIIRASSITAEDHHQGGVPALLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLQKKWSEYCLRLHRNHQRINRDPYRPFAHYIGVPTDKETSESPSKGTEAVGNQRDVIKPCAVSAAHTSLSARCLSTPSVPDKANEGLVLNLQSRHSESDENLQDSGRHGNLSNDLDDNALPSSAAPTVATDLVLGTPRESSSKVSSPTFCKHVEDSERSIHLLPKKVDDLNPKHPQLSVQPSSCSWSSGNVGKTSPSPLHSVASGGLSAFGQWQKPSPLAAQSSDLSNYKLLVECLFKVVGRQEEALSAICGSIVRCRSTESRRGPNRNDIWLCFHGSDSTAKKRVAVALAELMHGSKENLIYLDLNLQEWGDSNFRGKTGRDCIVEQLSKKRRCVLFLDNIDRADFLVQESLFDAIETGRFKDMRGKMVDINDSIVVLSRSMVQGSKNGVEESLSFSEEKILTARGHQLKILVESGRIVANGGSSGKVEKLEESPSSSKRLHKSSSVSFDLNLPVDEDEPHDSDDDSSSHENSYGNAEKSIDALLHSVDGSINFQPFDFDKLADDMLQEFSNMLGKNLGPECRLEIDVGAMEQILAAAWKSEDSDRKPVQTWLEQVFARILDELKLKFKHVSSSTLRLVACEDTVLGKGDDLGVLLPPRIILDC >LPERR11G00320.1 pep chromosome:Lperr_V1.4:11:240207:240713:-1 gene:LPERR11G00320 transcript:LPERR11G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTQEADCKASEDAHLFDAAKPPPFRIGDIRAAIPPHCWRKSPLRSLSYVARDLLIVAALAAAATHIDLLFAWTWPLYWAAQGTMFWALFVLGHDCGHGSFSDSATLNNVVGHLLHSFILVPYHGWYTILPLNATALQQLI >LPERR11G00330.1 pep chromosome:Lperr_V1.4:11:247476:252439:1 gene:LPERR11G00330 transcript:LPERR11G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTGQRQSTATANLRRPKPPIAGFIASTISARRAHMEDGTCLVNKKRRLTRRPCVEVDRSSKRIKSQRVDFESLPEGLDADVIVQTHYQEIVSRIISQLTLMEAVRMSMTSSKLTRAWIYHPNLCFDTSIVFGSSSRHKEVPIAKRFIDTVNFILSKHSGLGVNKLAVMFELRKEHAHDIDGWVSFAVTSKARVVTLNFSPYRGSHVCSYNFPCHLFNDKNGSHLQVLQLDTVTLGPSPNFCGFSNLTMLTLENVLVLGDLQLLHKCPALECLTIRMCSQLHNLHAPEPLPRLKILSVQDSAIDKIDFHAPNLTTFNYKGRFKVIIALHECLKLKTASIESGFEENLEYIFTGLPNGLRHVERLHVEVLVATQIPGFTHAPQKFTNLRHLAIRITYLLANRAGKNAVLQLAYFLEAAPFLVDLHLDMLCIDFSWNRPPKDVIVNRPHYNLKRACITGFHGNGGQIALARYILKNAIKLENMTLDPKGRIMSHMVAEHGGRIMIKDKIVPKDKNGVLVIL >LPERR11G00340.1 pep chromosome:Lperr_V1.4:11:270826:271179:1 gene:LPERR11G00340 transcript:LPERR11G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGLRPKSPGCVRPGQAATHVTTSFRSLPPTASAQMSEGRRGRPVARAADGGGELRPVGKGAANGGRRREPQAAGGGSRGRQGGVADGRGEVQAGERPRSSGEIQADFFLFFLFF >LPERR11G00350.1 pep chromosome:Lperr_V1.4:11:274505:279498:1 gene:LPERR11G00350 transcript:LPERR11G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADSFLLLLLRSSSCISPNQTPTASSSLINKLLIHSTILDSHASYALPLLAAARRSPVTTLVAAFFLLALFIYGEDVRTIAELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSSGHWVFDNTSVPAYREKECTFLTRQVTCLANGRPDDLWQYWRWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGNFNTFYAMEYRATLQFYWAPFLVQSNSDNPNFHHIDERIISPEMIEAHAKNWKDVDYLIFNSYIWWMNTQDIKVRKTDARAWKDNDEVPRMDAYGRVFKTWSNWLETNVDPAKTSVFFMTISPIHISPRQWGNPNGIRCVKETLPVLNYTEPLDLNHDMRMYDMVMNVAKNMKNVPVTVINITRMSDYRKDAHTSLYTIRQGKLLTPEQKAKPQKFADCIHWCLPGVPDVWNQILYTRILSKSSPPSPIPSLPPQRQAGV >LPERR11G00350.2 pep chromosome:Lperr_V1.4:11:274365:279498:1 gene:LPERR11G00350 transcript:LPERR11G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSQQQPPLPSTNSSSPPPPPSAAAAASSSKLVLDSHASYALPLLAAARRSPVTTLVAAFFLLALFIYGEDVRTIAELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSSGHWVFDNTSVPAYREKECTFLTRQVTCLANGRPDDLWQYWRWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGNFNTFYAMEYRATLQFYWAPFLVQSNSDNPNFHHIDERIISPEMIEAHAKNWKDVDYLIFNSYIWWMNTQDIKVRKTDARAWKDNDEVPRMDAYGRVFKTWSNWLETNVDPAKTSVFFMTISPIHISPRQWGNPNGIRCVKETLPVLNYTEPLDLNHDMRMYDMVMNVAKNMKNVPVTVINITRMSDYRKDAHTSLYTIRQGKLLTPEQKAKPQKFADCIHWCLPGVPDVWNQILYTRILSKSSPPSPIPSLPPQRQAGV >LPERR11G00360.1 pep chromosome:Lperr_V1.4:11:280798:289251:1 gene:LPERR11G00360 transcript:LPERR11G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSCLAGERVNTQIMEGVTRKKVTLLAYCKYSSSTKASTPLNWVLPLFLRSDLSPPAAFLACSAAGRISPPSVTMAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQSDLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQSGQTPPLLQYFGTLLTRGKLNAYESLELSKLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIFIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVCEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >LPERR11G00360.2 pep chromosome:Lperr_V1.4:11:280798:289725:1 gene:LPERR11G00360 transcript:LPERR11G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSCLAGERVNTQIMEGVTRKKVTLLAYCKYSSSTKASTPLNWVLPLFLRSDLSPPAAFLACSAAGRISPPSVTMAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQSDLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQSGQTPPLLQYFGTLLTRGKLNAYESLELSKLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIFIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVCEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGGMGMPPMGGMGMPPMGLS >LPERR11G00360.3 pep chromosome:Lperr_V1.4:11:280798:289514:1 gene:LPERR11G00360 transcript:LPERR11G00360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSCLAGERVNTQIMEGVTRKKVTLLAYCKYSSSTKASTPLNWVLPLFLRSDLSPPAAFLACSAAGRISPPSVTMAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQSDLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQSGQTPPLLQYFGTLLTRGKLNAYESLELSKLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIFIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVCEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >LPERR11G00370.1 pep chromosome:Lperr_V1.4:11:290035:290508:-1 gene:LPERR11G00370 transcript:LPERR11G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSVLFAAFDKDGDGKVSASELRGCMAAALGEDVSEEEAAAILATADIDGDGLLDHDEFLRLSDSDHHQLQQKDQPEEERLRCLREAFDMYAAADQETAVITPASLRRMLTRLGPEHERLGMEDCRAMICRFDLDGDGVLSFHEFRVMMLMS >LPERR11G00380.1 pep chromosome:Lperr_V1.4:11:293257:295142:-1 gene:LPERR11G00380 transcript:LPERR11G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVINYQQQALVSVPLIYASLIRFDSSAVTLSKTKKKPGLERKGKVVADIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKASSRIFLAGKKVMQIALGRSPADEANTGLHKLSKFLQGDAGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHVVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCGWSPDDFEVYKEGLAHLRADEADVSS >LPERR11G00390.1 pep chromosome:Lperr_V1.4:11:296961:304360:-1 gene:LPERR11G00390 transcript:LPERR11G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRPPCCSPPSSPASAFLDRRSGGSGETDYKGIHMLLAGRYKSSMWTENPLLRDAGAAVLTGVAALAVLSFWELVGNRALLDQKLCRKFVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGFVKDDGVVNSMTRNGDRRELLKGPLYYACAITLTTVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPHNPEKSYAGSIAMFLSGFLASILYMCYFHLFRFVEENWSMVIAFGVTSLAAAIVEAFPISKRLDDNLTVPIASVLIGVLVFYYTGAKNLCCMNGDSSYISATCLESDAEKALCIVSPPARDSDDCKESCLSASVAALTLKRGNSGSKDGQARKRKDKTHYSEHGEKEEEKEEVKTISGIINSAPSDDDDMFSEIESLLSGEIDIPIPSDRFDIKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELYRQLKIKTVEIDMLNITIKSLQEERKKLQDDVARSTTAKRELEAARNKIKELQRQIHMEANQTKGQLMLLKNQVIALKSKEEEAAVKDAEVQRKLKKLKEIEIEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVVHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSEKISARDLNKTMSPKSRERAKLLMLEYAGSERGQGDTDLESASSAPSSPISEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGNDDGSSLSSPTRSLTSDSPRSSSQKPKGPLEALMLRNARDGMGITTFGKREQDPSDIMDEANIASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAIKAKAEKARVQRYGGVNTSVPLSSPKSALPPKLAQIKEKAPTANVESSDQSSDNQNNPLVVTQLKLANIEKRAPRIPRPPPAPSATASGAVNTASGVPPPPPPCPPGAPPPPPPPGKLGGPPPPPPPPGSLPRNLAGGDKVHRAPGVVEFYQSLMKREAKKDTTSLGSTASSVSDVRSNMIGEIENRSAFLLAVKADVETQGEFVESLANEVRAAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDSKLPCEEALKKMHSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDA >LPERR11G00390.2 pep chromosome:Lperr_V1.4:11:296961:304360:-1 gene:LPERR11G00390 transcript:LPERR11G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRPPCCSPPSSPASAFLDRRSGGSGETDYKGIHMLLAGRYKSSMWTENPLLRDAGAAVLTGVAALAVLSFWELVGNRALLDQVHLFLLSLCSNSLHFAFPDIELLTAFNLQKLCRKFVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGFVKDDGVVNSMTRNGDRRELLKGPLYYACAITLTTVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPHNPEKSYAGSIAMFLSGFLASILYMCYFHLFRFVEENWSMVIAFGVTSLAAAIVEAFPISKRLDDNLTVPIASVLIGVLVFYYTGAKNLCCMNGDSSYISATCLESDAEKALCIVSPPARDSDDCKESCLSASVAALTLKRGNSGSKDGQARKRKDKTHYSEHGEKEEEKEEVKTISGIINSAPSDDDDMFSEIESLLSGEIDIPIPSDRFDIKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELYRQLKIKTVEIDMLNITIKSLQEERKKLQDDVARSTTAKRELEAARNKIKELQRQIHMEANQTKGQLMLLKNQVIALKSKEEEAAVKDAEVQRKLKKLKEIEIEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVVHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSEKISARDLNKTMSPKSRERAKLLMLEYAGSERGQGDTDLESASSAPSSPISEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGNDDGSSLSSPTRSLTSDSPRSSSQKPKGPLEALMLRNARDGMGITTFGKREQDPSDIMDEANIASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAIKAKAEKARVQRYGGVNTSVPLSSPKSALPPKLAQIKEKAPTANVESSDQSSDNQNNPLVVTQLKLANIEKRAPRIPRPPPAPSATASGAVNTASGVPPPPPPCPPGAPPPPPPPGKLGGPPPPPPPPGSLPRNLAGGDKVHRAPGVVEFYQSLMKREAKKDTTSLGSTASSVSDVRSNMIGEIENRSAFLLAVKADVETQGEFVESLANEVRAAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDSKLPCEEALKKMHSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDA >LPERR11G00390.3 pep chromosome:Lperr_V1.4:11:296961:301372:-1 gene:LPERR11G00390 transcript:LPERR11G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRGNSGSKDGQARKRKDKTHYSEHGEKEEEKEEVKTISGIINSAPSDDDDMFSEIESLLSGEIDIPIPSDRFDIKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELYRQLKIKTVEIDMLNITIKSLQEERKKLQDDVARSTTAKRELEAARNKIKELQRQIHMEANQTKGQLMLLKNQVIALKSKEEEAAVKDAEVQRKLKKLKEIEIEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVVHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSEKISARDLNKTMSPKSRERAKLLMLEYAGSERGQGDTDLESASSAPSSPISEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGNDDGSSLSSPTRSLTSDSPRSSSQKPKGPLEALMLRNARDGMGITTFGKREQDPSDIMDEANIASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAIKAKAEKARVQRYGGVNTSVPLSSPKSALPPKLAQIKEKAPTANVESSDQSSDNQNNPLVVTQLKLANIEKRAPRIPRPPPAPSATASGAVNTASGVPPPPPPCPPGAPPPPPPPGKLGGPPPPPPPPGSLPRNLAGGDKVHRAPGVVEFYQSLMKREAKKDTTSLGSTASSVSDVRSNMIGEIENRSAFLLAVKADVETQGEFVESLANEVRAAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDSKLPCEEALKKMHSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDA >LPERR11G00390.4 pep chromosome:Lperr_V1.4:11:302403:304360:-1 gene:LPERR11G00390 transcript:LPERR11G00390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRPPCCSPPSSPASAFLDRRSGGSGETDYKGIHMLLAGRYKSSMWTENPLLRDAGAAVLTGVAALAVLSFWELVGNRALLDQVHLFLLSLCSNSLHFAFPDIELLTAFNLQKLCRKFVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGFVKDDGVVNSMTRNGDRRELLKGPLYYACAITLTTVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPHNPEKSYAGSIAMFLSGFLASILYMCYFHLFRFVEENWSMVIAFGVTSLAAAIVEAFPISKRLDDNLTVPIASVLIGVLVFYYTGAKNLCCMNGDSSYISATCLESDGISRC >LPERR11G00400.1 pep chromosome:Lperr_V1.4:11:307603:309261:-1 gene:LPERR11G00400 transcript:LPERR11G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEEEEGSDQSNTDGGGAPAANNNNWSSTTSTSTVEEEEGQQGERSSSSVRPYVRSKNPRLRWTPELHHCFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIVGGCSWRSDEQQQQYHHLQMQGGHGGQAYNVGHLSLPAALHQHHRHITAGAGTTVLQSRFGNAWSPWRCHGHGSYPWLRAGHHLPVGSKPYYPPAAEAESPFHSSDRYVARAPSSHLEDFVQASSSSRGDHIMNHQRSILKKIICNEDGNHQEEGALNLDLTLDIGPRREKRKRECSWKKQEGDHDHTTIAIGGDQEAESCATGLSLSLF >LPERR11G00410.1 pep chromosome:Lperr_V1.4:11:313000:320202:-1 gene:LPERR11G00410 transcript:LPERR11G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIRVAYIIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSISSLSNWGEMRMIGRALNLLLKENMLSSGTPSELKDPSTISEFAPDGSGGFEPCRKCLAKSRTVHNATPRKLLQARELISNQTHEHHKKKQSPPPVQSSASHLRPHWAIYALSTVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSAQSEERFRNKISVLSKVNHKNFMNLLGYCTCEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDSNKQDASSQEIKISSSRGDDQESALVYKFGILLLEVISGRRPFSEDVRLMVLWASSYLDGKRPLSAMVDRTLVRSSSAAPEKDVAALCDVVRQCMRQPGIRMGEVARLIKIGLQGCKGERKKRLLAFSSACGYVQRVVCGNISGGNQSLRAKYKNVNLSHTMLDLKVRFGSVIWKNAFVDLGVGSWECSRLLPLPHFSFRICDPMATASSPAAFVQQDYHDEEDDEFQDDDDDLDDDDDGDDDQQEPSPSPSDGADEARLQSVLRRLTADEVPIRVHDVQIRGCCRTRRATVEAAIGSDLPRAATVRDLVRAAAKAGDRIRRLGAFDTVSITLDAAPPGIPGGAAVVVFVDVAEARGRSAGELGIFAHTGSRSCLVEGSVKLKNLFGYCETWDASGNLGLDQTMELSTGLAIPRIEAIPTPLVARMSFLSEDWLKSSLKEQMMGISVGLLSTMNHNLAYNLSWQSITDQALMSSSSIRGQLGHSLLSSIKYTYKVDQRDSSIRPTHGYAFLFSSQVGGLAPEKKDARYIRQVNYCRILRQFDHDIGKTGIQFNFSLP >LPERR11G00420.1 pep chromosome:Lperr_V1.4:11:323582:325448:-1 gene:LPERR11G00420 transcript:LPERR11G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADRHRREERSRFDSTNNESKASPWLRPPRDLDLTRRLSPADYGLVLALTVAVGLLGGALHYMLTRKREEELAGDDLQKKTRADANHNHSAMTTGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDNNVDLWDLSSNFFLSEKDVGQNRAQACIQKLQELNNAVIISTITGDLSKEHLSNFQVCEQAYVYVFKSLSNYRPRSNNSKNVGKFGLGLEIFATICRMSGNSL >LPERR11G00430.1 pep chromosome:Lperr_V1.4:11:327270:329477:-1 gene:LPERR11G00430 transcript:LPERR11G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVAASSPAAAAAVPHTHLAAGAATNPASFRVAVPRGAVAAATVCRAAGKGNEVLSGVLFQPFEELKGELYLVPQGKDQSLARQKYVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNMRGGKVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLAEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >LPERR11G00440.1 pep chromosome:Lperr_V1.4:11:330574:335643:1 gene:LPERR11G00440 transcript:LPERR11G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGDGDDHLLSLFASSLSHRRHAQPTFFLLLCKPLAFCFLQPLHSSAPHRFGDTELRLLDAALSAGADVSALLHTRSSARQLLRQSAAQAFSVPAPDLCTRLSIADFFARAFALTGDVEKDKITDISRLQNLAKSLSAMCSVQTQSAEYMKRKASGVDEKGNLHSVKSKLPGSSMFRLGIKARNIQKLRHSQERNLEDLEL >LPERR11G00440.2 pep chromosome:Lperr_V1.4:11:330574:336304:1 gene:LPERR11G00440 transcript:LPERR11G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGDGDDHLLSLFASSLSHRRHAQPTFFLLLCKPLAFCFLQPLHSSAPHRFGDTELRLLDAALSAGADVSALLHTRSSARQLLRQSAAQAFSVPAPDLCTRLSIADFFARAFALTGDVEKDKITDISRLQNLAKSLSAMCSVQTQSAEYMKRKASGVDEKGNLHSVKSKLPGSSIQFSREASMAGSGTPCASCKLLRRRCTAECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLHDVPPPQRADAVSSLVYEANARVRDPVYGCVAAISFLQQQVSHLQMQLALAHAETSALQLQQHILVQNAHHQLMLQEACLKQESMWT >LPERR11G00450.1 pep chromosome:Lperr_V1.4:11:344962:352382:1 gene:LPERR11G00450 transcript:LPERR11G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENITAQLAAAGDARALNSNPLPRHPHHTRLRLRPTVMASTSSPMRSVAAALLLTPYPTLNHITFPSARCRCPAAALRWRPARCRGKPAVTDVVDEEEEERETLPEAKLDDVRGWFMLDEIGMDIINIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDEISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMLALILGSDTLIDIVGIPAHNFPVQHTQDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTVQISLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR11G00450.2 pep chromosome:Lperr_V1.4:11:344962:352382:1 gene:LPERR11G00450 transcript:LPERR11G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENITAQLAAAGDARALNSNPLPRHPHHTRLRLRPTVMASTSSPMRSVAAALLLTPYPTLNHITFPSARCRCPAAALRWRPARCRGKPAVTDVVDEEEEERETLPEAKLDDVRGWFMLDEIGMDIINIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDEISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMLALILGSDTLIDIVGIPAHNFPVQHTQDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR11G00450.3 pep chromosome:Lperr_V1.4:11:344962:352382:1 gene:LPERR11G00450 transcript:LPERR11G00450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENITAQLAAAGDARALNSNPLPRHPHHTRLRLRPTVMASTSSPMRSVAAALLLTPYPTLNHITFPSARCRCPAAALRWRPARCRGKPAVTDVVDEEEEERETLPEAKLDDVRGWFMLDEIGMDIINIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDEISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMLALILGSDTLIDIVGIPADSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTVQISLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR11G00450.4 pep chromosome:Lperr_V1.4:11:344962:352382:1 gene:LPERR11G00450 transcript:LPERR11G00450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENITAQLAAAGDARALNSNPLPRHPHHTRLRLRPTVMASTSSPMRSVAAALLLTPYPTLNHITFPSARCRCPAAALRWRPARCRGKPAVTDVVDEEEEERETLPEAKLDDVRGWFMLDEIGMDIINIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDEISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMLALILGSDTLIDIVGIPADSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR11G00460.1 pep chromosome:Lperr_V1.4:11:352874:353485:1 gene:LPERR11G00460 transcript:LPERR11G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSPLPTSVSSADANPAATRAFLGRLVDAVKRALAGARPWPELIDRSALSRPESLSDATARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLSAWCFLYLLRPADAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAALVCAHGAFRVPEDLFLDEPASDAGASLNLLSFITTATGGRV >LPERR11G00470.1 pep chromosome:Lperr_V1.4:11:355502:358742:1 gene:LPERR11G00470 transcript:LPERR11G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRASPLLLLTILLHHAHVHAVGDGVVISQADYQGLQAIKHDLSDPYGYLRSWNDSALAAWSGIKCVNGNVVAITLPWRGLAGTLSDRIGQLTKLRRLSLHDNAIGGLIPSSLGFLPDLRGLYLFNNRFSGAVPPSIGNCVALQAFDASNNLLTGGVPASLANSTKLMRLNLSHNAISGDVPSEIIASPSLVFLALSHNKLSGQIPDAFAGSRRAPSSSSSLKESITGSYHLAVLDLSHNSLDGPIPESLAGLQKLQVVDLAGNRLNGTIPERLGALTDLKTLDLSGNDISGEIPASLSNLTATLQSFNVSNNNLSGEVPVSLAQKFGPSSFAGNIQLCGYSASTPCPASPSPAPSSPASQASTGKSHRKFSTKELAFIIAGIVVGILILLALCCLLLCFLTKKRSSSSTGARSGKQTTSKAAGGAAAAGGGRGEKPGSGTEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFEAEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPINWETRMTIAKGTARGLAFLHDDMNIVHGNLTASNVLLDDHTNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSASVRPDAREVLRQLEQIRPGTEGGAGTSDQGHVAAASAE >LPERR11G00480.1 pep chromosome:Lperr_V1.4:11:361928:366516:-1 gene:LPERR11G00480 transcript:LPERR11G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPITQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKASQREDNNPSVPLHYVDNYDGIQPVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATDCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNQISNNSETEPLRGKNNSGRSDAPENEMWPPQHKNRSFSPK >LPERR11G00480.2 pep chromosome:Lperr_V1.4:11:361926:366556:-1 gene:LPERR11G00480 transcript:LPERR11G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPITQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKASQREDNNPSVPLHYVDNYDGIQPVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATDCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNQISNNSETEPLRGKNNSGRSDAPENEMWPPQHKNRSFSPK >LPERR11G00480.3 pep chromosome:Lperr_V1.4:11:361926:366556:-1 gene:LPERR11G00480 transcript:LPERR11G00480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPITQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKASQREDNNPSVPLHYVDNYDGIQPVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATDCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNQISNNSETEPLRGKNNSGRSDAPENEMWPPQHKNRSFSPK >LPERR11G00480.4 pep chromosome:Lperr_V1.4:11:361926:366556:-1 gene:LPERR11G00480 transcript:LPERR11G00480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPITQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKASQREDNNPSVPLHYVDNYDGIQPVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATDCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNQISNNSETEPLRGKNNSGRSDAPENEMWPPQHKNRSFSPK >LPERR11G00490.1 pep chromosome:Lperr_V1.4:11:372039:375661:1 gene:LPERR11G00490 transcript:LPERR11G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQASKRRRLSISSSPLNDLADELLFLILDRLAADDPRALKSFSLLSRACHAAESRHRRILRPFRPDLLPAALARYPSLSRLDLSLCPRLPDAAFAALPVHPSLCSVDLSRSRGFGAAGLAALVAACPNLTDLDLSNGFDLGDAAAAEVAKASRLQRLSLSRCKRITDMGLGCIAVGCPEMRDISLRWCIGVTHLGLDLLALKCKKLNILDLSYTMIVKQCFPAIMKLQNLQVLLLMGCNGIDDDALTSLDQECSKSLQVLDMSNSLNVTHVGVQSVVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQTLKLDGCQFMADGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVSRLKNLLKLDVTCCRKITDASLAAITTSCPSLISLRMESCSLLSGEGLQLIGKRCTQLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLKITDEGLSYISKSCPDLRDIDLYRSEAITDEGVSHIAQGCPMLESINMSYCTKITDRSLSSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDLGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSIYGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYEVALEPCDVWKQQSQDLLVQ >LPERR11G00500.1 pep chromosome:Lperr_V1.4:11:376416:379133:1 gene:LPERR11G00500 transcript:LPERR11G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCSKGKEELEDEGFPWQHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGRKGQIFCGVFDGHGPLGREVARHVRDILPMKLSSSLTSKNEQDPSSNADKDSLDKSSDYTSFSDTSDEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVSIVRQGDHLIIANLGDSRSVLCTRDSKDRPIPVQLTTDLKPDLPSETERILNCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSDKDDFLVLATDGIWDVLSNKEVIKIVSSTTDHSKAAKLLVERAVRGWRRKFPTSMVDDCAVVCLFLKPSPSDESSHGGAKPQAVSFTGSFRKVLAGGEAEGTNVWKALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDETTRID >LPERR11G00500.2 pep chromosome:Lperr_V1.4:11:376416:379472:1 gene:LPERR11G00500 transcript:LPERR11G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCSKGKEELEDEGFPWQHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGRKGQIFCGVFDGHGPLGREVARHVRDILPMKLSSSLTSKNEQDPSSNADKDSLDKSSDYTSFSDTSDEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVSIVRQGDHLIIANLGDSRSVLCTRDSKDRPIPVQLTTDLKPDLPSETERILNCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSDKDDFLVLATDGIWDVLSNKEVIKIVSSTTDHSKAAKLLVERAVRGWRRKFPTSMVDDCAVVCLFLKPSPSDESSHGGAKPQAVSFTGSFRKVLAGGEAEGTNVWKALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDETTRID >LPERR11G00510.1 pep chromosome:Lperr_V1.4:11:385146:385876:1 gene:LPERR11G00510 transcript:LPERR11G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFLNLVVKSNLTGLHSLRRIPANRLFYPSTRAAEAATTKSQESFNSYMEEHHKHPGLKFMDRLFRQSILEFAALLGDDEDKILVADNNGNTTVLDAGSSSFTLSPSLNCNKGRGAIAVSMTNSDPDEPDRLYPPPAATYTLVDAVAATVVNDLTIYVSSTMPERGGTHAFDTITHNWRRVGYWKMPFGGRVEY >LPERR11G00520.1 pep chromosome:Lperr_V1.4:11:385955:389639:1 gene:LPERR11G00520 transcript:LPERR11G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLAVVHTWPDLDIPKSWSPFKLNLVSLGSGRFCVVKMFTSDYYVDGSGDDIVDSDEIHSPFAMQLVYPRGKDDPGEVRMIKHKSMYHLFDTYNIQYVCTADNDGNTVVFDADHSTLIAFPNLSSPKRYNAIPLTIINNNGNRKSWEAVPEDSLYVLSRHPDGSIKDGCFEVLSYASSSQDFREVTPFWESLPAPPFVYTCADIDSYTVVDGSTIYISKETDFSKPHPDVTYAFDTVKREWRPAEYVPELKLWFGLSGSYPYSLCAFDLLSNGDAAKPPTVQHTWVDLDIPESWLLWNIHLINLACGRFCVVKMFRSLASDRGFGYSSSEEDDDTDPVYGNFAIFTGLHMHKFSGVHSLRRIPASRLFYPSTRAAEAAAEAMAKSSSSQEQHATDAAYMETMDKFGQFPAAMLTIQPTPSYRQNSRIFDLITPLGDDETKILAADSYGHTALLDTQSYSVVNFPRLICSKGHNAMAVSINRSSPNEPDNLYVLSLNPDYRDDDITDFEMLSYGGFNEWIPGWCPLPQPPLSHIDSYAIVGDSTIYVSSNKGGTHAFDTVTQQWRLHVGRNPLMWTMPFSGKAEYVLWFGLSGKYPYSLCACDLTNKQGGNLYTWLDLDIPKCWSPAYLRLISLGSGRFCVAKIFGTTRDDLFDSQFAVLTGLHMVCPRGRKHDDHQRDVPMMVKHKSICYTFSDYEIQWVV >LPERR11G00530.1 pep chromosome:Lperr_V1.4:11:390564:392066:-1 gene:LPERR11G00530 transcript:LPERR11G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNADPDSDLLHDDADGSESPRAAVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >LPERR11G00540.1 pep chromosome:Lperr_V1.4:11:395604:398149:1 gene:LPERR11G00540 transcript:LPERR11G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSCFLATRAIPARGAIARRRKVAVAAGGSSRSPPGVFLCRSSTPTTAGAEGGRRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDIDHLKDEENVAFILCLQQDKDIEYWSIDFQSIVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAISYMFWFENMDLKTAYEKLTSKRPCGPNRRAIRAATYDLAKNDPQKESFESLPEHAFEGVSDWERRLIHERVRALREA >LPERR11G00550.1 pep chromosome:Lperr_V1.4:11:398340:405467:-1 gene:LPERR11G00550 transcript:LPERR11G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVASFLLLLLFVTCGAAHGEGSDFVVLDLETTEAGEVSPMYKEQIVLPKIPVTLGRSIQGPLCSACENITKEAVDFLSEKQIQDKIMTILHDTCSQTFSFKQQCLEMVDSYATLVFAKIGEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIMDEVMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACGAGKKTAFSFSSRKLNRSQRFTMHQFLQRFNGCQFIRFQRPSSVQRILSSYFAVLPLRDEGAISLGLGTLPGLFAGSLLLTLLAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSSSQVSLLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCKAEQSQNTEAEDETSSLVTSQGSPSQVAQSQKAKPEIFVMFEGFWLIARSPYLMYISLFLWLSAVVSSFFYFQGCILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPV >LPERR11G00570.1 pep chromosome:Lperr_V1.4:11:423979:430384:1 gene:LPERR11G00570 transcript:LPERR11G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNFNNLRFSFENTRQSNLLSSPFPSPAPALTGEYIRLRPTTISHPLLYILPFLLPQALTAALAAEMEGAAAETPGGHLEVALLQIMQRHHHESLRQRKKTDAFLFPHQCIQREQRWML >LPERR11G00570.2 pep chromosome:Lperr_V1.4:11:423979:430384:1 gene:LPERR11G00570 transcript:LPERR11G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNFNNLRFSFENTRQSNLLSSPFPSPAPALTGEYIRLRPTTISHPLLYILPFLLPQALTAALAAEMEGAAAETPGGHLEVALLQIMQRHHHESLRQRKKTERAKMDAVKSAARVADLLVATVDGGVKELYINERRIEMEARALLATIARYRKQTDQWLATTNEINSVLKEIGDFENWMKIMDFDCESINAAIRNIHQS >LPERR11G00570.3 pep chromosome:Lperr_V1.4:11:423979:425515:1 gene:LPERR11G00570 transcript:LPERR11G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNFNNLRFSFENTRQSNLLSSPFPSPAPALTGEYIRLRPTTISHPLLYILPFLLPQALTAALAAEMEGAAAETPGGHLEVALLQIMQRHHHESLRQRKKTDAFLFPHQCIQREQRWML >LPERR11G00580.1 pep chromosome:Lperr_V1.4:11:429881:432545:-1 gene:LPERR11G00580 transcript:LPERR11G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDATEPSATPPPPENKRRRGAALPILGDVTNLLPATPTNPTNTRRPRPLPSDTTASATAPPSATLTPISKPPSAAAISAQSATARSLVKSPISTVYTRSNRNTITTDKRKRKNPANNNTPFPHGTASCPLPTRTNRKTSVDQDTRPISSSAPCHQAKKKQNTRVNITSSGKSILPEDFVKKQRAYFQEIDAFELPEEEASETDLE >LPERR11G00590.1 pep chromosome:Lperr_V1.4:11:434371:435545:1 gene:LPERR11G00590 transcript:LPERR11G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSQARHHRRGVGDGGGGIGGVRAEEVASSDAAHPRQAARAGAHPRRPRRRRPRAPLLHPINQQIQQQQLGLRILLTAAAGHHRRRRRERKVELVAYAFLPAFC >LPERR11G00600.1 pep chromosome:Lperr_V1.4:11:437092:440310:1 gene:LPERR11G00600 transcript:LPERR11G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSSMASVYRPPRNTICAACYEGAKSIIAFFNDDEHADADQGSVKSRGLAKLNTATKGLRDAWEEVKQMRCREEETNQRVSFLQEGFAAAWKDGIHTDIAVKPGTGPPIQAHKAILAARSEVFRHILAGDDEYKAAAGESISLPELSHDELSHLLAFLYTGSLPPCTEEERQLHALLVAGDKYDVAYLRRACEARLAARVDAGNALRTLEVAEQSSSAALMERAMGTVVEHAEEVVFSPEYDDFAVRNASLCVQITRALLAGNNKSTFPAKTSA >LPERR11G00610.1 pep chromosome:Lperr_V1.4:11:439571:441277:-1 gene:LPERR11G00610 transcript:LPERR11G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANETSILVDASKYIKELKDKVSRQGPDAQLDSTSSSMPMPRVSVSSVELEKNRRGFRINVSMEKSQPELLTTVLEAFEELGLDVLDADVSCADDTAFRLEALGSSHKSQPELLTTVLEAFEELGLDVLDADVSCADDTAFRLEALGSSHEEAAESVDAQMVRQAVLQAIKKCIDGGDDEE >LPERR11G00620.1 pep chromosome:Lperr_V1.4:11:447777:450184:-1 gene:LPERR11G00620 transcript:LPERR11G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVVVSASGLAFPYPFPPSSSLLSKTLLNPSRLSISRRAAALPLLLLRQQRRRDVSAAYGDGDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKPPPRSTEVHGHEEGDIAMVAAHSFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYMRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKEASRDNVGCLYQTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >LPERR11G00630.1 pep chromosome:Lperr_V1.4:11:453676:458527:-1 gene:LPERR11G00630 transcript:LPERR11G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAEAPPADAIAAASELPFRLKLPMIKLALHRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHTASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVDPISLDKDELPAYKGDLQSLHINPKPSSNKDDLPHLPINGLTKVSCNVPDDSDQPLFVEQQEQSSHDMEILYPLETQEGHVQVDRDETLKTCGFSNLPASFETDLGSPVNDAHHVQTDTFPSVDPEGIEKHVEFLHDSLELDGTESCKMSLIVESSDPISSYDKLACSAIPCSMELSGTPIVTVDQATQDRDILSGSSVCPENKGLYPYSDISVFSETPKDHAEPLELPPCGVDDEAPSDLAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATVMEEHTPYGPEMASTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLEVSLTRAGKRTKKLRLQCPGYLPFLGFLKSVSFKKKASKYACRKTLASSRARVKGRFARNSHNGPAAEATSAPADESLELVNRLNFLPISLQCDALAIHFIEVANSIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDERVRIWLCIDRAGPCSRPHEASATNGVVQNVSRIESSKRSDQKQSEDIGVSITGKRKDRS >LPERR11G00640.1 pep chromosome:Lperr_V1.4:11:458431:464493:1 gene:LPERR11G00640 transcript:LPERR11G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGASASEKPILVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSNPKALEEPLVKKLLVQHIETVHHSEAVQDVLHSLLHTDSDAKEVKSKMKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRRRKGDRNEVDESLREICHLYDAVQVDSDEEKHAAESRQINTVEGQERREEQEHMHQKCTPPPRPEVENRHYIDELAQQISGCWPANAKEETWPNQGALIFL >LPERR11G00640.2 pep chromosome:Lperr_V1.4:11:458431:464802:1 gene:LPERR11G00640 transcript:LPERR11G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGASASEKPILVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSNPKALEEPLVKKLLVQHIETVHHSEAVQDVLHSLLHTDSDAKEVKSKMKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRRRKGDRNEVDESLREICHLYDAVQVDSDEEKHAAESRQINTVEGQERREEQEHMHQKCTPPPRPEVENRHYIDELAQQMFMSLRHRAYWIRKAMFNAVVSAHGSDL >LPERR11G00640.3 pep chromosome:Lperr_V1.4:11:458431:463894:1 gene:LPERR11G00640 transcript:LPERR11G00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGASASEKPILVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSNPKALEEPLVKKLLVQHIETVHHSEAVQDVLHSLLHTDSDAKEVKSKMKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRRRKGDRNEVDESLREICHLYDAVQVDSDEEKHAAESRQINTVEGQERREEQEHMHQKCTPPPRPEVENRHYIDELAQQFSR >LPERR11G00650.1 pep chromosome:Lperr_V1.4:11:465692:471751:1 gene:LPERR11G00650 transcript:LPERR11G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSTSSSAAPSAALPNHNHHHRRTSSVDELPVENGPEPRRDAADEEPPAPVAYLPQVVVLCEQRHEGFDEAAAAAAGPSTSGLVTKWRPKDRMKTGCVALVLCLNVSVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSGASMKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRDSMERNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIYASGDMSSILVWDLDKEQLISTILSTADSGISALSTSQVQCGQFAAGFVDASVRIFDVRTPDRLVYMARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEDNYQVR >LPERR11G00660.1 pep chromosome:Lperr_V1.4:11:473166:477692:1 gene:LPERR11G00660 transcript:LPERR11G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGMAMTPEPLPQEPSRIRVENCYVFKSRLQEYAQKANLPTPEYHTLKEGPSHEPLFKSTVVFNNTKYESLPGFFNRKAAEQSAAEVALMDIVKSIPANANIPAVQETGLCNTGRKQLNLTEMCREADHHHLLATLARHRRLAAAATLFSSTLCTVRALNSLLAAICSSLSFLRIAPKVLLLAAPLISPDATTFRILTSTLCQARCPAAAADLLCSMPSLLLDPEPVSCRAVLASLCQYAPAQDAAVFLDKMCHWGISPSRSDYHGVFIALLQEELVAEAYEFMKNKMGSDGVAPTLVDFKLILQAFSESAEFDSVEEVFDEMLLRGFVPDIGAYTVYIGALCRKGDLAGARRMMACMERAGCPPDVRTFGVVVVGCMSAGDMGTMREVEEAIRRGLRWDQLALSELIGLLWAGGGATQAHKLLDPLFIHDAPQETGLCKNLLQEYAQKMNYAIPSYICTRQASGLVPYICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCANGATKYIVVPGKRQGKEVEKRPIETPKPLKVKKGGLKKKWNKRKFMKKNGQNADFEKDEAMVAGDAYDSDVLMQPTVITQEPFSDALFLQPYEEAKRVEPEPPRDIEMAQPNKEKQPSDAAMGQPDEEARSVEQEPYRDTSVMQLIKQDRSVKQELDSDTAMPQPDKDTRIVKEAPRTQPNEEATSIEEPPRNAAIMQPKEEANTAKQEPRSNAPLLQTELKLENSECSYEHKNQSSGAASPETNKAFGDTTGIDSYAPTSNVREE >LPERR11G00660.2 pep chromosome:Lperr_V1.4:11:473166:477692:1 gene:LPERR11G00660 transcript:LPERR11G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGMAMTPEPLPQEPSRIRVENCYVFKSRLQEYAQKANLPTPEYHTLKEGPSHEPLFKSTVVFNNTKYESLPGFFNRKAAEQSAAEVALMDIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTRQASGLVPYICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCANGATKYIVVPGKRQGKEVEKRPIETPKPLKVKKGGLKKKWNKRKFMKKNGQNADFEKDEAMVAGDAYDSDVLMQPTVITQEPFSDALFLQPYEEAKRVEPEPPRDIEMAQPNKEKQPSDAAMGQPDEEARSVEQEPYRDTSVMQLIKQDRSVKQELDSDTAMPQPDKDTRIVKEAPRTQPNEEATSIEEPPRNAAIMQPKEEANTAKQEPRSNAPLLQTELKLENSECSYEHKNQSSGAASPETNKAFGDTTGIDSYAPTSNVREE >LPERR11G00670.1 pep chromosome:Lperr_V1.4:11:478016:492424:-1 gene:LPERR11G00670 transcript:LPERR11G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEDGEVQNHELQKQPKEKKRKKERLLDFLRAAPSNAQLRQLLAGVSSPNRLPPLAVKLLHARILRLDLLAALSPLLLRALSSSALHLHALRLHCLLPTPSHLTFPIAFKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLNLYSKCGLLRHAQRVFDEMSHPNTVSWTALITAYMDAGELKEAVRVARSAFADGMRPDSFTAVRVLTACARAVDLATGEMVWKAAEEEGIARSVFVATAAVDLYVKCGEMAKAREVFDKMQDKDAVAWGAMVGGYASNGHPREALELFLALQAQGVRPDCYAVVGALSACTRLGALDLGRQAITKLDWDQFLDNPVLGTALIDMYAKCGSTAEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSAMILNDNTFIGLLCSCTHTGLIQDGQRYFHNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLIVDMPMQANAVIWGALLGGCKIHRNPELAEHIPSDPLWKHTQASTVASLMGIEKKSPAAMQPTILPATQSATKSSSAQNFSLCSPPMRPISARSIFALVYRNGYTGNVLVANAVINMLSRFGLLDRAYGFFCSLTFRNIVTWNEMIAGYGLFGRSEDALRLFRSLVCFGERPDEFTYSAVLSAFQEAQGVRDHEQVHAIILKQGFASCQFVSTSLIKANAAAFRSVQSSLKIIEDTGKMDVVSWGVIMSAFLKHGLNDEVLFLFNLFRRDSTNKPDEFILATVLNASANDALIRHCRHEKHFCVASAVVDAYAKCGEITSAENAFSAVSSATDDAILYNTMLTAYANHGLIHEDLSLYEEMTKAQLNPTPATFVAVLSACSHLGLVEQGKLVFSSMLSAYGIHPARANYTCLVDLLARKGLLDEAKCVIDTMPFQPWPAVWRSLVNSCRIHGNKQLGVVAAEQILRMAPGSDGAYVSLANVYADDGEWQYSEETRNRMVQNQVQKLQGYSRIEV >LPERR11G00680.1 pep chromosome:Lperr_V1.4:11:480523:482867:1 gene:LPERR11G00680 transcript:LPERR11G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAATVKPVLATCYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSLILILSGAAHHLLRRLGQCRFVTHMLVGILLGPSYMIGPMMLGLALPGGMPIGATMTERLDSSFIALFLPVYMALAGYRTDLAEIGLIGGEHKEKFCALELFVALCVAGKMVGCIAAGLFFSMPIREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVVITAIATPLIKLLYDPSGRFARAKRRTLESARPNSELRVVACLFSEDHAAPLLDLVEATGSSRDAPVSLIVLHLTDLVGQAASVLKPHKKSRGGSSGNPTASDRIVNAFRYYEQQQEESAVTVSPYVAASPYSSMHHDVCLLAHSRKANLILLPFHKSSSDGARIGTANNAIRAINRSVLQYAPCSVAILVDHGLATGSACATASNSTLQRVALYFLGGADDREALAYVARMAEGGGVTVTVVRLKLRNWVGMGGRDEVRDEEVLHEFWQRYSSSHEDNGVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRRGGNDDVEGSALTSGLSEWSECPELGVLGDLLASAEFASKVSILVVQQQQQQQAATRNEAADH >LPERR11G00690.1 pep chromosome:Lperr_V1.4:11:482939:487804:1 gene:LPERR11G00690 transcript:LPERR11G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDGGDHYPYYQPTSRPHYQPQPQYHGQPAAAPARPQQPLGPHGVTPSTVRVAALAHDLLNFESTSRVPDDLSQHVISSRKAQEQMLKNGHVWHFKTYDKRPEFARWEREARAADRGLWASGNPEKPWDWRRDQRNARQDAICSLQLDQSHLATNVHIAKIGLF >LPERR11G00700.1 pep chromosome:Lperr_V1.4:11:488825:489486:1 gene:LPERR11G00700 transcript:LPERR11G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNALIEYDMRIKKDDNKEDDDLQLIDGAAILSELTLLPHIIKQATIRGLQPDELLQYMFSELWISVNLAATKKCTPYDSICLHWHVNNKLMSLLQQPSTTEQIDHTTVMLNPRADVV >LPERR11G00710.1 pep chromosome:Lperr_V1.4:11:502658:503968:1 gene:LPERR11G00710 transcript:LPERR11G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQALKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVEKRGKLTEGVAHKYFQQLISAVDYCHSRGVYHRDLKLENLLLDENENLKVSDFGLSALSESRRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHSEFRCPSWISRKLQKLLYKIMDPNPNTRISIQKIKESTWFRKGPEENRVLKERTLNESTTRNVAPTLGVRRKKNAHEDVKPMSVTNLNAFEIISFSTGFDLSGMFIAKERRNEARFTSDKSASTIISKMEDVAKVLNLRVRKKDNGVVKMQGRKEGRNGVLQFDMEIFEVTNSYHIIEMKQTNGDPLEYRQLLEEGIRPALKDIVLAWH >LPERR11G00720.1 pep chromosome:Lperr_V1.4:11:505662:506757:1 gene:LPERR11G00720 transcript:LPERR11G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPQLFLFLLFPPFIGARLDLILPNCYRSIGLRLNRRASFHLNHPHCWVLAACRLQLFERKSTSLPPYAACCRTHEARRAVAAARHAASPGRAAGGGPSARSGRREGGAAATAHDQRPAAELRGDDGSDPLKPIYVSIRGKVYDVTTGRALGKMSKDESDELGVLADWETKFQAKYPVVAGLTDA >LPERR11G00730.1 pep chromosome:Lperr_V1.4:11:509242:510550:1 gene:LPERR11G00730 transcript:LPERR11G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFYPSTEKAMAAKSQESDDFDCRMKYHLGKLEMLGDLSQPMFTFKPTPMPSYSGGFLEFASLLGDDENKIIINDHMGHTLVFDALDSSSIAFPTSLVPCFMMPSPYPSKRLVETTTRTMTPSMSLLRVPAYNVWNIASKCSITPLLLLLLLRLLLLVAILHMEDPPIGVLYRRHPSPTSGKPTLTPTQWLMAPLSTFLEMTNATYAFDTVQREWNRVGNWTMSLHGKSKYVQNLNFGLDYLPITPTPWAISLSSLAYTWFALMVNMRIKVVSDDQTQVHVLHLFR >LPERR11G00740.1 pep chromosome:Lperr_V1.4:11:521103:521797:-1 gene:LPERR11G00740 transcript:LPERR11G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVEQSIWHALGLALVSGAFAGSALYALCSLLLCCLPPRHAAAPPADPRKVKLCLPDHAHRRSSPSSSSECSICLGELEEGERFTNQD >LPERR11G00750.1 pep chromosome:Lperr_V1.4:11:524268:525491:1 gene:LPERR11G00750 transcript:LPERR11G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRNTAARSTCSVPGLVLGFSKLCKITKICAAPDFSDTKTEFGDFCGGYDQNLVITRVFEEIRALKSAYIKLQKAHIPYNPPKIAFADEIITSQLDSLTALQSLCSWNGSSIGSLINDRWSLVQELEAETRKKDSDILLLRRVQGGLKSANSRLNKQINSKPSVKHQKDYSFVLKQLTTPDAVLELFKVTSTSVHDFAELIFSLMPLSNQCDNSADEEEQSSYRRYSLEAYLSRTMLAPDGTEDDEKQLDLARFDRIMRCCDPLESLMEHPNSSFGRFCRTKYLAAVSSEMESAMFRNLDVRAFVSRGGHPRTWFYRAFATMARSAWAMQVAVAERRRCCGRGSVRVFYARRGSRYSAEYMESVVAAAADERGDWEEVGVGFTVTPGIKVGETMVKCRVFLCV >LPERR11G00760.1 pep chromosome:Lperr_V1.4:11:529254:534178:-1 gene:LPERR11G00760 transcript:LPERR11G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRRCGGGVGRRSERSAVVGENRNGYVETDPTGRYGRLDEVLGKGAMKTVYRGFDELRGIEVAWNQATISDVVRTPDALHRMYGEVSLLAALRHDSLISFHASWVSPSRLTFNFITDLFSSGTLRSYRRRYPRVSRRAIAGWARQILRGLAYLHGRHPPVIHRDLKCDNIFVNGNLGQVKIGDLGLAAVLRECGYACSVIGTPEFMAPEMYDEQYGVGVDVYSFGMCMVEMLTNEYPYGECDNPAQIYKKVTAGKLPDAFYRISDADARRFIGRCLVNAGQRPSADELLLDPFLLQSHHQDDDHCNTMASPPPSPLPLMNISSGDDEEDEEAAAQAERSTARDMTITGKLNKEHDTIFLKVQIGGDESSSGDNVRNIYFPFDMVNDTAMEVATEMVKELDIADREPSEIAAMIEQEIVRLVPGYKQHEYSYADDEDDNDNGQLNNPFYYLSSSPTTSSQGSLCGVGPPVSVSVSDDDDCSTMISTSPTSATTTSAMISQQQHCSASSTTRFGHHHHAGRPRQRREGDDPEERRRRRMSRNRSMVDMRSQLLHKTLVEELNKRLFFNTVGTVHDIGFRDPTVVASSSSSSTSHSQHRRRSSSKDHKKHQYMF >LPERR11G00760.2 pep chromosome:Lperr_V1.4:11:529794:534178:-1 gene:LPERR11G00760 transcript:LPERR11G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRRCGGGVGRRSERSAVVGENRNGYVETDPTGRYGRLDEVLGKGAMKTVYRGFDELRGIEVAWNQATISDVVRTPDALHRMYGEVSLLAALRHDSLISFHASWVSPSRLTFNFITDLFSSGTLRSYRRRYPRVSRRAIAGWARQILRGLAYLHGRHPPVIHRDLKCDNIFVNGNLGQVKIGDLGLAAVLRECGYACSVIGTPEFMAPEMYDEQYGVGVDVYSFGMCMVEMLTNEYPYGECDNPAQIYKKVTAGKLPDAFYRISDADARRFIGRCLVNAGQRPSADELLLDPFLLQSHHQDDDHCNTMASPPPSPLPLMNISSGDDEEDEEAAAQAERSTARDMTITGKLNKEHDTIFLKVQIGGDESSSGDNVRNIYFPFDMVNDTAMEVATEMVKELDIADREPSEIAAMIEQEIVRLVPGYKQHEYSYADDEDDNDNGQLNNPFYYLSSSPTTSSQGSLCGVGPPSLTEGYMSILRVH >LPERR11G00760.3 pep chromosome:Lperr_V1.4:11:529254:529728:-1 gene:LPERR11G00760 transcript:LPERR11G00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIHYCYYPPSSVSVSVSDDDDCSTMISTSPTSATTTSAMISQQQHCSASSTTRFGHHHHAGRPRQRREGDDPEERRRRRMSRNRSMVDMRSQLLHKTLVEELNKRLFFNTVGTVHDIGFRDPTVVASSSSSSTSHSQHRRRSSSKDHKKHQYMF >LPERR11G00770.1 pep chromosome:Lperr_V1.4:11:538129:545001:-1 gene:LPERR11G00770 transcript:LPERR11G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLGCSPALEPVPNPDFAPPANPDPASISPDAWDPLEAAAGAVVCRIQPNRPSENRRAAVIDYVQRIIGSTVGCQVFPFGSVPLKTYLPDGDIDLTAFGHPNDEILAKHVQAVLESQEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEQVDRKFEKNHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHETLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPDLVTDSPDTVDDDFTMREEFLKECAQFFTVTPRNSERNTRSFSRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKILQVPVSSTVDEVNQFFRNTLRRHCTRVRPDVQEIILDFNAETERADNDCSPLYNNNSFGDLSDQFNNISISDSSNHGSLKQKGWNYMAEYKESKTTNPETSISTGGSDSCEPVPPLITGACSLPSEEGHGAPDLFNESENGMKAGIKHDTNSSHNGTSTTGYTGRSHQSFEEVDDDDEGSNWSDLTGDYETNRNNLLYAQGFHQDYPMNPYYPFGPVYYQMPSPPPARYQNRRSSNGHSRNNAYGYAGTNGIGPAPCPPGYIMMRPSYSQIDDPNRVRGTGTYFPNPSLCKDRSPTGRGGRRKTHFLPHNHQRSQQYGRSDVSADLSSIPSEELRQIYVSGANDLGISSSLNIPVPSPSSEAPREIAHGNGYIQPPDKKLEFGTLGALPFEVTSEDHGIGNRLNYDSNSQPSASASPMSLAHNPGIGSDRMRNAQPYHLKDNGDFPPLSS >LPERR11G00780.1 pep chromosome:Lperr_V1.4:11:545323:549215:1 gene:LPERR11G00780 transcript:LPERR11G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAMRVLVFLLDLELELDCWLICSAAEGRKEDEAEETTASSHGFLFLFSPFAEPMGLILLSAHLSLGPPLEPMRSASPVSNLRLLLRRLPRRSSAAARDQIHALLVKLGLLHHPDFLSALLSCLPPSPSALSLLLSAPPAVLSPSLVCPAILAFSSSPTPSSAIILFNHASCLSIPTPLPAFPALLKSCARAFKQSSRGPAASAFVSKGMELHCRVLKLGCGTDRYVQNGLVSMYGKFGRLDDARKMFDEMPAKNAVSWNALVGAHHAAADWLGVERVSQVMPERNLSWWNSEIMRNVKIGRMDEAARIFREMPEKDAISWNSLIGGFTKVGKMELGTGIHKYLESKGIVADGYVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIVGFSVHGCSHEALELFDSMKIEPDHVTFLGVLTACSHGGLLNEGRKYFNSMIKDFSIVPDIKHYGCMIDMLCRYGKIEEAYQIIKDNSAKENPVLWKMLLAACRVHGHISLAYKLFHELHELILSDNGGVMTISNLYAEAKRWDDVEHLRTMVKCNSVSKHAAHSQIDVIWFVT >LPERR11G00780.2 pep chromosome:Lperr_V1.4:11:545323:549215:1 gene:LPERR11G00780 transcript:LPERR11G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAMRVLVFLLDLELELDCWLICSAAEGRKEDEAEETTASSHGFLFLFSPFAEPMGLILLSAHLSLGPPLEPMRSASPVSNLRLLLRRLPRRSSAAARDQIHALLVKLGLLHHPDFLSALLSCLPPSPSALSLLLSAPPAVLSPSLVCPAILAFSSSPTPSSAIILFNHASCLSIPTPLPAFPALLKSCARAFKQSSRGPAASAFVSKGMELHCRVLKLGCGTDRYVQNGLVSMYGKFGRLDDARKMFDEMPAKNAVSWNALVGAHHAAADWLGVERVSQVMPERNLSWWNSEIMRNVKIGRMDEAARIFREMPEKDAISWNSLIGGFTKVRRYTQALDFFREMQDHGIEPTELTLVSVLGACAEVGKMELGTGIHKYLESKGIVADGYVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIVGFSVHGCSHEALELFDSMKIEPDHVTFLGVLTACSHGGLLNEGRKYFNSMIKDFSIVPDIKHYGCMIDMLCRYGKIEEAYQIIKDNSAKENPVLWKMLLAACRVHGHISLAYKLFHELHELILSDNGGVMTISNLYAEAKRWDDVEHLRTMVKCNSVSKHAAHSQIDVIWFVT >LPERR11G00790.1 pep chromosome:Lperr_V1.4:11:550287:551010:1 gene:LPERR11G00790 transcript:LPERR11G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding XVPEAADERHGRAPAGPHRRHPLQVRRQHPLRHQPFHRLLQGALSTCSSRVIDRCEDNKGEREIDLCSPHIYLRSCGCC >LPERR11G00800.1 pep chromosome:Lperr_V1.4:11:553324:554617:1 gene:LPERR11G00800 transcript:LPERR11G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMIALFAVVALVLVAAERSSAAVSCGDVSSAIAPCLSYVTGRMSSPSSSCCSGVRTLNGKASSSADRRTACSCLKSMAGSIRSLNMGNAASIPSKCGVSVAFPISTSVDCSTIN >LPERR11G00810.1 pep chromosome:Lperr_V1.4:11:559664:561120:1 gene:LPERR11G00810 transcript:LPERR11G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVAALVAALLVAAPHGSEAAISCSQVNSAVGPCLSYARGSGTAPSSSCCSGVRSLNSMASSSADRRTACSCLKSAAGSISGLNAGNAASIPSKCGVSVPYTISTSIDCSRVS >LPERR11G00820.1 pep chromosome:Lperr_V1.4:11:564680:566644:1 gene:LPERR11G00820 transcript:LPERR11G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAQLVLVAALVAALLLAAPHATVAITCGQVNSAVGPCLTYARGGAGPSAACCSGGELSYWITYRASPAPPSASIDVEPNSVYLILVSVC >LPERR11G00830.1 pep chromosome:Lperr_V1.4:11:571525:573479:1 gene:LPERR11G00830 transcript:LPERR11G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMNGKMVVVAAVLVAMMLVQGSSAAITCGQVGSAIAPCIPYVTGRSGLSSGCCNGVKGLNNAARSTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKVR >LPERR11G00840.1 pep chromosome:Lperr_V1.4:11:576673:577230:1 gene:LPERR11G00840 transcript:LPERR11G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGDVAVEAPPALVTTPAWAATTGAGGALASSSLQQSGNSGFDSSMVIILAALLCFLICALGLSSLIRCALHCARSLSPAAATATPSATAGLKRNELRRIPVEVYGTKEAGVADAECAICLGDFAAGDKVRVLPRCHHGFHVRCVDTWLAVHSSCPTCRDSVISVEDGVAGDDDATPTAGGQR >LPERR11G00850.1 pep chromosome:Lperr_V1.4:11:580823:581651:1 gene:LPERR11G00850 transcript:LPERR11G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLALNSKLQLQVKKPAMVVLVIMATSMILLVAAAVGEMTTRCEGVESLTEPCVPFLTARTDELTYDCCDAAIKSVYYLDDVIQILGKDQLADICLCVEGLRSKYVIERRSTYDRLYRECLENSPVMKDIFPNVVRNITSINDCLSLYGAGGGN >LPERR11G00860.1 pep chromosome:Lperr_V1.4:11:582889:583578:-1 gene:LPERR11G00860 transcript:LPERR11G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRENKLHINEQRVGASIIDGWRRRTRKFCGGFPFAESGGGEVAGSRLTVAGQMRRWWAAAAASPPPDPVGGEVGQRPGRCFCFFSLFSLLICG >LPERR11G00870.1 pep chromosome:Lperr_V1.4:11:583996:584178:1 gene:LPERR11G00870 transcript:LPERR11G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLPLLPYSRQQSGNSRFDANMVIILVMLLCFLICVLGLNSLIRCAIHCARSLSPVPV >LPERR11G00880.1 pep chromosome:Lperr_V1.4:11:585489:586499:-1 gene:LPERR11G00880 transcript:LPERR11G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVEGIPFPQEIAANKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKENVLEHLESWKGKKAEELAQDDGFFQALISAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSALTFHFPTTPGIAEISFVAEGKSEAKLTVENKNVAEMIQTWYLGGESAVSPTTVKNVADQFAALLSA >LPERR11G00890.1 pep chromosome:Lperr_V1.4:11:587416:591396:1 gene:LPERR11G00890 transcript:LPERR11G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGAAAAAAHHRVHYYSDQRLRRPPRPLCLAPLRPRLIRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYISGNTVEKTFRAGSTLPEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVIDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >LPERR11G00890.2 pep chromosome:Lperr_V1.4:11:587416:591700:1 gene:LPERR11G00890 transcript:LPERR11G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGAAAAAAHHRVHYYSDQRLRRPPRPLCLAPLRPRLIRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYISGNTVEKTFRAGSTLPEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVIDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >LPERR11G00900.1 pep chromosome:Lperr_V1.4:11:590809:593394:-1 gene:LPERR11G00900 transcript:LPERR11G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPAASSYEMVDLGHLMSYDPSHHLSAAASRGREELREECLRKGTELAQAVADALFALPATQHRDGPMVRLPPPTTRLPREKHLPKPKPPTKWEQFAKMKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPILEAKATDEPGVDPFAQRREEKKKRVDKQEKNRLENLKKAAKVGALPSHIQLAATTLPITGTKAGIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKAPKNPGKHRKFLPVVEGKGMGNQERQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRNDKEKFSASNKLKPQKKPLKKSSKKKA >LPERR11G00910.1 pep chromosome:Lperr_V1.4:11:594349:597626:-1 gene:LPERR11G00910 transcript:LPERR11G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARPPIHRAAIADVEAGREEAVQGLGPHLPRPPLARPHQGRRDLTFHDRRSRTRTKDADPLFDEMPTLRPGGVYTTSQLRAQLDALASSGMFDHVTLQTKPNSDGTIGLVVSYAESEWPAAQRFNCINVAGLIAPPPPPPDDMTAREKLDYHRRQEREYRRRQLDVGGGAKNCILPETLRREVEGMVRKQGKVRSRMLKGMAKLVERWYHGEGFDWAQVVGYGNLDNSGEVVCEVVEGDITRVEYHFLDKLGNVVDGNTNISVIDRELPEQLRPGHIYNNAAGKQALNNIHSLGLFSSVEVQPRPDETYQGGVVVAIKLKEQEPKSAQLATEWSIVPGCQGRPTLASIQPGGTLSFEHRNISGLNRSLVGSVTSSNLLNPEDDLLFKLEYVHPYLDGVEKRSRNRTFKISSFNTRKLSSTFVAGPKMYEAPPIWVDRVGLKANITESLTRQSKFTYGLVVEEITTRDHNNNICTHGSRELPDGTLSMTRPPTTFSGTGVDRMAFLQANITRDNTEFVNGAAIGDRCIFQVDQGLGIGSKKPFFNRHQLTATKFINLNKQEKGSGKSPPAVLALHGRYAGCVGDLPGYDAFALGGPHSVRGYSMGELGATRNLLEVATELSVPITVKKKHAQLYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLSAVRAEYAVDHNAGTGSFSLRFGERF >LPERR11G00910.2 pep chromosome:Lperr_V1.4:11:597636:598090:-1 gene:LPERR11G00910 transcript:LPERR11G00910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECYGDCKNRQPSKKDQKTLIPTTTSLAGIAVSGNVVVVVVQLRLPTRRRRRLLLSNHHRH >LPERR11G00920.1 pep chromosome:Lperr_V1.4:11:598255:602262:-1 gene:LPERR11G00920 transcript:LPERR11G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSPNSTLWLVLVWAALLCSVCHGKFVVEKNSLKVTAPSDIKGTYECAIGNFGVPQYGGTMVGVVAYPKANRKACKSFDDFVTSYKAKPGSLPTFLLIDRGDCFFTKKAWNAQNSGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNSDMVNVNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQILEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACVYKVAKENGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVFKSLGLDHKAIDKCISDPDADKENPVLKAEQDAQIGKGSRGDITILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEGIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPIVKGVQFVGDGYNHCEASGSGRCEINNGGCWKETRHGRSYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACQCKECKCKNTWGSFLCGCSGGLLYMKEHDTCISKNAATEVGWNFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEFQNHSHHIEL >LPERR11G00930.1 pep chromosome:Lperr_V1.4:11:602322:602534:1 gene:LPERR11G00930 transcript:LPERR11G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGCRRGKRIDLGVNGGGVERKDWILAAAADVRCWSEMRKFLEGVGVGLVGETVRVWEGTGRDFRGFV >LPERR11G00940.1 pep chromosome:Lperr_V1.4:11:603831:605159:-1 gene:LPERR11G00940 transcript:LPERR11G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVAHSSVCVATAAFVDEHHQAEADDQIASVKKVAEVYELIKTNQPLLLIQHNSQQLAYSLLTEAMRALNVALSLIKHLPAPAASSAAAAIPVTTSMIKAEATPANSNDHGADNHVVGKARRSSAAKRKRINGEDKSSCFQLTTAAPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDKGCQATRQIQQKDNNYPPMFQVTYNNDHTCNSSCNTSYTNNNNLALPLANNNPNGCHKDGAVCSKMIKQEPQAAPWLPPPPLPIISDNLDETPALHLCQDVPPSSNILHSNSAAAVCSYTDQFDNHQMDQQLETTVFEEALGLGADLDDPYFYDPNLLLYENLMNCY >LPERR11G00950.1 pep chromosome:Lperr_V1.4:11:614264:617038:-1 gene:LPERR11G00950 transcript:LPERR11G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVSSYPSDLESSRVTRTPQQRVSKGPRQFVGVVPLIIVISGRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPMFSVTYFNHHTCNGSSKIVGTNPDSAAQSSSRKSFSICFNSHGTASERPTFLSSSASLLSPSVQSYSSNQQLDMNTYIRQFQWANTSSSTSNIPVKMEVDDYAEASASPSTTGAPSRTLLPIGQSRCIEYFHFL >LPERR11G00960.1 pep chromosome:Lperr_V1.4:11:621064:626558:-1 gene:LPERR11G00960 transcript:LPERR11G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRGAQATVVWTLLVHLSFLSFPHLYTLPSDIDGDDGDAMDGIEREAARLQHSRKKLYGTGPMIGAQKNTIVHCLHQLQHDCQVVIDMIEHQKALMVELHGIVMPILPSGNDQTKLAVQLLGDILSCSDKAISMLEFGSDTNKLTNIVGGKRRSDKHSMENQNLEEGPKEIGNKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKSISRSKHSRSYYRCANSKVQGCPATKTVQQMNSSGNGTLKLFNVDYYGQHTCRGDGIASPYVVDTAHHNMEPNNQTKCNSPTPEHEVQDERFQNLCMVPNMPEHLIEFEMERAFEFTMNSRLDTEHWMFDDSVAATAETQLRRQGRICYARPTRSMNPMHTVTVPVCCETGMQGDLSQQNQPGEKAILPSRIQGLFSEEGVEEKEAGEGGRCGGGAGRLGAGERGKKCGQGWIGGQISKVGKRYGDLRTRWRRRYREGGSHKPQRRARRHGRRGGTSRRGREGLRAKKTDKHRDFIAKLPRKQADSMGAVYGL >LPERR11G00970.1 pep chromosome:Lperr_V1.4:11:633843:635121:-1 gene:LPERR11G00970 transcript:LPERR11G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRSLVADQCCASSVNCCDHRAALSEIARGQSLVTQLRAIVLPALQSDERRDIAANMLEGILDCSRKAMSQLLLQLSSDSPHDDDDHADDKRRVRKIISSSDEDDDHCSSKAADEKQNSKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNAKHPRSYYRCTYRQEEKCKATKTVQQREDLHYANSDNGDHPIMYTVVYYGQHTCCKGPVSADDHAVVEASQIEISTESHYQSPSSSSSDLQQPAHAGDSSQCSNISVTCSSSVAVEDCNKLLDMLPASDELTTDVLLFDMTAYAPLDMDINWEMDTNALCGFGKFGEW >LPERR11G00980.1 pep chromosome:Lperr_V1.4:11:642407:644148:-1 gene:LPERR11G00980 transcript:LPERR11G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAYWRMNRELEADELQHLLLFRPSLMTQQATSSGECLPPAGVTKTVSGEGRRLGRKRSLRPVDNNNVKLRRRLQEDDDGAANAKPLRRPCTKTRSYYRCTNSTEQGCQAKKTVQRNDGINGGAAARYTVSYISEHTCKSINESMAPVILETTIKPIATFGSACSAESPATSSLTSDIITASSTTWSSSSVTSSGETSCSGEMHGDCEHLQVAANEEYCWDSTTTTPAMATNYSVNINDGVDVEEMDLRGPIRSPVHIMAEANWMDDLFLLSNELIGDVFSGGSISQLFNF >LPERR11G00990.1 pep chromosome:Lperr_V1.4:11:649929:652722:-1 gene:LPERR11G00990 transcript:LPERR11G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPSIAVYQCGGCGTVLRAKNRVVPAVNANSESGEHNEFSSSSTGDLQSNKLISTDGQKILPSSDAQPGVVQKINFASEERTMSTSNVIDSSEHVDNIEHPLIDGDVSNSESKAEEIQDEDKGPVSNSSHDSMRKVENAGTDGDINSEKGSFTDDGSISNEVGITQSMAHMNGVGSHNNNITGVESSTEGKSTVSNTNLDSQEIVASCQSDDETKSKSNDFSAGTKDRVQPYEGFHVESHEDLIEELVRSLSLSDDEEDFVDIEENSELNDALRSQMDSCRFSSGNKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNVMVEHNGVIEKATLDEIGKENHNLYEAGNENPILDKDDKENHILYDDGKENLILDEGGKGNNILNKDGKESLILDKGGKENHILNDDGKENLNLDKDCKENHILDKDGKENHTFDAGGANSHEERLLPLDDDHLKPEQSFQQSELVAVNMEEKDEGHLEETNVASHADANNGIVAVLSNLSNDKFCGILPSICDGRKEEKANIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNRSIGLLQEQSSYKHVERLPCGFDADSTLGSDIIDSYYDHGNPPRYPPPDPFSPTHSHCHFGHRQSHIPYNCSAWEFNSYYQSSYAGSTVLEHESLSSTYKEQKRAVRKNILRSLSGASPFTICNGCFNLVQVPSDIYLSKKKTAKFQCGRCSKTLVLSFPATHSEDAKLKEVNKKPNKPADSFSAECSRGDPVSIINEECGASLSRSFSRRTRPAVDASGNGKKVSDSALHRLMGYDSASQLLRHSRVFDDGYDSFESMVPVSNRVSRRKNM >LPERR11G01000.1 pep chromosome:Lperr_V1.4:11:657538:661422:1 gene:LPERR11G01000 transcript:LPERR11G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHKLHRFKGKSVYRDVHKQRRSKTHSGANNQTRQGSVASDLSMDAGDDISETSPEHLVLVHGAGHGAWCWFRLLYLLQNSGHRVSTIDLAGAAGSLVDPNDVRSFDDYIAPLLNLMAALPDGEKVILVGHSAGGLSVTHAMHLFGDRIKQAIFIAAAMLQFGFQTEQDIKDCVPDLSEHGDVYDLTFGLGDDHPPTSVAIRKEFQRIILYQQSPQEDSALASILLRSWPTALTTARFGSADGVESAIDRVRRVYIKTVNDRMVKPEQQEAMIRRWPPSEVMTIDTDHSPFFSAPEHLCALILKSLRRDHMGMAKEHLVLVHGEGHGAWCWFKLRWLFESSGYRVTCIDLAGGGVDPTDPNTVLSFEQYDKPLLDLISAMPEGEKVILIGHGTGGLSLIHAMHQFVDRIKQAIFVAATMLPFGLQTDEDKKDGLPTLPENEIDLTFGTGADNPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >LPERR11G01000.2 pep chromosome:Lperr_V1.4:11:657538:661422:1 gene:LPERR11G01000 transcript:LPERR11G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHKLHRFKGKSVYRDVHKQRRSKTHSGANNQTRQGSVASDLSMDAGDDISETSPEHLVLVHGAGHGAWCWFRLLYLLQNSGHRVSTIDLAGAAGSLVDPNDVRSFDDYIAPLLNLMAALPDGEKVILVGHSAGGLSVTHAMHLFGDRIKQAIFIAAAMLQFGFQTEQDIKDCVPDLSEHGDVYDLTFGLGDDHPPTSVAIRKEFQRIILYQQSPQEDSALASILLRSWPTALTTARFGSADGVESAIDRVRRVYIKTVNDRMVKPEQQEAMIRRWPPSE >LPERR11G01000.3 pep chromosome:Lperr_V1.4:11:657538:661422:1 gene:LPERR11G01000 transcript:LPERR11G01000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHKLHRFKGKSVYRDVHKQRRSKTHSGANNQTRQGSVASDLSMDAGDDISETSPEHLVLVHGAGHGAWCWFRLLYLLQNSGHRVSTIDLAGAAGSLVDPNDVRSFDDYIAPLLNLMAALPDGEKVILVGHSAGGLSVTHAMHLFGDRIKQAIFIAAAMLQFGFQTEQDIKDCVPDLSEHGDVYDLTFGLGDDHPPTSVAIRKEFQRIILYQQSPQEDSALASILLRSWPTALTTARFGSADGVESAIDRVRRVYIKTVNDRMVKPEQQEAMIRRRRDHMGMAKEHLVLVHGEGHGAWCWFKLRWLFESSGYRVTCIDLAGGGVDPTDPNTVLSFEQYDKPLLDLISAMPEGEKVILIGHGTGGLSLIHAMHQFVDRIKQAIFVAATMLPFGLQTDEDKKDGLPTLPENEIDLTFGTGADNPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >LPERR11G01000.4 pep chromosome:Lperr_V1.4:11:657538:661422:1 gene:LPERR11G01000 transcript:LPERR11G01000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHKLHRFKGKSVYRDVHKQRRSKTHSGANNQTRQGSVASDLSMDAGDDISETSPEHLVLVHGAGHGAWCWFRLLYLLQNSGHRVSTIDLAGAAGSLVDPNDVRSFDDYIAPLLNLMAALPDGEKVILVGHSAGGLSVTHAMHLFGDRIKQAIFIAAAMLQFGFQTEQDIKDCVPDLSEHGDVYDLTFGLGDDHPPTSVAIRKEFQRIILYQQSPQEDSALASILLRSWPTALTTARFGSADGVESAIDRVRRVYIKTVNDRMVKPEQQEAMIRRWPPSEVMTIDTDHSPFFSAPEHLCALILKSL >LPERR11G01010.1 pep chromosome:Lperr_V1.4:11:660826:663330:-1 gene:LPERR11G01010 transcript:LPERR11G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHWPMVERRGTQLWASDLPFIIHGFNTYWLMSFASDGATRPRVTAAIAEAADAGLNVCRTWAFSDGGYRALLTAPFHYDEVVFQALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKDDGLDLTSEDDFFTDPTIKSYYKAFVEAVVTRINTITNEAYKDDPTILAWELINEPHCPSDPSGDTLQILNPLFFAWIEEMASYVKSIDPVHLLEIGTEGFYAPSAPELLPVNPDEYSGNAGTDFIRNHQAAGIDLASIHVYSDTWLPHSIEENHLQSVNAWMQQHIDDAANLLGMPIVIGEFGVSIKDGKFGNEFREAFMETVYRIFLSSWKGEVIGGGCLLWQLFPEGAEHMDDGYAIILAKSPSTLNLLANHSRNLNATDASALKRVQIPGQIKNRYV >LPERR11G01020.1 pep chromosome:Lperr_V1.4:11:667078:668013:1 gene:LPERR11G01020 transcript:LPERR11G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQKHPGLHFMDKLFSQFPSPMISFKPSPTYRHSRSIFEFATLLGDDEDKILAADNNGNTTLLDTDSSSHTIFRSLNYNKGRGAIAISMTNSDPDEPDRLYVIRSETGPINSHQCFEMLRYGFSTSTSNSRPPYWYSLPPPPPDATYTLVRNRRRRLNNLCLFHRAGTWRHSRLRHDHPQLAKNWLLEDARCAVDLSEADMRSPPTFEHTWLDLDIPKSWSPFKLNLISLGSGRFCVVKMFHSTEDYLLTDDSGNDIIDSDVIHSNFAVFTGLHIVPRCNGKDKPREFKMIKHKSMSYTFDPHNIPWVI >LPERR11G01030.1 pep chromosome:Lperr_V1.4:11:668997:674528:-1 gene:LPERR11G01030 transcript:LPERR11G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHSHKTGRESSLLIGMPQLTALFLMQAASTSNGGDIISSLAPASASVSASMDRTSQWVSSPDIPADLIIRIADDVFPLHKSVMAPKCGYIRKAVAATRGGATATVELDLSSLPGGADAFDKAARYCYGHNFDISVHNAAAILCSAAFLDMNPSPSDGGGGLARRVEDFLAKVALRTLSGAVAVLRSCEAATVSSAADETGVARRAADAVALRICNEALFPTRSPPDWWAAELAALSPPSFHKVIAALRCRRADHEVLTAASTAYAELALAAAGDHDAAMVESVVAVLPSTDDAPLPASLLCRLLHAAITIGASATTCRDMELRVAAVLDKATAGDLLAIALDAAGEHIQNTDTIRRIITAFVDRNAASINTHRNRRSSLSGALHGDIDGESAMQAVAKIVDELAAEMATEETLPISKFVGIAGAVPKEARATHDSVYRAVDIYLKAHPWLDEMEREKVCSVMDPLKLSYQGRLHASQNKRLPLQAVLAALYYDQLNLRSSNGNEMRRSEAGSVRKQAKADASLARENEALRSELARMKAYVSGMQQSKGSSSSSRGSSAMGKKGMSLWSRTLSMLNPFKAGGMWAKDTSSIVDGKTTIAKPKRRRFSIS >LPERR11G01040.1 pep chromosome:Lperr_V1.4:11:674788:679164:-1 gene:LPERR11G01040 transcript:LPERR11G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPAFPFSTTKLSAASPRFCNPISRRIFSDVAGDLTISVDGQSFLLHKFPLVSKSGRIRRMIAESKDPDLSKLELVNVPGGALAFDLAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIIRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEEIGLVDIIDYYQRVIVAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEADISLDYRIEVEKRISLQLEMASLDDLLIPARQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQNFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSSSSHLQYTPSGGGCAGSLSQRMFGGVGGGSGVPSSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGEHGRALLASISRGIGRIAMIGAQERRSKTKTKKSSHSQNWSSDGGGKISSRRRQKPSHVTYAAS >LPERR11G01050.1 pep chromosome:Lperr_V1.4:11:682434:685041:-1 gene:LPERR11G01050 transcript:LPERR11G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLFVCLLQLLFLGSSRVAAQSPARARALDAMLQDYAYRAFVRPHTGIVYNATLPPANLTGVSVSAVRLRSGSLRRKGFSDYFEFAVPTGVVVQPYVERVVLVYHNLGNWSDYYYPLAGYTYLSPVLGLLVYDAANLSAVGLQELSFVASGSPILINFINVRSVPVGSAAPRCVWFDLNGVPQFRDLEASNVCSTYRRGHFSIVVNSSEIAPGPVPSGNITPPIPTPGGPSKAKGSSKGWKIAVGVVGGVVALVLLGSLVVCLARYKRDKKLAVMERNAEAGETLRMAQVGRSQAPVALGTRTQPVIESEQFLKVVRFS >LPERR11G01060.1 pep chromosome:Lperr_V1.4:11:689200:691952:-1 gene:LPERR11G01060 transcript:LPERR11G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQSPVAADDRRTSYPQAQGSSPSYRYTSIKTMNEPKLGLWETLSRKAKGILDEDGTAHKSDKYTRENAPRKFDPSSGPQESRSRWSFENPRRTGDTGSLKRSEALAASVNQLGGRIRDAFEEGLIIVDNKTSNIIEETKKIQIRRKQANSNSYMPSPALDTFRPPNLSNDKAETATQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFVKQRCAQLEEENKFLRETKQKGNKTEEDDDLIRVQLETLLAEKSSDMEDNQPGEDSNQNYSEDMFPVVEAYLSREELSPVPSRPESPTVRLHESSPPNSSNSESSAATPSNVSKPNALVPDTD >LPERR11G01060.2 pep chromosome:Lperr_V1.4:11:689200:691952:-1 gene:LPERR11G01060 transcript:LPERR11G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQSPVAADDRRTSYPQAQGSSPSYRYTSIKTMNEPKLGLWETLSRKAKGILDEDGTAHKSDKYTRENAPRKFDPSSGPQESRSRWSFENPRRTGDTGSLKRSEALAASVNQLGGRIRDAFEEGLIIVDNKTSNIIEETKKIQIRRKQANSNSYMPSPALDTFRPPNLSNDKAETATQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFVKQRCAQLEEENKFLRETKQKGNKTEEDDDLIRVQLETLLAEKSRLAQENSIYARENRFLREIVDFHQFTTHDVVPLDDSDMEDNQPGEDSNQNYSEDMFPVVEAYLSREELSPVPSRPESPTVRLHESSPPNSSNSESSAATPSNVSKPNALVPDTD >LPERR11G01070.1 pep chromosome:Lperr_V1.4:11:692558:701849:1 gene:LPERR11G01070 transcript:LPERR11G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.2 pep chromosome:Lperr_V1.4:11:692496:701849:1 gene:LPERR11G01070 transcript:LPERR11G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISLAFAAAAARCHFADFLPTQAVAAAAGGLDSSRPAPTGGGSACYPSCTVLRLFSSRSNRHGLATVGLPVDANPLLMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.3 pep chromosome:Lperr_V1.4:11:692496:701831:1 gene:LPERR11G01070 transcript:LPERR11G01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISLAFAAAAARCHFADFLPTQAVAAAAGGLDSSRPAPTGGGSACYPSCTVLRLFSSRSNRHGLATVGLPVDANPLLMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.4 pep chromosome:Lperr_V1.4:11:692558:701884:1 gene:LPERR11G01070 transcript:LPERR11G01070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.5 pep chromosome:Lperr_V1.4:11:692558:701844:1 gene:LPERR11G01070 transcript:LPERR11G01070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.6 pep chromosome:Lperr_V1.4:11:692496:701844:1 gene:LPERR11G01070 transcript:LPERR11G01070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISLAFAAAAARCHFADFLPTQAVAAAAGGLDSSRPAPTGGGSACYPSCTVLRLFSSRSNRHGLATVGLPVDANPLLMLHLPLFIPSPSSAPQPLCSATFTRSLRACRVIGPPPAFASAEFPGSVPDSTQMPPLRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCNHEDSSEQSLDSSTMDADQNKIGLPILLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGANKAVLIGHSAGCLVAVETYFEAPERVAALVLVAPAIVPVFRRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIP >LPERR11G01070.7 pep chromosome:Lperr_V1.4:11:700105:701884:1 gene:LPERR11G01070 transcript:LPERR11G01070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIMAIGGMVRSLYCKTVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHIIQGYTKPLRSRGWEMALLEYTISMIMDSTSTSKVPVSERLPEISCPVIVVSGDTDRLVPRWNTERVARAIPGAEFEVIKNSGHLPQEERPEEFVSVVAWFLRRAFGRPNEQEKVFQAAR >LPERR11G01070.8 pep chromosome:Lperr_V1.4:11:700105:701844:1 gene:LPERR11G01070 transcript:LPERR11G01070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIMAIGGMVRSLYCKTVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHIIQGYTKPLRSRGWEMALLEYTISMIMDSTSTSKVPVSERLPEISCPVIVVSGDTDRLVPRWNTERVARAIPGAEFEVIKNSGHLPQEERPEEFVSVVAWFLRRAFGRPNEQEKVFQAAV >LPERR11G01080.1 pep chromosome:Lperr_V1.4:11:702912:710139:1 gene:LPERR11G01080 transcript:LPERR11G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQRHARNYSSVSSQPDVQCLVCTRPFTLDAEVADTFEALAICRECKATVLNDDGRDEITSSSRQTARRGQRSRTSSIDSLEDTFLQEFSQLISLARQGREADIDLSSLVPQHASYNLTPNRSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGGDSDTSLDEHSVTARRISIQLDNDTYMNTDTDIDPMNAGLDQWDSDDQEDVQESGFNEAVNTIPQHQQQSHDIQLSGLSEDESEDAVWNWSVTIRHREIMTNLIEDMERPEMRTALVGNPDDYVDARQFEMLLEQFAEDSNSRKGAPPAATSFIENLPSVIISACHQIEGDVICPICKDLIPTRARAKQLPCTHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQAITNVRDIQVVGHTHLRESVEEISDESDVEVTHQLAIGAMEETNTSEHDARVAEQPNSARRSRGWFFIAAAPVLCSVVLVQHPMDEDYEDKEEVEEARHKGSQAYLAVWQHQGDEKNPARTQVLAEARQQQLHVHPLPASPSLEGNLWPSVPILNRGAMEILQVSDTDMVKDIGRWTPSELGKPTYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKDMIQLIEDATVPVLEAWEAMISDAGGCKEIVVDDYLRNLKYLPTKSNQEIRTLDEQVRLLILDVAKEQHHHQDAHNSLLNAIIDAAQDGRSAEETEDFIVGSCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAIEVCQGRTPLDIDALRRLKIVTMVIQETLRLYPPASLMMREALTDVKLGNIDVPRGTIVQVPRLLLHLDKNAWGADADEFRPERFANGVAAACGAAHMYVPFGHGPRTCVGQNLAMAELKVVLVRLLTNFAFSPSPTYRHSPAFRLTIEPGFGLPLMGKGDKVAADSCIAGASTSRGDPEYILTMVIQETLRLYPPAAFVVREALNDIKLDGINIPKGTNIRIPIAMAHRDPSLWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFTLSPNYVHCPAFRLTIEPGKGVPLIFREL >LPERR11G01080.2 pep chromosome:Lperr_V1.4:11:702912:708939:1 gene:LPERR11G01080 transcript:LPERR11G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQRHARNYSSVSSQPDVQCLVCTRPFTLDAEVADTFEALAICRECKATVLNDDGRDEITSSSRQTARRGQRSRTSSIDSLEDTFLQEFSQLISLARQGREADIDLSSLVPQHASYNLTPNRSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGGDSDTSLDEHSVTARRISIQLDNDTYMNTDTDIDPMNAGLDQWDSDDQEDVQESGFNEAVNTIPQHQQQSHDIQLSGLSEDESEDAVWNWSVTIRHREIMTNLIEDMERPEMRTALVGNPDDYVDARQFEMLLEQFAEDSNSRKGAPPAATSFIENLPSVIISACHQIEGDVICPICKDLIPTRARAKQLPCTHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQAITNVRDIQVVGHTHLRESVEEISDESDVEVTHQLAIGAMEETNTSEHDARVAEQPNSARRSRGWFFIAAAPVLCSVVLVQHPMDEDYEDKEEVEEARHKGSQAYLAVWQHQGDEKNPARTQVLAEARQQQLHVHPLPASPSLEGNLWPSVPILNRGAMEILQVSDTDMVKDIGRWTPSELGKPTYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKDMIQLIEDATVPVLEAWEAMISDAGGCKEIVVDDYLRNLKYLPTKSNQEIRTLDEQVRLLILDVAKEQHHHQDAHNSLLNAIIDAAQDGRSAEETEDFIVGSCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAIEVCQGRTPLDIDALRRLKIVTMVIQETLRLYPPASLMMREALTDVKLGNIDVPRGTIVQVPRLLLHLDKNAWGADADEFRPERFANGVAAACGAAHMYVPFGHGPRTCVGQNLAMAELKVVLVRLLTNFAFSPSPTYRHSPAFRLTIEPGFGLPLMVTRLP >LPERR11G01080.3 pep chromosome:Lperr_V1.4:11:707994:710139:1 gene:LPERR11G01080 transcript:LPERR11G01080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSLMERGTRSPPILASPAPPHLEVILLTMVIQETLRLYPPAAFVVREALNDIKLDGINIPKGTNIRIPIAMAHRDPSLWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFTLSPNYVHCPAFRLTIEPGKGVPLIFREL >LPERR11G01090.1 pep chromosome:Lperr_V1.4:11:712323:723043:1 gene:LPERR11G01090 transcript:LPERR11G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSIGGIVPGGGSLIPFGAQKPNLALCEVYQEGNLALFAPPLYWVLIFQSQQGLTPHYSQIPMDQGVDKGVTKNLLVSNCDLSVLEKCVGHEASVQAPLENKEDMKSLGMIHDHENNKIGVVEAITPPEKLQMKILHINTPRENIFDPFAPRPEEVACAPKKKVIRVPEVPSRRQQGFDSGDYPVKRLNYEFDDCEEDDQFLERVCKMFIDLIISKQALDTTGEGLIDSSSPVSCETPSSAPLLTGIADVCLDAPLRQSVKVVQVSPSICRKINFDSISPRAIVPGGGSLIPFGAQKPNLAPCEVRRPNPHLLRGFNLPSHSKGSLPNPDGSKDEKCVGDKASMQAPLENKEDMKSLGMVHDLENNKIGVAEAITPPEKEAIESSISVKVANEYPSYGCQTPRENIFDPFALGPEEVACAPKKKVIKFLERICKMFIDLIISKQALETTGEGLIDSSSPVRCETPTSAPLLTGIADVCPDAPLRQPVKAVQLSPSICRKLNFDSISPRSLFIKENK >LPERR11G01090.2 pep chromosome:Lperr_V1.4:11:712323:721466:1 gene:LPERR11G01090 transcript:LPERR11G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSIGGIVPGGGSLIPFGAQKPNLALCEVYQEGNLALFAPPLYWVLIFQSQQGLTPHYSQIPMDQGVDKGVTKNLLVSNCDLSVLEKCVGHEASVQAPLENKEDMKSLGMIHDHENNKIGVVEAITPPEKLQMKILHINTPRENIFDPFAPRPEEVACAPKKKVIRVPEVPSRRQQGFDSGDYPVKRLNYEFDDCEEDDQFLERVCKMFIDLIISKQALDTTGEGLIDSSSPVSCETPSSAPLLTGIADVCLDAPLRQSVKVVQVSPSICRKINFDSISPSFVAMSMALTKLH >LPERR11G01090.3 pep chromosome:Lperr_V1.4:11:712323:723043:1 gene:LPERR11G01090 transcript:LPERR11G01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSIGGIVPGGGSLIPFGAQKPNLALCEVYQEGNLALFAPPLYWVLIFQSQQGLTPHYSQIPMDQGVDKGVTKNLLVSNCDLSVLEKCVGHEASVQAPLENKEDMKSLGMIHDHENNKIGVVEAITPPEKLQMKILHINTPRENIFDPFAPRPEEVACAPKKKVIRVPEVPSRRQQGFDSGDYPVKRLNYEFDDCEEDDQFLERVCKMFIDLIISKQALDTTGEGLIDSSSPVSCETPSSAPLLTGIADVCLDAPLRQSVKVVQVSPRQLFLAAGASSHSAPKNPISRRARFVAPIHTCCEVLIFQVTARVHSQIPMDQRMVKGVTENLLVSNYDFLVVEKCVGDKASMQAPLENKEDMKSLGMVHDLENNKIGVAEAITPPEKEAIESSISVKVANEYPSYGCQTPRENIFDPFALGPEEVACAPKKKVIKVPEVPSRRQLSFDSGEYPVKRLNYEFDDCEEDDQFLERICKMFIDLIISKQALETTGEGLIDSSSPVRCETPTSAPLLTGIADVCPDAPLRQPVKAVQLSPSICRKLNFDSISPRSLFIKENK >LPERR11G01100.1 pep chromosome:Lperr_V1.4:11:721977:722648:-1 gene:LPERR11G01100 transcript:LPERR11G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAGNGSSSILPTHTTPKDAADMEAARHRRRRRCLCCCLLITILILLILAITLLVLFLTVLRVRDPTTRLVATRLAGVAPRLTFPIQLNVTLLLTVSIHNPNPASFTYATGGHADLLYRGAHVGDAEIDPGRIPSKGDANVTLALTLQADRFAGDLGQLVNDVLTGSVEVDASTRIPGRVAIFGVFKRHAVAYSDCHFVFGVAEMGVRSQQCSDRTKL >LPERR11G01110.1 pep chromosome:Lperr_V1.4:11:725065:728237:-1 gene:LPERR11G01110 transcript:LPERR11G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGFLLKLLQAMHTDDRVAGDHRSPVLQPPNGGFLQLSNGLHSTPSSSQAVPFVGPPEPLVARPAACSRGYVIQPASHSDAAPPLMPSNSGNDAADAAVRRTVLAPKNVPEVAPPPAISTAKRRFSSPAPSKQQDPSPLVKGASRPSSPSVKGVSRASSPAVRGTSRATSPAPSKCVVPSLVAAKEENRRTAKEPAIVVPSRYRQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKGVIVAGISKMADLTNGSAIKPGRKSWDNTSTAAAAGSVMKSKVKVDNDTILKTQEAMARRLSDVTTEQSNNDGDSSVDEKPKPRKKVESTPVKMKNMAPKIILHDPKWTDGSISLDAVSDKLSKMGKEATERRDAAATAAADALQEALITESIIRNLSKFSELSSASKASNPLPTVDIFLAVYEDILKWKKIAESICTNGTETTLWENSTSHWVEAALATDLEVLKLMKRTPESLSRKRGADKPMVPSVEPPRTTQSKRQSHGTSAKVQSKVSPSPTVSYTWNKTQGVNETAELATTLCHEMHLWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEETTKDKVEKLKRKIYGFVISHMGSAFESSVSLSSRS >LPERR11G01120.1 pep chromosome:Lperr_V1.4:11:732860:733519:-1 gene:LPERR11G01120 transcript:LPERR11G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAVQEQPSPSSSTIIASGEEELLTVWRKSLLFNCHGFTVFDSKGNLAFRLDSYSSSSSSTWSSSRHADLLLMDAAGNPLLTIRRKRMTIALSDQWIIYHGDSSTPLLSVRRRRRASKGAIAHVTPMSSSLAMPEEGYVVEGSYGRRSCAVRDARGEAVAAVSRKDSVGDDVFRLVVKSQQLGAALGMGILIAIDEMFRGGNTSLLRRTCSA >LPERR11G01130.1 pep chromosome:Lperr_V1.4:11:741022:743615:1 gene:LPERR11G01130 transcript:LPERR11G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLAAVLRRVPPLALAPPPRTLLRRRTLILPRAMSSSSSLPPPAEGMEAAYKFGPYKIDAREVFHSTPLSYAIVNLRPLLPVSLPYTEKQFDLRTMLVSRREVKRFADLSSDETSDLWVTAKEVGVQLEQYHKASSLTFAIQDGPEAGQTVPHVHIHVIPRRKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRALFS >LPERR11G01140.1 pep chromosome:Lperr_V1.4:11:745328:753508:-1 gene:LPERR11G01140 transcript:LPERR11G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMSRIHPSERETRARQDASTTTVYTVWKRSSMGFQGTDGFSVYDSTGKLAFRVDNYSRRLKLFAGDLLLMDGHGTPLLSLRPQIISMHDQWNCYIASEEGQAKRTRSQQLFSMRKCPIVQSSHEAEVHMSGCTNASDRTGHVPGYLIDGSFRRRSCKIRSSDGEEVARITRKNAGAATLSLTLGEDVFSLEVQPDVDCAMIMGFVIVLDRICWKPYTPLIMRRIQIHPSNSRGGSGGAAGRGGRQAVVYTVWKRSSMGFQGTDGFSVYDSTGKLAFRVDNYSRRRKAFAGELLLMDGHGTPLLSLRPQMLSLRNRWNCYRAQEESLDITNSPSVSQQVFSMRKTSALQGSDEAEVFMSTRTSDDCQPDASTSPSFRIDGSFSMRSCKIRRSNGEVAARISKKNAGVMSRPVSLGDDVFSLVVTPGVDVAVVMAIVIVMDRICRKPYTPMVCSSGASVVQSGDIIIKSKETCPLKRSSSSFL >LPERR11G01140.2 pep chromosome:Lperr_V1.4:11:745328:753362:-1 gene:LPERR11G01140 transcript:LPERR11G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSERETRARQDASTTTVYTVWKRSSMGFQGTDGFSVYDSTGKLAFRVDNYSRRLKLFAGDLLLMDGHGTPLLSLRPQIISMHDQWNCYIASEEGQAKRTRSQQLFSMRKCPIVQSSHEAEVHMSGCTNASDRTGHVPGYLIDGSFRRRSCKIRSSDGEEVARITRKNAGAATLSLTLGEDVFSLEVQPDVDCAMIMGFVIVLDRICWKPYTPLIMRRIQIHPSNSRGGSGGAAGRGGRQAVVYTVWKRSSMGFQGTDGFSVYDSTGKLAFRVDNYSRRRKAFAGELLLMDGHGTPLLSLRPQMLSLRNRWNCYRAQEESLDITNSPSVSQQVFSMRKTSALQGSDEAEVFMSTRTSDDCQPDASTSPSFRIDGSFSMRSCKIRRSNGEVAARISKKNAGVMSRPVSLGDDVFSLVVTPGVDVAVVMAIVIVMDRICRKPYTPMVCSSGASVVQSGDIIIKSKETCPLKRSSSSFL >LPERR11G01150.1 pep chromosome:Lperr_V1.4:11:747648:752705:1 gene:LPERR11G01150 transcript:LPERR11G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAGSKYSARDRREAGVPSPSSTSDPPAQRHVKRFADLTPDETCDLCITAKDVGVRLEQYHKASSLTFTIQVTDLTLAKQFHMFTFTSFPEGRKILRTMMTIMAWLIHAKSEILNLDIERKDRTMEEMSQEANEYRALFS >LPERR11G01150.2 pep chromosome:Lperr_V1.4:11:747648:750400:1 gene:LPERR11G01150 transcript:LPERR11G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHSQKPLMALFSRPYSAFRTLGSTQKKNSIAAFLSRRLESLQRYGGRLSPKADALERNPRRPRRPGFLWRLSANNHALEMHHVFGGIQTISCVNDKASSMDTLGSVPRVIIRNMILCHFVRIQWK >LPERR11G01150.3 pep chromosome:Lperr_V1.4:11:747610:752705:1 gene:LPERR11G01150 transcript:LPERR11G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCFYGGSPLSPQVATAPPLRRPSTGRRRWIRSREASTPHEIDERQVFLRHRQPPTHPPRTLVYVLNSTTKLLRSHSQFRTDLTLAKQFHMFTFTSFPEGRKILRTMMTIMAWLDYEEHIKGV >LPERR11G01150.4 pep chromosome:Lperr_V1.4:11:747648:751963:1 gene:LPERR11G01150 transcript:LPERR11G01150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAGSKYSARDRREAGVPSPSSTSDPPAQRHVKRFADLTPDETCDLCITAKDVGVRLEQYHKASSLTFTIQIHAKSEILNLDIERKDRTMEEMSQEANEYRALFSLDYEEHIKDSVQNNDETHDHRTIYVWLDLKTEYIFTKC >LPERR11G01150.5 pep chromosome:Lperr_V1.4:11:747610:752624:1 gene:LPERR11G01150 transcript:LPERR11G01150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCFYGGSPLSPQVATAPPLRRPSTGRRRWIRSREASTPHEIDERQVFLRHRQPPTHPPRTLVYVLNSTTKLLRSHSQFRTDLTLAKQFHMFTFTSFPEGRKILRTMMTIMACGGR >LPERR11G01150.6 pep chromosome:Lperr_V1.4:11:747648:752624:1 gene:LPERR11G01150 transcript:LPERR11G01150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAGSKYSARDRREAGVPSPSSTSDPPAQRHVKRFADLTPDETCDLCITAKDVGVRLEQYHKASSLTFTIQIHAKSEILNLDIERKDRTMEEMSQEANEYRALFSCVLISGGR >LPERR11G01150.7 pep chromosome:Lperr_V1.4:11:747648:752624:1 gene:LPERR11G01150 transcript:LPERR11G01150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAGSKYSARDRREAGVPSPSSTSDPPAQRHVKRFADLTPDETCDLCITAKDVGVRLEQYHKASSLTFTIQVTDLTLAKQFHMFTFTSFPEGRKILRTMMTIMACGGR >LPERR11G01150.8 pep chromosome:Lperr_V1.4:11:749863:752638:1 gene:LPERR11G01150 transcript:LPERR11G01150.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRPYSAFRTLGSTQKKNSIAAFLSRRLESLQRYGGRLSPKADALERNPRRPRRPGFLWRLSANNHALEMHHWRQVTAFP >LPERR11G01150.9 pep chromosome:Lperr_V1.4:11:749863:751963:1 gene:LPERR11G01150 transcript:LPERR11G01150.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRPYSAFRTLGSTQKKNSIAAFLSRRLESLQRYGGRLSPKADALERNPRRPRRPGFLWRLSANNHALEMHHVFGDSVQNNDETHDHRTIYVWLDLKTEYIFTKC >LPERR11G01160.1 pep chromosome:Lperr_V1.4:11:757276:757886:1 gene:LPERR11G01160 transcript:LPERR11G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPSQSARTPSSLLERLERSSETSDSSSPRSSAAVAASPPVVATSPPLPPDPAEGRPCRCRPASPPARSDREEAVAVSCTALPRCLPSRHIWRRD >LPERR11G01170.1 pep chromosome:Lperr_V1.4:11:761567:761997:-1 gene:LPERR11G01170 transcript:LPERR11G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRDVSISLSMIFLLVLINSSSLQATQGAEKVESFDVPVQRVVYRAAAAVMSTEAAYEPFGLCMGCRCCSSSNTSSCVDTNCCYTIDCNIPGKPFGVCAFSPHTCDCGATNCTNLQQP >LPERR11G01180.1 pep chromosome:Lperr_V1.4:11:764875:768474:-1 gene:LPERR11G01180 transcript:LPERR11G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTRKTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLPWATRMSIAFGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRIMGTYGYAAPEYVMTVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLVSCQIVQNSLWLLLPVMYMDTRNVNNNSYKNIVHRSRRKHLLMLTMHITSVALAACSHANFNKCACNKHTMQILFLLHSCFLISFLFFWDYNFSRSYT >LPERR11G01180.2 pep chromosome:Lperr_V1.4:11:764020:768502:-1 gene:LPERR11G01180 transcript:LPERR11G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLVSCQIVQNSLWLLLPVMYMDTRNVNNNSYKNIVL >LPERR11G01190.1 pep chromosome:Lperr_V1.4:11:774360:778469:-1 gene:LPERR11G01190 transcript:LPERR11G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGAGSAKLVAACVIGGIMLGASVLALHLAIPALPPADSLRRRLSRRRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEKRNEKKEASEKKYYDSKSFVNGE >LPERR11G01200.1 pep chromosome:Lperr_V1.4:11:779826:786901:-1 gene:LPERR11G01200 transcript:LPERR11G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGVAISGVGMWAGGRPIKPTRPFSSSNLWAIQFIRVAPVERQQQQRHRLEAAQLFLPGFSSPSKTAASLLGHSRFRRRTGEGQRGRASSMRATLEMLEKKECFLQKKASAEVEKAKDYTKSKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENELPEPPLRTSIVPSARATTSSQPANDLAELTKLQAEMAL >LPERR11G01210.1 pep chromosome:Lperr_V1.4:11:801873:803020:1 gene:LPERR11G01210 transcript:LPERR11G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACCCWQETAAAAATAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPNSSPAATRLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPSGPPRVKLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRYTHIQYSLCLLFFFLPLVNSSPGIMATFQPTHYYSH >LPERR11G01220.1 pep chromosome:Lperr_V1.4:11:803777:807006:1 gene:LPERR11G01220 transcript:LPERR11G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASVREELLFLCVCTAQKKAVLLKKAAVQCTSLPCQCPTYGQSHQVVSIAIGRSTLLVPGGHGEKTTTAAATGRQCNGFGPVEEMWAEKDHPTAIGTQTGTFPENKNYVC >LPERR11G01230.1 pep chromosome:Lperr_V1.4:11:808403:808711:1 gene:LPERR11G01230 transcript:LPERR11G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGFGFLRGLTSCKKEEGKKMKKVVKRLGKDEVERLLAVTVTVPSLSEKAMEEDDEQDVWEREVLLRGNRTLRESAMSRILSAPSLRPRATSTTTWIVVNE >LPERR11G01240.1 pep chromosome:Lperr_V1.4:11:810960:813249:1 gene:LPERR11G01240 transcript:LPERR11G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYLPQPPPHAVAGDILQPPHTMWRAAASHLLLRRARHPSPAAATGAACAVRHARFFSPPPHPAPAEAETEVTAAEARRLVRLVGVETLKRRLRDGRDEVVGYGELLDACVEAGAARTRRDAEALVRAMDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLALSPDNDSRKEELKKLQEKKEQIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLYLSRHRKLCAKHSFDLEKYLELQKHCKCPLEGHHSHGPKFHEL >LPERR11G01250.1 pep chromosome:Lperr_V1.4:11:816142:822253:1 gene:LPERR11G01250 transcript:LPERR11G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDNGLDRLKRCSSNADARKEKAKKMKVEADAEVSGVEKKLKLVKMRMTTEQVERLLSLKSRDPLPLPVPCITDPPKPYKSPSIHQVVVSPRRPFFVAPPDAVSLVQSTGSSSVMTVKEKHRRRQEGLNKGHSDFFDSYSDIHLESVQSKINFYQVAG >LPERR11G01260.1 pep chromosome:Lperr_V1.4:11:822648:827121:-1 gene:LPERR11G01260 transcript:LPERR11G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHYSSSPLPSPPAKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTELGFSKHKHLDGRMKHKHLKDISIKKIYESDGISGDKSGSRSKFKNLSTKSKEKLKGIFSLVRLKNETRKNKGLHTHGRYQLKDLSWRSVDTTIDKKHDQDQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAFYPSIAKLKNKETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHMDQSNKQKLDDPNFHHYTIFSKNVLAVSTTINSTVMNSKDSGNIIFHLFTDAQNFYAMKHWFDRNSYLEATVHVTDIEDHWKLSKGVDSHDIKLLWPAEEFRVTFRNHSQSFHKQIKTEYISSFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIGAIQFCEVRLGQLKAYTKEHNSDDNSCVWLSGLNVVELEKWRELDITSRYDQFLQKLQKDSATSFPLKVLPISLLIFQDLIYPLEDSLIQSGLGHDYGISQTDIKRSATLHYNGVMKPWLDLGIHDYKGYWRKI >LPERR11G01260.2 pep chromosome:Lperr_V1.4:11:822191:827121:-1 gene:LPERR11G01260 transcript:LPERR11G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHYSSSPLPSPPAKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTELGFSKHKHLDGRMKHKHLKDISIKKIYESDGISGDKSGSRSKFKNLSTKSKEKLKGIFSLVRLKNETRKNKGLHTHGRYQLKDLSWRSVDTTIDKKHDQDQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAFYPSIAKLKNKETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHMDQSNKQKLDDPNFHHYTIFSKNVLAVSTTINSTVMNSKDSGNIIFHLFTDAQNFYAMKHWFDRNSYLEATVHVTDIEDHWKLSKGVDSHDIKLLWPAEEFRVTFRNHSQSFHKQIKTEYISSFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIGAIQFCEVRLGQLKAYTKEHNSDDNSCVWLSGLNVVELEKWRELDITSRYDQFLQKLQKDSATSFPLKVLPISLLIFQDLIYPLEDSLIQSGLGHDYGISQTDIKRSATLHYNGVMKPWLDLGIHDYKGYWRNVARY >LPERR11G01260.3 pep chromosome:Lperr_V1.4:11:823226:827121:-1 gene:LPERR11G01260 transcript:LPERR11G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHYSSSPLPSPPAKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVISTELGFSKHKHLDGRMKHKHLKDISIKKIYESDGISGDKSGSRSKFKNLSTKSKEKLKGIFSLVRLKNETRKNKGLHTHGRYQLKDLSWRSVDTTIDKKHDQDQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAFYPSIAKLKNKETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHMDQSNKQKLDDPNFHHYTIFSKNVLAVSTTINSTVMNSKDSGNIIFHLFTDAQNFYAMKHWFDRNSYLEATVHVTDIEDHWKLSKGVDSHDIKLLWPAEEFRVTFRNHSQSFHKQIKTEYISSFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIGAIQFCEVRLGQLKAYTKEHNSDDNSCVWLSGLNVVELEKWRELDITSRYDQFLQKLQKDSATSFPLKVLPISLLIFQDLIYPLEDSLIQSGLGHDYGISQTDIKRSATLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >LPERR11G01270.1 pep chromosome:Lperr_V1.4:11:832014:834636:1 gene:LPERR11G01270 transcript:LPERR11G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGQGKTIRTYEQLVCALEKDNRAEEACRVWDNKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVQLFKNLEAYGRKPPSKDIVRKVEDAYEMLGLTKEKEELLEKYKDLYNEPSSNDRRRTRKPSRRVTPASLIYGIELQGKHGNI >LPERR11G01280.1 pep chromosome:Lperr_V1.4:11:834960:836177:-1 gene:LPERR11G01280 transcript:LPERR11G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQQHATERQRCCLMGLQLLNIQNRDHYLSPPNGSRVPIGQADYKDNANGNDNHVSLEDGVIRGDSLKNELDMWEISSIAISKEAKHIVNSREEGKREFGAETTTPDDDYGLHESGMELSLPDSPFSSPIKASIVATEAHNCNINNNSPYMVASSLCPPSIYH >LPERR11G01290.1 pep chromosome:Lperr_V1.4:11:836645:840569:1 gene:LPERR11G01290 transcript:LPERR11G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHIVMCIAAVMLLLLTSSPVLADTVVLGRKGGAMTDVVDDKAARGPGRYAVILDAGSTGTRVHVFRFDKKLDLLKIGDDIEVFAKRSIPVLSTASGPRAELVRWTAAGGGQVDIASTYKANHAVPTWLMKKTPLELGATAGLRLIGDEKANQILEAVRDVVHTKSKFEYNPNWINVLTGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQIAYAIPSGTAANAPQVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARAARVEILKRKNGPFSNCMLRGFKGNFVYNGESYDATAPAQGADYNKCRKDVMVALNLHAPCKTKNCTFNGVWNGGGGAGQNELYVASSFYYMASDIGFIDREAHSAKSTPAAYKDAAEKVCRLSVQEAKAAYPRARDHAYLCMDLIYQYTLLVDGFGLEARKEITLVEKVKHGEYHIDAAWPLGTAIEAVSPKKKHQQA >LPERR11G01300.1 pep chromosome:Lperr_V1.4:11:844553:846412:1 gene:LPERR11G01300 transcript:LPERR11G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPSSSNEAMPALPPGFRFHPTDEELIVHYLMNQTSSIACPVPIIAEVNIYKCNPWDLPGKALFGEKEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSSPTSDHIGVKKALVFYKGKPPKGTKTDWIMHEYRLTGTSTNNNSSTKQRRASTMNMRLDDWVLCRIHKKSNDFSDQQEQEPEGSTVDQLEEIHENNSSETPAPADMNNEHSAFHPMMMSMSKSCSLTDLLNTIDCAALSQFLLDGSSESAEPTAPPCPLIYNDPCQSLNYNLNNNMPHAFDARQDHHADGHVKNYNGLRRKRMMACSSGVTSFDDGX >LPERR11G01310.1 pep chromosome:Lperr_V1.4:11:861876:867255:-1 gene:LPERR11G01310 transcript:LPERR11G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGVLKVAGNKLVQLVTGEFASIIGVTKDLSELQVLHGEITSWLSAVWDRAMENDPSFRWVQNLTDVACDIDNLLHEVHLEAEKHDMDIDGDNHFISKFCAKPKSIMFRCKIAHKIKSINARFAAIVKQRSNVNVVVNSLQMHEVVRNVRQTFGELSLLGSVEVSKISTRDKEKDSIVSKLLEYNEGDKVLTVSIIGLGGSGKTTLAKHICHDDMIKTHFRATYWVHVSQEFNMEKLIGKLFEAITGNKFDLHSQQHIINVVSDKLRDDAWYDSQHDSEQFMVYVYNGESGSRILLTTRDQQVADAVKSRFLFNLAFLSESSGLVEKDMISEFIQVRKEIMRKCGGVPLAVKTLAGILSEKKEIHTWRAIGGIINKDTLIAQWIAHGFINTSNGERPEDTGSDYFDSLVKVGFLQYVVGDAYNDELRCKIHDLIHDLSQEILQDKMVATLPKNMTRNHTPRCIYLSLINCTEKVNWGLFKNIHAIHVSGGNPSFGKQIKKHRCIRSVILEDIVVTSFPLFILKFEYLGYFRISETFQKLFQAVGICKQLHVTNCTGFAKLPESIGMLKKLRTLELWRAGDLKNLPQSIGDCRNLRKSVGKLRNLRMIELECCGNIQDVPSSFAFQLLHTLKLSQSNITMLPQCITLMENLEYIDLGYCLMLEDLPKGIVNLKRLEVLHLMGCDKLRCLPLGFGRLTRLKRLGLFVIGCGGDDAQISGLGNLGQISGDMVIMNIKHSKDANDADNAHLKQNSNIESLALSWGREVEEQTTSDHELDVLNALEPSSGIKDLQIYGYGGSHPPRWIMSQRSAELHLVNLPNLKYLRGIVELPWLKILLLRCMPNLEELWTTTTGLSDGDHCFPRLSNLTIESCLKLNIIPHFPPSMESLSLKGINERLLALPSCNSRGGLVEFPCLKKPELHAGWERIMHHGFAVLESLRIYGSDDLRQLPESIRSITCLERLVIFRYPAIEVLPRWLGELCSLRHLHIQMANLRELPEGLTSLKTLAISCCMKLSKLPEGIRHLKYLEHLTIKQCHAILRLPEALGELGSLKVLVLSGLQELMSLPESMQGLTSLQRFHLTSCPALTVLPDSLGQLPTLGALYIDSCHGLRSLPRSIKRLTSLRYLMISYCPALSRHYKVRVGSDWHLVSHIRQVELIRANITVYMVMSSGLVAL >LPERR11G01320.1 pep chromosome:Lperr_V1.4:11:870734:873186:-1 gene:LPERR11G01320 transcript:LPERR11G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARTLLLPLAAATLLVASTIFLFAATGARWRPADTSLPVPAAAFPSSIPVTSDSTKELSFTDENGHPDDPSSISRCDPLHAAVRVFMYDLPPEFHFGLLGWSPPPPADGSSVWPDVSAAAPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVANAQDADVVFVPFFASLSYNRHSRVVPPEKVSRDKQLQEKLVRYLMVRPEWKRSGGADHVIVAHHPNSLLYARSSLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHLAKTFVNDSAGFDDRPTLLYFRGAIYRKEGGNIRQELYYMLKDENDVYFAFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNPKKAEQVIRQDQD >LPERR11G01330.1 pep chromosome:Lperr_V1.4:11:876693:877668:-1 gene:LPERR11G01330 transcript:LPERR11G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTDESMAIDELKLHAGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGMIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAVANSTNSISSGNNRHPIFATGSSNNQPEITQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTTSPSPPPPPLQHYDVGYDDVKPAVENPLMSFDCMSGTGGGEMDCFDVYHHHQQQPASSFMDQYGGYGGYGEESKKMMNHQAPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >LPERR11G01340.1 pep chromosome:Lperr_V1.4:11:883263:891852:1 gene:LPERR11G01340 transcript:LPERR11G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSLLLGRRWSPDLITRRLGDLPPPTVTSPAKEQLPLPARNWSPGRIFAATWNVAGQTPDMELNLNDLLPSDDNSDIYVLGFQEVVPLNAGNVLVIEDNAPAARWLALINQALNRPPSPSDASAATSQASESLSFSHKSLLREVRRGRRLKSCTCPAPAAKTERRRRKPPPSCLMMSCSSNNIRHAVDGDTTTSDSDDEEEDVVIGDYKNNAARQERRRWWLVACKQMGCISVSMAVHETRVHLASGEKEGDELRRNSDVMEIIKNTRFRRLCKSSGRRTPATILDHDRVIWLGDLNYRIALGYSETKKLVEANDWDAVFDKDQLRIEREKGVFRGWNEGKILFPPTYKYSWNSDSYAGEVDTSKKKRRTPAWCDRILWYGEGIEQVSYIRGESKFSDHRPVCAVFNVEVALLDDKKIVKAANMKVGAEELLPTEH >LPERR11G01350.1 pep chromosome:Lperr_V1.4:11:890903:893786:-1 gene:LPERR11G01350 transcript:LPERR11G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQQCSRSAGGGDKEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKLEAALQPAMLRAKLPRFLQKCAQEFLDDARYRDDPRYLLVWIQLMDYVKDAKPLLKKMEKNRIGLKRAAFYMAYALYYEKHKRFEDAEKMYCLGTHNLAEPIGELQKAHEQFIHRMELYKRRKQRVQQERMANNAKSIATSTNQVEGQSRSCTELKSNLVQRSGNGCNPHLGFQHPLGRTLSRGTSGDTKSLSRHNSDDTVVEPSAFEIFVDEDEPNRSEPSILQHNMKQENPKLSQQASTFEIFVDEHDPNCNNQKLAQHENVSKENTKVNQKASGFEIFVDENEPHGNGGNAMCHKSTGCPPKPFSGSRQRANYDFQKPFVGGFAILHDDKDEQFEENDNGVKINSGTVQLSCDKDTPHYPRQSDDSHPAISGLREDTVIHRFVGSAVVGEPKVENACHHGLIEPTVNLKEAMDDINSMFGRPLNFKGDRPKNKKTTALSEKRAAPPSNFSILADDDPEENPSAQVKPSVSCKSECQSDLFEPTITTRDVMAEINDMFGMPLDL >LPERR11G01360.1 pep chromosome:Lperr_V1.4:11:894240:898334:-1 gene:LPERR11G01360 transcript:LPERR11G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVRSVLPVVLLGCGGVGRQLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVAEDLHSNGLDDALLSDLCTAKSTGSPLSALLARGQCQLFSNSEARRKVLDTASVLGKTTGLVLVDCSATYDTVSMLKDAVDCGCCVVLANKKPLTCAYKDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQRISMEDINVESLYPSELGPDAMSTKEFLESGLAQLDKSIEERVKSASLKGNVLRYVCKIESTGCQVGIQEVPKNSALGRLRGSDNVVKPKEPTSVSRVEIYSRCYENAPLVIQGAGAGNDTTAAGVLADIVDLQDLFLKTA >LPERR11G01360.2 pep chromosome:Lperr_V1.4:11:894240:898334:-1 gene:LPERR11G01360 transcript:LPERR11G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVRSVLPVVLLGCGGVGRQLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVAEDLHSNGLDDALLSDLCTAKSTGSPLSALLARGQCQLFSNSEARRKVLDTASVLGKTTGLVLVDCSATYDTVSMLKDAVDCGCCVVLANKKPLTCAYKDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQRISMEDINVESLYPSELGPDAMSTKEFLESGLAQLDKSIEERVKSASLKGNVLRYVCKIESTGCQVGIQEVPKNSALGRLRGSDNVVEIYSRCYENAPLVIQGAGAGNDTTAAGVLADIVDLQDLFLKTA >LPERR11G01370.1 pep chromosome:Lperr_V1.4:11:899278:914204:-1 gene:LPERR11G01370 transcript:LPERR11G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPGEDERTAPLLEPRPVDAAGVSSNKQENQDAEEEEVASLGRRLVEENKKLWVVAAPSICARFATFGVTVISQAFIGHIGPTELAGYAIVSTVLMRLSTGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFCCAVLLTPIYLFTTPLLIALGQDPQISAMAGTISLWYIPVMFSLVWSFTLQMYLQSQSKNMIVTYLALLNLGLHLFMSWLLTVKFHFGLVGVLSSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSAALTNLSAIIKLSLSSGVMLCVEMWYNTVLVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLIFRGSLAYIFTESKAVANAVADLSPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNLASYYLIGIPIGAMLGYVIGFQVKGIWIGMLLGTLVQTLVLIFITFRTDWEKQVEIARARVNRWCMDENGTRQNSRGSPGGVHAAEDGEREIERRSNGLSTSKMERPGDDERTAPLLEPKPVTTNGAGDSNKQEENAEEIGSLGRRLVEENKKLWVVAAPSICARFASFGVTVISLAFIVHIGPTELAGFAIVSTVLMRFSTGILLGMASALETLCGQSYGAKQYHIVEIWYNTVLVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLGATGVRVANELGARSARRAKFAIFNVVTTSFLIGFMLFVLFLIFRGSLAYIFTESKAVADAVVDLSPLLAFSILLNSVQPVLSGVAVGCGWQSVVAYVNVTSYYLIGIPIDAILGYVIGFQVKGIWIGMLIGTLVQTLVLLFITLRTDWEKQVEIARERLNQWVLIVDNAILQRPQAVVMGR >LPERR11G01380.1 pep chromosome:Lperr_V1.4:11:918761:922893:-1 gene:LPERR11G01380 transcript:LPERR11G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGDDDIDRTVPVMEPKHANDGGSSNKHQEGEEDEVGSLGRRVLEENKKLWVVAGPSICARFSTFGITVVSLQAFIGHIGATELAAYAIISTVLMRFSVGILLTNIKHKNMDGVYPIPHDPLTYTLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVLLTPIYIFTTPLLIALGQDPKISAMAGIISLWYIPVMMSYVWGFTLQMYLQAQSKNMFVTYLMLLNLGLHLFLSWLLTVKFHLGLAGVLSFMVIAYWIPILGQLAFVFYGGCPETWTGFSSAVFTDLGAIIKLSLSRGVMLCLNINSWEMVISLGFLAATGMCVVCDLPYLSWKHCIHIAVVDAVADLSPLLAFSILLNSVQPVLSGVAIGSGWQSVVANINVTSYYLIGIPIGAILGNVLGFQVKGIWIGMLLGTLVQTIVLLFITLRADWEKQVEIARERLNRWYMDENGRQQNSRENP >LPERR11G01390.1 pep chromosome:Lperr_V1.4:11:933075:933739:-1 gene:LPERR11G01390 transcript:LPERR11G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREEHKVKTVASAEAKNDHVTPTSPSSPWSENMDPVASTSKAGKGKTQGAEATEQQGEGSVSVANSKKESRPVFEDNIVLGLALDGSKRTLPIDDGMNPHLSLSETEQDTVEAASSLKDKKASSLKDKKGEEKAD >LPERR11G01410.1 pep chromosome:Lperr_V1.4:11:943819:948945:-1 gene:LPERR11G01410 transcript:LPERR11G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDALLANPATALVVPVIGIIVFALWGFLPLMKDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLVCRALDPVVLPSAASQAVKTRLVTFVRSLSTVLATAYILTSLIQQLQKFLVDMRNPNDSRSMGFDFTVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGTIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQVMSTYLHLCLQ >LPERR11G01420.1 pep chromosome:Lperr_V1.4:11:956912:958765:-1 gene:LPERR11G01420 transcript:LPERR11G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLVLLLLLRLVASASTARISPATALFILGDSTASCAATTLPLNLTLTSSSGKCLFPSTHRLLPDILAAKMGLPPPPLISTLNGTAAEAAKGVNFAVEDDGGGGRGAGGGMIFRMGAAVGQQVRLATETLQLLRLENAATPRDADTAAASAVFVVSFGTDAYARLLSRGADADASAPKYGRSGFGRLLADRVARAVAELYEAGARRMAVMGVTPVGCTPRVMWEGLHLVDGRSCVDEANELVEGYNARLAARLNILRTQLSGAGIVFCDMYKGMMDIINHPARYGFDEVRKACCGLGPFGGTIGCLTKEMVCPTPERHVWWDLYSPTETVTNLLANWLWSALSNSNTSICRPINLEMLTGHAHYFSKL >LPERR11G01430.1 pep chromosome:Lperr_V1.4:11:958905:962414:1 gene:LPERR11G01430 transcript:LPERR11G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIVPDSVREPLLGNSIHESKSESYESDMQPDLWDGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRVRFLRQRLSVPFDSSSVMHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHRLLHKADGKRAEWEYPFAVAGVNISYMLVQMLDLQSGKMTTKASSQFVQLLTEDEMAFDNLFCVAFQMLDALWLTRQASYMEFNVRSL >LPERR11G01430.2 pep chromosome:Lperr_V1.4:11:958905:962414:1 gene:LPERR11G01430 transcript:LPERR11G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIVPDSVREPLLGNSIHESKSESYESDMQPDLWDGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRVRFLRQRLSVPFDSSSVMHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHRLLHKADGKRAEWEYPFAVAGVNISYMLVQMLDLQSGKMTTKASSQFVQLLTEDEMAFDNLFCVAFQMLDALWLTRQASYMEFNVRSL >LPERR11G01440.1 pep chromosome:Lperr_V1.4:11:963240:966212:-1 gene:LPERR11G01440 transcript:LPERR11G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRARRTQKNTTKAKRPKKESAAIKESSDPSANGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGAETQLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARLPLFTDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQVVPALHEEPQDDDQTENAAQELSSSEANTSSDNNEPLAVDDSAECMNEPLLVDGIEESLWSPCLDYELDTMTGAYFSNSMNFSEWFNDEAFERSMDHLFEGCSSITEGSKDSSGLAEYNLFEECNMLEKDISDREGISPQPNNISCPQKMISVCN >LPERR11G01450.1 pep chromosome:Lperr_V1.4:11:966626:967285:-1 gene:LPERR11G01450 transcript:LPERR11G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAILLGVVQQLGINPDQNGGTMARAAASKTQQTRGIFSQQASWYKESQHDQVWTLHAH >LPERR11G01460.1 pep chromosome:Lperr_V1.4:11:971633:974176:-1 gene:LPERR11G01460 transcript:LPERR11G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRNLHPSDDDDPRSRKATTAPCPRRTRSVSVEPRARTGAGGIGEFAAGNTRINPLFDRGAGGDSPSPSPPPPQQLTTKPAAVTRERGREAARKSPCAGGGSGSGRARSVSVAPRRRRPSRENADGSGGRSASRASSVAGHPQPYRESEAICQSNNSNDPVQAVIEIPPEFDPDSVEFVSDISDYTTEFRKEEIMHIPFEFDTDNADLATDIEHHSSKLHWEQMEIPLEFDPDSAELSPDITEYTTKLTQSHERAQKLRADLAVEEQREQELSRVLKDIVTAPNFAEAPKRRPRRKGSIERLKVSKHLAEEAMNYFEECVSISTLDSTDLSSLEDTQINSVVSVPQKSSNTFFRKGGPSISEPHYQTDRRWHNEESDNQTQCSISLAGSDVSGNHTFDHTMMALISRTRNDSSDDLDGFDTPRSRSSCFSFTHEGTKTVEGHDVQQYLRSFGRGISKELRETRSSYCDDDYVYQKINADLLKDTVTFKNMVDSGGLLICNIRRF >LPERR11G01470.1 pep chromosome:Lperr_V1.4:11:986813:987115:1 gene:LPERR11G01470 transcript:LPERR11G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALQSMVAAIFLLHLLTAASATAAEASPTTGGLSRDDNGNVVADAGRKERLFLLSRATAMATNTFFHVHQEPATEGPIVNFSGMKKMPKSRSNPSHS >LPERR11G01480.1 pep chromosome:Lperr_V1.4:11:996170:996487:1 gene:LPERR11G01480 transcript:LPERR11G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKALHSVLLAIFLLQILLTEAAASASPTTGLLHDGNNNNAVASSRIRSSRRLLLEKQPTEAMATNTFRVNGVHQTAPAKSKPNVEFDASKKPKPGSGYNPRQN >LPERR11G01490.1 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQINQLGQDRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAVQKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSILDRMDKDVDDACTQGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01490.2 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQINQLGQDRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSILDRMDKDVDDACTQGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01490.3 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQNKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAVQKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSILDRMDKDVDDACTQGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01490.4 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQINQLGQDRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAVQKDSGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01490.5 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQINQLGQDIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAVQKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSILDRMDKDVDDACTQGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01490.6 pep chromosome:Lperr_V1.4:11:997715:1003086:-1 gene:LPERR11G01490 transcript:LPERR11G01490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAEAKAETKVEPSGGGGGGSFSEQSFVEKLNKLNNTATRIQNKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGSNGNSSNPSSNPYSASKAVQKDSGIQQGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAIQLRQRLAGALNNGAGSSSSPLLVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSHRATSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQSGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPPIQANQAPGSFQPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIMSAGFPRPSGPPPPPLLTQSQNQIQPQQQQSPQALQQSPTSTGFFQPPGTAFFPPVQVQQSPSAQRQ >LPERR11G01500.1 pep chromosome:Lperr_V1.4:11:1005999:1007564:-1 gene:LPERR11G01500 transcript:LPERR11G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASLLLPQRPHAAAPRPLSPAVLFRPHPLQRRQSSRPDLRCRRRLLTARGDYQAPPPDEEEDDELGSGGGGFDTAVALFNGGDFHACHDVVEELWYTAEEPTRTLLHAILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLRLHHDDDNSSSRPFCRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQQLYRLQTLTGSHNGDGVPIIVFSASSDSDSRVKLPTLSATEQHLAALQNTHDNVHQDYKPNDNLIDWWLQGVKQRDKLKSKGMKSMLLLVSWEI >LPERR11G01510.1 pep chromosome:Lperr_V1.4:11:1011773:1014724:1 gene:LPERR11G01510 transcript:LPERR11G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPQSERDFHALFAAISGGKNPATNQHLFNDVLLKINKELSYLQFELRACINQYDGTVYYGVVNNIADEESKLGSKYSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADSSQDSQSRLPTSITNFSMSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWFRSNDIPSCEVCNEAGIKATTCPNEGCNVRIHEYCLKKKFSQRKASRACHGCGTEWPRLEGEDDGTEDVNGTEDVNESEDQVPSANRRSKNRRKVKSEILEETERTGSSARMTRRSLRSAKAEAVEVAREAATAGPSQSTRASKRRKSAGLHICIAYGPAGVTYN >LPERR11G01520.1 pep chromosome:Lperr_V1.4:11:1015546:1016354:-1 gene:LPERR11G01520 transcript:LPERR11G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAIVPVRVRFGKVLRTWTVDVKARCEVTVDKFAGNATAAAGNRGCSVKVVASQSVNSWACVAIFV >LPERR11G01540.1 pep chromosome:Lperr_V1.4:11:1031208:1035486:-1 gene:LPERR11G01540 transcript:LPERR11G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQRKGRGRFHGCQTGFSNTEKSEKAYFRFSNGTKISWMATQTVPDQATQGFQFSESCRRPAFYLMEKLKKIYMAFGANDFMLHIKRLMYSSVRIGYQSASDYPIVFGAGISLLLLHRVCPSLFNFFLSSSPVLLLTALLLGALLSYGEPTALVIEENQQTLSLKSMISVDDRSIDKVETVAVEQHLDDTASSNEVYVRETTFKGSVNDIHCEEKNGTYMTVDTVLCEEVYVKDGTSDYDLQDTHREGKNITFIEADTVPCVEPSNFVNNSVETEEHVGEYSKKKVELQGPGSIDPETNSNKVQYQYQLGELMSSCWEPVMRQEPQETCSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSFASRDNLDTSSDDEDDLEEEDASTDENGSEEKKDDKNSWNNVMELNFLDMDNTSKMESMMDLQRAKNILKFELDKRLMNLKAADTVQKMEEASRFRVHVPSISTSRQNPFDSSNGSDEIIELPHVPDSAPSSLLPRKNLFDVSLDQNMVHDSRLQETWTPHSYFSVRRHRKHANLYERHFTTLHHNRFILEKDEICEKDALDSQLDSEGYSDKLFGSLEAHIGEEIKILGAAISDVGVLEVNYGMDDGNQNVDFTDDINSSPIQSTFEAKDSVHAGIEQLILCSPYKEVLVQSISESSISQPLTVEDELSEPLSSDSGTGRHVVDGNSDEDLDQQFVQLNDKELSSATSYPACHNEPIQEKSIEALPAGNGHYSGLHHEDSLLEVTLESPELSVKNPTTDSLSLHTEQHGSFSVVHDLEKNSVENITVEFAEVHDQVH >LPERR11G01540.2 pep chromosome:Lperr_V1.4:11:1031208:1035486:-1 gene:LPERR11G01540 transcript:LPERR11G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQRKGRGRFHGCQTGFSNTEKSEKAYFRFSNGTKISWMATQTVPDQATQGFQFSESCRRPAFYLMEKLKKIYMAFGANDFMLHIKRLMYSSVRIGYQSASDYPIVFGAGISLLLLHRVCPSLFNFFLSSSPVLLLTALLLGALLSYGEPTALVIEENQQTLSLKSMISVDDRSIDKVETVAVEQHLDDTASSNEVYVRETTFKGSVNDIHCEEKNGTYMTVDTVLCEEVYVKDGTSDYDLQDTHREGKNITFIEADTVPCVEPSNFVNNSVETEEHVGEYSKKKVELQGPGSIDPETNSNKVQYQYQLGELMSSCWEPVMRQEPQETCSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSFASRDNLDTSSDDEDDLEEEDASTDENGSEEKKDDKNSWNNVMELNFLDMDNTSKMESMMDLQRAKNILKFELDKRLMNLKAADTVQKMEEASRFRVHVPSISTSRQNPFDSSNGSDEIIELPHVPDSAPSSLLPRKNLFDVSLDQNMVHDSRLQETWTPHSYFSVRRHRKHANLYERHFTTLHHNRFILEKDEICEKDALDSQLDSEGYSDKLFGSLEAHIGEEIKILGAAISDVGVLEVNYGMDDGNQNVDFTDDINSSPIQSTFEAKDSVHAGIEQLILCSPYKVNNSEPHIVEADSIGDLNSLFKCRMEEVLVQSISESSISQPLTVEDELSEPLSSDSGTGRHVVDGNSDEDLDQQFVQLNDKELSSATSYPACHNEPIQEKSIEALPAGNGHYSGLHHEDSLLEVTLESPELSVKNPTTDSLSLHTEQHGSFSVVHDLEKNSVENITVEFAEVHDQVH >LPERR11G01550.1 pep chromosome:Lperr_V1.4:11:1035650:1036161:1 gene:LPERR11G01550 transcript:LPERR11G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATQISEAQNKMRTPIRAWETTPFGYGGSYGLQTSPFYAADFLGIFGLRTKLGPYEPQYIAHTSRIQSLGSGLLLHNALVKHNKDNQQYSAGGKKFFFQYQCDSKLVLSTDRNTDKSHTIVHKKNRWNNYITFWQS >LPERR11G01560.1 pep chromosome:Lperr_V1.4:11:1046107:1048962:1 gene:LPERR11G01560 transcript:LPERR11G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVTLEGKPSLAVPKLKQVLAANFVALAWNVILSFKAHKEITVE >LPERR11G01570.1 pep chromosome:Lperr_V1.4:11:1051591:1051824:-1 gene:LPERR11G01570 transcript:LPERR11G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLDSSSPWQGSLGLSPHRHHILMPDPSPPNPTPPPPHHLRSAAGRRIAKRRPRPSRRLPTTYISADPANFRRMVH >LPERR11G01580.1 pep chromosome:Lperr_V1.4:11:1055020:1057049:-1 gene:LPERR11G01580 transcript:LPERR11G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKVLISVTIGGGGLVAIGNTSNTLSTFCRAGLGFERDQPGAAVIRCSAAGREEEAAKGKEARWGRWRQRVTRGGRKGWGNVVPWGAGWGRSV >LPERR11G01590.1 pep chromosome:Lperr_V1.4:11:1059913:1064172:-1 gene:LPERR11G01590 transcript:LPERR11G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGGYDLRSNMKRKGGYDLRSNLKRDEKTDFVITDSKKRTSASLARKKKDTRLCNEGETSESLIEGDLHHESNEGASWSELSGEVAANLSKSVVSLALLDGHNTVLFQCSGIAVQCKRHVTRLLTSASLLNAFNDKKKDHDNLKVEVHHEDNVDTGDLREYVMEHNMAAVVVKNLPDLRAVSFNYVHIFVPHNKVVALGRDISGKLMSTRGLLIGDSSRSYNDQLMSSTCKISKVYEGGPLFDSDGNFLGMNLSFNTKGTLFVPTFRVIEQYDNCIHFHEVEYKERLKSLKAARARESEMPTHQVQLDVLNKDRFGDLESLGYPEPPESKLNDGIKLFNTFEESFGDLWGEGGVWSKLSDDVGSNIHDNIVALASFNGKKRFFACTGYFIEWNEGAIILTSASLIRDSGIKNKIVENLRIEVLLPNKQRTEGTVEHCSLHYNVALVSVSVKDYYVRQPAKIQHQRHDNIDLLAVGCIAISGRLMAAKGKQFAITVTHDCKLLSYSSCQVTKAGIGGPLLDFDGNFIGMNLYDEGVDGTPFLSWCELREILKYFKTKGNVTELGHGDPSHMLDWKINGYDSNGRCLGHIGVIRTILRSVNMHFKSAESSTFRVELLHGPLTKAVGEQSSSSCSRRKIDW >LPERR11G01600.1 pep chromosome:Lperr_V1.4:11:1064636:1064957:-1 gene:LPERR11G01600 transcript:LPERR11G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTELIFLGRAAGPELLANQQQRRWREAEKGATRWREAGGARERAEKATARGRAAAKRREQREGRAAAAGAESEKGGGARGRSSLEGRKGSKPY >LPERR11G01610.1 pep chromosome:Lperr_V1.4:11:1066927:1068627:1 gene:LPERR11G01610 transcript:LPERR11G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADGNESPPPPAAPLKGGAFGDPCLDLFFLIDDGGDTAPAQHLIDLLAAAWESDALTTLKLVCTLGKRNDMEAFYTAALWVHQNHPRTLAANLPAFADLGPELLYRIVRAEAGVRRKRAREDDVGAGAMNTKVPRRTTEGDDDDDKAQAAAASCTTTEAACTGSGSGTDGSSSSKALKAVRLAKLALDMYRDDDNYRFLFNTVRDFFVQNLRSDLNSSSGQQLSNVAKWCPSPDSAFDQTTLLSDAIARGLFPRESYANLTEENYIFLVHRRLRQDVFVQLLKPLAQQDLQSPNKPEIQPELPPDIARFFTNSTTSPGSRDRAVEQWTALVDALRANGSLRNCLAVCDVRMFHSGDGERPKLQNICASLGLLISELSVHPWTNYVHAFRKGRCPRYIAPQQSYENKMEFLLNMRCDDNFSLRNVCRWIYKRARDNTTQPKDMVRTIFVLTDKGFDDALVPPVELKIIEDFDPKAPRPWREEYPIICDRFKKFGFESLVPQIVLWNLRGPRSACFTSTKGGIMTLSGYSDQLMRLFLENDGIVHPEDEMSAAFADKEYHKLHIID >LPERR11G01620.1 pep chromosome:Lperr_V1.4:11:1079547:1080566:1 gene:LPERR11G01620 transcript:LPERR11G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNMLRLPMAAPPPPHTRCLASSAAASTPPLRRIAKRRPRPSRLPTTYISADPANFRRMVHQDPFRVVTSWYQSLVLLLWKYVNKKLDYVLKRLEKLDILEYKLGFID >LPERR11G01630.1 pep chromosome:Lperr_V1.4:11:1085917:1087839:1 gene:LPERR11G01630 transcript:LPERR11G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHMLELDSSSSPWHGLPPHRHHLLIPDPSPPPPAPPPHHLRAAGRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADHLLPSNSLSSPPDLLRPLPATSAGCSAPLMLPTLDTRHSAPCTVHGRMRGLACDFFLLDEQ >LPERR11G01640.1 pep chromosome:Lperr_V1.4:11:1088990:1091912:-1 gene:LPERR11G01640 transcript:LPERR11G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLHVHCSTPPARPTAPLLHSRRLALPPRPASSLPATTAVAHPRKGARLSKLHAAASACCDSASSAAVTTGGGGGCGASGTKDWRLLLAWYLLSLDKHPIATKAVTSAFLTLAGDLICQLAIDKVPELNLKRTFVFTLLGLVLVGPTLHVWYLYLSKLVMINGASGAVARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEV >LPERR11G01650.1 pep chromosome:Lperr_V1.4:11:1092306:1096380:1 gene:LPERR11G01650 transcript:LPERR11G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVSSLLESDDRLAISNSHTGSSKVDLWVLLDYTRMVWVRKYQIELPVIQIRRFEEGDCWCSHIVSQKGDVLVDGLDWQFHYDLKDAASSDRVLCAALLGVFARCGRIVSCRKVFDRIVNPDLPAWNALLSSYARLRDAAAADAILELFGRMPALGVRPNEITLVAVVGACGELGALGHGVWAHAYAVRRRLAVNRIVATALVEMYAGCGRLDLAEQVFDAASDWDTRCCNAMLYGLAVHGPAALDLFDRMCDAGVPVDGVTLLSVIWSTLTEWRSNSGLSRIEHYGCVVDMLSQAGRLGDADKLVRGMAIAPNAAIYRSLIRACGIHGNLELGEKMVADLRRLEPDDSGNHVLISNFYARMDRWEDAKKARKEMKSMGIDKNPGSSLLDINGVLHEFLVGDKTHPASKEIYAMVEEIETRLNEFGHRSSTMSVLFDVEEEDKVDTLSYHSERFALAFALIAPTPGAPIRIIKNLRSSVLPQQLAKGIIALRCGVQAYNMDNVQQKCERFMPQKAVVLVACLPFHYKAATTKTEPLHAIAQQLLHSFPLFFVTSIQNDMKAPLQKYSTRSA >LPERR11G01660.1 pep chromosome:Lperr_V1.4:11:1097189:1101615:-1 gene:LPERR11G01660 transcript:LPERR11G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSNPRLQAMIENFSKRSMENSEDEAENGKRPLELKPLRSLAPKFPTVLGYDVETQSTNPLLVYATPCRSCSSTEKPQEDPASFSQPLPNSSVPIKATPISAAFPAARHKDEYSDEDYKPFSKQKKPASMKRAKRPKQAEKSNDANVKRRSIRRNLDNEFNLCSSSSDNPKESVEGIMMMFDSLRRRILQLDEKEEASKRADLKAGTLMMKNNIRINNHKMIGHVPGVEVGDIFFFRIEMCIVGLHAPAMGGIDYISSKHKDETLAVCVISSGGYENDDDDTDILVYTGQGGNSRYKEKHDQKLERGNLALMNSKNMENQIRVVRSAQDPFCNSSKIYVYDGLYRIEDSWTDTAKNGFNVFKYRLRRDPGQPDGISLWKMTEKWKANPATRESIILLDLSSKIEELPVCLVNDVDDENGPSHFNYVAGVKHLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSSSGLLVKHTSMVYECSSNCQCSQNCRNRVTQKGIKLNFEVFWTEDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDAEEEKYTFRALCPGDKALSWNLGAELLEEKRTAVTYENFKQLPIIIRANNEGNVARFLNHSCSPNLLWQAVQHDHGDDSYPHIMFFAMKHIPPMTELTYDYGTRGSPPGFEGKLLKDCKLKSCLCGSKHCRGLF >LPERR11G01670.1 pep chromosome:Lperr_V1.4:11:1103266:1110894:1 gene:LPERR11G01670 transcript:LPERR11G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREASLSTILCSLLLFCLGCKCIASELHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPEYVELAVSLTSSDGSQNLASVTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPTDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSTPLDHPADLYDFHVYTDSKTLFSMKNTFDKSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGDSSGAMIHPITITSSYSSSLAASAITWQDSENSFLRVKIVNFGSDPVSLTISLTGLQAPVNAVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLPNAAEQTQVTLAPHSFSSFDLALAQSKLVAEM >LPERR11G01670.2 pep chromosome:Lperr_V1.4:11:1103266:1110894:1 gene:LPERR11G01670 transcript:LPERR11G01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREASLSTILCSLLLFCLGCKCIASELHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPEYVELAVSLTSSDGSQNLASVTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPTDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSTPLDHPADLYDFHVYTDSKTLFSMKNTFDKSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGDSSGAMIHPITITSSYSSSLAASAITWQDSENSFLRVKIVNFGSDPVSLTISLTGLQAPVNAVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLPNAAEQTQVTLAPHSFSSFDLALAQSKLVAEM >LPERR11G01670.3 pep chromosome:Lperr_V1.4:11:1103630:1110894:1 gene:LPERR11G01670 transcript:LPERR11G01670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRDLIDTRTALVFTNPSMDSREASLSTILCSLLLFCLGCKCIASELHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPEYVELAVSLTSSDGSQNLASVTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPTDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSTPLDHPADLYDFHVYTDSKTLFSMKNTFDKSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGDSSGAMIHPITITSSYSSSLAASAITWQDSENSFLRVKIVNFGSDPVSLTISLTGLQAPVNAVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLPNAAEQTQVTLAPHSFSSFDLALAQSKLVAEM >LPERR11G01680.1 pep chromosome:Lperr_V1.4:11:1110943:1112388:-1 gene:LPERR11G01680 transcript:LPERR11G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNKHCAMSLLLVLLAVAVDAQNGSLLIPACKTSISPFCVEALGSVGAGVDARTYQDLAVVASGLLAANATSTAAKIDGLLRQGGAGAADTQCLRSCQSLYAGVVQSQPGCAAAIRGGKIDEARSSFDKSVGAVKQCEDGFGNICKVASPLSNGVSGAFLLAAASQPQLVTSINSFLYGACKTIAGGSGLIAVTFCIDALSSDSRSSNVSSYKEFAVIAVDLLTTNATATKSKIDGLLRNGGGARRHDDTVPPVMPDGQAAVGNAVKGGSFQEAISSLDKPASAVKECQNGFGKSNVASPLTAENDDAFQLAELIVLLIRDEP >LPERR11G01690.1 pep chromosome:Lperr_V1.4:11:1113497:1114886:-1 gene:LPERR11G01690 transcript:LPERR11G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSNVGGQINPHLADILQWSELTGFADLLIASEVCCCFSHIKLKNSCVYKPITTFVRLWFCGDTAGIRD >LPERR11G01700.1 pep chromosome:Lperr_V1.4:11:1123650:1123859:-1 gene:LPERR11G01700 transcript:LPERR11G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAQSSVFYNVQAGRFPEAMSALEKSAGTVEECEDGFGKSNVKPLLAVEDDDAFRLAKLAALLLHQEH >LPERR11G01710.1 pep chromosome:Lperr_V1.4:11:1123875:1124225:-1 gene:LPERR11G01710 transcript:LPERR11G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSNVVVIALLLFAVIILAAPHLAATIDSSSPVFLSGACNTIAGDSGGVITAAFCTNSLSSDGRSLNASSYSDLAIVAIDLLTSNATSTKSKIDTLLQNVGDDATKKQCLQSC >LPERR11G01720.1 pep chromosome:Lperr_V1.4:11:1127809:1134921:1 gene:LPERR11G01720 transcript:LPERR11G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDAYFPTFCSLLLFCFSCLSAELGLGLAQTALVEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDEFSIYITTDSSSCFSQNSVALRMEVLCENCPAGGVGVYNPGFWGMNVEEGKTYNLVMYIRSLESVELTASLTCSDGLQNLASVSIQDIDLSNWTKIEMQLLAQGTCRTSRLEFTSMKKGVIWFDQVSLMRSETYKGHGFRKELIHMLLELKPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLAEDLGAAPIWVFNVGISHHDEADTAIIEPFVKDVLDSLEFARGSTESTWGAVRAAMGHSEPFPVKYVAIGNEDCGKEFYRGNYIKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVTEKRDAGKGSLLASLAEAAFLIGLEKNSLNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITISSSCSDSLAASAITWQDTEGIFLRVKIVNFGPNAVNLTISSNGLQAGVNTAKSTVTVLTSGNLLDENSFSEPNKVVPVTSKLPDAAEEMEALLAPYSFTSFDLALDQYKLIAEM >LPERR11G01730.1 pep chromosome:Lperr_V1.4:11:1136325:1139844:-1 gene:LPERR11G01730 transcript:LPERR11G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEMNRDLVAADALSDDRSKEAYGDVLGMVFSSIPFQPDALVANQEPPAVNEAEPAETVPKTSFVSTIADSFNQLLFPSCDPNLLGEFDTQKVSWNQHRHCLAFVSGKDQVTVHDFEELDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNAASIKSGVTSSSFGAFPRVSGGQWILVDILRGSSSELVWEHLYDVDLRWNFSLMGNKYMDIRNMGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDCHLLPVELPEISSLIVSRGIEKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRFPLVSVSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSVV >LPERR11G01730.2 pep chromosome:Lperr_V1.4:11:1136325:1139844:-1 gene:LPERR11G01730 transcript:LPERR11G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEMNRDLVAADALSDDRSKEAYGDVLGMVFSSIPFQPDALVANQEPPAVNEAEPAETVPKTSFVSTIADSFNQLLFPSCDPNLLGEFDTQKVSWNQHRHCLAFVSGKDQVTVHDFEELDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNAASIKSGVTSSSFGAFPRVSGGQWILVDILRGSSSELVWEHLYDVDLRWNFSLMGNKYMDIRNMGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDCHLLPVELPEISSLIVSGFIRGPGEGAKPLAFAFHNKFKQGPLLSVV >LPERR11G01740.1 pep chromosome:Lperr_V1.4:11:1140663:1143326:1 gene:LPERR11G01740 transcript:LPERR11G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQPSLKNPLLKDHKIQLKPSVDPPKMMVSGRNNSHKFAVEQAWRRSGSCPEGSIPIRRTPASANATIANQTLPFFSLYGRPAPTNITIQDEASVEGNYSVEIAAAYAVNGPYHGARASIPVWKVEVQPFEFSKSYLLVASPKERDFIPIRGKDPPDINNQIALGLAVYPQYFGDGLPRLYLYSTNDGGVKSKCFNLECSFVQTSNKVALGALCDHFSTIGGTLYSLPVGIYRDAGPAVMWVSVQEEPIGYFHESAFTPPFIESFHNEMGGHVLDRRADGKHTLTPMGSGMYPSDGLHNAACISYYLGIAYTGADQVDDPVNTIVTHPKCYDVKDYGPDLYHPGTDVAFGGPGGYDCDAN >LPERR11G01750.1 pep chromosome:Lperr_V1.4:11:1155502:1158889:1 gene:LPERR11G01750 transcript:LPERR11G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDEMPSEVFGQRNLCLFPIRRHILDKVFPHGPYTCCIQKRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLRLALNLHELISQQRNGYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTRKMADLIAEEDAVIRENEPAIIKRLKKLLMLSADGTLNMHALWLTRRELGLPDDYRCSILPNHQSDFSLGSPDTLTLVTRDESLAVADIEEWREKEYTEKWLAESQTKYAFPINFPTGFKIEKGFREKLGNWQRLPYTKAYEKNELHPICNADRLEKRIVGILHELLSLTVQKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTPTVLLRESYSKGCLVEPNPVYNGVVALMKWTVQFSFLRSTTKKAKLRKPAFVSDGSAPREEEILAAQCRLKRHSIKGKLFQRAEPELCL >LPERR11G01750.2 pep chromosome:Lperr_V1.4:11:1155502:1158346:1 gene:LPERR11G01750 transcript:LPERR11G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDEMPSEVFGQRNLCLFPIRRHILDKVFPHGPYTCCIQKRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLRLALNLHELISQQRNGYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTRKMADLIAEEDAVIRENEPAIIKRLKKLLMLSADGTLNMHALWLTRRELGLPDDYRCSILPNHQSDFSLGSPDTLTLVTRDESLAVADIEEWREKEYTEKWLAESQTKYAFPINFPTGFKIEKGFREKLGNWQRLPYTKAYEKNELHPICNADRLEKRIVGILHELLSLTVQKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTPTVLLRESYSKGCLVEPNPVYNGVVALMKWTVQFSFLRSTTKKAKLRKPAFVSDGSAPREEEILAAQCRLKRHSIKGKLFQRAEPELCL >LPERR11G01750.3 pep chromosome:Lperr_V1.4:11:1155502:1158889:1 gene:LPERR11G01750 transcript:LPERR11G01750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDEMPSEVFGQRNLCLFPIRRHILDKVFPHGPYTCCIQKRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLRLALNLHELISQQRNGYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTRKMADLIAEEDAVIRENEPAIIKRLKKLLMLSADGTLNMHALWLTRRELGLPDDYRCSILPNHQSDFSLGSPDTLTLVTRDESLAVADIEEWREKEYTEKWLAESQTKYAFPINFPTGFKIEKGFREKLGNWQRLPYTKAYEKNELHPICNADRLEKRIVGILHELLSLTVQKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTPTVLLRESYSKGCLVEPNPVYNGVVALMKWTVQFSFLRSTTKKAKLRKPAFVSDGSAPREEEILAAQCRLKRHSIKRNYSKKGSKSAE >LPERR11G01760.1 pep chromosome:Lperr_V1.4:11:1159344:1161869:-1 gene:LPERR11G01760 transcript:LPERR11G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDSTNALPAAASALPLLVAVVVVFLLSPHQFPCAAAAAARDSLLRGDSIAVENHATDFLLSPDGTFAAGFYAVSPTVFTFSVWFARAADRAVVWSATRGRPVHSNGARLTLHARRGALVLTDYDGDVVWNSTNAGAGAAAAERARLLDSGNLAVEDAVGNVLWQSFDHPTDTLLPTQRLAAGEAMVSSDKLLAGGGFYSFRFNDYSIISLVYDNHEISSVYWPNPYYSYWQNNRNIYYNSTRREAFLDESGHFLASDNATFNADDLGNGGGVRRRLKLDTDGNLRLYSLESDDLVALPHTDFWGFDINQSKLVSLHECATQCKSEPSCVAFEYKVGIGECYTKSLMFNGRTFPGLLGTAYVKVPVDLDVSDLHVHQWQKHGIAIEEDIVRCGGAVDSPELLLNVSGVSSSSSSNSIWFYFYGFLSAFFVIEVIVIAFGCWFFSSKGVFRPSQVWALDEGYKMVTNHFRAYSYSELQKGTRKFRSEIGRGGSGVVYKGILDDERVVAVKVLQDVSHSEDVFQAELSVIGRIYHMNLVRMWGFCTQGKHRILVYEYIENGSLAQVLFNRRDSSKFLGWKQRFNIALGVAKGLTYLHNECLEGIIHCDMKSENILLDEDMEPKITDFGLSKLLDRDGYGSQMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRISDWVVNGKEGIETDMRSAVKMVVDKLESNNKAWIMDMMDDQLDGEFNHLQAKLLIQLAVSCLEEDRNKRPSMKYIVQMLISAEE >LPERR11G01770.1 pep chromosome:Lperr_V1.4:11:1163280:1173967:1 gene:LPERR11G01770 transcript:LPERR11G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFLTTSLAVLTTLSLLALPLSATTHDILPLKSSLIVEEYETNILQSSDGTFSCGFYNIYTNAFTFSIWYSNSIDKAIVWSANRGRPVHSRRSAITLRKDGNIVLTDYDGSIVWQTDGKFPNVHHVQLLNTGNLVLKNSSDNIVWQSFDSPTDTFLPSQLISATTKLVSTTKLQAPGHYSFRFSDQSILSLIYDDNNDSSIYWPDPDYQYYENNRNLYNSTRIGSLNDSGEFFASDLANHKALVASDRSFGIKRRLTLDYDGNLRLYSLNNSDGTWRVSWIAQPQTCMTHGLCGPYGICHYSPTPICSCPPGYKMKNPGNWTQGCEPIIEITCDRTQSVTFLPLRNTDFWGSDQQRIEKVSLEVCRNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKIPIPQSTIHDSTANRLHCDNVSTITTEGSPNMNKISGEESKWFYFYGFIGVFFVIEVFFFAFAWFFVLRKEMRSSQVWAAEEGYRVMTSHFRMYSYRELVKATERFKHELGWGGSGIAYKGILNDDRAVVIKKLENVTQNREEFHGELHVIAMINHMNLVRIYGFCSERFHRLLVLEYAENGSLANILFNGRILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDKNLEPKITDFGLAKLLSRSASNQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVILLELVSGRRVFDLIISEDEKVHVMVKKFIKLISYRLDNEESLWLAEFVDFRLGDEFNCLQAKTLLKLAVSCLEEDRKRRPTMESIVESLLSVDSARTLSVESSIQLYSMASHLPTVVLIAFLPMFVPLLGASDLLLLGSSLTVEKQTDVLHSSGGTFACGFYNISPDVFTFSIWFFNSADKTVVWTANRFHPVYGWGSKVTLQKDGDMVLKNFNGDIVWKANGSSGDRVVDHAQLLNTGNLILKDQTGNILWQSFDSPTDTLLPTQPITSNTMLLKDLLARSSISIWDKQRKLFNSTRVGVLNELGQFLGSDGLNFTASDMGPGIKRRLTLDYDGNVRLYSLSELDGQWSVSYMAFSHLYFVDGLCGINGILIYSLARPICLCPPGNNMIDPNDWSKGCDLAFNVTCGHSEKVNFVKLPFVDFAGPDMSITWNISLDKCIDMCSNDCSCKAIRYVAGSGKIFPWEFSSLYLKLLENVDVESYPFPYSGFLYLHYTHSCNATRTKVVNALYDMNVGGEGKSFIYFYGFLSAFFAIEVFFIAFGCFILRRESKISQVWPLEEGYKMIRNHFRRYIYEEIKIATKSSNHLKMSLGITYRGILNDDRVIVVKTLESMIQGEEVLQAELTVIGRIYHMNLRSTTLLHWNQRVNIIIGIARGLAYLHHECLEWVIHCDVRPEKILLDHNWEPKIVDFGLAKLLSRCGCDKNTSQVRGTRGYMAPGWVSNLPITTKADVYIYGVVLLELVKGVRISNWFIDAKEYSEPTPTDVVKILTKKLEGSREIQVDEFVDSRLHGDFNYAQAISILNVIHCDIRPEKILLDHNWEPKIADFGLAKLLSRCGCDKNTSQVRGTRGYMAP >LPERR11G01780.1 pep chromosome:Lperr_V1.4:11:1167593:1169854:-1 gene:LPERR11G01780 transcript:LPERR11G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLSAPRAPPCPCHVGVASPPQPLRPRWRRRAGARRPAAPLRAADQEQQLLAALREQPDPDAALRLLNSALARDDDFAPGAEVYEEIIRKLSASGADAVELMKVLVTEMRREGHQVKLGVVHSFLESYARRQLFDDAVDLVLNQLDSLFGIQPDTVVYNHLLNVLVEGGRMKLLETVYSEMGARGIKPDVVTFNTLIKALCRAHQVRTAVLMLEEMSSSGMPPDETTFTTLMQGFVEEGSIEAALRVKTRMLETGCSPTRVTVNVLVNGYCKLGRVDDALGYIQKEIADGFEPDQITYNTFVNGLCQNGHVNHALKVMDVMVQEGHDPDVFTYNIVVSCLCKNGQLKEAKGILNQMVDQGCFPDITTFNTLIAALCTGNRLEEALDLARRVTLKGVSPNVYTFNILINALCKVGDPQLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKEMESAGCPRNTVTYNTIIDGLCKKMRIEEAEEVFDQMDLQGIARNAITFNTLIDGLCKDKRIDDATELVNQMVTEGLQPNNITYSSILTHYCKQGDIKKAADILETMTANGFEVDIVTYGTLINGLCKAGRTQVALKLLRSMRIKGMKPTPKAYNPVIQSLFRRNNTRDALSLFREMTEVSEPPDALTYKIVFRGLCHGGGPIKEAFGFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIIEKADLRESDISAIRGYLKIRKFYDALATFGRLLEINNPQWSYC >LPERR11G01790.1 pep chromosome:Lperr_V1.4:11:1185394:1188492:-1 gene:LPERR11G01790 transcript:LPERR11G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADDKEEMPTRRRSSYSPYPQVREAITQEIRRLILGERTPCPSPEDYDDNLASMQLQPQRTLDLERRAEQMSTASTETVSSESTVVIQQPSDSEEKDSTMFNVNVGGLHEQDELPHWMSQLFDKLDLEVDEDVNVVNEDILSAENIAHEEGESDLERGIHSILKNHPIMKKLNKGIHPIILALHVLMEEEDEEEEEEVIHEEEDIDKVIAELDDYLSCHTYHTIEEGLAGIASGFQNPPPCPYFQNHTCEKASISSKEDTRSNENYPESYRVPEEFYAHIDKKARDHWKSKKRISYEEQVENGMKWMGEECLNAFRKYAEELNFKDNEYKFGELLNQCFSVDGYTKNFHHFNFTIKEKPKNSDIWNSQLYFAEVKQLAGVKYYFCCLLGSSDNGKCNGCHNQKMEDLIKHPATGGYEKGDAKICWPFLDDTSYLSGSDDDDDF >LPERR11G01800.1 pep chromosome:Lperr_V1.4:11:1191823:1193343:-1 gene:LPERR11G01800 transcript:LPERR11G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRENILLNKDLEPKITDFGLSKLLNRDGSDATLTRISGTRGYMAPEWVSNLPVTEKVDVYSYGVMLLELLKGIRISEWVIHGIKNCEMDTRMVVRATPISSSHSRLQAEGCQAEKNIVMHKDGCYHNIERNLGDQLPKTHSRCCQTIAGADVTCICDTFTEADKAKIALSKWVNVARACGNPLAQGTNCAGYRVPMSTN >LPERR11G01810.1 pep chromosome:Lperr_V1.4:11:1193396:1195189:-1 gene:LPERR11G01810 transcript:LPERR11G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVVGLFYALAFVAMYHLQVEGCLAAKNIVMHKNGCYSNIERNLGDQLPKEHSYCCQTVAGADVRCICNTFTEADKAKISLSKWVNVARACDNPLPHGTNCAGYLEHFFTDVIGRGGSGVVYKGILNDERVVAVKELRNMSRQSEDEFQAELSVIGRIYHMNLVKMWGCCSQGKHRILVSEYIENGSLVQKLFDKDGSMNMLDWNQRFRIALARCG >LPERR11G01820.1 pep chromosome:Lperr_V1.4:11:1196831:1199839:1 gene:LPERR11G01820 transcript:LPERR11G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEMLIGGMQIPTVLQNIDYGSFEDGPDDKNRIEGDTLVPFASTWAQQTNEGVGASGTATVDYNDEISKDED >LPERR11G01830.1 pep chromosome:Lperr_V1.4:11:1198951:1199578:-1 gene:LPERR11G01830 transcript:LPERR11G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAASVYRRVLKAVHNHVGRGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQA >LPERR11G01840.1 pep chromosome:Lperr_V1.4:11:1207657:1215094:1 gene:LPERR11G01840 transcript:LPERR11G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAQGGGTDWGFGEPRRTGCSHYNEDALIDRINWEEHLIDRMMPSIPDILGDIPLKASVGGPCSSCINSFDTSQFKNDLDEYQLKVIESITHSLSCKHSEYIIELIRGAQDSGKTNLLSTLLASIGHRLKCVVYVPSACDVVNLLNEMKHLSMPHHEYKQLCEKIIVFERTSDLGAQLEQMSMDSQECQEDRIGPSLFERLRHLGFKEHLLLKQYKHKSHSWQHERKAPEKPKPPREFSWVGRTVNTKHVLAPVRDQGNKDICALMSTIATTESLYKYSYASQNPPENFNIVLDVQDMFKKYKEECGHYLGEEPEGQGLRGLKRVDTALKVLKNHGVIGTYESDDHWKEEISLPITISSFKILERDDTAKVCRHLHDGRFLVGSMKMSYNFFEMSSGDIYHFDPQRPIINSKNGRPWLHAVMILGYGEPVKEALMEHKHKTCLTAVQTNQPEQVDIIGHLVYQNSHGALFGLSGGYGRVGWESIVSLWWLGYDVGLISR >LPERR11G01850.1 pep chromosome:Lperr_V1.4:11:1218629:1222829:-1 gene:LPERR11G01850 transcript:LPERR11G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGDLAMLTSRRSTPLEGEGTPNLRFNPPLPHMDLRHTMGADQIPPTSRTADDMLRKAKKPRLQQQVLYRLPPIRRKASKAWWFKAQHQQSKEGDDSSMSEQDSEPKRFPRCLAQFISELKKGLKKLDMETEDGKPLSEKPVEVVCPSDAGNVCHLPELPSQQEEAKPREGLPSSSKEQVSYSMPEEYYDQLDKQARFYLVRSRVLSQKEIIAKEFNCVTEQAVLAFKYYLTKKDVFEGFDHKFGEVSHHCFGAENRKVYCHYNFTIEMKKNDEDCWTSRPFFAEVKLVNGLKSYFCSPLEVADDGPCYSCKNQCMTELKHPAAGDYEKVHEPIFCYLNDNDDPDNYDLVVSVCCTGL >LPERR11G01860.1 pep chromosome:Lperr_V1.4:11:1226481:1230132:-1 gene:LPERR11G01860 transcript:LPERR11G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGCGMELPRIQSSKRHDRYVVSSSEDQVELSFRSSYDPSRQNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTGKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVNPKEAQFKGEVDDKYEYSLDNKDNQVHGWIGSNHPNPMGFWVITPSNEFKSGGPLKRELTSHMFLGIHYVGNDIVLNIEEGEYWKKVMGPVFIYLNSSPKRGDLQALWEDAKVQAQAEASKWPYSFPESPDFHKASQRGSVTGRLLVRDRYMSSEDMAAGMAYIGLASPGQPGSWATESKNYQFWTRATPCGGFSIDNVRAGVYNLYAWVPGINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKILNKDKYRQYGLWERYAELYPKKDPIFNIGQSNVSKDWFFAHVPRKQGDGYVPTMRHIRFWLDRMVANGTYTLRVALAAAHMSRLQVQVNGGVVLTTGASFGDGNTIARHGIHGVQWSLDFVIKGYMLVEGGENVVSITQTRALSPFFGVMYDYIRLEGPSPSWRDPMTRG >LPERR11G01870.1 pep chromosome:Lperr_V1.4:11:1230664:1236871:1 gene:LPERR11G01870 transcript:LPERR11G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKDKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTERKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSTCPPKDIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNQPT >LPERR11G01870.2 pep chromosome:Lperr_V1.4:11:1230664:1237617:1 gene:LPERR11G01870 transcript:LPERR11G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKDKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTERKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSTCPPKDIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNQPT >LPERR11G01880.1 pep chromosome:Lperr_V1.4:11:1238792:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQPTEKYPSLFTASSLFGRFPYFLPCLCISVFCFIVLISCIWLPETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQSLGLSIVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPKMNVCVSDAHNNIWHIFIITKLLTRDCNG >LPERR11G01880.2 pep chromosome:Lperr_V1.4:11:1239470:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQPTEKYPSLFTASSLFGRFPYFLPCLCISVFCFIVLISCIWLPETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR11G01880.3 pep chromosome:Lperr_V1.4:11:1239470:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQPTEKYPSLFTASSLFGRFPYFLPCLCISVFCFIVLISCIWLPETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASYRGPTRGKEGTRYRIIRWISWVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQSLGLSIVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR11G01880.4 pep chromosome:Lperr_V1.4:11:1239470:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQPTEKYPSLFTASSLFGRFPYFLPCLCISVFCFIVLISCIWLPETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASYRGPTRGKEGTRYRIIRWISWVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR11G01880.5 pep chromosome:Lperr_V1.4:11:1239470:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR11G01880.6 pep chromosome:Lperr_V1.4:11:1239470:1266804:-1 gene:LPERR11G01880 transcript:LPERR11G01880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPLLEMAYHEGCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLQIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMAADRIGRKPVIIFGIFSAFVFNTLFGLSTHYWMAITTRFLIGSLNGLLGPIRAYAIEVCRQEHQAIGLSLETLHKHAIERKGDCETESLNIRLVDSEVVDEQDIDSTTHKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPHIVKVIGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHDLFLPGDQMGCRREVVESAAAAPLLKKKGITTGDGYCIEGCPGCAVDRQKAANSGIPYVNFLFVWIVTLCTGRRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANSGIPYGSFLFVWIVTLCTGTRITHESSTDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPADKFPNVFSPDSLFARFPYVLPCICISVFAAVVLVGCIWMPYWMAVTTRFLLGALNGLLAPIKAYSIEVCRDEHQALGLSIVSTAWGIGLVVGPVIGGFLAQPVKQYPHHFHEKSIFWEETLHKHKGLETGVETAEASTTQESAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVALYTAAMIKSVLAAVAPAGADSLGHKRDNMQHSFQLMGEEAPPSPPAMRPVFHDGCHGCAMERKLESSHGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPNMFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGENEMIDNSRSTMEYSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKWLGPINSSRVASALSIPILAAYPFMPHLAGFRLGIALYLGTILKGVLSMTIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR11G01890.1 pep chromosome:Lperr_V1.4:11:1266625:1272317:1 gene:LPERR11G01890 transcript:LPERR11G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEEEEKQRSSVGRSRWLVDVGRWRPSPAGFRAAAAILPPHDRLAIDRFVREDDRKRALVSRLLQYSLVHHALGIPPQQIHINRTLEGKPYLENKNVAFPSFNFNTSDQGDYVGIASEPLCLVGLDIASISKPQGETMLEFIKNFTSNLTEHEWNCIVSSGCHDEMLTEFYRYWCLKEAFVKAIGAGVGFGLRRLEFHHKNWTNISLCIDGEEARKWRFWLCKIDEMHLASIAKGNPDDAIESFKRTLSDVVVQEEEPHTSLEIPEEAFTLWTVEQLIQIDFLETLKIPTEKTTAHSFPPFPPNPSNSRPISPTQKNSLPGPCLSVAGAGTHTLIAAMGHCCSKGAGKTVTGDDTDPSPSKSKPPSRGASSNNATPSAAAKQPRSPASKKPSTGPIGDVLGRPMEEVRSTYSIGKELGRGQFGITHLCTHKSTGEKLACKTIAKRKLTTKEDVDDVMREVEIMHHLTGHANIVALHGAYEDKHNVHLIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGPKLSDSEIEQLMEAADADGNGMIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKDVITEADSNNDGRIDYSEFVAMMRKGSGCAEASNPKKKRRDLVL >LPERR11G01890.2 pep chromosome:Lperr_V1.4:11:1266625:1272317:1 gene:LPERR11G01890 transcript:LPERR11G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEEEEKQRSSVGRSRWLVDVGRWRPSPAGFRAAAAILPPHDRLAIDRFVREDDRKRALVSRLLQYSLVHHALGIPPQQIHINRTLEGKPYLENKNVAFPSFNFNTSDQGDYVGIASEPLCLVGLDIASISKPQGETMLEFIKNFTSNLTEHEWNCIVSSGCHDEMLTEFYRYWCLKEAFVKAIGAGVGFGLRRLEFHHKNWTNISLCIDGEEARKWRFWLCKIDEMHLASIAKGNPDDAIESFKRTLSDVVVQEEEPHTSLEIPEEAFTLWTVEQLIQIDFLETLKIPTEKTTAHSFPPFPPNPSNSRPISPTQKNSLPGPCLSVAGAGTHTLIAAMGHCCSKGAGKTVTGDDTDPSPSKSKPPSRGASSNNATPSAAAKQPRSPASKKPSTGPIGDVLGRPMEEVRSTYSIGKELGRGQFGITHLCTHKSTGEKLACKTIAKRKLTTKEDVDDVMREVEIMHHLTGHANIVALHGAYEDKHNVHLIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGPKLSDSEIEQLMEAVSTHAEATNFSSVQEEMLKLITGLLELFFTTQADADGNGMIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKDVITEADSNNDGRIDYSEFVAMMRKGSGCAEASNPKKKRRDLVL >LPERR11G01900.1 pep chromosome:Lperr_V1.4:11:1271566:1272891:-1 gene:LPERR11G01900 transcript:LPERR11G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGASGIPHSTLFLARRSGAAPEGGGQRRSSRHPPAKEKPRRLKAEQRGTAQQRGRPPHLCVELSSINREEGEEGGEERRGGKGRMPHRARPMTGLLVFMGVNLVLINTISPVYDFVCFNPYWERRVMSCIIGYIKP >LPERR11G01910.1 pep chromosome:Lperr_V1.4:11:1273424:1275631:-1 gene:LPERR11G01910 transcript:LPERR11G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTSTTDGFTHLLSVVSSASISFVTDCRPGGISIPTEEISRVVAGEDGAPPVSAVPPGRLMRVVERAGVGLRVMRMAVPLRDTEEENLLDHLVPCLDFIDEGRKVGNVLVHCFAGVSRSATIITAYLMRTEQKSLEEALVSLKEVNESVCPNDGFLEQLKLFEEMGFKVDTTSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLPAEPNSSTQNLPNKETPETAYRCKKCRRIVAVQDNVVSHTPGEGESCFQWHNKRKGGQSYNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >LPERR11G01910.2 pep chromosome:Lperr_V1.4:11:1273424:1275631:-1 gene:LPERR11G01910 transcript:LPERR11G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTSTTDGFTHLLSVVSSASISFVTDCRPGGISIPTEEISRVVAGEDGAPPVSAVPPGRLMRVVERAGVGLRVMRMAVPLRDTEEENLLDHLVPCLDFIDEGRKVGNEVNESVCPNDGFLEQLKLFEEMGFKVDTTSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLPAEPNSSTQNLPNKETPETAYRCKKCRRIVAVQDNVVSHTPGEGESCFQWHNKRKGGQSYNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >LPERR11G01910.3 pep chromosome:Lperr_V1.4:11:1273424:1275631:-1 gene:LPERR11G01910 transcript:LPERR11G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTSTTDGFTHLLSVVSSASISFVTDCRPGGISIPTEEISRVVAGEDGAPPVSAVPPGRLMRVVERAGVGLRVMRMAVPLRDTEEENLLDHLVPCLDFIDEGRKVGNVLVHCFAGVSRSATIITAYLMRTEQKSLEEALVSLKEVNESVCPNDGQSYKIGEKIGSYVFEDDPGLPAEPNSSTQNLPNKETPETAYRCKKCRRIVAVQDNVVSHTPGEGESCFQWHNKRKGGQSYNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >LPERR11G01920.1 pep chromosome:Lperr_V1.4:11:1277130:1279919:-1 gene:LPERR11G01920 transcript:LPERR11G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFSMNLRFLAADTLQKLIVLLLLSLFCRFSSRHGSLDWLITLFSLSTLPNTLVMGIPLLRGMYGAAGGVDSGGLMVQIVVLQCIIWYTLMLFLFEFRGARMLVMEQFPDGAAASIVSFRVDSDVVSLAGGGAGEVEAEAEVGEDGRMRVTVRKSTSSRSEAAACSHSHQSQSMVMQPPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGGGNGKQGDEEKGGGGGHSPQPVVAGKRKDLHMFVWSSSASPVSDRAASGNVFAGGADHGDVKGAQAYDEYSFGNKNDKEGPTLSKLGSNSTAQLRPKDEGEERPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGIIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASLAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >LPERR11G01930.1 pep chromosome:Lperr_V1.4:11:1281400:1286493:-1 gene:LPERR11G01930 transcript:LPERR11G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDSPFDAKAAAEKGDAALRRLRDADPALYHSTSADLAAAAREASRHLYASLVPFSPAQPPPLSNLLVGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKEKQSPSSQPIPRSESKSADAEEEHSEEEEEGEGGEGDEFEELEDVDDEEESEEEDERFKGKPGNGVEDEFLKINELEEFLKKGDEEEYGGGAKQGKKRKKKNWMEEESDEEDEEDLDEDEGDEDEEDDDEHLDLEDFDDDEEEEGAVKDIMYEHFFEEVNDKKVKKKGGSKKVQFEDEPHVSEVDDKNDDANDEQELSTHEKAHLKMRAEIEEMEKASLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPMSFKAPKEQKELDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEDAELTQAERKRRRANKKRRYAGSHKERPAKLQKINTGEALTGFSV >LPERR11G01930.2 pep chromosome:Lperr_V1.4:11:1281845:1286493:-1 gene:LPERR11G01930 transcript:LPERR11G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDSPFDAKAAAEKGDAALRRLRDADPALYHSTSADLAAAAREASRHLYASLVPFSPAQPPPLSNLLVGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKEKQSPSSQPIPRSESKSADAEEEHSEEEEEGEGGEGDEFEELEDVDDEEESEEEDERFKGKPGNGVEDEFLKINELEEFLKKGDEEEYGGGAKQGKKRKKKNWMEEESDEEDEEDLDEDEGDEDEEDDDEHLDLEDFDDDEEEEGAVKDIMYEHFFEEVNDKKVKKKGGSKKVQFEDEPHVSEVDDKNDDANDEQELSTHEKAHLKMRAEIEEMEKASLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPMSFKAPKEQKELDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEDAELTQAERKRRRANKKRRYAGSHKERPAKLQKINTGEALTGL >LPERR11G01940.1 pep chromosome:Lperr_V1.4:11:1288959:1293271:1 gene:LPERR11G01940 transcript:LPERR11G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSSSNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSRNGVDMEFRALPRKVIWDLPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNINCTIKESLVRYRDVVSCAKKHGIRIRGYVSCVISCPVEGAIDPSKVAYVAKELYDMGSSEISLGDTIGVGTPGSVAAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQMGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLAN >LPERR11G01940.2 pep chromosome:Lperr_V1.4:11:1289915:1293271:1 gene:LPERR11G01940 transcript:LPERR11G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSSSNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSRNGVDMEFRALPRKVIWDLPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNINCTIKESLVRYRDVVSCAKKHGIRIRGYVSCVISCPVEGAIDPSKVAYVAKELYDMGSSEISLGDTIGVGTPGSVAAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQMGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLAN >LPERR11G01950.1 pep chromosome:Lperr_V1.4:11:1293016:1301739:-1 gene:LPERR11G01950 transcript:LPERR11G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSPAAAVAAAAGQSDAEREEALDRMLTRLALAEDSRLAPVLTRVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLREEKGSIAPDLLINISNVPEQHQGIILRLVVKAIGECNAHKVDDATASKYQSISGSNDGLVFSDFCFQTILYQTPPQGIGCPAGLSVVQSERVTGKLPLKGDTLVSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLVKRLFTLFNGTASAENIPAEQKVTPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYAYQFSLGTHLLFEEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAATKKRAVKLMEDSEVFQEGTIGKNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVTDPKKTIDEHYDIIVEDLLVQSGSRLWRSREASCLALADIIQCRRYSQVYKHLRKIWITTFRAMDDIKETVRNAGDTLCRAVSSLTVRLCDVSLTSPSDAKETMNIVLPYLLSEGVLSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGTAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVDIKPYVATLLRVLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTASLHLGEKNAQLSAAVLVKAYLSNAADVLGGYNAVVIPVIFASRFDDDKDLSALYGELWEDIPSNERVTLQLYLPEIISLLCDCMSSSSWAGKRKSAKAIKKLCDALGESLSVHQNNILKSLLKELPGRFWEGKDAILDALSSLCSSCHAAITAEDSGMPCVILNAVCLACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRGSSSLAASSSTEQDESDGASVSLDKVLNCVASCITIAFPQDIINQRKNILEIILNSLSPKESWQSIDFPLADRKGAKFAGELAELSESVKSEQAKAFLKQCMSILKDLENPTGVAMEMD >LPERR11G01950.2 pep chromosome:Lperr_V1.4:11:1293016:1301739:-1 gene:LPERR11G01950 transcript:LPERR11G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSPAAAVAAAAGQSDAEREEALDRMLTRLALAEDSRLAPVLTRVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLREEKGSIAPDLLINISNVPEQHQGIILRLVVKAIGECNAHKVDDATASKYQSISGSNDGLVFSDFCFQTILYQTPPQGIGCPAGLSVVQSERVTGKLPLKGDTLVSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLVKRLFTLFNGTASAENIPAEQKVTPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYAYQFSLGTHLLFEEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAATKKRAVKLMEDSEVFQEGTIGKNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVTDPKKTIDEHYDIIVEDLLVQSGSRLWRSREASCLALADIIQCRRYSQVYKHLRKIWITTFRAMDDIKETVRNAGDTLCRAVSSLTVRLCDVSLTSPSDAKETMNIVLPYLLSEGVLSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSRTAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVDIKPYVATLLRVLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTASLHLGEKNAQLSAAVLVKAYLSNAADVLGGYNAVVIPVIFASRFDDDKDLSALYGELWEDIPSNERVTLQLYLPEIISLLCDCMSSSSWAGKRKSAKAIKKLCDALGESLSVHQNNILKSLLKELPGRFWEGKDAILDALSSLCSSCHAAITAEDSGMPCVILNAVCLACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRGSSSLAASSSTEQDESDGASVSLDKVLNCVASCITIAFPQDIINQRKNILEIILNSLSPKESWQSIDFPLADRKGAKFAGELAELSESVKSEQAKAFLKQCMSILKDLENPTGVAMEMD >LPERR11G01960.1 pep chromosome:Lperr_V1.4:11:1302049:1308543:1 gene:LPERR11G01960 transcript:LPERR11G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNRMVRMVGRAFYDDISMDGEHQPKSARCDYRGIGVVVLDALTRRQWVREEYLAKALKIHSRQLRRILKFFEEEKLVYDVVRYRINRMRKKLKDELDDRDTIQHYICPNCKRSEEFAPDNAKKHSHDKLKDMQKRMEEQLKTLIELLDRIKDISFPNFGSLQHWERATIKTSTNDAFGSSLNSGTPMPFLGGLEIEVKNLGSASAQEGVESGMQSIKPLPLWMNCTGTYLMKEQKEENNHTANLDQSSEAKSDQKQLLIGDEKNGIQEAYAKAYYEAIQRRQEERRIQEENMSCISNHPFISDLERQLGAKSKRDDSVESDDDRIELEVKQPTGTS >LPERR11G01970.1 pep chromosome:Lperr_V1.4:11:1317684:1318894:-1 gene:LPERR11G01970 transcript:LPERR11G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPTQVCNGTSAPWSVSTSTSRSLLPHILRDAAEYDELDMLSPNIDSDIWLYLARVAQAGFGMWRAACRGFAGKILQDGAMVVMPSMKKDGGVDVFVALWEKHTKEFSSIAYTMD >LPERR11G01980.1 pep chromosome:Lperr_V1.4:11:1325608:1327028:-1 gene:LPERR11G01980 transcript:LPERR11G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSLVVPPPQCDAEEVPFTVFDLCDAEEVPFTVFDLVAPTYHVTVLFAFSPPNPTIDSLLDALSITLPHFPILTARIEHEHEGRRRHPCFVTGKGGAGALVMEAKVTSSLSDHLPLVPSPELAGLHPLIGSPTEHVLMLQINRFTCGGLVIAASSHHQAADGFSMNIFFNAWTDIIRRDGAPTEIGRPVPYGPGALSPRHPPRCEFEHRGKEFLPRSDGATVARSHEAIDAAVCVVVDPSEINNMMLHYTNEFVAELKRRAQDKYTTFETVTTHVWKKITAARGLAADARTSVNVAVSGRGRLGGTGAVPKEGFLGNLILSASSKATVREVMAGTLADAAAILRTGIRAVDRQYFQSFIDFGALHDDDSEPLEKVAAEYDELGMLSPNIDSDSWLHLELHKLDLGCGGQLAGILPGKIPQDGAVVVMPSLKKDGGVDVFVALWEKHAKEFASIAYTMD >LPERR11G01990.1 pep chromosome:Lperr_V1.4:11:1332780:1334144:-1 gene:LPERR11G01990 transcript:LPERR11G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSLVVPPPQCDAEEVPFTVFDLIAPTYHVTVLFAFSPPNPTINSLLDALSSTLPHFPILTARIEHVHKDKGHRRRPCFVTGRSGAGALVVEAKLTSSLSDHLPLMPSPELVGLHPPTNPGGWPPMEHVLMIQINRFACGGLVIAASCNHQAADGFSMSLFFNAWTDAVRRSGAPMEIDRPIPYGPGALSPRHPPRCEFEHHGKEFLPRDGATVARNHDASDAAIRVVDPSEIDNLMLHYPSELVAELKRCTQDKYTTFETVTAHVWRKITAVRGLADDTRTSVNVAVSGRGCLGDTGAMPKEGFFGNLVLSASSGATVRELMTGTLADMAALLRAGIRAVDRQYFLSFIDFGALHGDDNEPLETATTEYDELGMLSPNIDSDSWLHLELHKLDLGCGGRLAGILPGKIPQDGAVVVMPSLKKDGGVDVFVALWEKHAKEFASIAYTMD >LPERR11G02000.1 pep chromosome:Lperr_V1.4:11:1336824:1337141:-1 gene:LPERR11G02000 transcript:LPERR11G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLASIWGEDCMEYRPERWLDDGGVFRPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFVVDVVKDDVAAGGVPEHVLSITLRMKGGLPVKIRRKPEA >LPERR11G02010.1 pep chromosome:Lperr_V1.4:11:1338106:1339095:-1 gene:LPERR11G02010 transcript:LPERR11G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGHDPRCLVDGEEEGDARSEFMDAFGEAQDLVVGRFLDPIEVSWKIKKWLNVGTERRLKKAIADVHAFAMDIVRARRRPSATPSCVEHHMDDVLSRFVASEDEAHSDEVLRDIVLSFLIAGRETTASALAWFFWLLSSRPHVAARIADEVSAVRKSTGTRRGEAFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDTLPDGTFVGAGWSVTYSAYAMGRLASIWGEDCMEYRPERWLDDGGVFRPESPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFVVDVVKDDVAAGGVPEHVLSITLRMKGGLPVKIRRKPEA >LPERR11G02020.1 pep chromosome:Lperr_V1.4:11:1339290:1340348:1 gene:LPERR11G02020 transcript:LPERR11G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLSDHLPLVPSPELAGLQPPVVETQHVLLVQINRFACGGIVVASSAHHQAADGLSMGTFYKAWADGVRRKNSAPPMIDLFPVPYGRRVLSPRRPPRCQFEHRGKEFTPLINGATVAISQGHGIRVVDPSEIANLLLHFPTKFVAELKRRVQGKYTTFETVTAHVWKSITAVRGLDASTRTSVNVAVNGRGRLGGTAALPKEGFFGNLVLTASSGTTARELTTGALADVAALVRKGIRAIHRRYFQSFVDFGALHDDDDEPLEPANMDAPGMLSLNVDSDDWLHLELHRLDLGRGGRLVGILPANILQEGVVVVMPSLRKGGGVDVFVALWEKYAKEFTDIAYSLRPKL >LPERR11G02040.1 pep chromosome:Lperr_V1.4:11:1346592:1349075:-1 gene:LPERR11G02040 transcript:LPERR11G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLPRITPLPRHRRRNRNRNHSPNQLITPAVAASLADVLATRSTNPTWARSLAALLPSPLSDARLASAVSSLPDPDLALALLSWSQSPDHHNHGALHPGATPLAHSALLRLLARSRRFDAVDGTLRSMSLAGAAPTRASLGALVAAYADAGVLGKATEMCERVRELYCSLPEVTHCNRLLRLLVEQRRWGDARKLYDEMLGEENGADNYSTCVLVRGLCLEGRVEEGLRLIEARWGSGCIPHIVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTDVTYGSLINWLGKKGDLGKIGSLFLEMRGRGLSPNVQIYNSVIDALCKCRSAKQALTVLKQMFASGCDPDIVTFNTLISGLCHEGHVRVAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMTASDLLVEMMDRGHTPDVVTFGALIHGLVVNGKVSEALIVREKMTERQVLPDANIYNVLISGLCKKHMLPAAKNILEEMLGQNVQPDEFVYATLIDGFIRSDNLGDARKIFEFMQQKGARPDIVSCNAMIKGYCQFGMMSEAIQCMSNMRKVGCIPDEFTYTTVISGYAKQGNVNGALRWLCDMIKSKCKPNVVTYSSLINGYSKIGDTDTAEGLFANMQAEGLFPNVITYTILIGSLFKKDKVLRAALYFEKMLLNHCSPNDVTLHYLVNGLTNSTPCVINSICCNTGEVHDKSALLVVFKKLVLHIGDPRNSAYNAIIFSLCRHHMLREALDFNNRMTKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEYRQEDFEMIFRYKILFDQYVAESVCFEVSRVLQQYLEGKSLQQMEQKFANS >LPERR11G02050.1 pep chromosome:Lperr_V1.4:11:1349641:1351310:-1 gene:LPERR11G02050 transcript:LPERR11G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTVFPSSPAAAPRCLRPRLSSTLLRPSPLPASIRYRPVPPACCSCGSAVTMADWAGTGTHAVSRREPEGERFVEVVVVRHGETSWNASRIVQGQMDPELNEIGRRQAVVVARRLSREARPAAVYSSDLKRAAETAEIIAKACGVSNLLLNEALRERHMGHLQGLKWDDAVNENPGVFKGFDIFEIKKDLDFDERNHELPGGGESLNQLSERCVSYLSKVAQDQSRLAYQIFMYYSLLFMENSEPEDVYPDVASTGERVIVVSHGAAIMELWRHTDPPNNSVRRKIPNTSLNIFRISSATGQWILEKCGDVAHLSQNDFLENAFGGDGASA >LPERR11G02050.2 pep chromosome:Lperr_V1.4:11:1349641:1351310:-1 gene:LPERR11G02050 transcript:LPERR11G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTVFPSSPAAAPRCLRPRLSSTLLRPSPLPASIRYRPVPPACCSCGSAVTMADWAGTGTHAVSRREPEGERFVEVVVVRHGETSWNASRIVQGQMDPELNEIGRRQAVVVARRLSREARPAAVYSSDLKRAAETAEIIAKACGVSNLLLNEALRERHMGHLQGLKWDDAVNENPGVFKGFDIFEIKKDLDFDERNHELPGGGESLNQLSERCVSYLSKVAQDQRERVIVVSHGAAIMELWRHTDPPNNSVRRKIPNTSLNIFRISSATGQWILEKCGDVAHLSQNDFLENAFGGDGASA >LPERR11G02060.1 pep chromosome:Lperr_V1.4:11:1351905:1353455:-1 gene:LPERR11G02060 transcript:LPERR11G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTLSDRATHMNMIPPPASSPSSHGKDFVEVVVVRHGETSANTLRIIQGQMDVELNETGRQQAVMVARRLSREAKPSAVYSSDLKRAAETAQTIATACNVSNLVLNPALRERHMGDLHGLKFDDAIRSKPDAWKAFSSDDRSQEIPGVGRALINWERVIVVSHEASIEELCWHADHPTNSARRRIPNTSICIFHISATNGHWTLERFGDIAHLNEDGFLQHAFGGDGASA >LPERR11G02060.2 pep chromosome:Lperr_V1.4:11:1351905:1353455:-1 gene:LPERR11G02060 transcript:LPERR11G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTLSDRATHMNMIPPPASSPSSHGKDFVEVVVVRHGETSANTLRIIQGQMDVELNETGRQQAVMVARRLSREAKPSAVYSSDLKRAAETAQTIATACNVSNLVLNPALRERHMGDLHGLKFDDAIRSKPDAWKAFSSDDRSQEIPGVGRALINCLSAASIEELCWHADHPTNSARRRIPNTSICIFHISATNGHWTLERFGDIAHLNEDGFLQHAFGGDGASA >LPERR11G02070.1 pep chromosome:Lperr_V1.4:11:1354663:1356312:1 gene:LPERR11G02070 transcript:LPERR11G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATESCHSRSLSWLVKSCIPADPSGHIAVPIPIPPLSPTPQPPTPPSSPPASPISALPDDLLLDCLARVPRSSLPPLPANQFRSASSRHPPLNTISGLNSVTPFQCIRRSAVRSCATAQLQACNPTFLSPFLPFAHAGMRASISLAPASSPAATFAPGAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAAVLLVDEHDAAPASSAIVVLGLDGHAIDALYPKFVHSVGGVDDGACAGAQCAICLGEFAAGDALRRGPGCGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >LPERR11G02080.1 pep chromosome:Lperr_V1.4:11:1357537:1358607:1 gene:LPERR11G02080 transcript:LPERR11G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTVETTDPSKTVIEEVTGWLRLYSDGTVERLTPPGAEPFTAIIQPYTEPHNGVTVHDITTNGGVHVRLYLHEPPVADAPRRRRAILVHFHGGGFCLSRPSWALYHNFYAPLVSKLDVAGIVSVFLPLAPEHRLPAAIDAGHAALLWLRDVAACNKDGNNGDHVDPALGRLRDEADFSRVFLIGDSSGGNLVHLVAASVAKAGTTALHPVRIAGGVLLNPGFAKEEKSQSDLENPPSLFLTEEMVDKLLALGVPVGMNKDSPYTSPVLAAEAVACLKMPPMLLMVAEKDLLHDPQVEYSEAMVRVGKTVETVVSSGSVAHIFYLNFFAVESDPLTAERTGELIDAIRTFINKR >LPERR11G02090.1 pep chromosome:Lperr_V1.4:11:1361483:1362586:1 gene:LPERR11G02090 transcript:LPERR11G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPQTAATSEQQDATKPPRTLVESVTNWIHVYSDGSVDRLGPPEAAPFMSIVPPYDEPRDGVTVHDVATDRGVDVRLYLTATATPPSGRRRRRPVLLHFHGGAFCVSHAAWALYHNFYVRLAVELDVAGVVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASSSSGDSVARHPAAVEHLRDAADFSRVFLIGDSAGGVLVHNVAACASAAGDGAETLDPIRLAGGVLLHPGFITPEKTRSELENPPTPFMTQETVDKFVMLALPAGATSRDHPYTSPAAAVRAAEAVKLPPMLVAVAEEDLLYDAQVEYGEVMARAGKEVETVVSRGRGIGHVFYLNWFAVESDPVASARARELVDAMKSFVDRH >LPERR11G02100.1 pep chromosome:Lperr_V1.4:11:1362689:1363291:1 gene:LPERR11G02100 transcript:LPERR11G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVFDRVTPSLIHHADLYGGEPNDLAGMFAPVVRCENGDRFFFTSCKRQNGSERKAGDGTWVWLNNMEVKNEEGVKVGEMQSFRFMKYGRYTEWVMEEHPCAVQQAKATDEEPVICRMYMSPMLD >LPERR11G02110.1 pep chromosome:Lperr_V1.4:11:1364809:1366823:-1 gene:LPERR11G02110 transcript:LPERR11G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELALEKTYDAKAGIGMPDL >LPERR11G02120.1 pep chromosome:Lperr_V1.4:11:1367704:1368864:1 gene:LPERR11G02120 transcript:LPERR11G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGDDDVAKPPSTTAAMDVASPPPSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCATLAVALVRVVGVVAVPTSPPMTPRLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDTFRRASMLNMLGISAGVAVAAYGEARFDAFGVALQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAAAGGAGVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDAVTAVNLVGYGIAFLGVAYYNHAKLQGLKAREAERKAAASSMATAKEGDAEASARLLPEKDGGGDQKN >LPERR11G02130.1 pep chromosome:Lperr_V1.4:11:1374120:1380097:1 gene:LPERR11G02130 transcript:LPERR11G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDDAASANSIEPAKSEEHLEFDDDEEEVEEEEIEYEEIEEEVEEEEEVEEDDDVMEEVEEVDDEEEEESDENEDESNTKGAHQKDDTEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVAFRTRGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPNSWTEDDFRKAVEEVGPGVVKADLMKVSSSNRNRGYGFVEFYNHACAEYARQKMSSPTFKLDSNAPTVSWADPKNNDSTSTSQVKSVYVKNLPKNVTQAQLKKLFEHHGEITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDEKVSLPTTTGAPLLPSYPPLGYGIMSTPSAYGAVTTSTAQPMLYAPGAPPGAAMVPMLLPDGRLVYVVQQPGGQLPLASPPPQQVGRHSGSFSGRHHGDSGSGGRHGGGSGGSGSSRPASSIRHRMIASTNLNRGRCLCCACAASRRTVCSGEPPIGRRRRTPATPLSGGPGSSSDDDSCGGNKDEPKKTRKPLGNREAVRKYRQKKKAHTAHLEEEVKKLHAINQQLVKKLQGQAALEAEVMRLRTLLVDVRAKIDGALGSYPFQSQCGDANVSSCDGMSQCFAGKPGLGVNQNCAPSVVNCHISPDSGQNLVVPHALSPSDAVGSFMVSSNARAE >LPERR11G02130.2 pep chromosome:Lperr_V1.4:11:1374700:1380097:1 gene:LPERR11G02130 transcript:LPERR11G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDDAASANSIEPAKSEEHLEFDDDEEEVEEEEIEYEEIEEEVEEEEEVEEDDDVMEEVEEVDDEEEEESDENEDESNTKGAHQKDDTEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVAFRTRGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPNSWTEDDFRKAVEEVGPGVVKADLMKVSSSNRNRGYGFVEFYNHACAEYARQKMSSPTFKLDSNAPTVSWADPKNNDSTSTSQVKSVYVKNLPKNVTQAQLKKLFEHHGEITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDEKVSLPTTTGAPLLPSYPPLGYGIMSTPSAYGAVTTSTAQPMLYAPGAPPGAAMVPMLLPDGRLVYVVQQPGGQLPLASPPPQQVGRHSGSFSGRHHGDSGSGGRHGGGSGGSGSSRPASSIRHRMIASTNLNRGRCLCCACAASRRTVCSGEPPIGRRRRTPATPLSGGPGSSSDDDSCGGNKDEPKKTRKPLGNREAVRKYRQKKKAHTAHLEEEVKKLHAINQQLVKKLQGQAALEAEVMRLRTLLVDVRAKIDGALGSYPFQSQCGDANVSSCDGMSQCFAGKPGLGVNQNCAPSVVNCHISPDSGQNLVVPHALSPSDAVGSFMVSSNARAE >LPERR11G02140.1 pep chromosome:Lperr_V1.4:11:1380450:1380647:-1 gene:LPERR11G02140 transcript:LPERR11G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKLVIARVLLVVFLVSHLEVATAQYGGSSSNGAAGPMAAGGGCSVAVAAAVLAIAAFVWN >LPERR11G02150.1 pep chromosome:Lperr_V1.4:11:1388160:1392261:-1 gene:LPERR11G02150 transcript:LPERR11G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLYRGYFGQAEAGQDGALFSQAALVLLVVVLVSHIEVATAQYGGSSSNGATATGPMAAGGSGSLAIAAAVLAVAAFVWN >LPERR11G02160.1 pep chromosome:Lperr_V1.4:11:1393573:1395625:-1 gene:LPERR11G02160 transcript:LPERR11G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRLATSAFRRGANDGGVLAAVRAEIAHELSSSSPSPSLSLSNPRYPPSHSPPIQRRYLIPPLWFSQDIPDFTTVSDAPRGQEVILRRRDASEEVLVSALLAPLQFQGGEEPLPSPRDALMKVFVSKPGVKPVLQFDCRAFEGEGDGDAAAAADYDVTAVRYHSFAGDAGGDKYEGPEFRVLDPQLQVALKVHLLARGVNSKLASSLLHHLVEKERWQYVNWLKTSEEIFSKDH >LPERR11G02170.1 pep chromosome:Lperr_V1.4:11:1396775:1403279:1 gene:LPERR11G02170 transcript:LPERR11G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDKYLQEHFDLPAKNPSEEAQRRWRKAVSIVKNRRRRFRWVPDLDRRTLDKDKVRSTQEKIRVALYVQQAALIFSDDELALITSKHDLKGLKMHGGVDGISKKVRSTFDRGICASDLDTRQNIYGVNRYEEKPSRSFWMFVWDALQDMTLIILIVCALLSIAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFAVLTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIADVSKSVTGNNNLEDISSVVSSSTLSLLLQGIFENTSAEVVKEKDCKQTVLGTPTERAILEYGLSLEGDRGAENRSCAKVKVEPFNSDKKKMAVLVSLPNGASRWFSKGASEIVLQMCDMVVDGDGNGIPLSEAKRKNILDTINSFASDALRTLCLAYREVDEIDDNADSPTSGFTLVAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTDDGIAIDGPDFRSKSPEEMRDLIPKIEVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMLLNIKGPDSKTIINTLIFNSFVFCQVFNEINSREMQKINVFRGILSNWLFIAIIAVTVAFQVVIIEFLGTFASTVPLNWQHWLVSVGLGSISLIVGVILKCIPVGSGENSATPNGYRPLGNGPDDI >LPERR11G02170.2 pep chromosome:Lperr_V1.4:11:1396775:1403279:1 gene:LPERR11G02170 transcript:LPERR11G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDKYLQEHFDLPAKNPSEEAQRRWRKAVSIVKNRRRRFRWVPDLDRRTLDKDKVRSTQEKIRVALYVQQAALIFSDGAKKKEYKLTEDIIKAGYSINPDELALITSKHDLKGLKMHGGVDGISKKVRSTFDRGICASDLDTRQNIYGVNRYEEKPSRSFWMFVWDALQDMTLIILIVCALLSIAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFAVLTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIADVSKSVTGNNNLEDISSVVSSSTLSLLLQGIFENTSAEVVKEKDCKQTVLGTPTERAILEYGLSLEGDRGAENRSCAKVKVEPFNSDKKKMAVLVSLPNGASRWFSKGASEIVLQMCDMVVDGDGNGIPLSEAKRKNILDTINSFASDALRTLCLAYREVDEIDDNADSPTSGFTLVAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTDDGIAIDGPDFRSKSPEEMRDLIPKIEVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMWRNIMGQSLYQLVVLGALMFGGERLLNIKGPDSKTIINTLIFNSFVFCQVFNEINSREMQKINVFRGILSNWLFIAIIAVTVAFQVVIIEFLGTFASTVPLNWQHWLVSVGLGSISLIVGVILKCIPVGSGENSATPNGYRPLGNGPDDI >LPERR11G02180.1 pep chromosome:Lperr_V1.4:11:1402932:1404119:-1 gene:LPERR11G02180 transcript:LPERR11G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVPPGFRFEPTHEELISDYLNHWITGRPIEELHGIVVKADVYGTDPGTLTQAHEEYAHVGKTTKAWYFLSVAKWKGNKRGNAGRNNRCIDGDLGNWHNSQRRKAVHGFGERQAFEYRTGEHNKDKTEWLMEELMSNLPAAITDEGTMVICKVYKSPRKNKGDENVVGKKRLRLGQQHESAADETSDQAATALTEFYCPTTTHTSGDASNNVNYNDYSADFATIKPEDYDNNNYGNGNGNFANNTQAAEPSYDADCYNDFLMNTDMAESGYDAGYYGEAGIGAGAEMVPLAMQSSNGEMTYFVVDTMYGQGNGVISNEEARQESEMPLAIQDSNGENTNFAPMYGHDHGVGGSNEEMRQESRVEDDPSMDAFLDSLFADVGNDNPNPNLDGHP >LPERR11G02190.1 pep chromosome:Lperr_V1.4:11:1405872:1407984:-1 gene:LPERR11G02190 transcript:LPERR11G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFISVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYETFMLTVLPFLIA >LPERR11G02200.1 pep chromosome:Lperr_V1.4:11:1409992:1411156:1 gene:LPERR11G02200 transcript:LPERR11G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKMDGPLVSMATSPPHAPLGCLAAEASTAVQRRAVHQRTAPRPRNGRRGEGMRAAPHPSCRRFIHGRAEDVLQRAGARARRHRPRRRVHRHRTLQAPPRPQHPHRRKEPGERSIFGI >LPERR11G02210.1 pep chromosome:Lperr_V1.4:11:1418316:1419681:1 gene:LPERR11G02210 transcript:LPERR11G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQAQMRLQPSAAEEDADLPLPALFEKASHLHSLASSSSLDQEGVRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEKVAQEDRIPVLKASQDHLKEFISICEALELIPEDELELARQKQPDTMANRRAQKVARFKCQKAAETKLLEIKERKERRCRSLRAAALSAPIEAGEEDAFEDDGEEEREVSSRYLKTFM >LPERR11G02220.1 pep chromosome:Lperr_V1.4:11:1420346:1421347:-1 gene:LPERR11G02220 transcript:LPERR11G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATEEVDGATTHKEIYDQLRQVVETFPTTLSCMGLYCRHPDGWYQSRKVVLSAMVIKRHLTARATDIFLVTFPKSGTTWIKALLYSALHHGTDELAVRNPHQLVPFLESQLFINDRIPNLSSLPAPRLLMTHIPSQSLPDSVTASGSKVVYLCRDPNDCFISLWHFWNRFVQWDINVALQEFCDGVTLFGSYWEHVLGYWKWHIERPSQVLFLTYEELAADTLGQLRRLAEFVGQPFTVEEQEAGVDRKIVEACTIENLSGLEVNRSGTTNFTEKGVPNNIFFRRGVVGDWRNHLTPEMAKKIDVITESNKFQESGLLLHPQFLQAKAE >LPERR11G02230.1 pep chromosome:Lperr_V1.4:11:1422791:1424746:-1 gene:LPERR11G02230 transcript:LPERR11G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFHLAITSNATTIMEWASSQPRSPHNLFHLDNYMSNSPSHTRSTSSTVGCGQAKPSALIDYEELAVDMQGQVRLLVEFVGRAFTVEQNSGALHGTQPPPPPVTFSDDDGHRWSALHPSSLAPTLSPTFGG >LPERR11G02240.1 pep chromosome:Lperr_V1.4:11:1427029:1427580:1 gene:LPERR11G02240 transcript:LPERR11G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVHLFSSPSKREDKVKIKKKISGGNSCSFGSTTSSSSSSDESATTPRSVLPTSMASSSGTKKPAAVTREDLEVALRRIVSSEEELAAMLAVAEEDQDGLVLEEIATAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFSRMMMQGRA >LPERR11G02250.1 pep chromosome:Lperr_V1.4:11:1429434:1429974:1 gene:LPERR11G02250 transcript:LPERR11G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAGSTKRSPPAATPTRHRAASYASRRRKTHAPRRRASPRTAPPTRSSAAASRPPMRRSDPHPLQPGRLHPRRPLPRPLVPLPPLRPLPRHHAADLLPEQNTLDARALRRRQRWASLQAVEQRRVVVERLVESLTERDAVVARLLFRHHHDLWGKRPHR >LPERR11G02260.1 pep chromosome:Lperr_V1.4:11:1429479:1434302:-1 gene:LPERR11G02260 transcript:LPERR11G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGLWAHHQGSVDHLAQLVPLLHECASHVTEGSFEKADFTFKKIRMLTFIADGPLQRLSTIIVDSLAHRLLFSIQGLSGALIDPFDYIEQTIVQAARYNFFNLNPFLSTGFVTINRAIMEAMEDEKWVKILQDFHGRPGGPPELYLTVLHDDHEFLANMQTLLSKKAESLEVPFRFISVKGRLETLDLSNLRDTFQIKFGGAVAISCALQLHHLLLVDDNLSSTSIAQLQQMANFTQLKQMASTVCSPASTLNYLQTPSPHTPKLLARLLSVIRALKPNIMVIMEQDANHNTLLFRDRFNEVLNYYAALFDSFHAVAAANPQRVDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKDCQNKEDRGCLLLCWGSTNLYSISAWRPNKGSASGSREHMLVQRQILWPAQICLAAQGFVWTRNADFGAISAPFDPAFCSRLGAEEIDPKIQLRLCCTNRSMFQDDMLSSATSSPASSSVYSPSFSPSNGSWVQELSHDHQSVRLISLLYQCSAEVAAGSFDRANLCLEHITQLSSLDAPHALQRLAAVFADALARKLLNLVPGLSRALLSSSSATNSADGAHLVTAARRHMFDALPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFCGRREGPPHLRITAVHESKEFLANMAAVLSKEAEAFDIAFQFNAVEASLDEMDDFDALRRVLGVRSGEALAVSVVLQLHRLLAAADDNNGGARRHALTPLQIIARSSPRSFGELLERELNTRLQLSPDTSPHSPLATSTTAPKLGSFLSSVRSLSPKIMVVTEQEASHNGVAFSERFDEALNYYASLFDCLQRSPALSPAERARVERVLLGEEIRGVVAREGAERRERHERARQWAARMEAAGLERVGISYSAALEAGKLLQSCGWAGPYEVRHDAAGHGFFFFCWHKKPLYAVSAWRPAATASCCRHTRS >LPERR11G02270.1 pep chromosome:Lperr_V1.4:11:1430514:1431305:1 gene:LPERR11G02270 transcript:LPERR11G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVVRYASFRNGSASNMWRRAAVTRCAPSAEFVADDDDSSARERPGTRLRSLRASASANTAARRCSACGASRDESCVMCSRQRLARSNEPAATSAEHWYRRLISRTLWWSWLSSCTHDPFDGENDGEYTDDDAGDDVADDSMSSWNMLRYMSKTNVARSGVPVRRSCHGTRKGQTRSGCIFGWN >LPERR11G02280.1 pep chromosome:Lperr_V1.4:11:1435475:1436575:-1 gene:LPERR11G02280 transcript:LPERR11G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELISHHYETPAPLARFLSAVRSLSPKIVVAAEQDADHNGVSFHKRFRKAIHHYAAVFDSLDAASHLLPADELARVERVVVGEEMKGVLLREGAPRRERHDRLRHWAYRMEMAGFAGVPLSYVAIRKGNDMYWAKSGSISCHRKIGIHPFQDGPILMGSKPKSPNGPLLGKAFSPRRGTTTLLRHVNRVGRLAAAAAAASAIHRTTPLLFSRSRRQAA >LPERR11G02290.1 pep chromosome:Lperr_V1.4:11:1444357:1447750:1 gene:LPERR11G02290 transcript:LPERR11G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPTSTGGGGSGGGSGGGGAGRGGGGGGGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAANANAPRSPFQLTHHYLPINAASSAADRDNKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHIAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGGAPGAGGGDGGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEIAAAMAAGEARKGDNGRASPSAMYFPNGGFA >LPERR11G02300.1 pep chromosome:Lperr_V1.4:11:1453446:1454067:1 gene:LPERR11G02300 transcript:LPERR11G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLVVLAAAVAVAAAFCLSSSSSPASPRDDDVQVLEIGERDVELITVDGGAVGPESIVFDANGEGPYTGVSDGRVLKWLPQESRWVEHSSAASDPQLSKYS >LPERR11G02310.1 pep chromosome:Lperr_V1.4:11:1456319:1458907:1 gene:LPERR11G02310 transcript:LPERR11G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLVLAVFAAAAILSLDSRSDVSLLEIRDGDVELIPLLDGAAGPESIVFDDGGEGPYTSVSDGRILKWLPPPESRWMEHSSSVPELLDSCRGSKDTKREIECGRPLGLKFNSKTGELYVADAYLGLRVVTTGGNVSRPLVPERQSGPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAISDDGSYLLLAETTTGKILRYWLKTAKASTIEEVVQLTGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILMLPAQRIQRISSFLTGFGRQVIALRLSDDGKTIESISVHGAARKVFKSISEVEERDGNLWIGSVLSPFLGLYRL >LPERR11G02320.1 pep chromosome:Lperr_V1.4:11:1460619:1463397:1 gene:LPERR11G02320 transcript:LPERR11G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLLSSPKPCSSSSSATHTSTPRHPPLPSSFRVAPAAPRFSHGLAAFAAATNPSASSRRHRAVALARPVRASMAGPARRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLSRLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDIEFSPEDAGRSNREFLYQILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRYHAHMHSPI >LPERR11G02330.1 pep chromosome:Lperr_V1.4:11:1467015:1469936:-1 gene:LPERR11G02330 transcript:LPERR11G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPLLLAAVLVAAVALRWLIGGRHLEKRARMPPGSTGLPLIGETLRLIAAYKTPNPEPFIDERLARHGGVFTTHVFGERTVFSADAAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHRRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPASSVRLLDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPFAYLLPFTTYGQALKARKKVAGALREVIKGRMEEKAENGGSVGDDEGKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRNMKGKNQPLEWSDYKSMPVTQCVINETLRVGNIISGVFRRANTDILYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEASEEDRLVFFPTTRTLKGYPINIRQLSESIC >LPERR11G02340.1 pep chromosome:Lperr_V1.4:11:1474642:1474950:1 gene:LPERR11G02340 transcript:LPERR11G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLSDRNDNECCSLVEDEALAMLEEKHASWEELEEAFRVFDGNSDGFISPVELQSVMRRLGLQQDSSHEECERMLKVFDKDGDGMINFDEFKVMMEGTV >LPERR11G02350.1 pep chromosome:Lperr_V1.4:11:1475856:1476254:1 gene:LPERR11G02350 transcript:LPERR11G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDAAGPGCDGLKKIPAWMLRMTMTEAKKAGYIKSDAAAKADDAMDMARLEHALPCGGDLHQPRVAGETTAGRWWCALCLEDFEEGDEPKTMPCSHAFHPLCILGVLRIDPFCPECQSALPPLEEKPSTQ >LPERR11G02360.1 pep chromosome:Lperr_V1.4:11:1477329:1477835:1 gene:LPERR11G02360 transcript:LPERR11G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKRSERDQDEVEREYPRLKFLRQREAFLANKEERQRRRREEYAAMVARQELEDLALEPILRAMAAPERTSVSSAEATVALHQPQVGETREKRCVVCMKDFVAGGDKLRMMPCSHSFHQTCIFDWLYVSLHCPICRFAMPPSDEQRALEERLARAGDASPEHAVVD >LPERR11G02370.1 pep chromosome:Lperr_V1.4:11:1478371:1479469:1 gene:LPERR11G02370 transcript:LPERR11G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRMKPDGDGVGESTVEFANPSDDTTADFAKPVYLVAAREVEQKAQYSVLKIDAGAGAGDVRTVAELPCDERGILRASTIIFDPSTLKTFHGPRLGYPKHKPVLISQGTEVYAISGRPKVKPYMDCEPWFECLSFKDGIPSKECGRWVPWRHLPPPPFFPCFVDPHEFRHPPEISISSYAAVGSYIVLSPQPALVVGTYAFHVVNKTWDKVHDKNLPFLGQAVPLGESLFAACPISNNSIAASTSASVFHMSINFSAVSTPSLSIQEFKVVTSEDNVPWPLFCPMGKGSFCCIRLGRSLLDLIRWIHLNLIIELQTHTKIQIISQGDECSYTGMSGKVTG >LPERR11G02380.1 pep chromosome:Lperr_V1.4:11:1482259:1482737:1 gene:LPERR11G02380 transcript:LPERR11G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVNRVHSTQDFQRSRRRHSHKSNYLKDVKVILTSFWIKNIEAIMTNCQSHGGKAKDLLRALQMKEQSHICESKGLLGLLDSIIPVVAALFM >LPERR11G02390.1 pep chromosome:Lperr_V1.4:11:1485737:1488658:1 gene:LPERR11G02390 transcript:LPERR11G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAGGGSTRAAMAGSDTGGGRSSISRPRWRVDARPAAGAGATRRRRRGEGDCVSIWRGGRARRRLLGRWRSVTSGGGRRRAEELRRRRLWRSSGALAKEERALTERRRRRRRSGFGSSGRFCRTSWIRTRIFEVYSPFRNQPIPLILKPNTQKMGPTQLNPLHPSNQTHP >LPERR11G02400.1 pep chromosome:Lperr_V1.4:11:1487732:1488511:-1 gene:LPERR11G02400 transcript:LPERR11G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEREHDQIQAGDLGIVGRGGDSNEGRGQFAYLVAYSKPYAVYSVGIAATSSPSQRTKRKRLRRIARLPTAAGGKTFTSVRSIHRAWIVGVGGDPGDTIIFDTRTEEVIHGPILNSTKWCPILMAVGDKPWGTYAFDTNSLDPYEWHKVDDKRLPFIDRATPH >LPERR11G02410.1 pep chromosome:Lperr_V1.4:11:1489554:1492214:1 gene:LPERR11G02410 transcript:LPERR11G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDANAEPRAPAPATSPAAEEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNDVKHHHLAGDPVLQATYPRRYKAFFYCNATSFVASVVIVNLLLVRYLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREVAMSAYIVVLVILVCSYVSAHVLVYGLTAAGQTSSPDAPESVERARKYLLIFATLAATVAYQAGLSTPGGFWHGTQAGSHVAGDPMLRGKHPYRFMVFFYFNTTAFVASLVTIILLMSKTVTRHGFRSSALWVCVAATMVGLVGAFAAGSCRSFKTSVYVIALVVAVLLYIFIQAMVFFSEPVKNWLHRAGQRLQSFLKLDELEQRNRQQITQFDAYIILKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGHRNYLAGDPILHITYHRRYMVFFYCNATAFIASLVILILLLSNTITTQGIKYCALQVAMILDLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYIGIHVLVFMLDVSPTWATWRGRVREKLQQCVPKWLEKLLALEAHVDDDHEEEWRLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEGKSGHVGDPVLNNGYYRRRYLAFFFCNATAFVASLAIIMLLVNRKLSTGGIRSYALRVCVILDLVGLMGAFAAGSCRKVKTSIYVFVLVFAVLLCIAFQVALVVSKSLRNLTNKLLSKLGAAIEDDAAGERLPHTAGDGDGQPRDLWDEKLPKYLLLIGALAAAVTYQAAMNPPGGLWDDGQTAHIAGDPVLLSSYPRRYKVFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKRVMDKVGEKLAHCFSFDDL >LPERR11G02420.1 pep chromosome:Lperr_V1.4:11:1492402:1496365:-1 gene:LPERR11G02420 transcript:LPERR11G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRRHAHRGGGGDGDGTHAEATVAARLLRQRRWRLVFSSDGGGGGETPAYLGDTFAGLWANNLRHGRGTQAYDNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGCGTVIWADGDRYDGAWENAKPMGQGTFRWADGGMYIGLWCQECGETHAKGVYYPPSGGPAVPVPREPKEAITKLLEELEVCEGKTVSLLPSHKILTWPGVEPVTKKPVWRPPEVGADQGRRSSVNRRSSVSCDLDGCLGEAEKGEETRSDRSWLRTPSCMRAPAPPRPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMISICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWFKDFCRQVDKDCEFLEQERIMDYNSSSADNETPQTAPEDEDKRKAPIKLGISMPSRVENVVKNPDSESQLIGEPTGEFREVVLFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFTEDVQNYNIVKKDM >LPERR11G02430.1 pep chromosome:Lperr_V1.4:11:1498175:1499434:1 gene:LPERR11G02430 transcript:LPERR11G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRDTELSRRVLTNVRPDAFHTIAHPFGKKLFGEHNLFYTFGDEHKDLRRRIAPNFTRRALSTYAIVQQRVILAHLRRWLATDNDMAIPLRVPCRDMNLETSQAVFVGPYLTDEARERFDRDYNLFNVGLMTLPVDLPGFAFRRARQAVTRLTRILGDCARQSKERMRGGGEPECLVDYWMQETVTEIDEAAAAGMPPPPHTSDAEIGGILFDFLFAAQDASTSSLCWVVCALDSHPDVLARVRADVASLWSPNSGKPITAEMMMEMKYTQAVAREVVRYRPPATLVPHIAGEAFQLTENYTIPKGALVFPSVYESSFQGFTDAEAFDPERFFSEERREDVVYTRNFLAFGVGPHLCVGQRYALNHLVLFVALFATLVDFRRHRTDGCDVPVYTPTIAPRDGCAVYLKPRCAKLPSF >LPERR11G02440.1 pep chromosome:Lperr_V1.4:11:1508932:1514278:-1 gene:LPERR11G02440 transcript:LPERR11G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPSPEADRRMDASTIAISLPSDFPPIQSSISDIFGFPFPQYTWMIGNQKRTCWLKRPKKSLHEHIIMQRQRRGFDSVPASNTEMADLQEMVATIRGTANVLINTNENTLLPLLPRKLQSWIGLRSAIFAHSTANIQNASNGPLSIPPAHFLVVAYGIQSHISPAQDLAHRLARINTTTSVMCTLSTHVAAHRRMFPSLASPDEETTDGIISYAPFSDGFGDKISKLTMLSDEERECSRRASFDSLSSVISRFPARGRPVTCIVCTMAMPPVVDVARKLGIPVVVFWNQPATVLAAYYHYYHGYKELFCSHASDPSYEVILPGMQPLCIGSLPSFLVDVTNSRLSSMVIEEFQELFEFMDREKPKVLVNTMNVLEAATLTALQPYFHEVFVVGHLAAMSTKARIHLFRHDKKSYMEWFDSHPERSVVYISFGSILTYSRRQRRYYMACRNVGGHSKDGCEEDLSYLVGNINDKQGMVIEWCDQLDVLAHPSIGCFVTHCGWNSTLESLALSVPMVAIPNWSDQPTIAYLVEKKWRVGTRVHRNEEGVMDGKELTKGVEFVMGDNTVATEIRERANALKQKIHQESITAEISKENLQIFTNTFVILALPPIYLDDWQPEAHLLVEVSQKIGIIDASSSTMADLHEVVERDARDRQCVVCLENFEEGEILTRIPCSHCFHQNCIVDWLEISHLCLFCRFSLPNSNSQ >LPERR11G02450.1 pep chromosome:Lperr_V1.4:11:1516470:1516691:1 gene:LPERR11G02450 transcript:LPERR11G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >LPERR11G02460.1 pep chromosome:Lperr_V1.4:11:1519923:1523207:1 gene:LPERR11G02460 transcript:LPERR11G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAGDAVAAPPSPPPPALQPPLQPPPPDTPISDATQSAAETPNLPDTPASFSADPDTPFSDAPLADASDADASAVAPLGGAIKHMTLAPPAPPSKKSKKKNINSVWTRPTSRKGKKKSKQPSNALPIGGSSGGRLPKPSTGEADELILTPAPRSAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTRGYRMVRATRGVAAGTWYFEVKVLHLGSTGHTRIGWATNNADLHAPVGFDVFGFGYRDIDGSKVHKSWRAKYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAETPKAAEQKTPDSVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTMPDEPNCQVRFNFGPNFEFFPEDFGGRSVPQAMSDVPYRPYELANEGPKENGTAEKII >LPERR11G02470.1 pep chromosome:Lperr_V1.4:11:1523926:1525838:-1 gene:LPERR11G02470 transcript:LPERR11G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELCSHSGTAHWPIRPKCPKRPTAWYSSNNHPNWKFPKSSSPATARWAMKLSATFRSTKIPRALPPKSGDTAAASGASASCAAVRPSAETAKGKGASGWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSKACEFESKWKNISRKMARKRTEPSMSSLLQHRDVALSKVKTHLDCRHLKIAWHSS >LPERR11G02470.2 pep chromosome:Lperr_V1.4:11:1523985:1525838:-1 gene:LPERR11G02470 transcript:LPERR11G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELCSHSGTAHWPIRPKCPKRPTAWYSSNNHVLKNKAQLEIPQVVVAGDGEVGDEALRYLPIHEDPPRSSSQIRRYCRRLWCLGLLRGRSSVGGDCEGEGSVGVVRLSYRFLPDPPHLRRRHHRLPSTPVNSNQNGRTSPVKWHGKGLNLVCPRCCSIVMWH >LPERR11G02480.1 pep chromosome:Lperr_V1.4:11:1527288:1528442:1 gene:LPERR11G02480 transcript:LPERR11G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSGARICYPSAPRGTRRRAAAVISVRSSLATSSAATRNPSSGMRMIGRQWTEIQGARDWDGLLSPLDGALRGELVRYGEFVRAAYASFDFDGGAAPWSYGSCRFPIGSLLRRSGLPETGYRVTRLLHAASNYIGYVAVCDDEDEIERLGRRDVVVAFRGTATCSEWVHNFKSSLTHIPSSHGEEEAMVESGFWRLFTTPGKAHDSLQQQVRGEVGRIVGEYGGDGMPPLSVTVTGHSLGAALAVLAAYEITTAAAASSDEAAAPPIMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDIVTKVPGFAADDGDCGDVPAAARRKTRVARWLVSKMGWAYSDVGCELRLCNEGTARNVVASHDLDLYLKLVAACTD >LPERR11G02490.1 pep chromosome:Lperr_V1.4:11:1528925:1534302:-1 gene:LPERR11G02490 transcript:LPERR11G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAQKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQSRATKEDQTVSFRTATAKSVYQWMIKPQSTMKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNGLASGDYDEVARPGQTNGSALKQKLQKDMPPPPPPRNNNFSGNEKQSVPIARADEDDIFVGDGVDYSVPNKEMSRSPVSEDMDESPHNHQKQSYFTEPLYGPVPPSEPAQAWPQPNGYDAIQAQMVASGYQGDWSGYQYGEQQMAYPEQYVQQSTQDYDVLADPNISQDPRLMTQADKDRGLGSVFKRDDGRLKQLREKDAREKDPNFISDSYSECYPGYQVYNHEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDEKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >LPERR11G02500.1 pep chromosome:Lperr_V1.4:11:1534910:1539967:-1 gene:LPERR11G02500 transcript:LPERR11G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMMDGDRIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRSLFGRFIHQSSASRLLLAPGTPNRVGESYSFRVGRAWRQRGQMQLRRSQSKMQP >LPERR11G02500.2 pep chromosome:Lperr_V1.4:11:1535843:1539967:-1 gene:LPERR11G02500 transcript:LPERR11G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMMDGDRIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTSGGIMDAKD >LPERR11G02500.3 pep chromosome:Lperr_V1.4:11:1535845:1539586:-1 gene:LPERR11G02500 transcript:LPERR11G02500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMMDGDRIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTSGGIMDAKD >LPERR11G02500.4 pep chromosome:Lperr_V1.4:11:1534912:1539586:-1 gene:LPERR11G02500 transcript:LPERR11G02500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMMDGDRIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRSLFGRFIHQSSASRLLLAPGTPNRVGESYSFRVGRAWRQRGQMQLRRSQSKMQP >LPERR11G02500.5 pep chromosome:Lperr_V1.4:11:1535845:1539586:-1 gene:LPERR11G02500 transcript:LPERR11G02500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMMDGDRIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTSGGIMDAKD >LPERR11G02510.1 pep chromosome:Lperr_V1.4:11:1540271:1542368:1 gene:LPERR11G02510 transcript:LPERR11G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGGGSDGLAAPAGRGSRKHELVPQLQFPSGIRFLPTDEELIDVYLRSKIEGRMPPLDVVNEVDIMRCDPEILIDAYKSYGEKRWFFFTVWEPSKTKKKGEPNRKVVVDDVEEGSWSATGSVTHIHSTKEMNRKAIIGTKRVLTYRSVRSPENEKWSMHEYVMAGKSQMGQYVVCAIQLKQTYEAEKKAAEEKSSTNKRNRKAARKGRRDMQPTSQSQDQEQQETPPPVHEETTGNLEFNYAPSMPMMFPEGGGEDTLLKSLFAAPFNDGIVQAVQQENYAVQEPMLYSQEPLPFANQPTITQWQCCCGNCPHCYLRQHSQRPENASVALGQQYDRAWVQNTVVYPNYNSLIPDGNMEDHAQNQIYNQVNGAVLIEETGDSTTVHGNLMLSEQMASSSDDVAGCDYEVGQSVYDTDISLYINDFFLDGNGDGDSVVKPDGSNQTSLQGSF >LPERR11G02520.1 pep chromosome:Lperr_V1.4:11:1543397:1551969:-1 gene:LPERR11G02520 transcript:LPERR11G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQRRLRAVSAHLQPPESTAGGVDLAANPTAGEYSDVQGYSAVLPEKLQTGKWNVYRNAKTPLRLINRFPGSPDIGTLHENFVYSVETFRDCRYLGTRVCADGTVGDYKWMTYGEASTNRTSIGSGLTYHGIPKGARVGLYFINRPEWIIVDHACSAYSYISVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSYPTTTGVEIITYSTLLSQGKTNSQPFQPPKPEDVATICYTSGTTGTPKGVVLSHANLIANVAGSSFVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYAAITNAVKESGGLKEKLFHAAYNAKKRAIMNGGNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGHVLEGYGMTETSCVITTMESDDRMIGHVGPPNPSCEIKLADVPEMNYTADDQPYPRGEICVRGPTIFCGYYKDEIQTRDVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFEKEIADMYAQLRETEPTKPKL >LPERR11G02530.1 pep chromosome:Lperr_V1.4:11:1554030:1558047:1 gene:LPERR11G02530 transcript:LPERR11G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPDPIDTLLQPAASDPLRHDPTAAPSTWIVRSLAAAARRLRTYVRVPRPLHPSEISYRLHSPPSCNFVLYFYMQVPHTPLSSTL >LPERR11G02540.1 pep chromosome:Lperr_V1.4:11:1560893:1564215:1 gene:LPERR11G02540 transcript:LPERR11G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRWSGMSKEEVLKIQTCVLKVNVHCHGCQKKVKKILRKIEGVYQIGMDAEQGKKLSKAGKPTELWGPKLGIMAVNSQFQNLHLDGGGNGGQPKDAGGKGQKGGSGGGSDEGKMIPPPQMPQLTPQQLQQLQMKELKLPEFMDDKMPPFAAAAATVPTKDPKSVKLNIPEDDFVDNGSEFDGEFNDDEDFHDDGLDDDYYDDPKMMKQMAMPPPNAGGGGDKKGGNNVARRQRQRQAEPGRWRRQWQEQWRAAKQRQGGGGGAANGGGNHPAQGKKGGGGGAVVAVGGPMGGMLAQQQAMMRPNMMGPAGVGFPGMGQIGGGPITMPQMAHHHPYTGNGAVQGMPLPVFYQGGGGGTVMPEMLQAATAAGNPMAQQQYMAMMRQQQQQHHQQQMMMNDHHGHGGGGAPAGYPAMGYGYGRPAMPYPMSYPMQPQPHEDLYNYFSDENTNGCSVM >LPERR11G02550.1 pep chromosome:Lperr_V1.4:11:1568415:1568825:1 gene:LPERR11G02550 transcript:LPERR11G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAWMVGNQEAWGLFLAPRPRLADADDARLFAYLRDIAGLDDDHNDDAYRDGGFGAVPAASEAIAGLMAASVDEASRERECAVCMEDFVAGGGGEQQLRKMPCSHCFHEGCIFDWLRVSGVCPLCRHRLPSEQS >LPERR11G02560.1 pep chromosome:Lperr_V1.4:11:1569669:1572757:-1 gene:LPERR11G02560 transcript:LPERR11G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTKASELVNRFLGAADPAVSVQLGDLGHLAYSHTNQSLLRPRSFAAKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNSIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVMEILISCQELEY >LPERR11G02570.1 pep chromosome:Lperr_V1.4:11:1578735:1581426:-1 gene:LPERR11G02570 transcript:LPERR11G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACAAVRADPPPGLLNYGAIQACVLGGDRRISLPALALLLLLHFRVLAAAAGSHFSPAVSRLASRLRLSPSMAAVTLLALGNGAPDAFASAAALAGAGGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPAPFARDVFFYLLAASGLFYIYLSAEIYLWQAVGLVLFYVFFVGLVVYMDLDAEGKAVSATELEVLNGAGRLVMDLPVTMEDRKQQHPTLCDMLNKHLPFHVTDFSSAPGSSFIPFDSRIVFLLPQSRFPLWSVVLFMSISLALSHFIFEKEAPVTENIASTTISFVMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQSARVYPKAYVLEFNVGIVVAFVFLLLSLMSTLLVVTWTRFRVPRFWGYCLMGLYILFTVVSIAIASSSR >LPERR11G02580.1 pep chromosome:Lperr_V1.4:11:1582819:1586784:1 gene:LPERR11G02580 transcript:LPERR11G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGSLSAAKRVVKRPMVEKARMVGLAAAVSAAAALALLLCAASLRCSAGVAVALRGGGVRPVRRGVGVGRQLPALRIEGLSLFGCWIPVLGERPPRRILLQVAVAAVALRSLQYGALLVPIEYRFRMHISLFRCSSDFGPFSRIPGEIGSEMRLTTTALWNCALRLETRCLMLPTGFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGSPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWMSDRGQWRDADVLIFNTGHWWNYEKTIRSGAYFQEGDAVKMDMTIGDAYKRSIQTLSGWLHSEVNSSKTQVIFRTYAPVHFRGGDWKTGGSCHLETRPDVIPIKSLEQWTDFLNPVNDVLGNNFRPKLLGLDILNVTQMTAQRKDGHVSVYLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKKQAMIDQNVPLVSTKTLKAG >LPERR11G02590.1 pep chromosome:Lperr_V1.4:11:1589454:1590431:-1 gene:LPERR11G02590 transcript:LPERR11G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDNPRISCLRGCRSLLARAAWFIMARIHPQAQPWWSNSMGQYNLLKSCMDEKNANMVCSMIAKIMGLVGAYDIWNNMRHVKHTKLTRETKDHIYQTIVAASLGQPLGTGESKGFLFRCPFEVALLTLHIWTDVLLHKVKKGISSINISGETQSLMDTCKVISDYMMYLLVAQPTILPISGNVQDLPAVASTKVKAIAASSKEQFLEARGSMGIDLFEDYDIPPWIDLFKVANVMLLEEGVVDDEASPGAHTVFETLARTWVRLLAYAAGKSSTYDHARRLSKGGELITFVWLFMVHQGLGDQGPHEVDLVPRVSEEGQSLRDR >LPERR11G02600.1 pep chromosome:Lperr_V1.4:11:1595165:1599802:1 gene:LPERR11G02600 transcript:LPERR11G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDADFDFGDFAFAPPATDPHPPPATFAAFDDDWGDPHPAAAAFDDDWGDFVDSRLGSSPDGESATPAEKPSSWEKPRGPLPLSLFGADDEEDEGPTESPPPPTATATDTVQQGVTHAASNGSKPGDLKDLIAGLYGSQPLSSSLDAVEVGTQEEEDGDGFGDDGWEFKAAPSSSDAGQDGGGQRTHGDIIEDIQKSMGSHQEDWSLFTGVDENLNHLQSTDHVGTRESTVQSVKALSYFPPNNAAILDLYKESEMIDAVHMMQSSSESVQSSSDMFSSTEMNSSFETDESHSIKSASDRILIDFYHRLRKESLTVISQYNEDFKGGKKNFMLSDEKNEVTEIEREIQEICEKLQDSLLTKDFCKDEQPSKDVCISELLNSAKEDHLKEFDQEYRLTETIAMALEDMSSAVELYKHSVSTLRTLELASKEEQYDYVRAWYSMLLCCAQELQHGAMLWQESCRANVDDTVISQGAHCFIALGEIYRVAQILHLSLQSFKPWVLADPGMLSKMLVCWNNCINSWTSGLGTALALVVDSSNSDAPVATVLLQSIINIDEIEVANLQSSPPSDRMTCKLTLLPTSLVPGMEVVIWDGDHYFVKVANLWANRISSNSPQFSATRVA >LPERR11G02600.2 pep chromosome:Lperr_V1.4:11:1595165:1599802:1 gene:LPERR11G02600 transcript:LPERR11G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDADFDFGDFAFAPPATDPHPPPATFAAFDDDWGDPHPAAAAFDDDWGDFVDSRLGSSPDGESATPAEKPSSWEKPRGPLPLSLFGADDEEDEGPTESPPPPTATATDTVQQGVTHAASNGSKPGDLKDLIAGLYGSQPLSSSLDAVEVGTQEEEDGDGFGDDGWEFKAAPSSSDAGQDGGGQRTHGDIIEDIQKSMGSHQEDWSLFTGVDENLNHLQSTDHVGTRESTVQSVKALSYFPPNNAAILDLYKESEMIDAVHMMQSSSESVQSSSDMFSSTEMNSSFETDESHSIKSASDRILIDFYHRLRKESLTVISQYNEDFKEICEKLQDSLLTKDFCKDEQPSKDVCISELLNSAKEDHLKEFDQEYRLTETIAMALEDMSSAVELYKHSVSTLRTLELASKEEQYDYVRAWYSMLLCCAQELQHGAMLWQESCRANVDDTVISQGAHCFIALGEIYRVAQILHLSLQSFKPWVLADPGMLSKMLVCWNNCINSWTSGLGTALALVVDSSNSDAPVATVLLQSIINIDEIEVANLQSSPPSDRMTCKLTLLPTSLVPGMEVVIWDGDHYFVKVANLWANRISSNSPQFSATRVA >LPERR11G02610.1 pep chromosome:Lperr_V1.4:11:1602585:1604690:-1 gene:LPERR11G02610 transcript:LPERR11G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLTKAQKKVRYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHAENTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAASAASKEEEKKEEPEEESDGDLGMSLFD >LPERR11G02610.2 pep chromosome:Lperr_V1.4:11:1602583:1604690:-1 gene:LPERR11G02610 transcript:LPERR11G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLTKAQKKVRYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHAENTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAASAASKEEEKKEEPEEESDGDLGMSLFD >LPERR11G02620.1 pep chromosome:Lperr_V1.4:11:1606512:1610262:-1 gene:LPERR11G02620 transcript:LPERR11G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRAIDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLVENGTVVLTPDQGQEASSELLPINFNGLAKAVKPGATIFVGQYLFTGSETTSVWLEVSEIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIRKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMVGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAALKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKMGDSSVVKIIELDD >LPERR11G02630.1 pep chromosome:Lperr_V1.4:11:1613738:1614538:-1 gene:LPERR11G02630 transcript:LPERR11G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHHLLLRPLLRGLHSTAPAMARPEPHEFSKPSEYLGSWEKPAGGDPREAWARLERLRKGYARDVKQLRRQYSYEMQLLEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEQDFRQALMKERAEKLESWREKEKLREQKKAEDRELLRKKSSLWVAESKLESTIMEAIRYTTAL >LPERR11G02640.1 pep chromosome:Lperr_V1.4:11:1616046:1623633:-1 gene:LPERR11G02640 transcript:LPERR11G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQGVSDRMLHDASPAAAIAPAPAEDDVREAEIGGDIAVAAAGEEPPAAAPSGSEAAGDGVIAVEHEHAAANPVSDTKMEVDEGEGGVVDAEQTAAPSPGEVKAEVNGGSTLDKGEYVVALACVPKEEKMEVCENGVPEQLHTVAAVASEVKIEGCEGGLVVDQQSSTPAGGGCQMKKEGECLVGRYISRSVTGHGRILLGKVASYDSSTGVYSVVFEDGQGEDFELAQLQLLLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPCTRQRVNESEVSTKPDESQQSGSGSDASEDVESSSNSSNHAKELPEEHCPPVQILELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSINCTTQNTLLDAVHVSLLRALRRHLETKSSEGSKLASNCLKYLDWALLDSLTWPAFLLEYLYLMGVIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVTDSEELKTELEDREGYSEEMEYEMDSSTSAEVGPRSISTRGSKASAYKKLNALENLENDQNGNNPEAAPAHASQDDNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQFFGIDMCGRSFLGCCNYLLVVGTSPDAEFCARYYNHLDVAKVLQILASSDAHIDICRRMTEYWSHLLDIFQNERSKIGKEVCGSLTPQSNILLTVTPVKANNGSVQATLKDGGDSKSAVLSQTNVCLENQFTTCSANSSEAFTQTPLAQNYVDNTYRNGAFGPSGTSSISHQSASMATAMPNITQAQPAHGLIRPDLCGSVIGNGTSRENIKSSSARKDLICPSYQSKPPVQLVTENMSSGKTAKFSSFRPQAYMNLYIHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQMKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRIIKNCESHFPSILTYLTHMEESLRGLLVGPLQDLQRREQWYNQLKGASNCRNLIPLLLELESNIRGVTFSASWLKLIDDWPMESPSASVGASRPAAYQKRGTGGRRGRKRSMASESGPVTDDDNSWKEVNWWSGGNVSKRILQRGAIPILTLRKAARQGGKKRMPGLSYHEGSNCPRRTRQFAWRACVGLCQNSSQLALQVRYLDAHIRWKEFIPPDQVPSDGKSLDSDFSVLRNAVICDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLRDFEQKAGASSLSTPGMLDSNYFANFYRRRVKAFVGDVFFYLLHKDDVYPCTSCKKDGCRKVQLVSRSVGSKEGNAAPSLTCKLCLQKRNLMLTNYNTNASFILPQQKSNVHQAVAAPKIIFKVGSSHSAEPVAKVEAHPIAKVEAQPVVKKETCPIVKMETQPAANVEAHPTAKVEAQPIVNSATQNIAGAQAQPKAKSKKPKPEKPRKPKKVQDIKYFGLVWKKNTVDKNSNDNGDEFRAKDVILKGKDGIGSPIKPTCCLCSKAYCPDFLYVRCERCQKWFHGDALQLKEEQIFQVVQYRCCRCRRRAIPKCPHSDDYKKPEPEFSEQTITTSSQSTMLSSEENFAVADQDPLLASYGRVEPGEQTMDADLSMDMVNFNTGINQKLSVRRGHNKNCEYVDQASIPMDEYYIQNQSQGNANINFSHSNEFSLSEADGVDPSDLLGWDFSQGNACAAPSDFAANCPWNETSCGSVADEYEPQTYFSFTELLEADDTQFDNTFGMSSSLQDDGTGSLDQQGIGFDEMSFMLEDGASNMHFPAIDSASDEDVTSARILSHHLISNAQYVDCRFIVIAHLGRKVKNQQMVPVGVVVLVGSGDDELQTFYLRYAHMQSAAV >LPERR11G02640.2 pep chromosome:Lperr_V1.4:11:1616046:1623633:-1 gene:LPERR11G02640 transcript:LPERR11G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQGVSDRMLHDASPAAAIAPAPAEDDVREAEIGGDIAVAAAGEEPPAAAPSGSEAAGDGVIAVEHEHAAANPVSDTKMEVDEGEGGVVDAEQTAAPSPGEVKAEVNGGSTLDKGEYVVALACVPKEEKMEVCENGVPEQLHTVAAVASEVKIEGCEGGLVVDQQSSTPAGGGCQMKKEGECLVGRYISRSVTGHGRILLGKVASYDSSTGVYSVVFEDGQGEDFELAQLQLLLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPCTRQRVNESEVSTKPDESQQSGSGSDASEDVESSSNSSNHAKELPEEHCPPVQILELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSINCTTQNTLLDAVHVSLLRALRRHLETKSSEGSKLASNCLKYLDWALLDSLTWPAFLLEYLYLMGVIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVTDSEELKTELEDREGYSEEMEYEMDSSTSAEVGPRSISTRGSKASAYKKLNALENLENDQNGNNPEAAPAHASQDDNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQFFGIDMCGRSFLGCCNYLLVVGTSPDAEFCARYYNHLDVAKVLQILASSDAHIDICRRMTEYWSHLLDIFQNERSKIGKEVCGSLTPQSNILLTVTPVKANNGSVQATLKDGGDSKSAVLSQTNVCLENQFTTCSANSSEAFTQTPLAQNYVDNTYRNGAFGPSGTSSISHQSASMATAMPNITQAQPAHGLIRPDLCGSVIGNGTSRENIKSSSARKDLICPSYQSKPPVQLVTENMSSGKTAKFSSFRPQAYMNLYIHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQMKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRIIKNCESHFPSILTYLTHMEESLRGLLVGPLQDLQRREQWYNQLKGASNCRNLIPLLLELESNIRGVTFSASWLKLIDDWPMESPSASVGASRPAAYQKRGTGGRRGRKRSMASESGPVTDDDNSWKEVNWWSGGNVSKRILQRGAIPILTLRKAARQGGKKRMPGLSYHEGSNCPRRTRQFAWRACVGLCQNSSQLALQVRYLDAHIRWKEFIPPDQVPSDGKSLDSDFSVLRNAVICDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLRDFEQKAGASSLSTPGMLDSNYFANFYRRRVKAFVGDVFFYLLHKDDVYPCTSCKKDVAFRSVGSKEGNAAPSLTCKLCLQKRNLMLTNYNTNASFILPQQKSNVHQAVAAPKIIFKVGSSHSAEPVAKVEAHPIAKVEAQPVVKKETCPIVKMETQPAANVEAHPTAKVEAQPIVNSATQNIAGAQAQPKAKSKKPKPEKPRKPKKVQDIKYFGLVWKKNTVDKNSNDNGDEFRAKDVILKGKDGIGSPIKPTCCLCSKAYCPDFLYVRCERCQKWFHGDALQLKEEQIFQVVQYRCCRCRRRAIPKCPHSDDYKKPEPEFSEQTITTSSQSTMLSSEENFAVADQDPLLASYGRVEPGEQTMDADLSMDMVNFNTGINQKLSVRRGHNKNCEYVDQASIPMDEYYIQNQSQGNANINFSHSNEFSLSEADGVDPSDLLGWDFSQGNACAAPSDFAANCPWNETSCGSVADEYEPQTYFSFTELLEADDTQFDNTFGMSSSLQDDGTGSLDQQGIGFDEMSFMLEDGASNMHFPAIDSASDEDVTSARILSHHLISNAQYVDCRFIVIAHLGRKVKNQQMVPVGVVVLVGSGDDELQTFYLRYAHMQSAAV >LPERR11G02650.1 pep chromosome:Lperr_V1.4:11:1625316:1629616:-1 gene:LPERR11G02650 transcript:LPERR11G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGLRSPTPGRSSSKVKVNGGSAADARKLEAKRQFLFSRRNNKRHTQEITFFGLIWKRGKNDPRSRKIKADDFILGSHDGVGSPMKPTCYFCFKPYRSDLMYIRCELCRNWFHGDALELEEGRISELISYRCCRCRRRPLPRCPYSDFHYSKAPEPKPIRKENADDMLSSDEAVGTDGDPPFASSGRVESTVEETIDADFSVNMKRCTPGSFQEPINMDASSHSTQPVSKVGHAHVAFAKEVRPFDACVAWYVPGARRRLNLEDDNPPEAATVESNFDNDCSVILHQAYDGFRAIAAKTGSLYERLRQKDHLTSDDIMVILDKLQHLALHHMKDIACHQTNDISHPKAPPQSMHTPSTSDQSNSKAPAPDSDAAPPYNNVASPDVPEAAFLFRGAVEPED >LPERR11G02650.2 pep chromosome:Lperr_V1.4:11:1625316:1629616:-1 gene:LPERR11G02650 transcript:LPERR11G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGLRSPTPGRSSSKVKVNGGSAADARKLEAKRQFLFSRRNNKRHTQEITFFGLIWKRGKNDPRSRKIKADDFILGSHDGVGSPMKPTCYFCFKPYRSDLMYIRCELCRNWFHGDALELEEGRISELISYRCCRCRRRPLPRCPYSDFHYSKAPEPKPIRKENADDMLSSDEAVGTDGDPPFASSGRVESTVEETIDADFSVNMKRCTPGSFQEPINMDASSHSTQPVSKVGHAHVAFAKEVRPFDACVAWYVPGARRRLNLEDDNPPEAATVESNFDNDCSVILHQAYDGFRAIAAKTGSLYERLRQKDHLTSDDIMVILDKLQHLALHHMKDIACHQTNDISHPKAPPQSMHTPSTQLMEGEYAIKNPVASPDVPEAAFLFRGAVEPED >LPERR11G02660.1 pep chromosome:Lperr_V1.4:11:1637438:1641467:1 gene:LPERR11G02660 transcript:LPERR11G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGIAVAAGAGGGHGAAGFGLFRAADVTMTEAAQEAAVTVKEYQSSPSSPSTSPTPSPPPPPAAIHGGGGETAAATPTMWSLGGEKMASEAAGEGDNGMQMSGGHSEHAAGLSSGRRRGRPKGSGRRQILATLGEWYAMSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSMKQHQRRMGLQQQPSAIPALPAPMAPPPVFTAAMPISQAAPGTNGYHDVEHRATTSGAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >LPERR11G02670.1 pep chromosome:Lperr_V1.4:11:1642720:1643169:1 gene:LPERR11G02670 transcript:LPERR11G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYMRVTHRDEEGHKVTEKLPVPETRRPDTAKHIERKLEEQGFHRLERHPANGPARGIGAPPPKSGRGGKFTWEGPDGLVESQLDPLPPAVDPNDPNYEEEEEGDEAKEVLVGEVEVAKVAEARDGVARVDVVAPPPLQQELKQQEQ >LPERR11G02680.1 pep chromosome:Lperr_V1.4:11:1655454:1656689:1 gene:LPERR11G02680 transcript:LPERR11G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSHGVSSSLRASALALLLLLVLCFVFHSAAAARPVPLARQEDGGAKAAAADHGLLLKEDLTDNGDEVTELMGALEDEEEACKEEGGKLKDECVQRRLLRDAHLDYIYTQHKNKP >LPERR11G02690.1 pep chromosome:Lperr_V1.4:11:1661498:1665645:1 gene:LPERR11G02690 transcript:LPERR11G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKQFTTTAFGSSLPPYIALDDDEAVMSSPLLGFDLDLNLITRVDAERFALPCPNLAGARGAHPNPFPSYMERLASRRAEAEATRHVYYNSAGDGDGDFLFAVGSTRRAAPPVVSSAAAPTHRHVAPVDTSCCLGKRKYEEPRVGGGADSTGCVICMEEFEAGDDLSTMPCARRHQFHDKCLARFRCLRPPPLRLSLAAVMEMKMDRVVRVHFGGSVIKTSEGSCKFVGMTVKSVVFVGRPSFEEVVGRVREILEFRKGKLNLKGRYDVGVGNVSHKQMLELNGQTEWDAYVEIVMGSQCRSLDVVAETGVDCTDGVALGTPPGTPDEPGILRSALQAVRNRCPKLTARLGCRLVDVVEPRQHARTKSGEASGSRRNHGVDNEVEEEEEEEEETSDTDDNDREVIGPSQLEDAPQLSQPNRPHRTRKQVERFTPSEPRQRKKHR >LPERR11G02700.1 pep chromosome:Lperr_V1.4:11:1670499:1673978:-1 gene:LPERR11G02700 transcript:LPERR11G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVLPPPDPSPAAATIDYSLTFKEIAASGAPDAFWLRNFLSLWAEIHLPDAIKNIWAKFGTYWSARNKFDDKSSAINVGTGVNKQLTEMIMKWRRPGQKLVVGKPEYKSIIETNLGIPCLYDEVVLEVMWGMKRHMSRFVPAEESKLPKEDSLTMSQGLRMFLRSYGFDIKPEMVNNNIVSAAATLFDCDAVEKKVHEHLQYVGRHLKHVSGIDNENWPALKLATAFKITCSREIDDSDEMFSDDVRSKLLDDADKYEDLVFPSACIAAYKKMVGINILRNDKRDELILLVEKAKKRT >LPERR11G02710.1 pep chromosome:Lperr_V1.4:11:1677755:1683969:1 gene:LPERR11G02710 transcript:LPERR11G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPSPVPAPAPAADRRSNYRGSSLRVRCSSLRELEKSPSPGPGAGLPPVREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWANRDDDLIPDSDLREIDLYSFQGLLKNEGKEKYGVIYRQWQKNAANFCIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVATSLGLGTEYFRILLQSNCGASVLDFTPQTGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILVCQGATQNNTEISLGGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAVDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDTFQAKQKSFAEIAKSGWLGSMEYRTLEGLWSQSKAAWQALLNELQDDTSERTLVVVGHPGIHLALICRCLDLTMEYMSCFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTTETDEEF >LPERR11G02720.1 pep chromosome:Lperr_V1.4:11:1689435:1690430:1 gene:LPERR11G02720 transcript:LPERR11G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSMRDFASCIGDGAVRVADVAACTAPSSTRTSSASSVSSSSSPTLSVTVSYRATLLAAADGESESKSATATTPMQLRLTWAHSPLGPTLSFATSATARPVHLRRRRGSRSVSVSGEEDGESTAPTVALFWDLTAARYDAAGSPEPVSGYYVVAVAAATAEVVLAVGDLAAEFVKAKFDGQIPMSRGVATAAVSRVERVVVPDPAAMHAARVRFAEGGPEHEVTVGCAPAGSADELWVSIDGKRAVQARRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREQPGCAVVMLRARSALESRLWLEEEAAAPGFSLVVQAFKSPP >LPERR11G02730.1 pep chromosome:Lperr_V1.4:11:1693458:1693973:-1 gene:LPERR11G02730 transcript:LPERR11G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTSYMSHERHLEFAGTFMAAIDKVVVIDFPVVIAKPASPAPAPPAAA >LPERR11G02740.1 pep chromosome:Lperr_V1.4:11:1695118:1697359:-1 gene:LPERR11G02740 transcript:LPERR11G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCGSVLFIVFVCSRLVCSLLRRHRRRRQALALAGAATPHFLSVYIDHRHAPPSDGLDPAAVAAFPTRTFSFSSSSSNSSDAAAQCVVCLADYEEKDVLRILPYCGHDFHVACIDIWLMQHSTCPVCRISLCDYPESKNTMSPLPSAVILPPCSPEPSRSDQCNCLFVGTGHSPRTSQVLTNEPDQANRTLYGPSVEGAHNLPPSEVNHAGENNNQTMK >LPERR11G02750.1 pep chromosome:Lperr_V1.4:11:1711573:1716539:1 gene:LPERR11G02750 transcript:LPERR11G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGGEVDLAADELKSLSFGSSDRSRSRSASTVSTATTISTTTPPPRAAAAAAPPRLGAVSLSDIRFIKRLGAGDIGSVYLAEVKGKGDNGGGDGATAVVAAKVMDRRELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPNRRFSESAVRFYAAEVVAALEYIHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPISLAAGNSNSSSSSCIIPSCIVPAVSCFRLRRRIRRNKPSIGGGGGSSSSSFPSGEFVAEPVDLRSTSFVGTHEYLAPEIVSGEGHGSAVDWWTLGVFVYELLYGVTPFKGHDNEMTMANIVARALEFPKEKDQAPAVSPAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFAGVNWALLRCASPPYVPPPFSLAAVAGGVNDGEDDSCPGTPVEYY >LPERR11G02760.1 pep chromosome:Lperr_V1.4:11:1718035:1727588:1 gene:LPERR11G02760 transcript:LPERR11G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAPRLSAVALAAILLLAVVAAAVAQPQPKPGNGAGKKPEPKPAEKEKPEPKPKPEPKPKHEKKKPIKVKCQENRRLYPYCSGKMMECPSYCPTSCYVDCESCKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKHGADGMTRDFTWIQSIAVLFDGNNHHLYIGARKTATWDDDVDRLEIILDGEPVLLPEEADATWTSAAVPALSVTRTKAANGVLVVLDGKFRVRANAVPVTDEDSRVHRYGVTADDCLAHLDLAFKFDALTGDVHGVVGQTYRADYVNKFDVRASMPTLGGDHNFATSGLFAADCAVSRFGRSDGAAALAAAA >LPERR11G02770.1 pep chromosome:Lperr_V1.4:11:1728095:1729444:-1 gene:LPERR11G02770 transcript:LPERR11G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSTELRNKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRNADKAKGKFTAEDVAAAGAAGASLQEID >LPERR11G02790.1 pep chromosome:Lperr_V1.4:11:1737254:1738771:-1 gene:LPERR11G02790 transcript:LPERR11G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMEELSLGARWAGLAFFAFSMCVTALGVILLVVRRWPWCGCHVCRAYLTGSWRKEFTNLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFENFPKGKPFAEILGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIIAGEVTSRLMPVLADAADSGKVLDLQDVFRRFAFDTICKISFGLDPGCLDKEMPVSELADAFDAASRLSAMRGAAASPLMWRMKRFLNVGSERELRKAIRIVDQLAAAMIRERQKLGVGNSLDLLSRFMASTGAGGEDDDKFLRDIVVSFLLAGRDTVSSALTTLFMLLSRHGDVAAAMRAEVAAGGGVTSYEKLKRMHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAGGERVMYHPYAMGRMPRIWGADFAAFTPERWLTGGPDASFAPANLYKFPVFQAGLRVCLGKELAITEMKAVAVAVVKAFDIEVVGDNGRSGGAPRFVPGLTASVSGGLPVRVKRVKS >LPERR11G02800.1 pep chromosome:Lperr_V1.4:11:1762066:1765706:-1 gene:LPERR11G02800 transcript:LPERR11G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLGDVRALKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPGNDQACPEAIYLTGLHQTVGGIFRAVGYTLMGQLADEYGRKPLLLLTASTSILPYGVLACNKSKAAVYMYLILRTLSFMIGQGTITSLAVTYTADVVEPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVVLLICSVIYMKISLVETLQRASSGSFEHMSFSSLVVRLPLRRWESIKENVSIIIRSETLKRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAILLAPLFMSPLTSYFISEQASFNCKGFSFLVAGFVLAISLCISFTLKPECRGKCTARAVPDQLDQEAVQEPLLAQP >LPERR11G02810.1 pep chromosome:Lperr_V1.4:11:1768326:1774942:-1 gene:LPERR11G02810 transcript:LPERR11G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTYLSPSFLSLHTSTTTRGGHLHLSGNLRRRRPRHMSGDSSKEQHGISPRIYPTKVSSSFVLKQPGWTSMTGTAAARSSCHRGDTRKQITAVTTTVIALFLVRTLLLACIIARGGVALIRIACRVAGRSVAGVAGVIFSAVNARCVWCLDQAAKGRSCTGTFLGDVAVAAMANSWRILVQGITSLVFVTAAAAGEYVRPPVSPLVLTPHDKPASHPQQVHISIVGEKNMRISWVTDDLKAPSIVEYGKSPGKYTASATGEHVTYRYFLYKSGAIHHVTIGPLEPSTTYHYRCGNAGDEFTLRTPPANLPVEFAVVGDLGQTKWTESTLRHVSEGDYDMLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIETLPVVEFAPFVAYNARWRMPYDAGASPSGTNLYYSFDVAGGAVHVVMLGSYVDFEVGSEQRAWLERDLADVDRRRTPWVVALLHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFSGHVHAYERFTRIYNNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETSAVWTWHRNDDQFATVRDEVWLTSLAAADPARVAAGRPVDELFGPQSPWDIPGRAVFPSRPICAFGWAGWKISYPPRSPGEPPPRPLPFPPPPRVCAGSGEFLHAHGVPLATFLRFVRQATAPAISYLRPHALPLRSGRGVEEEEEGQTYPPPPPYYRLYKDYEKDPSSAPDPPPPVDGPYQLFGATYTTEVVLPSLEDQGVRQLYPKSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIRRRKEAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >LPERR11G02810.2 pep chromosome:Lperr_V1.4:11:1769521:1774942:-1 gene:LPERR11G02810 transcript:LPERR11G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTYLSPSFLSLHTSTTTRGGHLHLSGNLRRRRPRHMSGDSSKEQHGISPRIYPTKVSSSFVLKQPGWTSMTGTAAARSSCHRGDTRKQITAVTTTVIALFLVRTLLLACIIARGGVALIRIACRVAGRSVAGVAGVIFSAVNARCVWCLDQAAKGRSCTGTFLGDVAVAAMANSWRILVQGITSLVFVTAAAAGEYVRPPVSPLVLTPHDKPASHPQQVHISIVGEKNMRISWVTDDLKAPSIVEYGKSPGKYTASATGEHVTYRYFLYKSGAIHHVTIGPLEPSTTYHYRCGNAGDEFTLRTPPANLPVEFAVVGDLGQTKWTESTLRHVSEGDYDMLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIETLPVVEFAPFVAYNARWRMPYDAGASPSGTNLYYSFDVAGGAVHVVMLGSYVDFEVGSEQRAWLERDLADVDRRRTPWVVALLHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFSGHVHAYERFTRIYNNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETSAVWTWHRNDDQFATVRDEVWLTSLAAADPARVAAGRPVDELFGPQSPWDIPGRAVFPSRPICAFGWAGWKISYPPRSPGEPPPRPLPFPPPPRVCAGSGEFLHAHGVPLATFLRFVRQATAPAISYLRPHALPLRSGRGVEEEEEGQKNTGHIKCCNYQIP >LPERR11G02810.3 pep chromosome:Lperr_V1.4:11:1769521:1774942:-1 gene:LPERR11G02810 transcript:LPERR11G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTYLSPSFLSLHTSTTTRGGHLHLSGNLRRRRPRHMSGLLLLRAQAARLDIDDRDSGGEVITAVTTTVIALFLVRTLLLACIIARGGVALIRIACRVAGRSVAGVAGVIFSAVNARCVWCLDQAAKGRSCTGTFLGDVAVAAMANSWRILVQGITSLVFVTAAAAGEYVRPPVSPLVLTPHDKPASHPQQVHISIVGEKNMRISWVTDDLKAPSIVEYGKSPGKYTASATGEHVTYRYFLYKSGAIHHVTIGPLEPSTTYHYRCGNAGDEFTLRTPPANLPVEFAVVGDLGQTKWTESTLRHVSEGDYDMLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIETLPVVEFAPFVAYNARWRMPYDAGASPSGTNLYYSFDVAGGAVHVVMLGSYVDFEVGSEQRAWLERDLADVDRRRTPWVVALLHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFSGHVHAYERFTRIYNNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETSAVWTWHRNDDQFATVRDEVWLTSLAAADPARVAAGRPVDELFGPQSPWDIPGRAVFPSRPICAFGWAGWKISYPPRSPGEPPPRPLPFPPPPRVCAGSGEFLHAHGVPLATFLRFVRQATAPAISYLRPHALPLRSGRGVEEEEEGQKNTGHIKCCNYQIP >LPERR11G02810.4 pep chromosome:Lperr_V1.4:11:1768324:1769487:-1 gene:LPERR11G02810 transcript:LPERR11G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPDPPPPVDGPYQLFGATYTTEVVLPSLEDQGVRQLYPKSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIRRRKEAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >LPERR11G02810.5 pep chromosome:Lperr_V1.4:11:1768326:1769487:-1 gene:LPERR11G02810 transcript:LPERR11G02810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPDPPPPVDGPYQLFGATYTTEVVLPSLEDQGVRQLYPKSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIRRRKEAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >LPERR11G02820.1 pep chromosome:Lperr_V1.4:11:1781888:1785840:1 gene:LPERR11G02820 transcript:LPERR11G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANSLALVLLVVAGAVLSLPPATLAVTSPYVRPKPRATLSLLKDDDDDTGGQTPEQVHISVVGSDKMRVTWITADDAPATVEYGTTSGQYPLSATGSTTTYSYVLYHSGKIHDVVIGPLQPNTTYFYRCSNDTSHELSFRTPPATLPFKFVIAGDLGQTGWTESTLRHISSGDDYDMLLLPGDLSYADVYQPRWDSYGRLVEPLASARPWMVTQGNHEVEKIPVIEPHPFKAYNARWRMPYDAAAASPPSGSNLYYSFDVAGGAVHVIMLGSYADYSPGSPQHTWLESDLAGIMNRTIKPAFVLALVHAPWYNTNEAHRGEGDAMRDAMEPLLRGGHVDAVFAGHVHAYERFARVYGGREDACGAVHVTIGDGGNREGLAEDYVDPQPAMSVFREASFGHGRLEVVNATHARWTWHRNDDDVAVVADEVWITSLASNPACNK >LPERR11G02830.1 pep chromosome:Lperr_V1.4:11:1792630:1792884:1 gene:LPERR11G02830 transcript:LPERR11G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLYLENLCIMQENERLRKKAQLLDQENKALLAKLKLNNSKPSAAAASPSSNQQQQPDAGASSASVVKAAAASYGGKKLK >LPERR11G02840.1 pep chromosome:Lperr_V1.4:11:1799148:1800033:-1 gene:LPERR11G02840 transcript:LPERR11G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEIQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >LPERR11G02850.1 pep chromosome:Lperr_V1.4:11:1809616:1818597:-1 gene:LPERR11G02850 transcript:LPERR11G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHSRQSPHVLGYGFHGTMPNSMPSANFFEQGGATYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTAATATVTTARPPPTLDIFPSWPMRSLHTPKEGSNLTADTTDSESSSKNNSNQNDSSDQQGLVGEMAGQFDHIPQQQQHKKMATDSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSAAARFDVDYARWLEEDGRRMAELHGGLHAHLPDSDLRAIVDDTLTHYNQLFLLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELIKTLIPQLDPLTEHQVVGICSLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPMDDANVGSFMGHMAIALGQLSNLEGFVIQADNLRQQTIHQMHRILTVRQAARCFLAIGEYHNRLRALSSFWASRPREILVADETNCGELSIAAHPSESQYSAF >LPERR11G02860.1 pep chromosome:Lperr_V1.4:11:1820496:1820879:-1 gene:LPERR11G02860 transcript:LPERR11G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRDEEIKIMRMDGGEILKLQQQDASLAGFATTRGGIDSGDHDAVQPPSTAASVAANTPGAVVQVKLVISKQQLKKMLHKDGISLDDMVALMQREASEQEMINCRGWRPALQSIPEGSDC >LPERR11G02870.1 pep chromosome:Lperr_V1.4:11:1828285:1831002:1 gene:LPERR11G02870 transcript:LPERR11G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAAIVFLVAASSLAAVALSSQNNEAAKTKAAAEYGYAAGSKLGPENWGKLSPEYKLCGEGKKQSPIDIVTKQATPNPNLDSLNRTYNAADGTLMTFEPGKVGTVKVNGKVYSFKKVTWHAPSEHTINGQRHPLELHLTHAADDGSLAVIAVLYKYGAPDSFYFQLKKKLAELAADKCNFGEESAQVAVGLVHLRSLQKRTGSYFRYVGSTTAPPCTEGVVWNVLGKIRQISKEQVGLITALIPAAGDARPTQPLNGRSVQFYNPPNSTISFKV >LPERR11G02880.1 pep chromosome:Lperr_V1.4:11:1831448:1832200:-1 gene:LPERR11G02880 transcript:LPERR11G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAFARDLSGDPPDSTRLRIGDDIAWSDVGGVYDRDDSLKENTNPKCLLKNIAGGGHIHNGNGSSSQRFSGNLKPTAAPIIGISGKLGGRKHHHHHGTAPPAMFPKKAVVGGGGRNPKNAVPEHEPTSPKVSCIGKVLSERERARRRRPTRSSHGGGGGGCCPGLGALFSRSHSRKNAVDCVDDQSPPPPPPPRRREIEEEATPAAAAAAAVAPALGGMMRFASGRRAAEWAAEMEEDGRVARSGPL >LPERR11G02890.1 pep chromosome:Lperr_V1.4:11:1839353:1844249:1 gene:LPERR11G02890 transcript:LPERR11G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSRATSTSYLANGDSDVLPRRSSRESPTTGSTQLQEEEEEEEEEEEKWSFLALLLELLRKSLLGCRREEGSECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTESMQCSYDPRGNSIPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKAWFRELPNGVLDSIPPEQVMQCQSEEDCARVAKCLPLAEAALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLENTSLPHKDPSDESGHHKPCLTLESLLEEGSGRSSFVQEEPLLNSPAHETDHNPIEAKPVQGKTAASIVHINEVQIIIEGSSSCFQPSSTDPAATADHFCAEAVNSLQLKGSRSLNSRRTRKGKGQSAGSAVPQAEAEKSRGVSIVSRINSKIERIEAWR >LPERR11G02900.1 pep chromosome:Lperr_V1.4:11:1845904:1847446:1 gene:LPERR11G02900 transcript:LPERR11G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLAQKITSAKLSCTCLGALSYAVIWSASSRTLISLLSAAASWMAADGGGALKRLFEKPLPENPTLLEALSAWNHTHRNNKLVDPASFTEIFGELHFQEKPPTRQLPSPSPSPSPPPPRRTVSWLDITAAADADKSKDDSSLDALLKPPKPSPSSTASGGVKRSASFCLKSSTSLLLCTEGLGSESTVDADDLVKDNGDGNAEDAAFNTVVVDKVNEVADVDGGGDRVNVEETKEFPPPIRSIGRGGKPCVCFRSMRADGRFVLLEVVIPGKDLLQATREGGRLTLRFANAAAAAVGGDE >LPERR11G02910.1 pep chromosome:Lperr_V1.4:11:1849583:1861108:1 gene:LPERR11G02910 transcript:LPERR11G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSSPSAATAAAARRSETFASIHPRRLSGARRRRRRGSGMVVRVEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGDVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAVYLKKLGKSCLLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVVDTAGRLQIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEIMRQEESVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESINTDEKATSSSTALALSSPAPAAVRRSPARAIVASHHLRHLSIPSLHLRAVPGPAFRALAYPGFPGWRRKRGNGLVVRAEMFGQLTTGLESAWNKLRGTDALTKENIAEPMRDIRRALLEADSNSQLNLQVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTGPTIILLAGLQGVGKTTVCAKLANYLKKMGKSCMLIAADIYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIARNGLKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQQVMNQEDAEELQKKILSANFNFNDFLKQTQAIAQMGSFGRIIGMIPGMNKVTPAQIREAEKNLKYMESMINVMRPEERERPELLAESRERRIRVAKESGKTERQVSQLVAQLFQMRARMQKMMGAMQGKDTADMEGLMDSIKAEEELAGGTGKRRRKYGNLRRRDLDAMRGFRRRCNLQVAESIQAASYFNAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLAEVPKYKQITPSVLSERLRINGSLARQAIKDLVSRGAIKVVSAHSSQQIYTRATNA >LPERR11G02910.2 pep chromosome:Lperr_V1.4:11:1849583:1861108:1 gene:LPERR11G02910 transcript:LPERR11G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSSPSAATAAAARRSETFASIHPRRLSGARRRRRRGSGMVVRVEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGDVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAVYLKKLGKSCLLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVVDTAGRLQIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEIMRQEESVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESINTDEKATSSSTALALSSPAPAAVRRSPARAIVASHHLRHLSIPSLHLRAVPGPAFRALAYPGFPGWRRKRGNGLVVRAEMFGQLTTGLESAWNKLRGTDALTKENIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTGPTIILLAGLQGVGKTTVCAKLANYLKKMGKSCMLIAADIYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIARNGLKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQQVMNQEDAEELQKKILSANFNFNDFLKQTQAIAQMGSFGRIIGMIPGMNKVTPAQIREAEKNLKYMESMINVMRPEERERPELLAESRERRIRVAKESGKTERQVSQLVAQLFQMRARMQKMMGAMQGKDTADMEGLMDSIKAEEELAGGTGKRRRKYGNLRRRDLDAMRGFRRRCNLQVAESIQAASYFNAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLAEVPKYKQITPSVLSERLRINGSLARQAIKDLVSRGAIKVVSAHSSQQIYTRATNA >LPERR11G02910.3 pep chromosome:Lperr_V1.4:11:1849583:1861108:1 gene:LPERR11G02910 transcript:LPERR11G02910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSSPSAATAAAARRSETFASIHPRRLSGARRRRRRGSGMVVRVEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGDVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAVYLKKLGKSCLLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVVDTAGRLQIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEIMRQEESVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESINTDEKATSSSTALALSSPAPAAVRRSPARAIVASHHLRHLSIPSLHLRAVPGPAFRALAYPGFPGWRRKRGNGLVVRAEMFGQLTTGLESAWNKLRGTDALTKENIAEPMRDIRRALLEADSNSQLNLQVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTGPTIILLAGLQGVGKTTVCAKLANYLKKMGKSCMLIAADIYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIARNGLKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQQVMNQEDAEELQKKILSANFNFNDFLKQTQAIAQMGSFGRIIGMIPGMNKERERPELLAESRERRIRVAKESGKTERQVSQLVAQLFQMRARMQKMMGAMQGKDTADMEGLMDSIKAEEELAGGTGKRRRKYGNLRRRDLDAMRGFRRRCNLQVAESIQAASYFNAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLAEVPKYKQITPSVLSERLRINGSLARQAIKDLVSRGAIKVVSAHSSQQIYTRATNA >LPERR11G02920.1 pep chromosome:Lperr_V1.4:11:1863433:1864029:-1 gene:LPERR11G02920 transcript:LPERR11G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVAAAPAAAAALPALLPTPPRSKMLPLLPTPCLIILPTSFAKPKAAPKPGRADAIDRWDAHKKPAAISPPPSSSSRSSSGSPIRAAPYVRKEPGSPASSSSTTSSGGKPGRADACERWDTNKISKKQSDLTPPVDSSKRTPATASRGSSGERWDINKKKPRSDELKMVNSKQLPAFLSSPPEPSMLPMPTFLLAR >LPERR11G02930.1 pep chromosome:Lperr_V1.4:11:1864977:1868214:1 gene:LPERR11G02930 transcript:LPERR11G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPILGGLNKLQFFLSKKGGKNNSSKISPRLPARAAAVACSPPAPAAVVHPSPRAASIWIPTSPSPPAAGPRYPAPTAEMAAVVAATVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYHDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLRRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKMTEKFPGIKFVVAKKADSLFPVVSGASIVAKVTRDRALRNWVFDETAQNMHMTTGSGYPGDPATKQWLEDHKHPVFGFPSLVRFSWGTCKPFFNDAVEVTWESDELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIESSGKSRCKFFQARKLELVRKFH >LPERR11G02940.1 pep chromosome:Lperr_V1.4:11:1869167:1869643:1 gene:LPERR11G02940 transcript:LPERR11G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQFRPPKPTSTAAAADGDGDLLELDVLCPPGLLAVLPEDEGKRRKKRGGIGRVAVRSAARPIPETAASNPSMAKSAPVRIPSEAAAAARRGNWGHSIEDDGEAAMVVPPHEIVARRAAAAAHHSSVLEGSGRTLKGRDLRRVRNAVLRRTGFLD >LPERR11G02950.1 pep chromosome:Lperr_V1.4:11:1878343:1879134:1 gene:LPERR11G02950 transcript:LPERR11G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRSISSSNGEITISNQSATAPPGFRFYPTEEELLTFYLRHRLAGTRHDDIARVIPVVDVYAFHPSQLAAMAGARDTEQWFFFCPRADRELHGGRPARTTPSGYWKATGSPSCIFSAAGGCRVIGVKRTMVFYEGRAPTGSKTRWKMNEYKAVAEDGDGGGGEMRVRNEMGVCRVYISTGTLRSFDRRPLINQTAAKVNSRDSSSTSGSREVAGGGEEGCCEDDVIDWNSLIGSATAADDFGISSGGVGFDASIIGPWPYV >LPERR11G02960.1 pep chromosome:Lperr_V1.4:11:1886012:1888818:1 gene:LPERR11G02960 transcript:LPERR11G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYVGASFDWSGNDLAILIRRTIGVSALELAQLPPGLVPLCRDPFCPEILRALQVVGDGVGSSSATPSTAPVGDDDDYDDVDDEVPIGLLGHQGSGKSEARGPDPKGKRKRSGSSPAPXPLASCFLILRVLVPSREHQHFRPKAKPKGGFASSSAGGAQEGSGVVEPMAPRSPSAGSGPGPVRPDEGAHSEVGAPGARQEHIGGLPPSADSGRVGPDVEEQARMIMSWAVDEALAVSLDGLLAQVRALAAREVAGARREIDVAEGGAKLAKIARVEGLIRKREVADIERQRGEHVETFEDTMIERHTVDLHILTATTAEEGVRTTAGAFIRELDDRAQELDRRNRVLRDAEAAAANSDVELWVREDALEERERALEAARQAVED >LPERR11G02970.1 pep chromosome:Lperr_V1.4:11:1901251:1903673:1 gene:LPERR11G02970 transcript:LPERR11G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQQRQCRRRGVAADVELDAAMALADMAGAAPGQAEAPPPPQAAAAAAAKAENEDEEAELASTRLSLELGKVGIQSSSPCSSSSSAGHPAMHAAAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKEKDVVMQEYLSLKETNKQLKEQAHHHHLSLSVAVTATVRPKSKQNLVAIRTTKKAAAAVAAEPMDTSPPALAQQQAEAAAVPTTATPPSTSFLYTAAPPAMPVPYMWGSWPPYEHGNLAAAASTAAPPLCLPPCAWYYPVVAGDPRGASPPTSTYPQPFQEQQQQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRTTSSSVAVAVAGAAGTGQQVSLSDREKAATAAEARKRRKELTKLKQMHGGGGGARPGGGGEQW >LPERR11G02980.1 pep chromosome:Lperr_V1.4:11:1905814:1910753:1 gene:LPERR11G02980 transcript:LPERR11G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTKAAPMATNGGKVTPNLGMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNHRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPTTTTLVSDAPDESLVNYFNSAASIASVSNAPMAGRAHPSSESVASSHVPLVIPPATPTHQIPPPLGGSSAPPLPLHDTNVHIVRSSNLVTPAFFAPPSSSSTSAVPPASSMMPTAPPLHPTSASAQRAPYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDRVKEALLRLVQNDQFIDLVYRELQNAHM >LPERR11G02980.2 pep chromosome:Lperr_V1.4:11:1905979:1910753:1 gene:LPERR11G02980 transcript:LPERR11G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTKAAPMATNGGKVTPNLGMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPTTTTLVSDAPDESLVNYFNSAASIASVSNAPMAGRAHPSSESVASSHVPLVIPPATPTHQIPPPLGGSSAPPLPLHDTNVHIVRSSNLVTPAFFAPPSSSSTSAVPPASSMMPTAPPLHPTSASAQRAPYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDRVKEALLRLVQNDQFIDLVYRELQNAHM >LPERR11G02990.1 pep chromosome:Lperr_V1.4:11:1909499:1910467:-1 gene:LPERR11G02990 transcript:LPERR11G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDELRAPACGGGGGGATAIDDLPADVLALVLRRLDGASLAAVGCASSSFHELATDQDTWRGLCLAMWPSVRDALATAGGEDYRALFADAFPFPESSPAPAESPALTSLPARLVSAVDLLHGDVSIMSRVVETDTSTAWFLGSPFRVDALVQEGFTAPSPITPSELTLSWILIDPTTGRAVNASSRRAVAVDRKWLTGETVARFTVVLAAGGGGSGVALDACVTCDDRHGHVREVSLRVEDDEGGGVSGHDGLAAVAAAMASARRGKGAEAAARRRYEEFVKGKRARKEWNARREGIVDLCCSGVGAVVFVGFLVMLTFR >LPERR11G03000.1 pep chromosome:Lperr_V1.4:11:1930933:1937249:1 gene:LPERR11G03000 transcript:LPERR11G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALVQQPHPNNNNGSFSSLRAYGRALAQAPRRLARRACAASSPGEEMSRVRARSGADMARRLRWHDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIVVPGLPKGFNEVDLVAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTHNLTHPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVILVTILYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPINASVFLGFFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVSSSSDRSPAWPTLAFLAAFSLIALCFTLLWQFAPSGAAKTALLAACGAAAVATVAAFQALVPTPEKMTWGTPAMPWTPAASVFLNVFLLGSLDRPSYVRFGFFTAAALLVYVFYSVHASHDADEIAAAAGVVDGGGNGKVQDEEAAAGGCKV >LPERR11G03010.1 pep chromosome:Lperr_V1.4:11:1941499:1950692:-1 gene:LPERR11G03010 transcript:LPERR11G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARLPPSRNKPPLPRPRAPSRISGHEAAPYLTPARPKAAIDFPGDELLSDSIGRAIEIEPMGSLTSSLMMNLCGSPVCSSKDVVSCALKEILDSSSCMNHLVVIAILAVLAAALVLQLLVKIPKSRASARSLVEFSSPLQWAAVVFNGCLGLLNLCLGLWMLGISFSQDAFIYQPHWWLVILAQGFNLILTSFTFSIRPQFLGPTFVRFWSVLLTICAAFICCCSVLYMVGEKEITFKACLDVLLLTGALILLLYAIRHSHDEEGYETNENALYKPLNTERDHVTADSESENHATPFAKAGFFSVMSFWWLNPLMRMGYEKPLEEKDLPLLGSTDQAQNQYLMFLEKLNSKKQLQPHATPSVFWTIVSCHKSGIVISGFFALLKVLTLSSGPLLLKAFINETLGKGTFKYEGILLAVTLFFCKCCESLSQRQWYFRTRRIGLQVRSFLSAAIYKKQLKLSNLAKMKHSSGEIMNYVTVDAYRVGEFPYWLHQTWTTSVQLCIALVILYNAVGIATVSSLVVIIITVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQEPIRQIPDVIGAVIQAKVAFTRVVKFLDAPELNGQCRYKYSAGTEYTMALNSCSFSWDENPSKLTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMEALSDKTVLLVTHQVDFLPVFDSILLMSDGGIIRSAPYQDLLAYCREFQDLVNAHKDTIEASDLNNIPLREKDISTKETADIHCNRYGQSVKPSSADQLIKIEEREIGDTSLKPYILYLSQNKGFLFVSLAVISQIIFICGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFYDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSMNATLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESILGALTIRAFEEEERFFAKNLELVDKNAGPFFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALVNQYMDITSEAPEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDIATIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRQCRILVLDEATASIDNATDAVLQKTIRTEFRNCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPKRLMETEGSLFRELVKEYWSYASSGNI >LPERR11G03010.2 pep chromosome:Lperr_V1.4:11:1941499:1950692:-1 gene:LPERR11G03010 transcript:LPERR11G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARLPPSRNKPPLPRPRAPSRISGHEAAPYLTPARPKAAIDFPGDELLSDSIGRAIEIEPMGSLTSSLMMNLCGSPVCSSKDVVSCALKEILDSSSCMNHLVVIAILAVLAAALVLQLLVKIPKSRASARSLVEFSSPLQWAAVVFNGCLGLLNLCLGLWMLGISFSQDAFIYQPHWWLVILAQGFNLILTSFTFSIRPQFLGPTFVRFWSVLLTICAAFICCCSVLYMVGEKEITFKACLDVLLLTGALILLLYAIRHSHDEEGYETNENALYKPLNTERDHVTADSESENHATPFAKAGFFSVMSFWWLNPLMRMGYEKPLEEKDLPLLGSTDQAQNQYLMFLEKLNSKKQLQPHATPSVFWTIVSCHKSGIVISGFFALLKVLTLSSGPLLLKAFINETLGKGTFKYEGILLAVTLFFCKCCESLSQRQWYFRTRRIGLQVRSFLSAAIYKKQLKLSNLAKMKHSSGEIMNYVTVDAYRVGEFPYWLHQTWTTSVQLCIALVILYNAVGIATVSSLVVIIITVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQEPIRQIPDVIGAVIQAKVAFTRVVKFLDAPELNGQCRYKYSAGTEYTMALNSCSFSWDENPSKLTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMEALSDKTVLLVTHQVDFLPVFDSILLMSDGGIIRSAPYQDLLAYCREFQDLVNAHKDTIEASDLNNIPLREKDISTKETADIHCNRYGQSVKPSSADQLIKIEEREIGDTSLKPYILYLSQNKGFLFVSLAVISQIIFICGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFYDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSMNATLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESILGALTIRAFEEEERFFAKNLELVDKNAGPFFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLGNQIISVERVNQYMDITSEAPEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDIATIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRQCRILVLDEATASIDNATDAVLQKTIRTEFRNCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPKRLMETEGSLFRELVKEYWSYASSGNI >LPERR11G03010.3 pep chromosome:Lperr_V1.4:11:1940718:1950692:-1 gene:LPERR11G03010 transcript:LPERR11G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARLPPSRNKPPLPRPRAPSRISGHEAAPYLTPARPKAAIDFPGDELLSDSIGRAIEIEPMGSLTSSLMMNLCGSPVCSSKDVVSCALKEILDSSSCMNHLVVIAILAVLAAALVLQLLVKIPKSRASARSLVEFSSPLQWAAVVFNGCLGLLNLCLGLWMLGISFSQDAFIYQPHWWLVILAQGFNLILTSFTFSIRPQFLGPTFVRFWSVLLTICAAFICCCSVLYMVGEKEITFKACLDVLLLTGALILLLYAIRHSHDEEGYETNENALYKPLNTERDHVTADSESENHATPFAKAGFFSLMSDGGIIRSAPYQDLLAYCREFQDLVNAHKDTIEASDLNNIPLREKDISTKETADIHCNRYGQSVKPSSADQLIKIEEREIGDTSLKPYILYLSQNKGFLFVSLAVISQIIFICGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFYDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSMNATLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESILGALTIRAFEEEERFFAKNLELVDKNAGPFFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLGNQIISVERVNQYMDITSEAPEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDIATIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRQCRILVLDEATASIDNATDAVLQKTIRTEFRNCTVITVAHRIPTVMDCTMVLAMSDVTECSNA >LPERR11G03020.1 pep chromosome:Lperr_V1.4:11:1954930:1955340:-1 gene:LPERR11G03020 transcript:LPERR11G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >LPERR11G03030.1 pep chromosome:Lperr_V1.4:11:1960546:1963736:1 gene:LPERR11G03030 transcript:LPERR11G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTPGGVAAFPAVGNEHGGQGRHSPATDFSPTVDEPAMTEDQAATGDEDNDDGGSGEQLLSASRKYPVLTLTLGPSRATDQDMAALVASGAIPSADKVWLLPPAARWCLAPNRTRQLSSMPFLLPGWACRLCPFSPGCFGITTSSFPAPKAVVEDGITKSLAFASINFQLRRGLKEYFPAQAAIDRWTTGWIQNWFYLAVGEDSGLAFANWEIAYRRVSQVSEDDEDIDPQHQALLRVSAKLGMRDLTEEMIMLYIIPLREGWAHELTSGTEKALRTYINLFSSTAELRPMHLSIAEVERILGKPTLKEKTEWMARTNLWMRSNRVASRFNLKLLPITSPWENDGRGPVRAATKRKESRGGGRSQRTKRSKGPIPVVPLWAVAPRQTPSGASASASADVTSVASVGADANPITVPSDGEGSGESEWVMTLPSSTSFLLSLMSPAQATIAVGTPSEAPHEETGILEPTASAAATVDIRTVGTTLASSAPSAGQVTAAPSSFVPGSAPASELHTAAFPRRGLVGDIPGLGVDSSPAEWGPLVGESARVLANNLTAGELSEMLRILGWQVMVTGDALCERGGRDAATANSESIRLERLQVEATEAISWAAAAQDEAKRAESARVDAEMALSNARSELSREREGAGKLADQLRKVKAALAERDEELRCNSDELESIKRALVQLNTQAITAGQSLVQAFTSIGPPPTGSTIRDKLRWVEKAAKFVGKATVGYGSWCSWATTRFLSLLLRSKNCTHIGPSACSSPDEVSAIFSGGSGAGSSRRDTDDFVAKIWPALGHDAAVAALCSASRSSGKDTTSKGKDAPKV >LPERR11G03040.1 pep chromosome:Lperr_V1.4:11:1969174:1974345:1 gene:LPERR11G03040 transcript:LPERR11G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKITKKKRWKEDALPGKQGSSPTSFLFPSTVVSASGSGPQSRLTPKSLSRLEGAEPPLKLGLLLSVSRGGSSTVGHQKYYEKEARVVVKTDVIEIEGDEEMMMGAEQVCGSSDSLVPPFALAGLCMLSCLYPSFCQHKERL >LPERR11G03050.1 pep chromosome:Lperr_V1.4:11:1969479:1970441:-1 gene:LPERR11G03050 transcript:LPERR11G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSLFSQEHPQAWPWGVAMYANFHYHHQYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGDKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERAHGSFGAGDRLFIGCRRRGDSAAQTRAPPPAAARAQNAGEQQPCSPMCYSTSGSYPTSPANSCYAYRRVADQHDHSDMHHAEEPPRDTDSRSPSFSGGSAPSRRLRLFGVNLDCGPEPEADTTAAATMYGYMHQQSSYTAMSAVPSYWFVLTSNTTKNV >LPERR11G03060.1 pep chromosome:Lperr_V1.4:11:1976516:1983092:-1 gene:LPERR11G03060 transcript:LPERR11G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMPLVVASLLLLLFSPSLAVAGGRRLPPTLAMRRRHSPGDDHGGGGGVPDQVKYETRYYTQRLDHFNAAPASYGTFQQRYLVNDTFWGGKTAPVFVYAGNEGEIELFTNNTGLMWEMAPSFGAMLVFIEHRYYGQSIPFGGNKTVAYSNSTMTGYLTTTQAIADFAEVILSLKANLTAYTAPVIVFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGFYGLSDPYSFYDVVSNDFKSESQHCFDVLRSSRSELDKALATDAGRAQLNHTFNMCNGSKVDDIPGLLDNALVYVAMTDYPTSSGFLTSLPAYPVREICRAIDHPTSGNDTLSRIKDAMNIYYNHTGGLSCFGGASDEDPYGMFDGWNWQASTEMILTMSYGVSNTTIFPSEPFNFTEVIADCRDYTGLPPRPYWIEQHFGGYDIGNVLKRSASNIIFFNGLRDPWSAGGVLKSISKSIIALVEPEGAHHVDLRFSTKEDPEWLKNVRRKEMRIIADWLKEYYSDEAIA >LPERR11G03070.1 pep chromosome:Lperr_V1.4:11:1989836:1990858:1 gene:LPERR11G03070 transcript:LPERR11G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGFTSIFSKLIVAGHDSPSPSPSSSSPPPWPWPSCGKNPPTISCRHDNADDDRPCSTTATRGDSCRASSAAARRRMSGAAGGEMYKTVNSVFFDDRSLSPAIDGYSCFSFDDDDCDDDDLRVVDGDSFSTTTTSEEWSEAVIRSLSQKSSTTGGRFFFDPSPPTMVKSPSKSVAVAVETADPYGEFRASMEEMVAAHGIGQSWDAMEELLVCYLRVNADHHHPIIVAAFLDLLSAISKPTPTTSPSPATTTTTSSGRSTSTTACDVTTTSATTSAMEPCRCDCGGGGSNLASCSSSAAGDDLEEEEDEEEDKKKASDDELIRRITLASTTLEIISS >LPERR11G03080.1 pep chromosome:Lperr_V1.4:11:1996717:1997786:-1 gene:LPERR11G03080 transcript:LPERR11G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDEQPVPSLATSFFIWPSTATAAAASCKQAATAVAAAAKTTTTARGYNFRWSSSSAAASFTSSSAATTYSTGGYSTTTSHYDDQVKIKPTTTTTKSTPPPPSIKKKKKKRAAAEDDVIDGGVGVAVEKESSDPRADFRESMVQMVVEMGMCHWDDLRCMLRRLLALNAPTHHAAILTAFADVCAQLTVPSPPPAYGGHYYRS >LPERR11G03090.1 pep chromosome:Lperr_V1.4:11:2008373:2012872:1 gene:LPERR11G03090 transcript:LPERR11G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELISKILLVLFGYAMPAFECFKTLETRPDDASMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEASNSEQQRSSSSWSSWNPFASRRRAPSPPPPPSRERRFSGGDPDDDAPSAMADVFRASLGGAVPRRPHNNNNGMH >LPERR11G03100.1 pep chromosome:Lperr_V1.4:11:2020389:2020946:-1 gene:LPERR11G03100 transcript:LPERR11G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVAAVMDSPSPSPSPSPAMKRHRLGGSSVANWESTGASTSGGGGDFDLRHWRPAIADGGNKRAGSGMRRRWAPPEIEIPTGGGAGPRGYTSLRDIMSSPEYAKAASSRSTSPADGGSGGAGAGDVHMIRHPLVKHAAYAYLQLTPSARDVAAAAARTRRRRNGPFCRLILGCLGFVGAFFGR >LPERR11G03110.1 pep chromosome:Lperr_V1.4:11:2028733:2031913:1 gene:LPERR11G03110 transcript:LPERR11G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVVQQALAAEAAAVVRQAVTLARRRGHAQVTPLHVASAMLSVSGAGGLLRAACLRSHSHPLQCKALELCFNVALNRLPTAAPAAAMLNHHHAGAGHHGGSAAPPPALSNALVAAFKRAQAHQRRGTTTTATSVEGNTTTTSTSQAVVAAAAAKVELEQLIISILDDPSVSRVMREAGFSSSQVKSNLENAAAAAVANTNTNTNTNTAASQLPTKQITSVVDGDAMRVLDYMASGAKRSVVVVGGEGASSSPATAEAVVKAVMDRVSKGELHHRHVNRLKSLQFVPLSVASFRGAAREEVESKAGDLRALVGSARAAGKGVVLVLEDLAFAADAWNTRSRRRAADAAGESCGYCPVEHAVMEVSSLVSSSSSGGERFWVLGFGSYPAYMKCRVGDPPLEAVWELHPVVVPDGGGGGGLALSLSCSEASQASQAGQTTGWPFVNGGGEAAAAMMVSPASLPSWLRRYHEPDHATPASCAATGLQLQDLWNPIKNGSTPHHTSELTLSFSSPSPSISGFTSCYNTNMMRSKPWQLVARQPWPINGHEGHTTMPLTYHDNPLDTNPSPESNSVSNSSDGEPKRPKFTELNAENLKIMCNALESHVPQHSKIVLDIASTVLQCRSGMMKKMRLRNNEMQATWLLFQGRDCDGKKAMAQELAKLVFGSYTEFTSISVDELVSTYSDSSTGELTLKRQRSLDNNEHSFAQRLCETLNKNPHQVILIDGIEQLDHDSETSIKKVIANGRMRGHNGEEIDLEDAIIVMSCEEEFDSRSRASSPRIKQRLMANNDDEESSSTEKGESSPRCFSLDLNACLEDGEDQIVSLDSEMHSIVDGVFFFRLMTDL >LPERR11G03120.1 pep chromosome:Lperr_V1.4:11:2042908:2047030:-1 gene:LPERR11G03120 transcript:LPERR11G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMKIPNPVQKLDIERIIDDFVFICFVTGNDFIPHIPSLEIHEVNSFISGAVDLLIEVYKTSFNKMGGYIVDTDKVKDKHAAYLKVSRLEKFFHELSLYEEKIFLKRCQLREEENPDDQGIMVKSSHQTEGQDSTCRIDKSDIKLGSPGWKSGFFKEKYYPFYYAPFASDVKGLSKFKISFTLDKPLRPFDQLMAVLPPERHVSSSAMHYSPISFALSKSYSRLMDCEESVIQTYYLSELDIDTDGKRFLWQGIAKLPFIDVKLLLSVTKEAEKELGVHEMRRNTIRQDKIFLRSSNALGNNEAFAQTSDCSLQKLPIDRATCLPIENDQAISAKFFNPETVKPATRLLDNVILSEADISVKPLWHTYLYPGNNGNSMRKGKPITRSDAPCWRSSSSPPPPPPGRGRGGNATASVYWNNGGGRGSGVSYYGQTTRHQRTNGGVYWNNGGGRGGSYNLRPEGGGGGMYRRQQQQNTAWRPVGSPWGRGGGGDASSGAGAGGGGNGEHRAW >LPERR11G03130.1 pep chromosome:Lperr_V1.4:11:2047693:2049044:-1 gene:LPERR11G03130 transcript:LPERR11G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVEKYPAIVSPAHEHSSNGGVIYHNLYLDMNGIIHPCFHPEDQICPPTTLEEVFHSMFEYMDRLFRIVRPTNLLYLAVGQWRRSSRQDEPAAIQALQISPRPPKTPSVQEMDENLLRDRFRAEGKEVFPRDASSSEVSDPNVITPGTEFMEKLSDALKYYIRSRLSTDPLWKDIKVILSDANVPGEGEHKIMSFIRAQRGREGYDPNTRHCLYGLDADLIMLALASHEIHFSILREVCVCVGILETSK >LPERR11G03140.1 pep chromosome:Lperr_V1.4:11:2055264:2060731:1 gene:LPERR11G03140 transcript:LPERR11G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVPQVTIATAIAFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPYHIAAGAIFLAAKFLKVKLPLDGEKVWWQEFDVTPWQLEEVSNQMLELYEQNRVAPPPAQGNDTEGSSASVANQRAPGKAPGASEEPSTNENHQRPRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKVKHERRQS >LPERR11G03140.2 pep chromosome:Lperr_V1.4:11:2055264:2060704:1 gene:LPERR11G03140 transcript:LPERR11G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVPQVTIATAIAFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISNQMLELYEQNRVAPPPAQGNDTEGSSASVANQRAPGKAPGASEEPSTNENHQRPRQSSMPGHQGYDHPHPEKQNSSQRIPQNEARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDE >LPERR11G03150.1 pep chromosome:Lperr_V1.4:11:2063110:2063742:-1 gene:LPERR11G03150 transcript:LPERR11G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDLRQTCRRLLAILLGLALIVAIIALIIYLVLRPTHPRFFLQDATLRTLSLSNSTNSGVLSTSIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPVLSGPDVPFAPYLGDAIDKDVDAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFFITSGGNGYPGANGLKFQTATYCRVEV >LPERR11G03160.1 pep chromosome:Lperr_V1.4:11:2068544:2071511:-1 gene:LPERR11G03160 transcript:LPERR11G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSASHPPDPKSPPPPPAMGYPANPPPPPSSTAAYFSTTTPSTNGTAAAPTPATFGVAYPYPAPPPHSYHYPPPPPPPPHHHHPHHHPHHYPPPPPPPTCLRRLLGLVVAAFLLLGAATFIVWLLLRPRVPAFSLASLTLTRVAYSTTNSSLSATFAASLLAANPNSKLTVTYFSPLASVSLAPSSPIAVATLPPFAQPPRNTTTLQFRLEVDGAYVGADDAAPLKGGGVGTMEVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVAFRGKNGTEAAFDGPARRCDVLL >LPERR11G03170.1 pep chromosome:Lperr_V1.4:11:2076027:2080347:-1 gene:LPERR11G03170 transcript:LPERR11G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHENIDKTIKAADGILSQFDLARRAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADRGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALELSLRKLGVEKLSKEDVQKMQWEALEAKIGSWIHFMRIAVKLLLAGERKICDQIFDGINFNKDQCFAELTANSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQSEIEVIFEGKSCSEIWEASLGLTKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKLYRDPALTHLFLMNNIHYMVRSVRRSGAKDILGDDWIQRHRRTVQQNANQYKRVAWAKILQTLSIQGAGSTGSADLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >LPERR11G03180.1 pep chromosome:Lperr_V1.4:11:2086574:2093092:1 gene:LPERR11G03180 transcript:LPERR11G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAAVAGGEAAAAAAGTGTGPSSSPAAARDGEARGVVIRWDEILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASEECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHVWRRHSMNSQTNASENNAASNHLSANGDNGSKTGENSDEESDAQSSGSKREVEIQSAEKLPEVVAGGAGPSRVHKLHNGFVDGMNTKSHALKGKDDAPSANACGDSEVQMFSTEKNGRSKFLNGITSAKVAGQIMDNALRFADANSLASSHPGKDLLAVAQNTVDSKCKSSAVENNGVMENNISENSKGAATGHTESCPSHFVEINLDKQHHLNGSTNHKLKEKDIFNHSNSSAFSRYGNKRIESSAQQPFSPSFRMADQQIVYDKNPQSSQVFLSCERNTHESTVQARVPLDSCTEGAAVLCSSNAREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGPAMPYHYGTIMQPMYYPQAAFMQCDSAAINKTSIQHASCQPNYHENLGKQSQIEHKQPEENHQLHHSRQILRESEPVDLGRAHMECVNQSASCSQDILKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNGPDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >LPERR11G03190.1 pep chromosome:Lperr_V1.4:11:2104109:2104535:-1 gene:LPERR11G03190 transcript:LPERR11G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSTPPPTFIGYPIYYSAATRAPAYGMGSRGELVATVSSGPPENVVSWTTVAEPGHWFYVSPPPGQK >LPERR11G03200.1 pep chromosome:Lperr_V1.4:11:2113509:2117598:-1 gene:LPERR11G03200 transcript:LPERR11G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSTPPPSVIYPYHCFSGHFPAPVDIYNLNGVVIKAYGMGARGELLVTASGGPPGNGAAWTTVVAEPGQWFYGTWSEQSGGYIMINSAEVAGVTNGIVAQSSNTGTGVYLPEPRVHTVQKVQQPTSLVPKTAKRDMKAPTKAGGKHRNPIPKTSTTDADNGKEIQMSYAAAVKGGPSNAARIMETADPSHATVKAGQSQMGQRFQRRNKAAMAATVTVKTPVPEKEKEKDQATTPMVNDIPELALLPEEWVY >LPERR11G03210.1 pep chromosome:Lperr_V1.4:11:2120372:2121025:-1 gene:LPERR11G03210 transcript:LPERR11G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADCYYAREQQLEVDDAVVHRLLPPEILVDIGIVADSVADIAARQRRSLAVVEELSARLVSILGGGGVGEKRAATPASGGGVTPYSYRRDLHLAGGGINGRNGVMILYHAPSTKQWPPLRPTTFLPPPPPASGSGTGVFLPRTGLASNPPRINGSKPPRQLRKEAARGH >LPERR11G03220.1 pep chromosome:Lperr_V1.4:11:2130896:2132893:1 gene:LPERR11G03220 transcript:LPERR11G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGGDRVVIVGGGIAGALLAKTLESHADVVLIDPKEYFEIPWANLRAKMEPAAVERTVIPHTEYLHHAKVVTASAVSVDDSVVLTSGGGGAVAYDFLVIATGRMCNRPQRRDDRLRMFEHDKARIASSNSILIVGGGPIGVELAAEIVMANPEKHVTIVHGGPRLLMVMGEKASSKALEWLKSKHVVVLLDQTVDVTAAAGENVNVFTTSAGETVNADCHFVCTGRPVASGWLKDSFLGEHVDGEGRLMVDEHLRVGRLRNVFAVGDITDVPEAKQGYLAQRHAMVVSRNLKLLVKDGGGKTAEQKLHRYKASKAAITVTLGRRDALSELPFMTVIGHIPGVVKPRDLFISRTRRMMGLKLSTRHDQSMLQREQH >LPERR11G03230.1 pep chromosome:Lperr_V1.4:11:2136763:2139280:-1 gene:LPERR11G03230 transcript:LPERR11G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRVKLQQILNDAQRRATFKKWLKGLMKKAKELATLCGMDTCLIVHGKGEAHVTEVWPLILEAANMTRGRVKLQQILNGAQRRATFKKRLKGLMKKDKELATLCFMDTCLIVYGKGEAYATEVWPLILEAASVLEHFMAIPWKEYTRR >LPERR11G03240.1 pep chromosome:Lperr_V1.4:11:2141116:2142586:-1 gene:LPERR11G03240 transcript:LPERR11G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDSEWHTTACDIQEAAQGLDEEGKQVGDNLWHGRMPHEHERANAQRMAVRRPLGVWLIEQSDVPKSVGLRQGWHVLAMGLPCD >LPERR11G03250.1 pep chromosome:Lperr_V1.4:11:2143305:2144195:-1 gene:LPERR11G03250 transcript:LPERR11G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASESATLCGMYACLMVYGKSEAHVMEVWSSVPKAASVLERFKAIPRIDIYKKMTNLEGFLHGYTLPPPISKDPSPSLTPLAHHTTFFCIVFNRFFRARSKQPMWYEIMS >LPERR11G03260.1 pep chromosome:Lperr_V1.4:11:2147792:2153304:1 gene:LPERR11G03260 transcript:LPERR11G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGVDTRPAAAAGGGGGGGAAAGEGALSFLSRGLREDLRLIRARAGELETFLSAPVPEPELLARLRRAYSSSSSSSSSSTTRLDLSAIGKAFGTGGEVVGRGRRGTRWGWEEMEEEWEPIRMVKARLREMERRRQWQTSDMLHKVKLSLKSMSFVPEASEEVPPLDLGELLAYFLKQSVPLFDQLGIKRDVCDKLVESLCSKRKDQLAYSSFTASEPSAFSNDNAGDELDLRIASVLQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNNMTFGSPEEQEDYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAENESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKNDLEGFKLDIYGNGEDSHEVQSAAKKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVEKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLNSSRDSTSTSECGKCGDSKMKKSASLPNMSDMVDGGLAFAHYCFTGSELLRLSTGAIPGTLNYNKQHSSDLNLLPPQVQNPIYGW >LPERR11G03270.1 pep chromosome:Lperr_V1.4:11:2158433:2165726:1 gene:LPERR11G03270 transcript:LPERR11G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHISCPAGSEDSEALSTDVACAAATASADTVTICSLVKKVMASQVHVVGEGTVGRAAFTGNHQWIIHGTANDHGLPSEVAAEMINQFKLGIQTIAIIPVLPRGVLQLGSTGVVMENKSFMTHARKLCSQLNNRSSMAVSSVKNASSQQGRSRPLHGASNVKPTENCSKGFSQFPMTSEQYKHLDTMAVSVSTSLDACMNASSLKIEQVNGHAVREHVVFSKPDVRFIQQAYRESQHGSNTQNTGMSSDLITSSLTSVQKKSLLMNNIGQLEFGDSADTPADLHRNVLLKPLVYLDPFIHENRNINISRGMAEASNGINDHGNFDFLAGGARVVRANFCTSATSQVSNRSNSVSGMLLHRQPLVSYELSQPSEYSMKIGNLERESSHIPSVLSSESDVQISNGLNTGVSQENQPNVSKHFCQDQKINRVNDLSATLSTERTNTMHGCKPPGLSVEKVSPLFVEQSVENDLFDILGPQFHHLCHNVGADLVPWTDSKSQSSDRDVPESLIHVDSSLLTSSRDNDLYSGIFSLTDTDQLLDAVISNVNLAGKQNSDDSASCKTSLTDIPSSCFPSLKDMKQCGSSGIPSGLIKHESAQFIKQPCTDSAEDGCLSQNNGMHKSQIRLWIESGQNMKCESTSASNSKGLDTPSKANRKRSRPGESPRPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNSDSSGAADNANNVNMPFGLVHQPVIPATGRIQ >LPERR11G03280.1 pep chromosome:Lperr_V1.4:11:2165690:2170637:-1 gene:LPERR11G03280 transcript:LPERR11G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSGTDGLQTLYLMNPSYAAGYSDAAAPTANMMLLNSAVTSMTPVSAFAHHHNQSPPPSSSSAAAAQHFVGIPLQAPPASGYNLWTTTATAGDMSSPTTPPPQHGGGGVSAVLSLSSREAAAPVTVAAAAGDDVVKYHHQQQGQMVMSSKYLKAAQELLDEVVSVSKGVEAAAKSPAASVKKKEESEGVSGGGGTEDGGGAPAPPPPEMSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVSSFEAVAGGGSARTYTALALRTISRQFRCLRDAIAEQVRAASRQLGEADADGAIGGGRSVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDAAAATAAGDEGKPGVNGVAVDNKAARAMAATADQAAGGVHPSLLELAGDHQSNATFYDDDGGDEDDAAAAMKKARTEESHQPPAFHVSDHVATLHAAAAAAAAARQQHDEVSHRELLMKFMESGSAATASREEHGGAGGYSLFSPAAYGHHQFGSEQFAFAGHGHGGGGGVSLTLGLPHGAEQTPATFLMSSSNGGDGGAGAGAGAGGGYDMNMQSTKSFAAQLXPAPAPAPAVDTT >LPERR11G03290.1 pep chromosome:Lperr_V1.4:11:2184333:2192393:-1 gene:LPERR11G03290 transcript:LPERR11G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAMVGVDDLIEEAKVRTVWWALCVFAISYFLTLEFHWRGQPVQKQTYLSQVSKRQLSANDHRLSTVAPVSRWRRKVGSPKVEAAFESFIENILRDFVVDLWYSDITPDREAPELIRGLILHALGEISGRAKEMNLVDLLTRDMADLIGQHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGVMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELILYLLNNKDTSSVGGNLDKSNSTATVTNAHSSHKGDSQGYQVESKNLSQESSGLAPANSSGMRPLVTQDDKSKIDHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKVEHSSRGKSSGVDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNFQSTYVTGSHPLVLQNTDEAKPKEGSQVSYSSKEKPCETINTTKAQLKRSNSTPDIEKRYRDKSNQTMVASEVLNARKNLGDKGSYPASHGEILLYVPKIRCRVVGAYFEKLQSKSFAVYSIAVTDAENKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDRYLQDLLSIANIAEQHEVWDFLSENSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSAPTSQLAENRMSLSWNQEEIDNHNLQHRNLTSAHSLSDGDSNYEDPPSSVNSASHSDNELNNSGYGSNDIKLNEAYSGFDTQASQQTEKPTRAYSDTSNMSSLNTFEDPAGIPPEWTPANVSVPLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDETLWPNGVFFTRLDGYQGNASPSKLDKHPPGSGNQSIGNRKDSASSFELQLEASRNASEVKKLLLGGTPSTLVSIIGYKQYRRSARDIYYFLQSNVCVKQLAYAMIEQVLMSLFPELRQLIEDIHEKGRKEQASFTYQL >LPERR11G03300.1 pep chromosome:Lperr_V1.4:11:2194540:2202533:1 gene:LPERR11G03300 transcript:LPERR11G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILRDITFPEEDETCQQCSNMPRGVKIILYLMKPRIFFLRTVPLRASKITGMASHQRSASLPSRLHSTESNVEDELQSLRSYIAAPSATIGTMCDGMKRLGDVYSSIEEIMCFPRNAISLSQQKKMVEEELDRSVVLIDLCNAMQENLSELKMNILELQLVLKRRDDAAVQLKFKSFVRMARKTQKPFKKTSSKTTAEYCSLKPERWLSVSLLDCTPGLLMKKIGTPSTSKWSLVSKKFQKRKVVCEEEQLQALERIIGDLEYGTEFLFRRLIQTRVNEKLELSVSEVG >LPERR11G03300.2 pep chromosome:Lperr_V1.4:11:2194540:2200741:1 gene:LPERR11G03300 transcript:LPERR11G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILRDITFPESAPQWFSSSRVFSSFSFPQFHTELIVQTSTTNMSYHQRSASLPSKPHPTEAQVEEDLQGLKACISSSSFTITTMCDGLRRLGDIYNCIEEIMCLPSNQIGLSLPQQKKMVEEELDRSLVLIDLCNAMQESFAEMKMSIQELHLVLKRGDDAAVQLKIDFFLRLAKKAQKPFKKTSSKSTSEGCRLVRLLAEAREIAVSLFESISCLLTQQIPSAKTSKWSLVSKKFQKTKVVCEERQLQVVECSMGDLENIDEELRGLRACISSPSMTIDTMCDGLMRLGCVYNRIEEIVCLPSKQVGLSLPQQRKMVEEELDRSLVLIDLCNAMQENLAELKISILELQLALRRGDDATAQLKFESFVRMARKAQRPFKKISSKAVSEYCNLVRLMTEAREMSVSLLESTSSLLLRNIGTPSSSKWSLVSKRFQKRKVVCEEEQLQALERNIGDLENGAEFLFRRLIQTREDETCQQCSNMPRGVKIILYLMMA >LPERR11G03300.3 pep chromosome:Lperr_V1.4:11:2194540:2200741:1 gene:LPERR11G03300 transcript:LPERR11G03300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILRDITFPEPHPTEAQVEEDLQGLKACISSSSFTITTMCDGLRRLGDIYNCIEEIMCLPSNQIGLSLPQQKKMVEEELDRSLVLIDLCNAMQESFAEMKMSIQELHLVLKRGDDAAVQLKIDFFLRLAKKAQKPFKKTSSKSTSEGCRLVRLLAEAREIAVSLFESISCLLTQQIPSAKTSKWSLVSKKFQKTKVVCEERQLQVVECSMGDLENIDEELRGLRACISSPSMTIDTMCDGLMRLGCVYNRIEEIVCLPSKQVGLSLPQQRKMVEEELDRSLVLIDLCNAMQENLAELKISILELQLALRRGDDATAQLKFESFVRMARKAQRPFKKISSKAVSEYCNLVRLMTEAREMSVSLLESTSSLLLRNIGTPSSSKWSLVSKRFQKRKVVCEEEQLQALERNIGDLENGAEFLFRRLIQTREDETCQQCSNMPRGVKIILYLMMA >LPERR11G03300.4 pep chromosome:Lperr_V1.4:11:2201539:2202259:1 gene:LPERR11G03300 transcript:LPERR11G03300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEDELQSLRSYIAAPSATIGTMCDGMKRLGDVYSSIEEIMCFPRNAISLSQQKKMVEEELDRSVVLIDLCNAMQENLSELKMNILELQLVLKRRDDAAVQLKFKSFVRMARKTQKPFKKTSSKTTAEYCSLKPERWLSVSLLDCTPGLLMKKIGTPSTSKWSLVSKKFQKRKVVCEEEQLQALERIIGDLEYGTEFLFRRLIQTRVFLLNILSS >LPERR11G03310.1 pep chromosome:Lperr_V1.4:11:2206835:2207095:1 gene:LPERR11G03310 transcript:LPERR11G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYTLLSLYLLLLWWCKPTEPQPMQLIKVILTFSILVCSYMFFWIIALSEIITKLVAFTGITYSHPSPCNMLARFLAWQSWFSTY >LPERR11G03320.1 pep chromosome:Lperr_V1.4:11:2209413:2210002:1 gene:LPERR11G03320 transcript:LPERR11G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHIYIQIKNVGLATKHTSNKNVVTAIMIANTLTKVKIERDPESFWILYITIIMYLLIVFGSMG >LPERR11G03330.1 pep chromosome:Lperr_V1.4:11:2210045:2210437:1 gene:LPERR11G03330 transcript:LPERR11G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFVFIVSIAMRLISYLWSLFVFMTFLDKHLVSYYTIVIRTIDSSLTVYAFTYYGVWGNVVGSFLLLLMPVAMTGFLEYAVVFQVEHS >LPERR11G03330.2 pep chromosome:Lperr_V1.4:11:2210045:2210777:1 gene:LPERR11G03330 transcript:LPERR11G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFVFIVSIAMRLISYLWSLFVFMTFLDKHLVSYYTIVIRTIDSSLTVYAFTYYGVWGNVVGSFLLLLMPVAMTGFLEYAVVVLFFDEIYSEA >LPERR11G03340.1 pep chromosome:Lperr_V1.4:11:2212794:2213021:1 gene:LPERR11G03340 transcript:LPERR11G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRTASLPSRLHSIESNVEEELQSLRSCISAPSVTIGRMHNGLRRLGRLGAFMCLPSNQVGLSLPSNQNGHA >LPERR11G03350.1 pep chromosome:Lperr_V1.4:11:2213094:2221143:-1 gene:LPERR11G03350 transcript:LPERR11G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRRGWIDRDLNNVLCEVCGDVGWEELIMQCNKCKSATSHRYCFDPVIFDESLVEWFCDDCLPNGNEVANLLDMSNQRKPSQTDLGSYIIREANVKKMEITKGLWSWGYHRNRSFKARCDGSDARTKHSASRKTFSSEIIIGEMSNLNDSETEGRGELFSSINGVERAHQVIEGGSNPTSTSMEHMDFVHKRQLIHPSSLDINSMGKTLPCPENMDVVHKMQLLKPSSLDKDYVDKPMPNSENMDVVLKERSHPLNNPMDICEKRVIAKVDRIKPSRQFDRTCLGVSSKAHEIHEFDAGSENTQSLKNGKLKKQRRLILPYEENEDVEANQVDDVNRQSCGDDGEVKKHVDIVAALGDVNVGCDQNTFSQLHPTKQSIRKYFCVQPIDESNWTGIMKIGKDYIPLDAHLSNKACKKVCELSISLPQIMKMIELPMSKVWPKGGEASVPTAESIGLFLFSHNTWSNKEFDELVKHVIDRGIVLETVVSSARLLVFPSVVLPAGYQVFQGKHYLWGAFKHRKDMDKRVALVEQNCLTHLTDEEQIHKHHALDKQHMMPCEALDQEMVPAVEKVSLSDKGPSSPVIKSPERSINLKLDTSCKVPKKWSCKSNLTWRYQAYQYTITGLQV >LPERR11G03350.2 pep chromosome:Lperr_V1.4:11:2213094:2221143:-1 gene:LPERR11G03350 transcript:LPERR11G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRRGWIDRDLNNVLCEVCGDVGWEELIMQCNKCKSATSHRGIMKIGKDYIPLDAHLSNKACKKVCELSISLPQIMKMIELPMSKVWPKGGEASVPTAESIGLFLFSHNTWSNKEFDELVKHVIDRGIVLETVVSSARLLVFPSVVLPAGYQVFQGKHYLWGAFKHRKDMDKRVALVEQNCLTHLTDEEQIHKHHALDKQHMMPCEALDQEMVPAVEKVSLSDKGPSSPVIKSPERSINLKLDTSCKVPKKWSCKSNLTWRYQAYQYTITGLQV >LPERR11G03350.3 pep chromosome:Lperr_V1.4:11:2213867:2221143:-1 gene:LPERR11G03350 transcript:LPERR11G03350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRRGWIDRDLNNVLCEVCGDVGWEELIMQCNKCKSATSHREANVKKMEITKGLWSWGYHRNRSFKARCDGSDARTKHSASRKTFSSEIIIGEMSNLNDSETEGRGELFSSINGVERAHQVIEGGSNPTSTSMEHMDFVHKRQLIHPSSLDINSMGKTLPCPENMDVVHKMQLLKPSSLDKDYVDKPMPNSENMDVVLKERSHPLNNPMDICEKRVIAKVDRIKPSRQFDRTCLGVSSKAHEIHEFDAGSENTQSLKNGKLKKQRRLILPYEENEDVEANQVDDVNRQSCGDDGEVKKHVDIVAALGDVNVGCDQNTFSQLHPTKQSIRKYFCVQPIDESNWTGIMKIGKDYIPLDAHLSNKACKKVCELSISLPQIMKMIELPMSKVWPKGGEASVPTAESIGLFLFSHNTWSNKEFDELVKHVIDRGIVLETVVSSARLLVFPSVVLPAGYQVFQGKHYLWGAFKHRKDMDKRVALVEQNCLTHLTDEEQIHKHHALDKQHMMPCEALDQEMVPAVKDTS >LPERR11G03350.4 pep chromosome:Lperr_V1.4:11:2213867:2221143:-1 gene:LPERR11G03350 transcript:LPERR11G03350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRRGWIDRDLNNVLCEVCGDVGWEELIMQCNKCKSATSHRYCFDPVIFDESLVEWFCDDCLPNGNEVANLLDMSNQRKPSQTDLGSYIIREANVKKMEITKGLWSWGYHRNRSFKARCDGSDARTKHSASRKTFSSEIIIGEMSNLNDSETEGRGELFSSINGVERAHQVIEGGSNPTSTSMEHMDFVHKRQLIHPSSLDINSMGKTLPCPENMDVVHKMQLLKPSSLDKDYVDKPMPNSENMDVVLKERSHPLNNPMDICEKRVIAKVDRIKPSRQFDRTCLGVSSKAHEIHEFDAGSENTQSLKNGKLKKQRRLILPYEENEDVEANQVDDVNRQSCGDDGEVKKHVDIVAALGDVNVGCDQNTFSQLHPTKQSIRKYFCVQPIDESNWTGIMKIGKDYIPLDAHLSNKACKKVCELSISLPQIMKMIELPMSKVWPKGGEASVPTAESIGLFLFSHNTWSNKEFDELVKHVIDRGIVLETVVSSARLLVFPSVVLPAGYQVFQGKHYLWGAFKHRKDMDKRVALVEQNCLTHLTDEEQIHKHHALDKQHMMPCEALDQEMVPAVKDTS >LPERR11G03350.5 pep chromosome:Lperr_V1.4:11:2213867:2221143:-1 gene:LPERR11G03350 transcript:LPERR11G03350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRRGWIDRDLNNVLCEVCGDVGWEELIMQCNKCKSATSHRGIMKIGKDYIPLDAHLSNKACKKVCELSISLPQIMKMIELPMSKVWPKGGEASVPTAESIGLFLFSHNTWSNKEFDELVKHVIDRGIVLETVVSSARLLVFPSVVLPAGYQVFQGKHYLWGAFKHRKDMDKRVALVEQNCLTHLTDEEQIHKHHALDKQHMMPCEALDQEMVPAVKDTS >LPERR11G03360.1 pep chromosome:Lperr_V1.4:11:2231122:2234191:-1 gene:LPERR11G03360 transcript:LPERR11G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKPPAAADVADEQPAEPPDEDPDVAESDPTGRYLRYKEIIGSGSSKTVYKAFDAVDGIEVAWGKVEINDRIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNKRTVNIVTELFTSGNLREYRTKHKNVDMKAMRRWAKQILTGLEYLHSQEPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTVEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFVQIYKKITEGVKPAALSKVKDAEVREFIESCLASVSDRLPASELLKSPFLQSDDPNDKRSNSVQELLKITENIDLDSNDEPIFVSLAPNGTVNGKEESFSLVLQKSEFVLEGNMSTTNPVMLFLRFPAPDGKFKNVQFPFDMEKDTSLSVSTEMIEQLELPELNNPILAELIDAFFLYIFRSWKPCVKVGKMLPSSS >LPERR11G03370.1 pep chromosome:Lperr_V1.4:11:2237095:2237988:1 gene:LPERR11G03370 transcript:LPERR11G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAATSTHAVVEVAIKMSSYSFLIAFAFAIALLSPRHVDATSRSLLLAADAPAPAMFAAAETPLVEPPAAAPPVNAVVVGVGEAVPPPLGKENGHVKKSKHKEHDKPASPPPPPLKKHEKAPPKSKHHAPPPQQDFSPPAPPPESSSTNTPDDAPPPETENPHHGGRDRRNHWPPFPAWPQPGKNWPPLPPFPFHPLPTPEWPQPGEKWPPLPPTAPEWPHPGKNWPPLPPFPFHPPPTPEWPHPGKNWPPLPPFPFHPPPMPVWPHPGNHWPPLPPFHGGVDVPAAIKEEEVHG >LPERR11G03380.1 pep chromosome:Lperr_V1.4:11:2237121:2238005:-1 gene:LPERR11G03380 transcript:LPERR11G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKMHHPCTSSSLIAAGTSTPPWNGGNGGQWLPGCGHTGIGGGWNGNGGNGGQFFPGCGHSGVGGGWKGNGGSGGQFFPGCGHSGAVGGKGGHFSPGCGHSGVGSGWKGNGGSGGQFFPGCGHAGNGGQWLRRSRPPWWGFSVSGGGASSGVFVDDDSGGGAGGEKSCCGGGAWCLDFGGAFSCFLSGGGGGDAGLSCSLCLLFLTWPFSFPNGGGTASPTPTTTAFTGGAAAGGSTNGVSAAANIAGAGASAASRSERDVASTWRGESNAMAKANAMRNEYDDILMATSTTA >LPERR11G03390.1 pep chromosome:Lperr_V1.4:11:2242089:2255394:1 gene:LPERR11G03390 transcript:LPERR11G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPSARGHHRRAHSETFIRLPDADLLLDPDGDFGFSDLDFPSLSDDSPAASDPTPPPLPPLQQQQSSSSAAPPPPRPVPGGGGGGGGHLRSLSLDAAFFDGLSFQGGGGGGIGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELSLLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGSSFNGVQQQQQMPSYFSQPQQMHYLSGHQGRQHHPNNPHNSFNGGQSMSGQTLNDSMDFIILGQENGNLVAIQEELMEENSLSDLLLAGAEAVEAGDPILASVVFSRLDDFLLSQIPENAAASSFDRLAYHFDQGLRSRVSSACTGCYQPEPPPSGNMVVHQIIQELSPFVKFAHFTTNQAILDATVGDMDVHVVDLNIGEGIQWSSFMSDLARRGGKSFILTAIMAYADCKDSTHDTAVRLLSEFASVLLGCVKKLQPKLVVIVEDDLVRIGRGAPPSSASFVDFFFEALHHFTMLFESFLSCFSYGNYEACVRLVETELVGPRIQDFVGEYRSVRIETNACKVLEGFKACELSACNIAQARMLVGLFNRVFGVVFEKGRLALCWKSRPLISVSVWAPDDRRRLEHILLRMHSVVEEAEGCHITNPGMLLLQGFIEGFYLGYYMLDEIKFQPPEEESIKDEGDTSRAQTSRRISAAKDSGRSSTQHEWKLLQRRSTAAADAVYFSQPQQMHYLSDHQGRHHHPNNPHNSFNGGQSMNGQALNDSMDFR >LPERR11G03390.2 pep chromosome:Lperr_V1.4:11:2242089:2255394:1 gene:LPERR11G03390 transcript:LPERR11G03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPSARGHHRRAHSETFIRLPDADLLLDPDGDFGFSDLDFPSLSDDSPAASDPTPPPLPPLQQQQSSSSAAPPPPRPVPGGGGGGGGHLRSLSLDAAFFDGLSFQGGGGGGIGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELSLLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGSSFNGVQQQQQMPSYFSQPQQMHYLSGHQGRQHHPNNPHNSFNGGQSMSGQTLNDSMDFIILGQENGNLVAIQEELMEENSLSDLLLAGAEAVEAGDPILASVVFSRLDDFLLSQIPENAAASSFDRLAYHFDQGLRSRVSSACTGCYQPEPPPSGNMVVHQIIQELSPFVKFAHFTTNQAILDATVGDMDVHVVDLNIGEGIQWSSFMSDLARRGGKSFILTAIMAYADCKDSTHDTAVRLLSEFASVLLGCVKKLQPKLVVIVEDDLVRIGRGAPPSSASFVDFFFEALHHFTMLFESFLSCFSYGNYEACVRLVETELVGPRIQDFVGEYRSVRIETNACKVLEGFKACELSACNIAQARMLVGLFNRVFGVVFEKGRLALCWKSRPLISVSVWAPDDRRRLEHILLRMHSVVEEAEGCHITNPGMLLLQGFIEGFYLGYYMLDEIKFQPPEEESIKDERRISAAKDSGRSSTQHEWKLLQRRSTAAADAVYFSQPQQMHYLSDHQGRHHHPNNPHNSFNGGQSMNGQALNDSMDFR >LPERR11G03390.3 pep chromosome:Lperr_V1.4:11:2242089:2255394:1 gene:LPERR11G03390 transcript:LPERR11G03390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPSARGHHRRAHSETFIRLPDADLLLDPDGDFGFSDLDFPSLSDDSPAASDPTPPPLPPLQQQQSSSSAAPPPPRPVPGGGGGGGGHLRSLSLDAAFFDGLSFQGGGGGGIGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELSLLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGSSFNGVQQQQQMPSYFSQPQQMHYLSGHQGRQHHPNNPHNSFNGGQSMSGQTLNDSMDFIRLEHILLRMHSVVEEAEGCHITNPGMLLLQGFIEGFYLGYYMLDEIKFQPPEEESIKDEGDTSRAQTSRRISAAKDSGRSSTQHEWKLLQRRSTAAADAVYFSQPQQMHYLSDHQGRHHHPNNPHNSFNGGQSMNGQALNDSMDFR >LPERR11G03400.1 pep chromosome:Lperr_V1.4:11:2256229:2261039:-1 gene:LPERR11G03400 transcript:LPERR11G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDDEVDRHCGRKVDSVMGSGGDARGGGGDATDSGGDAISNSGGSRVKRSISHQKPVIRTSMYNAEENGFEDDELSIRRYMTIASLIVNILLFAARYIGPKSFKEAFSPSVVPKIREDYFRRTLAMLH >LPERR11G03410.1 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLVVSEEETAFAVGGFLDRGFSPEAAPIRAQNYSNHTCQEDDRKRLERILLRMHSVVEEAEGRYITNQGMLLQLKGLIEGFYLGCYMLDKIKFQPPEEESIRDEVSHEIQSFALPTSNSAKRFRFADAIRKHTPVAFGSWTTTNLKDVVDSLETKIKDMREFVMLLGSYPRLPRQPYSTYLYIDKCMFGRRIEKEQVIKFLLFNDPHDPVVSILPIIGPRNIGKKTLMQHACQDERVRNSFSHIFFFKEDDLKIGELSVNSKASPGKYLYMIEFIWDVDEAAWTKFQSYLQNMAATEIKVVVLSRTEDIAKFGTCQPIRVKRLSEEEYWYYFKVLAFGSMDPDEHPKLASLGLQVANEMNASFIGASFIGELLRSNPNTQFWKRILLCFRELTREHLSSSGLHHDNLFERNIPVDATTFFRVSSQVQSLLVYDLRVVHPAQSELPNVSSREILLGGDMPVEDKFDALVWKSRIPPYCAYIATYEKRKPQSMLYSDHVSHFISFQTTKEDREKLKSVLKIQTVMALHLVLVFRENCKNRHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPGLAHFANSNFDNLLPSKARVSMEILISAVADDLISQFISSVAQNYSNHTCKEDDCKRLERILLRMHSVVEEAEGLYITNQGMLRQLKGLTKDFYLRYYMLDKIKFQPPEEESIRDEVSHEIQSFALSTFNSAKRFPFADAIGKHRPIVFGRSRTNLKDVVDGLETKITDMREFVMFLGGYPRLPRQPYKDDQKIGEVSLNSKASPGKYLFVIEFILDVDEAAWTKFRSYLQNMTVTGIKVIVMSRTEDIAKFGTCQPIKMQRLSEEEYWYYFKALSFGSVDPDEHPNLASLGMQVANELNRSFIGATVIGDLISRFISSVAKNYSNHTCKDDDLRRLERILLRMHSIVEETDGRHITNQGMLLQLKGFIEGFYLGHYTLDKIKFQPTEEGCIEDEVSHEIHSFALSTCNSAKRFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03410.2 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLVVSEEETAFAVGGFLDRGFSPEAAPIRAQNYSNHTCQEDDRKRLERILLRMHSVVEEAEGRYITNQGMLLQLKGLIEGFYLGCYMLDKIKFQPPEEESIRDEVSHEIQSFALPTSNSAKRFRFADAIRKHTPVAFGSWTTTNLKDVVDSLETKIKDMREFVMLLGSYPRLPRQPYSTYLYIDKCMFGRRIEKEQVIKFLLFNDPHDPVVSILPIIGPRNIGKKTLMQHACQDERVRNSFSHIFFFKEDDLKIGELSVNSKASPGKYLYMIEFIWDVDEAAWTKFQSYLQNMAATEIKVVVLSRTEDIAKFGTCQPIRVKRLSEEEYWYYFKVLAFGSMDPDEHPKLASLGLQVANEMNASFIGASFIGELLRSNPNTQFWKRILLCFRELTREHLSSSGLHHDNLFERNIPVDATTFFRVSSQVQSLLVYDLRVVHPAQSELPNVSSREILLGGDMPVEDKFDALVWKSRIPPYCAYIATYEKRKPQSMLYSDHVSHFISFQTTKEDREKLKSVLKIQTVMALHLVLVFRENCKNRHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPDDLISQFISSVAQNYSNHTCKEDDCKRLERILLRMHSVVEEAEGLYITNQGMLRQLKGLTKDFYLRYYMLDKIKFQPPEEESIRDEVSHEIQSFALSTFNSAKRFPFADAIGKHRPIVFGRSRTNLKDVVDGLETKITDMREFVMFLGGYPRLPRQPYKDDQKIGEVSLNSKASPGKYLFVIEFILDVDEAAWTKFRSYLQNMTVTGIKVIVMSRTEDIAKFGTCQPIKMQRLSEEEYWYYFKALSFGSVDPDEHPNLASLGMQVANELNRSFIGATVIGDLISRFISSVAKNYSNHTCKDDDLRRLERILLRMHSIVEETDGRHITNQGMLLQLKGFIEGFYLGHYTLDKIKFQPTEEGCIEDEVSHEIHSFALSTCNSAKRFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03410.3 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLVVSEEETAFAVGGFLDRGFSPEAAPIRGQVNKSEDFPYHLKRARHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPGLAHFANSNFDNLLPSKARVSMEILISAVADDLISQFISSVAQNYSNHTCKEDDCKRLERILLRMHSVVEEAEGLYITNQGMLRQLKGLTKDFYLRYYMLDKIKFQPPEEESIRDEVSHEIQSFALSTFNSAKRFPFADAIGKHRPIVFGRSRTNLKDVVDGLETKITDMREFVMFLGGYPRLPRQPYKDDQKIGEVSLNSKASPGKYLFVIEFILDVDEAAWTKFRSYLQNMTVTGIKVIVMSRTEDIAKFGTCQPIKMQRLSEEEYWYYFKALSFGSVDPDEHPNLASLGMQVANELNRSFIGATVIGDLISRFISSVAKNYSNHTCKDDDLRRLERILLRMHSIVEETDGRHITNQGMLLQLKGFIEGFYLGHYTLDKIKFQPTEEGCIEDEVSHEIHSFALSTCNSAKRFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03410.4 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLVVSEEETAFAVGGFLDRGFSPEAAPIRAQNYSNHTCQEDDRKRLERILLRMHSVVEEAEGRYITNQGMLLQLKGLIEGFYLGCYMLDKIKFQPPEEESIRDEVSHEIQSFALPTSNSAKRFRFADAIRKHTPVAFGSWTTTNLKDVVDSLETKIKDMREFVMLLGSYPRLPRQPYSTYLYIDKCMFGRRIEKEQVIKFLLFNDPHDPVVSILPIIGPRNIGKKTLMQHACQDERVRNSFSHIFFFKEDDLKIGELSVNSKASPGKYLYMIEFIWDVDEAAWTKFQSYLQNMAATEIKVVVLSRTEDIAKFGTCQPIRVKRLSEEEYWYYFKVLAFGSMDPDEHPKLASLGLQVANEMNASFIGASFIGELLRSNPNTQFWKRILLCFRELTREHLSSSGLHHDNLFERNIPVDATTFFRVSSQVQSLLVYDLRVVHPAQSELPNVSSREILLGGDMPVEDKFDALVWKSRIPPYCAYIATYEKRKPQSMLYSDHVSHFISFQTTKEDREKLKSVLKIQTVMALHLVLVFRENCKNRHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPGLAHFANSNFDNLLPSKARVSMEILISAVADDLISQFISSVAQNYSNHTCKEDDCKRLERILLRMHSVVEEAEGLYITNQGMLRQLKGLTKDFYLRYYMLDKIKFQPPEEESIRDERFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03410.5 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLYSDHVSHFISFQTTKEDREKLKSVLKIQTVMALHLVLVFRENCKNRHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPGLAHFANSNFDNLLPSKARVSMEILISAVADDLISQFISSVAQNYSNHTCKEDDCKRLERILLRMHSVVEEAEGLYITNQGMLRQLKGLTKDFYLRYYMLDKIKFQPPEEESIRDEVSHEIQSFALSTFNSAKRFPFADAIGKHRPIVFGRSRTNLKDVVDGLETKITDMREFVMFLGGYPRLPRQPYKDDQKIGEVSLNSKASPGKYLFVIEFILDVDEAAWTKFRSYLQNMTVTGIKVIVMSRTEDIAKFGTCQPIKMQRLSEEEYWYYFKALSFGSVDPDEHPNLASLGMQVANELNRSFIGATVIGDLISRFISSVAKNYSNHTCKDDDLRRLERILLRMHSIVEETDGRHITNQGMLLQLKGFIEGFYLGHYTLDKIKFQPTEEGCIEDEVSHEIHSFALSTCNSAKRFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03410.6 pep chromosome:Lperr_V1.4:11:2272339:2298515:1 gene:LPERR11G03410 transcript:LPERR11G03410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLISAVASDLISRFISYLTQNYSDHTCKQDDRRRLERILLRMHSVVEEAEGHHITNRGMLLQLKAFIEGFYLGHYMLDKIKFQPPEEESIDDEVVVIGRTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGLQVAEEMNGSFLGATVIGEILRANPNTQFWQSILLCLRELAHKHLSSCGLHPDILFESNIPVDFTRIAFVGAQVQAYMVYDLRVADRAQSELPNLTSREIILGNIPVEDKFDALVWRSRIPPYCDYIATFEKRKPRRMLVVSEEETAFAVGGFLDRGFSPEAAPIRAQNYSNHTCQEDDRKRLERILLRMHSVVEEAEGRYITNQGMLLQLKGLIEGFYLGCYMLDKIKFQPPEEESIRDEVSHEIQSFALPTSNSAKRFRFADAIRKHTPVAFGSWTTTNLKDVVDSLETKIKDMREFVMLLGSYPRLPRQPYSTYLYIDKCMFGRRIEKEQVIKFLLFNDPHDPVVSILPIIGPRNIGKKTLMQHACQDERVRNSFSHIFFFKEDDLKIGELSVNSKASPGKYLYMIEFIWDVDEAAWTKFQSYLQNMAATEIKVVVLSRTEDIAKFGTCQPIRVKRLSEEEYWYYFKVLAFGSMDPDEHPKLASLGLQVANEMNASFIGASFIGELLRSNPNTQFWKRILLCFRELTREHLSSSGLHHDNLFERNIPVDATTFFRVSSQVQSLLVYDLRVVHPAQSELPNVSSREILLGGDMPVEDKFDALVWKSRIPPYCAYIATYEKRKPQSMLYSDHVSHFISFQTTKEDREKLKSVLKIQTVMALHLVLVFRENCKNRHAAAMEAKFSQLAAAEFHQICCIKRKSANKIRGNAWRERSSSERGRDGWPERDRLVGFSSEAARFKVLYGDSPPCAPGDLISRFISSVAKNYSNHTCKDDDLRRLERILLRMHSIVEETDGRHITNQGMLLQLKGFIEGFYLGHYTLDKIKFQPTEEGCIEDEVSHEIHSFALSTCNSAKRFRFADAVRKHTPIAFGSRSTTNLKGFIEDLETMIKDMREFVMLLGSYPCLPRQPYGTYLNIDKCMFGRRIEKEQVINFLLCNDPHDPFVSILPIIGPRNIGKKTLVQHACLDERVSNFFSHIFFFKEYDLKIGELSVNTKASPGKYLFVIEFIWDVDEVAWTKFQSYLQNMDGIGIKVVILSRTEDIAKFGTCQPIRIKRLSEEEYWYYFKALAFGSMNPDEHPKLASLGLQVANEMNGSFIGATLIGEILRANPNTQFWKRMLFFLRELARKHLYSCGAHPEDLLERNIPIVTTIFCVGVQVQAYLVYDLRVAGHTQSELPNMTSQEILLGNIPVEDKFDVLVWKSRIPPYCAYIATHEKQKPRFKT >LPERR11G03420.1 pep chromosome:Lperr_V1.4:11:2298574:2298896:-1 gene:LPERR11G03420 transcript:LPERR11G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFVIAYMCDTFVSDKKAFGGSIPKTVSDKEWWLPTDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >LPERR11G03430.1 pep chromosome:Lperr_V1.4:11:2300596:2311504:1 gene:LPERR11G03430 transcript:LPERR11G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFNGPMIPTYTPVALTIHSMDEAKRKVSVIHIKGIVNPEDLLGINSPVDFTMVAFVGGGLTFKHSWLCLWEAGCAKAISSWFWLTMDTIVSAVAGDLVSRFISYLVQKYGKQHTTEGDLERLKSVLLRIHTIVEEAEARQIRNRGMILQLKGLMEGMFLGYYVLDLFKFQSVEEGAEDDQTSNKRFRFSTCTRNSPLLPVAPVFLSNPTAHTCSWTSACLVDILRKSRIWLSFQSLGLTELEREPLSIMLVKMKGWDVDVEAWKIFRSSMNKSAVSGSKVIIIGRTDEITKWGTAPPIRLNSLSPEMYWYYFKTLTFGSMNPDDHPKLAYLAMQLATELRGSFLGANILGHILRANPNAEIWSEFLMSLRGMTQKNLFIFAEHCSEANAQNHHFDTKVAFMSIRGYMVYDLRESFHFQSKIPRLTLEGLHLDVKSHYGLKFDVLVGRSNIPPFCNYLATFFKPKPSRRIVRRKDHLAVASYKQDLNYQQAWLGMEVLISAVVGELISRFISSLEQNYSSHTCEEEDRKRLQRILLRIHSVVEEAEGRHITNQGMLLQLKRLIERFYLGYYMLDKVKFQIPKEESIKDEVSHEIHSSAVTTSNSTKRFRFADAVRKHTPVAFGGRSTISLKVVVEDLETTITDMREFVMLLGNYPRLPRQPCSTYLHIDKCMFGRRMEKEQVINFLLCNDPHDPYVSILPIIGPHRIGKKTLVQHACLDERVCNFFSHIFFFKEDDLKIGEFSLNSKASIGKYLFVIEFIWDVDVAAWTKFQSYLQNIPGTEIKVVVIGRTEDIAKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATETNGSFLAANILGELLRANPNTQFWQSVLLSLRELVRKHLSCFGVHPEDLLERNTPVDFTRVAFVGAQVQGCMVYDLREADPSQSDLPKLTSREVLSGGNIPVEEKFDVLVWKSLIPPYCDYIATFEKQKPRRMVGKRNTIYH >LPERR11G03430.2 pep chromosome:Lperr_V1.4:11:2300596:2311504:1 gene:LPERR11G03430 transcript:LPERR11G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFNGPMIPTYTPVALTIHSMDEAKRKVSVIHIKGIVNPEDLLGINSPVDFTMVAFVGGGLTFKHSWLCLWEAGCAKAISSWFWLTMDTIVSAVAGDLVSRFISYLVQKYGKQHTTEGDLERLKSVLLRIHTIVEEAEARQIRNRGMILQLKGLMEGMFLGYYVLDLFKFQSVEEGAEDDQTSNKRFRFSTCTRNSPLLPVAPVFLSNPTAHTCSWTSACLVDILRKSRIWLSFQSLGLTELEREPLSIMLVKMKGWDVDVEAWKIFRSSMNKSAVSGSKVIIIGRTDEITKWGTAPPIRLNSLSPEMYWYYFKTLTFGSMNPDDHPKLAYLAMQLATELRGSFLGANILGHILRANPNAEIWSEFLMSLRGMTQKNLFIFAEHCSEANAQNHHFDTKVAFMSIRGYMVYDLRESFHFQSKIPRLTLEGLHLDVKSHYGLKFDVLVGRSNIPPFCNYLATFFKPKPSRRIVRRKDHLAVASYKQDLNYQHRFISSLEQNYSSHTCEEEDRKRLQRILLRIHSVVEEAEGRHITNQGMLLQLKRLIERFYLGYYMLDKVKFQIPKEESIKDEVSHEIHSSAVTTSNSTKRFRFADAVRKHTPVAFGGRSTISLKVVVEDLETTITDMREFVMLLGNYPRLPRQPCSTYLHIDKCMFGRRMEKEQVINFLLCNDPHDPYVSILPIIGPHRIGKKTLVQHACLDERVCNFFSHIFFFKEDDLKIGEFSLNSKASIGKYLFVIEFIWDVDVAAWTKFQSYLQNIPGTEIKVVVIGRTEDIAKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATETNGSFLAANILGELLRANPNTQFWQSVLLSLRELVRKHLSCFGVHPEDLLERNTPVDFTRVAFVGAQVQGCMVYDLREADPSQSDLPKLTSREVLSGGNIPVEEKFDVLVWKSLIPPYCDYIATFEKQKPRRMVGKRNTIYH >LPERR11G03430.3 pep chromosome:Lperr_V1.4:11:2300057:2300593:1 gene:LPERR11G03430 transcript:LPERR11G03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEEGGWWRKRIILPLNSRCVTQQQRGRTLRDERLKGVLLKIHTIIEEAKAWQITN >LPERR11G03440.1 pep chromosome:Lperr_V1.4:11:2312884:2314332:1 gene:LPERR11G03440 transcript:LPERR11G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILISAVASDLLSRLFSYLIQKYEQQHTTTGGDLVRLRNVLLRIHTVVEEAESRQIRNQGMILQLKGLMEGMFLGYYVLDTFKFQSVEEEGVDEDQQVSRKRLCFSTGTSRRASLLALPTERGTVLKNVLESLEIKISDVRELVMLLASCPPLPQQPYSTYLFMDKCMFGRHGEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRDHFLDVVFLHGDDLGNISLIPSRKYLCIVEFSLDVDVEAWKIFRSYMKNAAVGGSKVIIIGRTDEILQWGTVQPIRLQSLSPEMYWYYFKELSFGSMNPDEHPKLAFLGMQVAKELQGSFLGANILGHILRANPNAAIWNGILRGLREMSRNRLSVFTEHPPEGNSPKKNHPSDTAKLTFLRSRGCMMYDLREVGHFQSNIPRLTPGGVELEGEIPYNLGFDVLVWISRIPPFCKYVATFWKPRPRRIVRRKNPLAVTTYQHDLV >LPERR11G03450.1 pep chromosome:Lperr_V1.4:11:2319074:2322323:-1 gene:LPERR11G03450 transcript:LPERR11G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSGAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNLTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCQDSTSSSTAGCGKIIERWIIQYETRNFCGDNGNGSKNNVRKSRSSSGEDHSLYRATYQGSTVLLRSLHLVVRLLPAYSLFRDLNSSGRIRPLNLSHKISSFVEPFTRQEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASESTTPVPPEIIKDYVGSPTTDFLKQFNSLPSAGIAPACIAMNRRHSWSIEHGAGTSVSPSPSPTDSEPHGSPQTCIPLRVSLKRGSHIQNASPSGQNKYTQFEECCHPSSPLSPSPSHSPSGSYPKNPLFRYRSTPVVIPTVKSGGASSRLPPSPCSKGKHQFSSHSDNLAPSPDDNSNVRKDLVSLGEVEKDKALQKVLSCNKYDLGYFHGLKLARTPSKLFIMGELDEHELAFACEDKDTIIDQLSRADISDREEQKMNEDAGGSSTRSPAAAIGALVRLLKTAPGLREGLQSDAGAVVPQEPSSVQKVVNEEHGSIASSSTVASSSLLKSRTATDALEELKKYREIKESIQNRRKTQSRDTKLGEKQTDGEP >LPERR11G03460.1 pep chromosome:Lperr_V1.4:11:2322459:2323058:-1 gene:LPERR11G03460 transcript:LPERR11G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALPIHPPSKTNPTAQIPSPRPSSPPTLRRKPATAKGQKKPSSPNLLPSAGVPPPSPPPPPPAATSFPAPAQLLEKSRPRAFPLASCPRVGRRVKGAGAKGADAEVGGREAGAETEGISVGETRLVGEERNPGVDGDEGEIGRGTTAIRVDHWLLVGNRILLLAREGWGFRLQLQQPPPLAVKLLDPLYPPRDWLRIR >LPERR11G03480.1 pep chromosome:Lperr_V1.4:11:2345289:2352797:-1 gene:LPERR11G03480 transcript:LPERR11G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKAAATAVAFSSLLLPWRNLRGGVAGMISKSSTAARASRQAYSLCMQNRQQDHVAVPECVTLVGGGGVFIPGTFHVASDRMLIFL >LPERR11G03490.1 pep chromosome:Lperr_V1.4:11:2357787:2358528:1 gene:LPERR11G03490 transcript:LPERR11G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVYLFMLVAAAVGAFAVAASLNQTVHKFYEHQFKNALEWSEFAFTWLNGMYFIMLITTAT >LPERR11G03500.1 pep chromosome:Lperr_V1.4:11:2363193:2364250:1 gene:LPERR11G03500 transcript:LPERR11G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFFRKPKDWASSFYDCFTPLTLWLEGVYSAIELFLLLVFIGDIQENWGRLVVGFVGVALQLAGVVFFVMMKATRDPRFPIGKAENQSLAAIISFMVFSLLVLYKANLKPTEDNVGIPRSFAAIGGLIQLVIFFSSPIGRWIDNRNFNDDEPETRRIKLSAAVVRMITLSFDSALFLARGHWKEPLKKPMLKLVGT >LPERR11G03510.1 pep chromosome:Lperr_V1.4:11:2369117:2372309:-1 gene:LPERR11G03510 transcript:LPERR11G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLGLAALLSSAATNTLDFLTRQLRPSLDPPTHVTHDDDDEDSDLAYNESGGGDDDDEGNRQQQASNYRRGAEENPGSAIQPHIQRGMRADEELVDPKKYLEERCKPQCVKPLYEYEVAPKLLEKLK >LPERR11G03520.1 pep chromosome:Lperr_V1.4:11:2380569:2383440:1 gene:LPERR11G03520 transcript:LPERR11G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >LPERR11G03520.2 pep chromosome:Lperr_V1.4:11:2381205:2383440:1 gene:LPERR11G03520 transcript:LPERR11G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >LPERR11G03530.1 pep chromosome:Lperr_V1.4:11:2386992:2388302:1 gene:LPERR11G03530 transcript:LPERR11G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNQPPRNTMQGHPYGSLDFHGVPVQMHPPNSGKQVFNQPQIPGNFTISVDRITEPDNISDGVQLGQHGKIAHHHHKHHSKNHGSDEEDHDMNEDAPDTHSGKDKKGSAWHRMKWTDSMVKLLITAVSYMGEDPGADLGGGRRNFSIMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHALLDRMDISDKMKEDARKILSSKHLFYEEMCSYHNNNRISLPEDPALQQSLLLALRCKEDNDFRRDASGDAEQDDDHSEDSDYEENGEDHQAIDTNIRGPSMHKRPWYMVDDVGFVTSCSNDGSGRSDPYNAVLDINKAFADGTDLALVQKDLALKSHEIQKHRLQIEVKAVRLAKQRLRWEKFRKNKDRELEMMALENEQMMLENKRFELDLRSKELELEVKIKGNDNRA >LPERR11G03540.1 pep chromosome:Lperr_V1.4:11:2400691:2403715:-1 gene:LPERR11G03540 transcript:LPERR11G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQFMCLSRSMHSTRNTLIILLTIIGTASFFRGGGACVPSERAALLAFKKGITSDPAKFLSSWSGWDCCRWRGVVCSNRTGHVLKLHLGNPDPDIDFDTGYSTSYENALSGEISPSLLSLGYLEYLDLSMNYWGGETSPMPLFLGSMKNLRYLNLSGIHFSGSVPPQYGNLSKLQYLDLGNTVESADLTLFRNLPMLQYLGMSQIHLSSIADWPQKLNMIPSTDQSLPHLNLTKLEKLDLSGNDFNHSIASCWFWKATTLKYLKLSSTSLFGQLNDAPENMTSLQVLDLSCFPFTQVVDLEHDGHYYQPDSRENNLYTLQMVGNFKNLCSLQILDLSDSYKSGDLTMLLESLPQCAWGKLRGLHLSCNNFTGTLPNLIEHFTSLRTLELNDNKLSGRIPPGIGKCTRLSTLHIYNNHLNGSVPTEIGVLAYLTSLDVSNNQLSGVITEEHFKGLTGLRTLDLSYNNNLRVIVEGGWLPPFRLEYGVFASCQIGPLFPAWLRQQLSISHLDFSSTGMKDKIPEWFWSTVSQATYLDISDNELTGSLPAHLDDMAFTRLNLSSNHLTGPVPPFPRNIKTLDISSNSFSGILPLSEAPELSILLMFSNQISGRIPESMCNMTLIDLDLSSNILEGEIPQCFANLELGFLLLSNNSLTGMFPTVLGNNTRLKMLDLSWNQFFGQLPTWIGERKCLSFLRLGHNMFSGNIPLEIGYLTSLQFLDLSSNNLSGVIPQHLANLSGMTTLTADQRGIFGYHTTNRWGNKVLDVIDEDQFDELLLIIIKGQQLKYGKGLDYFVSIDLSDNSLSGEIPIDITSLDALINLNLSSNHLRGKIPNKIGAMKSLESLDLSKNKLSGEIPSSLSNLTSLSYMNLSYNNLTGRIPSGRQLDTLNADNPSLMYIGNNGLCGPPLQKKCSGNGSFIHGNGTSYYRNELEPLSFYLGLVVGVVVGLWMVFCALLFKKTWRIAYFRLFDKFYDTVYLSIVLKWASLTRNASVE >LPERR11G03550.1 pep chromosome:Lperr_V1.4:11:2416191:2422805:1 gene:LPERR11G03550 transcript:LPERR11G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELDDPAVPVIQSSCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNPQFCGKITFPISLKQPGPRDGSIQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNCRATCTEYVISMNANSISRSTNNYIGKLRSNFLGTKFVIYDTHPPYNATSVSQSGKTSQRFYSNKGTAKLPSSRYNIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGSVPCQPDSILAHSLNESSFRSVSFSKSSIMEHSMHFSSARFSDISIGDGPRIGRRDFSDDEECKETPLILHNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >LPERR11G03560.1 pep chromosome:Lperr_V1.4:11:2430685:2431944:1 gene:LPERR11G03560 transcript:LPERR11G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSTHFHPSPFSSFGRSLLSLRRDIPAVHAGAAMAAVSGEEADLEAFQRHVAAHLADLRSGEDELLSIEWIRRLLEAFLLCQEEFRVVVALARRRGGGMSPASEKMVGDFYERAVKALDVCNAARDGVDQVRRWERLAGIAASVLLVAPAGEIHEGQLRRSRKALSDLSVLLIDDAAAAAAAASSTTVTSFLSSHRNRSFGRAAARFSPSRTTANSSSSSSSSFRSLSWSVSRNWSAARQLQAIGSGLTAPRGHEGGMAAAVYAMGCLLHLTTWALVAAVPCPDRAAAAAPAPPSRAAAFPWAPPLLALQERIAEEGKRKERRNSSGLLREIHALERCTQRLAEAIDVAAPTFPLSGERDAKLREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >LPERR11G03570.1 pep chromosome:Lperr_V1.4:11:2436530:2437822:-1 gene:LPERR11G03570 transcript:LPERR11G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKVGPFGGQAAGRVMDISPNQPPAQLKNIEIWHNQTAGIITAIRFTYTNDQDDTFTVPNATNVWGDQRSGSPQTIIIDIDGGEYVTKMEGTHNGSHVSSLRITTNMRPSQWFGNQSKGNHSFSVPLNKGGILAFFVRASNCINAIGVYVGSIE >LPERR11G03580.1 pep chromosome:Lperr_V1.4:11:2440521:2441857:-1 gene:LPERR11G03580 transcript:LPERR11G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCQGNILLTGPSLATSAYGHVIFNLCLHEDSQEDEDIENNGRIFNYTCDGKFYDYNRAIVKSVSTRYSPAEVTYVVLTNVVQECKDSWLVRYKDEHGDPIVANGIHGRIVTHSKLLNNVRCVLFCDESGGSMHVGPGGLIPLVRQACACRASYDANDDRVGLAFFFQL >LPERR11G03590.1 pep chromosome:Lperr_V1.4:11:2443387:2444744:-1 gene:LPERR11G03590 transcript:LPERR11G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKVGPFGGQAAGRVFDISPNQPPAQLKNIEIWHNETAGIITAIRFTYSNEQDDTFTVPNATNVWGDQRSGSPQTIIIDIDGGEYVTKMEGTHNGSHVSSLRITTSMKTSRWFGNQSKGNHSFSVPLNKGGILAFFVRASNCINAIGVYVGSI >LPERR11G03600.1 pep chromosome:Lperr_V1.4:11:2447814:2448066:-1 gene:LPERR11G03600 transcript:LPERR11G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYLTYVFMTIVKKMKSVSTQYGPAEVTYVVLTNVVQGHLARKDEHGNPIVATSIHGRIFTHSKLLSDKCVLFSSE >LPERR11G03610.1 pep chromosome:Lperr_V1.4:11:2449762:2450256:1 gene:LPERR11G03610 transcript:LPERR11G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIELDLRFSFSGEIMPFEFNPITSDQHVECVTGIGGDEIQVAISWSDLLW >LPERR11G03620.1 pep chromosome:Lperr_V1.4:11:2451404:2451866:-1 gene:LPERR11G03620 transcript:LPERR11G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGSIDVLRGADARYSKQHGGYSGILTFFVEVSTQIERHKSAISCSQAAAAGRCVVGHRFPKQHSIRSNMGKILFRNSDFD >LPERR11G03630.1 pep chromosome:Lperr_V1.4:11:2452655:2455578:-1 gene:LPERR11G03630 transcript:LPERR11G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDELPSSTKLESLELSVYNTTLRLPAVVAFDSLKDLTLGFITDDEGSGRRHLGNLLSSTCCPCLQKLRLMEIAGLEELRLNAGELLEFSLSVRPVSIIGIGTRMDLLELNTPKLRVLRAGGWHIGKITISAPMLEEVRFSFQHLSSIKLLSVSELTHVRSLKGIELSTHSRHLHVLSPNDSTIRLLRQCTSVECVSVSLQFAQIYYAGGLEEDYVIKDIPPLSYVTSLSIEASARDYSQITGISCILTRCSSLRYLELKKTFVLKDGGDQTISKEHLNIKLPNLQEIGISGFKGRKGEATVMEWLHATAPALRRINLNFGFGWDFVKRSTEQLRNLLPQIPFAEIGSWAGPDSDYGTFVWTPTCEDR >LPERR11G03640.1 pep chromosome:Lperr_V1.4:11:2461245:2465530:-1 gene:LPERR11G03640 transcript:LPERR11G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAEERQGEERRSRRRWWRRERVERSTPAAERGRKELPSPAVMETAHEVAIYIDRFHNLDLWYRLKISAAWEEEDEGRAPVSPARVVQYEVPDIGSKGEFGLWKIDDVDNSFYTQPFQIKYARQDIFLSVMVSFYIPNTEREGPATSSVILKFELIYVPTVESRTETEDDIYLVPAHEFRIPYTTLLGLHTYFPVHFDAFHPVLVDLTMHIVYLKAGVTQSSQKAPEHGLCSKSYYIMKAMLTSREILLEEVMKMSAAIGKTLEELDDADLNLGKHESIDPSKSGLSNYSKGFSATTKFIAHLTDVLHDLMERSDSVVWSTNDVVLYTLSKEDLLELFQTVSSQVTFLWNAFLKFHRANKIKILHHLRSIWDADRKTEWSIWIVHSKVDIPHRYLCNLNGKSSPRHSLLRSSSSRKLHPDPVQNSSSRAELHRRSIAQMKISARSIQDMQIYANPSEIPVVHIEQHVMAVPQHSSSKILARSVSETDNTTVQHKLHGKSVARMPSCGENVGHILRAGHHLDLRLIRNQWLLRDPGAECLLSQTNEERTCEDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKELKLSFVGHSIGNIIIRSALAEPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYRLCKLRTLDNFRNIILVSSPQDGYVPYHSARIDLCPASSSDNSIRGQVFTEMLNNCLDQIRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDIYARFIMWSFPHFFR >LPERR11G03640.2 pep chromosome:Lperr_V1.4:11:2461245:2465530:-1 gene:LPERR11G03640 transcript:LPERR11G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAEERQGEERRSRRRWWRRERVERSTPAAERGRKELPSPAVMETAHEVAIYIDRFHNLDLWYRLKISAAWEEEDEGRAPVSPARVVQYEVPDIGSKGEFGLWKIDDVDNSFYTQPFQIKYARQDIFLSVMVSFYIPNTEREGPATSSVILKFELIYVPTVESRTETEDDIYLVPAHEFRIPYTTLLGLHTYFPVHFDAFHPVLVDLTMHIVYLKAGVTQSSQKAPEHGLCSKSYYIMKAMLTSREILLEEVMKMSAAIGKTLEELDDADLNLGKHESIDPSKSGLSNYSKGFSATTKFIAHLTDVLHDLMERSDSVVWSTNDVVLYTLSKEDLLELFQTPVQNSSSRAELHRRSIAQMKISARSIQDMQIYANPSEIPVVHIEQHVMAVPQHSSSKILARSVSETDNTTVQHKLHGKSVARMPSCGENVGHILRAGHHLDLRLIRNQWLLRDPGAECLLSQTNEERTCEDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKELKLSFVGHSIGNIIIRSALAEPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYRLCKLRTLDNFRNIILVSSPQDGYVPYHSARIDLCPASSSDNSIRGQVFTEMLNNCLDQIRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDIYARFIMWSFPHFFR >LPERR11G03650.1 pep chromosome:Lperr_V1.4:11:2465822:2470513:1 gene:LPERR11G03650 transcript:LPERR11G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGNILLLLRLRVPYPHRRGISSQSAAAGVGAAGAYGGGGSGGGRWREDSRAVRVSVWWDFQSCQFPPGANPHRVTARVTAALRAAGIRGPVDITAFGDAYVLPHTFQEALAATGVAFSHVPSRGKGGIDRSFMADLTYWIAQNPPPVHFFLISGDKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDVSPKHFNQPPDGISFSWYGQYKGPLDDLFPNSEPEDSIAEPEDSIAEPEDSMASQPHNKPVKLPILPKSSKDSMVLQRHTKPVKAPIVPKSIANGVRQILCSFPDGISLPDLRAELKRNNVSMHQGLFGFKNFSSLLQAMPDVVKFIDPLPWDRKQPAVVGVFNRSMEPVEQSDKTINSAQSSGEVKRLIESLDENPPSSHVLSSPSDILSAGFKKNLTANAPFSQSDSLSKRHGKVPPVDPTTQSETPASCMEADVESAAGTPAFPGVQSTVDKKGLSERICMLWNDPEPVKPTLSLSKDAIHSKGSNDLPAQDANNNEHNSLLRRALKIFSRSDNSDGNNLDSMSSIGSSFSNVSTNDHSDKLTVQENVGNTIIHSNKSVDMRNAEHKVGFGEKRKGIFSWVTKLWASGKPDTDDSLNSIHINDGSSEESEKESAFVEIDATASGQVGIELFKKSYFWDALQQYLSTPHGSDLVSKAKTREELAHGLQKQGWPLKDLDGKYLHQLVDLLISEKEWIKESSSQMFPFLVTLPKRRACAPSPSSKSNGLSSIFANGRPLGQCKHVHERSKTLSRTPVHVLPAKKGKSQARCKGGEFFLEELGPVSDSGKPYRENDKAACYHPPSHSDDEFSGDENHEVVQEAGRDAAQSSLFKIIDSLNTSKNGYSSKKDHNIDGIVGCSRINNSITEDAQDSKSSPRCSSVSSDEDEEKDKLFTSSALGSLQKVKNSSLPG >LPERR11G03660.1 pep chromosome:Lperr_V1.4:11:2471087:2473860:-1 gene:LPERR11G03660 transcript:LPERR11G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRLLAGVTSPAAAELRRAFRSEAALEAIHAHSHPSKDAADSEGPTHLALYHYPTFAGAYAALAAHLFHRRLRRRLLLLPFSSVEPFRVGDFEDGGFQKCYLLDFIGPKNFALELSRFIPRVIAFDHRQSTLARIPHLGHCPSNLELNIDTTKSSAQATFDYFTRKLAGTKSDSDMRENLLDQEDEERVFNVLKYIEDADLRQWQLPNSKEFQTALSDERAKLNCITNPLLLQLDVCNLLSRVKSLARDRLEAAGRLIDRPFKVQLGRGLYGECLAIRADGNSKLSHEIGLELSQRSAAAGLRPIGAVVFMQRGLLKVCLRTTDNTIDTAEIAKAYGGGGKPNSSSFALRLEEFNAWTSVNS >LPERR11G03660.2 pep chromosome:Lperr_V1.4:11:2471087:2473860:-1 gene:LPERR11G03660 transcript:LPERR11G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRLLAGVTSPAAAELRRAFRSEAALEAIHAHSHPSKDAADSEGPTHLALYHYPTFAGAYAALAAHLFHRRLRRRLLLLPFSSVEPFRVGDFEDGGFQKCYLLDFIGPKNFALELSRFIPRICNLLDQEDEERVFNVLKYIEDADLRQWQLPNSKEFQTALSDERAKLNCITNPLLLQLDVCNLLSRVKSLARDRLEAAGRLIDRPFKVQLGRGLYGECLAIRADGNSKLSHEIGLELSQRSAAAGLRPIGAVVFMQRGLLKVCLRTTDNTIDTAEIAKAYGGGGKPNSSSFALRLEEFNAWTSVNS >LPERR11G03660.3 pep chromosome:Lperr_V1.4:11:2471087:2473860:-1 gene:LPERR11G03660 transcript:LPERR11G03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRLLAGVTSPAAAELRRAFRSEAALEAIHAHSHPSKDAADSEGPTHLALYHYPTFAGAYAALAAHLFHRRLRRRLLLLPFSSVEPFRVGDFEDGGFQKCYLLDFIGPKNFALELSRFIPRICNLLDQEDEERVFNVLKYIEDADLRQWQLPNSKEFQTALSDERAKLNCITNPLSLARDRLEAAGRLIDRPFKVQLGRGLYGECLAIRADGNSKLSHEIGLELSQRSAAAGLRPIGAVVFMQRGLLKVCLRTTDNTIDTAEIAKAYGGGGKPNSSSFALRLEEFNAWTSVNS >LPERR11G03670.1 pep chromosome:Lperr_V1.4:11:2486031:2493387:1 gene:LPERR11G03670 transcript:LPERR11G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGRLRRALAAFGGGVWELVDAVLDSAARDRPDELRARRDGIVERLYAAGGGGCRNCGATASPSPVVEGEEDDEAAPEEDGIEIKILAIKDFLEDQDQSEEDLLSLLQNLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDGSNPIITDGDSPEKIQGKNQQSTQVSEFKYSPSPHRHYNSSSERVNNGIASIAATKHRPSPVPVHHNARQINSTHHSSTSSSAPARVVKEQKDSPLDIEKLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNALVRKGNGGGFPARHRATLRLPAVVAFDSLKDLTLGFITLADGIGRRHLGNLLSSTSCPCLQKLRLMEIDGMEALRLNAGELLEFSLSVRSSMFGIGPSMYLLEVNTPKLRVLRAGGWHIGEITFSAPMLEDVRFTFRHLNSIKLLSVSELTHVRSLKGIELKTERPHIHGYRPNDSTIRLMRQCTSVECVSVSLQFAQWHYARGLEEVYIIKDIPPLSYVTSLSIEAFPHSFPQDKHDIIAAISCLLTQCSSLRYLELKPIFALKKDGGDQTISKEHINIKLPNLQVIVISGFGGRLDEASVIEWLHATAPSLRRINLNFVSSKGARRNSESCYLKSPLLRLAIVLTVICA >LPERR11G03680.1 pep chromosome:Lperr_V1.4:11:2495420:2497991:1 gene:LPERR11G03680 transcript:LPERR11G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSAVPVKKGRPPRRIRRRPRRRHHHPRSTPSDHRRHGDLISDLNDDVLTHVLSFLPTTADVARACAVSRRWRRLATRVPSLTFSSRIQHDLPKEKVYRLIAFINHVLAARAAADVEHLSISLDFWFADDIAAAASAQLDAWIRYGMRHVSKSFSLKLTPDYHRNFIINNDGNDDDSLFLEVIPSYTELETMTLSLDNATLNLPNVVKFNSLKHLTLEHIRLAAGSSRLLGRLMSSSCCPHLQKLELTWIAGLTELHIDSGELLELSLLQRYDYSAIAELHLLELNTPKLRDLGVQNCYLQKLIIDAPRLEDLSLSTMWSFNDIEQLGVSELSHVRKLLGIKMTTHGHHLHDRHVNDCAILLLRQCTSVEFVGFSLRFTQGYYAEEEEVDMMKEIPSLPHVTKLWLSFFPQHEHTIIASIACLFTRCSSLKYLMLHMVNGTEREGGDQAISEDHPSIKLPNLQEIEILGFQARQCEASLVKWLHTTAPALKRIKLVFSYRFRKSSIKKLRKKLPFAEVGTWSSVPTDKCNREFEWTPMCEENM >LPERR11G03690.1 pep chromosome:Lperr_V1.4:11:2498984:2501053:1 gene:LPERR11G03690 transcript:LPERR11G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHGANEQIKEEGEESVDNVAGDGGEDAAAGEESAVIEEAVEPDLAALSAEVDAFLAGRDGGGDAPPTSISEVTLDKFASAVEQEMAQSEGDDDKWSPAENGDAPPLLAAIKRLAALAATLTAGAGGEGHKFTIGVHRVTGVLHRAMTFVEDEFHAILDDPRLAAATAQSAAGDTGSATGKSIRRPPSFGHAAAAPDSSTAAAADSSSPPFPPETVDRLRAMADAMAAGGYTTECTQVFAVARRNALDASLASLGYEKASIDEVVKMPWESLESEVATWIKAFRHTINVGLSGEHDLCARVFPNSGTGRAIFADLAKCAMIHMLNFTEAVAMTKRAAEKLFKVLDMYEAIRDAAPIIDAFISACSESESDDSQPVNPLSDIKSELASVRARLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFREHHRTDDDDGNGSNPFAAQLMEVMELLHGNLEAKSRLYKDPSLCNIFLMNNGRYMLQKIRGSPEINSVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQSFSAGRQTEKYIKLSAEDLESIIDELFDGNAVSMPRRRN >LPERR11G03700.1 pep chromosome:Lperr_V1.4:11:2505327:2506499:1 gene:LPERR11G03700 transcript:LPERR11G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTPWDLPLITIDYIQKGILLPNPSPEWEKTNAGGAAAAVEHLASSFARALGRFYPMASRLAAAAADDDPPSSITVSLCCNDSGAEFVHAVAHGVAVADIAIALYTPRVVWSFFPMNGMLAADAVVDCTLPVLAAQSIKKLKARANAEMSGESATISSLQSLLAHLWRGVCRARRLSSETETKYMLLIGCRGRIKGIPHAYLGNAVAARGMAAAWPAKPSFMMNANLVNDAGAMGTGSSPRFDVYGNDFGWGPPAAGRAEWPREQDRREADGVRGTRRRWRFCLAPDAVERLIADDDSEN >LPERR11G03710.1 pep chromosome:Lperr_V1.4:11:2508282:2508800:-1 gene:LPERR11G03710 transcript:LPERR11G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKRHHLFHHKKDEDETTGGYTEETVTTEVVTTAQDEYDSYKKEEKQHKHKQHLGEAGALAAGAFALYEKHEAKKDPENAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKSAEEVSGEKKHHLFG >LPERR11G03720.1 pep chromosome:Lperr_V1.4:11:2519906:2520445:-1 gene:LPERR11G03720 transcript:LPERR11G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVMKLIVAAAFLADVLIFSVSGGGGWGEPGGSRNITFTPTTPTLPPLADRLQAAAEAMAAADSAGAGGSTTSTAWMAECWGAVTRLGSCTNEIVLFFVNGESYLGADCCLAIRTVTRNCWPAMLSSVGFTVEEADILRGFCDAEVGGGNGGGDVPAAAPAAANSSAVSPAPATATA >LPERR11G03730.1 pep chromosome:Lperr_V1.4:11:2521032:2531815:1 gene:LPERR11G03730 transcript:LPERR11G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFHQWKQTHDNTGEYVHLTKELIASCESIQWQVVELEKAISVADRDPAYYGLNEVEIGKRRNWTSTALNQVVSIRRYVEAGKQKSVFGHSVNPSESIRSKQNIAQDNDDFIASESDHQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTSNRLDFKRVAMVLKKASLKGQIMMIAFLELLGRNQAQTTTASPRTIHRRRGISVRPTKTLVLASPFINAVLGFTLLRLYTPDHYPGRRHPHQGGGGGGRKMSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPNKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRVAAEFAETRRAKAEEANPQSEGMDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKTLNASSPGYQPPIPAEEVRPAIDYEHEESFPATRGRGRGGGRRGRGRGMSNGPPAYDFGEEWEEEGDYYNYRGRGRGRSRGRGRGRGRGGFYGGGRRGGYGYDYGYGGRGDYYEDQGEYFDEPEDYPPPGRGRGRGRRGGGPFRARGRARGRF >LPERR11G03730.2 pep chromosome:Lperr_V1.4:11:2521032:2532040:1 gene:LPERR11G03730 transcript:LPERR11G03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFHQWKQTHDNTGEYVHLTKELIASCESIQWQVVELEKAISVADRDPAYYGLNEVEIGKRRNWTSTALNQVVSIRRYVEAGKQKSVFGHSVNPSESIRSKQNIAQDNDDFIASESDHQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTSNRLDFKRVAMVLKKASLKGQIMMIAFLELLGRNQAQTTTASPRTIHRRRGISVRPTKTLVLASPFINAVLGFTLLRLYTPDHYPGRRHPHQGGGGGGRKMSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPNKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRVAAEFAETRRAKAEEANPQSEGMDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKTLNASSPGYQPPIPAEEVRPAIDYEHEESFPATRGRGRGGGRRGRGRGMSNGPPAYDFGEEWEEEGDYYNYRGRGRGRSRGRGRGRGRGGFYGGGRRGGYGYDYGYGGRGDYYEDQGEYFDEPEDYPPPGRGRGRGRRGGGPFRARGRARGRF >LPERR11G03740.1 pep chromosome:Lperr_V1.4:11:2533509:2535724:-1 gene:LPERR11G03740 transcript:LPERR11G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGKVAGPPGQQLPPLAGAGGEEEEEDQEVAVGMLSRHGQEREMSMMVSALATVVAGGGSAAEETAAWWSAYGRESLPPSSSASSATHDHSASMAASARHAPATSTASPHEQQASSPSADAGGGGTGRRRYRGVRQRPWGKWAAEIRDPHRAARVWLGTFDTAEAAARAYDAAALQFRGCRAKLNFPEDAALLPPPPPETTTTMTQSSSSSQGGMVGGETDEYSEYARFLQGGGAAPHFVEQVMGASPRPSTAAAAGDSSFPLLYSFAGHEGGDGENVPRPPESGGGDGGGGRGYKPATWPDWRAPPPQWDPSG >LPERR11G03750.1 pep chromosome:Lperr_V1.4:11:2540233:2542521:1 gene:LPERR11G03750 transcript:LPERR11G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHVMALIITVAAAILLPFLAVALLCYRRRTTISAGAGVIKRPRCSPATLPVTAPSCSRMSSWSFYGGDGGALKKLSLDDLAAATGGFSPENIIGDGSFGFVYRATLPDGARVAVKRLSPTGGAGDREFRAELEVLGSLAHPNLARLLGYCAAGAADRLLVYELLERGSLDTWLHGGDANVAVLTWPARLRVARGVAAALAFLHHGNEPAILHRDIKSGNVLLDEGFEAKLADFGLARIAAGGAAESHLSTQAAGTAGYMAPELRAGVGASAKADVYSFGVLMIEMVTGRRPNWPVKMNVKGRKEVEMEMVKWARENIAMGTALEIVDRQMGIQREEGSEAGQDDDEMRAFLDVARCCTEESRKHRPSMEEVVEMLNKVSNSKTN >LPERR11G03760.1 pep chromosome:Lperr_V1.4:11:2551278:2558155:1 gene:LPERR11G03760 transcript:LPERR11G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIAACLDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDAVADWHSSFTHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPLGPDAFREYETLLAQDHEELFKKYEKSDVQKDTIPYSEKDFISDGDRYSKWEPGVHYGSNSESSPTKKDLFSDESSQLETKGKRLSVTVCHFPMIFSPISSRTFVLPSESTMAESCLSNHHEDSLSPGLPSISTGRSLDGDETPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLPRKEGSSSYLVAKNPQTPSKHSQATVKRIPLDIKVPFEKVFSKEEAKNRTSVLPESIMSFMSGWNSPEVDSDVTWLPDYVDKAHDDKLSSQHGNLSGSFLSNYDGVRYLEALLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASLPELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQDPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQVNKMESLQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSIHGKPSSLKLRFLIGLENELEAKSRPKDGDKSNDSIQSKTTDDFDDQWDSWDDDDNTDDQKEEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIVFVVGGINSLEVREVMKAISESSRPDVELILGGTTLLTPDDMFELMLGSSSFS >LPERR11G03770.1 pep chromosome:Lperr_V1.4:11:2561002:2563548:-1 gene:LPERR11G03770 transcript:LPERR11G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYIEMERAVAGGAATSEEGDSGAAPSGRRRGKLAISSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMISGIILQIFYGLMGSYTAYLISVLYIEYRTRREREKSADFRNHVIQWFEVLDGLLGRHWRNAGLFFNCTFLLFGSVIQLIACASNIYYINDSLDKRTWTYVFGACCATTVFVPSFRNYRVWSFLGLVMTSYTAWYLTIAALVNGKVEGVTHTGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKTIYLLATVYVLTLTLPSASAMYWAFGDALLDHSNAFSLLPRTPWRDAAVVLMLLHQFITFGFACTPLYFVWEKAIGVHSSTSVLQRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPAMAHTAVFAPASARENAVEPPPSAIGGWAGTFAVNCFVVVWVLVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPRHYKKN >LPERR11G03780.1 pep chromosome:Lperr_V1.4:11:2573316:2574984:1 gene:LPERR11G03780 transcript:LPERR11G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYSSSSCKNRSQPMAAAAEGKNGGKKKKSSISRSITCAGSICSTKESSVSSRGRERRRRSSSSSSITGGGGGGSTSSRSLMPPDHGDSAAAVSASSSFNSVMTSATSSSTATTATSPPSAMSSPLSSIGGSFRAMQIRKLSGCYVQCHSVLDPRSLAAAFAVFSCSDCDEVFVKPDSLELHRSTRHAVSELGADDTSRNIVEIIFQSSWLKKNGPVCKIDRILKVHSSDKIIKKFEQYKELVKEKASSDDQVKKNPRCVADGNELLRFHCTTFSCSLGLAGGTALCSGSTSSSSHCKLCAIIKDGFRVDGNGRIATMATSGRAHDMAEVLSDGEKRAMLVCRVVAGRVKKVISNSSSQELDCDSVSPCSEGIYSDLDELFVFSPRAILPCFVVIYSGY >LPERR11G03790.1 pep chromosome:Lperr_V1.4:11:2580200:2581486:-1 gene:LPERR11G03790 transcript:LPERR11G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAAAGAASPSNSSSASASDPTPSWWESVSQARSRILALSSILPPPADSDVAALADSDRPARALLRSPASYAALSAALRSGGGADDPACHWLYDTLLSPDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRQQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPAASKVDACNAVAAWAGQYCKCRFELDEELDGEEADSLGSVSPLSSSEAENGKALEEEMAKMRVNGDSNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPAEVRDAAAEAVRVVYARSCHELVPQAILASRSLIELDKSARKAAKAADAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLVSK >LPERR11G03800.1 pep chromosome:Lperr_V1.4:11:2581730:2583427:-1 gene:LPERR11G03800 transcript:LPERR11G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSTSPFLLLSLIITTCIAHAAGDTWQEPEEVQQPAAAIFPGEWQLLHANTGVSAMHMQLLPGDFVLMFDRTDSGPSNISLDALSPCVSRAAADCTAHSVLLDLRSNTLRPYPLATNPWCSSAALLPNGTLLQTGGFSNGDRIARLFSPSDGWLDLPDFLAVRRWYATDILLADGRVLILGGRRQFNFEFFPRDDVTTAQLTLFPFLEETTDIDGENNLYPFLHLLPDGNVFAFANDRAVVFDPYNRAPLRRLPSIPGGVPRNYPSSGSSVLLPLRPEYSPSHAEVLVCGGAPRGAYRLALRNGTFVAADRTCGRIAPTDPNPVWAMEEMPVPRVMGDMVLLPTGDVLIVNGAAAGTAGWELGREAVTSPVLYSPETPLGDRFVVLAGSGVPRMYHSSATLDTMGRVLVGGSNPHVGYVFDNVTYPTELSLEAFLPPYLDARLDGVRPRIVAAPVEAGYGEVVHVRFEVPGGAVSGGPEEVRVAAVAPAFATHSFGMNQRVVFLAVGNVVQLDAGGGVYEAQVAAPPSPSVAPPGYYLWFVLHAGVPSSAAAWVRIRPLGAAT >LPERR11G03810.1 pep chromosome:Lperr_V1.4:11:2585776:2591699:1 gene:LPERR11G03810 transcript:LPERR11G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGLAVPFLATLLFTKRKAEKKRGVPVDVGDESGYAIRNHRFERPVETHWEGVFTLAELFEQSCKQFVHMPFLGTRKLISREMEVSHDGRSFEKLHLGQYEWKSYVDAFKTACSFSSGLVKIGHRSDERVAIFADTRAEWQIALQACFRQSVTVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDISGQLESVKHVIYIDEEGVSSEVSLAQQCTSWRVESFEEVERLGLETPAEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPRLGKKDVYLAYLPLAHILELAAEAVMSAAGASIGYGTPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVEHLCLEILRDLSIYVLGFELCCCRAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLINDSPMPRGEIVIGGPSVTKGYFKNEAKTNEVYKDDKRGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMIHADPFHSYCVALVVVAHSELKTWASEQGITYTDVSDLCEKQETVKEVLQSLSKMYSHEFNQAAKQARLEKFEIPSKIKLIPEPWTPESGLVTAALKLKREAIKKAYENDLAQLYS >LPERR11G03810.2 pep chromosome:Lperr_V1.4:11:2586235:2591699:1 gene:LPERR11G03810 transcript:LPERR11G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGLAVPFLATLLFTKRKAEKKRGVPVDVGDESGYAIRNHRFERPVETHWEGVFTLAELFEQSCKQFVHMPFLGTRKLISREMEVSHDGRSFEKLHLGQYEWKSYVDAFKTACSFSSGLVKIGHRSDERVAIFADTRAEWQIALQACFRQSVTVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDISGQLESVKHVIYIDEEGVSSEVSLAQQCTSWRVESFEEVERLGLETPAEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPRLGKKDVYLAYLPLAHILELAAEAVMSAAGASIGYGTPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVEHLCLEILRDLSIYVLGFELCCCRAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLINDSPMPRGEIVIGGPSVTKGYFKNEAKTNEVYKDDKRGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMIHADPFHSYCVALVVVAHSELKTWASEQGITYTDVSDLCEKQETVKEVLQSLSKMYSHEFNQAAKQARLEKFEIPSKIKLIPEPWTPESGLVTAALKLKREAIKKAYENDLAQLYS >LPERR11G03820.1 pep chromosome:Lperr_V1.4:11:2592017:2593848:-1 gene:LPERR11G03820 transcript:LPERR11G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >LPERR11G03830.1 pep chromosome:Lperr_V1.4:11:2596416:2610156:-1 gene:LPERR11G03830 transcript:LPERR11G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVAGQDEKPIPNGASPNQSQSPKISSRITDDGPQETVTAKTLNEKLVLENMSDDSSTQHDQSPQPEVVTNVRDEDMQDSVKSLSEKLAAALLTINAKEDLVKQHTKVAEEAVAGWEQAEAEVGALKRLLESSSQKNASLEDQVNHLDDALKECVRQLRQAREEEEEKIRDAVVKKTQELDSEKSELQNHISELKQQLEATKLEATSVAENQNDLQAKLHVAEKENKGLKIELLMLSKDLKRLSLERDLSNQAAETASKQHLESVKKITRVEAECRKLRHLTRRTSLANDSRPVPNNACTESLTDSQSDSGERMLAVDSEMRKSDSWASALIAELDQFKNSSASSRDVKNPVDIDLMDDFLEMEKLAALSEVERVSSSFEAETDSDQAVAIDKALKVETETLKSQVTDSEEKVEKLEAEKKELEMALAEARIQLDTSCNALMAANDKLAELQMQFNLANESKIAALGQADLLDAERESLALQLDSKSTEVEKLQAAVALLEESADRKELELQLESTSVEVANLRKTVASFQEQIDAERTLSVQHKAYADMAEADKESLELQLQSAHADIGKLRGSMETLQSELQKEKAMYEELVVQIGAMKIESEKTLGVESAKESLEAQLLVVNSEITKLQGTLSNLECDAAKEKAYSSELKMQLEAVEGIRKMLESELESSHQETMKLKEKISSLEVRLRDQTALLVEFTAKAEDAVAARKSMEGQLEGAKLEITKLTNRMSLLHGKIEEEKLLSEEYEAKCRRLEAQLSRDSREAKLWRLANTNGDLKVKQDKDLSSAAGKLAECQKTIANLGRQLKSLTDLDCVATEPEKLESGDALLDFRDHDVEVPPANTANGLYDLDLPRSNGSCLSPIPQMQSSPIPQMQSSSPPLGTSVFSGGLSSLGSYRSKTRKCHLMLFNSMGFFGSAAKVYKPVSEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWILETPIIGPIVLYFLKRDNLVNRLVSDADIPEPPMFTTAHTCQDIPEQNVNLTKPDLSPAERVQEAVICLPAHLESTIADPSSPGFRRWTIRDFTNAYSSGEITPVMVARRFLAAVKECSGPDLKMALFISCNPEDIIRQAEESTLRYQQGATLSAMDGVLVAVKDEIDCLPYATTGGTRWLQRMRPCVQDAAVVSQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTSKVAGGSSGGSAAVVCAGLCPVALGADGGGSVRMPAALCGVVGLKPTAGRLSKDGLLPLNWTVGVPGILAATVEDALVVYAAIVDQSGPTHLQPELNIPLLNATSSMPTIRLAKYAKTVDVTIPEIEEMRLAHYVTMGSECTASFANYLDKLSKSEIGWDVRIALSAYGSFSNRAYLNSQRIRNRQMYFHDKIFKTFDAIVTPMTGVTAYDLQDDALHTGELDYINGAALVRYSISGNFLGLPAITVRVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQEACSKSYRKPMVYYDLLKKNKY >LPERR11G03840.1 pep chromosome:Lperr_V1.4:11:2616053:2621718:-1 gene:LPERR11G03840 transcript:LPERR11G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGVALNRRTRSRPPSVASSQKSDDPAAAAAVAAAAANRNGTPSPSHVAGERTVKKLRLSKALTIPEGTTVAEACRRMAARRVDALLLTDGNGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAVLDIAKCLYDAISRLEKTAEQGSALAAAVEGVERQMGGNLADHSHLIETLREKMFKPSLSTIISEDTKVATVSPSDPVYVAAKKMRELRVNSVVITTGNSLQGIFTSKDVLMRVVAQNLSPELTQVEKVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGEGKVAACLDVLQLTHAAISMVEEGPETANDVANTIMQKFWDSALALEPPDEEFDSHSELSLVMPSEVGDGRSSINPPVGNSFVFKIQDQKGRMHRFTCGSESLHELVSSVVQRLAIDGQKDTVQLLYDDDEGDRVLLTADADLTGAVLHAKSSGLKALRLYIDEPGSEVAKQPPELTSSHRSPLTPAHYGLMAGAIVLTSVVMMIYLKRSKRKCLIMIVAVK >LPERR11G03840.2 pep chromosome:Lperr_V1.4:11:2616053:2621718:-1 gene:LPERR11G03840 transcript:LPERR11G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGVALNRRTRSRPPSVASSQKSDDPAAAAAVAAAAANRNGTPSPSHVAGERTVKKLRLSKALTIPEGTTVAEACRRMAARRVDALLLTDGNGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAVLDIAKCLYDAISRLEKTAEQGSALAAAVEGVERQMGGNLADHSHLIETLREKMFKPSLSTIISEDTKVATVSPSDPVYVAAKKMRELRVNSVVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGEGKVAACLDVLQLTHAAISMVEEGPETANDVANTIMQKFWDSALALEPPDEEFDSHSELSLVMPSEVGDGRSSINPPVGNSFVFKIQDQKGRMHRFTCGSESLHELVSSVVQRLAIDGQKDTVQLLYDDDEGDRVLLTADADLTGAVLHAKSSGLKALRLYIDEPGSEVAKQPPELTSSHRSPLTPAHYGLMAGAIVLTSVVMMIYLKRSKRKCLIMIVAVK >LPERR11G03850.1 pep chromosome:Lperr_V1.4:11:2624326:2625455:-1 gene:LPERR11G03850 transcript:LPERR11G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAIVTGTDHGANDVGINGVVRLVESTNDEKQDGESAVRRRKALIHTASGEVVADLEQRLTSMFQFHKRGCLDLISLPANFARFSSLHMYDIVLKNRHSFRVDDV >LPERR11G03860.1 pep chromosome:Lperr_V1.4:11:2628084:2630710:-1 gene:LPERR11G03860 transcript:LPERR11G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTPPGLGQYISGAILFEETLYQSTVDGKKIVDILAEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGANPWHVSFSYARALQNTCLKTWGGQAENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >LPERR11G03870.1 pep chromosome:Lperr_V1.4:11:2632523:2633986:-1 gene:LPERR11G03870 transcript:LPERR11G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRLLAGGDHHPSPTSATSPEHPFLAAHLLLPTLSPTSLSSPHLPLALAFSFLTDPPSRRRLLLVLLHAAGGRYGTFYHAFASSLLSLPFPLLLPHPRTRLLLAASELARAAAPGFVPLLVSLLRRIPFPGDARLVDILHEQCSFLADEEPQLLASAVFAFLRLLARNRLSPVSQSVECRDCEECENAKNVGECREMLVSFCVSVLRDHAQVCSLIGRDLVRCIHELVLVPEFQEVWRELMLSRVVDICRIGTPGWCTSVAVTPEMETQLLFMMNNVRWGNQKRYQLWFARRHLMVPGGEERIPDIVRFICCGYHPTNEVMQSGVIARWAVIGWLLTSCSKCYVQSNAKLALFYDWLFFEEGKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYDVRRKEAIAECVRSAFGVLVKKGVIPTLEILTCCEKLSPMLRQKLVAFLSSSSPEETEKTCGQPIDEASNAMELKNRVCSN >LPERR11G03880.1 pep chromosome:Lperr_V1.4:11:2635347:2638935:-1 gene:LPERR11G03880 transcript:LPERR11G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGDANARAQLPPAVTTPAPSGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVSKSDGGGEFACKSIPKRKLLCREDYEDVRREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASSAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDRPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLKRVGSELTEHEIQDLMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGDILNPSKS >LPERR11G03890.1 pep chromosome:Lperr_V1.4:11:2644263:2650484:-1 gene:LPERR11G03890 transcript:LPERR11G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKSSKKAACSAEKKEQLNNDDRMIRSQTEEFNEWLAKMESMDGEERKEYARKNKETFVSQKKAAIKKVMQKDNQKKRRKRKVLSPIVGAVLKFHKNDDVDPPGGCGEEAPIAAFAVSRGGVVLKNIFLNAPPSPLPVGLRKGQGGEGMAAEEEEDPPVMFGRHPDCHVLVDHPSVSRFHLEIRSRRRLRRITVTDLSSVHGTWVSGQRIPPNTPVELAAGDILRLGGSKREYRLHWLSLREAFDMEDLLPPLLEEDKEEPRTCQEAIKQLEPDQKDSADTKTLQETRQQVVSEQTDFQATVVPSAPPMPEFANFLALEESSVPEFDDSREGRIVENLIDENPVTDSVDSSITQPMPATVENAAISVKSGTISRRTKLKSVKTLRIDTGRSKERVTPLSYSYQKEENQNENSICSQKCGIECEACIVLFKNSNVREAEEKEIMDISDRIVMEESGHEGLTLNLDGTLIKNENLAPSKIFVAPEVSEFEDTVSENLFENLDMKGTDENEENSPLDEENITPYVSRDMIAERSQLRLKPATISQELMDSISPLNLEHDNFSDNENSMLSMGKQMISNEFISKNLVPLISVDANLQMNHAELMPISHLDSKDGILTDKENPVLSPEKYDTISPVRQGNLFPDKENMTPDSRDLKPIVGRKILGTKVDNSLSAECTSNRRIHRQEPNELSAKSKVYHAIDDDVFYSDKENLTPISSGGIKARRCLPRSLTVDADQDQESFYSDKENLTPVSSARKKTKDLSENRARMESAITKKRVVDRLPFQTLLSNSPLRHTSSLDCTQVNPRAVDVAIKLEDELYNVPHKRQGSEITKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFKISTKATSILQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTALYNDEEKEISAGTFNLIALFSPRSFAGIVSPKTEDRVLDCALLFNKLKSNQNIVILSNRTTLKIKAMAEGLPCEGAKEFWETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHRGARSRIPRSMEAAKGLKLILLHNSHYGQATNSVENRPLAPMASW >LPERR11G03890.2 pep chromosome:Lperr_V1.4:11:2644263:2651620:-1 gene:LPERR11G03890 transcript:LPERR11G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSLEYSPARKKCLAKMESMDGEERKEYARKNKETFVSQKKAAIKKVMQKDNQKKRRKRKVLSPIVGAVLKFHKNDDVDPPGGCGEEAPIAAFAVSRGGVVLKNIFLNAPPSPLPVGLRKGQGGEGMAAEEEEDPPVMFGRHPDCHVLVDHPSVSRFHLEIRSRRRLRRITVTDLSSVHGTWVSGQRIPPNTPVELAAGDILRLGGSKREYRLHWLSLREAFDMEDLLPPLLEEDKEEPRTCQEAIKQLEPDQKDSADTKTLQETRQQVVSEQTDFQATVVPSAPPMPEFANFLALEESSVPEFDDSREGRIVENLIDENPVTDSVDSSITQPMPATVENAAISVKSGTISRRTKLKSVKTLRIDTGRSKERVTPLSYSYQKEENQNENSICSQKCGIECEACIVLFKNSNVREAEEKEIMDISDRIVMEESGHEGLTLNLDGTLIKNENLAPSKIFVAPEVSEFEDTVSENLFENLDMKGTDENEENSPLDEENITPYVSRDMIAERSQLRLKPATISQELMDSISPLNLEHDNFSDNENSMLSMGKQMISNEFISKNLVPLISVDANLQMNHAELMPISHLDSKDGILTDKENPVLSPEKYDTISPVRQGNLFPDKENMTPDSRDLKPIVGRKILGTKVDNSLSAECTSNRRIHRQEPNELSAKSKVYHAIDDDVFYSDKENLTPISSGGIKARRCLPRSLTVDADQDQESFYSDKENLTPVSSARKKTKDLSENRARMESAITKKRVVDRLPFQTLLSNSPLRHTSSLDCTQVNPRAVDVAIKLEDELYNVPHKRQGSEITKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFKISTKATSILQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTALYNDEEKEISAGTFNLIALFSPRSFAGIVSPKTEDRVLDCALLFNKLKSNQNIVILSNRTTLKIKAMAEGLPCEGAKEFWETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHRGARSRIPRSMEAAKGLKLILLHNSHYGQATNSVENRPLAPMASW >LPERR11G03890.3 pep chromosome:Lperr_V1.4:11:2644263:2651620:-1 gene:LPERR11G03890 transcript:LPERR11G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSLEYSPARKKCLAKMESMDGEERKEYARKNKETFVSQKKAAIKKVMQKDNQKKRRKRKVLSPIVGAVLKFHKNDDVDPPVHGTWVSGQRIPPNTPVELAAGDILRLGGSKREYRLHWLSLREAFDMEDLLPPLLEEDKEEPRTCQEAIKQLEPDQKDSADTKTLQETRQQVVSEQTDFQATVVPSAPPMPEFANFLALEESSVPEFDDSREGRIVENLIDENPVTDSVDSSITQPMPATVENAAISVKSGTISRRTKLKSVKTLRIDTGRSKERVTPLSYSYQKEENQNENSICSQKCGIECEACIVLFKNSNVREAEEKEIMDISDRIVMEESGHEGLTLNLDGTLIKNENLAPSKIFVAPEVSEFEDTVSENLFENLDMKGTDENEENSPLDEENITPYVSRDMIAERSQLRLKPATISQELMDSISPLNLEHDNFSDNENSMLSMGKQMISNEFISKNLVPLISVDANLQMNHAELMPISHLDSKDGILTDKENPVLSPEKYDTISPVRQGNLFPDKENMTPDSRDLKPIVGRKILGTKVDNSLSAECTSNRRIHRQEPNELSAKSKVYHAIDDDVFYSDKENLTPISSGGIKARRCLPRSLTVDADQDQESFYSDKENLTPVSSARKKTKDLSENRARMESAITKKRVVDRLPFQTLLSNSPLRHTSSLDCTQVNPRAVDVAIKLEDELYNVPHKRQGSEITKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFKISTKATSILQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTALYNDEEKEISAGTFNLIALFSPRSFAGIVSPKTEDRVLDCALLFNKLKSNQNIVILSNRTTLKIKAMAEGLPCEGAKEFWETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHRGARSRIPRSMEAAKGLKLILLHNSHYGQATNSVENRPLAPMASW >LPERR11G03890.4 pep chromosome:Lperr_V1.4:11:2644263:2650484:-1 gene:LPERR11G03890 transcript:LPERR11G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKSSKKAACSAEKKEQLNNDDRMIRSQTEEFNEWLAKMESMDGEERKEYARKNKETFVSQKKAAIKKVMQKDNQKKRRKRKVLSPIVGAVLKFHKNDDVDPPVHGTWVSGQRIPPNTPVELAAGDILRLGGSKREYRLHWLSLREAFDMEDLLPPLLEEDKEEPRTCQEAIKQLEPDQKDSADTKTLQETRQQVVSEQTDFQATVVPSAPPMPEFANFLALEESSVPEFDDSREGRIVENLIDENPVTDSVDSSITQPMPATVENAAISVKSGTISRRTKLKSVKTLRIDTGRSKERVTPLSYSYQKEENQNENSICSQKCGIECEACIVLFKNSNVREAEEKEIMDISDRIVMEESGHEGLTLNLDGTLIKNENLAPSKIFVAPEVSEFEDTVSENLFENLDMKGTDENEENSPLDEENITPYVSRDMIAERSQLRLKPATISQELMDSISPLNLEHDNFSDNENSMLSMGKQMISNEFISKNLVPLISVDANLQMNHAELMPISHLDSKDGILTDKENPVLSPEKYDTISPVRQGNLFPDKENMTPDSRDLKPIVGRKILGTKVDNSLSAECTSNRRIHRQEPNELSAKSKVYHAIDDDVFYSDKENLTPISSGGIKARRCLPRSLTVDADQDQESFYSDKENLTPVSSARKKTKDLSENRARMESAITKKRVVDRLPFQTLLSNSPLRHTSSLDCTQVNPRAVDVAIKLEDELYNVPHKRQGSEITKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFKISTKATSILQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTALYNDEEKEISAGTFNLIALFSPRSFAGIVSPKTEDRVLDCALLFNKLKSNQNIVILSNRTTLKIKAMAEGLPCEGAKEFWETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHRGARSRIPRSMEAAKGLKLILLHNSHYGQATNSVENRPLAPMASW >LPERR11G03900.1 pep chromosome:Lperr_V1.4:11:2652533:2654290:1 gene:LPERR11G03900 transcript:LPERR11G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDAISAIHVLSFLLLILPRAISAVDIPSHDDSVQVAMDQAARALAMTRAARRSDPRDDVARHGATQAWSDCDQLVSFAVAHLNRTAAAAVASPSDVATWLSAARTTVNTCLDGFAELGASPAPDFAAALANVSRLVTDALRRESTENGSASSTRVVTHDDGRMFPLDDMARPGDADVVVAKDGTGHFRTVGDAINAVAVARRSNGDGERIVVYVKAGVYNENVEVWTNNLVLIGDGIGRTVITGCRSVRQGYTTFSSATFAVNADGFVASGVTFRNTAGAGSSQAVALRASGDRLAFYRCSIEGHQDTLYAHTLRQFYRECVVAGTVDFIFGNAAAVLQRCSIRVRRPPFPGQPAVVTAQGRVDRFERTGFAIHGGRVTASARFGATAPFVAYLGRPWKEYSRVVYMEVYMDGTVDAAGWLAWDGTEFAQSTAFYGEYRNFGPGSGTSGRVRWGGYHVISDPGQASEFTAGDLVNAGSWLGSTGVPFTPGL >LPERR11G03910.1 pep chromosome:Lperr_V1.4:11:2654817:2656440:1 gene:LPERR11G03910 transcript:LPERR11G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPPPPSSDTPASTAGDIHRRWVILLRNGECEVAADDNSHLDDKTAHAAASHTSTGHPIRVSAILATPPSVSRLRYHTAPTNRSINLQIIAAHGDSVLIQIDSESIHSRLVRAIDHFVYKAGAGGAAPSLSTPPPYWLTEEDLKKNGWTQGVPRNRRLSSNSTGILRRVEDDELVVADRKAATAEAAEILLLRSGEWSVKRAPITFHDLTSWKPPPSSPPATGCSAGSIYTSASSSPTCSTKSPRRGTCRSRGTPRGRRRRRHVVVCVTGGDTLKFVDVSPRCCCGSLGVTTKCAHSRNAYVITTWTLTINDDDMAWVMDAMVDATELWALDAYRDAALPRVPLLFPVVSMDDAHLITFFLGEEIKSVKTVWVAIVVDMRSKTIRSITRYPEGSIYYSDVGKLLPSRISCYFDNNNPRHRSSNNSNNLQQQISPKPHTTDDQQLLQLSSSSKVVVCDPKEMFMALEEIPGLAREDLLKAYSILARDDGSRLFRFLFGLPVSLRKDWLLMEIKNSELFTCSICSTCKGGLQHVEN >LPERR11G03920.1 pep chromosome:Lperr_V1.4:11:2675171:2705130:1 gene:LPERR11G03920 transcript:LPERR11G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPSPIPVAMSAAHGASRGVRRNRSSLSATAPCRSTMLLSLNHPQIDAHRGELSAALSIHVVICSSIGNETDRLSLLEFKNAITLDPRQALMSWNDSTHICSWEGILCRVKAPHRVISLNLSGQGLVGTISPSLGNLTFLRYISLQENISYNNLTGTIPPSLFNITTLSKLAIGFNQIYGEIPREIGNSRVLQVFLAPENKLSGRFQPTILNLSSLTTINLASNHLYGELPSSLGSSMPNLQSGHIPSSLANASKLILIDLSKNNFTGEVPSSIGKLKELSWLNLEFNQLQASDKQGLEFINSLNNCTNLRILSLNENQLEGEIPHSFGNHTVKLQMLFLGGNRLSGRFPAGIANIRSLSGLALESNYFTGPLSDWLGNFRTLQLLSLSQNMFTGFIPSSLSNLSLLEQALLDSNQFYVRIPGGLESLKVLQILNISNNSLHGSIPGEIFSIPTLRDIILSSNILNGTLPIEIGNAKQLQHLVLSSNKLSGVIPVTLGNCESMEEIMLDQNFLSGSIPTSFGNMKSLELLNMSHNLLSGSIPKPIGSLQGLAQLDLSFNNLEGEVPETGIFNNITAIRIAGNTGLCGGAAMLHLPECPSRPPSSTKHMRSIVLKVVIPLACIVSLAMGTTVLLFQKEKQGRKSMSLPSFGRKFPKVSFNDLSVATDGFSTSNLIGRGRYSSVYKGKTLQYGNVVAVKVFSLQTRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYEFMSQGDLHMMLYSTQVGENISNGIHISLAQRLSIVVDVANALEYLHHNNQGTIVHCDLKPSNILLDGSMTAHVGDFGLARFKVDCTISSSSVSTFSNAIDGTIGYVAPEYAAGVEVSTYGDVYSFGIVLFEIFLRKRPTDNMFKDALNIATFVEMNFPNSIPQVVDPELLENQNGLSHEILVDMKKKDLECLYSVLNIGLCCTKPSPYERMDMQEVAARLRLQPGTGDGHQCVHLAIAGGDAGRRCVLAQAGRGVSITVFAKSTRPISRAGITTEGNRVRVSGGDSAETMHNASKVVFIHRPQPPEVMKIVAIGQFLLALMTCSAFPVLCASLFGNETDRLSLLEFKNAISLDPQQSLSSWNDTTHFCSWEGISCNSKNPPRVTAIHLRNQGLLHELTGGFPPDLPIGLEKLDLSANNLVGTIPPTLGNITTLRNIGCTFNGIDGSIPHELAMLRGMKLLADGRNRLSGQFPEAILNMSALVVLGLSFNYFSAYWQISRITMGSNLFQGNLPSSFANASNLIIFDMSENNFTGVVSASIGKLANLKWLNLEMNQLHARSKPDWEFMDSLTNCTQLQEFSVAGNQLEGQLQRLYLGVNQLSGSIPSGIANMPNLIILDLEVFYHNGLGLKTLQILALSNNNLIGYSAKEILLILTIADVEFSFNNLNGELPTEVGNAKQLRNLQLSSNNLSGDIPDALGNCVNLQEVQLDQNNFSGNIPTSFGKLIILTLLNLSQNKLSGSIPVSLGDLELLERLDLSFNHLRGQVPTKGIFKNSTAIQINGNLGLFGGAPELHLSECPTTTSNKRKHKLSVLLKVVIPLAIMVTLAMVLMVFFLWNRRKRTKSISLPSFGREFPKISLRDLARATNGFSTSNLIGTGRYSSVYQGQLFQDINVVAIKVFSLETRGAHKTFISECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYSCHEGTCINYYSQPQRINIVVDVSDALEYLHHNNQGTIIHCDIKPSNILLDDNMIAHVGDFGLARFRTDPSTSLHDSNSISSLAINGTIGYVAPECAEGGQVSTASDVYSFGVVLLEIFIRKRPTDDMFKFKDGLTIAKYAEINFPDRMLHIIDPQLQQELVLCHETPISVKEKGLHFLRSMLNIVLHCTKPTPSELISMQEAAANYAHIVLCASLPGNETDRLSLLEFKKAISVDPQKSLNSWNDSTHFCSWEGVLCRAKSPLRVTSLNLTNRGLAGHISPSIANLTFLKYLSLGKNSFFGEIPTTLGLLHRLQTLILSYNKLQGRIPDLANCSSLKLLWLDRNNLNGKFPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFAKLTKLQYLSVNTNNLAGSFQPAILNLSTLVNLDLGTNNLKGEVPFNLGTSLPNLQSLILSANFFDGHIPSSLINASELNLIDMAENNFTGVIPSSIGKIAKLNVLSLQLNQFQASTKKEWEFMDSLANCTKLEVFSLAQNRLQGQVPMSLSNISSQLQYLYLGQNQLSGDFPSGIKKFSNLIILGLDHNQFTGIVPEWLGALQTLQKLSLLDNNFIGFLPTSLSNLSQLSELYLGSNKFDGNIPLSLGGLQMLQVLSISNNSIQGRVPKEIFNLPAITEIDLSFNKLYGQLPIEIGNAKQLVTLELSSNTLFGDIPNTLSNCDSLEDIILDRNAFTGIIPTTLGSIKSLKVLNLSHNNLTGTIPVSLGNLQLLEQLDLSFNHLNGEVPSKGVFKNATSIQIDGNHGLCGGVLELHLHECSTKTPNSTKSKQFVVVKVLIPITIIILLATVAISVLLLRRREHEGKNTSLTSFGRKFPKVSYNELAEATEGFSEYNLIGKGRYGYVYRGKLFQGTNLVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPRGNDFKALVYEFMPMGDLHKLLYTTQVDESTSNLRHITLAQRIGIVADVADALNYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNVDSTTPSCRETASTSSAAIKGTIGYVAPECAGGGQASTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFAEMNIPDKMLQIVDGQLVQELGLFKEAPMAGEERRAHCLLSGYFSTL >LPERR11G03920.2 pep chromosome:Lperr_V1.4:11:2675171:2700362:1 gene:LPERR11G03920 transcript:LPERR11G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPSPIPVAMSAAHGASRGVRRNRSSLSATAPCRSTMLLSLNHPQIDAHRGELSAALSIHVVICSSIGNETDRLSLLEFKNAITLDPRQALMSWNDSTHICSWEGILCRVKAPHRVISLNLSGQGLVGTISPSLGNLTFLRYISLQENISYNNLTGTIPPSLFNITTLSKLAIGFNQIYGEIPREIGNSRVLQVFLAPENKLSGRFQPTILNLSSLTTINLASNHLYGELPSSLGSSMPNLQSGHIPSSLANASKLILIDLSKNNFTGEVPSSIGKLKELSWLNLEFNQLQASDKQGLEFINSLNNCTNLRILSLNENQLEGEIPHSFGNHTVKLQMLFLGGNRLSGRFPAGIANIRSLSGLALESNYFTGPLSDWLGNFRTLQLLSLSQNMFTGFIPSSLSNLSLLEQALLDSNQFYVRIPGGLESLKVLQILNISNNSLHGSIPGEIFSIPTLRDIILSSNILNGTLPIEIGNAKQLQHLVLSSNKLSGVIPVTLGNCESMEEIMLDQNFLSGSIPTSFGNMKSLELLNMSHNLLSGSIPKPIGSLQGLAQLDLSFNNLEGEVPETGIFNNITAIRIAGNTGLCGGAAMLHLPECPSRPPSSTKHMRSIVLKVVIPLACIVSLAMGTTVLLFQKEKQGRKSMSLPSFGRKFPKVSFNDLSVATDGFSTSNLIGRGRYSSVYKGKTLQYGNVVAVKVFSLQTRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYEFMSQGDLHMMLYSTQVGENISNGIHISLAQRLSIVVDVANALEYLHHNNQGTIVHCDLKPSNILLDGSMTAHVGDFGLARFKVDCTISSSSVSTFSNAIDGTIGYVAPEYAAGVEVSTYGDVYSFGIVLFEIFLRKRPTDNMFKDALNIATFVEMNFPNSIPQVVDPELLENQNGLSHEILVDMKKKDLECLYSVLNIGLCCTKPSPYERMDMQEVAARLRLQPGTGDGHQCVHLAIAGGDAGRRCVLAQAGRGVSITVFAKSTRPISRAGITTEGNRVRVSGGDSAETMHNASKVVFIHRPQPPEVMKIVAIGQFLLALMTCSAFPVLCASLFGNETDRLSLLEFKNAISLDPQQSLSSWNDTTHFCSWEGISCNSKNPPRVTAIHLRNQGLLHELTGGFPPDLPIGLEKLDLSANNLVGTIPPTLGNITTLRNIGCTFNGIDGSIPHELAMLRGMKLLADGRNRLSGQFPEAILNMSALVVLGLSFNYFSAYWQISRITMGSNLFQGNLPSSFANASNLIIFDMSENNFTGVVSASIGKLANLKWLNLEMNQLHARSKPDWEFMDSLTNCTQLQEFSVAGNQLEGQLQRLYLGVNQLSGSIPSGIANMPNLIILDLEVFYHNGLGLKTLQILALSNNNLIGYSAKEILLILTIADVEFSFNNLNGELPTEVGNAKQLRNLQLSSNNLSGDIPDALGNCVNLQEVQLDQNNFSGNIPTSFGKLIILTLLNLSQNKLSGSIPVSLGDLELLERLDLSFNHLRGQVPTKGIFKNSTAIQINGNLGLFGGAPELHLSECPTTTSNKRKHKLSVLLKVVIPLAIMVTLAMVLMVFFLWNRRKRTKSISLPSFGREFPKISLRDLARATNGFSTSNLIGTGRYSSVYQGQLFQDINVVAIKVFSLETRGAHKTFISECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYSCHEGTCINYYSQPQRINIVVDVSDALEYLHHNNQGTIIHCDIKPSNILLDDNMIAHVGDFGLARFRTDPSTSLHDSNSISSLAINGTIGYVAPECAEGGQVSTASDVYSFGVVLLEIFIRKRPTDDMFKFKDGLTIAKYAEINFPDRMLHIIDPQLQQELVLCHETPISVKEKGLHFLRSMLNIVLHCTKPTPSELISMQEAAANYAHIVLCASLPGNETDRLSLLEFKKAISVDPQKSLNSWNDSTHFCSWEGVLCRAKSPLRVTSLNLTNRGLAGHISPSIANLTFLKYLSLGKNSFFGEIPTTLGLLHRLQTLILSYNKLQGRIPDLANCSSLKLLWLDRNNLNGKFPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFAKLTKLQYLSVNTNNLAGSFQPAILNLSTLVNLDLGTNNLKGEVPFNLGTSLPNLQSLILSANFFDGHIPSSLINASELNLIDMAENNFTGVIPSSIGKIAKLNVLSLQLNQFQASTKKEWEFMDSLANCTKLEVFSLAQNRLQGQVPMSLSNISSQLQYLYLGQNQLSGDFPSGIKKFSNLIILGLDHNQFTGIVPEWLGALQTLQKLSLLDNNFIGFLPTSLSNLSQLSELYLGSNKFDGNIPLSLGGLQMLQVLSISNNSIQGRVPKEIFNLPAITEIDLSFNKLYGQLPIEIGNAKQLVTLELSSNTLFGDIPNTLSNCDSLEDIILDRNAFTGIIPTTLGSIKSLKVLNLSHNNLTGTIPVSLGNLQLLEQLDLSFNHLNGEVPSKGVFKNATSIQIDGNHGLCGGVLELHLHECSTKTPNSTKSKQFVVVKVLIPITIIILLATVAISVLLLRRREHEGKNTSLTSFGRKFPKVSYNELAEATEGFSEYNLIGKGRYGYVYRGKLFQGTNLVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPRGNDFKALVYEFMPMGDLHKLLYTTQVDESTSNLRHITLAQRIGIVADVADALNYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNVDSTTPSCRETASTSSAAIKGTIGYVAPECAGGGQASTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFAEMNIPDKMLQIVDGQLVQELGLFKEAPMAEQLTQ >LPERR11G03920.3 pep chromosome:Lperr_V1.4:11:2657552:2688444:1 gene:LPERR11G03920 transcript:LPERR11G03920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSSSSSPTSPTVDATLNSVVDAVAEAVRSSFVPATDVQMDAAIINPVADDAAQRASIAARQILTNLLAAAAPTDTPASVAAPQPPLPVSTAVPSASTPVTAIATDGVTSAPPHTTPDVSTADLTAFLARLGFPVSMPPVPPVQHRRVGRPHQHRQLGQPTPPEQLNHGSSQAGCCSLPPLLYPLYRLELASNNFTGVVPGSIGKLTKLSWLNLEFNKFHARNKQDWGFLDSLANCTELKAISISGNHLEGHVPTSLGNLSDQLLQLFLSGNQLSGGFPSGVANLPNLIYIGLDNNQFTGVVPEWLGTLGNLQQILLNVNMFTGCIPTSLSNLSLLGSLWLDYNKFVGPLPVSLGNLQMLGTLSISNNKLHGSVPMEIFRIPTIWLIDLSFNNFDGKIDVSVGKAKQLTHLHLSSNNLSGDIPSSLGNCESLEGIELALNNLSGSIPTSLGNIKSLKVLNLSHNNLNGSIHETLGNLLLLELLDLSFNHLSNTISLETEGAEQSFITECNALRKVRHRNLVPILTVCSSLDSKGNDFRALVYKLMPQGDLHSLLHSTQDSGDASTLNIITFAQRLGIVVDVADALEYLHHNNQETVVHCDIKPSNIFLDNDMKAYVGDFGLAKFKIDDAVPSVGNVNSTSVFAVKGTIGYVAPGTSMHLVVKFQPLRMFIALELFYSKYSYRPTDDMFEDAMDIANFVRMNFPGKILDIVDPALLQNELDFSKESPVAMKEVFSDLRMSAWTCEKWLQSYMEPRMHISKATKRKLKDATAMRIDGNQGLCGGQPELHLQACSVTTSVPTKHKKIILLKVVIPIASMVTISSVILIHFPKISYNVLSKATAGFSTSNLIGKGRYSSVYVAKLFEDNTMVAIKVFNLDTRGAQKSFITECNTLRNVRHRNLVPILTACASIDSKGNDFKALVYEFMGRGDLHELLCSTQGGENTSNLNHITLSERISITVDISDAMEYLHHSNQGIIIHCDLKPRNILLDNSMIAHVGDFGLARFKTNSSITSIGDSNSFSSQAIKGTIGYIAPEGGQVSTASDVFSFGVVLLELFIRRRPTDDIFKDGLSIAKHVEINFPERILQIVDTQLHHEFDLCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPSERISMQEAAKKLHGIKDAYLRENYSEDWTFRVRIHVVICSSIGNETDRLSLLEFKNAITLDPRQALMSWNDSTHICSWEGILCRVKAPHRVISLNLSGQGLVGTISPSLGNLTFLRYISLQENISYNNLTGTIPPSLFNITTLSKLAIGFNQIYGEIPREIGNSRVLQVFLAPENKLSGRFQPTILNLSSLTTINLASNHLYGELPSSLGSSMPNLQSGHIPSSLANASKLILIDLSKNNFTGEVPSSIGKLKELSWLNLEFNQLQASDKQGLEFINSLNNCTNLRILSLNENQLEGEIPHSFGNHTVKLQMLFLGGNRLSGRFPAGIANIRSLSGLALESNYFTGPLSDWLGNFRTLQLLSLSQNMFTGFIPSSLSNLSLLEQALLDSNQFYVRIPGGLESLKVLQILNISNNSLHGSIPGEIFSIPTLRDIILSSNILNGTLPIEIGNAKQLQHLVLSSNKLSGVIPVTLGNCESMEEIMLDQNFLSGSIPTSFGNMKSLELLNMSHNLLSGSIPKPIGSLQGLAQLDLSFNNLEGEVPETGIFNNITAIRIAGNTGLCGGAAMLHLPECPSRPPSSTKHMRSIVLKVVIPLACIVSLAMGTTVLLFQKEKQGRKSMSLPSFGRKFPKVSFNDLSVATDGFSTSNLIGRGRYSSVYKGKTLQYGNVVAVKVFSLQTRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYEFMSQGDLHMMLYSTQVGENISNGIHISLAQRLSIVVDVANALEYLHHNNQGTIVHCDLKPSNILLDGSMTAHVGDFGLARFKVDCTISSSSVSTFSNAIDGTIGYVAPEYAAGVEVSTYGDVYSFGIVLFEIFLRKRPTDNMFKDALNIATFVEMNFPNSIPQVVDPELLENQNGLSHEILVDMKKKDLECLYSVLNIGLCCTKPSPYERMDMQEVAARLRLQPGTGDGHQCVHLAIAGGDAGRRCVLAQAGRGVSITVFAKSTRPISRAGITTEGNRVRVSGGDSAETMHNASKVVFIHRPQPPEVMKIVAIGQFLLALMTCSAFPVLCASLFGNETDRLSLLEFKNAISLDPQQSLSSWNDTTHFCSWEGISCNSKNPPRVTAIHLRNQGLLHELTGGFPPDLPIGLEKLDLSANNLVGTIPPTLGNITTLRNIGCTFNGIDGSIPHELAMLRGMKLLADGRNRLSGQFPEAILNMSALVVLGLSFNYFSGELPSGIGSLLANLQDHHGLQLVSRKSPFFLCKCLESDHF >LPERR11G03920.4 pep chromosome:Lperr_V1.4:11:2675171:2701607:1 gene:LPERR11G03920 transcript:LPERR11G03920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPSPIPVAMSAAHGASRGVRRNRSSLSATAPCRSTMLLSLNHPQIDAHRGELSAALSIHVVICSSIGNETDRLSLLEFKNAITLDPRQALMSWNDSTHICSWEGILCRVKAPHRVISLNLSGQGLVGTISPSLGNLTFLRYISLQENISYNNLTGTIPPSLFNITTLSKLAIGFNQIYGEIPREIGNSRVLQVFLAPENKLSGRFQPTILNLSSLTTINLASNHLYGELPSSLGSSMPNLQSGHIPSSLANASKLILIDLSKNNFTGEVPSSIGKLKELSWLNLEFNQLQASDKQGLEFINSLNNCTNLRILSLNENQLEGEIPHSFGNHTVKLQMLFLGGNRLSGRFPAGIANIRSLSGLALESNYFTGPLSDWLGNFRTLQLLSLSQNMFTGFIPSSLSNLSLLEQALLDSNQFYVRIPGGLESLKVLQILNISNNSLHGSIPGEIFSIPTLRDIILSSNILNGTLPIEIGNAKQLQHLVLSSNKLSGVIPVTLGNCESMEEIMLDQNFLSGSIPTSFGNMKSLELLNMSHNLLSGSIPKPIGSLQGLAQLDLSFNNLEGEVPETGIFNNITAIRIAGNTGLCGGAAMLHLPECPSRPPSSTKHMRSIVLKVVIPLACIVSLAMGTTVLLFQKEKQGRKSMSLPSFGRKFPKVSFNDLSVATDGFSTSNLIGRGRYSSVYKGKTLQYGNVVAVKVFSLQTRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYEFMSQGDLHMMLYSTQVGENISNGIHISLAQRLSIVVDVANALEYLHHNNQGTIVHCDLKPSNILLDGSMTAHVGDFGLARFKVDCTISSSSVSTFSNAIDGTIGYVAPEYAAGVEVSTYGDVYSFGIVLFEIFLRKRPTDNMFKDALNIATFVEMNFPNSIPQVVDPELLENQNGLSHEILVDMKKKDLECLYSVLNIGLCCTKPSPYERMDMQEVAARLRLQPGTGDGHQCVHLAIAGGDAGRRCVLAQAGRGVSITVFAKSTRPISRAGITTEGNRVRVSGGDSAETMHNASKVVFIHRPQPPEVMKIVAIGQFLLALMTCSAFPVLCASLFGNETDRLSLLEFKNAISLDPQQSLSSWNDTTHFCSWEGISCNSKNPPRVTAIHLRNQGLLHELTGGFPPDLPIGLEKLDLSANNLVGTIPPTLGNITTLRNIGCTFNGIDGSIPHELAMLRGMKLLADGRNRLSGQFPEAILNMSALVVLGLSFNYFSAYWQISRITMGSNLFQGNLPSSFANASNLIIFDMSENNFTGVVSASIGKLANLKWLNLEMNQLHARSKPDWEFMDSLTNCTQLQEFSVAGNQLEGQLQRLYLGVNQLSGSIPSGIANMPNLIILDLEVFYHNGLGLKTLQILALSNNNLIGYSAKEILLILTIADVEFSFNNLNGELPTEVGNAKQLRNLQLSSNNLSGDIPDALGNCVNLQEVQLDQNNFSGNIPTSFGKLIILTLLNLSQNKLSGSIPVSLGDLELLERLDLSFNHLRGQVPTKGIFKNSTAIQINGNLGLFGGAPELHLSECPTTTSNKRKHKLSVLLKVVIPLAIMVTLAMVLMVFFLWNRRKRTKSISLPSFGREFPKISLRDLARATNGFSTSNLIGTGRYSSVYQGQLFQDINVVAIKVFSLETRGAHKTFISECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYSCHEGTCINYYSQPQRINIVVDVSDALEYLHHNNQGTIIHCDIKPSNILLDDNMIAHVGDFGLARFRTDPSTSLHDSNSISSLAINGTIGYVAPECAEGGQVSTASDVYSFGVVLLEIFIRKRPTDDMFKFKDGLTIAKYAEINFPDRMLHIIDPQLQQELVLCHETPISVKEKGLHFLRSMLNIVLHCTKPTPSELISMQEAAANYAHIVLCASLPGNETDRLSLLEFKKAISVDPQKSLNSWNDSTHFCSWEGVLCRAKSPLRVTSLNLTNRGLAGHISPSIANLTFLKYLSLGKNSFFGEIPTTLGLLHRLQTLILSYNKLQGRIPDLANCSSLKLLWLDRNNLNGKFPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFAKLTKLQYLSVNTNNLAGSFQPAILNLSTLVNLDLGTNNLKGEVPFNLGTSLPNLQSLILSANFFDGHIPSSLINASELNLIDMAENNFTGVIPSSIGKIAKLNVLSLQLNQFQASTKKEWEFMDSLANCTKLEVFSLAQNRLQGQVPMSLSNISSQLQYLYLGQNQLSGDFPSGIKKFSNLIILGLDHNQFTGIVPEWLGALQTLQKLSLLDNNFIGFLPTSLSNLSQLSELYLGSNKFDGNIPLSLGGLQMLQVLSISNNSIQGRVPKEIFNLPAITEIDLSFNKLYGQLPIEIGNAKQLVTLELSSNTLFGDIPNTLSNCDSLEDIILDRNAFTGIIPTTLGSIKSLKVLNLSHNNLTGTIPVSLGNLQLLEQLDLSFNHLNGEVPSKGVFKNATSIQIDGNHGLCGGVLELHLHECSTKTPNSTKSKQFVVVKVLIPITIIILLATVAISVLLLRRREHEGKNTSLTSFGRKFPKVSYNELAEATEGFSEYNLIGKGRYGYVYRGKLFQGTNLVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPRGNDFKALVYEFMPMGDLHKLLYTTQVDESTSNLRHITLAQRIGIVADVADALNYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNVDSTTPSCRETASTSSAAIKGTIGYVAPECAGGGQASTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFAEMNIPDKMLQIVDGQLVQELGLFKEAPMAGEERRAHCLLSPRSIKI >LPERR11G03920.5 pep chromosome:Lperr_V1.4:11:2700240:2705130:1 gene:LPERR11G03920 transcript:LPERR11G03920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTNTAHFLLVLLASISHSVICSTFGNETDRLSLQDFKNAISLDPQQSLSSWNDTTHFCSWEGISCNSKNPPRVTAIHLRNQGLVGHISPSLGNLTFLRNLSLATNRFIGQIPESLGHLRRLQSLYLSNNTLQGIIPSFANCSELTKLWLDHNELTGRFPDGLPLGLQELQISSNNLLGTIPPSLGNITELRKVSFAFNGLDGGFPSELAVLSGMEILYAGSNRLSGEFPEAILNMSALVELALNTNSFNGKLPAGFSNSLPNLRQLAIGINFFHGDIPSSLANASNLIKIDMSENNFTGLVPASIGKLTNLSRLNLEMNQLHARSKQDWEFMDSLANCTQLQGFSIARNQMEGEVPISLGNFSVQLQHLYLGQNQLSGSFPSGIANLPNLIILGLDYNQFSGSVPQWLGGLKTLQKLSLSTNNFTGYVPSTLSNLSHLTELLLDSNQFIGNIPSSFANLQFLTTITISDNNLHGSLPKEIFRIPTIAQVGFSFNNLSGELPTEVGNAKQLMILQLSSNNLSRDIPNTLGNCENLQEVVLDQNNFGGGIPASFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPNKGIFKNSTAMQIDGNLALCGGALELHLPECSITPSNRTKHKLSILLKVVIPLASMGTLAIVILVLFMIWKGKQRTYLNSLPSFGSEFPRVSYKDLARATNGFSASNLIGEGRYGSVYQGQLFQDTNIVAVKVFSLETKGAQKSFIAECNALRNVRHRNLVPVLTACSSIDSSGNDFKALVYEFMPRGDLHKLLYSTAHDETSSDLCYISLAQRLSIVVDVSDALAYLHHNHQGTIIHCDIKPSNILLDDNMTAHVGDFGLARFKIDSKTSSGNSTSSFVINGTVGYIAPDCAGGGQISTAADVYSFGVVLLEIFIRRRPTDDFFEDGLSIAKYTEMNIPDKMLQVIDPQLVQELSLCQEDSVAVNESGAHCLLSLLNIGLCCTKSGPSERISMQEVADKLHAIRDSYLRGY >LPERR11G03930.1 pep chromosome:Lperr_V1.4:11:2707477:2711377:1 gene:LPERR11G03930 transcript:LPERR11G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQAVICSTFGNETDRLSLLEFKKAISLDPQESLISWNDATTAAGKYLGLPKNALTGEIPPSLGHLRRLQYLYLSSNTLQGSIPSFANCSELKVLWVHRNDLTGQFPDLPSKLQQLQLSINSQTGTIPASLANITSLKFLSCVYNHIEGNIPNEFAKLPNLQTLYVGANQLSEVPSDLGSALPNLEIFELPVNFFHGYIPSSLTNASNLYFLELSNNNFTGLVPSTIGKLNKLQMFNLESNQLQAYREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSTLGNLSEQLQELHLAENNLSGDFPSGIANLRNLIIVALAVNQFTGVLPEWLGTIKNLQKISMGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGQLQPSFGTLPILQVLNVTNNNLHGSIPKEIFRIPTIVQIRLSFNKLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDCESLEGIELDHNVFSGSIPASLENIKTLKVLNLSYTNLTGSIPASLGNLQLLEQLDLSFNNLKGEVPTKECAGGGRVSTTLDVYSFGIVLLEIFIRRKPTDDMFKDGLSIAKYTEMNFPDKMLQIVDPQLLQELGICQGAPMDIEQNEECCLLSVLNIGLNCTKLVPSEHMSMQEVASKLHGIRDEYLRGY >LPERR11G03940.1 pep chromosome:Lperr_V1.4:11:2719565:2720845:1 gene:LPERR11G03940 transcript:LPERR11G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKHTDSFSLKVVLPIIAIVASLIAAISIMGFWKGKQNKQSISSPSFGRKFPKVSHSDLVRATEGFSTSNLIGRGRYGSVYQGKLFEEKNMVAVKVFNLETRGAGKSFIVECNALKNVRHRNIVTILTACSSSDSSGNDFKALLYEFMPQGDLHNLLYSTRDGDGSSNLNNVSLSLRLNIVVNVSDALAYLHHNHQRTIVHNDIKPSNILLNDDMTAHVGDFGVAAFKSDSTTSSFSDSLLADSSAIKGTIGYIAPECAGGGRVSTSLDVYSFGIVLLEMFIRRMPTDDMFKDGMSIAKYAELNLPDNMLHIVDPQLLQELHICYETPMDLEKNEVKCLLSVLNIGLNCTRLVPSERMSMEVAAKLHGIRDKYLTTQKKEDKYLT >LPERR11G03950.1 pep chromosome:Lperr_V1.4:11:2721539:2726398:-1 gene:LPERR11G03950 transcript:LPERR11G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTLLVHFDKGSPSMANEIKSDLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDATSGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLVPSILANLEHRHHFIRRHALSAISAIYRLPHGDQLLPDAPDLVDRALAGEQDAAARRNAFLMLCACAQERAIAYLLTNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGVLVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDASKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKHIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLGGYIRIRSKTQGIALSLGDKITLKQKGGSS >LPERR11G03960.1 pep chromosome:Lperr_V1.4:11:2730504:2731975:1 gene:LPERR11G03960 transcript:LPERR11G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPPCTLLIHSNKTISPSTPNEIKSDLESSNIPTKIAAMKRAITLLLNGETLPHLFITVVRHVLPSDDHAIQKLLLLYLETVHTRDAAWGKIFPEMILLVDFLGRNLRHPNEYVRAATLRFVRRRLDEPKMVEPLVDSVLSCLRHRRRFVRRHALAAIAAVYYRLLRHDGDGDGIIRLLPHIGGGGGPARRNAFLAARACSPERAVAYLLANADRVVDWPDHLQMAAVEAICKVFDRSPAPANSGGEGGRYIEIVVAECGGGDSGGAVVFMASPTDANTYCQLLSASQSDDGDGDSVEVIVLERLHELRCSQSDVMMDMVMDVLSALSSPSVHLLDKA >LPERR11G03970.1 pep chromosome:Lperr_V1.4:11:2744815:2746308:-1 gene:LPERR11G03970 transcript:LPERR11G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPTPATPSSGGSIHEPRWLLLEHDVQHKYEKGSEGRFSKFLPSTAAADPNTEATCLNTAGHVIRVFLCREAPPASSRLCFTSTPNHDESGGGPRVTIVAVHAHSVLIQMSYKKYARGDEHGLDHFVYSSGSGGGAAAAPSLSLLPIHWFEWFPYRRRLKQENRLDDANTGLLCRGERDLVVAELTDIDVEKEPKEAQLLVFRSGEWADKRVVIVHDEGKADELYDWKSDMVVPFGDRQLCWVDLYRGVMFCDMYENLTLRYVRLPVEVPADEFDEEYEYDEYDEYEECEYKTKNPRVCPMTDRTVSVCVTDGGDTLKFVDIIPRCSCGGSCVTTSCKNSTSTAFVINTWTLRMSDMTWVMDDIVDATELWSLNAYAGLPHKKPTYPVVSIDDSHIICFLVCIDDESSCPDIFWKIMLDTRSKRLLSVIRYEQSTQQRRQPCWLPLPGNTYLPSKIFDHLISDVTCSNDSTKPEVITDNIPATTVIASTSSRSGC >LPERR11G03980.1 pep chromosome:Lperr_V1.4:11:2753816:2755045:-1 gene:LPERR11G03980 transcript:LPERR11G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNEYGYFIYSSGGGATGGDHPPRPPSLSLLPTGGDQRLHEATTGLLYRGERDLVVAELTDVFVEEEEDEPKEAEILVFRSGEWGNKRAVIILRHVGCESHFALRVTSDTLWKIMLDARSKTLLSVFRYDPSRKLQQPCWLPFPTKTYFPSKIFDYLIPDVTCINDSTKPAVIGDKPVTSAKRLKVSLEIASPEEILAALEEIPDLASDDLLKAYSILINDNGRRFRSLLVLPMCLRKKWLLIEIKNSEACSNCSACTDKHHVRDTKFVNCK >LPERR11G03990.1 pep chromosome:Lperr_V1.4:11:2755146:2755367:-1 gene:LPERR11G03990 transcript:LPERR11G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRPTPATPPSGTGSNEPGWVLLLYFIQRKYEKGSEEPFAKFCPSTAAADPNTEATCPNSASQLRHSLLPLP >LPERR11G04000.1 pep chromosome:Lperr_V1.4:11:2761212:2762857:-1 gene:LPERR11G04000 transcript:LPERR11G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQTQPATLPSGAGCYVPRWVLLEPDIQHKQKKGSEVVDDPRTEATCRNSAGHVITVAFCHVAPPAPSRLCISSSPCHHERVPDAKVIAAHGHSVLIELYFQKEPTSYEHGYDYFVYTAAGDTPPSLSLLPPRGLGASSTGLLVRRRRHDDDDDDIAVANLEVWVEPEPKVAELLVLRSGEWRITRRRIRHGKGKAEELSYWETDIAVPIGDRTICWVDLYRGVIICDGDVFDDKTAALRCATNPRHCFMKDRTVCVAGDTIKFVNIFPRCCCGNPAVTTCDHSSRAFVINTWTLRMDDMTWVKDGIVDSTEFWSLSTCAGLPQKKPKYPVVSIDDSHIICFVVSKKSSSSLSNTFWKIMLDTRSKTLLPVVRYNPSQQQWVPFSGKTYIPSKIFDYLTSDVTCSNNSINPAVIADIPATTTAIVGSSSRTLSHELSAMSLKGSEMVSPREILAALQEIPDLARADMLRSYSILISDDGRRFKSLLVLPMGLRKEWLLIEIKNSDKYNVCDTEILNSN >LPERR11G04010.1 pep chromosome:Lperr_V1.4:11:2765028:2765511:-1 gene:LPERR11G04010 transcript:LPERR11G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPISHEDGKAEEVSTWKTDMVVPVGDRQLCSVDLYRGIILCDITCRFRLRLPSVKFDERYDDHGDNPRNCQMPSRTVCVTDGSVVRCCCGRRGATFCSHSSGAFVINAWTLQMDNMTWVMDAMVNATELWSRCLCRSPTHHSTIPHCEHG >LPERR11G04020.1 pep chromosome:Lperr_V1.4:11:2767199:2771131:-1 gene:LPERR11G04020 transcript:LPERR11G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEIENGRCGFRKEPERNFNIDPSIREVCHPIRWPLEIYHQSTNFFRSIPLPSIQRPSVYPFRVRPHSSPSLNAASSGPPTIAATAARPRTHTSQQPRCANHRADLTDGRAARLRKDGVREVSRLGRFFPATASKLRFSAWRRPPAPIDLFSSRSRRAPASSCILPPRRSDMAAECGSGNCDAWAARDPSGILSPYKFDRRTVRSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVRNFKVGDHVGVGTYVNSCRDCENCDSSLENYCSKHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKREEAVGLLGADNFVISSDENQMESLKSSLHFIIDTASGDHPFDPYLSLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKMDYINEALQRLVDRDVRFRFVIDIENSF >LPERR11G04020.2 pep chromosome:Lperr_V1.4:11:2767199:2769458:-1 gene:LPERR11G04020 transcript:LPERR11G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFDRRTVRSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVRNFKVGDHVGVGTYVNSCRDCENCDSSLENYCSKHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKREEAVGLLGADNFVISSDENQMESLKSSLHFIIDTASGDHPFDPYLSLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKMDYINEALQRLVDRDVRFRFVIDIENSF >LPERR11G04020.3 pep chromosome:Lperr_V1.4:11:2769460:2770835:-1 gene:LPERR11G04020 transcript:LPERR11G04020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSGRLNGWTGGTTSEGWSERSLSAREIFPRDCEQAAFLGVASAPRPHRSLLLPIEASSREQLHPPSPE >LPERR11G04030.1 pep chromosome:Lperr_V1.4:11:2777332:2778395:-1 gene:LPERR11G04030 transcript:LPERR11G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFLVALVTIVILIAFAISLFYLLPLPQRGGDRWPEDATVATRPPAARPPPPSRSPAAPDSPVPRHGVFRLQLGRVPAVIVTSATAAGEDVFRAHGAAFAGRPRNAMAERLLYSARDVAFAPYGRWILRKVFADSVELLGTEPVRVLLPWLGWVDTLRRLERKAARTFNALDGVPDKVIDDHRRRRRHEGEGRRMDDDDGHKDFMDVLLEMTIWTMKDSSPRARTPRARTPERFLDSTLDYREQNSEMVPFGGGRRGCPGVDFATTTMEMALASLLYHFNWEVAVVASGKGRRLRTVVRHE >LPERR11G04040.1 pep chromosome:Lperr_V1.4:11:2780114:2781183:-1 gene:LPERR11G04040 transcript:LPERR11G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTSVVAAHGDSVLLHLHHYHNGFVMDYFVYNAGSPAADPPRPPSLLLLPINLNNKPKEAQRGTTWQHHHRAEDDDLVVASLTAMVKADDERKEAELLVLRSGEWSVTRAPFIRADADRGRPNQPSSWRTDMVIPIGDRLLGWVDLFCGIILCDMFDKNLQMKYVTLPSEARYEENYDDKQIYLITERNVCVTNGGTTLKFIYVFPRCCCGRSGETYCDNSKGAFVTKIWTLRMDSNDMFDEDQWENIKIKWNILFDTRSKTLSSVCCRDIFSEGSTE >LPERR11G04050.1 pep chromosome:Lperr_V1.4:11:2784402:2786501:-1 gene:LPERR11G04050 transcript:LPERR11G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVREERREAEKGNGGCGEIKGGDDEGDAAFSVSSGGQLLRVSLFLRTPPSSSRVCFDCFPHIDSDGNDRAHLRVLAAHADSLLVEFFSSSNPRGNIDHFVYNASDAAADPPRPPSLLLLPVYRTESEEDEIKTMLDSNTTGLLLRHGGDDGGENDLVVADLAVVEGPRLKDAKLVILRSGEWSITRAPVVHFDGRNDDEPLPAWTTHAVVPVGDRRLCWIGLYRGIIVCDVFDEIPQLQFLSLPLEALTGEYDDDDYPNNKRNFVVTDRSVCVTNDETTLKLIHTNPRCCCGRAGMTFCDHSHGAFVIKTWALTMEDGDMTWTMDAMVDSTELWSQHAGFPHYSPAHPIIMSVEDPNVICFMVVEKYTGNKWNILFNTRSKTLLSMCSPGGHNYLASKISSYFTSQGKCSSGAEDPPVIVDKAATNDTVIGGLVQSSSYESFGVKHFSESDLASCKEIFAALEEIPELYPRDLLKAYSMLCHDNGRRFKSLLGLPMSLRKTWLLMEIQTCEACVVCSSLTTDLQNP >LPERR11G04060.1 pep chromosome:Lperr_V1.4:11:2786966:2793773:-1 gene:LPERR11G04060 transcript:LPERR11G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDPSIVCFKVTKREQKEGNYRQSTILMRQTAWGTAGRRRESAQIRVDLDGIWHRGGGGGWRNIRCSTIQ >LPERR11G04070.1 pep chromosome:Lperr_V1.4:11:2793965:2798717:-1 gene:LPERR11G04070 transcript:LPERR11G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLPSGGDSYPQWVILAKYATLDGAEDDDAAADDAKTTEAASFGDPRTEATSRSSGGHHIGVSFLLDSPPFSSRLSFRCSPCGSRSDYSYRNDPPTMGIVAVGGDSLLLSMKEDDEDGPPMRWAYQLLNAQATGILRRGGAGEEFVVAELVGKGYDDGFTPEYLLVLRSNDGEWKLTKVQIVHDDGKAEEVSRWKSDMVFPIADRLLCWVDLYRGVILCNPFDERPHLLYVSLPVDAPKDKFDREFGDYSINPRLCPIEKRGFWVSDDGTELSSGITSCHNSNNAFVITSWTMRMKEMTWVMEAMVDVTEFWSLEAYAGLPNVRPKHPFDLYLRQSINPLRQPPLMETQPPAATPSAAAPPLSAGGHPRWVLLTHYAVLEGVNDYIDDEVVDAAADEKTEATGDAKTEATTRSSDGHLVRVSFRIDAPPEASCLFPCGHRYERPKMWVVATHGDSVLIGMHYVKDGSFYENRYAIDYFLYNAGSDALPRPPSLSLLPSYWITIHEEGENCYHRHPTWRPNHLDVKTTGVLHHRGDEEDELTVNHGDATEDAEILMLRSGEHLCWVDLYRGIILCDMFDESPQPLYVSLPVEVPVGEFDDGELAINRRMCLQEKRRVCVSDGGTTLMFIDISPRCFCGSLGATDCHNSSGVFIIKTWTLRMSDMTWMLDAMIDATEIWSLDDYFGVPRVTPQHPIVSMDNPDLIFFMVKEPYQRGNLSYDLAFWKIIFDMRSKTQLSVYHYDKCQQPQTWQPNADKPTTSDLIVNNSSQLSSDKILKVSSEIVEDSPQDILAALEEIPELDRDDMLRHISFLRMTLANTVSLMKTQTTATAGGKYPRWIIIYKYSDLKNKNNNPSSSCVTAYAKTEATSLSSTGHTISLSFCFEEPPAVSRLCFHFSPYGGRHGSPSMYPITIHCDSMLLEMYYNGCHGGMGYFVYNAGVATADPPCPPSLWPIPTDQLRCMGRGRELQVKTTSLLHHGAGQNDFVVADLIVQERGMPKDQAELLVFRCGEWSVTQPSIIHGDGETQCHNSYGASVINTWTLRMTDMIWVMYSMVDVTELWSLDAYICLPHVRPEFPIVTMDNSHVIFFLISEEHHQRKVSYSGKGVIWKITFDTRRKTLLSVCHYDASQWQTSWPTHGDVYLHGNISSYFTSDGTCKNSSV >LPERR11G04080.1 pep chromosome:Lperr_V1.4:11:2800430:2803645:-1 gene:LPERR11G04080 transcript:LPERR11G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTIGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDTSLAESPDCQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKAGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >LPERR11G04090.1 pep chromosome:Lperr_V1.4:11:2807769:2814514:1 gene:LPERR11G04090 transcript:LPERR11G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSNSKPCASSPDAGGRGVWAKLVPSDSAFPAVELAEEDAVVCSLVAPAAGGEEVAWCEIRRGGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGHLLYTFDITGLKDQDQNNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTAVQSVGRNHFLHNIEEAILQSFSSLQRSDEEIALLESYASVKTNIVLGKQRNQSRKRRLPRSNEDHPDFLCPQCGAGYGGFRCSPGAPHLPCNGCGGMMPARPDTKRCILQSGKTLQAIISEWIVKFDKKELDRSRLQLNHVDAITSRTYVCNQCFNKFIDFLLYWFRVSAPRNLLPPDAANRDSCWYGFMCRTQYHRPDHAKKLNHVCRPTRGNP >LPERR11G04100.1 pep chromosome:Lperr_V1.4:11:2820915:2822051:-1 gene:LPERR11G04100 transcript:LPERR11G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAAGGAAPSSSTSNSTNSSRSTSDHHAAAAAQHHHHAFYYAGAPAAGATMPAPASFMGSLAMVPSSAPAPAAAQVQAVEPESQKKAALVAAPAAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSSSAAASPRAAPPSFHHQFQHDVAAAAMLGFPHHHHHHLLAQPPPHQDPGAGEFMRKRYREGGADDLFKDNAAAASRHEDTDGGGGGENEQKARAAAAPPASPMWAVGPNTGGGGGGGAAFWMQPAWAFAGGGNTVQAPLQFMTRSAFAGAVTMADNNNNSSSSNLGMLAALNAGGEQQQEGQPPEMDHHRRANGGGGGGAASPQ >LPERR11G04110.1 pep chromosome:Lperr_V1.4:11:2836856:2843462:-1 gene:LPERR11G04110 transcript:LPERR11G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLGSDLSFPDPDVAASSLASFPSLPSHLLPSLLSSAHADISAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATAWWARLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTMGDSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVSGTDVISLFEDVRVKDDLKSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREIHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDVQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIQELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSGGSADYEGDYEDSSQTMRQKRSFRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDELITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQK >LPERR11G04110.2 pep chromosome:Lperr_V1.4:11:2837277:2843462:-1 gene:LPERR11G04110 transcript:LPERR11G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLGSDLSFPDPDVAASSLASFPSLPSHLLPSLLSSAHADISAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATAWWARLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTMGDSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVSGTDVISLFEDVRVKDDLKSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREIHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDVQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIQELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSGGSADYEGDYEDSSQTMRQKRSFRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDELITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >LPERR11G04120.1 pep chromosome:Lperr_V1.4:11:2843801:2849462:1 gene:LPERR11G04120 transcript:LPERR11G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYLKKQKPTTPLTSSFGSGLRSVGALLLGAGTGSRPLSYVGNNGVSRSPSGSSRSSRSRDMVDYDGKGTYIIFNVSNRLLISDLNANCYEPVKSIHFSNYSAPLCHAFDSEAKDGHDLIVGLLSGDVRISLNRCTGVAWVPGHEGFFVVSNADGNLYVYDKSKDGNTDWAFPTIDDQSEMMISHAKSSKSNPVARWHICQGAVNAISFSPDGAYLATVGRDGYLRVFDFAREQLIFGGKSYFGALLCCSWSMDGKYLLSGGEDDLVQVWSMDDRKMVKMGEGHKSWVSAVAFDPYWSPPNPDKPGGNTMYRFASVGQDAQLLLWDLTTGELTVPLSGLSQSSSSSPTSSSGSPSTDRDKYNAYLARFFSPSQLKKDRDTGILQPSPGMQEILKLSPLVEYRVGVDPLSTLEFTSESILTVCREGRITPCPRDIDSETDCSELFISNATSNERAVTTSSEASCCRSSFKQPSAVRFM >LPERR11G04130.1 pep chromosome:Lperr_V1.4:11:2857609:2862826:1 gene:LPERR11G04130 transcript:LPERR11G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSADAQKQSAGSSAVGDTSLHQPVGLPSAIHAASVMAGILGGAQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTTQNKELSNKLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKSQQPSSSLAQISEPFPQPDTMIPNPNVLQDPTTQMHNFPQYPHSSQPAVAIFPHASQSVAASGSLISQVQPPFMPHHPRPPAIPASIQQLPLTHPHLPQVPAAPDMPQKEMRFPDQANHMAELAHPPKLRKLEDGTSTPGIVNNNPAVYTAPSHGMVPSGPSGSYNSAAVSFQQPENEVSQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >LPERR11G04140.1 pep chromosome:Lperr_V1.4:11:2863857:2870093:-1 gene:LPERR11G04140 transcript:LPERR11G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENTSKRKAAETESDPPAAAAASSEQQQPPDKRRNLSRSCIHEVAVPKSYASSKDEAIHGTLSSPSFHGEMAKTYPFNLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDELGQFREDNFMKLQDTFTKQSNQVDGRKGGGPRASGRIAKGGSASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITTLENEATLLDSSGETDLAEYHKLELDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPSQSGTLPPALSASRGNNYIVDTLLHCSSSSNDNGSRSKPCPPRPGEKGEMHVVPVPLPLLCGLSSVRINIPSDLRPAEARQNILFAVQELGKRYPQGLPKLDPIKDMGIQEPELVDLVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFIPCEKSSEQIRLRSELSKPMMQLQEAARKIAEVQRECKLEVNVEEYVESVCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLEEKFGSASDSLRRGIMFANSLYL >LPERR11G04150.1 pep chromosome:Lperr_V1.4:11:2870600:2874848:1 gene:LPERR11G04150 transcript:LPERR11G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAMRGGDGGGGVKGARRPAGRAALAGRRRRAAVMLLALAYAAAMLVVFLGGRSGVGGAGEVVAPAPAGSVYRSHLVFDRLLPEMRASASRPHPLMAPHYKKSGKRWVPCISKRLTRSELPPSNGFLVIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIRSLRKYIRVVKELPEDVFVTFDHNISSIPNMRTKAFSSEGYYLEKVLPKLLELGAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKRSSLTGGKYVSVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFDNTTSIYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVSLHSEVFVTTQGSNFPHFLMGHRRYQYEGNAKTIKPDKRKLVALFDNPSIRWDRFKRHMQDIHRHSEMKGFGVRKPNGSIYTLPMPDCMCQQSEP >LPERR11G04150.2 pep chromosome:Lperr_V1.4:11:2870600:2874848:1 gene:LPERR11G04150 transcript:LPERR11G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAMRGGDGGGGVKGARRPAGRAALAGRRRRAAVMLLALAYAAAMLVVFLGGRSGVGGAGEVVAPAPAGSVYRSHLVFDRLLPEMRASASRPHPLMAPHYKKSGKRWVPCISKRLTRSELPPSNGFLVIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSRAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKRSSLTGGKYVSVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFDNTTSIYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVSLHSEVFVTTQGSNFPHFLMGHRRYQYEGNAKTIKPDKRKLVALFDNPSIRWDRFKRHMQDIHRHSEMKGFGVRKPNGSIYTLPMPDCMCQQSEP >LPERR11G04160.1 pep chromosome:Lperr_V1.4:11:2876840:2879318:1 gene:LPERR11G04160 transcript:LPERR11G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPTASTLPSGGGSYPQWVILFKYATLDDADDAKTTDAASFGDPRTEATSRSSGGHHIRVSFLLDSPPFSSRLSFRCSPCGSRSDYSYRNDPPTMGIVAVGGDSLLLSMVYQKGYENESFLLNAQATGILRRGGAGEEFVVAELVGKGYDDGFTPEYLLVLRSNDGEWKLTKVQIVHDDGKAEEVSRWKSDMVFPIADRLLCWVDLYRGVILCNPFDERPHLLYVSLPVDAPKDKFDREFGDYSINPRLCPIEKRGFWVSDDGTELRFVNISPRCCCGSSGITSCHNSNNAFVITSWTMRMKEMTWVMEAMVDATEFWSLEAYAGLPNVRPKHPLVSMDNPHLIFFVVEEERQRKKSHVDRAKWLVMFDMRSKTMLSVIRYDESDRRIAQSSYYHTYFPSKITNYFTFNETSSNVANKTLANKLAISDIIASYSSRSSSCMPSAKLEHSQVSKVVASAKEIFSALEEIPELACDDLLRAYSILCYDISQHRFRSLMGLPMSLRKRWLLLEIKSITPQHPIVSMDNPDLIFFMVKEPYQRGNLSYDLAFWKIIFDMRSKTQLSVYHYDKCQQPQTWQPK >LPERR11G04170.1 pep chromosome:Lperr_V1.4:11:2879637:2880374:1 gene:LPERR11G04170 transcript:LPERR11G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRKKWLLMEIRTSESCSVSLMKTQTTATAGGKYPRWIIIYKYSDLKNKNNNPSSSCVTAYAKTEATSLSSTGHTISLSFCFEEPPAVSRLCFHFSPYGGRHGSPSMYPITIHRNSMLLKMHHNDCHGGMGYFVYNAGVATADPPCPPSLWPIPTDQLRCMGRGRELQVKTTSLLHHGAGQNDFVVADLIVQERGMPKDQAELLVFRCGEWSVTQPSIIHGDGKADELLWWRTDMVVTVGERLK >LPERR11G04180.1 pep chromosome:Lperr_V1.4:11:2881948:2887068:1 gene:LPERR11G04180 transcript:LPERR11G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSTATATAAAALAGVPPATLGGGDGGRCYPRWVMLNRRVYGNEDPSSSSSTAAAADITEAAALSSDIHHVVRVSSFAAPPVPSHARLHFSPPLVSRPPSDPYLSVVAAHGDSVLLELCFEANCRRYVRDYFVYSAGAGDPPRPSLSLSLLPNYWTKDDGDDRLLPQILDEKTTGLVCRRRRGEDDVEELVVANLIAMNINHAVAEAELPVLRSGELGVTRTPVIMINDGNKLTGSWETAMVVPLGDRFPCWWTSYYDNYLASKISSYFTSSDDGISFRNSATKPAAAIDNVATKDIIISGSSQPARSTYDHSFAKSLNMLALSSPEEILAALQEIPGLSRDDLLKAYSMLCHDNGRRFRSFLGLPMSLRMPWLLMEIKASEACSVCCAVHVEQIGYPRWVMLEHEVENHGNKRPIRRPLSILDVSTEAFSVSSGGQLLRVSFFLRAPPSSSRVCFDCFPHTDGRGVNDWARLRILAAHADSLLLDFCSSLSRGPRGNIYDARKTTGLLVRHGDDDGENDLVVADLAMVEGPRLKDAKLVILRSGEWSITRAPVVHFNDEPLPAWTTHAVIPVGDRPLCWVDLYRGVIVCDVFDEIPQL >LPERR11G04190.1 pep chromosome:Lperr_V1.4:11:2887300:2898324:1 gene:LPERR11G04190 transcript:LPERR11G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDMTWTMDAMVDATELWSLDAYAGFPHSYPDNPIIMSVQDPNVICLTVMEIYRDPGRKYCVVHNTWNILFNTRSKTLLSMCCLGGQNYMCTTNDSGIGDSVQSSSYASFGVKHLSESDVASCKEIFAALEEIPELYPRDLLKARIPGQRSQHQLYRGHTSSSGGYLIRVSLCIATPPATTRLCFDFFDMKARMSVVAAHGDSVLLHLYHYERGYNGFVIDYFVYNTGSPAADPPWPPSLLLLPTYLNDKAKEAERGTTWHDGLGEKTTGLLHRAEEDDLVVASLTSMVKADDDEQKEAELLVLRSGEWSITCAPFIRADDHGRPNQPLSWRTDMAIPIGDHLLCWVDLFCGIILCDMFNQNLRMKYRNVPDWHDHRCNYGSLSSAEINTEATSRSSSGHVVRVTFSHESPPPSSSRLRFSYSPRYADNGHDKASMKLVAAHGDSVLLGLHYKKGFSEYMTDYFVYNAGDVAADPPRSPSLSMLPSHLVENSEWQKNYRDLGESTTGILRRGDDELVVANLTVKEDDVEEDDEVEEGLTVKELGGDDVDMPKEAELLVLRSGEWVSMSILISHDDGKAEEVSTWETDMVVPVGDRQLCWVDLYRGIILCDMFDENPKLRYVSLPVEAPVGKFDGRYDSRRDNPRNCLLPSRTVCVTNGGVTLKFIDIFARCCCGRRGATNCSQSTETFVINSWTLVMDDMTWVMDAMVDATELWSLDAYAGLPHTIPGYPIVSMDDPHHIFLMVREPYRYRRRRSYNDKETLWKIMVDTRSKAVLSVLSYDHSTYWWHWTPCAGQTYCPSKICGKFSSNTSTCTSTIGTMNPVVNADKLATSHVVVVCDSSQYCSKQRKVSDQVQLASPEDILAALEEIPELGCDDLLKAYSILIGDNGRRFRSLLVLPMSLRKKCPTEAAGDAAFRRRLYVPRWVLLDPKIQHKQIKKRNEGDDDSTTTNSNAAAVGDPKTEATCRNSAGHVIRVAFCHEAPPSSLHLFISISPCHDERRGPDPKVIAAHGHSVLIEMYDFQNKPNSYEYGYDYFVYTAAGDTPTSLCPRLQPQRLGESSTGLLVRRRRHDDDYDIVVANLDASEDLKPDLLVLRSGEWSITCRRIIHGKGKPTWPSQSATGPSAGSTCIAESSSATAAALRLHYVSFPGVIAPTEEFDEDHYIEDGGYYRARNPRHCLMKDRTVCVTGDTIKFVNIFPRCCCGNPAVATCDHSSRAFVINTWTLRMDDMTWVKDGIVDATEFWSKTLLSVVPYDPSQQHWVPFAGKTYIPSKIFLTISHPINSTNPAVIADIPATTTAIVGSSPRTLSHELSAMSLKGVRDGFSYGDT >LPERR11G04200.1 pep chromosome:Lperr_V1.4:11:2898341:2899524:1 gene:LPERR11G04200 transcript:LPERR11G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSYSILISDDGRRFTSLLVLPMGPRKEWLLIKIKNSEACSICSACTDKYNSETLTLRYVSLPVEAPAGEFDEEYEYDGYEESE >LPERR11G04210.1 pep chromosome:Lperr_V1.4:11:2899552:2905114:1 gene:LPERR11G04210 transcript:LPERR11G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRTVCVTDGGDTLKFVDIFARCCCGGPGVTTCDHSSRAFVINTWTLRMTDMTWVMDAIVAATELWSLNTYVGLPHKKPTYPVVSIDDSHIICFLVWIDDESYCPDLFWKIMLDTRSKSLLSVIRYEHSRQQRRPCWMPFPGNTYLPSKIFDHLISDVTCSNDSTKPQVIANILASTSSRSSSHELSAKRQASPDEILAALEEIPDLASDELLKAYSILVNDNGRRFRSLLMLPMSLRKKWLLIEIKNSEACSICSACTDKIHVNMDASFVAASCCGSSGMVIRDHDVSVLAGTARIHDPRWLLLEPEVLRKYEKGSEWRFSKFCPSTAGADPNTEATCLNSAGHVIRVFLCRESPPASSRLCYTSTPNHDESGGGPRVTIVAVHAHSVLIQMSYKKYAHGNEHGLDHFVYSSGGAAAAPSLSLLPIHGKQKEKRLDDANTGLLCRGERDLVVAELTDLDVEEDEDEAELLVFRSGEWRNKCAVIIHDEGKEDELYGWRTDMVVPVGDRLLCWVDLYRGVILCDMFDNDDDDEALQLRYVSLPVDVPVGQFDFDQEYDGYEDFECKPKNPRFCRMTDRTVCVTDGGNTLKFVNIFPRCCCGCPGVTTCSHSSTAFIINTWTLRMDDLTWVMDAIVDATELWSLNAYAGLPHKKPRYPVMSIVDSHIICLLVCIDELSSRPDIFWKIMLDTRSKRLLSVICYEQSWQQRRRPCWLPYPGNTYFPSKIFDYLISDVTCSNDSRKSEVIADTPATTVIANISSRSSSHELSEKRLKVTEIASPEEILAALEEIPDLASDDLLKAYSILINDNGRRFRSLLVLPKRLRKKWLLIEIKNSEACSNCFACTNKIHVPEH >LPERR11G04220.1 pep chromosome:Lperr_V1.4:11:2913976:2914263:-1 gene:LPERR11G04220 transcript:LPERR11G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSPPAWRTAAVAICLLPVAVPLALLCLPLLCFAVAVVRFRRRRRRRKNYGCFFGGGGEEKRPSPEEAGDGHRAALLHQYLEDQMELVGGGA >LPERR11G04230.1 pep chromosome:Lperr_V1.4:11:2924115:2924849:1 gene:LPERR11G04230 transcript:LPERR11G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAHAHPPPQPPFPPATAPAPAPAKLPHRRHHATSSSTSSSSLSTASSSASTSPSPSPRRPTTTTVSASSSVVPFSWEHHPGIPKSSSSGDTHLPTAAPPLPLPPHLRRAAHTPSSSSRHHHRRRHHRAAATNRNPHPSSAADPFAAALAECTRDRSAAAVAIDDLFRPATTKAAAAPAKPPRRWSLAAGGVVGLLDLYGCKSAMAVADGAFVMRRPVAVARPPGGGPGKVGQGRAGRAGR >LPERR11G04240.1 pep chromosome:Lperr_V1.4:11:2930365:2933808:-1 gene:LPERR11G04240 transcript:LPERR11G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQDLHGGHGGAVVIPPPPSSSPAPAPPPPPSKMDCFLNSACTPMNLQFIDISYRVKVEHTAATSSSAKGRATPCRAVSRRTGFVAQDDVLHPHLTVRETLLFCAMLRLPTTSPAAAKSAAADAVIAELGLAACADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSSVARTKGRTVVMSVHQPSTRVYRMFDSVLLLSEGSCLYFGAGRDAMDYFASVGFSPAFHVNPADFMLDLANGFTQTEYDNCNTTTEGTNVKQQLISSYNRVLAPRVKSSIIINAGGGDHHPPPPPTSPSPTSPSCSGCTSWTNQFTILLRRSLKERRHETAMWWRSSPAAADDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLIRERASGMYSLSSYFMSRMAGDLPMELALPTVFTVIVYLMAGLNPSPAAFALTLAVILSYVLVAGGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYGGRMGRFLPAEDVRGEAAPAECVAALVAMFFAYRILAYLALRRVRT >LPERR11G04250.1 pep chromosome:Lperr_V1.4:11:2986718:3006575:-1 gene:LPERR11G04250 transcript:LPERR11G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNYMLAAAALLIVLAAATPSPATAKETRLRVFWHDVVSGPNSTVTQVAESPISNTSATGFGTVIVIDDPLTAGPNLTTSKLIGRAQGMYVSAGKDTLSLMMAMSFVFVDGSEYNGSSLAVFGPNPAERQVREMAVVGGTGVFRFARGYAQARTRWFNASTGDATVEYNIHLIWHNKVVPYRKGATTAGEGLADDNDDERKGGGWIGRERRRLGRWGRTSSGGEPAGTTTGSIEMEVEMMPCSDGGGYNDWRRQRWRHIPVVTTWPSSPLNLPVMMGEGVASPEAREGVESSNNGEGSPRSRANSARGGGDRDGAGMTKFKVFFHDVLAGPNATAIRIAQAPSTNNSTTSFGAVVAIDDPLTSGPSRANSTELGRAQGSYTFTDQKVISFLMSMNLVFTAGDHKGSTLDIMGRNEVASAVREMSIVGGSGKFRMAKGYVEARTVDYGLKSGESVVEYTIFVKASSAAALPLQLLLLLSMAAAMALAADDAAGLTKFKLYWHDVLAGSSPTAIRVAQAPSTNASSTFFGAVVAIDDPLTSGPSRASSTELGRAQGSYTFADQKTFGLLMNMNFVFTAGDNKGSTLTIVGRNEVLSAVREMSIVGGSGKFRMAKGYVEARTIDSGNTSGETVVEYTVFMSIVGGSGKFRMAKGYVEARTVDSGNNAGETTVEYTVFVKTP >LPERR11G04260.1 pep chromosome:Lperr_V1.4:11:3011325:3025375:1 gene:LPERR11G04260 transcript:LPERR11G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAVVSVLLVLAAISKADTQSGPGPGPSTSGHGSVPTHLHFYFHDKITGTSPSAVQVVKPPNNKSPTSFGTVYVMDDPLTEGTDPKSKPVGRAQGMYLSSDQARIGFLQAMNIVLTAGPYNGSVITVLGSNHISDNIREMPIVGGTGTFRFGHGYAQAHTYFLDPNGLDAIVEYNIKMAKRLALMLAVYLVPGITGESHKQGMPEPSHSVGYGSVPTHLHFYFHDKLSGPSPSAVRVVSPPNNTSRTFFGMAVVIDDPLTEGPDPGSKLIGRAQGMYVSSDQAQIGFLMAMNIMLTDWPYNGSVITVLGSNHVLDDVREMPIVGGTGTFRFARGYVQAHTYFVDFNTGDAIVEYNIKMAKWLALMLVVFLVLGITGEAHTHGGPGPSPSGQGFVPIHLHFYFHEKVSGPLPSAVMVVNPPNNMSRTLFGMVVVLDDALTEGPDLGSKLVGRAQGMYFSSNQVQIGLLMAMNIILTDGPYNGSMITVLGSNHILDDVREMPIVGGTGAFRFARGYVQAHTYFVDFNTQDATVDYNAHATPTLPHALSSPHPPLHTKQNAPLPAPQPCRCSRLPPPAAGTSDPLPRGSVAILSPPPRSVLPLLNDAPALAAGRRPRRRRAIQSLLARRFPFLPRAGLRTTSLPFRRPTGSTDASTMEAKGVVVITLVVSLLVAVASAAHPEGGPVSARIGSSSVPTHLHFYFHDKVSKPSPSAVRVVDPVDPRSFFGMVNVMDDSLTEGPEPKSKPVGRAQGMYMGSDQAKLGFLQAMNLVFTDGPYNGSVISVLGRNCPLDDVREMPVIGGTGAFRFARGYAQAHTHTLDLKTGDAIVEYNVYVMH >LPERR11G04270.1 pep chromosome:Lperr_V1.4:11:3026634:3030022:-1 gene:LPERR11G04270 transcript:LPERR11G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFCSTAGLVCGHPSSEVASHGEQQPPATATALLSAAKSSTRKAGQEAALPDKGKGKASEMGTSVRRTSKKVAKSPKLKTPISSMKSYIAIKKGRKINILTFEVANIIAKGSNLMNFLSEDNIRKLKNVVLQNQGIKRLISDDQNQLLALVGDEIRQQFEVFAAGVVRLGNMCMGPKWHNLDKHFSGLESGLITQKYSHIKAASKMDHLMKLADQSVVLFHAMRRLQSSEQMYQEAVRKDMPVQVFQNTVEIEKQIMLDVKKKSLWPKKMERIVKKLVYIVHFLPSEINCVFYKEREADGSVNTTGSLQQTLGSADLQLHYAKIILAIKSLACVPSAVPICGVDSLFHALPDSIISGLLPRMRRHTSDDMRTEAEIFMDMSRKIELVVPMAEHTKRMAHHTGMIGDCLQTGDLSDQSKLLKIQTLYHADKMKIDELIKDMVMDLHLLIRATKRRIEISCARLANYLLESQEETGSADTGTGGSAIASIPIID >LPERR11G04280.1 pep chromosome:Lperr_V1.4:11:3035740:3039601:-1 gene:LPERR11G04280 transcript:LPERR11G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMENRLQFRPYINGKQHILGDESRVHLKSSTDDQPSSNDYINASFIKTDGRGESQFISTQGPLVKTFEDFWQMIHDNHCSVIVMVTKFDVAKCDGYLPLKQGEERDYGKFRVKTTELREDGALVLRGLELEVQQNESRIVRHVLHILYSEWPDHGVPYNSASVRQILKRLYGIPREHPIVAHCSAGIGRTGAYITIHNAIERILLGDMDSLDLSRTVKKFRSQRPGMVQTEV >LPERR11G04290.1 pep chromosome:Lperr_V1.4:11:3046361:3050121:-1 gene:LPERR11G04290 transcript:LPERR11G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAGARRRPPMAVAPPPPLLLLLLLSVCCSAVAAAASGAPVGEDYVRPPAAASVHRKALISLFPWSNSKKQAASSSSDPQQVHISLAGEKHMRITFVTDDNSVPSVVDYGIEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQLKTPPSQFPLSLAVVGDLGQTSWTTSTLDHIKQYEHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIMFFKSGFQSYNARWKMPFEESGSTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLEKVDRKRTPWIIVLLHAPWYNSNRAHQGEGDSMMAAMEPLLYAAHVDIVIAGHVHAYERVERVFKGGLNPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKIVNDTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCIQDSSHECRKILMSP >LPERR11G04300.1 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEVCMDSDGFIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVDDSTYGKDWEEERRKSRYPFMDGPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGFVSITFVPALPRRSELHEGTVNVNWHQKVQSKTASLKLAPNMSRPMVEFDCSAGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.2 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEVCMDSDGFIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILLWMVKIDNHQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.3 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.4 pep chromosome:Lperr_V1.4:11:3050505:3062059:1 gene:LPERR11G04300 transcript:LPERR11G04300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQDKGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGFVSITFVPALPRRSELHEGTVNVNWHQKVQSKTASLKLAPNMSRPMVEFDCSAGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKRNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.5 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEVCMDSDGFIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILLWMVKIDNHQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGFVSITFVPALPRRSELHEGTVNVNWHQKVQSKTASLKLAPNMSRPMVEFDCSAGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.6 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILLWMVKIDNHQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGFVSITFVPALPRRSELHEGTVNVNWHQKVQSKTASLKLAPNMSRPMVEFDCSAGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04300.7 pep chromosome:Lperr_V1.4:11:3050505:3062652:1 gene:LPERR11G04300 transcript:LPERR11G04300.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPSIIIDDDDDDGFDWEAAVREIDLRCALASASADAAAASGSAPPPEPSAAMPSHPIAPSAAAAAFSRAPVAGARQSTLYRFVDSFTRRQMANERPIPVPAAAPSAAAPMPVPVAPSGGWGRPGDRAGEGCSSVPPSGSWGGPSDRAGEGCSGRVDEYEPKPCAVDLDHEAVLTWIYPTNVEVREYQKYFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPQGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSWFWKSKRVFFVTPQLEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHRDESDPEVSRYVHRRTVEILEVCMDSDGFIPVGREANEVNDKLLDVIRPYLAQLRSAGVIDNRNASNWSSHQLRMLKDKFDQAPPLNIPLARKKEIGIAFAALTLLYGILKMLFSYGIKAAHQSIEAKYKEGPWKVLARNNTFWEVKKTMENFLSQGVLSPKVQKLMEVLVDHFRKNSKDSRVIIFAHYRECVNEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEHNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRPELSGYRSKQGNTKTMKKLLRDHGRFEYHASPRMVPHVYKPEVKFVKLSIEKYIPSSKKSKVDVNGTSPIFNKISEEDSQLIAQYFGACNKKFWKPSLVTFPSFQVSPCDIYKVPHSFRTTNMLIDAMQQLQDLSFSRTKCACPLNGPADVPVVMDEVPEGLSGSNGTQGPIPQEYCGLEASGEAAWSKAVLMPSSPIKKYPVHSFFSGDYVTVDLSGFVSITFVPALPRRSELHEGTVNVNWHQKVQSKTASLKLAPNMSRPMVEFDCSAGGGNSSKLIFTDEYGLAPHSPAYTEKCGHIDDRHVLGTPSKTLVSPKEICHTPCNSKLVNPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVSQLQVEAKNAANVGFLHKVDFSKSRGKGVQVNELKCRNRQLNFEEKGSFFDEISALAVLPRENALDQPQANKEERTHLSNDKMHSPGAHTPTANLLYDSFSDDWQLRPGGDTSGSIQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTAGEICDFATKNLGNQRRAKRRMDTFIDDEAEVSADADVSADEDNDHSEDKYEESFIDDQATPTGQFTQSGRHSENNGDMMAFYRRSLLTQSPVVLPSCYQDAVDSSASKGGNASSSSENLHNSIETPQGIHQPHHTIDPDVLDNSASRGGSVSCYSKSLHYSIETPQEIHQPHHNIGPSPLGDQARFVGRASSTKEQCEASLAHCESSTTLDCRKRKLSFQQVASIPVINLEPEPAPQPFSHLNTGVNNNFVWDDDDDDFFESLDLDAIEAQATELWKLKKAQSAEKTYGN >LPERR11G04310.1 pep chromosome:Lperr_V1.4:11:3071614:3075370:1 gene:LPERR11G04310 transcript:LPERR11G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLNRGVDPQTHRPLNAAADHHQQQLQASQPRFTAAAPAGHHHDHFAILSNSPDACSNSSDDEPSSATPPPPRHLGIDLNLSISLAPYQPEQENHPMKKEEDETAAMTASGAGNATTTKVCLCLNRLGLHGGEVCSCGRGGAPSMKASTHMFRFITPLGGASAIVIIMIYRSSGEREEIRRGNGEHVGRRDGRDVNEGDKVPSRKGHGLRSFCNE >LPERR11G04320.1 pep chromosome:Lperr_V1.4:11:3086243:3092080:1 gene:LPERR11G04320 transcript:LPERR11G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMPSMETLCLKKIMAKKKKMEEHGEPVQRLVSFCPNLVDLALEECPTVRGELVVGSKRLERFAIICCHNVARVVLHTDRLRTLRIQLHHVVFGFSASIGNTYRL >LPERR11G04330.1 pep chromosome:Lperr_V1.4:11:3095618:3104931:-1 gene:LPERR11G04330 transcript:LPERR11G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCNKYSIEISNSRMXWDHVFVDVCLHHVIRHASRDELHLELRFALRPVDFCRENDGGCRMKKTSEYVEEHPGSGYLLPRKIFSCIALRTLCVSYCHLNVPGESSSIHLPHLETMRLTGDSGKAIRRLVSACPRLADLTLEDSGNLRKLSVLDKRLRSFALRCCHNVKSVAIDATELTTLAYSGAVPAESAISLRGGAPMMSSCTVDICSVNISSEEIGNLRRFLAMFTGTKHVHIKSNRMGSSMDSLLSAFASLTSLQLTGRLPKIGVANAVRRILEQTPNLKRLTLLLIPLAKVDDQGWRYCHPEYKEDQKRQERDGDNSFTDEDESRFSAIECLRRRVTTISLLQYNGDEIQRMLVRLLLTNAHVLERLCVHMLLPVNIEGQVKHKNDIESWMVKDFSSGCSVAGGDATCRCIERDGTSGLSSFESEPAGHERGK >LPERR11G04350.1 pep chromosome:Lperr_V1.4:11:3107755:3112841:1 gene:LPERR11G04350 transcript:LPERR11G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEGRSLAETPTWSVATVTTLMVAACFLVERGLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMETNQTVVPNGVFGYLPQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFITVVLSMIKIYSWRKFETQACQLPTEQLQAGRTKVMQRQSTFVFHHTSHPWSKNKILIWMYHKLPHSYDFHKYMVRSMEDDYNGSVGISWQLWAYAIICIFVNVHGLNIYFWISFVPAILVLLVGTELQHVIAQLALEVVEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQLELSAQSCFMKNHYMIVLRLTSGLLVQFWCSYNTLPLNVFITQMGSKFKKSLISENVRESLHSWCKRVKDKNRHNPLFARNGTVTSRSVCSLDTTYEETDHETNTVCTLSRTVSATSLDELTVVTVEDNDEEMSRVEQDL >LPERR11G04350.2 pep chromosome:Lperr_V1.4:11:3107755:3112841:1 gene:LPERR11G04350 transcript:LPERR11G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEGRSLAETPTWSVATVTTLMVAACFLVERGLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMETNQTVVPNGVFGYLPQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFITVVLSMIKIYSWRKFETQACQLPTEQLQAGRTKVMQRQSTFVFHHTSHPWSKNKILIWMDFLRSSASCLLVNLLISTISCHTHMTSTNIWYGAWKMIIMEVSASGLNIYFWISFVPAILVLLVGTELQHVIAQLALEVVEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQLELSAQSCFMKNHYMIVLRLTSGLLVQFWCSYNTLPLNVFITQMGSKFKKSLISENVRESLHSWCKRVKDKNRHNPLFARNGTVTSRSVCSLDTTYEETDHETNTVCTLSRTVSATSLDELTVVTVEDNDEEMSRVEQDL >LPERR11G04360.1 pep chromosome:Lperr_V1.4:11:3113272:3116310:1 gene:LPERR11G04360 transcript:LPERR11G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAPAAVAWAPSPSPSTSTSPSSFKVGIPSPYGTAAHASSMPRLVAASSRWGQRRRRQVVKAIANSDPAVELPLTAENVELVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >LPERR11G04370.1 pep chromosome:Lperr_V1.4:11:3116793:3122354:1 gene:LPERR11G04370 transcript:LPERR11G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEWPWARRRRGPSALGPASTADEVTAGLDATHLTAIVTGATNGIGKETARVLAMRGAEVIIPVRTMESGNKVKQSISEEIPGSKLHVMEMDLSSLDSVRRFAKSFDSSHRHLNILINNAGIMACPFQLSRDGIELQFATNHVGHFLLTNLLLDKMKSTARKTGVQGRIINVSSVAHKRSDGSCFDLNKLNDKSRYQPFIAYSHSKLANILHAKELARRLQEEGWNLTANSLHPGVILTNITRYVVTNGVVSSILSVGNLFLKNIEQGAATTCCLALHPDVKDVSGKYFADCKEATPRSVARDAELAKRLWDFSEQLVDTNQRGQTNRQK >LPERR11G04370.2 pep chromosome:Lperr_V1.4:11:3116858:3122354:1 gene:LPERR11G04370 transcript:LPERR11G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNWGWLCGRGEGPSGFGAASTAEEVTAGVDASNLTVVVTGATNGIGKETARVLALRGAKVILPARTLESGMKVKESLAEQIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYKHLNVLVNNAGIMACPFGLSKDGVELQFATNHVGHFLLTNLLLDKMKVTAKQTGLQGRIINVSSTAHRGSNGSCFDLDKLNDKSKYRPFQAYGHSKLANILHAKELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNGVLISIFSVMKPFLKSIPQGAATNCYLALHPGVKDVSGKHFADCNEVTPTAVARDAELAKKLWEFSEELTSGEQKLKGKVAT >LPERR11G04370.3 pep chromosome:Lperr_V1.4:11:3116858:3122354:1 gene:LPERR11G04370 transcript:LPERR11G04370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNWGWLCGRGEGPSGFGAASTAEEVTAGVDASNLTVVVTGATNGIGKETARVLALRGAKVILPARTLESGMKVKESLAEQIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYKHLNVLVNNAGIMACPFGLSKDGVELQFATNHVGHFLLTNLLLDKMKVTAKQTGLQGRIINVSSTAHRGSNGSCFDLDKLNDKSKYRPFQAYGHSKLANILHAKELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNGVLISIFSVMKPFLKSIPQGAATNCYLALHPGVKDVSGKHFADCNEVTPTAVARDAELAKKLWEFSEELTSGEQKLKGKVAT >LPERR11G04380.1 pep chromosome:Lperr_V1.4:11:3123166:3123831:-1 gene:LPERR11G04380 transcript:LPERR11G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDESFRRAGAIPFKWEICPGTPKHTRSASAAAAVSPSPETDTMTPALAKVRTKQLALPPYMTSPSASPSPYYHSPRLSSAAAASCRSASVSPSRRRYQPRPAAFLDLAPRPPQPELYGAAAAVREGDDETAPAPAYGCFPIPMLRRKGSGKKRGGGGYSSGSGGSSSSGSFRSDAGEPGGLRRSTSSSFSFNLRLNRRIAESSRERHEAEVATGSWFF >LPERR11G04390.1 pep chromosome:Lperr_V1.4:11:3128674:3130446:-1 gene:LPERR11G04390 transcript:LPERR11G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLASHETQEIESCFVVPSEKTPNQVLWLSPLDIVLSNRGGHTALVHFYRRDGVVDAAANGFFDVGRLKAAMARALVAFYPLAGRLRVNGDGRPEIDCNGEGVFFVVARSELTVDDAMSDLRPSPEFKRLFIPRIESPSPLVVAQVTFLRCGGVALGTAAHHGAVDGHSNFNFLQTWAAFCRDAAAATVATSPPCHDHTLIHARSPLIIHQDALHIFCPKLNLHDHHPTPTTTKILSISNHHLAILKSITNNASTYATVTALLWQCACAARRLPLNSRTRVRFPVNIRRLMNLPDHYFGNGVIEVCVSGIVKEIVSGTLADIAARIKAVMGRLNKDNEILRSGIDYHEISGMPDRPDHGSLPETELRVNSWLGIPLYDVDFGWGKAGAMSLVECSSGGYFYVMDGSGGGGGDVRVIVCMETVNLGEFERLLRAKCSYARI >LPERR11G04400.1 pep chromosome:Lperr_V1.4:11:3131258:3136690:-1 gene:LPERR11G04400 transcript:LPERR11G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRVRSGSGGRSAGVKRRRGNGGGGSGSGSTAQALNDDTLRSVFSRLDDHFDLARCSAVCTTCSSNEGSILQEESASEKFRSYFKELALDEHASSFSRGPAEVYQWIGHPNRATICRMKSGSILTGVGDKILRLWSAESCKFMNEYIVPNTKMLVDFDFDENKSHGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQHSGQKLGVLKSAFAPTAIRCLSFSTSGQLIFAGSSAGYAHCWDLRTLRPLWENRVSPNVIYSTHHLPGDTVTLAVGGIDGVLRLICQRTGEIIRSFIVDAGRPAASASSSRQQIEKKSVRQVAPDARLDNIPTRLRPQITCLSVGMKKIVTTHGENYIRVWKFRPKSS >LPERR11G04400.2 pep chromosome:Lperr_V1.4:11:3131258:3136690:-1 gene:LPERR11G04400 transcript:LPERR11G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRVRSGSGGRSAGVKRRRGNGGGGSGSGSTAQALNDDTLRSVFSRLDDHFDLARCSAVCTTWNRIIDTAHLMRDLYYKRNPQARNSGSNISVKSYFKELALDEHASSFSRGPAEVYQWIGHPNRATICRMKSGSILTGVGDKILRLWSAESCKFMNEYIVPNTKMLVDFDFDENKSHGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQHSGQKLGVLKSAFAPTAIRCLSFSTSGQLIFAGSSAGYAHCWDLRTLRPLWENRVSPNVIYSTHHLPGDTVTLAVGGIDGVLRLICQRTGEIIRSFIVDAGRPAASASSSRQQIEKKSVRQVAPDARLDNIPTRLRPQITCLSVGMKKIVTTHGENYIRVWKFRPKSS >LPERR11G04410.1 pep chromosome:Lperr_V1.4:11:3142366:3144124:-1 gene:LPERR11G04410 transcript:LPERR11G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECEENILACQNASGDTALHLAAMHGHGAAVEALVAARVMASELNNAGMSPLYLAVMSGSVPAVRAIITSCQDASSVGLSLQNALHAAVFQSSDMVDLLLQWKPELASQVDYNGSTPLHFAASNGNRSVVRAILRVVPPSTVYMKDTDGLSALHVAARLGHADVVEKIIKEFPDAEELRDGHGETFLHTAAREKHSSVVSLAIKNSKQSDLLNMQDKHGNTPLHLAVVSGAPIIVDALLRKGKVHTNVLNDDGHTPLDLVLTSTSLFNTLSFVVTLVAFGAQPRPQRHDHLKPWRGKDIAKGIEKMSNGLAVVATLIATVAFTAGFNMPGGYGNNGMASLNYKLRFKCFMVLDALAVATSVVAVILLVYGKVSRSDSWKTSTIAVNFMWVSLLSLVLAFYAALRAVMTTSKAELILYLIVYMGLVSLVVFVGKLIEFSSRICTVWRFVWVWRSPHHAHAVKRAYPFAGISAYNYFFFLFVTVVTSAGFVVLDRLSSWLPDKTISPAPAPL >LPERR11G04420.1 pep chromosome:Lperr_V1.4:11:3154801:3155711:-1 gene:LPERR11G04420 transcript:LPERR11G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNVVQKIIKEFPDAEELRDSHGETFLHAAAREKHSPVVSLAIKNSKQSDLLNTQDEHGNTPLHLAVVAGAPSIVDALVQTNVLNDDGHTPLDLASTSTNLFNMPWRGIDIAKRIEKMSDGLAVVATLVASVAFTAGFNMPGSYGDDGTANLKSNLTFKTFMVLDTLAIATSVIAVTVILLVYGKVSRFDSWKSFTIALNFTWVSLVSLVVTFYTAVRAVITTSKAGSIVFVLIYVGLIVLVFFIGRWI >LPERR11G04430.1 pep chromosome:Lperr_V1.4:11:3156703:3157238:-1 gene:LPERR11G04430 transcript:LPERR11G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECDQENILACQNASGDTALHLAARHGHGTTVEALFAARATASELYKAGVSLLYLAVMSGRWKWLFAGGWPLRPFGIARKKQRGFSGQAHHPPAIRKKIAKNGRPPATQPSSPVTPEPPSPARRPEPAVLRRRPLQI >LPERR11G04440.1 pep chromosome:Lperr_V1.4:11:3174894:3183839:1 gene:LPERR11G04440 transcript:LPERR11G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPGRPAAAAAAGAATRSNNIADGRRLEMCPSLYRAARQGQTKEVMALLLQLRHGVGAGGAGHRQFAAQTVRPTRGDGGEEHRSSHRTEKGHGKLIQDQELYHRFIKGNGLLSRQNSALDTPLHCAARAGHADVVRILIHLAQDCGENILVCKNAAGDTALHLAARHGHGAAVEALVVAHATASELNKAGMSPLYLAMMNRSLPPARAHHMVHLLLKCKPELASQVDCNGSTPLHFAASEGNGSIVRAILHVVPPSTVYMKDSDGLSALPRLGHTNIVEKIIKEFPDAEELRDCNGETFLHVAIKEKRSAVVSLAIKNPMLRGLLNTQDGHGNTPLHLAVAVGAPGIVDALLRKGKVQTNVLNDDGLTPLDLASTSTSLFNMLSFVVTLVACGAQPRPQRHDHLQPWRGIDIAKRIEKMADSLAVVATLVASVAFAAGFNMPGSYGDDGMANLKGKLSFKWFLVLDTMAVAASVVAVILLVRGKVSHSTDSWKSFTIALNFMMVSLVSLVLAFYAAFRAVTPNSTDPFSISFIVVHLIHMGLLVLVLFVGKWTELSKTHTLLRFMWVWRRSHHAHAVKREYPFAGTSVYNYFFFFLITISFTIALNFMMVSLVSLVLDFYAAFCAVMTTSTEPFSIGHIVFNLIYIGFFVLISFVWKWTGLSMTRTVWRSTVLHVAAEQGHGELIRELYPRFISDKTILSRQNTALDTPLHCAARAGHHATVEILLSLSMEQGDQGILSRKNGAGDTALHLAARHGHDIAVKALVAAHGAEAAAFELNNAGVSPLYLAVMSTSVEAVRAITECCDDASCAGPRSQNALHAAVFQSSDMVCLLLQWRKELACQADDSGSTPLHFAASDGNLPVVKAIIRYAPLSTVYMKDSKGLSALHVAARMGHVDIVEEMTGAFPDVAELRDGGGETFLHAAAREKRQAVVSLAIHKSILSGLLDARDGHGNTPLHLAVVAGAPCVVEELLRKGKVRTDVLNNEGHTPLDLASKSTSLLTMLSLVVTLIAFKAQPRPQRQGDQPWRSIDNNEGWVEKTSDNLAVVAVLIATVTFAAGFNMPGGYGGNGMANLQNQGTFKWFMFLDTLAMAASVVAVVLLVYGKATAASSRSAESWKSFVTALHCMWVSLVSLIGAFYLALTAVTEVKSVFFGFLAVNACLWVLVFSIKSWVEVATSRTIWRFILRCCLRSEGEGRHRSHAIKRQFPYAGPCVFNLVLFKLVWWLSFTLRQKML >LPERR11G04450.1 pep chromosome:Lperr_V1.4:11:3195076:3207801:1 gene:LPERR11G04450 transcript:LPERR11G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGATRGNGCGRAGGSGEPDEEEGDESAEEKRVVGRSIRAVWDPAVSIGAGTRASARVREWRRARVREEANGPWAGSGVRKERPGRLGRLGPRRWRVRKRGRREWAGERGRKQPNRKEKRIIQHGQCDLLEVTAERNTVLHIAAQKGHVELIQEMYHRFIKDNAFSLVGTQHWTHLSIAHRECDQENILACENASGDTALHLAARHDHGAAVEALVAVRATASELNKAGVSPFDEQVLQVNTCRKGDNYLMPGCILCRSELAKSSARCRLPELGLIWLTFYCNGSQNWPAKSTAIWQHASTPLHFAASDGNRSVVRLILRIVPPSTVYIKDSYGLSALHVAARMGHANVVQKIIKKFPDAEELRDGHGETFLHAAAREKHSSVVSLAIKNSKQWDLLNTQDKHGNTSLHLAVVAGAPSIVDALVQTNVLNDDGHTPLDLASTSTILFNMESNNQAYIHYIKKPKIYTHLNLCIDIVLPLLLGFNMPGSYGDDGTANLKSKLTFKTFMILETLAIATSVIAVILLIYGRCRALTRTWKSFTIALNFMSSCLARSDHHDPQQQQQRAAGSANSADGELEMCPSLYRAARRGRAKEVMALLLQPRQHGHGIVQQGQCDLLEMTVERNTIFHIAAEQGHGELIQELFHRIPYWTPLHCAARAGHLSAVNALLNLAKDCGENTLGCQSRAGDTSLHLAATHGHGAVVEALVSARRSASELNKAGMSALYLAVMSRSVIAVRAIVTTCLDASSVGPSSQNALHAAVFQSLEMVQLLLQWKPELASQVDGKGSTPLHFAASNGSHSVVRAILQTATPSTIYMKDSDGLSAIHVAARMGHAGVVKEIIEVCPDAAEQLDGNAGTFVHAAAREKHSSVVSLVVKEPRLGGLLNAQDGDGNTPLHLAVLAGELGVVGTLLRKGKVRTGVLNNAGDTPLDLASKSTSFFTMISLVLSLVAFRAQGRPQRLDHLEPWRGRDIAQGIEKCSDSLALVAVLIATVAFTAGFNMPGGYRDDGRANLQGVFAFNVFMVLDAIAVATSVVAVILLVYGKASRSVGSWQSFVVALHCIWISLLSLILAFYFAGKSVTTSRAIPIVFFVIYMCIYFLIIWIQGWFKAAWTVRGVWMIRHHAHAIKRQYPFAITSLTNLRIFAGINIIVFLGLSAIYLSEGIRSIVEQASR >LPERR11G04460.1 pep chromosome:Lperr_V1.4:11:3237499:3238035:1 gene:LPERR11G04460 transcript:LPERR11G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICKVINSHADIQALYDTQSQQEQGIRSDERKIVQIDSLESVRIAHESYVLLCQLVDQGWSCSRMDLLSVVGNLSLELNKIERDLLPPLQGQETKMEGRVVQALLGMRNSAIAILRLVKRFRRFETLEGLISSRAQVVGTVLEDATEQVLRGTQDIAWLKEKCVPPLVELMAIRVSIF >LPERR11G04470.1 pep chromosome:Lperr_V1.4:11:3241510:3245125:-1 gene:LPERR11G04470 transcript:LPERR11G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFFSDERGGAVTLGRWRGECDRAAAGSTRGRWRGERDRAPSGAAAAQARAPANLGAVHLLRDPAEAAHWEERKTPKGLKEKAEAIDHEFMTTSRQLCLAY >LPERR11G04480.1 pep chromosome:Lperr_V1.4:11:3257770:3259129:-1 gene:LPERR11G04480 transcript:LPERR11G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAVEQAGLSDSDKTQSDEVVKATDDVDLDDMLRLTRLLADSSRLAQKVIERSQTKDVQLRRASSLIEGAEAAHKEAAWLRGQDSLKAKTELEERHRKLQEDYCSLQDTLKEKERLTQDLAAAKHANKQIQAESKVKLEAARKEHDKLSADFEGFKAASVEEVAKLKADVEGAKNVSAQLMTGIEPILDEFFPDSVGAHGQDSAKAIDLLQSVPKKMKSLVSESAHLVCRHTLALTKSFYPNIILELIEAGFAAGTTADSAAQLLDEHEGLSRSIVKDVIDSDSEKSDEEQA >LPERR11G04480.2 pep chromosome:Lperr_V1.4:11:3257770:3259129:-1 gene:LPERR11G04480 transcript:LPERR11G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAVEQAGLSDSDKTQSDEVVKATDDVDLDDMLRLTRLLADSSRLAQKVIERSQTKDVQLRRASSLIEGAEAAHKEAAWLRGQDSLKGVLGYDPVEAKTELEERHRKLQEDYCSLQDTLKEKERLTQDLAAAKHANKQIQAESKVKLEAARKEHDKLSADFEGFKAASVEEVAKLKADVEGAKNVSAQLMTGIEPILDEFFPDSVGAHGQDSAKAIDLLQSVPKKMKSLVSESAHLVCRHTLALTKSFYPNIILELIEAGFAAGTTADSAAQLLDEHEGLSRSIVKDVIDSDSEKSDEEQA >LPERR11G04490.1 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.10 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.11 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.12 pep chromosome:Lperr_V1.4:11:3281848:3286377:1 gene:LPERR11G04490 transcript:LPERR11G04490.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.2 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.3 pep chromosome:Lperr_V1.4:11:3281848:3287083:1 gene:LPERR11G04490 transcript:LPERR11G04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.4 pep chromosome:Lperr_V1.4:11:3281848:3286377:1 gene:LPERR11G04490 transcript:LPERR11G04490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.5 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.6 pep chromosome:Lperr_V1.4:11:3281848:3287083:1 gene:LPERR11G04490 transcript:LPERR11G04490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.7 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.8 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04490.9 pep chromosome:Lperr_V1.4:11:3281848:3287084:1 gene:LPERR11G04490 transcript:LPERR11G04490.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHENGGHEEWDPEVDAATTGTHGPATTSAKMVRRCVRYITLSCNCRCLVVVPMGEQVNLGEWLKNMVSNRDYEVVLDPKLPEMPTSKALKKALMVALRNDGVASEQTIKEVVARKEIVTEKSPS >LPERR11G04510.1 pep chromosome:Lperr_V1.4:11:3298130:3298653:-1 gene:LPERR11G04510 transcript:LPERR11G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYSACSFGLTIIPLTYKSCLDTNLSHRRISVHIKGSSPRSPDSRPCWPGGESATGTPGSVVAMLEAVMSFVPVDKLAVHFHDTYGQALANILASV >LPERR11G04520.1 pep chromosome:Lperr_V1.4:11:3300538:3305798:1 gene:LPERR11G04520 transcript:LPERR11G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTPPVALISPLASSSRRRGSLGQAASKGPPRLSPAVNQPCLPGRPARRRRNGKIRRTGVEGHHSPDLLISSSWPPESVIRRMKRAWEAVRRRPTWKGLQLDSISSTRSGRRGRG >LPERR11G04530.1 pep chromosome:Lperr_V1.4:11:3300851:3301399:-1 gene:LPERR11G04530 transcript:LPERR11G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWLHARSSLHPRVQNASASQAEQERGNRPSLLSLAQFVQARVQTCEATGVAESTHLPLPFLSQLRWCFPPLLLILFRVGQIWLRRWSRAASPSTSADGGRPPMLFSSFESLIPAATSSKSADLVSGDPQLLFAGSCHSDGGEQDGQGGKADSQRARGEEGPYWRPAQGSLVGGCYWRAER >LPERR11G04540.1 pep chromosome:Lperr_V1.4:11:3301653:3302377:-1 gene:LPERR11G04540 transcript:LPERR11G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSPSNYKHRKFAMVPTIRQSFTAVLIHGMCEANILIHPAMGWIWSSELFHGRLPQNRMNELICSIMSAAIQHIIIFTFIAK >LPERR11G04550.1 pep chromosome:Lperr_V1.4:11:3315820:3324614:1 gene:LPERR11G04550 transcript:LPERR11G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAAQVSTAAWSWTALASAQGRTTTSPGAAQGLSRANLDEVEMGEETPVLDDAEMKEDSVSDSGCCYVFCTLVYVPLRNVIEDMSIQANVPALAMEETAPVAVSDAAMLAPEEILKEKVMLQSLHKLSAKEEELTRKGDMQRIINGVLREGRRERRGAGRRERRGRFATPEPSSLVRPPPPGKEQFRIRSNASRLPQRDHPQAQRCQTDMYFPTMTSLRWRMSKVHFML >LPERR11G04550.2 pep chromosome:Lperr_V1.4:11:3315820:3324614:1 gene:LPERR11G04550 transcript:LPERR11G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAAQVSTAAWSWTALASAQGRTTTSPGAAQGLSRANLDEVEMGEETPVLDDAEMKEDSVSDSGSARSERVIEDMSIQANVPALAMEETAPVAVSDAAMLAPEEILKEKVMLQSLHKLSAKEEELTRKGDMQRIINGVLREGRRERRGAGRRERRGRFATPEPSSLVRPPPPGKEQFRIRSNASRLPQRDHPQAQRCQTDMYFPTMTSLRWRMSKVHFML >LPERR11G04550.3 pep chromosome:Lperr_V1.4:11:3315820:3324614:1 gene:LPERR11G04550 transcript:LPERR11G04550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAAQVSTAAWSWTALASAQGRTTTSPGAAQGLSRANLDEVEMGEETPVLDDAEMKEDSVSDSGCCYVFCTLVYVPLRNVIEDMSIQANVPALAMEETAPVAVSDAAMLAPEEILKEKVMLQSLHKLSAKEEELTRKGDMQLQKRREKREKRSGEKRETGPLRHAGAVVTRQATAAREGAVPHPQQRLPAASTCQTDMYFPTMTSLRWRMSKVHFML >LPERR11G04560.1 pep chromosome:Lperr_V1.4:11:3325808:3328152:-1 gene:LPERR11G04560 transcript:LPERR11G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPLANVNVATNIGGAVFRRFKVDWLSIRLNQLYAINQLDIGAACSRQVLLRGSEGWLETGKTP >LPERR11G04570.1 pep chromosome:Lperr_V1.4:11:3346297:3347134:-1 gene:LPERR11G04570 transcript:LPERR11G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREAPSSPSSPGGGIDRLSELPDELLGQILSRLTNAEAGQTAVLSRRWRNLYGLVDTVSLEEKEGERSSDWDTFYFEALERKSCSDELLDGLSAVLLNRRRCNGRNLPLRRFSFAFDSCHWWDHVFVDVCLHHVIRHASRDELHLDLRFALRPVDYCRDQDGGEGRRSRVERDGDNSFTDEDESRFSAIGCLRHRVTTIKLLQYNGDEEAQRMLVWLLLTNAHVLERLCVEMLPVNDIEGQVKHKNDIEGWMVSKLAQATFT >LPERR11G04580.1 pep chromosome:Lperr_V1.4:11:3347715:3349292:1 gene:LPERR11G04580 transcript:LPERR11G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSTTTTTTGNPPPRRDRLSDLPDSTLAQVLSHLGSIDATCTSALSTRWRDVHAAVPVIDLVDPKNGDRYGSNISTCFDHQVTAAVLGKADDVKTRTFRLNAFSPPYDLRDQWFAIALESGLEVFDVKLRYWDHSMRRLCPFAVQPNTSADFVESTRNAFISTPPHVFRCDTLRCLRLTNFTLYLPAGKSAMPSLETLCLKKMMAKKMEKKKKKEDCRDPVQRLVSFCPNLVDLTLEECPTVTGLVVASNRLERFAMICCHNATRVVLHTDRLRTLRYRGGLLAGGDEFFSVGDCSGVVALTVDICESLNGKPTRDVIPVTNLIAGCGNNLTFLHLHLRPAMASHSNAFARALCHVPHLRQLSLKGIVQNNQTVREVITLLRNTPNLDVLSLILVRPQKPKPDYHYLDIDDGGSDGDDDDESQNNGGGRGGQESNVDVHVPRSLWDTQVECLHYCLRKINVVNYNGKPYERMLAKYLLSKAWTLEQFSVTLPAKTTIDRRQELTKELKYWRANKRARISYQE >LPERR11G04590.1 pep chromosome:Lperr_V1.4:11:3351720:3352349:1 gene:LPERR11G04590 transcript:LPERR11G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVADTGALVGCIRRSKGVGAIQGWGRKGICGGAPKDARHVFDGLLRRGRGASIDELNRALAAVARNRPAAAVSCFNRMARAAGADDEVMIPPPTLPTYDILIGCCCRAGRLDLGFAAFGSVIKKGFRVDVDIFNCLLKGLRAEKRTSDAMDLVLRRMTEHGCIPNVFSYSIFLKLLCDGNRMQEALDLLHMMADDGGCPPNLLCLF >LPERR11G04600.1 pep chromosome:Lperr_V1.4:11:3354638:3356849:-1 gene:LPERR11G04600 transcript:LPERR11G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDENREEERYYAGEKAGSPISLSSGLRRRPIAHLEVIPSSHERENRDIAIPIKLDAEVQAQIEKHISF >LPERR11G04610.1 pep chromosome:Lperr_V1.4:11:3359574:3359762:-1 gene:LPERR11G04610 transcript:LPERR11G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTRRRRTPASLRALWRRIVPRTSTATAPRVRTRKPGLLSRAFRVLSCGGGRRRTRRY >LPERR11G04620.1 pep chromosome:Lperr_V1.4:11:3362224:3369324:-1 gene:LPERR11G04620 transcript:LPERR11G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGGDHRHPLLLPAAAAVFLLLVSSAGLPLASASESDHKYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVEKGPICTIELDDKKVQQFTDAIESSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEVGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLAFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPLKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >LPERR11G04640.1 pep chromosome:Lperr_V1.4:11:3390552:3400193:1 gene:LPERR11G04640 transcript:LPERR11G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKRKRNSAASPSPSKLSSAAEHSDDSDNAANEDDDAVPSAAGEDNAAEDETLAGGGAGAEDPVLDLRDVEVLPASVEPVSAFPAAVRRVVNRPHPSVLAVVAADRSAHAGDGGSASAAAAAAPVPVLENISHGQQQVLSGMLPDNSSLVADPDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSDWFSAGVVHRLERQVVPHFFTGKSPGNTPEKYMLLRNKVIAKYLENPGKRLAFAECQGLVANTNELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPSGELQLLTAPLKSIDGLVLFDRPKCSLQAEDISSLASNSEVVDFDAALAELDGKIRERLSESSCSYCLQSLTTLHYQSQKEADIALCSDCFHDARYIIGHSSLDFQRVDGDKDRSENDGDSWTDQETLLLLEGIEKFNDNWNDIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPNASVPFRAETNGYPHSDCNGSASGNLPQRIQPGNQLPFINSCNPVMSLVGFLASAIGPRVAASCASAALSVLTRDDDSRVNSESICSDARGHVAHPNFRDHNGGPSSSISVEKVKHAAMCGLSAAATKSKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRILSSRLASPGNSLPGGSSTMPSNPMNVSSPRPMGVPGSMPQASMPAFANNMQGHGHPQMAFLQQQQRQQMLSFGPRLPLSAIQTQPSPQASNIMFNPGMPSAVTPNHHQLLRSSSGCSSSSNIFTGI >LPERR11G04640.2 pep chromosome:Lperr_V1.4:11:3390552:3400193:1 gene:LPERR11G04640 transcript:LPERR11G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKRKRNSAASPSPSKLSSAAEHSDDSDNAANEDDDAVPSAAGEDNAAEDETLAGGGAGAEDPVLDLRDVEVLPASVEPVSAFPAAVRRVVNRPHPSVLAVVAADRSAHAGDGGSASAAAAAAPVPVLENISHGQQQVLSGMLPDNSSLVADPDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSDWFSAGVVHRLERQVVPHFFTGKSPGNTPEKYMLLRNKVIAKYLENPGKRLAFAECQGLVANTNELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPSGELQLLTAPLKSIDGLVLFDRPKCSLQAEDISSLASNSEVVDFDAALAELDGKIRERLSESSCSYCLQSLTTLHYQSQKEADIALCSDCFHDARYIIGHSSLDFQRVDGDKDRSENDGDSWTDQETLLLLEGIEKFNDNWNDIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPNASVPFRAETNGYPHSDCNGSASGNLPQRIQPGNQLPFINSCNPVMSLVGFLASAIGPRVAASCASAALSVLTRDDDSRVNSESICSDARGHVAHPNFRDHNGGPSSSISVEKVKHAAMCGLSAAATKSKLFADQEERCSSSSNIFTGI >LPERR11G04650.1 pep chromosome:Lperr_V1.4:11:3406672:3416108:1 gene:LPERR11G04650 transcript:LPERR11G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSNSSERREYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHASMSSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTPVAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESSEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLKARSRQDKVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITSLISSKNTASQSNAASLLARLVSAFGDSIPKIIDAGAVKALLHLLNRDNDISVRESAADALEALSSNSSIAKKAVVDAGGLPILIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTTSLLLYLGELCQKTRPPVPLTDILGALAYTLMVFSGTDGKSFDPLEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWEALGKREGTQLLISFLGLSGEQHQEYAVSLLSILSDEVDNSKWAITAAGGIPPLVQLLETGSQKAKEDSAHILWNLCCHSEDISACVESAGAVLALLWLLKSGSPRGQEVSAKALKKIIRSADSATINQLRALLLSDSRSTKAHAITVLGHVLMMASQRDLVQNGAPANKGLESLILILESSDEETKEQAVTVLADIFSTRQDICDILATDEIVQPCMKLLTSGNQVIATQSARALGALAHSANAMLKNKMSCIGEGYAQTLIEMSKSSSIDAAETTIGALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLYQLLNQFSLIEVIPDYSQCCFIIHALLVCLSGINLESATNFDPLDALALMARTKEDAHFSSPLWSAFLEVPESLEPLVRCVSVGLPLIQDKAIQILSWLCQDQPSLLGEHLNRSRGCIVSLAIRVIESTNMDVRIGSAITLISAMRHSREQSIDVIETSGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGMSESGKVLEETVGLWLLSLICSSHLSSKITVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSVLVQSPATMQTIPPLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGAIAMIGQIESTMPNLVAMAEEFKLSENPSQIILRILYEIEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSEAYKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPELLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIQPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILAFSSSLELKNNAAQLCYILFENSTIRASPIASECLHPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSKVIELLVNFVPGTDYQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTVESTVTIALNALLVQEKSKKRCALAMAEAGAVRALLGLLKNHRCEESAARLLEALINNARVRETKVAKYSIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTIIRGNNLKQTMGSTNAFCGLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLRHDGGKDGSRTLEIEIVWSNRPANDSM >LPERR11G04660.1 pep chromosome:Lperr_V1.4:11:3423306:3439105:1 gene:LPERR11G04660 transcript:LPERR11G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHMDIPITQKALMVASLMLLLLTMAPPTATGFLEVGKCADFSATPGSCGDDTCQFRCGGMGGDGAKAYCNAAGKCCCPPGTVTFCRPLNGCSNRTSVCKTRCKNVSRDPARAFCQDGSPGLALTASLLLLLPLVPPSSAMVFKLHGNVHPYGYFFITMNIGDPGKSYSLDIDTDSTLTEVKDKERALNVCWKGKDKFRTINEVKKCFRSLSLKFAQGDKKATLEIPPEHYLIISNEGHVCLGILDGSKEHPLLGGKNLIGGITMLDQMVIYDSERALLGWVDYVLMNPNTHESYGVRHDDRDLHPFHTMAARLALITSLLLLLPLVLPSSAMVFQLQGNVYPDGHFFITMNIGDPVQSYYLDIDTGSTLTWLQCDAPCVHCNKVPHLYKPKRKNLVNCADQCCTDLYTDLGKPKSCGPPTQCDYEIQYVEGSSSGVLVVDRFSLPVGNSSNPTDISFGCGYDQGKENANVLSLVDGVLGLGRGRVTLLSQLKSQGVITKNVMGHCISSKGGGFLFLGDAEVPASGVTWFPLNRGNRYYSLGPGTFHFNSGTQPISTAPMDVIFDSGATYTYFASQPYQATLSAVKSTLNTECKLLNQVKEKERALNGRINSELSMKSRRITMLDQIVIYDSERALLGWVDYKCDRMASYESTITSRL >LPERR11G04660.2 pep chromosome:Lperr_V1.4:11:3417879:3423331:1 gene:LPERR11G04660 transcript:LPERR11G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARWAAPIMGILVVLLLLPFAPAPASAATPTRSPPPSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSVCTALHNGLTPTKKCTSTTQQQCDYQIKYTDKASSLGVLVTDSFSLPLRNKSNISPSLSFGCGYDQQVGTNGAVQATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVPMARSSSGNYYSPGTASLYFDRRSLGGKPMEVVFDSGSTYTYFTAQPYQATVSAIKGSLSKSLRQVSDPSLPLCWKGQKAFKSVFDVKKDFKSLQLSFGKNAVMEIPPENYLVVTKNGNVCLGILDGSTTKLNFNIIGDITLQDQMVIYDNERVQLGWIRGSCSRSPKSLTASFP >LPERR11G04670.1 pep chromosome:Lperr_V1.4:11:3441314:3442864:-1 gene:LPERR11G04670 transcript:LPERR11G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKNKSNNALRLDDWVLCRIYNKKGVIERYDTVDDDDVKPAATVSPAPAKGARLFGGGSPAAMKVELSDYGFYDDQEHESTEMLCFDRSGSTDRDSPPPPQPMQTATDSTGSEHVLSPSPDFDYAESQPSYGGGAGGWPGVDWAGCGDDGFVIDSSLFELPSPAAFGRGGGGDGAGFGDMFTYLQKPF >LPERR11G04680.1 pep chromosome:Lperr_V1.4:11:3455429:3456898:1 gene:LPERR11G04680 transcript:LPERR11G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKLSARSKKAPAIVVVLAVAMPLLLTHLLAAATLAADDGGGSGLPPQPEGTIYSSCFRAGGCMLTPEWCPIRCQYLGFTPGAGCQVMDDGHIYCCCGPIVVGQPISQKM >LPERR11G04690.1 pep chromosome:Lperr_V1.4:11:3476312:3486653:1 gene:LPERR11G04690 transcript:LPERR11G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAARSTKSLLAVAAVPLLLMCLLAAAAVAASSPDQPPNGGDVYSTCFEVGGCNNTGCAVRCRDLGHNPAGSTCRLKDTVLYCCCGVGKKSAVAVVVPLLMLLLAAVAVSAARSASYQPNEAMSDYAMCYFSPNCENTSCVIRCRDDGHNPAGSGCQKFPNIDLSAVAVTVPLLMLLLATVATSAARPASYQPNEAMSDYAMCFFARSCDDTGCAIRCRDEGHNPAGSGCKKFPDIDQVCCCAKF >LPERR11G04700.1 pep chromosome:Lperr_V1.4:11:3494089:3494584:1 gene:LPERR11G04700 transcript:LPERR11G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKNSTILLSVMALMALFITLPSCQAMDTANNCASIGRAALWMCVEEMNQLM >LPERR11G04710.1 pep chromosome:Lperr_V1.4:11:3506459:3511462:1 gene:LPERR11G04710 transcript:LPERR11G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAVVGELRASFKAGRTRPAEWRAAQLRAIVRMVEEREQDITDALHADLAKPSMESYLHEISLAKAACTFALKGLKNWMKPNKVPAGVTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSAMFAKLLPEYVDNSCIKVVEGSVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNVDLHVAMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLERFYGEDPLQSADLSRIVNSNHFHRLTNLVEDKEVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTGLMTSEIFGPFLPIVTVDKIEDSIDFINSKTKPLAAYLFTKDKKLQDNFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGMGSYHGKFSFDCFSHKKAVLIRGFGGEAKARYPPYTTEKQKILRGLINGSFFALILALLGFPKEKR >LPERR11G04720.1 pep chromosome:Lperr_V1.4:11:3513306:3518015:1 gene:LPERR11G04720 transcript:LPERR11G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSGEEETLKYTHKFTEKEKGIIAWAEEKEKMALFNRNQRQRSSLCSTATVVVFVALCLVGLWMVTSPETIPAAASSVSKKPADAAAAATVVKEEDSSIDATNNVKQNSANLVAESTDAAAAGDEADNPAKPVTDGEKSSEAAESKDQTFDDENGRTEGGALVKPENGGGDDGAAADVKEIGSMEQAAIDMKDTTTDQTLTDSTTDTTKDKNNSDEITTATDADAKSDEKQTFDDENGKLDGVNLVKDVENKTLSDETTTATTTVSTKQSIVAAVVNADEVNAGADLLTERASQNGSFTTQAAESIKEKKTRAAKTKSTPSSSSSSTTATTITWKLCNTTAGADYIPCLDNEPAIKKLKTTSHYEHRERHCPTNPPTCLVPSPPGVGYRDPIRWPHSRDKIWYHNVPHSKLADYKGHQNWVKLTGEHLTFPGGGTQFKHGALHYIDLIEEAAPEIVGWGRRTRAALDVGCGVASFGGFLFERGVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPGGVFDAVHCARCRVPWHIDGGMLLLELNRLLRPGGFFVWSATPVYQKLPEDVEIWGEMVKLTKAMCWEMVTKKKDTVDQVGLVIFRKPSDNVCYKKRREKKPLLCESSDDPNAAWNITLRACMHRVPTDPSVRGSQWPELWPERVEKTPYWLNSSQVGVYGKPAPDDFVADYEHWKKVVRNSYLTGMGIDWKSVRNVMDMRAVYGGFAAALRDLSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKTRCNVLPVVVEVDRILRPNGKLIVRDDKQTVDEIQGIVRSLQWEVRMTVSKNREAMLCARKTTWRPTEVEAR >LPERR11G04730.1 pep chromosome:Lperr_V1.4:11:3517775:3528127:-1 gene:LPERR11G04730 transcript:LPERR11G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRGGKRRGAPPSAPAGAAAKRPHPGGTPQPPPPAAAAAAAAPVAAEEEMMDEDVFLDEAILAEDEAALLLLDRDEALASRLSRWKRPALPADLASGCSRSVAFQQLDIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRSSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGIAIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARIIYSDLVSHPAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRIRDTTFSSRQYGVRESKDVAIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQVSGQDSFEGATVLEARAGYYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGETFVKPEVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKVSANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAAEYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPSAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCQGREAELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARAIKQRSLFSWID >LPERR11G04730.2 pep chromosome:Lperr_V1.4:11:3517928:3528127:-1 gene:LPERR11G04730 transcript:LPERR11G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRGGKRRGAPPSAPAGAAAKRPHPGGTPQPPPPAAAAAAAAPVAAEEEMMDEDVFLDEAILAEDEAALLLLDRDEALASRLSRWKRPALPADLASGCSRSVAFQQLDIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRSSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGIAIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARIIYSDLVSHPAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRIRDTTFSSRQYGVRESKDVAIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQVSGQDSFEGATVLEARAGYYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGETFVKPEVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKVSANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAAEYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPSAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCQGREAELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >LPERR11G04740.1 pep chromosome:Lperr_V1.4:11:3534888:3547754:1 gene:LPERR11G04740 transcript:LPERR11G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKDVFLDEAILAEEEAALLLLDRDEALASRLSRWKRPALPVDHASGCSRRVGTVLIPFAYDTMRDSIAPSCTVGLVGLPREASTIDICLHSVPGILERGIAIEVLGSKSFLTYESNILFALRFMIDFIIVGGNWIEVPAGKYRKAARIMSYRQLELDCLYSDLVSHPAEGEHSKMAPFRILSFDIECAGCKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNFMTLKSCSPIVGVDVMSFVTERDISLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAVLPILGRIRNSHVHVRDTTFASRQYGVRDSKDVAVEGRVQFDLLQDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDFQNGSSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVIGVPISFLLSRGQSIKLLRKAKQKNLIIPNIKGQGSGQDSFEGATVLEARAGYYEEPIATLDFASLYPSIMMAYNLCYCTLVPLEDACKLNMPPESLNKTPSGETFVKPEVRKGILPEILEELLAARKRAKADLKVYFCVSPLLLFGVSTVEDAMKLGREAAYYISGTFIKPIKMEFEKVYFSYLLISKERYAGLHWTNPEKLDKMDTKVQYIKNTISDLLMNRVDLSLLVITKGLTKMGEDYAVKAGHVELAERMPKARWKREWTDPHHLLRRKLIVLPEDADGFFYSIDLATMVLEKSCFLHTQADKLRRKYGNRCRAVKQSSITSETKVKQKAHQQIRRLSDEWPPDVDSDHLFSTNQFYELWFKSHGSVLIDDKVVTDVISFYSFGLSAFSSCEDKEKTQVFVKHLHGTLSVQIDLRKDVDALRREVEKRLNLSGHYYTYGLNVLEGHTLLSYGITRDAQIHMHFRLRGGVRFCCASATISGFNINGSVVTVGLIACSAIDFPVDQSIGTQDSMKRRRSEDDLSARATKRRRHSF >LPERR11G04740.2 pep chromosome:Lperr_V1.4:11:3534888:3547754:1 gene:LPERR11G04740 transcript:LPERR11G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKDVFLDEAILAEEEAALLLLDRDEALASRLSRWKRPALPVDHASGCSRRVGTVLIPFAYDTMRDSIAPSCTVGLVGLPREASTIDICLHSVPGILERGIAIEVLGSKSFLTYESNILFALRFMIDFIIVGGNWIEVPAGKYRKAARIMSYRQLELDCLYSDLVSHPAEGEHSKMAPFRILSFDIECAGCKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNFMTLKSCSPIVGVDVMSFVTERDISLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAVLPILGRIRNSHVHVRDTTFASRQYGVRDSKDVAVEGRVQFDLLQDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDFQNGSSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVIGVPISFLLSRGQSIKLLRKAKQKNLIIPNIKGQGSGQDSFEGATVLEARAGYYEEPIATLDFASLYPSIMMAYNLCYCTLVPLEDACKLNMPPESLNKTPSGETFVKPEVRKGILPEILEELLAARKRAKADLKPIKMEFEKVYFSYLLISKERYAGLHWTNPEKLDKMDTKVQYIKNTISDLLMNRVDLSLLVITKGLTKMGEDYAVKAGHVELAERMPKARWKREWTDPHHLLRRKLIVLPEDADGFFYSIDLATMVLEKSCFLHTQADKLRRKYGNRCRAVKQSSITSETKVKQKAHQQIRRLSDEWPPDVDSDHLFSTNQFYELWFKSHGSVLIDDKVVTDVISFYSFGLSAFSSCEDKEKTQVFVKHLHGTLSVQIDLRKDVDALRREVEKRLNLSGHYYTYGLNVLEGHTLLSYGITRDAQIHMHFRLRGGVRFCCASATISGFNINGSVVTVGLIACSAIDFPVDQSIGTQDSMKRRRSEDDLSARATKRRRHSF >LPERR11G04760.1 pep chromosome:Lperr_V1.4:11:3557957:3561335:1 gene:LPERR11G04760 transcript:LPERR11G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAEEEIIARFERTTRDAANVQRETLRRILNENAGVEYLNGLGLAGLTDANDVETSFRARVPVVTHADVEPYIQRIADGDASAVLTAKPVTAISLSSGTTQGKRKYLLFNDEFLQSAIQFFLASIAFTNRRRVPDARARVARSVPRHPSRRRVAVARHDDGGEGFHGRHPRRAEALERRCAALSENNNWYGLTPALWPNARYVKATMTGSMEHYVSKLRHYAGGHLPLVAGNYASTEGVIGINVEQHMPPESVVFAVVPDAAYFEFIPLKPAGSGYTDADEAEPVGLTEVAVGEHYEIVMTTFTGLYRYRLGDVVKVPGFYHATPKVKFICRRNVILSINVDKNSELDLQLAVDSAAKILTADEVADYTSYVDTSSDPGHYVIFWELSGGADMLEKNANLSREEEEVISRFERTTRDAATVQRETLLRILDENAGVEYLRRLGLDGLTDVDSFHARVPVVTHADLEPYIQRIADGDTSPVLTAKPVTAITLSSGTTQGKRKYLLFNDERVKSSIQASQLTCAFTNREFPVEDGKALDFVYSGREVKTKGGLTATSDTTYKDTLLQRCCDELDRAFASAGYVQSRKTQSIGPLELRVVRSGTFQEVLRHYVAGGSSAGQFKLPRCVAPSNAGVLRVLTGNIIKVFFSTAYD >LPERR11G04770.1 pep chromosome:Lperr_V1.4:11:3561682:3573010:-1 gene:LPERR11G04770 transcript:LPERR11G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKNYKLSPEEEEIIARFERATRDAANVQRETLRRILDENAGVEYLNGLGLAGLTDADDVETSFRARVPVVTHADVEPYIQRIADGDASAVLTVKPVTAISLSSGTTQGKRKYLLFNDELLQSATQFFLASIAFTNREFPVENGKVLEFMYGSRQETTNGGLTATTVMTNLLRSKEFMAAMRSSPSSARPRRCSPDEVVFSPDFDESLYCHLLCGLLLADDVRLVSASFAHTIVVALQTLERMWRELCHDIRHGAVSPSRVTTPAVRDAVDAILAAPNPELADALERRCAVLSENNWYGLIPAMWPNARYVTATMTGSMEHYVSKLRHYAGGSLPLVAGNYASTEGVIGINVEQHMPPESVVFAVVPDAAYFEFIPLKPAGSGYADDGEPVGLTDVAVGERYEVVVTTFTGLYRYRLGDVVKVTGFHHATPKVKFICRRSLILSINIDKNSEQDLQLAVDSAAKILAGDNQQQHRQLEIVDYTSHADTSTDPGHYVVFWELNGNRDKDDDDDGDDGVLQRCCDEIDRAFADAGYVLSRKTRAIGALELRVVRKGTFQEVLRHYVAGGNPAGQFKLPRCVPRYNAGVLRMMEAEEAEEETIARFERTMRDAANVQRETLRRIIDENAGVEYLRGLGLDELTDVETTFRARVPVVTHTDLEPYIQRIADGDTSPVLTAKPVTAISVSSGTTQGKRKYLLFNDERVKSSIQASQLTCAFTNKDFPVKDGKALVFVYSGRETKTKGGLTATTVLTNLFRSEAFNAAAVRMPRPPSCTPRDVVALSTDYDELLYLHLLCGFLFADEVSLVSAVFAHSLVVAFQTLESVWRELCHDIRHGAVSPSRVATPAVRKSVDTLLAAPNPALADALERRCDALSVSSWSRVIPAIWPNARYVEAIMTGSMEHYVSKLRHYAGGVPLIAGKYASSEGIIGLNVDLHDPPESVAFTVLPDAAYFEFIPLKPAGSSSSWCYADADDAEPVGLTDVAVGEHYEVVMTTFTGLYRYRMGDVVKVDGFYGSTPKLKFVCRRNVILSINVDKNSELDLHLAVNSAAKILISAADDNEKQQLEVADYTSYADMSSDPGHYVIFWELSGRDDDDTSNQSTLPRCCDELDRAFADEGYVQSRKTRAIGPLELRILRRGTFQEVLRHYVAGGSSAGQFKLPRCIARSNAGLLGVLSGNTITGPNSKKGRFGSWDGRAELRKKRDKMTAVKIPLSPGAGAQCTRPPESMIQVTY >LPERR11G04780.1 pep chromosome:Lperr_V1.4:11:3582957:3586844:1 gene:LPERR11G04780 transcript:LPERR11G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHSVSHAHVRHLCCLLRAVATLLSPRTSPAACLWARIRSEIRRLAPRPPSLRRIATHQHHPILTQSPDASDAAPSESDAPPSASDGQQLSYREGEVVPLWLEIPNPVNLFTVRIWGVAYERMWRRSGLKESDKANFSSGALLSDDAMEL >LPERR11G04780.2 pep chromosome:Lperr_V1.4:11:3582957:3586806:1 gene:LPERR11G04780 transcript:LPERR11G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHSVSHAHVRHLCCLLRAVATLLSPRTSPAACLWARIRSEIRRLAPRPPSLRRIATHQHHPILTQSPDASDAAPSESDAPPSASDGQQLSYREGEVVPLWLEIPNPVNLFTVRIWGVAYERMWRRSGLKESDKANFSSGALLSDDAMEL >LPERR11G04780.3 pep chromosome:Lperr_V1.4:11:3582957:3586198:1 gene:LPERR11G04780 transcript:LPERR11G04780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHSVSHAHVRHLCCLLRAVATLLSPRTSPAACLWARIRSEIRRLAPRPPSLRRIATHQHHPILTQSPDASDAAPSESDAPPSASDGQQLSYREGEVVPLWLEIPNPVNLFTVRIWGVAYERMWRRSGLKESDKANFSSGALLSDDAMEL >LPERR11G04790.1 pep chromosome:Lperr_V1.4:11:3587433:3589162:1 gene:LPERR11G04790 transcript:LPERR11G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGAFIVFAFLVVLQILSLPVSGYENYTEGTMELDRANGGWHSGAAATWNGRPHGDGSEGGACGYQKAVGQRPFSSMVAGGGPSLFRNGKGCGACYQVKCTGNSACSGHPVTVVIADSGPGGNKPAFFDMSGTAFGAMANPGMGDRLRNAGIVDVQYKRVTCKYGTNVVFKVDKGSNPYYLAVLIEYENGDGDLKDVHIMEQGNRLSAMQHSWGATWSINSKDGKPLRPPFSFALTSGSGHVLVAPRVIPSGWRPGSTYLSSVNYAV >LPERR11G04800.1 pep chromosome:Lperr_V1.4:11:3592468:3592961:1 gene:LPERR11G04800 transcript:LPERR11G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEGASEEHEEAHDAINGTIPDSSQPNTKKRPPDRKQAKEKLKNEEDVKTYVLAMRALIAASKLAALNGGFSSTSNGFDGAGSGFGGEFGGGGNGGGSDEV >LPERR11G04810.1 pep chromosome:Lperr_V1.4:11:3593712:3598061:1 gene:LPERR11G04810 transcript:LPERR11G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAFIVFAFVVVLEILSIPISGYENNTQGTMALDPSSGSWHSGAATWNGDPHGRQRRLRSVGQRPFSSMVAGGGPLRFEDGEHCGACYQNPLMNQKKIKNRDTKGDQGSCEEWRAKRRFWRARFCGVSRNLGGGAILEDRQRELGGGSEGWAGQVKCIGNSACSGNPVTVVITDSCTGGPRLNELAFFDMSGTAFGAMANRGMGDLLRNEAIIDIQYRKVPCKYDRNVEFKVDAASNPYYFAILIKYESGDGDLIALVVDGAFWGATWAINSKDGKPLRPPFSIWLTSGSGHELVANDVIHPDGGPGFYLIAHEAN >LPERR11G04820.1 pep chromosome:Lperr_V1.4:11:3599572:3601966:-1 gene:LPERR11G04820 transcript:LPERR11G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKLSVPVVILFLASVVPLISCDTVAPLCCFSRIFSFGDSNTDSGNNCLVNSACGANRLPYGETYFHKPSGRNSDGRIIVDFIAQAYGIPFQPPSKGGSTAEYFKDGANFAVAASQALNNSAFKKLTNMNPWNTDSLSTQLQSLKNLLPTISQGSSVSAVMASSLFVVGEIGGVDYIIGLANKKPMDEIRSWVPSVVDAIISALNDLISLGATTLIVPGNYMFGCSPWFLLIYQSNNTADYDNFGCIKSVNELSAYHNTMLMEELAKLRLLHPLINIVYADYYGAQSQLYLNAQTLGFAAPLYACCGVAGQPYNMSLRIGCGSSGSQVCPDPSKYVSWDGDHLTEAASHQIANGILYGPYSFPPFLQTAQTAKQELGSGVRQYLK >LPERR11G04830.1 pep chromosome:Lperr_V1.4:11:3618131:3619138:1 gene:LPERR11G04830 transcript:LPERR11G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAFGAMANNELDDRLRNVGIVDIQYRKVSCKYGTNVVFKVDAASNPYYFAVLIEYENGDGDLKDVHIMEQGDRLSAMDHSWGATWSINSKDGKPLRPPFSIWLTSGSGHELVAEDVIPSGWQPGSTYISSVNYAA >LPERR11G04840.1 pep chromosome:Lperr_V1.4:11:3625557:3627131:1 gene:LPERR11G04840 transcript:LPERR11G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAPANKAAAAAAAAGTYAGMRNPPTPSSSFLDDLAVDGDCDGDDVPLDSSYLFEGLSCPDDPLDQVIGPVDTAAFAHGFFDSFGVDFSPSPPRDTTPAVADDGDFTSDMLMEAVGLGACATESPLYGGGERGEDETGSKLAAAAEDMEAEPSLDVKPVAGFGAGVGIGIGIGGGGGGLSAAPPEFPLENNNPIPSPYAGFDARTAWPALGGAGMSAPPPGFLSDNRRPLIPSPDDVTFDGAMTVVRAGERGMTTQNRLPTLPLVRCSANPIATAPPGTPFQWDHAAAPTSGVSTTPSDSSTSSPSGSVTPRIAAPYPCPTGPRKPRRRSGWSLICPLDAVPVAASRRGKTINQIINAPRIFTTSISRDSGGDNSKASFVSSNSSSSDGAKEMSLSASMNPSSSNGDGGGGSYRRRVVGRQRNRQVRRDRRCSHCGSSETPQWRMGPNGPGTLCNACGIRCKMGRLVPEYRPSTSPSFNSDEHSNRHRKVMKIREKNTCNSGGEHSNSRLSVIETTTTYGELR >LPERR11G04850.1 pep chromosome:Lperr_V1.4:11:3628072:3631255:1 gene:LPERR11G04850 transcript:LPERR11G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQRTMSHEDNGEDEIAAVTIAVAVTETHDNHVADRNTTVTEEDEDRSDRVEFADAVHAVADDVDDPEFPSDDEECDDVRVSFATAVGDGDGDHLREDQAEIDDDEEVEDDVSRYDYGMWMAAEPMSIHERRRRLLQGMGLTSSRDLLRGRSSARMTRPPNIPRSVSRRPAAVADDAAAPSTSTAKRQRMLTRCRSDSRLAVRGGGAARKPPQSTFRRVYSVPHSPRGVSPVHKAAGRFCGPRLPPSPSSSKDDGGEREDHSGGGMVSRQSFTIKNLDDGKEFVVSGQPADRSWGALSDIQTGVQLSLDEFERFIGNTPLVKQLMRRSHSQPIPAAAAAGDATKPAKKKPKPRWLKKITLVASAAGLLHEKDKESSSSAAEQESTLTMSKSASASATSSSSSSSSSPLDRPKVHSYGKSGKELTGLCLRQEIRAHEGSIWSIKFSRDGQLLASGGEDRVVRVWRVVEADPHPSSPELSASKSLPPLAPPAIGDCGTQGLAAQLSRKVRARRWKSGKEVLPEHVVVPETVFALADEPACSLEGHLDDVLDLAWSKSQQLLSSSMDKTVRLWDTEAKACLRLFPHNDYVTCIQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIIGSHNGSCRFYKTTDCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTGDGRYVVCASEDSTVYVWRRAAAPGATGGGGVGSSIVGVKAKTWRTSRAYECFSCKDVSAAVPWPRSPCLPPPPCDDDEREASSVRGAVVGGDASRSPVRRHVGGDVPARPKSGPMTYSGTQQLGVPAREPSLRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLKLFKGKS >LPERR11G04850.2 pep chromosome:Lperr_V1.4:11:3628072:3631255:1 gene:LPERR11G04850 transcript:LPERR11G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQRTMSHEDNGEDEIAAVTIAVAVTETHDNHVADRNTTVTEEDEDRSDRVEFADAVHAVADDVDDPEFPSDDEECDDVRVSFATAVGDGDGDHLREDQAEIDDDEEVEDDVSRYDYGMWMAAEPMSIHERRRRLLQGMGLTSSRDLLRGRSSARMTRPPNIPRSVSRRPAAVADDAAAPSTSTAKRQRMLTRCRSDSRLAVRGGGAARKPPQSTFRRVYSVPHSPRGVSPVHKAAGRFCGPRLPPSPSSSKDDGGEREDHSGGGMVSRQSFTIKNLDDGKEFVVSGQPADRSWGALSDIQTGVQLSLDEFERFIGNTPLVKQLMRRSHSQPIPAAAAAGDATKPAKKKPKPRWLKKITLVASAAGLLHEKDKESSSSAAEQESTLTMSKSASASATSSSSSSSSSPLDRPKVHSYGKSGKELTGLCLRQEIRAHEGSIWSIKFSRDGQLLASGGEDRVVRVWRVVEADPHPSSPELSASKSLPPLAPPAIGDCGTQGLAAQLSRKVRARRWKSGKEVLPEHVVVPETVFALADEPACSLEGHLDDVLDLAWSKSQQLLSSSMDKTVRLWDTEAKACLRLFPHNDYVTCIQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQPVIRVLQIKAAIIGSHNGSCRFYKTTDCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTGDGRYVVCASEDSTVYVWRRAAAPGATGGGGVGSSIVGVKAKTWRTSRAYECFSCKDVSAAVPWPRSPCLPPPPCDDDEREASSVRGAVVGGDASRSPVRRHVGGDVPARPKSGPMTYSGTQQLGVPAREPSLRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLKLFKGKS >LPERR11G04860.1 pep chromosome:Lperr_V1.4:11:3639576:3643975:1 gene:LPERR11G04860 transcript:LPERR11G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGDERAATLAEIAAGFEEWGFFQLVNTGIPDELLERVKKVCNDIYKLREDGFKESNPTVKALARLVDQEDEGLTMKKIEGMDWEDVFTLQDDLPWPSNPPAFKETMMEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMLRNDGGHDGRWVDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPSLMANIAPAIPAVSGEAAAYPSFKFGDYMEVYVKQKFQAKEPRFAALATK >LPERR11G04870.1 pep chromosome:Lperr_V1.4:11:3642153:3643439:-1 gene:LPERR11G04870 transcript:LPERR11G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRVGGRLVLLLSFVLWSLICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKHMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKAQAGGVVAPRTVKIPWGRILFSPPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGSSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVISAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >LPERR11G04880.1 pep chromosome:Lperr_V1.4:11:3653175:3654881:-1 gene:LPERR11G04880 transcript:LPERR11G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVVSLGLLLLMLLQPQALALTSNGGRLQDFLRLPSDNADSRKRWALLIAGSSGWDNYRHQADVCHAYQIVKNAGLKDENIVVMMYDDIANNPSNPQKGVIINQPNGKNVYVGVPKDYTGKDVNKNNFLAVLLGNKTAVIGGSGKVISSGPNDHIFVFYSDHGNPGVLYMPSGERLTANELAQALNKKHDARAYMGLVMYVEASYSGSMFEGLLPTNNGVYAMTASNATENSWATYCPGSPNQPPPGYNTCLGDLFSVSWMEDTDANHPGETLAQQYEAVKKRTTTSHVMQYGDLDLTSQTLGNYY >LPERR11G04890.1 pep chromosome:Lperr_V1.4:11:3665395:3673797:1 gene:LPERR11G04890 transcript:LPERR11G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNDRTVDLTGGGVEEGSAGGDKTRETVAQLSSHGFAAVMAYTPLFVGRRPSNMLPDSHASTYNTRPSYASASCFFCSACARLRSRHDLSKRRRYGRRGRC >LPERR11G04900.1 pep chromosome:Lperr_V1.4:11:3666900:3667726:-1 gene:LPERR11G04900 transcript:LPERR11G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSAEVIGPCRGAGLSLDDQGKRVHGGLGGGNCHGFNMCSADVDHHAMAIAASKACMHPVIS >LPERR11G04910.1 pep chromosome:Lperr_V1.4:11:3672460:3674209:-1 gene:LPERR11G04910 transcript:LPERR11G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVVWLGLLLLMLLQPQVLGLTNCNSRRLQEFLRLPSNGGTKWALLIAGSNGWENYRHQADVCHAYQIMKYGGLKDENIVVMMYDDIAYNPENPYPGIIINHPTDYPPSSDVYAGVPKDYTGDDVNKNNFLAVLLGDQSALTGAGSGKVISSGPDDHIFVFYSDHGGSGVLCMPSGDYLYADELAQALQKKHDADAYEGLVLYVEACESGSMFDGRLPTNNGVYAMTAANPWEDSWATYCWIPPYNDTCLGDLFSVAWMEDADLHKPGETLGQQYEIVKNLTWPASHVSQYGDLDLSCQPLSVYYAADTSTNDHSSATGVTRGRGVDQRDAKLVYLWNKYEKSAEGTAEKAEAWKRLLREMERRSRVDRSVELIGDLLLGVSGASSKLMTRRPAGQPLVDDWDCLKSMVRTFEAHCGPLGHYGMKHMRTFANMCNAAVDHHAMANAASKACTHPVLG >LPERR11G04920.1 pep chromosome:Lperr_V1.4:11:3684889:3686641:-1 gene:LPERR11G04920 transcript:LPERR11G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSVVCLGLLLMLPQVLGFSNSGPWQEFLRLPSDGTKWALLIAGSKGYDNYRHQADLCHAYQIVKRGGLKDENIVVMMYDDIANNPSNPHKGVIINKPKGGNVYPGVPKDYTGNNVNKKNFLAVLLGKKSALTGAGNGKVISSGPSDNVFVYYTDHGGPGVLSMPSGEGLYAKELVNALKDKRAARGFKNLVIYVEACESGSIFQGLLPSNIGVYAMTASNPTKDSYATYCPGDPKDPPPPEYKTCLGDLFSVAWMEDTDLHKPGETLGQQYDIVKKRTTKSPVMRYGDLSLSNQPINNFYLPAPTSPATTSDAISTTSGVSQRDAELVYLWRNYEESVEGSAEKVEARERLLREMERRSRLDRSVELIGDLLLGVSGSSKLMIPRPEEQPLVDDWDCLKSMVRTFEAHCGQLGHYGMKHMRAFANMCNAAVDHHDMAKAASKACMHPVVT >LPERR11G04930.1 pep chromosome:Lperr_V1.4:11:3689230:3689406:-1 gene:LPERR11G04930 transcript:LPERR11G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTFSMRVNRLPRYNLWQYTGVYPPLLYPSLLDLVDLDPGSKIWPTMGGRSTTGA >LPERR11G04940.1 pep chromosome:Lperr_V1.4:11:3701318:3703331:-1 gene:LPERR11G04940 transcript:LPERR11G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSVVCLGFLLLMLLQPQVMGFANSGPWQEFLRLPSDGTKWALLIAGSNGYDNYRHQADVCHAYQIMKKGGLKDQNIVVMMYDDIAYNPSNPRKGDYTGNNVNKKNFLAVLLGKKSALTGTGNGKVISSGPNDNDKRAAGGFKNLVIYVEACESGSIFQGLLPSNIGVYAMTASNATESSWATYCSTPGYTTCLGDLFSVTWMEDADLRKHGETIGQQYAIVKKRTTASNVLVFGDLRIGSQPLNNYYLAAHTSETTTESATNFGVINQRDAQLVYLWRNYEESGEGTGEKAEAWERLLREMERRSRVDRSVELVGDLLLGVSGSSKLMIRRPAGEALVDDWDCLKSMVSTFEAHCGPLGQYGMKHLRAFANMCNADVDHHAMDIAASKACMHHVIS >LPERR11G04950.1 pep chromosome:Lperr_V1.4:11:3727821:3730214:1 gene:LPERR11G04950 transcript:LPERR11G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFHARNKCISFTKRSIEKRLQDVESGQTTMQVFLEREMMNGQGIASYIKKFRNNKASFPLYDALGELHDGHLAEGKYNYTSLDSMEDEEPLRLLQELEDDSQQQDDVVLLEDQRNSREEEADVVLLEDQRNLREKETQVEDSRIVTKEQRRRDLMSSLCPNYKNSRVERYLEMRSKKAKEEVAARKKECSQAADYSV >LPERR11G04960.1 pep chromosome:Lperr_V1.4:11:3734450:3735674:-1 gene:LPERR11G04960 transcript:LPERR11G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFNAFAGVPCRPLPGVDIDKWPIGRATAAPAPRSPSDDFNDGLKLRFSARTVLFICRGLMLMLWLYLFDSMRTNVTNYIGDGDSWFTKFVVFVVAVPMADLFSIFVGLCLRLPMPGYSPLEYSTYCKS >LPERR11G04980.1 pep chromosome:Lperr_V1.4:11:3754001:3757967:1 gene:LPERR11G04980 transcript:LPERR11G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSICSGWRRSSPACQRRRRRPRPPEKASAWVRSAAGMPVPSLPHCPGDGADPGRRPRRLLCFSRCRHAGSPPFHRAGSPNPLPSIWPLRPEFLNQESERTREGICPFPLQRAGYQPVKKNGGSIILAYIAKYNCYFKSTMDCSRDQHIPKPKSITPGNQLSPLRSPQRRVYAPFQQALLFTSKQLQAAALEEAADCWGVAS >LPERR11G04980.2 pep chromosome:Lperr_V1.4:11:3753889:3757967:1 gene:LPERR11G04980 transcript:LPERR11G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPCLPTAPTPAPAAGEGVCMGSICRWDAGPLPSPLPWRRRRPRPPATASPMLQSLPARRLPSLPPRRLSKSPPFHLAAPPYLGWATEDDSTNTVTMFCQLFMSLSPQKKIIYVPKQSENFCIKNLGIVIPFKLIS >LPERR11G04990.1 pep chromosome:Lperr_V1.4:11:3763710:3765037:1 gene:LPERR11G04990 transcript:LPERR11G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPESVHKLVGDLTKQPQQIEYARREMTPVQIERVNRQRVRKYQNLMLLGVSSVFWSDTKNAINKMEGLVLQCACNAYLNFALASMFLGAIASTLPEVAKHYRGVSANGVLQGIIFAIVAFNIETYSNLTKPEELHKAVWWTSGPLSVLAVTIYWTVSTEEPIVPWIDDFESLQLCGRHSESSMGISLKPCQEKAISKWARFATFNTNSNGEYLDDLNSSQGESK >LPERR11G05000.1 pep chromosome:Lperr_V1.4:11:3766097:3766528:-1 gene:LPERR11G05000 transcript:LPERR11G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKSPGENLVPFSDERRRRFASRGLAFLELFHSCGAQLALGRFSWVKSELLADGLFGGWADVRCRFVGATFRFGGMLATMSLRS >LPERR11G05010.1 pep chromosome:Lperr_V1.4:11:3766576:3767121:-1 gene:LPERR11G05010 transcript:LPERR11G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRRLAAVVVLLRAGLWCWYGWVVQPACLPSWAEIDPHTAGFWSGCGAGNGSSGGEHVSEAGNGQGVHSSHLCSLLSAMSSTPFGFLLWPDSFSGRRNPQGRADALMQELAAGGRRFEDGRVLVLADRESALGVGRRHWRKPCRAFGRPDDGDA >LPERR11G05020.1 pep chromosome:Lperr_V1.4:11:3768946:3771877:1 gene:LPERR11G05020 transcript:LPERR11G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDACRCRGGDVAPTEVNERRLDVTLLLQAAEGSNSDDHTAGAAATTTTDGEVEKEQVPFTTRQGLLWLFLFGCMISLALKYTHGCIWFSAFSAILMSLPITELFSIINDYDLLNSDVELPPAADVEAQEADTRTEEARGKMTRCILRGLVLLGWLFMMDLTRRMLTTDELGKLEPWDIPVLISCVTFLSTGFCCACQIIQESSEPVVFLIE >LPERR11G05030.1 pep chromosome:Lperr_V1.4:11:3772678:3774420:-1 gene:LPERR11G05030 transcript:LPERR11G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPAAGELVRCVNEASVALGTPLGTPDEPGILHSALQAVRNRCRKLTAWLGCRLVDVVEPRQHARTKSGEASGSRRNRGVDNEVEEEEEEEEEEEETSDDDDNDREVIRPSQLEDAPQPSQPNRPRRTRKQVERFMPSETRQGKKHRQSGSQKTTYWIDADGSAEVANLSAKGCRAIGIGVDIGHPLHQKTTYWIDGAESAEVADLSAKIIGAEIRHTATHTLLVFAYTDKFEVQHQRLWR >LPERR11G05040.1 pep chromosome:Lperr_V1.4:11:3782411:3791337:1 gene:LPERR11G05040 transcript:LPERR11G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKILCSGFEKDEKAKIEQLVAAMGGILQTKAYMDANFVIAKDVLAAKYKLAVNNLKPIVSMNWLEQCWIEHRVVPHESYRIPPFSGLNICITKLNLDRRNELARIIVQNGDKYVVAKKWGNIHIVDPKWIEQSVARKAYLDESTYLVCQNSTNFNGIKRSLKEQHNPEISSASFQPVPTTSVDDSVATSQYVPASFGDASKICNTDISAPSFQETNEIQVDGHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKREVRRLASWGVINVVKAAWLEDCNKAKKEVKVSPTYVANELLSKEVSCVVMERSVDIRETKAAKSSGGIFHIPTVNDLHDKQLGKDLSSERKPARGKHEIMNSTRTTTKPAISSQQNGVASTSEYHPKFQVNSSAINSRSSRSSNIFKGRTFCFSNSFPHDRRAEVVDWVKDGGGSIVDDAQSTVVDFIVESHGQNSMPCDFSHSSVVSTHWINSCLEEGCLQDVGSHPIFSPLRCRVPFPAFKDFRFCVSQYEEKDRLLLKNLCFTLGSKFTVKPSKRVTHLICKFANGPKYEAYYSMGIPTITAEWLFECVRQDTIVPFDQFQPKPPTSQDRDAGLCTVSQHPTQAAKAISRFDCSEQLTESQLPRGSATAKYNSGNASVNEEPNDPGVSKRRRLSHIGKANDTSGNIGRTEKLQGSTPVPDVADAIEDLLVQSSKIQDVQSPSIIFAPDDYVLDQDQGISRHWLNMPQKIHSTPDSKVQSVNSATTSAATPAAATTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPTTGMSSDT >LPERR11G05040.2 pep chromosome:Lperr_V1.4:11:3782411:3792173:1 gene:LPERR11G05040 transcript:LPERR11G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKILCSGFEKDEKAKIEQLVAAMGGILQTKAYMDANFVIAKDVLAAKYKLAVNNLKPIVSMNWLEQCWIEHRVVPHESYRIPPFSGLNICITKLNLDRRNELARIIVQNGDKYVVAKKWGNIHIVDPKWIEQSVARKAYLDESTYLVCQNSTNFNGIKRSLKEQHNPEISSASFQPVPTTSVDDSVATSQYVPASFGDASKICNTDISAPSFQETNEIQVDGHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKREVRRLASWGVINVVKAAWLEDCNKAKKEVKVSPTYVANELLSKEVSCVVMERSVDIRETKAAKSSGGIFHIPTVNDLHDKQLGKDLSSERKPARGKHEIMNSTRTTTKPAISSQQNGVASTSEYHPKFQVNSSAINSRSSRSSNIFKGRTFCFSNSFPHDRRAEVVDWVKDGGGSIVDDAQSTVVDFIVESHGQNSMPCDFSHSSVVSTHWINSCLEEGCLQDVGSHPIFSPLRCRVPFPAFKDFRFCVSQYEEKDRLLLKNLCFTLGSKFTVKPSKRVTHLICKFANGPKYEAYYSMGIPTITAEWLFECVRQDTIVPFDQFQPKPPTSQDRDAGLCTVSQHPTQAAKAISRFDCSEQLTESQLPRGSATAKYNSGNASVNEEPNDPGVSKRRRLSHIGKANDTSGNIGRTEKLQGSTPVPDVADAIEDLLVQSSKIQDVQSPSIIFAPDDYVLDQDQGISRHWLNMPQKIHSTPDSKVQSVNSATTSAATPAAATTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPTTGMSSDT >LPERR11G05040.3 pep chromosome:Lperr_V1.4:11:3782411:3791337:1 gene:LPERR11G05040 transcript:LPERR11G05040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKILCSGFEKDEKAKIEQLVAAMGGILQTKAYMDANFVIAKDVLAAKYKLAVNNLKPIVSMNWLEQCWIEHRVVPHESYRIPPFSGQTERVGENNSAEWWPVFTKSYTENSTNFNGIKRSLKEQHNPEISSASFQPVPTTSVDDSVATSQYVPASFGDASKICNTDISAPSFQETNEIQVDGHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKREVRRLASWGVINVVKAAWLEDCNKAKKEVKVSPTYVANELLSKEVSCVVMERSVDIRETKAAKSSGGIFHIPTVNDLHDKQLGKDLSSERKPARGKHEIMNSTRTTTKPAISSQQNGVASTSEYHPKFQVNSSAINSRSSRSSNIFKGRTFCFSNSFPHDRRAEVVDWVKDGGGSIVDDAQSTVVDFIVESHGQNSMPCDFSHSSVVSTHWINSCLEEGCLQDVGSHPIFSPLRCRVPFPAFKDFRFCVSQYEEKDRLLLKNLCFTLGSKFTVKPSKRVTHLICKFANGPKYEAYYSMGIPTITAEWLFECVRQDTIVPFDQFQPKPPTSQDRDAGLCTVSQHPTQAAKAISRFDCSEQLTESQLPRGSATAKYNSGNASVNEEPNDPGVSKRRRLSHIGKANDTSGNIGRTEKLQGSTPVPDVADAIEDLLVQSSKIQDVQSPSIIFAPDDYVLDQDQGISRHWLNMPQKIHSTPDSKVQSVNSATTSAATPAAATTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPTTGMSSDT >LPERR11G05040.4 pep chromosome:Lperr_V1.4:11:3782411:3791337:1 gene:LPERR11G05040 transcript:LPERR11G05040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKILCSGFEKDEKAKIEQLVAAMGGILQTKAYMDANFVIAKDVLAAKYKLAVNNLKPIVSMNWLEQCWIEHRVVPHESYRIPPFSGLNICITKLNLDRRNELARIIVQNGDKYVVAKKWGNIHIVDPKWIEQSVARKAYLDESTYLVCQNSTNFNGIKRSLKEQHNPEISSASFQPVPTTSVDDSVATSQYVPASFGDASKICNTDISAPSFQETNEIQVDGHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKREVRRLASWGVINVVKAAWLEDCNKAKKEVKVSPTYVANELLSKEVSCVVMERSVDIRETKAAKSSGGIFHIPTVNDLHDKQLGKDLSSERKPARGKHEIMNSTRTTTKPAISSQQNGVASTSEYHPKFQVNSSAINSRSSRSSNIFKGRTFCFSNSFPHDRRAEVVDWVKDGGGSIVDDAQSTVVDFIVESHGQNSMPCDFSHSSVVSTHWINSCLEEGCLQDVGSHPIFSPLRCRVPFPAFKDFRFCVSQYEEKDRLLLKNLCFTLGSKFTVKPSKRVTHLICKFANGPKYEAYYSMGIPTITAEWLFECVRQDTIVPFDQFQPKPPTSQDRDAGLCTVSQHPTQAAKAISRFDCSEQLTESQLPRGSATAKYNSGNASVNEEPNDPGVSKRRRLSHIGKANDTSGNIGRTEKLQGSTPVPDVADAIEDLLVQSSKKIHSTPDSKVQSVNSATTSAATPAAATTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPTTGMSSDT >LPERR11G05050.1 pep chromosome:Lperr_V1.4:11:3793597:3800109:1 gene:LPERR11G05050 transcript:LPERR11G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCARHGPAKLPAAPQPPLAAGWVVGRWWWRPEAARHGVVAARASFFSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVANAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRRLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSSVPDDSETDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDELYLSAIQEAVSLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSTKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNENGKTVKSDQAEQLTNSPDFSSEGSKNGTPLESDRTVKEREICDIDIETARHNLEVTNSLPSEQGELHGTRTELQSNFRLENNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRSNSLLISRRKQSLSAEQNGAIDYEAAELKVLKSSNGASFDNDYILSVASGITNGKPSNNGTSTSVEKREMKTSVVTVDPRTSDTSNSNGNAQLGSQKSAESNGALYLEREKSDHADGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDMRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >LPERR11G05060.1 pep chromosome:Lperr_V1.4:11:3803961:3819073:1 gene:LPERR11G05060 transcript:LPERR11G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVIPKNTTIPTKMLKDFTTLFDNQTAMLFPVYEGENELTKYNNLLDDFWLSGNPPAPMCVPHIDVTFDIDANGILNVSAEDKGTGIISGNVVILESMAASKSKSDGPAIGIDLGTIYSCVAVWRHDRGEIIANDQGNRLTPSCVAFTDTDDERLVGDAAVNQAALNPCNTIFGESFTRPVSSYKYILFLCAQLLVVRRDAAAQFGRSPPLHHFTDLAGTTKSVQEDIKLWPFKVVASSKDRPMIVVWCGMKGQFMREEISSMVLAKMRKTAEVYLGKTVKNAVITVPVYFNNSQRQATIDAGTIAGLNVVRIINEPTTAALACGLEKMPGGFSSRSGGGPAIGIDLGTTYSCAAVWRHNRSEVITNDQGNRLTPSCVAFTAADIFVGDAAENQAVLNPTNTVFEVKRLIGRRFSDESVLQDIKLWPFKVVAGQGNRPTIVVQHEGKERRLVPEQISSMVLSKMREAAEAYLGDKVKDVVITVPVYFTDAQRHATLDAGTIAGLNVMRIINEPSAAAIAYGLEKMPPVNNKRGRIVLIFDLGGGTLDVSLLNISPNVETFEVKANAGDAHLGGADFDNEMVKYFIKEFARKNNLQEDDIRNNHKALRRLRTACERAKRMLSFTAQTSIEVDSLHDGIDLCTKISRSRFEELNKHLFSKCVEAVIKCLHDAKMDKNSVDDIVLVGGSSRIPKVQSMLQEFFDEKKLHRGLNPDEAVAYGAAIQASFLSNRGAVDDNKKNVLILRDIKPLSLGIQVGLDHTMSVVIPRSTPIPTKNVRRYTTLFDNQIAVSIKVFEGESVSTANNNLLGMFVLSGILPMPKGVPRIDVTFDIDDNGVLHVSAEDLDTGEKNDITITNHSRLNKEEVGRMSQEARSYDKQRKRTKTSLQINSGNLWRSIAMASASESSAKGTIIGPAIGIDLGTTYSCVAVWRHDRSEIIANDQGNRLTPSCVAFTDADDERLVGDAAINQAALNPSNTIFEVKRLIGRRFSDESVQEDLKLWPFKVVAGRENRPMIVVRHDGEERKFMPEEISSMVLAKMRDIAEVYLGNTIKNAVITVPVYFNNSQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVTNKGRIVLVFDLGGGTFDVSLLNIDPGINIDKGLFEVKATAGDTHLGGADFDNEMVKYALREFTRKHGSMDIESNQKALQRLRTACERAKRMLSSTTHTTVEVDSLHKSIDFCITMTRSRFEELNKDLFIKCIKAVDKCLQDAKMDKTSVDDVVLVGGSTRIPKVQKMLCEFFNGKELRRTINPDEAVAYGAAIHASILSGGTNDTRLVDMLLRDVTPLSLGIEVRDDYTMSVVIPRNTAIPTKITVENYTTFWDNQTSVRVKVFEGESAKTKENNMLGEFVLSGIQPAPVGVPIIDVTFSIDANGVLNVSAEDRDSGRKNSITITNRNGRLNKEEIERMAQEAERHRIKQIKEAEAQWN >LPERR11G05060.2 pep chromosome:Lperr_V1.4:11:3802082:3803963:1 gene:LPERR11G05060 transcript:LPERR11G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVQHEGKERKLVPEEISSMVLSKMRQAAQSYLGETVKNAVITVPIYFNNPQRQATLDAGAIAGLNVMRIINEPSAAAIAYGLEKMPPFSNKEGRMVLIFDLGGGTLDVSLLNICPGIDTFEVKAVAGDTHLGGADFDNKMVNHCIQEFVRKHDVVEEDIRSNRKALRRLRTACERAKRMLSTTTQTSIEVDSLHHGIDSAPRSAPLDDVVLVGGSTRIPKVQAMLHELFDEKKLRRNLNPDEAVAYGAAIQASVLSGGETDDKKQVIILHDVTPLSLGIEMNV >LPERR11G05070.1 pep chromosome:Lperr_V1.4:11:3823630:3837989:1 gene:LPERR11G05070 transcript:LPERR11G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNSSKGSGSSGPAIGIDLGTTYSCVAVWWHNRSEVITNDQGNRITPSCVAFTATDTFVGDAADNQAVLNPTNTIFEVKQLIGRRFSDESVREDIKLWPFKVVAGCDDRPMIVVQHEGRERQLVPEEISSMVLSKMRKAAQSYLGETVKNAVITVPVYFNDTQRQATLDAGAIAGLNVMRIINEPSAAAIAYGLEKMPPVSNKGGRMVLIFDLGGGTLDVSLLNIGPGIETFKVKAHAGDSHLGGADFDNEMVKYFIQEFVRKHNVEEDSLKSNHKALRRLRTACERAKRMLSFTAYTSIEVDSLHSGIDFCTKISRSRFEELNKHLFIKCVEAVDKCLRDAKMDKNSIDDVVLVGGSTRIPKVQRMINEFFDEKKLRRSINPDEAVACGAAIQVSVLRGNGSDDNKKQVVILQDITPLSVGVEIRDDYTMSVVIPRNTAIPTKMVRGYTTLYDNQIRVTFPVFEGESASTKDNNLLGKFTLSGVPPAPKGVAKINVTFDIDDNGILNVSAEDKNTGRKNSITITKHSGRLNKDEIERMSEEAKKYNRRKKRTRSSLEINSGNLMAAASNSKGHGGTAVGIDLGTTYSCVAVWRHDRSEVIANDQGNRLMPSCIAFATDDERLVGDAAENQAALNPSNTILS >LPERR11G05080.1 pep chromosome:Lperr_V1.4:11:3838945:3840321:1 gene:LPERR11G05080 transcript:LPERR11G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYEDRPMIVVRYEGEERQFMPEEISSMVLAKMRETTEVYLGKTVKNAVTTVPVYFNNSQRQATIDAGAIAGLNVMRIINEPTAAALAYGLENMPVTNKGRIVLVFDLGGGTFDVSLLNIDPGIKIDKGLFEVATAGDTHLGGADFDNEMVKHSIREFIRKHGSMDIQSDQKALRRLSTACERAKRMLSSTTQTTIEVDSLHKGIDLCITITRSRFEELNKDLFGKCIKAVDKCLQDAKMDKSIVDDVVLVGGSTRIPKVQKMLREFFSRKELRRSINPDEAVAYGATIHASILSGGTDDTRLVDMLLRDVTPLSLGIEIGYDHGMSFVVPRNTAIPMKKVKEHYTTLCDNQTGASIVVYEGENASTKENNLLGEFVLSGILLAPKGVPCIDVIFDIDANGVLEVSAKDRDTGRKNNITITNRSGRLKKEEIERMALEAEMHRIKQIKLAEAVQED >LPERR11G05090.1 pep chromosome:Lperr_V1.4:11:3845906:3847289:1 gene:LPERR11G05090 transcript:LPERR11G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTSDKRLVGEAAINQATLNPSNTIFGENLFSFFLKIISFPLCSIRSEVDVPTIGEMQWRVWPLHSPPSHKTLIVQSEVTDWPPI >LPERR11G05100.1 pep chromosome:Lperr_V1.4:11:3847792:3848706:1 gene:LPERR11G05100 transcript:LPERR11G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSLREFTRKHGSMDIDRNQKALRRLRTACERAKRMLSSTTETTIEVDSLHKGIDFCITITRSRFEELNKDLVKKCIEAVDKCLQDAKMDKSSVDDIVLVGGSTRIPKVQKMLCEFFKGKELCQSINPDEAVAYGAAIHASILSGGTDDTRLVDMLLRDITPLSLGIQVKPECTMSVVIPRNTAIPTKNTVKSYSTDSDNQTIVEIMVFKGESMSTKNNNLLGKFLLSGIRPAPRGVPRIDVTFNIDANGVLNVSAEDKGTGQKNSITITNRSGRLNKEEIEHMALKAERHRMKQTRIAGAV >LPERR11G05110.1 pep chromosome:Lperr_V1.4:11:3849355:3852350:1 gene:LPERR11G05110 transcript:LPERR11G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYCMPVGLWASVLAAFEPKKILLSKRPIQKIGKGTGTGRVSDSPTSQSTKFTTQPKPKPHRLPQFLSRTLNLSSSSSGGGEDDHPCALLHLRQGDWEQVGSLPRPPPGRLHRGGCSGCSGLSPLLL >LPERR11G05120.1 pep chromosome:Lperr_V1.4:11:3853179:3856865:-1 gene:LPERR11G05120 transcript:LPERR11G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGHGGGGLILPSPAAAGSGRTVVVGIRRDAASHELLTWALVKVANAGDRVVALHVAAAAAGGGGAVRLDERSNAADSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFRSSSISVAKYCAKRVPTGCSVLAVNNGKIVFHKDVVHQEPHHSAGTMAETPRKSYRKLLTSMIGEKLRVECEQDNRSISRAVTMPTRSPAPTKEVSLALVPVKVHRRESPEVATGWPFLRKKFLPDRKSALHDRKSALHDRSKMSVVQWAMRLPSRYSSASPVHSEYRNTRADTTSSTSRILRDRVVVPSENNSGISSVVIEELDKEIPEELISLKEKFPSMYSSFSYSELAKITSDFSPECIVGQGGTSRVYKGCLANGKELAVKILKYSDEVLKEFISEIEILSALSHKNIICLTGFCFKDTDLLLVYEYLQRGSLEEMLHSEKGCGNLFGWTERFNVALGIAHALDYLHGNGNNRPVIHRDVKSSNILISEGFEPKLSDFGLALWDTDATTQVTCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLADPNLPTEDHATKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGDNGILKWAKSEVGLSYESDGDEAVVIPPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >LPERR11G05130.1 pep chromosome:Lperr_V1.4:11:3878766:3889331:1 gene:LPERR11G05130 transcript:LPERR11G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGAAATASLSASPSILLVSSATPAPYSRLFPTISKRAKPPPARARARFSRSAAAAASAPVAAAIAEKGDSWRDLCSLNAWVVRDYRRLVDAVGALEQRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAILDEVDSVLIDEGRNPLLISGEHYTVELKNNNIDLTEDGVTCAEMILVTSDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVRAEVEAMFHMGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLSHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQKSERNECPFQKAKSTIADSVEMSHTIGMEKLQDRLAEESETYPLCDTIGLAYLTVLRDCEIHCSAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFNIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVVDDVILGNVDPQKPPNTWKLANLLNEFVNLGGSLLNESFKEIQEEDLLLSLEEFHGCGTVNVDNFTLPNMPVSPNSFRGIRKRTSSMMRWLAICVDDATKKGRYTYIVNMLRKYFGDFLIATYLYAVQESRYDDAYIRGIEREILLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >LPERR11G05130.2 pep chromosome:Lperr_V1.4:11:3878766:3889331:1 gene:LPERR11G05130 transcript:LPERR11G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGAAATASLSASPSILLVSSATPAPYSRLFPTISKRAKPPPARARARFSRSAAAAASAPVAAAIAEKGDSWRDLCSLNAWVVRDYRRLVDAVGALEQRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAILDEVDSVLIDEGRNPLFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVRAEVEAMFHMGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLSHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQKSERNECPFQKAKSTIADSVEMSHTIGMEKLQDRLAEESETYPLCDTIGLAYLTVLRDCEIHCSAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFNIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVVDDVILGNVDPQKPPNTWKLANLLNEFVNLGGSLLNESFKEIQEEDLLLSLEEFHGCGTVNVDNFTLPNMPVSPNSFRGIRKRTSSMMRWLAICVDDATKKGRYTYIVNMLRKYFGDFLIATYLYAVQESRYDDAYIRGIEREILLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >LPERR11G05130.3 pep chromosome:Lperr_V1.4:11:3878766:3889331:1 gene:LPERR11G05130 transcript:LPERR11G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGAAATASLSASPSILLVSSATPAPYSRLFPTISKRAKPPPARARARFSRSAAAAASAPVAAAIAEKGDSWRDLCSLNAWVVRDYRRLVDAVGALEQRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAILDEVDSVLIDEGRNPLLISGEHYTVELKNNNIDLTEDGVTCAEMILVTSDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVRAEVEAMFHMGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKMLAKEIIEDNVLPFLSHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQKSERNECPFQKAKSTIADSVEMSHTIGMEKLQDRLAEESETYPLCDTIGLAYLTVLRDCEIHCSAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFNIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVVDDVILGNVDPQKPPNTWKLANLLNEFVNLGGSLLNVSPNSFRGIRKRTSSMMRWLAICVDDATKKGRYTYIVNMLRKYFGDFLIATYLYAVQESRYDDAYIRGIEREILLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >LPERR11G05130.4 pep chromosome:Lperr_V1.4:11:3878766:3889331:1 gene:LPERR11G05130 transcript:LPERR11G05130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGAAATASLSASPSILLVSSATPAPYSRLFPTISKRAKPPPARARARFSRSAAAAASAPVAAAIAEKGDSWRDLCSLNAWVVRDYRRLVDAVGALEQRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVRAEVEAMFHMGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLSHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQKSERNECPFQKAKSTIADSVEMSHTIGMEKLQDRLAEESETYPLCDTIGLAYLTVLRDCEIHCSAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFNIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVVDDVILGNVDPQKPPNTWKLANLLNEFVNLGGSLLNESFKEIQEEDLLLSLEEFHGCGTVNVDNFTLPNMPVSPNSFRGIRKRTSSMMRWLAICVDDATKKGRYTYIVNMLRKYFGDFLIATYLYAVQESRYDDAYIRGIEREILLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >LPERR11G05130.5 pep chromosome:Lperr_V1.4:11:3878766:3889331:1 gene:LPERR11G05130 transcript:LPERR11G05130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGAAATASLSASPSILLVSSATPAPYSRLFPTISKRAKPPPARARARFSRSAAAAASAPVAAAIAEKGDSWRDLCSLNAWVVRDYRRLVDAVGALEQRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVRAEVEAMFHMGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLSHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIEMSHTIGMEKLQDRLAEESETYPLCDTIGLAYLTVLRDCEIHCSAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFNIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVVDDVILGNVDPQKPPNTWKLANLLNEFVNLGGSLLNESFKEIQEEDLLLSLEEFHGCGTVNVDNFTLPNMPVSPNSFRGIRKRTSSMMRWLAICVDDATKKGRYTYIVNMLRKYFGDFLIATYLYAVQESRYDDAYIRGIEREILLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >LPERR11G05140.1 pep chromosome:Lperr_V1.4:11:3895285:3900014:1 gene:LPERR11G05140 transcript:LPERR11G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARGGEEENSMFETSHVLGALLASSPLLARAWDRCVAAADAAGGGGGGASPGFVHDGGEEGGSVYVAFSGVQAALSAAAAGCGADLFNPVGLRGDAAAARLFAPLVAAEPEDPAGGEPVAVQALALRGFLRLCGSPEFQMLLNQIRGKAVVFTGHSLGGAIAALVALHYLCTSSSSSTFAPAPPVLCVTFGSPLLGNDTLNRAILRERWAGNFCHVVSQHDVVPRLLFCPLNAVPAHIIIGMQLHQLPVRARRAAGVVATVTACMADTNQEALRQLIQEHAGAAAIEQKLATQETQGRSPYRPFGAYVLCSPGGAACVDNPTAAVQMLYATFAARCAPETGAASPEAAHSCYGELVLSIPQHLLLKRRLGATAITPAVSNYDAGISLALEASGITVEVMEAAPARQWLKTSKRAGQSPSLNCASLARRLGRITPCRAQIEWYKAAFDTNTGYYDAFKQRLSPKKFAKANMYRIKLGQFWDGVLSMLDTSQLPHDFHRRAKWVNAAHFYQLLVEPLDIADYHRSNLHRTRGSYIIHGRERRYELFDKWWKQRGFTGIATGDTSASTAARRSKFAGLTQDPCFWARVEEAREQTESAKSERNATVLAMMLECLHKFECHSSELVESKDVSIDVVAPQSSYSLWVKEWNELKLREEVRTILFQFQS >LPERR11G05150.1 pep chromosome:Lperr_V1.4:11:3908824:3910260:1 gene:LPERR11G05150 transcript:LPERR11G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAAMSVSSTEAGMNGGGEWRDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWAAGPAVMLLFALVIYYTSTLLADCYRSGDQSTGKRNYTYTDAVRSHLGGAKVNLCGAIQYANLFGVAIGYTIASSISMRAIRRADCFHANGQADPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSTIGLSLGIAQTVTNGGFRGSLTGISVGNGVTSMQKIWRSLQAFGDIAFAYSYSIILIEIQDTIRAPPPPSSEAKVMRKATAVSVATTTVFYMLCGCMGYAAFGDESPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWASATWPDSGFVAGGELLHVGPFHVTAFRLTWRTAFVCATTVVAMLLPFFGDVVGLLGAISFWPLTVYFPVEMYIVQRQVLRGSARWICLKVLSAACLVVSIAAAAGSIADVADALKVYRPFSG >LPERR11G05160.1 pep chromosome:Lperr_V1.4:11:3915333:3915650:-1 gene:LPERR11G05160 transcript:LPERR11G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQEGDDRPAGGSACVWLVTALLLFSVLAGGGCLAGYVALPPADAPHWLPAVGLALVALPWAFWVVMCAYRCAGRRAAERQAMSSAAVAPAAGGGSLCSRAGS >LPERR11G05170.1 pep chromosome:Lperr_V1.4:11:3916776:3917597:-1 gene:LPERR11G05170 transcript:LPERR11G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRVEVDTARPFRSVKEAVAVFGERILVADARPTNPNPITSIDIPISRHEASSSASATTTAADSSPDAMEEDEMDDHPAPAAVVPMMYSAPSSPQSSPSPQSPNNGEGETETVTVMRSVKKLEAEVAETRQEVAQLRKRSSEMEMAVASLNAQLHRGLSKIADMESPAPAPARRSVGGETDVTVATFRSERWGGGEKAMSCEYLPSFSHALSLGEVDDGELVGDRRRKARRKVKPIVPLIGDIIFSKRKSTKEKGAGDGYYSSGDLYSVLG >LPERR11G05180.1 pep chromosome:Lperr_V1.4:11:3937263:3938763:-1 gene:LPERR11G05180 transcript:LPERR11G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFYNALMEVYLVNPTNRLSILIKATSRGGGETPTISIVIILVQSTSSCFILAWNFNLDILSTDNSFCLVIMNCVLL >LPERR11G05190.1 pep chromosome:Lperr_V1.4:11:3939130:3944205:1 gene:LPERR11G05190 transcript:LPERR11G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIQLARCFSQLHGSASSVTPADGDSHHLEMMCPSLYLAAYKGRVEEVMALLLQPRHSDAQANRQLNGIVQHKQCDLFEMTVERNTVLHIAAEQGHDELIQELYHRFIKGKTLLSSRNSALDTPLHCAARMGHLNAVNALLNLARDCGENTLGNQNRAGDTSLHLAARHGHGTVVEALVSAQALASELNKAGMSALYLAVMSSSVIAVKSIVTTCVDASSVGHSSQNALHAAVFVSLEMVQLLLEWKPALAGQADCNSSTPLHFAASNGNRSIVRAILRTVPSSTIYMKDSDGRSALHVAARMDHACVVKEIIKACPDAAEQLDGNDGTFLHAAAQEKQSSVMSLVVKNPMLGGLLNAQDGCGNTPLHLAVLAGAPSVVGTLLRKGKVRTNVLNNAGHTPLDLASKSTGLFTMISLVLSLVSFGAQARPQRLDHLKPWSGRDIAHGVEKTSDSLAVVAVLIATVAFAAGFNMPGGYSEDNGSANLEGKITFKFFMVLDAFAIAASVVALILLVHSKASRSDGSWKSFLAALHCIWISLITLLLALYSACKAVSTTKIVVSIVYCVIYVGIQFLIFWISTRIKAAATLSSFWRFRHHRRAHAVKRQYPFVGTSLTNMRIFGVINIFLTLGLSLISCVGDKIGEQDP >LPERR11G05200.1 pep chromosome:Lperr_V1.4:11:3957805:3960580:1 gene:LPERR11G05200 transcript:LPERR11G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSISRCFSVVPHPSRHGERHGARRRRLLHAAPPRRVTPQPRRLRPAPPPATPPASETLPPAPPRVPDLRTPPPPGSSSSSSAARLLLLLLLRDFFPEIDSVGSVSLGLPVPAPSSPGATPSTSPLSGLSVLAPLSTGASGCRRAVRPSAACCPCAPPSSAQNFGGWPAVTGLTLAARLIDGKSLTSWNRK >LPERR11G05210.1 pep chromosome:Lperr_V1.4:11:3967783:3969810:1 gene:LPERR11G05210 transcript:LPERR11G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSGGGLLYPITSRILALTTQGRWAVLVNVPFLIRTVVVYIVFGGLLLFYFVGPYICIVLSSWRLGHRDYAAAINGEASSSLANLTPALDFFYVLVLCQGALYFCLALLINSESWTVTSFCRRNLFRPNWVHAYVRDIREKCARDPTLADERSLFKFTVALLESEEQRLYCTGVMLLNILIKYEAQDLRSAILRSKTKVQKLLETLNASAGCSTETRVVAARLVTDLAASIQLADFPGAIHYVSSLLQPPHYKYGLSVSTKKKVDHIINQLRIRFADWIPIKSLKQRARRNLSLNEHNDIVHRLTGMPDADNNMRCTTLSAKILENLCIHCNEQDKEPLLQKVLAEILKRPTMKASEITTSAPGGNVEICKNSSQGDDVEKQCPNQNGQKTQGKASEQQANDSSKIKDQQAKEDEANFKELQESLLSLTLVIRDKLFSAERFAPMIQEIGPEEISEEAQGYRRQQLSGDAYISEDRQAVLSDCCFSYAP >LPERR11G05220.1 pep chromosome:Lperr_V1.4:11:3977258:3979138:1 gene:LPERR11G05220 transcript:LPERR11G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISCHRAPANISSLCILVLFLLLRDSMAVAIQPSSVQLNRAQENIMLDILNSVSSAKNWNTTSNPCQWSGVHCSSAASSAFVTRLSFSDYGLSNATILASICHLNTLRSLDLSRNFFTDLPSQFSPCPMKSELQVLDLSSNMLSGQLGDFFTGFHKLEVLDLSFNSLHGNISSQVSVFPRLRSLNLSSNGFEGHVPTSMVPSLEELVFSGNNFSGRIPVGLFRYENLTLIDLSRNNLIGDVPDGFLSFPKLRILLLSDNNLTGKIPHSLLNVTTLIRFAGSQNKFFGSIPQGISKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANMLEGFIPGNFSRSLHSIRLRGNLLSGNIPESISDAINLVNLLLDDNNLVGSIPWQLSTCKKLVHVDLSSNQLQGNIPSELGNLEQLGALKLQWNNLSGDIPSSFSDMASLEILYLSWNKLTGELPFKATNRSLKICYLGLHSNKLNGVIPSSISMLQSLITLDLGKNELTGTIPTSIGSILNLQFIDLSENYLSGEVPSSIASLKRLTNLFLSENNLSGTFPEIPKWVLVNVTGNPGLIESMEDNKTSENMKGSQNDFRSTAWITAVSFILGFILSFYWAGLRDKNDGKVGDSTL >LPERR11G05230.1 pep chromosome:Lperr_V1.4:11:3983735:3989565:-1 gene:LPERR11G05230 transcript:LPERR11G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTAADRRVHVGDDDAAVYRCGATLTGPRRIKPPPLKIPTSFTFFYLFPPSPDCSPSPPPPPLPHASGHGGGGGDGDGVNRFGGSVTREAVAMAAPAASAFALRGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAETPCRIAIGWEKDGNDLRLEAGKFYFYLQKTDKVIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPPERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTEGTGSSLSTSRSKLGSQNRFAIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPLRYKNLNNV >LPERR11G05230.2 pep chromosome:Lperr_V1.4:11:3983735:3989565:-1 gene:LPERR11G05230 transcript:LPERR11G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTAADRRVHVGDDDAAVYRCGATLTGPRRIKPPPLKIPTSFTFFYLFPPSPDCSPSPPPPPLPHASGHGGGGGDGDGVNRFGGSVTREAVAMAAPAASAFALRGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAVIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPPERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTEGTGSSLSTSRSKLGSQNRFAIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPLRYKNLNNV >LPERR11G05240.1 pep chromosome:Lperr_V1.4:11:3996716:3997236:-1 gene:LPERR11G05240 transcript:LPERR11G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPASRSQRWSLVGKTAIVTDGTKCIGVGTLHPHKQFIKQVVRMRAIVEELARFGVRMHTCSRNDADLYAADGDGEITGSVCDVSARGDREALVAAARKVIDGRLDILVNNVGQMLFATAANTSPTDYARIMATNLESSFHLSQLLAPK >LPERR11G05250.1 pep chromosome:Lperr_V1.4:11:4002286:4002747:1 gene:LPERR11G05250 transcript:LPERR11G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTFFPGIPGAQCKIPAAPLPFPRRDHHLGLVGSPPPWLLAAATAVTEDDDGGDGAEKMDLLWEDFNEELARTPPVCPLSPLNMKAKESWLIEAVDDDVDVAGGGSGEQRRRMYSGSVVRRRRRWSLLLMLRLLKSVFLAKNKRNPRTAPI >LPERR11G05260.1 pep chromosome:Lperr_V1.4:11:4004173:4009581:-1 gene:LPERR11G05260 transcript:LPERR11G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLCLCVASRGSQHDRSRLREGERRSVKRSKNQEGERRGVKRSRRSRSEKEEQRNDALGMSFFRVILTEQSMEILRIPPPFNRYLQNQPTGMVSLVDRNDNTWIVELIYKSGEFFFVHGWKEFIRDNSIETGQFVVFNYAKQSEFSVTVFKLSGIENTLPNSARVSKKVIIKIESDDVDTDNAATNEERMAPPLKESNINTGKRIIDADSLMEDRAPLKKSSDANVAGSSKRKRGASVGKSKASPTSHNSTKGSSCDMSDEDSKLPKAQPILMQFQNGDIARRGLSKGQRQLAVISQRRPVTEGEKDHALQRAKDFKSKNPFAVQIMMESYVYVGFFMNIPCDFVREYLPRTSKMLTLWDPQGKSWKVNYVYYSDRSVGSFSGGWGKFAIGNNLEKFDVCVFELIQKDNIKVHIYRVVPEITPHKLRSDRIPLLLAMI >LPERR11G05260.2 pep chromosome:Lperr_V1.4:11:4004173:4009342:-1 gene:LPERR11G05260 transcript:LPERR11G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRKQEGERRSVKRSRKQEGERRSVKRSKNQEGERRGVKRSRRSRSEKEEQRNDALGMSFFRVILTEQSMEILRIPPPFNRYLQNQPTGMVSLVDRNDNTWIVELIYKSGEFFFVHGWKEFIRDNSIETGQFVVFNYAKQSEFSVTVFKLSGIENTLPNSARVSKKVIIKIESDDVDTDNAATNEERMAPPLKESNINTGKRIIDADSLMEDRAPLKKSSDANVAGSSKRKRGASVGKSKASPTSHNSTKGSSCDMSDEDSKLPKAQPILMQFQNGDIARRGLSKGQRQLAVISQRRPVTEGEKDHALQRAKDFKSKNPFAVQIMMESYVYVGFFMNIPCDFVREYLPRTSKMLTLWDPQGKSWKVNYVYYSDRSVGSFSGGWGKFAIGNNLEKFDVCVFELIQKDNIKVHIYRVVPEITPHKLRSDRIPLLLAMI >LPERR11G05270.1 pep chromosome:Lperr_V1.4:11:4015193:4017432:-1 gene:LPERR11G05270 transcript:LPERR11G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAAHADSVLLCLHYKKVHMMTDYFVYNAGDAPSLLLLPTYWVDTAVTDDDDDDEEKGNSCNSLKANCLSESTTGLLRRGGEDDDDLIVANLTVNVVVDDDDDDVLKAADLLVLRSGEWVAMSIPISHEDGKAEVSTWETDMAVPVGDRQLCWVDLYRGIILCDPFDQNPKLHYVSLPVEAPIGKFDEPYDNRGDNPRNCLMPGRTVCVTDGGVTVKFVEIYARCCCGRLGVTNCSHSTGAFVIYSWTLVMDTMTWVMDAMVDATELWSLDAYAGLPHTIPQYPVVSMDDPHHIFLMVHEPYWQKRSYLEETLWKIMVDTRSKELLPVRSYDQSMYWWHWKPYPGGTYCPSKICEYFSSNRSTCSTVGTTMPVVKADKLAQSNVVVSDSSQYCSKQRKVLDQAVASPEEILAALEEIPELGCDDLPKAYSILTGDNGRRYRSLLIAIPCKHTVQSSSKHDGYLESFYDPTNNTTRYQYLKMIKHNPTVYFKVCCYFSQLLHKTVHEGMGLFFVLLRRSSPESTIDHVFRVWVQRGRWRAGFGLRGASGRQRTARWWRHHGVLGEGGGGRG >LPERR11G05280.1 pep chromosome:Lperr_V1.4:11:4021330:4026276:1 gene:LPERR11G05280 transcript:LPERR11G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGGFFDSRVGGGVAHHHALPEYHRPHPSKPSRIRRPVKPSRRRSPAAAAAIASAILLAGVFLLSRRLSRQPAEISQDLGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGTSGNNAGDAGVSDKVVSSDSVADEKGVISDAGSAEKEATEVAESGKGGTLYNEGGRKELEQYEAAAMGAAGAGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSSHESTENKENIAHDSTDNKESIVLERHTETSGGISDAVTVNQKKVSGTGEKKHSSKKKPKHKKSGTNKAYSRLRYYGWLSNQLDKHKSGSTCEMKFLNSTAQLVEPAKNEKFASFNLEYVEVEERPLESEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLQTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFSPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFCLNMFKDCERRSIAKLFHHRSEERHSPQLTR >LPERR11G05280.2 pep chromosome:Lperr_V1.4:11:4021330:4026276:1 gene:LPERR11G05280 transcript:LPERR11G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGGFFDSRVGGGVAHHHALPEYHRPHPSKPSRIRRPVKPSRRRSPAAAAAIASAILLAGVFLLSRRLSRQPAEISQDLGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGTSGNNAGDAGVSDKVVSSDSVADEKGVISDAGSAEKEATEVAESGKGGTLYNEGGRKELEQYEAAAMGAAGAGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSSHESTENKENIAHDSTDNKESIVLERHTETSGGISDAVTVNQKKVSGTGEKKHSSKKKPKHKKSGSTCEMKFLNSTAQLVEPAKNEKFASFNLEYVEVEERPLESEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLQTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFSPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFCLNMFKDCERRSIAKLFHHRSEERHSPQLTR >LPERR11G05290.1 pep chromosome:Lperr_V1.4:11:4026672:4027787:-1 gene:LPERR11G05290 transcript:LPERR11G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRPGGVRRRSCQALGGDTAAFCASLVDGLAHLESTLHPRDDVAGDVGAGGGAVSMRWCADAMRMVKRMQQELLAIFKKADVPVAGAVDSSYGGDDGNGGCWFEHYMQETAALLDFCNAFKSAVSRLHRYCMVVDLAAGASARGVIVPLAADNVAGDGAVIRDMMSDVMAAVSDAERLGRRIMSGGVAGAGDDDDDAGGMVVVMLVAKITMAVVSMFVLRALTSPANSLVIVDGGGGRCTLAGVPVPELQPWRESLTVISDRFPRRPSVAEHERVAMVVKSMMVNAKLEQGGEEKARNNNGKQVKDEDGEEEEDDEMVRQHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKAFTMG >LPERR11G05300.1 pep chromosome:Lperr_V1.4:11:4034602:4039252:-1 gene:LPERR11G05300 transcript:LPERR11G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCLIGMAREEEHAGTTMFRLVEVLERQNGRGETALHDAVRLGDERLVDDLLSVHPRLARLADGGGTSPLYLAVSMGRYRIAEALHRGGDDGLSYAGPAGQTALHAAVLRGAEMTEKILEWNEGLSREADASGSTALHFAASVEGPENDIENSSLLRCLRLPRSCHYRRTSAQVLMETDPSLACRPDSNGDYPIHVAASVGNLKLVALLLDKCPECAGLVDARGRTFLHVAVDRRMEQIVEFATDDGRIGFLGAILNVQDDDGNTALHLAVLTGVLKVFWCLMRNRKVCLDLANNDGLTPADLSRSTIPAGLFYYRMNARTWILWCLVVANALGGNIRRDHFQQQYVPKLDESAESKKMTDSTQILGVGSVLVATVAFAVAFSPPGGYAGAGAPALAGRYAFDAFMYAVGLAFTCSTLATFSLIYAGAAAVEWKIRHKYFKHSVWWMRKAMRSLLVAFALGVYLVLAPVSRATAVGVGILTAGTLLFRNRELVRMLISAYVLRKRMGISVMARIGLPIAFDMLVSNLAYLVIFGGPFFPPLCVLIFVWRLTPVEVLSYVHRKRM >LPERR11G05310.1 pep chromosome:Lperr_V1.4:11:4040881:4044203:-1 gene:LPERR11G05310 transcript:LPERR11G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKSKVWISLLLALALVAAARAAEEAPAVGGDAAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDASNLIDDKKVYIVGIFPEFSGTEFTNFMELAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDIEASQGAFQYFGLKEDQVPLILVQESDSKKFLKAHVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSDEDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMLPYEGGRTADEIVDYIKKNKETAGQAVEKADSASADEYGRHGCL >LPERR11G05320.1 pep chromosome:Lperr_V1.4:11:4048773:4050343:-1 gene:LPERR11G05320 transcript:LPERR11G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLALIDLTSLSAISPSPPRDLASPPPAPISVPAASSGAGRPGVPLLPPPVVRRRRRIVILLMSGNEDKSQAAADRIKAQALSAAKGMSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQSSSGGAYTQCQKCFQPGHWTYECKNERVYMSRPSRSQQLKNPKLKKMAAPESYHFQNPDLEKERENERKLMKEKLKKEKTEKSKRKSKRKYRSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDLEDKKRRPKRKQQKRTRHIRETSSSASSDSESDSASASDSDSDDKGSRKKSRKRSDRR >LPERR11G05330.1 pep chromosome:Lperr_V1.4:11:4053828:4056280:1 gene:LPERR11G05330 transcript:LPERR11G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNPAPTPVQPPAAQAQGQAQPPPSAAELLLDVATTPQGDSALHVVAASGDSEDFLRCARVIYDKAKGLLDRPNAKGDTPLHCAARAGNAEMVGCLIGMAREEEHAGTTMFRLVEVLERQNGRGETALHDAVRLGDERLVDDLLSVHPRLARLADAGGTSPLYLAVLLGHGRIAEALHRGGDEGLSYAGPAGQTALHAAVLQGAKMTEKILQWNRDLLCQADASGSTALHFAASAEGPEIDIENSSLLRRLHLRWPCHGHRTPTQVLFEADPSLACLSDSNGVYPIHVATSMGNLKLVALLLRSCPECAGLCDARGRTFLHIAVDRRRNDIVKFTTDDRRRFEIAAILNMQDDDGNTALHLAVVSGVLKLFCYLLRNPKVRLDLPNNNGLTLADLSRSTIPPGLYYKTVNHFNFPVKNSSKARGGNIRRDHFHELYVAKLDESAESKKMTESNQIMGIGSVLVATVAFAVAFSPPGGYVPGVDAAGKVVGAPALAGRYAFDAFMYAVAVAFTCSMLATFSLIYAGTAAVEWKIRHKYFKNSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAIGVCALTAGTMLFRNRELWRMMVCAYILKKRMGFMVVLKIGVPIAVVLLRSSLVYFVIFGAPLWTPLCVLLFVGTAVHNDLLRLLRHIYIRNI >LPERR11G05340.1 pep chromosome:Lperr_V1.4:11:4062706:4068588:-1 gene:LPERR11G05340 transcript:LPERR11G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDAIRFARIHPSLLRFLPFKARSGPPDRRKEADLVLLGDESWEEAAQIRVFGSGMATAAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDIVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSAPSAPALGLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVSNTGDESLLFQALGLNDELMRVLQRHDDIAKGLPPGTGAPVPPAANVNRGTAPPRPTGASFSPLLNVHHEDDEPEDESARDGTASQGNLPSVPKSERPYPSPLLPPPPASKRPVFTETSNVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKIETNPPQRYDNRSESVSDDFINPTAVPSFSMPSRPTSESNRPSVNRQESLPDDDFINPTALPGFSSSSNANKYGDSGEDLPKAPWEAQAPTSLPPPPARYGQRQQYFEQQYGLPSGNNGGGYNGLVSQTESLSLNQRNTENEKGVSAPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >LPERR11G05350.1 pep chromosome:Lperr_V1.4:11:4076317:4077156:-1 gene:LPERR11G05350 transcript:LPERR11G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQRRSEFSFTSPPSNPTAAARGGTEEEDEESRWLQASRVASPESNSGTPSPRFWAQHQYGHHRLYPASTGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEYSPSLSPPPPPPPPHPLPPPPPTPPPPTAAAAVGGGVEEEKESKMKKKQGKLGRKKNQRTRSRSLERSVSMDTGLLIKLFLPLTVGGGGGKKKVSPKPPKKTKTKKQGKKEAKEEEWSWNKNEFSEAGSSSRTSSTNSTNSSSSNGGGGGGGHGSSVSNTKTPTRSRSR >LPERR11G05360.1 pep chromosome:Lperr_V1.4:11:4086153:4096865:1 gene:LPERR11G05360 transcript:LPERR11G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQARHKIGAHHLFDEMRQEGANVHGGHENLKKACLEGGDDRISALPDEVLQHVMSFLPARKAVQTCVLGRRWRHLWKSTPSLRIVDRWYLDKDGVRKLNMFLNHLVLLRDHSAPVDTCEIDLGSFERNGDEPQVELLVRYALLCQARTLRVELHANNDYFDLYELPLISQHLTRLELSYVTLLGSFLDFSSCLSLEFLWIMHCYIHGEKILSQSLKELTIFDCTFFDHVRVRISVPSLVRLKISDSGGKTPVLDNMPSLVKAFIRLCDFDDLSGCDNCTANGVDSGDSVLLNAISKAKSLELVAEPGAFIFKRDLMQCPTFSNLKALLLNEWCVAIDFHSLLRFLQLTPVLEMLTLQLIQTHHQWAVTGWNYNPSKQPFISRKLKVVEIKCEKTQLSLPAPSIGGGGDAAAVLGSAADGEPGGARHLFDGMATRRDAHRGHGLQEESGGEDFLSALPDELVHMVLSFLPAHEAVSTCKLSRRWRNLWRSAPVLRIRDAQRWDCGHSFNKFVNSLLRLRDPLHLDELEFQTYLYRPTEMPIPVFEYQQQRDQEVKYADLWIRHALKCNVRVLRVLVQSDSGPRLKINMPLVSEHLRTLQLLSVQLDNSVLDFSSCLALEDLEMTDSSNCPALEEPGMNYYMTFSNKMFSQSLKRLCITQCTSTDFTEDLSSLPALEDLEMDSCTISTKKMVSQSLKNLCITQCCFNLPTHISAPGLISLRLSDNQDWTPLVEGIPSLVTASVKLGKWSVGCSQECGFLLGTCAGCDGDHDGTFKCELLRGLSNAVNLELAAEAGMCIFKQDLTWCPIFSKLKTLLLDGWVVGHDFLAVVCFLQHTPVLGKLTLQLCEGHKHMVEIQESSSSVGHLVQFEHLKTVDVRCLRNDEWVHKILNILNTYGIASDKFSIHIQDAV >LPERR11G05370.1 pep chromosome:Lperr_V1.4:11:4108545:4110311:1 gene:LPERR11G05370 transcript:LPERR11G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEMGMDAYRFSISWSRILPRGTVQGGINLDGIRYYRNLIDTLKENGIETYVTIFHWDTPQALQDMYGGFLDRRIVDHYRDFAKVCFEYFGDKPYIFSCFSFGTGTLAPGRCSPEHDCAVPSGNSLTEPYIVGHHLLLAHAEAVHHYKKYFQGNDGHIGLALVSTGYVPYDSSSFLDKQAKERCIDYNLGWFLEPVFRGDYPFS >LPERR11G05380.1 pep chromosome:Lperr_V1.4:11:4110023:4119322:-1 gene:LPERR11G05380 transcript:LPERR11G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLEVLNWKCWFQWLVTVFNFHKILRFLWKIPTKGIFKNTTAIKIDGNLGLCGGAPELHLPECPITTSDKTKHKLSAILKVVISLAIMVTLAIVILILYCHSNLWVLHIQFNGKGRYSSVYQGQLFQDINLVAIKVFTLETRGANKSFIVECNALRNVRHRNIVPILTACSSTDSSGNDFKALVYEFMPRGDLHKLLYSTPHDERSSDLCYISLAQRLSIAVNVSDALAYLHHNHQGTIIHCDLKPSNIILDDNMTAHVGDFGLTRFITDSRTSFGQSDSTSSFAINGTIGYVAPDVYSFRVVLLEIFIRRRPTDDIFKDGLSIAKYTEISIPDKLLQIVDPQLVQELGFSQEDPVTANENAAHCLLSVLNIELCCTKSSLSERVSMQEKANKCTGRRCTTPSISRIRPASKTERHRGASSGSSRWEWSGTHQEIEGAAGVELRRATGGGGGNEMWQPAARGRCVPRAGPVEQFSGPRVEKQEQAKPRCRARADGSGGLPFYMFLHEGADGDGKKVDPRCPNTGNPFHVCTEHCQSKKAEVSRSSETSSSSLTCRRRVSSSSARSASSAHARGISRVWSFGGRRNGDVVEEEGAAGVASPRDGGFGASQANVLSLLTRRLRNSDRHLFDVMPQPRGGVDRLDALPDEAQQHVLSFLPLPEAVRASALARRWRHLWKSMPVLRITGEGRVLDRRGVRRLNRFVNHLLLLRDRSARLDACEIRLGTFRSQDDPQINLWIRHALLCQARVVKVHLSIDNNSFELEDLAFVSQHLTRLELCNVVLKNCFLNFSSCPALKELVMRSCHIEAGSILSESLKRLIAVDCVFPSYPRTRISLPSLVMLELTEPWGYTPVLENMPSLLTACIKLTDCDDHCGKEEFGGSCDSYVCDNCGANGGSNGDSVLLDGLSEAKSLELIAIPRVFIFKRDLMWCPTFGKLKTLLLNEWCVAVDLVVLIDFLQYTPVLEKLTLQLCEAPINWMEREGSYNSSTENLFTSKQLKVVEVKFAKFDLRVHQIIMFFSTYGLNIEQMYIQRSVIDSEEPADDFGAGPSRVQTTPISQSENAQLKSTIDQMLALQQELLAQQQQQQQQQIISQLQRRQQILCDITTQLLKYSASSPRPPQADLYPAVVNSLCMS >LPERR11G05380.2 pep chromosome:Lperr_V1.4:11:4110023:4119322:-1 gene:LPERR11G05380 transcript:LPERR11G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLEVLNWKCWFQWLVTVFNFHKILRFLWKIPTKGIFKNTTAIKIDGNLGLCGGAPELHLPECPITTSDKTKHKLSAILKVVISLAIMVTLAIVILILYCHSNLWVLHIQFNGKGRYSSVYQGQLFQDINLVAIKVFTLETRGANKSFIVECNALRNVRHRNIVPILTACSSTDSSGNDFKALVYEFMPRGDLHKLLYSTPHDERSSDLCYISLAQRLSIAVNVSDALAYLHHNHQGTIIHCDLKPSNIILDDNMTAHVGDFGLTRFITDSRTSFGQSDSTSSFAINGTIGYVAPDVYSFRVVLLEIFIRRRPTDDIFKDGLSIAKYTEISIPDKLLQIVDPQLVQELGFSQEDPVTANENAAHCLLSVLNIELCCTKSSLSERVSMQEKANKCTGRRCTTPSISRIRPASKTERHRGASSGSSRWEWSGTHQEIEGAAGVELRRATGGGGGNEMWQPAARVHEGADGDGKKVDPRCPNTGNPFHVCTEHCQSKKAEVSRSSETSSSSLTCRRRVSSSSARSASSAHARGISRVWSFGGRRNGDVVEEEGAAGVASPRDGGFGASQANVLSLLTRRLRNSDRHLFDVMPQPRGGVDRLDALPDEAQQHVLSFLPLPEAVRASALARRWRHLWKSMPVLRITGEGRVLDRRGVRRLNRFVNHLLLLRDRSARLDACEIRLGTFRSQDDPQINLWIRHALLCQARVVKVHLSIDNNSFELEDLAFVSQHLTRLELCNVVLKNCFLNFSSCPALKELVMRSCHIEAGSILSESLKRLIAVDCVFPSYPRTRISLPSLVMLELTEPWGYTPVLENMPSLLTACIKLTDCDDHCGKEEFGGSCDSYVCDNCGANGGSNGDSVLLDGLSEAKSLELIAIPRVFIFKRDLMWCPTFGKLKTLLLNEWCVAVDLVVLIDFLQYTPVLEKLTLQLCEAPINWMEREGSYNSSTENLFTSKQLKVVEVKFAKFDLRVHQIIMFFSTYGLNIEQMYIQRSVIDSEDDFGAGPSRVQTTPISQSENAQLKSTIDQMLALQQELLAQQQQQQQQQIISQLQRRQQILCDITTQLLKYSASSPRPPQADLYPAVVNSLCMS >LPERR11G05380.3 pep chromosome:Lperr_V1.4:11:4110023:4119322:-1 gene:LPERR11G05380 transcript:LPERR11G05380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLEVLNWKCWFQWLVTVFNFHKILRFLWKIPTKGIFKNTTAIKIDGNLGLCGGAPELHLPECPITTSDKTKHKLSAILKVVISLAIMVTLAIVILILYCHSNLWVLHIQFNGKGRYSSVYQGQLFQDINLVAIKVFTLETRGANKSFIVECNALRNVRHRNIVPILTACSSTDSSGNDFKALVYEFMPRGDLHKLLYSTPHDERSSDLCYISLAQRLSIAVNVSDALAYLHHNHQGTIIHCDLKPSNIILDDNMTAHVGDFGLTRFITDSRTSFGQSDSTSSFAINGTIGYVAPDVYSFRVVLLEIFIRRRPTDDIFKDGLSIAKYTEISIPDKLLQIVDPQLVQELGFSQEDPVTANENAAHCLLSVLNIELCCTKSSLSERVSMQEKANKCTGRRCTTPSISRIRPASKTERHRGASSGSSRWEWSGTHQEIEGAAGVELRRATGGGGGNEMWQPAARGRCVPRAGPVEQFSGPRVEKQEQAKPRCRARADGSGGLPFYMFLHEGADGDGKKVDPRCPNTGNPFHVCTEHCQSKKAEVSRSSETSSSSLTCRRRVSSSSARSASSAHARGISRVWSFGGRRNGDVVEEEGAAGVASPRDGGFGVSDVFSMFFAPFPCSSNSDRHLFDVMPQPRGGVDRLDALPDEAQQHVLSFLPLPEAVRASALARRWRHLWKSMPVLRITGEGRVLDRRGVRRLNRFVNHLLLLRDRSARLDACEIRLGTFRSQDDPQINLWIRHALLCQARVVKVHLSIDNNSFELEDLAFVSQHLTRLELCNVVLKNCFLNFSSCPALKELVMRSCHIEAGSILSESLKRLIAVDCVFPSYPRTRISLPSLVMLELTEPWGYTPVLENMPSLLTACIKLTDCDDHCGKEEFGGSCDSYVCDNCGANGGSNGDSVLLDGLSEAKSLELIAIPRVFIFKRDLMWCPTFGKLKTLLLNEWCVAVDLVVLIDFLQYTPVLEKLTLQLCEAPINWMEREGSYNSSTENLFTSKQLKVVEVKFAKFDLRVHQIIMFFSTYGLNIEQMYIQRSVIDSEEPADDFGAGPSRVQTTPISQSENAQLKSTIDQMLALQQELLAQQQQQQQQQIISQLQRRQQILCDITTQLLKYSASSPRPPQADLYPAVVNSLCMS >LPERR11G05380.4 pep chromosome:Lperr_V1.4:11:4110023:4119322:-1 gene:LPERR11G05380 transcript:LPERR11G05380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLEVLNWKCWFQWLVTVFNFHKILRFLWKIPTKGIFKNTTAIKIDGNLGLCGGAPELHLPECPITTSDKTKHKLSAILKVVISLAIMVTLAIVILILYCHSNLWVLHIQFNGKGRYSSVYQGQLFQDINLVAIKVFTLETRGANKSFIVECNALRNVRHRNIVPILTACSSTDSSGNDFKALVYEFMPRGDLHKLLYSTPHDERSSDLCYISLAQRLSIAVNVSDALAYLHHNHQGTIIHCDLKPSNIILDDNMTAHVGDFGLTRFITDSRTSFGQSDSTSSFAINGTIGYVAPDVYSFRVVLLEIFIRRRPTDDIFKDGLSIAKYTEISIPDKLLQIVDPQLVQELGFSQEDPVTANENAAHCLLSVLNIELCCTKSSLSERVSMQEKANKCTGRRCTTPSISRIRPASKTERHRGASSGSSRWEWSGTHQEIEGAAGVELRRATGGGGGNEMWQPAARVHEGADGDGKKVDPRCPNTGNPFHVCTEHCQSKKAEVSRSSETSSSSLTCRRRVSSSSARSASSAHARGISRVWSFGGRRNGDVVEEEGAAGVASPRDGGFGVSDVFSMFFAPFPCSSNSDRHLFDVMPQPRGGVDRLDALPDEAQQHVLSFLPLPEAVRASALARRWRHLWKSMPVLRITGEGRVLDRRGVRRLNRFVNHLLLLRDRSARLDACEIRLGTFRSQDDPQINLWIRHALLCQARVVKVHLSIDNNSFELEDLAFVSQHLTRLELCNVVLKNCFLNFSSCPALKELVMRSCHIEAGSILSESLKRLIAVDCVFPSYPRTRISLPSLVMLELTEPWGYTPVLENMPSLLTACIKLTDCDDHCGKEEFGGSCDSYVCDNCGANGGSNGDSVLLDGLSEAKSLELIAIPRVFIFKRDLMWCPTFGKLKTLLLNEWCVAVDLVVLIDFLQYTPVLEKLTLQLCEAPINWMEREGSYNSSTENLFTSKQLKVVEVKFAKFDLRVHQIIMFFSTYGLNIEQMYIQRSVIDSEEPADDFGAGPSRVQTTPISQSENAQLKSTIDQMLALQQELLAQQQQQQQQQIISQLQRRQQILCDITTQLLKYSASSPRPPQADLYPAVVNSLCMS >LPERR11G05390.1 pep chromosome:Lperr_V1.4:11:4110386:4112407:1 gene:LPERR11G05390 transcript:LPERR11G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNYYNSMFAKNIDISPEFSPKLNTDDAFVEITMYGLDGNLIGPNTGLDYLNSYPEGLKDLLMVIKDKYGNPPVYITENGMLGANVRGHFTWSLLDNFEWASGYGPRFGLIYVDLKDNFKRHMKKSAQWFAEFNRKSHKGAMDNNDLISDKDQLVEASEKKQNISKAGS >LPERR11G05400.1 pep chromosome:Lperr_V1.4:11:4113857:4114333:1 gene:LPERR11G05400 transcript:LPERR11G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHDTSLAQQCMANPQVDLWVVLAPERTEPYLARIQTRAAVTEEQQPSDAAPVQHPPLAGDAQDGHGLPQVAPAPRERAGTHGLRQRKEGQHVLLSLVWEGVKPINTASRLRHYVEQVAIAVAGTWKWCKEHGENIRDLELVQMIPRLIT >LPERR11G05410.1 pep chromosome:Lperr_V1.4:11:4116190:4119079:1 gene:LPERR11G05410 transcript:LPERR11G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIDGVVHRLPVHLFAFFNGVTQWCPKFTKLKTLLVNDWFMTSNMSELASLLEHAPLVEKLTLELSKLCLIDGLLCTINPWVQQFYLPQEPQNFMEIEDSDKPLKPAFPIKNLKIAEIKYQEGDERVKTIVKILNQNGVPLENINVLQIRRQSRLRYQQPICHWNN >LPERR11G05410.2 pep chromosome:Lperr_V1.4:11:4116190:4119223:1 gene:LPERR11G05410 transcript:LPERR11G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIDGVVHRLPVHLFAFFNGVTQWCPKFTKLKTLLVNDWFMTSNMSELASLLEHAPLVEKLTLELSKLCLIDGLLCTINPWVQQFYLPQEPQNFMEIEDSDKPLKPAFPIKNLKIAEIKYQEGDERVKTIVKILNQNGVPLENINVLQIRRQSRLF >LPERR11G05410.3 pep chromosome:Lperr_V1.4:11:4114643:4119223:1 gene:LPERR11G05410 transcript:LPERR11G05410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRIGKKEEEVLTEHVEGEAAGSVGPSAAAWLGLLLLLHLQLAVAARAPPVLHHHALLPSRSVSPPAAATGFEGGGRSQDGAEPQNFMEIEDSDKPLKPAFPIKNLKIAEIKYQEGDERVKTIVKILNQNGVPLENINVLQIRRQSRLF >LPERR11G05410.4 pep chromosome:Lperr_V1.4:11:4114643:4119079:1 gene:LPERR11G05410 transcript:LPERR11G05410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRIGKKEEEVLTEHVEGEAAGSVGPSAAAWLGLLLLLHLQLAVAARAPPVLHHHALLPSRSVSPPAAATGFEGGGRSQDGAEPQNFMEIEDSDKPLKPAFPIKNLKIAEIKYQEGDERVKTIVKILNQNGVPLENINVLQIRRQSRLRYQQPICHWNN >LPERR11G05420.1 pep chromosome:Lperr_V1.4:11:4121961:4125307:1 gene:LPERR11G05420 transcript:LPERR11G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRMIRKMSSSSSASSTGRVKIGDLPDDMLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLRIVPDEGFPTVGSLKKFVKNLLLLRDHALLDACVINFYCCEFDSYQYSPVEPEIGLWLRNAVSCQAQLIRVEVFVEDDPLCLPDVPLFSKHLRVLELCLVEIKDSLVDFSGCPALEDLKIRGVFINARMISSPSVKHLIISGCGFNWEFRTRISVPNLVSLQLEDFWGVTPSLEDMPLLVTASVSLSHECRDRCVNTEFGKCGDPECDDCDVNEVIDGNGCVLLQGLSGSTTLELKAESRVFMFRWDLKWCPKFSKLKTLLVNEWFMTSNMSGLACLLEHAPLVDRLTVELSKETQNFVEIEDSDRPLKQEFPFKSLKIVEIKCQEGDERLKTILKILSQNGAPMEKITVLQTKRKPRRFGFIPMQKWGLQMIDHSERAGESLQP >LPERR11G05430.1 pep chromosome:Lperr_V1.4:11:4123049:4138178:-1 gene:LPERR11G05430 transcript:LPERR11G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARSTTKKTNPSSASKPTELRIATARDQTATRELRLHRANRASHVFQPAPEEPTGHRPSHSSCPCREKDRGPSRASPPPSRSVAKARDPAIGRPNLATATLFDEMSTENNMVAEVGSKSDRISDLPDVLLQHVLCFLQTKQVVRTCVLARRLRHIWKSMPILHVTDAHMFMDHLQLLRDRSPLEACMFGFRVFTGDHISIVNEWIRSVTVHGKFLDFSSCPALEELEMTRCVISGDKISSSSLKRLSIWECEFKSNVRTRISAPSLSFLELIDVKGRTPFLEDMPVLVTAKVVLSGTKCRDYCHSMNHGYCTRCVLLKGLADATNLEFIADPEVFILKRDLRWCPTFTKLKTLSLISWFESAEYCTLIWILQHSPFLEKLTLQLSKKPDISVQSIAIYDFMEKPFASENLKTVEVKYQDIDQRVHKLIKSLNRHGIPLEKISIQQTNRSVNH >LPERR11G05440.1 pep chromosome:Lperr_V1.4:11:4139069:4142519:-1 gene:LPERR11G05440 transcript:LPERR11G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHESKKAAVEGGGGDRISALPDTLLQHVLSFLQTEEVVRTCVLARRWRHTWKSMPVLRVTRGDSVTDSHMFMDHLLLLRDRSPLEACMFKFSAYSDSIYDMPFVNLWIRYVLLCQVRVLTLHVSRLELIDLPVVSGILTTLELGRLSVYGRFLDFSSCLALEELKMTKCTISADKISSPSLKRLSICECNFESNARTRISVPSLSFLELIDAKGRAPFLEDMPVLVTAKVVMSGYNCKDCCHSINHGYCPISCPHCYGIDDDTVECVLLKGLADATNLEFIADHEVFIFKRDLRWCPTFTKLKTLLLIRWFESADHSALIWILQHSPFLEKLTLELSKKPDSSAQSRAIYNLMEKPFASENLKTVEVKCQDIDQRVHKLIKSLNSYGIPLQKINIQQTNESDECFNFVWTGFIPEQSYGGSDPELSMYLRSP >LPERR11G05450.1 pep chromosome:Lperr_V1.4:11:4146548:4147618:1 gene:LPERR11G05450 transcript:LPERR11G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPSARVGGAPGSGVGQRGGHVGVLRHPHRRRQGRIWLLSSGVPWSCGPVVFDWEKWLPRDMEADLKGVDDMIKLGYATMCLRCSAILLSRYGLDENYVSTDTMIQFD >LPERR11G05460.1 pep chromosome:Lperr_V1.4:11:4152418:4153504:1 gene:LPERR11G05460 transcript:LPERR11G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVVGVVTLNVVMLGVIPVGVVTLGVVAVGVVTLGVVALGVLAVGVVTLGVVAMGVVVMGGSCGCGSARCGSFGCGNTRCGSCGCGNARCGSYGCGSCGCGNARCGSCGCGVVAVGVVAMDVVAVGVVAMGVVAVGVVTSGVVAMGVVTLGVVCCGCGNAQCGSCGCGSCGCGNARCGSYGCGNARCGSYGCGSCGCGNARYGSCGCGNARCGSYGCGSCGCGNAMCGSCGCGNVRCGSYGCGSCGCGSMGVVDVGVVTPGVVAMGVVTSGVVAVDVVAMGVVTSGVVAVDVVTLGAVAVGVVAVGVVAMGVVTLGVVAMGMVTLTAIEPVPIRQISKVMKARLQC >LPERR11G05470.1 pep chromosome:Lperr_V1.4:11:4154476:4155851:1 gene:LPERR11G05470 transcript:LPERR11G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTIEGHHRKDFSFMLTPPSHVEFSWDCDNEDCDSCSCIANENCMLLQGLSEAKNLTLVAETKMFIFRREWCPVFKNLKTLLLNEFWCVPADLSALACILEHSPVIQRLILQLFSKGPKHKVQMKGSRRPSEISAAMLKHLEIVEVKCEVVDERVLNVLKFLGRLNICKITIGTYVFSYLFKFKLTAEWKI >LPERR11G05480.1 pep chromosome:Lperr_V1.4:11:4162197:4179947:-1 gene:LPERR11G05480 transcript:LPERR11G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRSKKTDPKGDEDRVGALPDEILHYLLSFLPARDAVQTCVLAQRWRDLWKSATGLRIGGDDDDETTAVQGMRDFVDHLLLLRGCTPLDMCELKLWFDDEDLHGTTDAFRRVNLWIRHAVASRVRTLVIDNIVWRGMQVDDVPLVSQHLTRLELVRVELTNRFCHLSSCSALEYLKIDECNVYCPNIISLAGSLQSLIITQCSFYNGLRTRICVPSLVSLQLEDNLAMTPVLESMPSLVEATVTISSACSDYCRKDGSGYCGLEDCSYCSPVNDKKDCFLLNGLSEAKNLVLTAKYSTEPKHRVEMKGSFCPMKRPTEMLEHLKIVEVKCEVVNENVSQVLKLLCAFNIRMVNKQFSSARHPFDEMAPMRGGRKKARVESGVDGGDRISDLPDEVLHRVLWFLPTHEAVQTSLLSSRWLDLWKSTRRLSIAGLSRSPCFESAIDEESSAVVDKLSKFVNHLLLSRNQGSLHECRFSFDGFEDVNGAQVDMWIRYVLEHVWQLRVLLIDPGTSVHVKFAGRPLVSEHLVRLELSEAKLNGGFLDFSCCPVLLYLKMHACVIGVDKIFSQSLKCLKIITCNFNSASRTEISVSSLLYLELNCCEGQTPLLQTMPSSIRAFVRLGWFDEDHYGQGICGECYGPCENSCDNDNCSQNNSGGNDSENCSEKNSCDSDNCTDSNSSDSGNCAIKDNYNALICLCANCRDNDNISGTCLLLGGLSSATDLRLGLSHHMFTFKRDLRWCPIFSNLRTLILYDYSLDSGFHALLCFLQHTPVLRKLTLNLCKSRSSTAGSEDPFSNLPDEVLQRVLSFLPSRKAVQTCVLSRRWRHQWKSVPALRITDGHEYRSAQKLNKFVNSLLRHRGQTILHDCVINSYDHRNGCWCEARRYIQRWVRYAISCQAQVLHVNAISTGRPLMLSSETLISQHLKRVELLGVKFETDHLDFSGCVTLEGLKMDSCVIHAEMIVSESLTCLSMMFCNFNPDDGPESRIRIAMPRLVSLQLANNYGWTPVLGSMPLLATTFVRLGEECDDCRCRNFDGCGSVSCVKCYGKDDMDDSVLLDGLKDASNLELIINPYVTTITKDFRWWPTFSKLKTLLLGIVADFSALVYFLQHSPILEKLLLRLSEKPECKVKEDGSYNQREQLASKHLQVVEIKYYSEEMLQNFLQILRNFDVPSEKISTQRMSSCFLACEYYLLHFS >LPERR11G05480.2 pep chromosome:Lperr_V1.4:11:4162197:4179947:-1 gene:LPERR11G05480 transcript:LPERR11G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRSKKTDPKGDEDRVGALPDEILHYLLSFLPARDAVQTCVLAQRWRDLWKSATGLRIGGDDDDETTAVQGMRDFVDHLLLLRGCTPLDMCELKLWFDDEDLHGTTDAFRRVNLWIRHAVASRVRTLVIDNIVWRGMQVDDVPLVSQHLTRLELVRVELTNRFCHLSSCSALEYLKIDECNVYCPNIISLAGSLQSLIITQCSFYNGLRTRICVPSLVSLQLEDNLAMTPVLESMPSLVEATVTISSACSDYCRKDGSGYCGLEDCSYCSPVNDKKDCFLLNGLSEAKNLVLTAKYSTEPKHRVEMKGSFCPMKRPTEMLEHLKIVEVKCEVVNENVSQVLKLLCAFNIRMVNKQFSSARHPFDEMAPMRGGRKKARVESGVDGGDRISDLPDEVLHRVLWFLPTHEAVQTSLLSSRWLDLWKSTRRLSIAGLSRSPCFESAIDEESSAVVDKLSKFVNHLLLSRNQGSLHECRFSFDGFEDVNGAQVDMWIRYVLEHVWQLRVLLIDPGTSVHVKFAGRPLVSEHLVRLELSEAKLNGGFLDFSCCPVLLYLKMHACVIGVDKIFSQSLKCLKIITCNFNSASRTEISVSSLLYLELNCCEGQTPLLQTMPSSIRAFVRLGWFDEDHYGQGICGECYGPCENSCDNDNCSQNNSGGNDSENCSEKNSCDSDNCTDSNSSDSGNCAIKDNYNALICLCANCRDNDNISGTCLLLGGLSSATDLRLGLSHHMHTPVLRKLTLNLCKSRSSTAGSEDPFSNLPDEVLQRVLSFLPSRKAVQTCVLSRRWRHQWKSVPALRITDGHEYRSAQKLNKFVNSLLRHRGQTILHDCVINSYDHRNGCWCEARRYIQRWVRYAISCQAQVLHVNAISTGRPLMLSSETLISQHLKRVELLGVKFETDHLDFSGCVTLEGLKMDSCVIHAEMIVSESLTCLSMMFCNFNPDDGPESRIRIAMPRLVSLQLANNYGWTPVLGSMPLLATTFVRLGEECDDCRCRNFDGCGSVSCVKCYGKDDMDDSVLLDGLKDASNLELIINPYVTTITKDFRWWPTFSKLKTLLLGIVADFSALVYFLQHSPILEKLLLRLSEKPECKVKEDGSYNQREQLASKHLQVVEIKYYSEEMLQNFLQILRNFDVPSEKISTQRMSSCFLACEYYLLHFS >LPERR11G05500.1 pep chromosome:Lperr_V1.4:11:4191778:4192080:-1 gene:LPERR11G05500 transcript:LPERR11G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSLKHHAIASNSIFQTWALVGNADIIPTRVPCDGDGSVKFKYLRGLSNALNLELVTAEAGMV >LPERR11G05510.1 pep chromosome:Lperr_V1.4:11:4192234:4193783:-1 gene:LPERR11G05510 transcript:LPERR11G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSCGQTWLVLGADTHHLFDGMSQREKAMEASSAAGVDNIDDLPDEVLEHVLSFLPSRDAVRTCVLARRWRHLWKAVTAITRMIMWLTNASCNREVKYADSWIRYAVIVRVLRVLVTSECEPLLEISTPLVSQHLRTLQLRSSDLRFVELDNRAVDVPSWRTMR >LPERR11G05520.1 pep chromosome:Lperr_V1.4:11:4195666:4209514:-1 gene:LPERR11G05520 transcript:LPERR11G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKPKESPPSTGEDLIGALPDEILHHVLSFLPAQEAVRTCLLARRWRHLWKSATGRRIGDEASSLESVKVQQEFIDHLLLLRGSAPLDTCVLRFIGYDREDVEDTVRLNRWFRHALLHKVRFLELYVWDEQEFSFTIRIDELPLVSRHLTRLELFGIMLNDSFLNFSSCPKLELLVFESCLFNRGKISSNSAKRLSITDSYFSEVLRVRIDIPSLISLRLDRLIGPYPVLERMPSLVDAFVRGIAMYNGLCGEFDSGDCGSEGCESCCDIKNNCVLLEGISEAKTLTLTNEGRTFIFKRDLKWCPTFTNLKTLVLDQYRCAPDGSHMLPCILEHSPVLEKLIFQLYFLGFEHTTTSKMKGIFNPMERSAGISEHLQIVEVRCNVFDHREGPDARNLFDEMSPRKKPKELPRSTGEDRIGALPDEVLHHVLSFLPSQEAVRTCLLARRWRNLWKLATGLRIGEVASNLGSVKEQQAFIYHLLLLRDSNAPLDTCILRFNGYDKNGIEDKARLNLWFRYALLRNVRFLQLEVWDDDNHYEHFMIDDLPLISQHLTKLQLYGIIINGRFLNFSSCPALEHLEFDSCVLKCAKVSSNSVKHLSITYSSFSNRTLRACIDIPSLVSLRLDYICEIMPILERMPSLVDAFVRALNSSIDLYGECDSGDCGRKGCGSRYGITNNNCVLLEGLSEAKSLTLTSEYRSFIFKRDLKWCPTFSKLKVLLVDEGWCVPDESHMLACILGHSPVLETLIIQFSYQEFEYTNKIKGFFNPMERSAGISEHLHIVEVQYKVVDDGVLEVLKGDADARNLFDGMSPRKKPKESPGSTGEDRIGDLPDEVLHHVLSLLPAQQAVRTCLLARRWRNLWKSATGIRIGQDESNPGRVKDQQKFIDHLLLLRDSAPLDTCLLRFKGYDKDDVEDTARLNLWFRHALRCKVRFLQLDMWEDQEEEFIDDVMIDELPLVSRHLTRLQLYEFDSCVFFECAKISSNSIKRLRITDSHFSSNSRVRIDIPSLVSLRLNFLYARTPVLERMPSLVDAFVRFLDCNKDFCSESDSGDCGHEGCESCYGIMDNNCVLLEGMSEAETLVLENKLRSFIFKWDLKWCPTFTKLKTLVLDGYWCAPDDFHMLGCILERSPVLEKLILQLPFQEYKYASKIKGILNPMERSASISEHLQIVEVQCNVVDHSILKVLKYLSTFEICKPASRRRWRRCRTAAHCCTYEEAAGGESADARHLFDEMSTGEEGSEAALETGVDHIGALPDTVLHHVLSFLPSQDAVRTCVLARRWLHLWKSVTALRIGEGGKQNLWTVRGHQGFIDHLLLLRDSVPLETCVLRFVVYREDKDDTSRLNLWIRHALLRKVWFLQVYIKNEYNLRSCLEILPLISPHLTRLELFDVRLAGNFHDFSRCPALQHLEFDRCEFLCDKISSESVIILSITACKFNQTSRIRICVPSLVSLRLDGFCHRTPVLEWMPSLLEAFVRVSYMTLDRCLDDSGGCVNEHCKSCYGIKDGDNCVLLGGLSEAKSLTLIDEPRSFIFSRDLKWCPTFSKLKTLLLNEYWCLPDDFSALVCILDHAPLLRNLILQLYSKGPKHTMKIKGNCHPMDRSAAISRHLEIVEIRCEVVDKRVLKVLNFEEVEISEDGVGNNYQEGEDEEDDEEHFYEEQDEEEEDSDDDDDDDA >LPERR11G05520.2 pep chromosome:Lperr_V1.4:11:4195666:4209514:-1 gene:LPERR11G05520 transcript:LPERR11G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKPKESPPSTGEDLIGALPDEILHHVLSFLPAQEAVRTCLLARRWRHLWKSATGRRIGDEASSLESVKVQQEFIDHLLLLRGSAPLDTCVLRFIGYDREDVEDTVRLNRWFRHALLHKVRFLELYVWDEQEFSFTIRIDELPLVSRHLTRLELFGIMLNDSFLNFSSCPKLELLVFESCLFNRGKISSNSAKRLSITDSYFSEVLRVRIDIPSLISLRLDRLIGPYPVLERMPSLVDAFVRGIAMYNGLCGEFDSGDCGSEGCESCCDIKNNCVLLEGISEAKTLTLTNEGRTFIFKRDLKWCPTFTNLKTLVLDQYRCAPDGSHMLPCILEHSPVLEKLIFQLYFLGFEHTTTSKMKGIFNPMERSAGISEHLQIVEVRCNVFDHREGPDARNLFDEMSPRKKPKELPRSTGEDRIGALPDEVLHHVLSFLPSQEAVRTCLLARRWRNLWKLATGLRIGEVASNLGSVKEQQAFIYHLLLLRDSNAPLDTCILRFNGYDKNGIEDKARLNLWFRYALLRNVRFLQLEVWDDDNHYEHFMIDDLPLISQHLTKLQLYGIIINGRFLNFSSCPALEHLEFDSCVLKCAKVSSNSVKHLSITYSSFSNRTLRACIDIPSLVSLRLDYICEIMPILERMPSLVDAFVRALNSSIDLYGECDSGDCGRKGCGSRYGITNNNCVLLEGLSEAKSLTLTSEYRSFIFKRDLKWCPTFSKLKVLLVDEGWCVPDESHMLACILGHSPVLETLIIQFSYQEFEYTNKIKGFFNPMERSAGISEHLHIVEVQYKVVDDGVLEVLKGDADARNLFDGMSPRKKPKESPGSTGEDRIGDLPDEVLHHVLSLLPAQQAVRTCLLARRWRNLWKSATGIRIGQDESNPGRVKDQQKFIDHLLLLRDSAPLDTCLLRFKGYDKDDVEDTARLNLWFRHALRCKVRFLQLDMWEDQEEEFIDDVMIDELPLVSRHLTRLQLYEFDSCVFFECAKISSNSIKRLRITDSHFSSNSRVRIDIPSLVSLRLNFLYARTPVLERMPSLVDAFVRFLDCNKDFCSESDSGDCGHEGCESCYGIMDNNCVLLEGMSEAETLVLENKLRSEYKYASKIKGILNPMERSASISEHLQIVEVQCNVVDHSILKVLKYLSTFEICKPASRRRWRRCRTAAHCCTYEEAAGGESADARHLFDEMSTGEEGSEAALETGVDHIGALPDTVLHHVLSFLPSQDAVRTCVLARRWLHLWKSVTALRIGEGGKQNLWTVRGHQGFIDHLLLLRDSVPLETCVLRFVVYREDKDDTSRLNLWIRHALLRKVWFLQVYIKNEYNLRSCLEILPLISPHLTRLELFDVRLAGNFHDFSRCPALQHLEFDRCEFLCDKISSESVIILSITACKFNQTSRIRICVPSLVSLRLDGFCHRTPVLEWMPSLLEAFVRVSYMTLDRCLDDSGGCVNEHCKSCYGIKDGDNCVLLGGLSEAKSLTLIDEPRSFIFSRDLKWCPTFSKLKTLLLNEYWCLPDDFSALVCILDHAPLLRNLILQLYSKGPKHTMKIKGNCHPMDRSAAISRHLEIVEIRCEVVDKRVLKVLNFEEVEISEDGVGNNYQEGEDEEDDEEHFYEEQDEEEEDSDDDDDDDA >LPERR11G05530.1 pep chromosome:Lperr_V1.4:11:4198171:4198563:1 gene:LPERR11G05530 transcript:LPERR11G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTPVSKAASLPSSPVDISSNRWRASALCETERNSSDDGITGGGREHHRQGIKHNPYSTSPRRRQLLRRCSSERQCGNAANAGAMLACLMRSNRRDERTRGDEMESQAPDHHGRIGSGGAATGEAEEGS >LPERR11G05550.1 pep chromosome:Lperr_V1.4:11:4219218:4223746:1 gene:LPERR11G05550 transcript:LPERR11G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPANPSCRSSAPSPPPHLRAPLRRARLVGIRRRRCTNPSRLLVRSDPPRSPRRSRRTAQTPITTLLPPKPRHPITLLRPLSFWLGFSTSGLLEGGCSPVAVTCCCEVKGAAIVVLWS >LPERR11G05560.1 pep chromosome:Lperr_V1.4:11:4226420:4231047:-1 gene:LPERR11G05560 transcript:LPERR11G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWESDRIGVLPDEILHHVLSFLPSKGAVQTCLLARRWRHLWKSATGLRVGDGESDFYLRSVKENQEFLDHLLLLRNSAPLDTHALLCKVQFLQLYVSVGFRERFLVNELPLVSRHLTRLQLYGILLNDSFLNFSSCPALEHLVFDSCEFKCAKISSNSAKHLTITDSKFSMLSRVCIDISSLVSISLRLDDYNCRTPVLERMPSLVEAFVRVLHCSEDFCWESDSGDCGREGCPSCYGIKDNNNCVLLEGLLEAKTLVLINKYRSFIFKRDLKWCPTFTKLKTLVLDEYWCVPDDFSALACILEHAPILQNLILQINSNGPKHRKKIEGNCHQMDRSVGISGQLEIVEIRCEVVDTRVLKILKYLSAFNMPNRQEKPSRNKLTYQNKQRQFPGSTTTGNGGDDCCPEKKAGGGHGGGDVGGSCAPASS >LPERR11G05570.1 pep chromosome:Lperr_V1.4:11:4247978:4254317:-1 gene:LPERR11G05570 transcript:LPERR11G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRSRLLTILLAGASHLPTSTSAASSSHLHHRRILFSTSSSAAAAAATTPFSVEDYLVGNCGLIGDQARNASAKISHLKSTTNPDAVLAVLSGVGLSRADLAAVVAADPQLLFVKADNIASRISSLRDRAGLSDSQIARFLLAGGAMAVHRCDDVAQRLEFWIPFCGSFEMFLKMVESNCHNFTADIEKVIKPNIALLQECGLTVRDIANMSVNSGRVLTSDPEQVKAFVQRADMLGVPRTSSRFKYMVSVSGSVREGNATARMNLLSSMLNCSMDGIRHMVCKAPCILRLPEVKLRRRVELLRSTLGCSIGIICEMLCKMPIILGFSENNLWRKLEFLITKVHLEPEYILSKPVLLTYSLEKRLVLRHYIVQVLVAKGLINHEATLTNTKMLYLSLQMPIPQFVLGKYLLRFNCDIFGERLDFILIKCLLKSNIFGWTRNTFQRDAHPSVFTAKEGKMLMLEQRRQPGFRRLPLLRLSSSPYASAAFPTSLLLSRALLSTAANATATIPFSVEEYLVATCGLTGAQAIKSSAKISHLKSASNPDAVLALLSGVGLSRADLAAVVASDPQILCGKVDNIARRIASLRDRVGLTDPQIRSFLLAGGAGAPQMRHRFWIPILGSFETLLKLVRRNNAIVVSDVERVVKPNVALLQDCGLTVCDIVKLASNNPRLLMFNPERVKTFVQRADMLGVQRTSSRFKYSVAIAAKITQGTAMVRMMFLGSTLKSQLLHGQHP >LPERR11G05570.2 pep chromosome:Lperr_V1.4:11:4234626:4247976:-1 gene:LPERR11G05570 transcript:LPERR11G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAPTILGLPEEKLRSKIDLLSSTIGCSIDSIGDMLCKIPKILGLSEKNLHRKMEFFVTKVGLEPTYILGNPMLLTFSLEKRVVPRHYVLQVLVAKGLIKDVVICTLVPMVEKNFVARYIDQYSNTVPGLADAYAAVCAGRVPPDIRFRILLSTAANATATTPFSVEEYLVATCGLTGAQALKSSARISHLKSASNPDAVLGLLSGVGLSPADIAAVVASDPLLLRASADMVGSRISSLRRRVGLTDPQIGSILLAGGAIGLRSGDIASRLEFWIPFYGSFESFLKILKVNRCILTTDMEKVVKPNVALLHQCGISVCDIAKMSQISAWLLTLNPKQVEAFVQCADELGVPCNCSSFKYMVAVTSRNSKGRVAAKMEFLSTTLGCSADEVRSAICKLPQILGLSEINLRSKIEFLVSEVRLEPDDILKRPQLLAYSLDKRLAPRHYIVQVLVAKGLMKDVPFRTYVDLPENDFLQNFIDRHKNVIPGLSEAYAAVRAGEDYLVATCGLTASQAVKASRKVSQLKSASNPDAVLAHLSAVGLSRADLAAVVAAEPRVLLSRADSVARRFASLRGRAGLSDPQIASVLLAGGALRVCSADVSAKLAFLIPFMGSFEMLLKMLRRNNAILCSSLENVIKPNIELLHECGLSVCDIVTMAQSAAWVFTFKPERLKVVVKRAEELGVPANSWAFKYIVSAVARTSEGSVAARMEFLSTTLGCSVDELRSAVGKCPQILGLTESKVRSKIEFLVNKVGLDPHYILQRLVLLTLSLEKRLVPRHYVLQTLLVKGLIKKDLDFFHFVCIANGDFMAKYIDRHEGAIPGLADAYTAVCSGKLPAKV >LPERR11G05580.1 pep chromosome:Lperr_V1.4:11:4256093:4257636:1 gene:LPERR11G05580 transcript:LPERR11G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRQRLLPLLRAGAAHLHTSASTTSSSLHLSRLLLSTAARSAVAAVPFSVEDYLVATCGLTGARAVKASAKISHFKSASNPVAVLAHLSAAGFSRADLAAVVTAEQGLLCVRAKNIGRRVASLRDVVGLSDPQIRSLLLSGGAKGLRGCDVASRIEFWIPFLGSFEMLTSRRSILFFFFFLPSPYLIPAVKLSVQSTISPTTCSMDKIRVAVSKKPIILQLSKKNLRPKIDFMVTEVGLKPERIVEMPILFTYSLEKRLVPWYSVMKVLQAAGLIKKDISFPSLLKCGEAEFIERYVDCHKDKVPGLADVYNAACSGKCLHC >LPERR11G05590.1 pep chromosome:Lperr_V1.4:11:4259772:4266065:1 gene:LPERR11G05590 transcript:LPERR11G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQRLLLPLLRAAAAHPNSSSSSSAAASSATLHLSRILLSTTAAIPFTAEDYLVATCGLTGDQARVASARISHLKSASNPDAVLAHLSGVGLSRADLAAVVAADPRILCARAHNIARRIASLRDGVGLSDPQIRSLLLSGGVKVLRECDLALRLEFWIPFLGSFERLLTMVKTSNVILTSDLDKVIKPNIALLQECGLTVRDIVKLARHGRMLTSNPKQVETFVQRCSEENIRSKIEFLASTLGCSQEKVCAAVCKQPQILGLSADNHRRKINFMVTEVGLEPKCIMENPVLLTYSLEKRLVPRYSVIKILRAMGLIKGDVNLNTLLAYSEKYFISRYIDPYKQEAPAIADAYAAACTGENMR >LPERR11G05600.1 pep chromosome:Lperr_V1.4:11:4268706:4272198:1 gene:LPERR11G05600 transcript:LPERR11G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQRLLLPMLRAASTHLSSSYTAAYLHLGRRLFLSTAVRSAATAIPFSVEDYLVATCGLTASQAVKSSAKLSRLKSASNPDAMLALLSGVGLSRADIAAVVATDPLLLCSRVNNIARRIASLRDRVGLSDPQIRSILLTGSVKGLRSGDIASRLEFWIPLFGSVEVLLKIMKANYVILTADIEKVIKPNIALLQECGLTVCDIARMPHTSRMLTTNPKRVETSVQRAGELGIPRSSGLFKYMLLRTWGISEDNVTARMKFLSTTLGCSMDKIRDIVCKCPTILGYSQENLRSKIEFLATTLGCSQEKICAAVCKMPNILGLSDENIRRKIKFMITEFGLEPEYIVERPWRLSYSLEKRIMPRHSVIKILREVGLIKEEDTTKLKQK >LPERR11G05610.1 pep chromosome:Lperr_V1.4:11:4274767:4276425:1 gene:LPERR11G05610 transcript:LPERR11G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRNRLLPLLRAAAADSAAHLPTSASTSRPSRPSRPSSSLHLSRLLLSTAANATATTPFSVEDYLVATCGLTGAQARNASAKVSHIKSASKPDAVLALLSGAGLSRADLAAVVAADPRILCARAHNIARRIASLRGVLLRMVKANFHILTADVEKVIKPNIALLQECGLTVCDIVQLGSTIPRLLTSNPKRLETSVKRADELGVQRSSGLSKYMLSINCQYTQDKATARMSLEKRMVPRHSVIKILRSMGLMKDAVDLKTLLAYSERNFFARYIDPYKQAAPTIAGSYAAACAGKLSAELHL >LPERR11G05620.1 pep chromosome:Lperr_V1.4:11:4285144:4286377:-1 gene:LPERR11G05620 transcript:LPERR11G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRRMPSVEWEPKTLSLEDLKYAREAALYVLSTHSPEEAVQIFTEGLQPVVSISNNFIDSDSDDDGEMFNPGAFVVGHGPAVKRRDVVTAPF >LPERR11G05630.1 pep chromosome:Lperr_V1.4:11:4288250:4292693:-1 gene:LPERR11G05630 transcript:LPERR11G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMDGGSWEEMLRRMLPPGTEPPPNLDYSIALEYDGPPVPYDLPRVDPVGEIPTAEPVSGSLRVAGFPVAPPIPLPVSRIARCAEPVSSSSAAAQVGGSSDSVNSVLQNEEFDDDDDDDDEDESRSLSHDSAQSSPGPRDRSHRMQDGRRGPTVTFGFTPDSKYESKEFEEMSEQYVAVTKKEKKRRACYRCGKRKWESKEACLVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLSPEELTDLLSCSRPPQKLKPGKYWYDKESGLWGKQGEKPEKIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVASVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESAFTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFNHEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTVSEDEETQQDENKANSSNSCLYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQVGPPVHGSGQPHLNKMMQCKDLFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSTCEWFNDFSPVRTHHNNQSLAHQAYYYIAMKFKDLYYAHTNRKLFVWQARARDRQTVDEVFKYIREVLKWDDEKDENYFHDDSFYSTTEMSSSPFIRAE >LPERR11G05640.1 pep chromosome:Lperr_V1.4:11:4305397:4316667:1 gene:LPERR11G05640 transcript:LPERR11G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGQPNPMGPGQPVVGGGGGGAASLLRTSSSLLGGGQQGMGGGGGGGGGVGGMLPSQSPFSSLVSPRTQFGANGLLGGASNVSSLLNRPFGNGGHMLGPGSMPSGGIPMNTLQQHRGGGGGLDGAGDLVGTGGSDPLSFPSSSQVSLGNQLGSDNLHQAPQHQQQQLDSMQDMQQQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEQQMGQPEQNNPSQMMRNPSSVKIEPQQLQALRNLSAVKMEQPSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQNSVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVNPLQPQQQSTEEQQAIPQSSNQSGQNAAPMTGVQPSASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYNGGKNAAIPKVNSASSLQSNPSTSFPSPVPTTSNNTMMPAPQNTNQLSSPTASSNLSAMQPPATRPQEPETNESQSSVQRILQDLMMSSQMNGVGQQLGNDMKRPNGLTPGVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQHDIGNQLLSGLRAANSFNNLQYDWKPSQ >LPERR11G05650.1 pep chromosome:Lperr_V1.4:11:4333719:4339180:1 gene:LPERR11G05650 transcript:LPERR11G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKGKGKGKGKEGVGEEERKKKKAGSFDEALLLGKGGGGGGGKTKEQQVVVVGHPLPRPASMPASSAPGSGSASASASSGGGSSSLGSSAASDEPPDLGNYSSRMVKLLFSSDALQLKLFHVCFNRIPEASYVLPGRILAIDSRKQSPFLEEGRFFANNQTLEHPRLSETSVSPRKEFYLHNLDISNDRTAYCHGRKSSEIVFATRVPSSPPSSKGQIPCPTSSVQSRTFGQCPGSPTSRQDDSRSSSSPHPLPRPPSSPCSSSRPGSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDNNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILCGIAYLHGRNTMHRDIKGANILVDPNGDIKLADFGMAKHIAAHTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSFEAKNFLRLCLQRDPAARPTAAQLMEHPFVKDLTANRSFRSGLTRDMFPTSFDGKGVKVQTSNRSLSPLRDPDLTMRNLPGPTAAMPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCMPSPPHPAYSAGAANYSPINNALYPTRPSNHLPDPWLEISQLKAPQFDSPRRL >LPERR11G05650.2 pep chromosome:Lperr_V1.4:11:4333719:4339180:1 gene:LPERR11G05650 transcript:LPERR11G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKGKGKGKGKEGVGEEERKKKKAGSFDEALLLGKGGGGGGGKTKEQQVVVVGHPLPRPASMPASSAPGSGSASASASSGGGSSSLGSSAASDEPPDLGNYRIPEASYVLPGRILAIDSRKQSPFLEEGRFFANNQTLEHPRLSETSVSPRKEFYLHNLDISNDRTAYCHGRKSSEIVFATRVPSSPPSSKGQIPCPTSSVQSRTFGQCPGSPTSRQDDSRSSSSPHPLPRPPSSPCSSSRPGSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDNNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILCGIAYLHGRNTMHRDIKGANILVDPNGDIKLADFGMAKHIAAHTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSFEAKNFLRLCLQRDPAARPTAAQLMEHPFVKDLTANRSFRSGLTRDMFPTSFDGKGVKVQTSNRSLSPLRDPDLTMRNLPGPTAAMPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCMPSPPHPAYSAGAANYSPINNALYPTRPSNHLPDPWLEISQLKAPQFDSPRRL >LPERR11G05660.1 pep chromosome:Lperr_V1.4:11:4338411:4339719:-1 gene:LPERR11G05660 transcript:LPERR11G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAAAFRVLARGGAGRGIHFVPGPASCDDDDDEHAPPPSPRWYRAAYARLLRLAGSPRVADRMAHSLTRVCDVLGVSAQLRKTVRLTICPQVTQHHIWRGALQEVLADLRADIAALHPPSSHMSDQIAAACLRFLSDTADAATTNPLSTSPPSWMRPTPFNKSPSKSPPSPPAKTWQEVLDMFTDLAKSLESDPHLAIHAEKVAAMKEGLYQIRGVVIERGIAFKEARRQDCLVQRKLSKTLGHSSKCLYTLLLFYLYGAVRDIHVHAGKNFSGKGGKNVNVHATVFLIDGDESAVRGGVKRLSCALGVFRFVWEAAHSDNGDHHHASENGKGGMAKKGHGEDAKGLLKLEGHIWGLGVEEKTVTYRGEVFHVHKIQIP >LPERR11G05680.1 pep chromosome:Lperr_V1.4:11:4343546:4345418:-1 gene:LPERR11G05680 transcript:LPERR11G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLEVLCSVVLGETIQQIVSFIQNRKSQKHLEDKIHRLRQLLIEIHTALEAAKGCAITNLWLLRWLRELEDAACQGDQTLRNCRDRSNKVSSSSNTFKRIRLATVQLLPCKEVIFKIAVTIKKLEAVADGIPKFIQFLKMECTQEVVHRPVIIFVSIHDRLVGRVNEKKQVIEFLLKPPLHHFSRAHIEKIGRRNKNGSSPPDGCVLLIWGVKGVGKTTLVQLVCNDHKVRNYFSMIIWVNCREHPSPAMVLVRSLSKKLDLNADITINISIVIHGIAARLRMERFLIVLDGVSSYTRGTNDILNILLLTSRSGSKVIITTMYQQLAARINKYENLPVGFLAMEDLGYMFMENALAGAHPDEYQKLFVIGKRIAETLRECSPLAAKVVSGHLRENLNEKYWYTVLSRCKQFTASNSKFITPFILGCKLLPKHLQRCFGVFGTYPRWTFTREELISYWISNSVISSNGMENSIENVATDCFDDLVRKAFIQPSPIPGLYRVDDILRDIALYIGPMPIPKTRIHLANGIGIGFPTHQRFSFVQLAVSK >LPERR11G05690.1 pep chromosome:Lperr_V1.4:11:4361434:4364676:1 gene:LPERR11G05690 transcript:LPERR11G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTTTTTNTSDSAKPASSSSCPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLQAHRHHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERSRLLARSAAAASISPLGGAGATHHLDFASPSLCFGFGGGVSSSMMMINGDSASSSSSQLTAANNRRPYPSPLFRSFAGGGSKKMMMTAAPAVDQSTITFASPPPPKRETLELMMMEHGHRENGGGDGVDGGERKGVPFFDFLGVGV >LPERR11G05700.1 pep chromosome:Lperr_V1.4:11:4372648:4374460:-1 gene:LPERR11G05700 transcript:LPERR11G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEATVLIIGAGPAGLATAACLAQRCVPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPGMAYPVGTPTYVPRRMFVEYIDVYTERFGIRPRYHTTVKSSAYDDVRRRWVVSAWDMATSSEVKFIMQFIVAATGENSVASIPMVPGLTGFAGEAIHSSAYKSGIGYAGKSVLVVGAGNSGMEIAYDLATHGARTSIVVRSPVHIMTKELIRLGMTLVQNLGLPTTIVDSLLVSVANFIFGDLSRYGITRPKMGPLLFKSQTGRSAVIDVGTAKLIRGGIIKVFSGISKINANSVEFHGGKEIPFDAIVFATGYKSTVNTWLKNGESTFTKDGFPKKDFPDHWKGENGLYCAGFARRGLAGIAMDAKNICDDIVASMDQVSH >LPERR11G05710.1 pep chromosome:Lperr_V1.4:11:4379868:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELLAYSEVYLFNRDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKVLNANGIPLEKIKVSLDVTQTLVSFYFDKNVGRTPRLDRMPSLAAASVRLGYDCDDQCQNSCYSECIDAECMGCRYYGGDDSCVFLEGLTEATDLKLLTFPTVYVFNRDLEWCPPFSKLKTLVLKAWFVAPNFSPLLWFLQHAPLLEKLTLVLSKLPKYISNTYGSYSPWEQSFVPARHLQVVEIECKDVDGTIRNILKILNASGVSVVLPRN >LPERR11G05710.2 pep chromosome:Lperr_V1.4:11:4379868:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELLAYSEVYLFNRDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKVLNANGIPLEKISIQCSGCDLVSFYFDKNVGRTPRLDRMPSLAAASVRLGYDCDDQCQNSCYSECIDAECMGCRYYGGDDSCVFLEGLTEATDLKLLTFPTVYVFNRDLEWCPPFSKLKTLVLKAWFVAPNFSPLLWFLQHAPLLEKLTLVLSKLPKYISNTYGSYSPWEQSFVPARHLQVVEIECKDVDGTIRNILKILNASGVSVVLPRN >LPERR11G05710.3 pep chromosome:Lperr_V1.4:11:4379868:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELLAYSEVYLFNRDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKYVFNRDLEWCPPFSKLKTLVLKAWFVAPNFSPLLWFLQHAPLLEKLTLVLSKLPKYISNTYGSYSPWEQSFVPARHLQVVEIECKDVDGTIRNILKILNASGVSVVLPRN >LPERR11G05710.4 pep chromosome:Lperr_V1.4:11:4379868:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKYVFNRDLEWCPPFSKLKTLVLKAWFVAPNFSPLLWFLQHAPLLEKLTLVLSKLPKYISNTYGSYSPWEQSFVPARHLQVVEIECKDVDGTIRNILKILNASGVSVVLPRN >LPERR11G05710.5 pep chromosome:Lperr_V1.4:11:4379868:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELLAYSEVYLFNRDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKVSVVLPRN >LPERR11G05710.6 pep chromosome:Lperr_V1.4:11:4378166:4387542:-1 gene:LPERR11G05710 transcript:LPERR11G05710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLFYSNYRMLLDFPNLVSFYFYKNLGKTPLLETMPLLEIATVRLDYYCDDKCTYGRYDDCGDAECKGCHDYYAPDDYDCVFLEGLTEATDLELLAYSEVYLFNRDLKWCPTFSKLKILILSSWFVAPDLSALTWFLQHAPLLERLTLKLSKVPNNLVETDGSYNPLEQSAASSHLEVVEIKCKYVDEIVLKVLKKHVVVQVCSHYGCMGFN >LPERR11G05720.1 pep chromosome:Lperr_V1.4:11:4397278:4400675:-1 gene:LPERR11G05720 transcript:LPERR11G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSWRAKLLQVLLVGGTLLSLAASTDQRVAAIETPITLPGCTDKCGNISIPFPFGMKQSRCFLPGFEVTCNDTFSPPRLFLGNYPQHRPNYQEFVQGYYSMTEDDHPFHLSSHEFLFMELISIDLNKGVARAYGPVSSDCNVLNETYHLVKKQMTGLTEPFLISTRNVLTAIGWSFEAILSRSVRGSGYLKSCNVRLSQPEHATNVSCLGGGCCLGEIIEGIASIAVSFVQQNNSLWGPNPCSYGMVVEKNWYNFTSPDLYSYTFPNKYPRGVPLLIDFAIRDELCPAPGQVLPANYSCVSSNSSCANVTNGNGYICNCRDVYHGNPYIPNGCHDIDECSLRDSHPEFKDVYPCSRNEICMNRPGGYDCPCRQGMRGDGKTGTCTDKFPLEAKVVVGAIGGLFIIAVLVFLALLHRQKKKMREFFEKNGGPILEKVNNIKIFKKEELKPILKASNIIGKGGFGEVYKGHFADSKQQVAVKKSINVSAEQKEQFANEIIIQSRVIHKNIVKLIGCCLEVDIPMLVYEFITNGSLHDILHGSNGKPLSLDIRLDIAAESAEGLAYMHSKTNSTILHGDIKPANILLDDNFVPKISDFGISRLIAIDKKQHTDYIIGDKSYMDPVYLQTGLLTKKSDVYSYGVVLLELISRKKATYSDNNSLIRNFLDAHKEKRIATELFDNDITEKAEDLELLDSLVRIAVECLNLDVDQRPEMTDVEERLVILKRSRAR >LPERR11G05730.1 pep chromosome:Lperr_V1.4:11:4404394:4409003:1 gene:LPERR11G05730 transcript:LPERR11G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKKGKRQMPEEDELNEYERQRQEQIRANKKKLQSLNVDSLLRSIVAPQPPKKKAKTTSGRTVEKSAADRERHNLRPRPIASVDHGGEAERDASVHGSEGLIAETSSEPKKKVRGITRKDDIWKRQNKAKLKVELNEFGQPVGGNSSPFANFSKLNSKLFQNWTSLQIPPKNILYKAQKDSDQTRWSVADGCDEANLINYYRHSFLQVYQTCPKLSKYQTY >LPERR11G05730.2 pep chromosome:Lperr_V1.4:11:4401797:4409003:1 gene:LPERR11G05730 transcript:LPERR11G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKKGKRQMPEEDELNEYERQRQEQIRANKKKLQSLNVDSLLRSIVAPQPPKKKAKTTSGRTVEKSAADRERHNLRPRPIASVDHGGEAERDASVHGSEGLIAETSSEPKKKVRGITRKDDIWKRQNKAKLKVELNEFGQPVGGNSSPFANFSKLNSKLFQNWTSLQIPPKNILYKAQKDSDQTRWSVADGCDEANLINYYRHSFLQVYQTCPKLSKYQTY >LPERR11G05730.3 pep chromosome:Lperr_V1.4:11:4401793:4409003:1 gene:LPERR11G05730 transcript:LPERR11G05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKKGKRQMPEEDELNEYERQRQEQIRANKKKLQSLNVDSLLRSIVAPQPPKKKAKTTSGRTVEKSAADRERHNLRPRPIASVDHGGEAERDASVHGSEGLIAETSSEPKKKVRGITRKDDIWKRQNKAKLKVELNEFGQPVGGNSSPFANFSKLNSKLFQNWTSLQIPPKNILYKAQKDSDQTRWSVADGCDEANLINYYRHSFLQVYQTCPKLSKYQTY >LPERR11G05740.1 pep chromosome:Lperr_V1.4:11:4402149:4408771:-1 gene:LPERR11G05740 transcript:LPERR11G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVVDVDKMSSPSLKILRLNCCEFYPDDHNKLSFLNLVSLELDRCIGRAPLLESMPSLLEAEVTFSDDCRDLCKNSAVGDCKKDECEGCYGYFPYDDYCTKGMCLQGLSQATHLTLLSDPAVGRRSKREAQMPANLHNAAREDQGETSLCSGGETSLCGGGDRRLHRQRAQDGRSSGGDDIEGRGAAVSSFVRRRRILMAVGDDKGGKINSGSRFCRGRRTEQAGGGWTAEVEEWKGRGGRCWWVRGGGRRRC >LPERR11G05750.1 pep chromosome:Lperr_V1.4:11:4408843:4409280:-1 gene:LPERR11G05750 transcript:LPERR11G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRDRREHDRIGALPDELLHLVLSFLPSHESVRTCVLARRWLYLWKEVTALRLTGGFDEWGDEACRGKVVRFVDSFFRLRRDGVPLEYCEFDFDFDSQGFSTDEEHDGRWIKQALRCKAQELKICMMELGILPYMSLISQHLTI >LPERR11G05760.1 pep chromosome:Lperr_V1.4:11:4414205:4416272:-1 gene:LPERR11G05760 transcript:LPERR11G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRINAEKMSSPSLKHLSLSYCEFYYGATRTQLSFPSLVSLELDCCEGRTPLILESMPSLVSAIVRVGAWCPDRCDKSLCGDCGDNSCEGCYGSSDEGPHDFFVPSFDHASCLCLKGLLEATHLELSAGPKMYVFRRDLKLLFACNTFAKLKTLVLGEWCITSDLSALIWFLQHSPILEKLTIQIAKEPKCLDAGKQKTPEQPFALNHLKIVEIQCHGDDILWVCKFLKTLGTYGLPLEKIKIKLTNGFNFVCTGFGYN >LPERR11G05770.1 pep chromosome:Lperr_V1.4:11:4429877:4432955:-1 gene:LPERR11G05770 transcript:LPERR11G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWRRKAPTRAVAAVGGPVCISALPDEVIQLILSFLPAHDAVRTCVLARRWRHLWRDAPALRIHYIREWEDSDYPEIGVEKFDRFMDNLFSLRCRGAPLEYCEFDFDRPVSFFPYNPDAVRWIRRAFRCRARVLRFVFNETIELPDVPLISQHLTRLEVQCVSFHGDSSDFSECPLLVDQKMRECILEKNMWSPSLKMLSIVNCEFTGNLLSFPSLVSLELDCGENRAPFLESMPSLEEATLIFDHTIKDLCSNNIFGDCGEDNCQGCSYFSGTTPVNNHTDCVLLNGVSEATDLKLLAHPDVYVFRRDLKWCPTFYNLKTLLLNECCLVGDLSVLICFLQHSPLLEKLTIQLEKAPTCLMDLEGLYNTEQPFTSDHLKFVPIECKEVNLCVWKILKTLSTFGIPLKQINVKQTSKCNESGWRLALLGRSRVQLG >LPERR11G05780.1 pep chromosome:Lperr_V1.4:11:4442373:4446083:-1 gene:LPERR11G05780 transcript:LPERR11G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGKAPAAPDRIGALPDEVLHLVLSLLPAHEAVRTRVLARRWRHLWKESPRLSVEYEEGSDHDNYWFLDLVDCLLSLRNRDARLEYCCFRLFFWSPYVPERRQYVVPWIREVLRCQVQVLEIYLGEEPAVLPELRFISQHLTTLHLAFLIIDDKLLELSDCPALVDLEISRCNIYVARMSSRSLKCLRIDSCDLSYHRIQISFPSLVTLQIRCCEGFRSLKACRPWKRQLLNMMTNLRTLVMNMTLVAIIVKAVLTVLTLELTLPVACLKGLSAARHLELLAVPTMIIFRRDLKLYFACHTFSKLKTLLLNEWCVIPDPSALICFLQHSPILEKLTIQISKKPKSLKDSEGQYNTSEQPFASNHIRIVKIECEEVNTLVCKILKTLIMYGIPLEKINIKQTIKQQGKECFTFRGDYEM >LPERR11G05790.1 pep chromosome:Lperr_V1.4:11:4449414:4455985:-1 gene:LPERR11G05790 transcript:LPERR11G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRERLLPLLRATAANPHTSAATSSSSSSLYLSRLLLSTSANATAAIPFSVDDYLIATCGLTNAQALKSSAKLSRLKSASNPDAVLALLSGVGLSRADIAAVVAAEPQILCGNVDNIARRIASLRDRVGLTDPQIRSFLLAGGATGLRKCDIASRVEFWIPILGSFEMLLKLVRRNNVIVVSDVERVFKPNVALLQECGLPVCDIAKLAGTNPRLLTFNPERVKTFVRRADVLGVQRTSSRFKYLVAKVGSISEESATARMKLLSSILNCSMDSIRSIVCRLPSVISYSEENLRNKIEFLTITVGCSQDKIGDIVCKVPGILSCSGEHIRSKIDFLASILGCSKDNICAVVCKKPQILALSVENIRCKLNFMINEIGLESEYIEERPCMLTYSLEKRIVPRHSVIKILRTMGLIKEDVSFYNVLTYCEKDFIGIYIYPYQEAAPMLADFYAAACGRENRLLPLLRAGASHLRTSVSSTSSSLHLSRLLLSTAARSAAAAAPFSVEDYLVETCGLTGAQALKSSAQISHLRSASNPDAVLAHLSGVGLSRADLAAVVSAEPGLLCVRADNIARRVASLRGCAGLSDPQIHSLLLSGGAKGLRGCDIATRVEFWILFLGSFERLLKLVKSNYTVLTSDIQKVIKPNIALLQECGLTVCDIANKTTLRRMLTMNPKRVETSVQHADELGIARSSGVFKYMLAKSCSISGSEAMARMRFLSSTLGCPMDKIRDIVCKSPSILGYTQQNENLCRKINFMVTEVGLEPKYIIERTFMLTYSLEKRIVPRNSVIKILRTVGLMKEDAGFSKLLTLSEKNFIARYIDPYKQEVPMIEDAYAAACTGKMSDEVQL >LPERR11G05800.1 pep chromosome:Lperr_V1.4:11:4458064:4462518:-1 gene:LPERR11G05800 transcript:LPERR11G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFMYALMCQVQVLIINNSMCTRIEMDDGFSLVSWHLTELDLSGLVFNKCFLDFSSCPALEHIHFSARCCFVSVKKILSQSVKYVSFDYPEFSEHHRTHIYAPNLITLHLDDCWGRHLSDPTRIVMTGVIRLTLATVKMKTAMVVMRIFRSDLRWCPLFSKSKYLLLNEWCVAFNFWALACIMEHSPVLVKLILQISKETAPMINTVENGNALVKPAAISKHLKVVKVHCKEVDEGVCKIVKFLSTLGIQVIIKRTDRSAKLSRIGIQVEVLMKAPLDEVLKFGLKS >LPERR11G05810.1 pep chromosome:Lperr_V1.4:11:4466548:4480608:-1 gene:LPERR11G05810 transcript:LPERR11G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVPRLNVNSQTCINLISCIYSHFQHRTHIIQTTISISSSTFSSRIFMLPLYTYLGVLFLLIFFLHNSSCSAANDTLAAGRVLAVGEKLVSGNGKFALGFFKPALPAGFSASSSGIIAFRYTDLSHATKHFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDNLHQGEKQFRAEVSSLGLIQHINLVKLIGFCYEGDKRLLVYEHMINGSLDAHLFHNNGTVLDWSTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLMEIISGRRNLSEAHTSNNYHFDYFPVQAISKLHEGNVQNLLDPELHGDFNLEEAERVCKVACWCIQENEFDRPTMGEVVRILEGLQEVDKPPMPRLLAAITERSHVISKIHIPPLLLNSAMLPLHTLLGVLVLISPLHTPASCFAAASDDTLTAGQVLSVGDKLVSRNGKFALGFFTFQDNPITHTQLNLTQLIISRDGNLAILNGANKSRIWSTVIVNRTETSMLNNTTAVLMDTGNLVIMEKGNSSNNPVPLWQSFDYPTDIVLPGSKIGWNKVTGLTRQYISRKNLIDPGTGSYCTELQINGALVHRSWNPSNVFWSWGSERSSAPNLVPLLQSLLDINPETRGLIRPEYINNSEEEYYAYTLLNESSYLFYVHDISGQTKLNSPRDWELGDHTGGCIRDTPLDCTNKNNKTSSMDIFHPVARVTLPNDPEIIKDATTQSQCKEACLNFCSCTAYSYNNSKCSIWHGDLVDVKENDGIDNDSEDFLYLRLAATDLQNMIKNSKRKPVARIIVVASIISFGLLMLILLLLIWSSKSKWHALVLDNSRTGVGIITFRYTDLNHATKCFSEKLGEGGFGSVFKGVLSDLTTIAVKRLDGACQGEKQFRAEVSSIGLIQHINLVRLIGFCSEGDSRLLVYEHMLNGSLDAHLFGSKPSILKWSARYQIAVGVARGLSYLHHSCRECIIHCDIKPENILLDAAFVPKIADFGMAAFVGRDFSRVLTTLRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGKRNTSDQVYTSRNNHVACFPVQVILKLQEGNISSLVDPQLHGNFDLEEVERVCKVACWCIQENESDRPTMAELVQVLEGLQELDVPPMPRLLAALTHGIL >LPERR11G05810.2 pep chromosome:Lperr_V1.4:11:4477991:4480608:-1 gene:LPERR11G05810 transcript:LPERR11G05810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVPRLNVNSQTCINLISCIYSHFQHRTHIIQTTISISSSTFSSRIFMLPLYTYLGVLFLLIFFLHNSSCSAANDTLAAGRVLAVGEKLVSGNGKFALGFFKPALPAGFSASSSGIIAFRYTDLSHATKHFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDNLHQGEKQFRAEVSSLGLIQHINLVKLIGFCYEGDKRLLVYEHMINGSLDAHLFHNNGTVLDWSTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLMEIISGRRNLSEAHTSNNYHFDYFPVQAISKLHEGNVQNLLDPELHGDFNLEEAERVCKVACWCIQENEFDRPTMGEVVRILEGLQEVDKPPMPRLLAAITERSHVISK >LPERR11G05820.1 pep chromosome:Lperr_V1.4:11:4482368:4483860:-1 gene:LPERR11G05820 transcript:LPERR11G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDGNPFQCVMMWVMYAMICQVQKLIIRNFYETYIEMDSSMPLVSRHLTKIVLSGIALKECFLNFSSCPALKDLLITRCRFDYVKKILSHSIKCLFISHCQFGEDHRTCIYAPSLIWLFLESFSGRTPFLEGMPSLLAASVIPHQNCDDWCTKIYKGSPGDEYCICDGCYDTIDEIDSKCVLLGGLSEAKSLKLVAGPDIGIFRNDLRWCPTFSKLKSLLLNEWCVDSHFWALAYILERSPVLRKLTLKFSEKAKYMMEPEEDDDDPLVKPGAISEHLKVVKVHCKKVDEGVYKIGRWLSTLDIKLIIKQRNQ >LPERR11G05830.1 pep chromosome:Lperr_V1.4:11:4486390:4488012:-1 gene:LPERR11G05830 transcript:LPERR11G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATKPDARHLFDGMRPQGKTLKRGKGAPRRSSGGEEDRIGDLPDEILHHILGYLPAPDAVRTCVLARRWRHLWKSATALRISDCDEDKPVPMEKLQLFVHHLLLLRGRAPLDACELRFGQLTNLGVQCVNLWFRHAAMCDVRVLRLSVTRSGLSFMLGGLPLVSRHLAKLELSGVDLGHNFLDFSSCPVLEHLEIVQCILIDAKNIYSQSLKRLEITRCIFRIYSPTRIYVPNLLSLWLDDYFFRTPVFEVMPSLVEGFVKVLGSSEHSASCTSDSHGNTHCVLLQALSQAKKLVLIANNQESIFKRNLIQCPTFSNLKTLLLIDRACVAFDLHGITTILGHSPVLEKLTLEFSSKLPEHEDEVEMRGTCSQMERSSAISNNLKLVVVRCKAIDERITKILKFLSTFNISKISCNTFRSFCISGIFLEVHICEEKQTI >LPERR11G05840.1 pep chromosome:Lperr_V1.4:11:4494114:4497163:-1 gene:LPERR11G05840 transcript:LPERR11G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREVGRSLPPSGGGGDEDRLGDLPDGILHHILGFLPAPESVRTCVLARRWRHLWKSATGLRIANLDGGDKLHDFVYHLLLLRGRAPLDTCELKFGGLFDFDYRDRPVILWFRHAVLCEVQVLRLQVSWYGAPLHLDGPPLASRHVVKLELIDVELAHSFLDFSSCPVLEHLEIARCDLSDAKNISSQSLKRLKIIKCDFSCNFRTRIRVPNLLSLCLQDCYYPTPLFEIMPLLVEAFIRVDVLSGDLITLYSDSEKCPHDDCDLCRSNTNCVFLQALLQAQNLVLITYDQEFVFKRDLMRCPTFSNLKTLLLIDCGYVAFDLHGVTGILGHSPVLEKLTLEFSSKVPGHEATNSRCVTSHNH >LPERR11G05850.1 pep chromosome:Lperr_V1.4:11:4499359:4504179:-1 gene:LPERR11G05850 transcript:LPERR11G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRETVKRGKRSPPPSGGGGGGDEDRIGDLPDGILHHILGFLPATEAVRTCVLARRWRHLWKSVSTLRIANWDFSSEPVPMEEFKYFVHHLLLHRGRAAPIDDSISTGVSDRDTRRVNRWFRHALMRQARLRLEFRWKTDFVLVLDNLPVVSRRLVKLVLTNVRLMHSFLDFSGCPVLEHLKLWRCDLSDAKRISSQSLKRITTFRCDFSDVFQTQICVPNLLTLYLCYYTNLSPVFEVVPLLTEALVGVTDGSGDWSFYPRFGHGNCMLPEVITHAEKLRLGVESPISNAQDFNFQRYWQWCPTFSKLKTLSISQCISTYFDFEAICCILRHSPVLEELALDFDEDLNIKWKLKDATISPTVAEGTGNELCHIGLTGARGLWVAGDDEEDDIDDVKEDGHEDNQQYIVEPLLWMSMSYGRGDDLEPFQPIPKLPLLTNGQMIESHGLLSSTGGKLVNLIARSFASKMIRTVVSLAMPFDQMQEEVIALCRFVLMD >LPERR11G05860.1 pep chromosome:Lperr_V1.4:11:4506649:4515444:-1 gene:LPERR11G05860 transcript:LPERR11G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITAAGGGGGAGGGDAGPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQDQCQVAATVQGEWPTLVRPAPPSPIASPRGNPMLSRIRSSKQRLMSLASSPSLGLSPPASATNAAAADDVGGSGVKQPVTPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDEEQDKEGFFKRLLRDSKNKEEEDGDKEGFFKRLLTKEKDNEEEEGDRDGFFHRLLRDSKDEDVELTPNSEGLLKRLLRDKEDRQGGDDEKEGFFRRIFKDKNEERRESLHGKHGDEERVGRSLEDDDKEGFFRKIFKDKNEERKDGGHNKQQDDRQNIVGNIEEDKRDGFFRQLFKEKNEEKKEGTTPNRKEDDEKGHRTVDDDNFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSEGPDKIDDDGKGTSGIDEEESSEFLSFRRLFRVHPEDAKSAHIESSQPNSISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEVYVFQKNPVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGRGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDANKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSIAISDSGHRSRQVTTDSHDPSGDSQAIVDQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSAQNVDSKATDSGDPKPTDALAGELWAVKRERIQRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNITSLRDYYVAKYEENSQNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQNISLHTFDMTFYCNEDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >LPERR11G05870.1 pep chromosome:Lperr_V1.4:11:4523157:4526861:-1 gene:LPERR11G05870 transcript:LPERR11G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRAAMEGRRRRVVVDGDEGITNTTNQGTSTTTATTPLLSLFRAKTESEEKVEWVRSQLIGRGAAFDTPFGRRPLVYADHTASGRSLRYVEDYILHHVLPFYGNTHTEDSYVGSRTTRTVRRAESYIKKCLGAGDNDALLFCGSGATAAIKRLQEVMGVAASPGPLRERAAALLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEIGEDGDGLLDLAALRQALRDPRYADRPMIGSFSACSNVTGIITDTRAVARLLHQHGAFACFDFAASGPYVEIDMRSDQTDGYDAVFLSPHKFVGGAAGTPGILAVTRALYRISSQPPTTCGGGTVLYANGASESHTVYHSAVEAREDAGTPPIVGKVRAALAFWVKHRAGLSPAAAIREWGYADAAMAWLMENPRVVVLGNVKARRLPIFSFLVFPGSDGGDDWVNGVDGVNGGGSRRRLPLHGRFVARLMNDLFGIQARGGCACAGPYGHRLLGVGDELSLRIRDAILKGYHGVKPGWTRVSFAYYLSSEELRYILAAVDIVAAHGHRFLPLYAFDWRTGDWRFRHDANLNRHHLMAHHLGGGGGGATTSSSEYSDDFDDAGGGEVHTARSDDVSAEKFEGYLETARRIARSLPEPEMNDRLVNVPEGVDPDIVLFRL >LPERR11G05890.1 pep chromosome:Lperr_V1.4:11:4543461:4549566:1 gene:LPERR11G05890 transcript:LPERR11G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRSNGANHIGSSSSSSSAKGKEKSTGDDFLDVLVEVFPQLNFLTLVEVCMDYKNDIDGAADYIIKNVLPSILDDDNTNANQDSHIKDSVQFDMNNTYTTDEHEDNTTRESLIQSSSSEPDSLVGDDKHDCLVTSAEDLKPETSTLEDDLATYDDGLPDLTLTSSSFVRLESLDNSIAAENNKKVTLMSNVAAMSQMLEDVKLKEDKSKQVMLEATQAGDDILVEVEKMKELRMLLKDKNEKVAGEVFAEQSILASEAHGLQARLSNISKERNHYILIIDEMFHTLERRLATVEMDIATAEKEKIERETLAKEMLREQELLFDATKETSNKIEEQAHENTKLRELLMDRGQVVDALQWEFIGIFDRISELQLKVDMELPKSLQDSSNLSSLDKSVEDITQLQGKVDEPQCSNDEPLESAPPRLTFGSFKSTDNIAQAYCRIDESQLLIKPQLLVDESDLSIDECSQLASSSLSSSLKSTDIIAQLLGKTLDVNFIAEKSLQAASSSLCSSVKSLDDMPHLQCNEEIDDASSQNNLDDLDDSWDVVD >LPERR11G05900.1 pep chromosome:Lperr_V1.4:11:4549218:4549472:-1 gene:LPERR11G05900 transcript:LPERR11G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLSCLGAGKKVSPAKQQQQPAAEDQIKQQKQFCSKEADAAVGDEKKFGGHVSKVEGAEKKKNGSAPILRHHFPFHSRPGLL >LPERR11G05910.1 pep chromosome:Lperr_V1.4:11:4550616:4553360:-1 gene:LPERR11G05910 transcript:LPERR11G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEAKKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >LPERR11G05920.1 pep chromosome:Lperr_V1.4:11:4558252:4561134:-1 gene:LPERR11G05920 transcript:LPERR11G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCQSEESNMCDLLRINVDRGVMIGDGQSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCIMSCGFCTGFGATVNVAKPKRGQTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKWEQAKKFGCTDFVNPKDYSKPVQEVLIEMTNGGVDRAVECTGNINAMISAFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGESIRCVMRMEE >LPERR11G05930.1 pep chromosome:Lperr_V1.4:11:4568048:4570059:-1 gene:LPERR11G05930 transcript:LPERR11G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELTERPSSRRGSFPPTFRHDLEDFRERMCLMWVTDKEDEEPTDDPLDTPPVLIILYPGHGEGGWETMFHDESRGPSATVEAELMNLIKADWDWKQKENLPKEGVNQMIMMATIAKWQSTNGDDECT >LPERR11G05940.1 pep chromosome:Lperr_V1.4:11:4573570:4581232:-1 gene:LPERR11G05940 transcript:LPERR11G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDMKKMRVRLENITKMQKDFNFISESSSHVNDQEVLYGDRVTSPKVEEAAIIGRTHETRKIVADLSNKILTSDLIILAIHGMGGIGKTTLAQLVFNDKLFKDYCPVWVYVSQVFDLNKIESSIISQLSKTMPLITDLEMIPPNMNILIVLDDLWEKDDFRLDKLKLKLNVGKGAKVIVIVTTREEYIAKKFSNIEPYKLEALTDDMCWHIIKQKSDFVNRNDKEQLEQIGREIARKCGGVALAAQSLGYTLRFKSSDEWESVKEGIYGMNQPRKIHLHRIIMKPCLKMCFGYSAIFRKGQNIVKDDLIHQWISVGFIQQWQLGETYVNELLGMSFLHHSKSSSSTGMHDENVILLTMHDLVHDLARTVMVDEIMVSCKPEKSCDRSYRYALLSDSSKLLKSFTKFPTKIRAVRFVDCAKVHTHAFSDAKFLRVLDLSDCSVKKLPDSMCQLRQLRYLNAPGLQDKMFPDCITKLSKLVYLNLRGSSELQSLPESIGEMDSLMHFDLSGCSGIQRVPKSFGKLKLSYLNLSNCSRLKGVSEILRDLTKLQHLNLSYCQYVEELGNLGNLMELQYFHFSSSCSPGVSETDFFGAGTKLKYLNLSTVFTDIKIKRLPEAKGSFSKLNYLNLSGWRKLEELPRSWGNLQSLVHLDLSNCCMIKGLPEVLGRLTKLQYLNLSCCCCCNKEALKGIKNVMGKLTELRNLYLSGFLNNILSTNETIEDVCQIFFASLSLLHNLEELDLSNNFCIKTLPESIGNPSNLHTLNLSDSDNLLRLPNVMHEMDNLRHLNVSGCHLLDHSMVPMMDNNSIRLPQFVVQASDSKSSSNVILLQDVYPHELEISKLENVFTVEEAQRVRLQDKEMISRLTLNWTRDVTRYVEDQDLLHELKPPSKLQWFNLQGYSGVAFPSWLMDIVPSHFPCLTSIYLVDLPKCTFLPPLGQLPKLETLLLDRMSGITKIDGNFCGGDGAFRSLKNLSLSNMESLEEWVTIYYCDMWEGASEFMFPNLRILTIDHCPNLSLKPCPPKVDAWDIDRSDNVISSWPGADCASFSNVQVDKLTIKSCKLPMHRWTLFHHLSPLNSLEIVSCSYLRSSPEITQALSPLLGLSLKRNDFMPELPYWMGKLTSLSDLILSTRYLELNASPGVLRKLTSLRSLTLSECENLASLPKWLGDLPSLRQQNINEYPRLNNLEGIIDERLTNLERLDVRSCESITALPESLGKLTSLKKLYIRWCNGIESLPDSIHKLTNLVSLDVWGSPELEKWCELKENRTKLSHVL >LPERR11G05950.1 pep chromosome:Lperr_V1.4:11:4597062:4622917:1 gene:LPERR11G05950 transcript:LPERR11G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMKAMRAHLEKIRKQHKDFKFDPESSSALPQVLSGYRATSPEVEDADIVGRTEAKQKIVSVLSKKISMHDFTILVIHGMGGIGKTTLAQLVCTDNQFRGYSPVWVHVSEVFDLKKIESSIITQLPGSTPSNIIMVLDDLWEKYGGNLDGLKLKIKSISKGAKVIVIVTTRHEDIASKFLNSDSYKLEGLTDEMCWDIIKQRSGFVNRDDKDQLEQIGREIARKCGGVPLAARSVGYTLHSKRPDEWESVKNSDIWNESNSDDTSSSTHHVLASLKLSYVRMRPCLKMCFGYCAIFPKGQKIVKDDLIQQWICLGLIEPHKVFSPRQLGETYVTELLGMSFLQHSKSSPTTGSHHGSITLYTMHDLVHDLARFVMLDEILVSSKQGNDGERRYRYVLLDDCTSPLESIIDIPTKIKALRFMDCAKARISDYGFSSAKYLRVLDLSECFLQKIPDSIVQLTQLRYLSAPGIQATMIPDCITKLSKLVYLNLRGSSELLSLPESIGEMDCLVHLDLSGCSGLQGVPQSFQNLKLSYLDLSNCTSLKCVSKILGNLTKLQHLNLSYCQYVENLGNLGNLTELQYFHFSSSCSPGVLETDVLGGGTKLEYLNLSTGIKDIGIKRLPEAKGNFTNLKYLNLSSWRELEELPKSWGNLKNLVHLDLSCCFMIKGVPEALRSLIKLQYLNLSFCCTSNKKALKGVDVVLGKLIELRKLYLSGFVDAIILMHKAIGNVGQNFLASLSSLYNLEELDLSANLLIYTLPESIGNLSNLRTLNISDCVKLICLPKAMCEMYSLKHLNVSGCRRLDHSTVPMLDTNSIRLPKFVVQGCNGESSSNIILLQNVYSTNELEISKLEKVVTVEEAERVRLKEKEQIKTLRLHWTRNVKGFVEDLDLLGELEPPRELERFELQGYSSVAFPSWLMNIGPNHFLCLTYIRLVDFPNCTFLPPLGQLPKLESLILDGMNGITRIDEDIYGGAEAFLQLKSFSLCNMASLEEWQTIHSCDVVGGASKFMFPNLKMLEIRHCPNLSLKACPPKVDTWKIESSDNVISSLTGAECASSFTIKEMLVKSCKLPLHRWTLLHYLSPINSLTVKDHSVIESCSDMSSSPEITQALSTLRELFLIRNDVMPELPNWVGKLTGLSRLVISSKNLELNASSGVPRQLISLRYLTLAECESMASLPKWLGDLPSLHKLYIENCPSLNNLDGSLPESIHELTNLASLKVSYCSKLDKWCESEENKIKLSHIPIKIFRKGRTRPPPPPSAVGGEKVGFNPNGATAAPSPNSPRWPGAELAHLRIAAAASSFLLRSGMDACRLQSQEDGFVFAGLGRKLSLLRCCRLLEAASEKFPGAAMATAAIGGMLASAVTKETTRNLGSLIEGEIKLQWNFKRDLQGLKDALESIEAVLEDAERRSIKEKTVQLWLQRLKNASYDISDMLEEFEVETTRHSARKASLDIFHLTNITMAHKMKKMRHTLKNITEQYQSFGFKQGFSSSEQQVLDKRETSSKEGEEFIVGRTEEKQTFIFSCLSDNINNKTTILPIYGIGGIGKTTFAKMVWVYVSQTFDLNKIGNSIISASSKEESKLTTRQMIHTFLGERLDDKKILIVLDDLWVIDDSELNELKSMLKHIGNSCTKVIAIVTTRDKEIADKICTIEPYELPLLTDDMCWTIIEQKVGFEGRPNKDQLEKVGRAIALKCGGVALAAETLGYMLRSMTIHKWESVRDSDIWSEFNSEDRSNQHHKGLLDLPTLSSKSAKLQQCITKREASTDPLHHEDVTLLTMHDLVHDLARYVMVDEILDASKQGNTTRCRCRFALLNDCTRPLKSFTHSPAKIRALCFLESDKNVLHGASFSSAKYLRFLVCGKTGFRNDVFSTAKYLHVLDLSECSIQKLPDSIGHLKQLRYLNAPKVQQRIIPNSVTKLLKLIYLSLRGSSVIMTLPESIGEMEALLYLDLSGCSGIQELPVSFSKLKKLIHLDLSNCSHVTGVSESLVSLTKLEYLNLSSQSSHIKRLPEAWSSFINLKYLNLAGFENLEELPTSFGNLKSLMHLDLSNCRQVKGIPEAFGGLTKLQYLNLSYCCNIFQDDFHIRTKAEAIGNLNKLHDLNLSGLMYDYWQQKCISFFECINTLSNLEHLDLSNNKYLHSLPDCFGRLRKLHTLDLSGCGSLKTMPASIGQIDSLKFVHTDACYGLESSTLRVLNKSSITLPHCVVQANVNGSGSNIVLLQDVNPPNLKISRLENVMSVKEVQKIKLMEKRGIKQLEIKWSKNAKRFVGDMELLGQLVPPRTLKNFEIGGYNYTKFPSWFMGIAHYLPNLVCITMNDLPNCISLPPLGQLPNLEELVLKHMNKITKIDENFCGSPKPFPRLKKFVLEFMESLEVWNTRNSSGGDNAREFMFPDLCRLIINRCPKLRITPFVPRADKWLITGSDGVISCLRESVPQTRPSFSTSVSTLFFLKGTILNTLEVNFCNVPPREWRFLHHLPGINNLRIRGCSDLTISPKIIGALSSVQSLVLRSRHNQAELPDWLGQLTSLKKLEIKEFDVKASWEDTKYLTALQSLSLSGCKSMIELPQWVGDLTSLQELTIKFCPNLNNLTGIMGRLTSLRKLEISFCGSIKSLPEGIENLIKLEHISIYECVELKQWCEFVGNKRKIEHVKEMLHTLGISGRWFLNTIPVSLGQIDNLTFVHSNSCPHLKSSKLCLLNKSSILLPLFVVQANEDSSSTNIVLLEDEFEIRGYSCAQSPDCLMDIAPYLLILVSIAFDRFAIHQVAKISQNFNHLIRYN >LPERR11G05950.2 pep chromosome:Lperr_V1.4:11:4597062:4622917:1 gene:LPERR11G05950 transcript:LPERR11G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMKAMRAHLEKIRKQHKDFKFDPESSSALPQVLSGYRATSPEVEDADIVGRTEAKQKIVSVLSKKISMHDFTILVIHGMGGIGKTTLAQLVCTDNQFRGYSPVWVHVSEVFDLKKIESSIITQLPGSTPSNIIMVLDDLWEKYGGNLDGLKLKIKSISKGAKVIVIVTTRHEDIASKFLNSDSYKLEGLTDEMCWDIIKQRSGFVNRDDKDQLEQIGREIARKCGGVPLAARSVGYTLHSKRPDEWESVKNSDIWNESNSDDTSSSTHHVLASLKLSYVRMRPCLKMCFGYCAIFPKGQKIVKDDLIQQWICLGLIEPHKVFSPRQLGETYVTELLGMSFLQHSKSSPTTGSHHGSITLYTMHDLVHDLARFVMLDEILVSSKQGNDGERRYRYVLLDDCTSPLESIIDIPTKIKALRFMDCAKARISDYGFSSAKYLRVLDLSECFLQKIPDSIVQLTQLRYLSAPGIQATMIPDCITKLSKLVYLNLRGSSELLSLPESIGEMDCLVHLDLSGCSGLQGVPQSFQNLKLSYLDLSNCTSLKCVSKILGNLTKLQHLNLSYCQYVENLGNLGNLTELQYFHFSSSCSPGVLETDVLGGGTKLEYLNLSTGIKDIGIKRLPEAKGNFTNLKYLNLSSWRELEELPKSWGNLKNLVHLDLSCCFMIKGVPEALRSLIKLQYLNLSFCCTSNKKALKGVDVVLGKLIELRKLYLSGFVDAIILMHKAIGNVGQNFLASLSSLYNLEELDLSANLLIYTLPESIGNLSNLRTLNISDCVKLICLPKAMCEMYSLKHLNVSGCRRLDHSTVPMLDTNSIRLPKFVVQGCNGESSSNIILLQNVYSTNELEISKLEKVVTVEEAERVRLKEKEQIKTLRLHWTRNVKGFVEDLDLLGELEPPRELERFELQGYSSVAFPSWLMNIGPNHFLCLTYIRLVDFPNCTFLPPLGQLPKLESLILDGMNGITRIDEDIYGGAEAFLQLKSFSLCNMASLEEWQTIHSCDVVGGASKFMFPNLKMLEIRHCPNLSLKACPPKVDTWKIESSDNVISSLTGAECASSFTIKEMLVKSCKLPLHRWTLLHYLSPINSLTVKDHSVIESCSDMSSSPEITQALSTLRELFLIRNDVMPELPNWVGKLTGLSRLVISSKNLELNASSGVPRQLISLRYLTLAECESMASLPKWLGDLPSLHKLYIENCPSLNNLDGSLPESIHELTNLASLKVSYCSKLDKWCESEENKIKLSHIPIKIFRKGRTRPPPPPSAVGGEKVGFNPNGATAAPSPNSPRWPGAELAHLRIAAAASSFLLRSGMDACRLQSQEDGFVFAGLGRKLSLLRCCRLLEAASEKFPGAAMATAAIGGMLASAVTKETTRNLGSLIEGEIKLQWNFKRDLQGLKDALESIEAVLEDAERRSIKEKTVQLWLQRLKNASYDISDMLEEFEVETTRHSARKASLDIFHLTNITMAHKMKKMRHTLKNITEQYQSFGFKQGFSSSEQQVLDKRETSSKEGEEFIVGRTEEKQTFIFSCLSDNINNKTTILPIYGIGGIGKTTFAKMVWVYVSQTFDLNKIGNSIISASSKEESKLTTRQMIHTFLGERLDDKKILIVLDDLWVIDDSELNELKSMLKHIGNSCTKVIAIVTTRDKEIADKICTIEPYELPLLTDDMCWTIIEQKVGFEGRPNKDQLEKVGRAIALKCGGVALAAETLGYMLRSMTIHKWESVRDSDIWSEFNSEDRSNQHHKTDPLHHEDVTLLTMHDLVHDLARYVMVDEILDASKQGNTTRCRCRFALLNDCTRPLKSFTHSPAKIRALCFLESDKNVLHGASFSSAKYLRFLVCGKTGFRNDVFSTAKYLHVLDLSECSIQKLPDSIGHLKQLRYLNAPKVQQRIIPNSVTKLLKLIYLSLRGSSVIMTLPESIGEMEALLYLDLSGCSGIQELPVSFSKLKKLIHLDLSNCSHVTGVSESLVSLTKLEYLNLSSQSSHIKRLPEAWSSFINLKYLNLAGFENLEELPTSFGNLKSLMHLDLSNCRQVKGIPEAFGGLTKLQYLNLSYCCNIFQDDFHIRTKAEAIGNLNKLHDLNLSGLMYDYWQQKCISFFECINTLSNLEHLDLSNNKYLHSLPDCFGRLRKLHTLDLSGCGSLKTMPASIGQIDSLKFVHTDACYGLESSTLRVLNKSSITLPHCVVQANVNGSGSNIVLLQDVNPPNLKISRLENVMSVKEVQKIKLMEKRGIKQLEIKWSKNAKRFVGDMELLGQLVPPRTLKNFEIGGYNYTKFPSWFMGIAHYLPNLVCITMNDLPNCISLPPLGQLPNLEELVLKHMNKITKIDENFCGSPKPFPRLKKFVLEFMESLEVWNTRNSSGGDNAREFMFPDLCRLIINRCPKLRITPFVPRADKWLITGSDGVISCLRESVPQTRPSFSTSVSTLFFLKGTILNTLEVNFCNVPPREWRFLHHLPGINNLRIRGCSDLTISPKIIGALSSVQSLVLRSRHNQAELPDWLGQLTSLKKLEIKEFDVKASWEDTKYLTALQSLSLSGCKSMIELPQWVGDLTSLQELTIKFCPNLNNLTGIMGRLTSLRKLEISFCGSIKSLPEGIENLIKLEHISIYECVELKQWCEFVGNKRKIEHVKEMLHTLGISGRWFLNTIPVSLGQIDNLTFVHSNSCPHLKSSKLCLLNKSSILLPLFVVQANEDSSSTNIVLLEDEFEIRGYSCAQSPDCLMDIAPYLLILVSIAFDRFAIHQVAKISQNFNHLIRYN >LPERR11G05960.1 pep chromosome:Lperr_V1.4:11:4623299:4623816:1 gene:LPERR11G05960 transcript:LPERR11G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLKLVTQKIGSAIGDQILLRWDFQSDLQEMKMTLESMEALLNDAERQSIDRESVRLWLNRLKHCSYDIADMLDEVDAWTNPAPGKS >LPERR11G05970.1 pep chromosome:Lperr_V1.4:11:4630095:4630412:-1 gene:LPERR11G05970 transcript:LPERR11G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGMLASAVLKMAGDQIGSAITGQIKLQWDFNDDLEDMKMTLETISASLKDAERLSINSAEVLLWLKRLKGAAYDIADMIDEFEADTEINPVAPKVQLSCLL >LPERR11G05980.1 pep chromosome:Lperr_V1.4:11:4641410:4671804:-1 gene:LPERR11G05980 transcript:LPERR11G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNILSAVKSCCRYNGTARACYTACCWLASEETCAKRCDCILIPRNSGVDRCPEGYRSLTIIPDFEGLESIEYCRLGCRSFVCSNMNSVEHPKLLYFFVFRRNQSTMGSKGININGVLMCVLVLGLALELVHVEAKSCCRNGMARNCFNACRFVASQQTCANSCDCKLISGISRDQCPEGYRSLTFIPDFERLESISEYCRLGCRSFVCGNMNSVDDTKEKATIVERCSEGCDRFCSRDASIASVAA >LPERR11G05980.2 pep chromosome:Lperr_V1.4:11:4641410:4671804:-1 gene:LPERR11G05980 transcript:LPERR11G05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNILSAVKSCCRYNGTARACYTACCWLASEETCAKRCDCILIPRNSGVDRCPEGYRSLTIIPDFEGLESIEYCRLGCRSFVCSNMNSVDDTKEKATIVERCSEGCDRFCSRDASIASVAA >LPERR11G05990.1 pep chromosome:Lperr_V1.4:11:4699121:4699348:-1 gene:LPERR11G05990 transcript:LPERR11G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNYPIVMPDRGSTRQSTWVTCQSTTRRARHPLDGGDQKRYASHGTQSPALTRSSLTRPKSILVCANCPGM >LPERR11G06000.1 pep chromosome:Lperr_V1.4:11:4701113:4701973:1 gene:LPERR11G06000 transcript:LPERR11G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCDQRQHLCASQPFPQLSSSPLPQKLASSAAIMTGAASTVAVTRPQGASTTATAVYPAASSGVEED >LPERR11G06010.1 pep chromosome:Lperr_V1.4:11:4722969:4742785:-1 gene:LPERR11G06010 transcript:LPERR11G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRYGFSERRKVGKGAYGTVYKGELKNGEEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKHAEYKGRIVFAALIHRALCFEYMPGGSLENHLSDEFHGLDWPTRYKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFSEDKTRITQTPIGTIGYLPPEYIENNIVSNKLDIFSLGVVMLKIIAGPNWRSRSVEMSSLQEFTDQVLGNWTIRLQETWNGSSLDVYRQQVKACTEIALKCVEMDRFKRPKIVDIINQINEHQTLFGKLPIGHGPEGDLLSDHGHERLPTHSHKSVTLESSSVSHLNSSDIKENQEDDQHSSSYFKEKEEDRQMHQIIPMVHPDIPVDVHPSEPWILTGNIFGSVDILNYNTLETMNLIQAGSYEQTACSAKFIARKQWFVVGCKDGFIRVYTYDSPIQKVKRFKAHSWNITCLDVHPIEPYMVSASSSLMDKIKLWDWNKGWECIKTFEMQGLAQEIKFNPKDSQKFVVASILNAQVWNFRSSRCEFTLSGHGSLVSSFDYFTRGNQLYIITGSLDKTAKIWDCQSRTCVQTLTGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSNTFRLEDVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIGQQPLVSHGPEDKLLSDQHQKLRSYSNEAVTLESRLKRHLNLGHIQENQEEDHHNSSCLKDKGEDSAVHQIIPMERPDFPIDVHPSEPWVLTSNIAAKLIARKQWLVVGHQDGFIKVYTYESPAQQVKRFKAHPLVITCLDVHPSEPYVLSAGTMDSIKLWDWNKGWECIKKFEMPGQTYELKFNPKDEHRFAVAYLLNTQVWNFRSSRHEFTLSGHQSLVSSFDYFTRSNQLYIITGSLDNTAKIWDCQSRTCVQTLTGHMDGITCVCSHPDLPILLTGSNDETVRVWNSNTFKLEGVLDFELGKVISIACLRGSKRVVIGHHAGLVITEIRCEQPGPSNR >LPERR11G06010.2 pep chromosome:Lperr_V1.4:11:4722969:4742785:-1 gene:LPERR11G06010 transcript:LPERR11G06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRYGFSERRKVGKGAYGTVYKGELKNGEEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKHAEYKGRIVFAALIHRALCFEYMPGGSLENHLSDEFHGLDWPTRYKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFSEDKTRITQTPIGTIGYLPPEYIENNIVSNKLDIFSLGVVMLKIIAGPNWRSRSVEMSSLQEFTDQVLGNWTIRLQETWNGSSLDVYRQQVKACTEIALKCVEMDRFKRPKIVDIINQINEHQTLFGKLPIGHGPEGDLLSDHGHERLPTHSHKSVTLESSSVSHLNSSDIKENQEDDQHSSSYFKEKEEDRQMHQIIPMVHPDIPVDVHPSEPWILTGNIFGSVDILNYNTLETMNLIQAGSYEQTACSAKFIARKQWFVVGCKDGFIRVYTYDSPIQKVKRFKAHSWNITCLDVHPIEPYMVSASSSLMDKIKLWDWNKGWECIKTFEMQGLAQEIKFNPKDSQKFVVASILNAQVWNFRSSRCEFTLSGHGSLVSSFDYFTRGNQLYIITGSLDKTAKIWDCQSRTCVQTLTGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSNTFRLEDVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIGQQPLVSHGPEDKLLSDQHQKLRSYSNEAVTLESRLKRHLNLGHIQENQEEDHHNSSCLKDKGEDSAVHQIHSRHPCRLLPLSAAACAGLSAAKLIARKQWLVVGHQDGFIKVYTYESPAQQVKRFKAHPLVITCLDVHPSEPYVLSAGTMDSIKLWDWNKGWECIKKFEMPGQTYELKFNPKDEHRFAVAYLLNTQVWNFRSSRHEFTLSGHQSLVSSFDYFTRSNQLYIITGSLDNTAKIWDCQSRTCVQTLTGHMDGITCVCSHPDLPILLTGSNDETVRVWNSNTFKLEGVLDFELGKVISIACLRGSKRVVIGHHAGLVITEIRCEQPGPSNR >LPERR11G06020.1 pep chromosome:Lperr_V1.4:11:4743900:4749799:-1 gene:LPERR11G06020 transcript:LPERR11G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKHPNIVRLVGYCYEAKHTHRYINGKNVFVAIIHRALCFEYMPGGSLENHLSDEFHGLDWPIRFKIIKGTCEGLKYLHEELKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFSEEKTRITQTLVGTIGYLPPEYLEKKVISNKLDIFSLGVVMLQIIAGPAGRSRSADMSSREFTDTVLGNWKTRLQTRRNGSLLEAYCQQVKMCTEIALQCLETDRTKRPNIVDIINQINKKETMIGKLLIDHGMEMLPSHNESITVESMLASHLNLNDTKENQEVDHHNSSCSQEKEEDHEADQIIPMEYPDVPLDVHPSEPWILTSNMFGSVDILNYNTQFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHTWNITCLDVHSAEPYVLSVGSQDQIKLWDWNKGWECIKTFDIHGLAFQIKFNPNDAHKFAVASLMDAQVWNIRSSRHEFTLSGHLSMVNCFDYFARGNQLYMITGSWDNTAKIWDCQRRTCVQTLAGHTDCVTCVCSHPDLPILLTGSNDETVRLWNSNTFKLEGVLDFELGKVTAIVCLKGSKRVVIGHDAGLVISEIRHKQPGPSNT >LPERR11G06030.1 pep chromosome:Lperr_V1.4:11:4753724:4756289:1 gene:LPERR11G06030 transcript:LPERR11G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARLCGNDWRSHDVFINMLPWRWSREPFVTQPALHDVVATQDWSPAHVAAQDWSSHDVTINMLPWRWSRVPFVIQPASHDVVAAQDWSLTHVVAAQDWSPHDIAIDTLPRR >LPERR11G06040.1 pep chromosome:Lperr_V1.4:11:4763612:4765096:1 gene:LPERR11G06040 transcript:LPERR11G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRISVLLRRCAAAGEIRPGGQVHARAVVGGWLPDATVETDLVLLYCRCGERDRARRVFDGMRAPSMHAYNLLLAASPPRTAMEIFARLLGAGLRADRYAVPAVLRACAELSDVVLGAALHGFAVRIGLMANVVVAGVLLDMYAKVGLLDDAVRVFDEMPERDAVVWNCMVNGFARAGRAVEAFGFFTRAQVKAVNMANDLRAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDVAVGNALIDMYAKCGRVNVSQKVFSGMQERNVVSWSTMIHCYGIHGKGEWALKVYREMLSQGVKPNWITFTSILSSCSHCGLVTEGRKIFESMTKVHSVHPAAEHYACMVDLLGRSGAIEAAVGLIRKMPMEPSSSVWGALLSACAMHNNVDVGEIAAYRLFELEEGNASNYVTLCSMYDAVGWSDGVAELRSRMRELGMVKTPGCSWVDVKGKLHAFYQGSIPRYMRRRILWVLDRLLEDMAYSDSENEHADLY >LPERR11G06050.1 pep chromosome:Lperr_V1.4:11:4767212:4770769:-1 gene:LPERR11G06050 transcript:LPERR11G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVVVVGWVLGFGGFGVWGFPEEDLVTRLPGQPAVGFRQFAGYVDVDVAAGRSLFYYFAEAAEGAAAKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKANDMYKFLLGWYKKFPEYRSRALLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISHSCDFEDYTFNNPHNESKSCNDAIAKANSIVGNYVNNYDVILDVCYPSIVMQELRLRKYVTKMSVGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNTDGNINILPLLQRIVEHKIPVWIFSGDQDSVVPLLGSRTLVRELAHTMGLPVTVPYSSWLRRGQAGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPPIN >LPERR11G06060.1 pep chromosome:Lperr_V1.4:11:4776866:4784310:-1 gene:LPERR11G06060 transcript:LPERR11G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLRVATQKLGAAIGGRIMLQWNLDRDLEGMKATMESMAAVLEDAERRSVREAEVRLWLRRLRDAAHDISDLLDEAEAAAGSKSTAVGKLGGMIPNLTTISPKIALANKMKTIREELKEITNQHLSFRFTADSCHKEQQVITDNRATSSKVDETLIVGRTEEKETIVSSLSERMAEDTVILPIYGIGGIGKTTLAKLVFNETRFQDYSKVWVYVSQTFDLRKIGNSIISQVSKSESQLTEMEMINRCLDELLAGRKVLIVLDDLWEKNQFLLGDLKTMLMFGRGCKLIVIVTTRDEHTAKKICTTKPYRLELLTDDICWKIIKEKSAFVARDDKEQLEDIGREIASKCGGVALAAQSLGYTLQSMKFDEWVSVRNNDIWNSSTTSFPYHNVVASLRLSCSHMLPYLRLCFAYCAIFPKGHTMVKDHIIHQWSALGFIEPSDIFSTKQLGEAYVTQLLGLSFLQQTKAPSTTRVHNKDSTLLIMHDLVHDVARSILFDEVLDSGKNINIGGSSCRYGILSDCSKPLEVITPSPAKIRALHFLGCGKIELRGVAFSSASCLRVLDLSGCSIQRLPVSIGQLKQLRYLNAPGIKNRMIPKCLTKLLKLEFLSLCRSRALSALPESIGEIEGLMHLDLSGCSRLEELPKSFGKLRRLVYLNLSNCHRVKVVSECIGGLTNLEYLNLSQCPKIRFLPRTLGSLTELKYLNLSDCFGIKELPKSFQKLKNLVHLDLSNCNCVKDLSEALDGLAKLQYLNLSSCRHYGNQFHLRRLPEVMGNLKSLRHLHLSGFLDNIFGSQPRVMDTLLEIGYLNLIKFEGNIFEQLPPDQSHNFIECISALSNLEHLNLSNNVSLYRVPVSLGTLRKLHTLDLTGCIGLLWLPESIGQIDSLKFIFVKGLLKLDSTLLRFKSSSTLLPNFVVQSVDERSSNLVQLLHANPAELEISSLENVKSTKEAERIKLLEKRMIVTLKFNWTIYTKRFVDDIEVLRELVPPSTVKFFELRGYSSISFPTWLMDIALSLPNLVEIKIVDLMKCNILPLGQLPKLQKMVLGRMKSVTKIDADFCGGARAFPHLKIFILYNMKGLQELHTTYCCGEDGVNEFMFPNLQLLEIQNCPNLIVKPVSPGAVRWDIECSDNVISSWGGNAQTIISSSSSPVSNLVVSFCKVPLHQWSLLQHLSALSHLTIHWCTDLTSSSEIIQGLQSLKSLSLDGNEKAELPEWLGDLPSLQKLEITMYPSLTELHEKMRQLISLQSLTLSSCQMLTSLGEWFGDLTSLQELHISHCQGLNSLPEGMRHLNSLSSLHLSYCENISALPEWLGNLTSLKRLDIWECRRITSLPESIEQLSMLEHLEISGCPELRRWCELEENKMKFAHVQYKSFW >LPERR11G06070.1 pep chromosome:Lperr_V1.4:11:4800766:4801317:-1 gene:LPERR11G06070 transcript:LPERR11G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSFLLAAAVFLHRHDAATLTTTATQTHLHFYMHDAYTGPNPTATRVVSGHSLLPNSTTTPSSSSPRQFGDIVVLKNALTEAPSASSARVGTAQGFAVRVSEGGVVSDLSMHLVVEAGEHKGGSVTVKGRIDMDAVERESVLIGGKGRFRFARGYMVTKNYDYSLATGGVVEIDLYLQH >LPERR11G06080.1 pep chromosome:Lperr_V1.4:11:4814250:4814798:1 gene:LPERR11G06080 transcript:LPERR11G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLGSGFLLLAAAVFLHHHHNSATTTTTHLHFYMHDAYTGPSPTAIRVVSGATRSQLLNDDGAPPPREFGDIVVLNNALTEGPSATSARVGTAQGFAVRVSERGVVSDLSLHMVLDAGEHKGSSVTAKGRIDMDAVERESVVIGGTGHFRFARGYMVTKNYDYSLATGGVVEIDLYLQH >LPERR11G06090.1 pep chromosome:Lperr_V1.4:11:4847519:4848579:1 gene:LPERR11G06090 transcript:LPERR11G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLGSGFLLLAAAVFLHHHHNTTTTTTTHLHFYMHDTYTGPNPTATRVISGHSLLPNSTTTPSSSLPRQFGDIVVLNNALTEAPSASSARVGTAQGFAVRVSEGGVVSDLSMHLVVEAGEHKGGSVMVKGRIDVNTAVRESVVVGGTGRFRLARGYMISKSYEYSLATGV >LPERR11G06100.1 pep chromosome:Lperr_V1.4:11:4849927:4850810:-1 gene:LPERR11G06100 transcript:LPERR11G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESSGPIFGKCPFHKKFRCSPTGCLSMDLQHRVTKLRGTLLLHQRLAAEWIHMPVQLETDCSSLVQRMMATKPDRSRWSFAMKATMARL >LPERR11G06110.1 pep chromosome:Lperr_V1.4:11:4852994:4853515:1 gene:LPERR11G06110 transcript:LPERR11G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLHLALATVLALTTSVGAATTHLRFYMHDTVTASASSPSTAALIVKGVAKLPNDPVNRFGDVYAIDDPLTEGPELSSALVGRARGFYMFASLTDGTLLLSATMEFTAGKLNGSSVSVLARDAILDEVRELPVVGGTSGLRGATGYGLLRTQSYNPSNNNAVLKIDMYLKV >LPERR11G06120.1 pep chromosome:Lperr_V1.4:11:4856142:4858946:-1 gene:LPERR11G06120 transcript:LPERR11G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAMSWITTGLFVWMLFLIEVAEPAPRAVKSIKAENGDVIDCINIYQQPAFNHPRLKNHKIIGVAPGNMSSSTRGKAVRQPWRKNGKCPDGTIAVRRATQHSRIETDATQPTPIPTNSCYIDYAGLETLQKSYGTRGYVDVWGIKVEPNEWSASGVVVSNGKGSRLQFGWMVSPTLYGDDGQTRLFIRTVDPPSGVDCFNLECSGFVQTTNEIAFGAVLTPLSQVGGDQFEDSLTNNWWVVFGGDTPLGYWPKELFPTFNNGSSRSYMGGEVCNRHPGERFTTSQMGSGAYITDGWGKCAFVDAMQLRHSDDTWEKARKVSGEFSRACYGVLIYNSQDGLTSAMYGGTGNEECCGNPCLK >LPERR11G06130.1 pep chromosome:Lperr_V1.4:11:4864133:4865170:1 gene:LPERR11G06130 transcript:LPERR11G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRFLLLIAAGLLLATVTAGDQLPPRRTAMAATIAASCDPLCIAGAGATPATSMAAATTAAVAAAGNVSAAIPPPYQLGHVPTFPAPPDHRPADNTPPPPDHRPPDNTPPPPPPPPPRSLVGATRPTTAVAAAAAVFSAALLVVAAS >LPERR11G06140.1 pep chromosome:Lperr_V1.4:11:4867305:4875203:1 gene:LPERR11G06140 transcript:LPERR11G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMAVARFDFSWMDATYHQETLNNLRKAARNVNKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVTITPDLSKAPSSEILPVKFGDLAKVVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTASLAGHMFTLHVSKAHVSLPTLSDYDKEVISTWGLCNSVDIISLSHTRSADDVRELRSFLQSHDLPDTLIYAKVENTEGLDHFDEILQEADGIILSRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGPYPVDAAEAVFNQLVHFKKIVRHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAVRGVYPLMGSTEEAETGGLTREEYGIKLAQNYGRSVGMLKPFDRLIIFQKIGDSSVVKIIECDSF >LPERR11G06140.2 pep chromosome:Lperr_V1.4:11:4867305:4874698:1 gene:LPERR11G06140 transcript:LPERR11G06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMAVARFDFSWMDATYHQETLNNLRKAARNVNKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVTITPDLSKAPSSEILPVKFGDLAKVVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTASLAGHMFTLHVSKAHVSLPTLSDYDKEVISTWGLCNSVDIISLSHTRSADDVRELRSFLQSHDLPDTLIYAKVENTEGLDHFDEILQEADGIILSRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGPYPVDAAEAVFNQLVHFKKIVRHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAVRGVYPLMGSTEEAETGGLTREEYGIKLAQNYGRSVGMLKPFDRLIIFQKIGDSSVVKIIECDSF >LPERR11G06140.3 pep chromosome:Lperr_V1.4:11:4867516:4875203:1 gene:LPERR11G06140 transcript:LPERR11G06140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMAVARFDFSWMDATYHQETLNNLRKAARNVNKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVTITPDLSKAPSSEILPVKFGDLAKVVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTASLAGHMFTLHVSKAHVSLPTLSDYDKEVISTWGLCNSVDIISLSHTRSADDVRELRSFLQSHDLPDTLIYAKVENTEGLDHFDEILQEADGIILSRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGPYPVDAAEAVFNQLVHFKKIVRHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAVRGVYPLMGSTEEAETGGLTREEYGIKLAQNYGRSVGMLKPFDRLIIFQKIGDSSVVKIIECDSF >LPERR11G06150.1 pep chromosome:Lperr_V1.4:11:4873810:4875296:-1 gene:LPERR11G06150 transcript:LPERR11G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTASAPFTSLLHRHRRRARTFVVVVAAAGGGAPVNEQPSSASASASAQGKKKTVDTRIHWSDPEEGWVGGNANKDGGGGDGGRKKKEPFGGRFADLINNPSESHYQLSALPPPPVNACFVIGFFSFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLKAASEKFIRLREAYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDINSYQSVPDMVDRLGGRNMELSDQAMTALTIDIGIIIFCVFCLIYVVFFKEQY >LPERR11G06150.2 pep chromosome:Lperr_V1.4:11:4873810:4875296:-1 gene:LPERR11G06150 transcript:LPERR11G06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTASAPFTSLLHRHRRRARTFVVVVAAAGGGAPVNEQPSSASASASAQGKKKTVDTRIHWSDPEEGWVGGNANKDGGGGDGGRKKKEPFGGRFADLINNPSESHYQFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLKAASEKFIRLREAYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDINSYQSVPDMVDRLGGRNMELSDQAMTALTIDIGIIIFCVFCLIYVVFFKEQY >LPERR11G06160.1 pep chromosome:Lperr_V1.4:11:4876148:4877113:-1 gene:LPERR11G06160 transcript:LPERR11G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFKDGVPMEMTTKPYGSCQGKRCSDFCSCTPRQTVTSLQMLKKLDLTDTIKDVAWVFLVDVGIRRRDRAHYGFHGGLFSCIFFGGYPRFTVCRTRFYAFLERFHPIRSLILWLTIP >LPERR11G06170.1 pep chromosome:Lperr_V1.4:11:4882134:4886829:-1 gene:LPERR11G06170 transcript:LPERR11G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTASVSGSAQWSHISTYDRRLRHHGYASSCSRVSQRRRQSSFYVMNAASAGALPSSKKLTTRTNGAVVRNISSDKPSSAMEQLDIERGVCIPFRKYTPEMVRNKVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDCLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFSIIEEELGQPLERLFSRISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFFENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVSKMSSNPALKKNSLQTVTSRQTLKKLDLTDTIKDGARMFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >LPERR11G06180.1 pep chromosome:Lperr_V1.4:11:4895327:4898654:-1 gene:LPERR11G06180 transcript:LPERR11G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASVSAPVLRPAASASSSARSFPLAPFAPSPLPLSPRPWFSAGGAAAGFRSSAAAARGEDYRRDMDDKISPDEILFEGCDYNHWLITMEFGDPKPTREEMIETYLQTLSKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRDYRGNYQNNRPQGNYQNSRPQGNYQNSPPPQGSQQDGRGYAPQQNYGRSDYTDRSGYSGSSGGYQPRATQYQGQANPAGQGQGYYNPQEHRNVSHGQVGNFKPSGPSAPGTYGQPPAPGTYGEPRPPTYPGSNHGGPGVNSSYKPSGPSAPGTYGQPPAPGTYGEPFPPTYPGSNQGGPVNSSYGGNTRQGAEPAYGGDNWQEGSGQYPIRSEGQESWQGRQ >LPERR11G06190.1 pep chromosome:Lperr_V1.4:11:4904845:4905119:1 gene:LPERR11G06190 transcript:LPERR11G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASWRPPLENMIKINCDGAFNANDMSAASRWLASVSSALVAEVEAYRDGLQMIQTVGARDVILETDLAQLVSL >LPERR11G06200.1 pep chromosome:Lperr_V1.4:11:4917102:4923902:1 gene:LPERR11G06200 transcript:LPERR11G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNVLQSIVYQRGSLRLLDQRKLPLEVVYIDVKCSTDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFAGTPAEAAAFVSEKLEYLVSSRPTAVNLFDAATKLQSLVSRTAEIEKDAKAIFQAYIDAAETMLVDDVADNKAIGLHGAEFLKQKLGNSKHISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAASALMKNGCVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLSLPSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIISEKGSGGGGGGGRRVRLSAASRRGLGLPLTLTLSALSRLPLRALWLFPALRGDLDAGCPPPGKTRKRGRGGGGGGEDRIGDLPDGILHQIIGWLPAPEAVRTCVIARRWRHLWKYATGVHVSDLDGEEAVPMEKLQDFLDHLFLLRGRAPLDRCWLSFWRVSESAAAATRRINLWFRHALLCQVRDLLLGFWNAFQPKLDDLPLVSRHLVNLHLSGVHLMHNFPDFSGCPVLEHLGFMSCDFSDAKKISSQSLKGLKITSCVFSQVFRTRFYVPNLISLRMEICWYRTPVFEVMPLLADAYVCVTNQSGDWHSCTSGDAGFVECPCDDCDFCHSNSNGVLLQSLSHAKNLVLFSQEEEGPEHDDKVEMKGSCSQMERSSAISKHLKLVVIKCEAIDGSIIKILKFLSTFNIRKITSNTIHSIYTSEICIHSFVK >LPERR11G06200.2 pep chromosome:Lperr_V1.4:11:4917102:4924631:1 gene:LPERR11G06200 transcript:LPERR11G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNVLQSIVYQRGSLRLLDQRKLPLEVVYIDVKCSTDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFAGTPAEAAAFVSEKLEYLVSSRPTAVNLFDAATKLQSLVSRTAEIEKDAKAIFQAYIDAAETMLVDDVADNKAIGLHGAEFLKQKLGNSKHISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAASALMKNGCVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLSLPSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIISEKGVITKSDADESFNIKDFIQSAK >LPERR11G06210.1 pep chromosome:Lperr_V1.4:11:4921570:4926917:-1 gene:LPERR11G06210 transcript:LPERR11G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYSKAFQHVNAELFRVFRLYQTKIGAARRVPEQILQGAAAEPERQSRRWLHGATGRQNADATALHDGDGSVRQRDSKGSDRRRYGSGRRRDCFGGAAAKTQQEIPDLAEQRMPEPEVDAAGGGGGGLGHPPEAEPAPVERRSPAEEEQVVQEILELLHGHRLLPVEVGDVHPRGILPEVAPPPRDHARPHGFRRRKPPDDLVKDPVRQVSNPIFAAAAAAPAPFPRGGQPASRSPRRAGNNQSARKGRRDSAERVRVRGRPRPRRLAADSLTRRPPPPPPPEP >LPERR11G06220.1 pep chromosome:Lperr_V1.4:11:4930222:4941395:-1 gene:LPERR11G06220 transcript:LPERR11G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVACVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEQRITCPSTPEWKRPSDLDLNGEAKQDDDDENGDLAPAHNEVEDKVITNDDVLGDAVPYDQQDALRIVCYRLLEMHPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNDGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGAKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSVSGRIVECSWNKEESCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAHHRRR >LPERR11G06220.2 pep chromosome:Lperr_V1.4:11:4930222:4941395:-1 gene:LPERR11G06220 transcript:LPERR11G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVACVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEQRITCPSTPEWKRPSDLDLNGEAKQDDDDENGDLAPAHNEVEDKVITNDDVLGDAVPYDQQDALRIVCYRLLEMHPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNDGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGAKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSVSGRIVECSWNKEESCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAHHRRR >LPERR11G06220.3 pep chromosome:Lperr_V1.4:11:4930222:4941395:-1 gene:LPERR11G06220 transcript:LPERR11G06220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVACVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEQRITCPSTPEWKRPSDLDLNGEAKQDDDDENGDLAPAHNEVEDKVITNDDVLGDAVPYDQQDALRIVCYRLLEMHPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNDGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGAKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSVSGRIVECSWNKEESCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAHHRRR >LPERR11G06230.1 pep chromosome:Lperr_V1.4:11:4954081:4960541:1 gene:LPERR11G06230 transcript:LPERR11G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAANAKLPKQALLPPRSPFPTAAAGPYAGDHHGPIARPQGGNGTHHRHHHGHGHHGHHQRTSSESFIEEQPSWLDDLLNEPESPVRHHGGRAGHRRSSSDSFALFDGGAGAGAYANGFEGIGGQAAPWGGVPEYYAKPGSFGRHQSRPWEQGINNLGNYRQGGGPPMPAKEKVGGGHHGSASVLRDHDHGMDRRSSDESGHDQKVGAERKEGVPPKHVQSEADTKRAKQQYAQRSRVRKLQYIAELERTVQSLQSDGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQKQPQPTTLSRSNSRDLDSQFANLSLKHKDPNSARDAVSGSLRT >LPERR11G06230.2 pep chromosome:Lperr_V1.4:11:4954081:4960541:1 gene:LPERR11G06230 transcript:LPERR11G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAANAKLPKQALLPPRSPFPTAAAGPYAGDHHGPIARPQGGNGTHHRHHHGHGHHGHHQRTSSESFIEEQPSWLDDLLNEPESPVRHHGGRAGHRRSSSDSFALFDGGAGAGAYANGFEGIGGQAAPWGGVPEYYAKPGSFGRHQSRPWEQGINNLGNYRQGGGPPMPAKEKVGGGHHGSASVLRDHDHGMDRRSSDESGHDQKVGAERKEGVPPKHVQSEADTKRAKQQYAQRSRVRKLQYIAELERTVQSLQSDGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQKQPQPTTLSRSNSRDLDSQFANLSLKHKDPNSARDAVSGSLRT >LPERR11G06240.1 pep chromosome:Lperr_V1.4:11:4957561:4959751:-1 gene:LPERR11G06240 transcript:LPERR11G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLEDSSASTFSIAEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVFKDALQDLIVMCQHVRGTFDNAVVDHRSNISAQQMDIDSNSQGCGTISKRLYQMLTSAPHSIDKTN >LPERR11G06250.1 pep chromosome:Lperr_V1.4:11:4960889:4962247:-1 gene:LPERR11G06250 transcript:LPERR11G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTRRPPPSTARFVTPRSLFNWGRTASPPPPEFQYHDVPPPFPMSLLSNTHLKGTQKKTPINHSNPLLLLDDQSISRELRCCYKATVDGFTATDFHRRCDFKGPCVIIASTTTALKFGGFSPEGYRSTDDYYDTLDAFLFYWSDFSDDQVVILPKVGGSGAALFDYARGGPQFGADGLLIGPPMTAVMGVFTGPDSSAGEGDLRRARSRLGLSYARRPDGKESLFGDEGKVELDEE >LPERR11G06260.1 pep chromosome:Lperr_V1.4:11:4963447:4964484:1 gene:LPERR11G06260 transcript:LPERR11G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSRKPLLIVFASILVLLFSPSPAAAARLMPKPIDARRSQHLDLGGSLIGPESVAFDGKGRGPYSGVSDGRIMRWDGPKAGWSTFAYSPSYVKNKCATSTLPSVQRESKCGRPLGMRFDLNSGNLYIADAYMGLMRVGPGGGEATVLATAADGVPLRFTNGVDIDQVTGEVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTGHVTVLQFDMTYPNGVAISADRTHLIVALTGPCKLLRYWIRGPNAGTSEPFTDLPGYPDNVRPDGRGGYWVALHRERFELPIGPDSHRVALRISAGGKIVQEMRGPKSFRPTEILERKDGKIYMGNVELTYVEVVKST >LPERR11G06270.1 pep chromosome:Lperr_V1.4:11:4967024:4973434:1 gene:LPERR11G06270 transcript:LPERR11G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKKKQSTASPQPSPRTPASRGHEGGSVAPGGTAGETLDLPSVAAAAAARYPALVPHNGEGCFAGTVADVAPRGGSCGGEARLWLSEAAMVGAALRPGCLVAVSLISSNSGRSDGSPIDSLFDECNKFFDLDVDSDLMSNEAGRNFVIATVFPSREVQKNGIKLSWDLSCSLGYPSVGCSLFISPLYTFQAPKQIDGVDILRVVKCSHLFLSYVPTKVGSSSEIESESVRHPVRNGMITESPKKNSSVLPGKNESYDIASHSGPSLCLDPSTARSSLADEKISELLQTCASRWLSGRHLLKANYVPLLMCGKMSMFIVMGAEVDGSAPNVVHGKDNLLSNEEICGKFVEDPISFLVDRTTKVHLSGSVCSDKLPFVKPGPAHYSIRTDARSEHFNQIPRLGGLSKELKEIKDIISFSIKDQIGMQRGKDNLWYRGILISGPSGTGKTSLATSCAYDAGVNLFTVNGPEIISQYYGESEQALYDVFNSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVATLLKLIDGMHRNDRVLLIAATNRPDSIDPALKRPDRLDRKIEIGVPSPRQRLEILQHLLVELQHSLTCEQIESLASATHGFVGADLAALCNEAALSALRHYISLKENSAQQLGYYDTNSDKHDLGEINDPLAYQISSVSSSLSKLTMSVENGLFTSRGSNTENSGSNVEKDEMLLVTIEDFEKAKIKVRPSAMREVMLELPKIRWEDVGGQARIKEQLIEAIELPLKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKALRSLFAKARDNAPAILFFDEIDGLAVTRGHENDGLSVGDRVITQLLVEMDGLEQRTGVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPDEADRKDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDESIDIPEVDIRHFKSAISRIKPSDVKFYQELAAQYSRFVASTSQSKQ >LPERR11G06280.1 pep chromosome:Lperr_V1.4:11:4974571:4977603:1 gene:LPERR11G06280 transcript:LPERR11G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGPDPSPPASDGSTGGDPDPESSPPATDGSGSGDNDAAAEDREGPVGTPSAKRRLFDADGAAAGSAARPNGRRRRIAPDKV >LPERR11G06300.1 pep chromosome:Lperr_V1.4:11:4994046:4994495:1 gene:LPERR11G06300 transcript:LPERR11G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGATAMPAAAVAGVKPAKSNGAAGSAGGDEEQKVPLPEGHINAILAMKREPWPSSEYLDLLSPDERREREAWAASRRELDKEFAMFQDKVRSDVKQNGCYLVNESYLTEQAQLQTLIKEKWAKMDFSGIVVADWDYSDPDCCEFL >LPERR11G06310.1 pep chromosome:Lperr_V1.4:11:5020118:5023364:1 gene:LPERR11G06310 transcript:LPERR11G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKESAAAIRGILRPAEAVARPSKTGVTARPSKAGGSKRKAKVGPAAGQPRLLKDGDKEKGKALGGAAAVKCGVTAAAGSAGGDGDEKPPVKVPLPQVNVNAILAMKTEPWPSSEYLDLLSPDERKEREAWATSRRELDKEFAMFQGKVRSEIETTGSYLVEESYLTEQAELQALIKERWNKMDFSGIVVADWDYSDPDCFTHF >LPERR11G06320.1 pep chromosome:Lperr_V1.4:11:5032528:5039948:1 gene:LPERR11G06320 transcript:LPERR11G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLISFETPPLGRQDGSSITSPTTTIKANKEAASFHSSHLDLSLGISLSHDNGDAGTKTSSSYGGGDAGCTGSGVLTAAASVLTVGNTASSGSWTAFMPSPTGFMHPWSLAARQQKAAAEQDRSINARLPPATYMSSRAAPTVISLPAAVGWPPVHASRRNLVATMNVLKPDTAGTVKPDIPKSEAKTMFTADETAVPRNTAAAEACRPLNMFAKVHMDGYKIGRKINLRAHRNYDSLRRVLTKMTRNFFCPADYSSTNKGEEDCAKRDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRNKEHDEIAKRLETEEATDN >LPERR11G06330.1 pep chromosome:Lperr_V1.4:11:5049112:5050347:1 gene:LPERR11G06330 transcript:LPERR11G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMNASVEPEVKPALSPSRFVKVFMHGKPFGRKINLAVHNSYDSLSFTLKRLGNNYSMSPFELKGLVNNEENGAVDDNDFDLLYDDNDGVRFFLGEVPWEVFATSVKRIYIVPIEEQNENDEYQEEEEDNSAAAADNDGAAADEDGDGDAADDGAAAAVDDGEDHGYTSNDDPSFN >LPERR11G06340.1 pep chromosome:Lperr_V1.4:11:5068100:5070499:-1 gene:LPERR11G06340 transcript:LPERR11G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVLLLFFFLRPAAANTLSTFAMAKAESTTIVCALLPSASSPLLVDLNCTEAGGDHQRQETYPSSHPFSALAGGDHFLCAVGPAAAARNGDAVAMRWWDLSKSRTDSPPPETNSTANGAGKSKRVYLGPPIKALSSGGYRVCGVLDSGELHCWRWLGLKIPDGLRFASVAVGDWFVCAIEAGGRSTAPIRCFGNDTDAVAAAPRGGSFDVVAAHGRRACALSSSGEITCWGAGAPAMETGDDCGYAALALGADGVCGLRTNGTIRCFGSGGVSPSPPASLAAAQYVEVQAHGSVFCGVLMANYSLVCWGGREFNATNRLVFDRVLPGPCENISSCKCGVLPGSANLCADGRCICVDCAFELNIATPNSTNNRNNNTTGSSRRRNRIIWLAVAAGAFLILLVALQFAMLIWCRRRRRSRRQSHAAADAAGGVMLPRHGSSKGPGSVVEHFTIETLHAATDGFSDERRIGSGSFGSVYRGTLTDGREVAIKRAEEQAKSSSSARPARRRDRETAFSSELTALARANHKNIVCLLGCCSNDAGERVLVYEYMANGTLHDQLHNRTPMAPPVSTWRGRLTIALDAARGIEYMHVYAVPNIIHRDVKSANILLDDTWTAKIADFGLSSVLATADCGGGGEEGSVSRPMYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPRIVADEVSAVLDPRLPPPTPHEADALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACTAAASPVSRSGAGAARRVLSRSGTDQFDLTDTD >LPERR11G06350.1 pep chromosome:Lperr_V1.4:11:5072285:5079166:-1 gene:LPERR11G06350 transcript:LPERR11G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSYLRRALLRPRRRAGPPVPTDASLRRLSSSPAPKPDAEAPPQPPPMPTRPWEESLAAAQRAFCLPLAGRDRSTSGGPRLAFAGGVWADASRNLSPEFVGVAGNVYGSAAKKADFKDKPEVASEQINSCVKDSTKGTVAALLPAGTIDQNTGLVLGSALYFRGRWLDRSDLRRTIEQKFFCLDGTYVEVPFVEYDRTRLFAVHDDFKVIKLPYKQGNNERKFSMYIFLPRDPDGLFELTQKVFSEPMFLEQHLPREKCHVGISVPKFTISFQIDVKDFLKDMGLELPFLRDAEFSDMIKEDDSSDPLFLSDVLHKAVLEVDEKGIDETSVSMGLGKPLPAQRFNADHPFFFMIREEVSGTIIFMGHRGDVDMRSVANKGRLFDLSLPGTTEGSD >LPERR11G06360.1 pep chromosome:Lperr_V1.4:11:5082136:5082549:1 gene:LPERR11G06360 transcript:LPERR11G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSTILLLLAAAAVLAAALHCPAIVTAAADDEPCDPSDIHITTDKTGKVVGGKPEYQVTISNECSCPEGDVVVSCLDGVPSGVDPSKIHVAGKDSGLCLVNNGLQIVKGSPVVFTYVASQPIFLEFNTASPRCNR >LPERR11G06370.1 pep chromosome:Lperr_V1.4:11:5107802:5112529:1 gene:LPERR11G06370 transcript:LPERR11G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNQGNVFGVIHVGEEFNLPMGQLVVKQLNNGLEMLNDQQFGDVFNILRTLPPHDNIAPYTGYCCYEEPNGQQVRLIFCRRQGICLNGAPRKIR >LPERR11G06380.1 pep chromosome:Lperr_V1.4:11:5114174:5115116:1 gene:LPERR11G06380 transcript:LPERR11G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKIAFFGQSRLFAPDQNINENENVPLPFGYIAPEYHNHGVITDRSDVFGLGVLMLEILTGQTLPLDADALIIQDNVALIQENWSNIQPIQQRYGVGNHAGIFDVMGCIAIAIECLEIDQTRRPTLVMINNMLLAQRPWIGDNLVRDPYCP >LPERR11G06390.1 pep chromosome:Lperr_V1.4:11:5126950:5128688:1 gene:LPERR11G06390 transcript:LPERR11G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRLGGGGGAGTAWAALAGVPASAGMEYCITQASNPPGNIGYMLSARALWLGNRTEWSWNPTPGGAGIARFPERAAYQGATPLSISGEIETKHLMLRTSYSVFLVYALAGNHSGLDGEHRSVIQSVPFPHVNFQASPERGVRLVVGALAPDGGAAEPDVMSYPVERGDGTMEVELGWFHVSPDAGHGQGRPHAVIAQLTITSAGGDPVRGLIVEGMEFRPMNFVDPI >LPERR11G06400.1 pep chromosome:Lperr_V1.4:11:5131602:5171222:-1 gene:LPERR11G06400 transcript:LPERR11G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTAGSSPAARRRSPSASPSGSRPANPDSNVVFSPLSIHAALSLLAASAGGATLDEILAVLGAPSRDELAAFASYMATTALADKSAFGGPLVAFASGVWCDAARPLKPSYRDAVVGNYKAKAATVDFKNKAAEAADQINEWIRHATKGLIDGVMSPGSIGAATAVVLGSAIYFKGKWEHPFNESHTQTKPFYRHDGVAVAGVPYMTSRSHQYIAVHDGFRVLKLQYKQKSRTVISTFGDNTQYSMVIFLPDVDGTRHGLRRLVRRIASRPGFLREHMPTTSVLVNQFMVPKFKACCGGSVGGVLEQEGIRRPFSPELADLSGMVEDDGTGFPVFVSDVVHKAVIEVNEEGSVAAAVTMMNAVSTCAAIPWTMPVDFVADHPFVYFIVEETSHVVVFAGQIQSHRGAAVSDGQAAFAVGLVEQITAANLDRNLVFSPVSIHAALSLPAAGAVGATRDEILSVLGAASLDDLAAFASHMATTVMADRSSSGGPAVSFASGVWCDAARPLKPAYRDAVVGAYKAEATTVDFKNKAEEAIDQINEWTRKTTRDLIDSIAGSVDAATVVVLCNAIYFKGRWNRRPFKPEDTETNRFYRLDGVVLHDVPYMSTRSSQRVAAHRGFKVLKLGYESTPKLLHDDGNNKRKRDDDGRHDSTTRYAMVVFLPNARRGLPGLVKKIASRPGFLHEHMPRGREVPIGEFLLPKFKVSYGGSVVGVLEHLGLRRPFSPELADLSDMVEEEDGMPLFVSDALHKAVIEVNEEGTEAAAVTMGYGKGSPRPPKRVDFVADHPFAYFLVEEVSGIVVLAGHAVGWPARKSPVFSRRCRSGTAATPPRKAISTACNRGSATAHHKSEVVNDRMERHHAVSAGQAALGVRLAEQLAAASLDSNVVFSPLSIHAALSLLAAGACGATLDELLAVLGAASRDDLAAFASHMATTALADRSSSGGPRVAFACGVWCDAARPLERAYRDDVVRNYNAEADTVDFQYEVGCIDLQFPKSNQYFVTLTHRSWFLSMQAEGARKQINKWTRGTTRGLIDSVLPPGSVNALTTLVLGTAVYFKGKWACPFKTEYTENKPFYRLDGVVVDDVPFMSSGSKQYIAVHDGFKVLKLKYKSAKLRNKCKRGGGGGGDDAGSFAQQYAMVVFLPDKRDGLRWFVEKIASRSGFLHDHTPSELVPVGDFRFPKFKLSYGASVVGVLKQMGLRLPFSPELADLSDMVEDDGSGLPLFGSDVLHKAVIEVNEEGTEAAAVTMMSVTVGCAPRPVTVDFVADHPFAYFLVEEVSRTVVFAGHLLGEEQLNVLGELLARLPDGHLGNVGDHRHVARRCLGARALCHHVNYRGGDGLRVAPHVKLLIQTLRHPLGPPAAVLAGARRPVRHGGGYDHGSGLPLFVSDVLHKAVIEVNEEGTVAVAVTMAGLRVLCARRTVKVDFVADHPFAYFLVEEVSRAVVFQILNIRRRRAVSGGQATLAVRLTERLAAENLGRNLVFSPLSIHAALSLLAVLGAASLDDLAAFASYMATTALADKSVSGGPLVAFGSGVWCDAARPLKPAYRDAVVGQYKAEATTVDFKNKQGSRSTNGRSRPRRSKGLVDSILSPRTVNELTSLVLGNAIYFKGNWASPFKAKNTQKKPFYRLDDGVVDDVPFMSSKSYQHVAVHDGFKVLELQYKMPLSNYSYSSLSSHVDYDYTSYSMLVFLPDARDGLRGLVERIASRPGFVHEHMPHESVPVGEFRVPKFKVSFGDSFVRVLGQLGLRLPFSMELADLSGMVEDDGSGLPLFVSDVLHKAVIEVNEEGSVAAASTMMYMSLGCAMTPPSPPPPVDFVADHPFAYFIVEHSSRAIVFAGHAALAVRLTERLAEANLDRNLVFSPLSIHAALSLLAAGAAGATLDQLLAVLGAASLDDLGAFSSYMATTALADKSASGGPLVAFASGVWCDAARPLKAAYRDAVVGEYKAEATTVDFKNKSEEAREQINEWTRQTTEGLIDSILPPQSVNALTALVLGNAVYFKGNWVSPFKAKNTQKKPFYRLDGGVVDDVPFMSSKSYQYVAVHDGFKVLQLRYEWPAPCNFDYSGDVDYTSYSMLVFLPDARDGLRGLVERIASRPGFVHEHMPHESVPVGEFRVPKFKVSFGDSFVRVLGQLGLRLPFSTELADLSGMVEDGMPLYVSDVLHKAVIEVNEEGTEAAAATMIYAMPGCAMMPPPPPPPVDFVADHPFAYFIVEHTSRAIVFAGHVIDPSFE >LPERR11G06410.1 pep chromosome:Lperr_V1.4:11:5142977:5143933:1 gene:LPERR11G06410 transcript:LPERR11G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNDQSYCKDCRLAVTVVVDHATGDTICTDCALVLEERYVDETFEWRTFSDSAGGEDRDPNHVGGRSDPFLTHAQLGTVVASAVNKRQSNATSLLRVHLDIGRESSSQENSLVVAFRAISDMAEQLHLVATIRDHAKEIFKKLDEAKLCPRGRNRDATYAACLHTACRKEGKPWTYAELATVVRDARADATKKKEIGRVAKIISEQLEEKARHAMGIGVVRAADYMGRFGSLLGMGKAEVRTAQRVAQSLDEQLDVRRNPESIAAAIIYMMAQRSGAKTSARDVSVVTNVAEVTIREACKELTKHIELLFSQELVV >LPERR11G06420.1 pep chromosome:Lperr_V1.4:11:5145227:5170497:1 gene:LPERR11G06420 transcript:LPERR11G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQLMYGMIGGYQAVLEINHYADWTVKRRDGRSWNDDALNKNLIHFDVEAAKRILLWRVQSDFWAWSAERHGMYSVRSAYRMLTEAEWQKREHDSGHASHSVANRDPRWMKLWKQKLRTRHEAVTNGQAALAATLTSRLAAANLDSNLVFSPLSIHAALSLFAAGAGGATLAQLLAVLGAASLDDLAAFASYMATTALAGKSASGGPHVAFASGVWCDAARPLKAAYRDAVVGEYKAEATTVNFKNNAEEAREQINEWTRQTTKGLIDSILPPQSVNELTSLVLGNAIYFKGNWAHPFKAKNTQKKPFYRLDGGVVHDVPFMSSTSNQYIAVYDGYKVLRLKYTPPRGNYGYFEFDPERTQHSMLVFLPDERDGLRGLVERIASRPGFLRGHTPSNSVPVGEFRVPKFKVSFGDSFVEVLGQMGLRLPFSTELADLSDMVEDGMPLFVSDVVHKAVIEVNEEGTEAAAATMMFGAPGCPPVRPEPPPPVDFVADHPFPYFIVEEVSPALAARLLKRVSAGAQDSNLIFSPLSIHLEAFVRGVVMDRVLADQSPIGGPCVSFACGSWIDKSYSLKPSYRDSIVETYKGHTSTVDFKNNPVEARKEINAWVAAATKNLITEVINPHEQSNNTRNVVGNAIYSFNIYFKGEWVTPFPKSSTAEGEFRRLDGGNPVDAPFMHRPPGSYHYIACHDGFKVLRLPYKSTGRDAYNHKLVDTLPSFSMLVFLPDDRDGLWRLIEMITSSPEFVEEHIPYESVPVGKFRIPKFKLTFSSSISDDLFFLGLRLPFDDILAEMWGIAEKKDDGDGGDKEAPMYVSGVIHKAVVEMNEEGSEAAAYTEESDDDMGFSLYDDFDSPPPPVRVDFVADHPFAFFIVEETSRAVVFAGHVVDLSVEGYASESEYRALFPESEYRTLFREQEPECRRIVARQCEVEVEDVDDLFNLFD >LPERR11G06430.1 pep chromosome:Lperr_V1.4:11:5171684:5172990:-1 gene:LPERR11G06430 transcript:LPERR11G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDETARRVAGGLTALAVRLGERLASASPDRNLVFSPLSVHAALSLLAAGAAGATLDELLAVLGAASRDDLAAFVGRMAHTALADRGPESRGPRVAFACGVWCDAARPFKPAYRAAVAGEYNAVATAVDFKNKAEEERKQINEWGWQATGKLINAVLPPGSVGDKTAVVVGNAIYFKSKWERPFNENHTQTKPFYGHDGVTVADVTYMSSRSWQHIAVHDGFKALKLKYRASKSHDNKRKRVHDGGDYTRYALVILLPDERDGLRGLVEKMASRTGFLDEHTPAWEVPVGEFFLPKFNMSCSGSVVGVLRQMGLRLPFSPELADLSDTVEDDGSGLPIFVSDVLHKVVIEVNEEGTEAAAATVTRMLPAGVPPPPVDFVADHPFAYFIVEEMSRVVVFAGHVVDPSVE >LPERR11G06440.1 pep chromosome:Lperr_V1.4:11:5177064:5180110:-1 gene:LPERR11G06440 transcript:LPERR11G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGAYAASEVAMVAKSKVSNLMELSNTVQRIRRHFVMMNFFIQKMGASYLSDELLKGWIAEVRMLAYRVEDVLDSQLIPTQVFSEQPFSQYCFPRLMEDEDLVGMENMKQHLMQLFSPEIPALRVISVCGMGGMGKTTLVLNVYERHKEKFGIHVWLTVSQSFSVEALLRKLLLDINRTCELTTSGDGDSVNKEQHSSDETHKLEVLQLKDLKDALKKALMQNRYMIVLDDVWDRQAFDRMHDVFEDCRNGSRVVITTRRGDVATLAHQGCQLKLNPLDAFPNNNDLEWPLNLKELASDIANKINDLALTECPLELKELATDIAKKCKCLSLANCPAQVQELVADIIKNCEDLLLVNKPSGLQKLATDILKKSEILPLTKCSTELQKIAVEIVKKCGGLPLAIASIGSLLSARVQIECMWKQINDQLPCELEKDDPVQGVLTLSYYDLPGEMRNCFLYCSMFPEDYLLSREILVRLWIAEGFVVKKGDSTLEEVAEGHLMELIHRNMLQLVDSDELGKVSTCKMHDILRELALSVSKAELFGTVNNFSEMAQMNTDVRRLSACRWKQTKHGALKIKFPHLRTVIALESCVDFVPSILSESKHLTVLELQDSDINEVPTSIGCLFNLRYICLRNTAVKSLPDTIEKLVNLQTLDAKSTNIEKLPSGIVKLCKLRHLLTDRLIDPMRNKFQFFKGVAVPKGLSRLEELQTIETVEASKDLGVQLEKMIQLRNLWIDNIKAEHCAELFASLSKMPLLSSLLLCASDEDEKLDIDNLVPMSTILQKLIIRGCTAEGIFGCQLFQEYGGRLKYLALSGCHLEKDPLEGLASCVPNLTYLSLNKVLSNSAHTLVLPAQSFPLLKTLVLRNMSGVNLLKIEDGALPCIEGLYIQSLSNLKSVPVGIEFLNSLKKLMLLGLHKNFKYEWIMNQMHEKMKHVTELRV >LPERR11G06450.1 pep chromosome:Lperr_V1.4:11:5190456:5195009:1 gene:LPERR11G06450 transcript:LPERR11G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPRRAAVRRAGAAAHPVVNTNNGSSGATRSPPVSSNVTRAHNFAIGDNVNCYKKRMIPLQGVDRVVWSSQPVHTGCFSHQGTTTTLVFSNSSPSRLIQNKITPLQPAVLQGESSTQNKTENKSQYPSTFPKNPTLDSLKGITDSFSSKQEIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEADNLIEVEHKNIVKLVGSCCQAEKQVVEHDGKYVFTNVVEKLLCYEYLPNGSLDKYIYDESSGIDWPTCFKIIKGICSGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRDITKNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQELSSRILIDNVRRYWLKTSQITSKYPSLEADGIEQAKACIEIALNCVETDPKKRPSVGEIIDKLEYKGIDSDEPIMHEKMEKRQLFISKLPRNPELKFLENITNNFSNEREVGRGSFGVVYKGVLPNGEQVAVKKLLDSVTEVNQDKQFQNEAGILTDLNHTNIVKLIGYCYETRKEVVEKNRKFFFEKISKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDTMTPKIADFGLSRLLGEEQTRTRTSTVVGSIGYIAPEYHFSGEISTKSDIFSLGVLIIEIVTGLKVNSSSQDFTSKDFIENVRTNWTKMPHIASNYPLLEANLLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKVVGSCMARVTFYYCG >LPERR11G06450.2 pep chromosome:Lperr_V1.4:11:5190456:5195060:1 gene:LPERR11G06450 transcript:LPERR11G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPRRAAVRRAGAAAHPVVNTNNGSSGATRSPPVSSNVTRAHNFAIGDNVNCYKKRMIPLQGVDRVVWSSQPVHTGCFSHQGTTTTLVFSNSSPSRLIQNKITPLQPAVLQGESSTQNKTENKSQYPSTFPKNPTLDSLKGITDSFSSKQEIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEADNLIEVEHKNIVKLVGSCCQAEKQVVEHDGKYVFTNVVEKLLCYEYLPNGSLDKYIYDESSGIDWPTCFKIIKGICSGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRDITKNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQELSSRILIDNVRRYWLKTSQITSKYPSLEADGIEQAKACIEIALNCVETDPKKRPSVGEIIDKLEYKGIDSDEPIMHEKMEKRQLFISKLPRNPELKFLENITNNFSNEREVGRGSFGVVYKGVLPNGEQVAVKKLLDSVTEVNQDKQFQNEAGILTDLNHTNIVKLIGYCYETRKEVVEKNRKFFFEKISKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDTMTPKIADFGLSRLLGEEQTRTRTYKLDKNATYSFQLSSIGGKSPPTSKKLLEAVWHGSRNFLSKNFVT >LPERR11G06450.3 pep chromosome:Lperr_V1.4:11:5190456:5196550:1 gene:LPERR11G06450 transcript:LPERR11G06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPRRAAVRRAGAAAHPVVNTNNGSSGATRSPPVSSNVTRAHNFAIGDNVNCYKKRMIPLQGVDRVVWSSQPVHTGCFSHQGTTTTLVFSNSSPSRLIQNKITPLQPAVLQGESSTQNKTENKSQYPSTFPKNPTLDSLKGITDSFSSKQEIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEADNLIEVEHKNIVKLVGSCCQAEKQVVEHDGKYVFTNVVEKLLCYEYLPNGSLDKYIYDESSGIDWPTCFKIIKGICSGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRDITKNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQELSSRILIDNVRRYWLKTSQITSKYPSLEADGIEQAKACIEIALNCVETDPKKRPSVGEIIDKLEYKGIDSDEPIMHEKMEKRQLFISKLPRNPELKFLENITNNFSNEREVGRGSFGVVYKGVLPNGEQVAVKKLLDSVTEVNQDKQFQNEAGILTDLNHTNIVKLIGYCYETRKEVVEKNRKFFFEKISKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDTMTPKIADFGLSRLLGEEQTRTRTYKLDKNATYSFQLSSIGGKSPPTSKKLLEAVWHGSR >LPERR11G06450.4 pep chromosome:Lperr_V1.4:11:5190456:5196550:1 gene:LPERR11G06450 transcript:LPERR11G06450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPRRAAVRRAGAAAHPVVNTNNGSSGATRSPPVSSNVTRAHNFAIGDNVNCYKKRMIPLQGVDRVVWSSQPVHTGCFSHQGTTTTLVFSNSSPSRLIQNKITPLQPAVLQGESSTQNKTENKSQYPSTFPKNPTLDSLKGITDSFSSKQEIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEADNLIEVEHKNIVKLVGSCCQAEKQVVEHDGKYVFTNVVEKLLCYEYLPNGSLDKYIYDESSGIDWPTCFKIIKGICSGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRDITKNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQELSSRILIDNVRRYWLKTSQITSKYPSLEADGIEQAKACIEIALNCVETDPKKRPSVGEIIDKLEYKGIDSDEPIMHEKMEKRQLFISKLPRNPELKFLENITNNFSNEREVGRGSFGVVYKGVLPNGEQVAVKKLLDSVTEVNQDKQFQNEAGILTDLNHTNIVKLIGYCYETRKEVVEKNRKFFFEKISKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDTMTPKIADFGLSRLLGEEQTRTRTYKLDKNATYSFQLSSIGGKSPPTSKKLLEAVWHGSR >LPERR11G06450.5 pep chromosome:Lperr_V1.4:11:5187351:5190451:1 gene:LPERR11G06450 transcript:LPERR11G06450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPAPGKTSSAMVFWGESEVERVSEVRESSGRLGAWRTRGCVFLHDMASGSEMGGLQRNGDQVSSLVVQALKKQAQFLFPSHLARRFCPVTAFRSIDAHGRRLATSQVWSQVAHRWPVIEVLGDLPLPPHISLEGRAAANSFRSRRCGFANQKDAGL >LPERR11G06460.1 pep chromosome:Lperr_V1.4:11:5198246:5199010:-1 gene:LPERR11G06460 transcript:LPERR11G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHFPLKLLSPGPQNSRKANGHRKHWTTQYFVATMHDVNQIKIMDGPLPCIEGLYIVSLPKLDKVPQGIESLKSLKKIWVMNLHKDFRTRWNDNGMHPELLLVHHPELL >LPERR11G06470.1 pep chromosome:Lperr_V1.4:11:5199169:5201177:-1 gene:LPERR11G06470 transcript:LPERR11G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGNVLADEIAKDLLAKLSEKVNNLKDLDEKIEQMRKQLTTMNNVIRQIGTTYLTDEVVKGWIGEVRKVAYRVEDAMDYQIADEVVKIEKEIKQVIELKDQWLQPSQLVSNPITKMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYTEELDSKVITVSGMGGLGKTTLVTNVYEREKINFSAHSWMVVSQTYTVAALLRKLLSKVGCKEPPLSMDVYDLKEEIKRRLRVLNMSYHDLSGDPRNCFLYCSLFPEDCLLSRESLVRLWIAEGFVLSKDNNTPEAVAEGNLMELICRNMLQVENDELGRVKTCAMHDIMRDLALSVAKEEKFGSANDFSAMKEIDKDVRRLSSYQWKAQTSTSSHSRTVEKVSSLHTLDMQHTRIEKLPREITKIKKLRHLLADRYADENQSEFRYFIGMQAPKDLSNLKELDS >LPERR11G06480.1 pep chromosome:Lperr_V1.4:11:5212918:5226338:1 gene:LPERR11G06480 transcript:LPERR11G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKKLCLDSKVTIEDTPFQEEVACLMRTKHKNIVRFLGYCADTQGIMTKYEGRYVLADERVRLLCFEFVRNGSLDRLVTDEFGGLGWPERYRIIKGICQGLRYLHEERKIVHLDLKPQNILLDQNNVPKITDFGLSRLFDEQKTRTITLEQRGTRGYMSPEYIEGGLITFKADIFSLGVIILEVISGKERRTENVHKNWMNRLQRTLSYTSAEAYSQQVQRCIEIASICKEPDIHKRPCIGDVISMLNATEVGCQEDEAVTSPELLGIHPAELRFAFEPNKLIPCSLHLTNSTNYRVAFRIHPGSPERYFTEWLCGVVPPMSTYTLIVVMKEREQPLLDMDEFMMEQSIIMADEELKFISQGKADTEYNTFFTEIEEKGVVKVKEQKLTVVCGVCDPRGKTTSEIMSTMDFDKMVTMDVHPTKPWIITGHFNGDICIWNHQTKKMVNSFEVTREQEVLTAKFVSRKQWIVAGGGDGRIFVYNYDTMKKVTSFKALSNQITSLAIHPTQPYVLSASYDLIIKLWDWENDWKCSRVFKEEHQSSVMQIAFNPRDTTVFASVSKDKTLKIWSVDSPRSKLTLPGHSSNIRCLDYFTSGDKQYVITGSDDCTAKIWDMQIKRCVRTLEGHANRVTAVCSHPELPILMTGSRDGTVRLWNSNTFRLEGILNFGLRKVHALGCMKGSRRNVTGGERIPT >LPERR11G06490.1 pep chromosome:Lperr_V1.4:11:5229449:5233926:1 gene:LPERR11G06490 transcript:LPERR11G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYSTHNKMENKSQYPSTLPKNPTLDFLKGITDNFSNEREIGRGAFGVVYKGVLANGEVIAVKKLEITSGIHARRFQNEADNLLELEHQNIVKLIGSCFQAGRQVVKLDGKYVFTDVVEKLLCYEYLFNRSLDSYIYDESNAIDWPTRFKIIMGICNGLHFLHKERNEAIIHMNLKPSNILLGENMVPKIADFGLSRLFGQEQTRLITQTVVGWIGYIAPEYYSRGEISEKSDIFSLGVLILETVTGFKNDTSSQEVSSRIFIDNFQNEAGNLTSLNHTNIVKLYGYCYEIRKEVVENDRKFIFRETPKRLLCYEYLPRGSLDKYIYGESSEINWDVRFKIIEGICQGLKFLHDLKRPIIHLDLKPENVLLDDNMMPKIADFGLSRLLGEQQTRTCTLTVAGSKGYIAPEYYYNGEISTKSDIFSLGVLIIEIIRKNWTKMPQIASNYPLLEANSLQQVKRGGAFGGGRGTGGNVYDAKSRMEDKSNRLDTLTISMVARSAAAMGSGVGRRGEERGCGGDGGKNTGEVGEVEKGDRYVAGV >LPERR11G06500.1 pep chromosome:Lperr_V1.4:11:5248974:5249546:-1 gene:LPERR11G06500 transcript:LPERR11G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTKAEVAGWRGIERSNTGTGNGGGGGSGSDLCKRDENLDKGSVHLSSAHQVFDGMSSLLQLFEEDIPLVMKENISRDEARYLLQEELRKMYGVVGGKSSKV >LPERR11G06510.1 pep chromosome:Lperr_V1.4:11:5253203:5256780:1 gene:LPERR11G06510 transcript:LPERR11G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCSKLMAEAVVLAISKIGIEEGSLTRFFRGGHHVKVFSEIAGEITKIKGEIEHVKKLQHSWFPSVQIVQGNSAAIERQGSQSCLPDLVQDDDLVGIKESRGKLIEWLDCNKPGSTVITVSGMGGLGKSSLVANVYRRMKESFEVTAWIVVSQTYTIDALLRELLRKIGYKEDPLSAAMDKMDIHDLKIEITKKLQGNRNCLVVLDDVWKKDVYDQMHDIFKNLQACRVIITTRRDDFASLSPSEHHLALQPLCMTDAFDLFCRRAFKNDKKCPTELQELATSIVDRCKGLPLGIVSMGSLMSSKRTEHAWSQTFNQFRSELVKVDNVQAILNLSYNNLPGNLRNCFLYCSLFPEDYIMSRESLIRQWVAEGFVVSIENNTPEDVAELNLMDLIARNMLQVVDYDELGRVSTCKMHDILRELALCTAKDEKFGSANDQGTMKKREDVRRLSSCAWRDSDVSTLNFPLLRTLISLGAVISTHMLDSIIAKSSYLTVLELQDSAITEVPKSIEHMFNLRYIGLRRTKIKLLPEFIEKLSNLQTLDIKQTKIERLPRGIVKVKKLRHLLADKFLDAKQGEFQYFIGVQPPKQLSNLVELQTLETVDASDELADQLDKLRKLQSVWISSVDAEHSEKLFATLSKMPVLSSLLLNASDADQPLRLEALKPQSKRLHKLIVRGRWAAGTLQCPIFQNHGINLKYLALSWCGLEEDPLLLLAPQLPNLVFLSLNKVSSAETLVISEGCFPKMKTLVLKNMPNVSQLMIGEGALPIIEGLYVVSLPKLSKIPENIESLGSLKKLWLLGLHKDFRAEWDKNGMHHKMVNVIDLRV >LPERR11G06510.2 pep chromosome:Lperr_V1.4:11:5250812:5256780:1 gene:LPERR11G06510 transcript:LPERR11G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPEAPVNAELGGVMRRRQEGSLDGEYGGGGSKLMAEAVVLAISKIGIVLGDEAIKYVIEKLSAKVTELTELPDNIELIGSELRMMNRVIEDLNTTNVSINVVKGWVEELRKLAYHVEDVMDKYSYHAFQLQEEGSLTRFFRGGHHVKVFSEIAGEITKIKGEIEHVKKLQHSWFPSVQIVQGNSAAIERQGSQSCLPDLVQDDDLVGIKESRGKLIEWLDCNKPGSTVITVSGMGGLGKSSLVANVYRRMKESFEVTAWIVVSQTYTIDALLRELLRKIGYKEDPLSAAMDKMDIHDLKIEITKKLQGNRNCLVVLDDVWKKDVYDQMHDIFKNLQACRVIITTRRDDFASLSPSEHHLALQPLCMTDAFDLFCRRAFKNDKKCPTELQELATSIVDRCKGLPLGIVSMGSLMSSKRTEHAWSQTFNQFRSELVKVDNVQAILNLSYNNLPGNLRNCFLYCSLFPEDYIMSRESLIRQWVAEGFVVSIENNTPEDVAELNLMDLIARNMLQVVDYDELGRVSTCKMHDILRELALCTAKDEKFGSANDQGTMKKREDVRRLSSCAWRDSDVSTLNFPLLRTLISLGAVISTHMLDSIIAKSSYLTVLELQDSAITEVPKSIEHMFNLRYIGLRRTKIKLLPEFIEKLSNLQTLDIKQTKIERLPRGIVKVKKLRHLLADKFLDAKQGEFQYFIGVQPPKQLSNLVELQTLETVDASDELADQLDKLRKLQSVWISSVDAEHSEKLFATLSKMPVLSSLLLNASDADQPLRLEALKPQSKRLHKLIVRGRWAAGTLQCPIFQNHGINLKYLALSWCGLEEDPLLLLAPQLPNLVFLSLNKVSSAETLVISEGCFPKMKTLVLKNMPNVSQLMIGEGALPIIEGLYVVSLPKLSKIPENIESLGSLKKLWLLGLHKDFRAEWDKNGMHHKMVNVIDLRV >LPERR11G06520.1 pep chromosome:Lperr_V1.4:11:5258178:5263547:-1 gene:LPERR11G06520 transcript:LPERR11G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIALRKIGNVLADQVAKDLLAKLSEKVNNLRDLDEKIEQMRMQLSIMNNVIWKIGTTYLTDEVIKGWIAEVRKVAYRVEDVMDKYSYYSVQMEEEWFLKKYFIKGSHYVLVFSQIADEVVKIEKEIKQVVELKDKWIQWLQPCQLVSDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSDELDSKVITVSGMGGLGKTTLVTNVYEREKMNFSAHAWMAVSQTYTVDALLKRLLRKVGYSGNVDEKDVYDLKEEIKRTLKDRRCLIVLDDVWDQEAYFKICDAFQSNLPSRVIITTRKNHVAALASSTCRLDIQPLSDSQAFDLFCRRAFYSNKDHECPNDLVKIATSIVDRCQGLPLAIVSIGSLLSLFPEDYPISRESLVRLWIAEGFVLSKENNTPEVVAEANLMELIYRNMLQIKENDELGRVNTCTMHDIVRDLALSIAKEEKFGSANDFGTMIHIDRDVRRLSSYEWKDSTAATAPKFKLPRLRTLVSLGAISSSTYMLESILSESSYLTVLELQDSEITQLPKSIGNMFNLRYIGLRRTKVKSLPDSVEKLSNLYTLDIKQTKIEKLPRGIAKIKKLRHLLADRYDDEKHSEFRYFKGVQAPKDLSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISSADCQNIFSTLSTMPLLSCLLLSARDENEPLCFETLQPMSKELHRLIIRGQWAKGTLDYPIFRSHSTHLKYLALSSCNLGEDPLGMLASHLSSLTYLRLNNMHSAKTLVLDAEAFPHLKTLVLKQMPDVNQIKIMDGALPCIEGLYIVSLPKLDKVPQGIENLNSLKKLWLKSLHKDFSTQWNKNGMHQKVLNVSEVIV >LPERR11G06520.2 pep chromosome:Lperr_V1.4:11:5258178:5263547:-1 gene:LPERR11G06520 transcript:LPERR11G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIALRKIGNVLADQVAKDLLAKLSEKVNNLRDLDEKIEQMRMQLSIMNNVIWKIGTTYLTDEVIKGWIAEVRKVAYRVEDVMDKYSYYSVQMEEEWFLKKYFIKGSHYVLVFSQIADEVVKIEKEIKQVVELKDKWIQWLQPCQLVSDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSDELDSKVITVSGMGGLGKTTLVTNVYEREKMNFSAHAWMAVSQTYTVDALLKRLLRKVGYSGNVDEKDVYDLKEEIKRTLKDRRCLIVLDDVWDQEAYFKICDAFQSNLPSRVIITTRKNHVAALASSTCRLDIQPLSDSQAFDLFCRRAFYSNKDHECPNDLVKIATSIVDRCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVLSKENNTPEVVAEANLMELIYRNMLQIKENDELGRVNTCTMHDIVRDLALSIAKEEKFGSANDFGTMIHIDRDVRRLSSYEWKDSTAATAPKFKLPRLRTLVSLGAISSSTYMLESILSESSYLTVLELQDSEITQLPKSIGNMFNLRYIGLRRTKVKSLPDSVEKLSNLYTLDIKQTKIEKLPRGIAKIKKLRHLLADRYDDEKHSEFRYFKGVQAPKDLSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISSADCQNIFSTLSTMPLLSCLLLSARDENEPLCFETLQPMSKELHRLIIRGQWAKGTLDYPIFRSHSTHLKYLALSSCNLGEDPLGMLASHLSSLTYLRLNNMHSAKTLVLDAEAFPHLKTLVLKQMPDVNQIKIMDGALPCIEGLYIVSLPKLDKVPQGIENLNSLKKLWLKSLHKDFSTQWNKNGMHQKVLNVSEVIV >LPERR11G06520.3 pep chromosome:Lperr_V1.4:11:5258178:5263547:-1 gene:LPERR11G06520 transcript:LPERR11G06520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIALRKIGNVLADQVAKDLLAKLSEKVNNLRDLDEKIEQMRMQLSIMNNVIWKIGTTYLTDEVIKGWIAEVRKVAYRVEDVMDKYSYYSVQMEEEWFLKKYFIKGSHYVLVFSQIADEVVKIEKEIKQVVELKDKWIQWLQPCQLVSDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSDELDSKVITVSGMGGLGKTTLVTNVYEREKMNFSAHAWMAVSQTYTVDALLKRLLRKVGYSGNVDEKDVYDLKEEIKRTLKDRRCLIVLDDVWDQEAYFKICDAFQSNLPSRVIITTRKNHVAALASSTCRLDIQPLSDSQAFDLFCRRAFYSNKDHECPNDLVKIATSIVDRCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVLSKENNTPEVVAEANLMELIYRNMLQIKENDELGRVNTCTMHDIVRDLALSIAKEEKFGSANDFGTMIHIDRDVRRLSSYEWKDSTAATAPKFKLPRLRTLVSLGAISSSTYMLESILSESSYLTVLELQDSEITQLPKSIGNMFNLRYIGLRRTKVKSLPDSVEKLSNLYTLDIKQTKIEKLPRGIAKIKKLRHLLADRYDDEKHSEFRYFKGVQAPKDLSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISSADCQNIFSTLSTMPLLSCLLLSARDENEPLCFETLQPMSKELHRLIIRGQWAKGTLDYPIFRSHSTHLKYLALSSCNLGEDPLGMLASHLSSLTYLRLNNMHSAKTLVLDAEAFPHLKTLVLKQMPDVNQIKIMDGALPCIEGLYIVSLPKLDKVPQGIENLNSLKKLWLKSLHKDFSTQWNKNGMHQKVLNVSEVIV >LPERR11G06530.1 pep chromosome:Lperr_V1.4:11:5266600:5267227:-1 gene:LPERR11G06530 transcript:LPERR11G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNYAEPAQEQQGADEQRPVSNAQIPGDYFVGRPANPQQPPPPKPAPAPEKSNFLAKCCPCLAGGGAAN >LPERR11G06540.1 pep chromosome:Lperr_V1.4:11:5298630:5299632:1 gene:LPERR11G06540 transcript:LPERR11G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKGASYSAKNPNESKIVSQAFSMLNRLTCSPPALLDRWTNPRQWTISSSAAWKRDSAGGWSFLRCNCIAVCHRLRLPSLFGGCPCGARSNLRNFEERNGRVFDDLARRWPVVFQAIAAEARAWGSVGRQSLLC >LPERR11G06540.2 pep chromosome:Lperr_V1.4:11:5291149:5299024:1 gene:LPERR11G06540 transcript:LPERR11G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVMLVVSKIGSVLVEEITFAVISKLSEKVTNLKELPVNVKEIGNELTMMNNFIKRISTTDLTDDVKAWIAEVRELAHYVEDVLDKYSYYALKLEEENSVKKFFAKANYITVFSEIANEITQIKGKIKNSVKRKDRWLQLSELTPYPLADVQRKQSRDCLLELDQDDVVGIEDNRKQLTEWLYSDEQGSTVITVSGMGGLGKTTLVANVYEREKSNFNIYHWMVVSQKYDIAELLRKMLRKFWSLEDTQLADLDAHELKSAIKERLKDSKCLVVLDDVWNREAYSQIADAFQNNKANRIIITTRQDQIASLANITRQLKLLPLKHSDAFDLLCRKAFFNSMGCNYKCPQELEKLANDIVDRCQGLPLAIVSIGGMLSSMPPTHYVWNETYKQLRSEMANDNHIRAILNLSYQDLPGELRNCFLYCSLLPKAHQLSRETLVRLWVAEGFAVQKEENTPEEVADRYLRELIQRNMLEVLESDELGRVSTCKMHDLIRDLALPIAKKEKFGFANDFGTMIKTDREVRRLSLCQWKDKTVLQVKFLRLRTLVALEMATSPQLLSSVLSESSYLTVLELQDSDITEVPASIGNLFNLRYIGLQRTRVKSLPESIGKLSSLHTLNIKQTKIQKLPRGIVKVKKLRHLLADRYEIENKSEFRYFKGVQAPIDLSNLEELQTLETVEASKDLADQLTKLMQLRSLWIDNISAADCANLFATLSKMPLLSGLLLSASHENEALCFDALKPGSENLHRLIIRGCWAAGTLKCPIFRDHGKYLKYLAISWCRLQEDPLLVLASHVPNLTYLSLNRVSTPSALVLSAGCFPQLKTLALKYMPDVNYLEIIDGALQHIECFYVVSLPKLDIVPQGIESLRYLKRLWLLDLHKNFSIRWEKNGMHQKMQHVPELRVKD >LPERR11G06540.3 pep chromosome:Lperr_V1.4:11:5282092:5291144:1 gene:LPERR11G06540 transcript:LPERR11G06540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLAISKIGIILAEETSKALMYVLSEKATNLKELPTNVKRIEMELNMMNGVIQDLGTSDIREKVVKCWIGEVRKVAYHVEDVMDKYLYHAHEVQENGKVKLKKVLQNIKIFSEIADEIVQIVEEIKHVKDLKSWAPSVDLTSSKTTADVGRQTPRGCMPDFIKEEDLVGVEENRRKLTGWLYSNEWQSAVITVSGMGGLGKTTLARNVYDREKVNFPGHAWVVVSQTYDEKDLLRKLLMKIAYREQPPPANMHEMDAYELIEEIKKILQHKKVLIILDDVWDHKACTRMCNAFKNLQESRIVITTRKEDVTSLAHEKCCLQLQPLSKEDSFKLFYQRAFNNKTNYECPPELKDVATSIVQRCEGLPLAIVSMGSLLSSRQQTKHAWSQAYNQLRNEMSEDDHIRAILNLSYHDMPGDLRNCFLYCSMFPEDYPMSKETLVRLWVAEGFVQGKDGSEPEDVAEGNLMELISRNMLEVVESDELSRVSTCKMHDIVRDLALIVAKEEMFGSASGTDTMTHLHTVRRFSTCRWKNDGTQRPRVSFPHLRTLLALEADLSFTNMLNFILSGSNYLTVLELQDSAINEVPTSIGNLFNLRYIGLRRTNVQNLPECIGNLSNLQTLDIKQTKILKLPQGIVKVKKLRHLIADRYADEKQSDFRYFIGVEAPKGLSGLEELQTLETVEASKELAEQLEKLMNLQSLWIDNISATHCAKLFMALSKIPNLSSLLLSACDEKEELCFQNLKPKSTKLHRLIIRGHWATGTLKCPIFKDHGENLRYLALSWCQLGEDPLGVLASHVPNLTYLRLNNMSSAKTLTLSAGSFPKLKTIVLKRMPDVNQLVISDGALPVIEGLYVVSLQGLDRVPQGIETLRSLKKLWLLNLHKYFKVNWTDREMHQKMQHVPDLRGFGQIVEHLRCTVVHTSRAELQNDNIQAILNMSYYDMPGDLRNCFLYCIMFPEDYPHVKGEPCAIMGCRRLRSEKNGNKPEDVAEGNIMELIHRNMLVVDESDELGRVSTCKIYDIVRDLALSVAKEEKFGSANDNGTMEQMYIEVRRFSTRGWKGNITSTVSFPHL >LPERR11G06550.1 pep chromosome:Lperr_V1.4:11:5306239:5306926:-1 gene:LPERR11G06550 transcript:LPERR11G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNPPGYFVGRPLNHEEQQPSRPVDEQNDQIPGYYKGHPVRKTDAQGEQKKEPGFFKKLFGCFTGGKNVN >LPERR11G06560.1 pep chromosome:Lperr_V1.4:11:5307712:5313461:1 gene:LPERR11G06560 transcript:LPERR11G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAILSRVDFESTHMEAVILAVTKIGSVLVEEATIAAITNLSEKVTNLKELPTKVEEIKEELKAMNNVIKKMSTSHPTDEVVKDWIAEVRGLAHRVQDVMDKYSYYALKLEEENVVKKLFTKTNYAIVFSGIAEEINQIEKKIENVGKRKKRWLQQPDLISNPVAYIERKQSQDCLLAQDYLVGIEDNRKLLTEWLYSSETGNTVITVSGMGGLGKTALVNNVYEQAKNNFNACYWIVVSQTYNVVDLLRKLLRKIEYPEQTQLSDLDARDMKNIIKEKLKDKKILIVLDDVWRKEAYTLIEDAFQNCQTSRIIITTRQGDVAALAQPTRQLKVKKLEHNDAFKLFCKKAFHNSKYIKCPQDLEKLAHDIVDGCQGVPLAIVTIGGLLSSMEPENYVWNEMYKQLGDELVNNNHVQAILNLSYRDLPGHLRNCFLYCSLFPEDHLLPRETLVWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLEVVEIDELGRASNCKMHDLVRDLALSIAKEEKFGYANDYGTIIKMDTDVRRLSSCGWEDSTVLRLNFPRLRTLVSIRAMSSCSYMLSSILSESKYLTVLELQDSEITEVPQSIGNFFNLRYIGLRRTKVKTLPDSVEKLSNLHTLDIKQTKIEKLPRGIAKVKKLRHLIADRYADEKHSEFRYFIGMQAPKDLSNMKELQTLETVQANKDLPDQLKKLLKLRSLWIDNISSADCAELFLTLSNMQLLSSLLLSARDENEALCFEDLRPKSKELHRLIIRGQWAKRTLDYPIFRDHGAQLNYLALSWCHLGEDTLEMLSSRLGNLTYLRLNNMHSAKTLVFDAKAFPRLKTLVLKHTADVNQMKIMNGALPVIEGLYIVSLPGLDTVPPGIETLRTLKKLWLLNLHKNFRTHWIESGMHQKMLHIPELHM >LPERR11G06570.1 pep chromosome:Lperr_V1.4:11:5320604:5321449:-1 gene:LPERR11G06570 transcript:LPERR11G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTDVNKENIMILDFGELSEADRQEFESHVEDLRRKMLSCYRKTRKGVTKQDEFTLPVNGKSKSFDPSA >LPERR11G06580.1 pep chromosome:Lperr_V1.4:11:5324447:5329588:1 gene:LPERR11G06580 transcript:LPERR11G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSFKLFCRKAFLNSTDCECLQELQDVAMAIVEVCQGLPPAIISIGRLLSLRPKSEPAWRKLHDQLQGELAKYGHVQGILNMCYHELPGHLRNCFLYCSLFPEDYCISRESLVRLMVKCGGDGGGAVGHGALPGGIKDMCCLCTLVRLWVAEGFVEKKGRATAEEVGEGYLMQLIHRNMLQFEDRDELGRMDADAKVRRLSTRGHWDMNAASTKFPRHLTLMALGTTTTCPDMLSFVSSGSKYLAVLELQDSDISEVPESIGNLFNLRYIGLRRTKVKSIPNSVEKLCNLQTMDIKQTKIEKLPRGITLETMECSKDFADQLKKLMQLRSVWIDNISTADCANLFASLSSMPFLSSLLLSARDENEPLCFDGLKPRSTELHRSTVDACFMLEEPNLFKTEQHAYLKNIEAFPRLKMLVLKQMPNVDQLKIMNGSLPVIEGLYIVSLPGLETVPVGIETLRTLKKLWLLNLHTDFKAHWIESGMHQKMQHVQELRELDPDLTRQMTKKRSHAAAKTPGQAAQFDAPDAAAVNNLHDSVL >LPERR11G06590.1 pep chromosome:Lperr_V1.4:11:5329599:5333274:1 gene:LPERR11G06590 transcript:LPERR11G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLAISKISIALGDEATKVVIAKLSGKVSNLRELPDKVEYIRRELRVMKDVIQDLDSTNISMNVVKGWIDELRKLAYHIEDVMDKYSYYACQLQQEGSLMRFMKGAHYASVFSEVASEVMKIKGDLEQVRRHQMEWFPTLQAIRRTPTDNETLRSQGRTKLLDSGDPVGIEYNRKKLLELLYSNDEPGHKVITVSGMGGLGKTTLALDVYEREKIKFPVHAWITVSQTYTTISLLRQFVRQVVLNEQESFESKKDAINKMGVNELIEELNRKAENFTTCLIVLDDVWDQNVYFEIQGVLKNLQACRIIITTRMEHVAVLAPSECHLKIQVLGEADAFNLFCRRAFYNRKGHRCPPDLENVAASIVRKCKGLPLAIVTMGGLMSSKLPTERAWQQMYNQLRSELAKNDDVKTILKLSYHALSADQKNCFLFCSLFPEDYPISCESLVRYWVAEGFAVRVEHNGPEDVAEINLMELIHRNMLEVEEHDELGRVSSCKLHDIVRNLALSIAKQERFGHANDFGAMEKIDWEVRRLSLFLNNDKGCASIVKFPHLRTLLGTISQPPGMLSSIFSESKYLTVLELQDSDITEVPESIGKLFNLRYIGLRRTRLRSLPESIEKLSNLQTLDIKLSKIERLPEGITKIKKLRHLLADRYADETQSGFRYITAIKAPKDLSNLEELQTLQTMESSKHLAEQLKKLMKLRCVWIDNISSADCANIFPTLSNMPSLSSLLLSAKDENEPLCFESLKPTSTKLQVLIIRGQWTKSTLDYPIFCSHDIHLKYLSVSWCHLGEDPLGILSSRLENLTYLRLNNMHSAKRLVLDATAFPCLKTLVLMQMPDVNQIKIMNGALPVIEGLYILALSGLESVPPGIETLRTLKKLWLIDLHRDFKDHWIDSEMHQKMQHVPELRAAAGAQGQPAGARNAGRQELPPAAGRRVVVGDGERLLGCYCSSPGSQAMP >LPERR11G06600.1 pep chromosome:Lperr_V1.4:11:5339716:5341766:1 gene:LPERR11G06600 transcript:LPERR11G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGDSGMTAFALRLAKHLSDADAAAGSGNNKNIVFSPVSLYAALALVAAGARRSTLSELLALLGAASLDELTESVRRAVEVALADESASGGPRVAYACGVWHDEEFTLKPPYRDAAVESFKAEVCAANFLKQPKESRKKINKWVSKATKQLIPEILPEGSIHTLTALVLVNAIYFKANWSNRFFRGSTTTRKFYRLDGRSVLKLPYHQTNKQDGDQEQLGLSMCIFLPDAHDGLAELIDKMASSGTGSFLRDHRLKYRVEVGEFRVPRFKVSFDIKLKDVLKKMGVEAAFDEDKVDLSGMLKDANNKTEPLVVEEVFHKAVIEVNEEGTKAAASTACTVKLLCARRIVNVNFVADHPFAFFVVEETSGAVLFAGHVFDPTS >LPERR11G06610.1 pep chromosome:Lperr_V1.4:11:5348613:5349026:1 gene:LPERR11G06610 transcript:LPERR11G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHVIASGGGKRKAVATIYGGKSGYREKEDGETNLTTAKTTTNSDGRWPAARFNGEKKAARGVDGVPAIGDENGQRDGVLLGLANPTVVEATRDDDRGDGSGLPKVSGERRWWRERGGGEQEHGRGRCGLVRRGEG >LPERR11G06620.1 pep chromosome:Lperr_V1.4:11:5360612:5361412:1 gene:LPERR11G06620 transcript:LPERR11G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWVAEETQSLITDVVSQGAIHPDTILVLATAIYFKGKLVIPFDDKYTEEKKFHLLDGSSHVTVPFMRGFWMQNISCHDGFKMLPLRYTDNDYYQNYDVPQFSMCIFLPTQRDGLPELVNKIASDVEGFLLRHLKPSSQNKYVPVGQFFVPKFKLSSAGSVAGVLKEVGLQLPFDMVEEVDDGVEEEKKMLVEGVIHKAVVEVSDVAAVTMTNNERMISAPRDKRVPPPRNPMDFVADHPFAFFVVEERSCAVVLAGQVLDPSKE >LPERR11G06630.1 pep chromosome:Lperr_V1.4:11:5363099:5366854:1 gene:LPERR11G06630 transcript:LPERR11G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPTNRATSQDCQPGQAALAIRLLQRLGGNGGGVGNLVFSPLSIHAELALVAAGARGRTLDELLAVXXXXXXXXXWCDELRPLKPAYRDAVVESYKATVSTADFRNKPIEAIGKINAWVADVTNNLITNLVGQDDINPNTVLVLSNAIYFKGKWEDPFNEKYTKEKKFHLHDGISHVTVPFMRTWSDRMIACHDGFKVLKLPYNDDEYYDKPDVPRFSMCIFLPDAGDGLPTLVSKIANDEGFLHSHLPKGYVEVGQFFVPKFKLSLYRRLVGVLKELGMELPFDPVKADLSDADMLEEEEKDDFGFEGNVYLESVIHKAVVEVSEEGSEAAAVTTMLNMYIGSAPKGLRAAAAEAGGFRGRPPVRLLHRRGEVTCHRVRRTGARPVQGNWVSKATTQLIPEILPDGSIHTLITLVLVNAIYFKANWSNRFSSTTTRKFYRLDGRSVHAPFMTSRAKQFIRTYDGFKVLKLPYHQTKKQDSDQEQLGLSMCIFLPDAHDGLPALVDKMASSGMGSFLRDHRTKCRVEVGGFRLPRFKVSFDINLKDVLKKIGVEAAFDEDKVDLYGMLKDANGETVLLVVEEVFHKAVIEVNEEGTKAAASTACTGRKLQCARRIVTVNFVADHPFAFFVVEDTSGAVLFARHVFDPTSS >LPERR11G06640.1 pep chromosome:Lperr_V1.4:11:5408200:5410224:-1 gene:LPERR11G06640 transcript:LPERR11G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPSVLLNPNPIDRSLRVLEGADARCPLEICTYTVDFIYTKTYYDYRWHLQALVLCDILSTSEIPGSGKGDQHPLYFASDDLEYQAAFPSKRLGMVNEHQPKYISYGKPNPFMFKNAAYILEKLAIFMHPSSLPTKKVGHQLLGIILKLISMELERLVPLGHLFLQGLAYLVEMIMIHNILRIWLLIP >LPERR11G06660.1 pep chromosome:Lperr_V1.4:11:5426592:5444357:1 gene:LPERR11G06660 transcript:LPERR11G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALELQTGEIQHNLKEHMAQTLEWQHHADAQVEGIGGVPELSSVNPLTVKVGLLVECCEKPLLLQDGSF >LPERR11G06670.1 pep chromosome:Lperr_V1.4:11:5450643:5455893:1 gene:LPERR11G06670 transcript:LPERR11G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAEKIMVSALTGVMSPLIGKLTKLMEKECAKLKGAVKKLESLTKELIAINVLLEKYALMENSDEQVKAWMKEVRELAYDIEDRIDLFTYHVYHKPADNATGVKRILGKCIRKLKRIHYRRKFSDEIQRLQTDVNEVYERQKKYKLDESTSTSMHTEVDHQLPALYVEMEKLVGIEGPRDEIVNRFIGKENGPAKQRRVASIVGSGGSGKTTLAIQVYKEIKDQFFCTAFVHVSQKPNINNFLRELLLQIEKTGARQDKDQPAGSNNEQLVKRLREHLQNESYLIVIDDIWSKPAWETIQCALPRNEYASRIITTTRNNRVAQLCCTSDEDFIYQMKPLSKRDSEHLFLKRTFPVEHSCPSQLKVIMNEILHKCDGLPLAIITIASLLANKTTRKEEWERVRDSIGSTCDKERELDVIDKVLSLSYHDLSFSMKTCLLYLSIFPEDYTIHKDYLVWKWIAEGFIVEKKRCTLEEVGESCFNELIDRSLIQPLFMEYGGKVLRVLDLEGCKALENNHLDNIGSLFHLRYLGLRRTNVDRLPAQIGKLEFLQSLDIRETGIRELPEALVQLRRLVHLVGYNLILPVGFGNMEALQEMWELDGCNCSMNFGQDIENLRQLRVLHVAFKHSRCTNRDQRVTTLLSSLCKLGEQNLRSLHIISKDGSVVVDRFADSWCPPPRRLQKFVMQGSSHWFSRFPKWIDPSLLCDLTHLEFRVKRLEKEDVHVLQHLLALLVLHLSVKTTPKDGLRISRSGFLCLTYLRFHNRSGPGLAFEEGAMPKLQKLDIQFHANKAISTYGSLGFGIRHLSSLNHIKAGIDYDRKDAWAMKEAKRAINEQFSIRAVKLATFYTHFSLAYAGHLSIFPEQVNRFLNPGS >LPERR11G06680.1 pep chromosome:Lperr_V1.4:11:5460890:5464211:-1 gene:LPERR11G06680 transcript:LPERR11G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAS >LPERR11G06680.2 pep chromosome:Lperr_V1.4:11:5460890:5463882:-1 gene:LPERR11G06680 transcript:LPERR11G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRPHPFDGVRKFGFTALVLCLAPNLPPQSEGANEVEKTTYGGGEEVEGYEGEVLFISQKPTLAYAALIGVDGALKNKWVWDQVSGCLHMVAS >LPERR11G06680.3 pep chromosome:Lperr_V1.4:11:5460784:5463882:-1 gene:LPERR11G06680 transcript:LPERR11G06680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRPHPFDGVRKFGFTALVLCLAPNLPPQSEGANEVEKTTYGGGEEVEGYEGEVLFISQKPTLAYAALIGGLGPSEWMPAYGSLVGPILGFSFLVVRKSFAKSHSKAFDTTVIQILWLV >LPERR11G06690.1 pep chromosome:Lperr_V1.4:11:5487501:5487719:1 gene:LPERR11G06690 transcript:LPERR11G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREDQFIGKYERFKVLRLSYNQTKKHDGDGYDGTTPFSSIMSDDDSEDEYVGLSMCIFLPDVRGGLPAGT >LPERR11G06700.1 pep chromosome:Lperr_V1.4:11:5491478:5492719:1 gene:LPERR11G06700 transcript:LPERR11G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTNRATSHDCQTGQAQLGSNGGVGNLVFSPLSIHAALALVAAGARGRTLDELLALRPLKPAYRDAVVEYKATVSTADFRNKPVEAIGKINAWVADVTNNLITNLVGQDDINPNTVLVLANAIYFKGKWEDPFDDKYTKEKKFHLHDGISHVTVPFMRTWSDRMIACHDGFKVLKLPYKDDEYYKNPDVPRFSMCIFLPTARNGLPKLVKKIASDEGFLLRHLPVAYVEVGEFFVPKFKLSFYRSVVGVLKELGMQLPFDPWNADLKDMVEEENVDFWVKGNVFVDRVIHNEVVEVSEEGSEAAAVTTVLNMDIGCGPNDYVPPPRKLVDFVADHPFAFFIVEEKSRAIVFAGQVLDLSKE >LPERR11G06710.1 pep chromosome:Lperr_V1.4:11:5493464:5507086:-1 gene:LPERR11G06710 transcript:LPERR11G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKAIKKMNAWAAEATQNLITNVVSRDAINQDTMLVLANAIYFKGKWQNPFDKSYTYDKKFYHYRKRHVTVPLMRSWKDRMIACHDGFKVLKLPYNDDDYHKNKPDVPRFSMCIFLPNARDGLPALVSKIAADDDVGFLLRHLPSEHVAVDRFLLPKFTLSFSGSVAGELKDLGLRLPFDQRNADLSDMVEEEDGDGDGDVDPGSGAGGGGGGSPGPDEACNEINRWVATATENLIDKIISTKSVTKDTRVVVTSAIYFKGRWEKPFDKSRTKKDKFHLLDGSDVDADFMCSNRYQYIAEHNGFKVLRMPYATVELDQFVAQIDALKAKAATAMGSATVDDYRAAAAAETRILDMRNIMAATSPRYSMCVFLPDERDGLWKLEEKMVAGGGRFIHKHMPEESVEVGNFMIPRFKLSFDGSIKEALQGIGVKAVFDPARAELPDLLEDGGGDQPLYVSDVVHRAVIEVNEEGTEAATATFVAVKAGLAMNYNRPPPPVDFVADHPFAFAFFVLEESSGATAGKRNGTTMRTWAWKACKEKGEGLGP >LPERR11G06720.1 pep chromosome:Lperr_V1.4:11:5497002:5498891:1 gene:LPERR11G06720 transcript:LPERR11G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSGTRTRTPVVVLSYGAGHSACSSGARASSAVVFSSGSGLGIHPRRRRQLVAARRLPWPCACPSGVRASASLILSVRSERRRAKELRRSPSTELRASCARSVGERARGARPEGAPPRGGGGPSDLDPNRAGEEGERG >LPERR11G06730.1 pep chromosome:Lperr_V1.4:11:5507163:5508430:-1 gene:LPERR11G06730 transcript:LPERR11G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGSAQPHATVLGRMRWERRRRRLGAGSRVTTAAAGSGRVDPSGGGSGGDGAVTASGDCLLFSFIRVFPNLAVQAWDFDGEKTNGANVLRTLNMTDFKEENSKAKPPSPFASCIASIGRNGSGVGNLVFSPLSIHAALALVAAGACGRTLDELLAVLDEFFGSASAGRALADRSASGGPRVVRRRAPLAYRAAVVDDYKATVSTADL >LPERR11G06740.1 pep chromosome:Lperr_V1.4:11:5509938:5514537:-1 gene:LPERR11G06740 transcript:LPERR11G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDDQKLQAFSQEVNGDAKALSLAEEYSLPPQEAPVEEAVEDKPQEAESAPVKNDETPQADETATAVEVNSEASEVQEAADKSDAEETNPAAEETTETAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGDDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >LPERR11G06750.1 pep chromosome:Lperr_V1.4:11:5535880:5539464:-1 gene:LPERR11G06750 transcript:LPERR11G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSDRMIACHDGFKVLKLPYKDDEYYKNPDVPRFSMCIFLPTARDGLAALIDKIAADEGFLLRHLPSEHVAVDRFLFPKFTLSFSGSVVDVLKEFGAPAAVRPEERRPVGHGGGGGGRPRDGEAVCQGRCSTRRWWSHMFVRDVFHKAVVEVSEEGSVAAAVTFEYMDDDTCAMEDSDDELPRVDSVADHPFAFFVVEERSRVIVFAGQVLDPSQE >LPERR11G06760.1 pep chromosome:Lperr_V1.4:11:5549661:5558478:1 gene:LPERR11G06760 transcript:LPERR11G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIRSNGKVPESDPVRIQAQPKDCIECAGGGITTLSLRLAKQLAGLPNERDGLSSLVDRMAAAGGEGFLREHTPDRRVEVGEFRIPKFKLSFGGSIKSVLEGIGVEAVFDPSRADLADVLEVDNSGDPRLYASDVYHKALIEVEEEGRNRGGRCHHDCSLRRRYICRRGWTSSLTIHPFAFFVVEESSGAVLFGGHRKASKKKSRAGEELPPCAAGLTSLALRLARTIQPKPAANGNLVFSPLSVYAAIALVAAGAAGDTLAELLATLGIASLDELDAALVGRLAAAADDLTFSSAVWHDATRASLTPAFRLAAFRSFNADTRAMDMRSREAVLEINAWVNDATNGLIESMIEDEKLPDDADVIVTNAIYFKGKWETPFKKRHTVTDKFHRLAGAGAVDARFMRDVSHCPRQHYIACHDGFKVLRLPYAAGAASPPPSIFSMCIFLPDSRDGLFDLLDTMTSSSSTHQFLQSKMPTKTVRVGEFMLPKFKLTFSDDIAGVLRGLGLNVTFTNGDFSNMVVEDGSGRKLTTNSVVHKAVIEVNKEGTEAAASTGGSLCTACPMRRPPVLVDFVADHPFAFFVVEETSGAVVFAGYVVDPSSSSLPALGEEDGGDDDDPQVDATMESTSTTANIFASFFCPAASPPPCPGLTELALRLARTIPPHDAADGNLVFSPLSVYAALALLAAGAAGDSLAELLAVLGSTSPDELTGIVRRHAGHALADQSGTGGPRVSFVSGVWHDKTRTLTPSFRNAAVKSFMAETRAADFRTKPGEAAKQINEWAKKATNNLIENIIDGGGGFSPETDIILANAIYFKGKWEDPFKKSLTITDKFHRLDGADAVDARFMCGSRHESHHIACHDGFKVLRLPYAAGGRQSSPAPSSIFSMCIFLPDEHDGLFDLLDKMASTPGFLQSKLPMTVVEVGKLMLPKFKLTFSGDMAGILHGLGLESTFTKNADLSKMVVDDGSGRRLTMNSVVHKAVIEVNEKGTKAAATAMEEMCSMDVLNPPPERVLVDFVADHPFAFFIIEETSGAVVFAGHVLDPSSTAGDLDDDDDDDDEDQHVGLMGCLRRILDRCCLAFFGFRSFVKFFF >LPERR11G06760.2 pep chromosome:Lperr_V1.4:11:5541035:5549872:1 gene:LPERR11G06760 transcript:LPERR11G06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWARRCAASGLAALSLRLSNQLSTGGGPKSAAAAAAPADGNLVFSPLSIYSAISVVAAGARGRTQSELLDALGARSRGSLAKSAGDLLRRALPDGHQPGGPRVAYVCGLWHDKARTLKPAFRDAVTSSFNAVTRAVDFLTNPEEARREINRWVATATEKLIDTILPRGSVSTDTRLVVTSAIYFNGQWETPFMKGMTKKDKFHLLGGGDVEADFMRSGEDQYIAAHDGFKVLRMPYTVHDPDVDDDPFTARSVNTKRIATMPPPQYSMCVFLPDERDGLWKLEEKMVAGGEGFLRKHMPEESVEVGEFKIPRFKLSFDGSIKRALEGLGVKTMFDPAKAELNDLLEEGNSGEPPLVVSDVLHRAVIEVNEKGTEAAAVTSIMVVCGAAQPPAVPPPRVDFVADHPFAFFVVEELSGAVLFAGHGIVVAFLAYALAVLVLSNHGVGELFRDDQLQLRFPCTWWSGEVPESDPVTIQTQTEDCIECAGAGITALSLRLPKQLAAAAGDDKAAGDKNLVFSPLSIYSALSVVTAGARGSTQRELLDTLGELSRESLAKNVGDMMRRVLPDGHQPGGPRVAHACGLWHDKARTVKTAFRDAAASSLNAVTRAVDFLTNPEEARKEINRWVAKATEKLIDTILPRGAVSTNTRLVVTSAIYFKGGWETPFNKEMTKTGKFPRLDGGGDIDADFMRSGDDHYIAEHDGFKVLRMPYTVHDPYDDDNPINTKRMATIQPPPRYSMLVFLPDDRDGLRKLEERMVAGGEGFLRKHMPEERVEVGEFKIPRFKLSFDGSVKQALQGLGVNAMFDPAKAELHDVLEEGNSGELLLVVSDVLHQAVIEVNEKWTEAAAATSVVFCCGSAAAEGGFRRRSSVRVLCGGGVVGCCTLRGERRRNRSQQECALSSLGCHCIKQNETSVSAKHLVVSNPSRRGHCFFFLSMSSLCAWGFGGVVVVIWIGEDLDVLSTGPDAV >LPERR11G06760.3 pep chromosome:Lperr_V1.4:11:5548883:5549655:1 gene:LPERR11G06760 transcript:LPERR11G06760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENLIDTILSPGSVTPSTSLVKNTKKHKFHRLDGGEVDADFMRSDEDQYIAVHDGFKVLKISYARAAPTDHAEPAPNYASSSSPTSTTAWQALMSAAGGEGFLREHTPDQRVEVGEFRIPKFRLSFGNSIKSVLEGLGVKAMFDPSRAELPDVLEKDNSGDLPLCASDVIYKAVIEVEEEGTVAAAATVLILDGSSLYAPPRVDFVADHPFAFFIVEESSGAVLFAGHVVDPTTS >LPERR11G06770.1 pep chromosome:Lperr_V1.4:11:5559569:5565713:-1 gene:LPERR11G06770 transcript:LPERR11G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAYSEFLESTAGNAISSEPNTWTKLNTNVIKLPSDLPTPPLAMRRHILVYLPLIILLSLSISSRCQSDHQIQTLLQFKASLTDPLNNLQTWTANATSPCRFHGIRCDRKTGMVTDVSLSSMNLSGKISPAIGALPALARLELDSNSLSGNVPPELTNCTHLRFLNLSVNTLTGELPDLSPVMALDTIDIANNDFSGRFPAWVGNLSGLVYLSIGMNSYDQGVIPPSIGNLKNLTYLYMASSNLKGEIPDSIFELTKLETLDMSMNNLAGVIPAAIGNLQKLWKIELYGNNLTGELPPELGKLTGLREIDISRNQLSGGIPPEMAALKNFEVIQLYRNNFSGPIPAEWGELKFLTGFSAYENRFSGEFPANFGLFSPLNSFDISENAFTGPFPKHLCDGKNLEYLLALQNGFSGEVPDEYSACDSLQRFRINKNRFTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGKAQNLNQLWLQNNRFDGEIPAEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPAEIGGCVRLVEIDVSRNALAGPIPATLSSLSSLNSLNLSHNAITGVIPTQLQVLKLSSVDFSSNRLTGNVPPGLLVITGEAAFAGNPGLCVDGRSDLGVCKVGDGHHLARRSLVLVPVLVSAMVLLVAGILFVSYRSFKMEEMRKRRDIEHGGACGEQWKLESFHPLELDADEICAVGVAGGEENLIGSGGTGRVYRLAVKGGGDGGTVVAVKRLWKGNAARVMAAEMAILGKIRHRNILKLHACLSRGDLNFIVYEYMPRGNLYQALRRDGGDGKGGEMDWPRRRKVAIGAAKGIMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKVVAGGNDDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRSPIDPAFGEGKDIVFWLSTKLAAESVDEVLDPRVAAAPATSAKDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGGGPCSPRGLPPPLPVARACTRSKSCC >LPERR11G06770.2 pep chromosome:Lperr_V1.4:11:5559567:5562705:-1 gene:LPERR11G06770 transcript:LPERR11G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVYLPLIILLSLSISSRCQSDHQIQTLLQFKASLTDPLNNLQTWTANATSPCRFHGIRCDRKTGMVTDVSLSSMNLSGKISPAIGALPALARLELDSNSLSGNVPPELTNCTHLRFLNLSVNTLTGELPDLSPVMALDTIDIANNDFSGRFPAWVGNLSGLVYLSIGMNSYDQGVIPPSIGNLKNLTYLYMASSNLKGEIPDSIFELTKLETLDMSMNNLAGVIPAAIGNLQKLWKIELYGNNLTGELPPELGKLTGLREIDISRNQLSGGIPPEMAALKNFEVIQLYRNNFSGPIPAEWGELKFLTGFSAYENRFSGEFPANFGLFSPLNSFDISENAFTGPFPKHLCDGKNLEYLLALQNGFSGEVPDEYSACDSLQRFRINKNRFTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGKAQNLNQLWLQNNRFDGEIPAEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPAEIGGCVRLVEIDVSRNALAGPIPATLSSLSSLNSLNLSHNAITGVIPTQLQVLKLSSVDFSSNRLTGNVPPGLLVITGEAAFAGNPGLCVDGRSDLGVCKVGDGHHLARRSLVLVPVLVSAMVLLVAGILFVSYRSFKMEEMRKRRDIEHGGACGEQWKLESFHPLELDADEICAVGVAGGEENLIGSGGTGRVYRLAVKGGGDGGTVVAVKRLWKGNAARVMAAEMAILGKIRHRNILKLHACLSRGDLNFIVYEYMPRGNLYQALRRDGGDGKGGEMDWPRRRKVAIGAAKGIMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKVVAGGNDDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRSPIDPAFGEGKDIVFWLSTKLAAESVDEVLDPRVAAAPATSAKDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGGGPCSPRGLPPPLPVARACTRSKSCC >LPERR11G06780.1 pep chromosome:Lperr_V1.4:11:5608010:5613527:1 gene:LPERR11G06780 transcript:LPERR11G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRLVSLLLVVVLLHSAKFVAGGGGDDALHGDAMALVRLKASLRCHPHALRDWSAGNVAAVCAWTGVRCAGGRIVAVDIANMNVSTGAHVSASVSSRLDALANLSLAGNGIVGALTASSLPSLRLLNVSGNQLDGDVWDFASLPSLEVFDAYDNNFSSPLPVAVVAAPRLRYLDLGGNFFSGEIPPSYGQMASLEYLSLNGNNLNGAIPPEIGNLTRLKELYLGYYNVFSGGIPPEIGRLRDLTVLDISNCGLAGRIPPELGDLAALDTLFLHTNQLTGEIPPELGKLNRLTALDLSNNALTGEVPRSLASLTSLRLLNLFLNRLHGPVPDFVADLPMLETVQLFMNNFTGRVPAGLGANAALRLVDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPGELGSCSSLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGEVPANPNPTTVAGVGSQLAQLNLSSNQLSGSLPSSIANLTALQTLLVSNNRLAGVVPPEIGELRRLVKLDLSGNELSGRIPEEIGRCGELTYLDLSKNNLSGAIPGAIAGIRVLNYLNLSRNQLDDAIPAAIGAMGSLTAADFSYNDLSGELPDTGQLGYLNSTAFAGNPRLCGPWRFTAFHKVDFGMEEVIESMKEGNVVGRGGAGVVYVGRTRSGGSIAVKRLNSSSSSSSNNNNNNGHDHGFRAEIRTLGSIRHRNIVRLLAFCSNSSSRSRHRGEAATASNVLVYEYMANGSLGEVLHGKGGGFLSWERRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLGDNFEAHVADFGLAKFLRSTGSGNGAAATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWTKRVTDGRRENVHRIVDRRISTVPMDEVAHLFFVSMLCVQENSVERPTMREVVQMLSEFPRHASDQPSPSSSAPETGEEESSPEKEPNCYKLFPDLLT >LPERR11G06790.1 pep chromosome:Lperr_V1.4:11:5614640:5618185:-1 gene:LPERR11G06790 transcript:LPERR11G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAYSVGATLRLAARHGAFSLGSNHQCSGWKSSVSYPVSQAWMGSRLIVQASKWGDTSLKASLADASLLAEERIIILVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDANCISDLDISNSDAVIAFCRKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAQYCTFTDPVEAKQYVKDQGAPIVVKADGLAAGKGVVVAMSLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHIIMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELRDVSLTWSPEMAMVVVMASQGYPGSYKKGTVIRNINKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARERAYDAVDVVDWPEGFFRRDIGWRALKHKQTASY >LPERR11G06790.2 pep chromosome:Lperr_V1.4:11:5618195:5618658:-1 gene:LPERR11G06790 transcript:LPERR11G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPLVYLGFVESNTRPTAQFRASLLLPQSPRLNAYTTPRRRCSNPVASSPASRALRPACGAAASAASSRRPPALLNLVRAEAGAGPLQPPLHRRRGSAFPPRSLGSR >LPERR11G06800.1 pep chromosome:Lperr_V1.4:11:5624442:5626329:-1 gene:LPERR11G06800 transcript:LPERR11G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVASSCDIIRCPSCNHLALSRDDPEDWDYVQLESPQHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLMDFWDRLCRYLRVRKVSVVDVSKKYGVDYRSLLAVATGCSWYGQWGFKLSSGSFGITPEDYCKAVDSLSSVPLSNFFPHSRSPRTQLQNTIAFYQSLSMHPLTTIRELFLYIMELATSNSAQNRIQPKHKKQQSYDAHYQEEKWHEEELQRATDIALKILRAADQWVATRTLKAVTSHRIGSPQLVDYCIKTLGGLITNDGMVVAVRCNSEMSTVEYRLTAETLLLPNNVCTLTQDHLFRDIRFLYDALLYPHTMHSYRPQENYEHAKKSAMILLDCKRFIKHYDLEEGFLPQNPSHLHIWCKVELADQVDDPPCIPAELLTLPQTATVADLKVEATRSFQDNYLMFQSFVAEHLLDCAIASEITQIKLLFGATGDVRIKGRCTGGERRFAVYRMEKGMDKWTVKCSCGAKDDDGERMLSCDSCHVWMHTRCAGISDFDRVPKRYVCKSCKLTNKPKSIGPRLMYSSSVPYKRCRTGTGSFSNAAGGSLRPHIT >LPERR11G06810.1 pep chromosome:Lperr_V1.4:11:5632157:5636913:-1 gene:LPERR11G06810 transcript:LPERR11G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGSKGGDSMAAASEISVDWRGRPCSPHKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMMSPAARCEEAGGAKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRNSGDGGEGKRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAAGLVCLVAGVFFYRNKPPQGSIFTPIAKVFVAAVTKRKQVCPSSTNALQVIPADSGVHGAPVRRINKFRFLDKACVKAQDSGHGSRGKKESVWRLCTTAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLLGSSSFRVPPASLQAIPYLVLIALVPAYEAVFVPAMRRATGIVTGITPLQRIGVGLFTATFSMVAAALVESRRRHAAGDNQLISIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSFVNKVTSSNGGGGGGGWLSDNDLNKDRLDLFYWLLATLSLLNFFNYLFWSRWYSKSVETVQATGFGGDGGGSGGSEQQDEKGVPEINMGH >LPERR11G06820.1 pep chromosome:Lperr_V1.4:11:5665258:5667193:-1 gene:LPERR11G06820 transcript:LPERR11G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHQANTKFDVPNDAELLQAQADLWRDSFSYQTAMALRCAVKLGIPTAIHRLGGAASIPDLIAALSLPPTKQPYLRRLMRLLSTTSAAFAADESDSDRYRLTPLSRTLVDGVDADDHHVQISVVLTVTSRQYVEAAMGLDDWFRKGDDISSSPPYDDVHGAEFDAVANEALAAHDNQGIGVVLRECGQELFGGVASLTDCGGGDGATARAVVSAFPHVRCTVLDLPKVIDKAPPAADGAIHYLVLHFWSDDDCVKILTQCKKAIPPQKKGGKVIVIDILIGPSLGPAMFEAQILMDVAMMVNTRGRERDENEWRDLFFKAGFSDYKIVKQLGVRAVFEVYP >LPERR11G06830.1 pep chromosome:Lperr_V1.4:11:5693423:5697293:-1 gene:LPERR11G06830 transcript:LPERR11G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCRLSLCSLAPRLSREEKARWLRREGCGSSLLLILRRLLPINPLLRLLLLLAALSLLPPAFFHFRLRRFHRMRERRCGWVTSPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGVLFVLHDRDLQRMSGNSTAKVGFWSSDEIKALSTRFQLSKSVQNQEVPKAQDVLALVSQSVRQVILDVKVGPPSFEKDLAEDVLSIIDKTQCKNCLIWAKSDNVGRDVIKLSKDIMVGYIVMVDKLTGITTELVRIAGSKVAGVYHRLIHKKLMKVMHSNGKKVYAWTVDDADSMKRMLYEHVDAIVTSNPALLQQLMQEIRTECMEDGFALP >LPERR11G06830.2 pep chromosome:Lperr_V1.4:11:5693423:5697293:-1 gene:LPERR11G06830 transcript:LPERR11G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCRLSLCSLAPRLSREEKARWLRREGCGSSLLLILRRLLPINPLLRLLLLLAALSLLPPAFFHFRLRRFHRMRERRCGWVTSPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGVLFVLHDRDLQRMSGNSTAKVGFWSSDEIKALSTRFQLSKSVQNQEVPKAQDVLALVSQSVRQVILDVKVGPPSFEKDLAEDVLSIIDKTQWSKVAGVYHRLIHKKLMKVMHSNGKKVYAWTVDDADSMKRMLYEHVDAIVTSNPALLQQLMQEIRTECMEDGFALP >LPERR11G06840.1 pep chromosome:Lperr_V1.4:11:5698452:5700154:-1 gene:LPERR11G06840 transcript:LPERR11G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVASSDNTARLWTMSTGEAIRVYRGHHKPVVCCALHDGAESTPS >LPERR11G06850.1 pep chromosome:Lperr_V1.4:11:5700980:5706116:-1 gene:LPERR11G06850 transcript:LPERR11G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNEWINGYLEAILDAGAKLREQQGAALPRLQPSPPLLPEDAATAAVVAAAESAYSPTKYFVEEVVSRFNDCDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARKKKQNTVSTLSCVTIMVHHLSMSCPDLKVIEQVEWEFSRQLTRRRLEQEMGSREAAADLSELSEGEKDAHPPAAATAEADARDNPPPQQQLSRFAGGVNNDLRIVSDDEGDVSKDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVRRVDLLTRQISCPDVDWTYGEPVEMLTVPSAADADDADSTGGAYIVRLPCGPRDVYIPKESLWPHIPEFVDRALIHITNVARALATDTVTPSPSPVWPYVIHGHYADAAEVAAHVASALNVPMVMTGHSLGRNKLDQLLKLGRMPRAEIQGTYKISRRIEAEETGLDAADVVVTSTKQEIDEQWGLYDGFDLKVERKLRIRRRRGVTCLGRYMPRMVVIPPGMDFSSVGDSNDGDLSADGADGDELQMIINNSKAKKPLPAIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRQLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQNDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAPAITGALLSLLADKSRWSECRRNGLLNIHCFSWPHHCRLYLSHKTATIAIKYQFAYSEGMANARTHAPSKEGRTDLSIGPYGQNVCLQAHVHTVRPTCKRTSAYQDPASTRKNLLSIRKHMLAIFSRKKIIHEITLFIRINFGIVHKNMYKLYILGIKLYT >LPERR11G06850.2 pep chromosome:Lperr_V1.4:11:5700980:5706116:-1 gene:LPERR11G06850 transcript:LPERR11G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNEWINGYLEAILDAGAKLREQQGAALPRLQPSPPLLPEDAATAAVVAAAESAYSPTKYFVEEVVSRFNDCDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARKKKQVEWEFSRQLTRRRLEQEMGSREAAADLSELSEGEKDAHPPAAATAEADARDNPPPQQQLSRFAGGVNNDLRIVSDDEGDVSKDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVRRVDLLTRQISCPDVDWTYGEPVEMLTVPSAADADDADSTGGAYIVRLPCGPRDVYIPKESLWPHIPEFVDRALIHITNVARALATDTVTPSPSPVWPYVIHGHYADAAEVAAHVASALNVPMVMTGHSLGRNKLDQLLKLGRMPRAEIQGTYKISRRIEAEETGLDAADVVVTSTKQEIDEQWGLYDGFDLKVERKLRIRRRRGVTCLGRYMPRMVVIPPGMDFSSVGDSNDGDLSADGADGDELQMIINNSKAKKPLPAIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRQLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQNDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAPAITGALLSLLADKSRWSECRRNGLLNIHCFSWPHHCRLYLSHKTATIAIKYQFAYSEGMANARTHAPSKEGRTDLSIGPYGQNVCLQAHVHTVRPTCKRTSAYQDPASTRKNLLSIRKHMLAIFSRKKIIHEITLFIRINFGIVHKNMYKLYILGIKLYT >LPERR11G06860.1 pep chromosome:Lperr_V1.4:11:5712326:5713672:-1 gene:LPERR11G06860 transcript:LPERR11G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCRQNTQVLPKQLCLPPCSTKEELRTILTETTVRKFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHTKLHNLGKYKRHQTPCFLYTSQSIGENIACLYRTYKLTLPEPPISRRYLLGSSDGWLVTAEERSEMHILNPITGEQIALPSVIIINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRYFFHCKAFLFYDKFVRSYIVVLIHNPQKQISFARLEHDKWTWLPPHLGIHDCAYKDGLLYAVTLFGEIFSFDLDATVIIAKVIMSRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGASEYPQLKANHMYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSLMNSTLHS >LPERR11G06870.1 pep chromosome:Lperr_V1.4:11:5716761:5717940:1 gene:LPERR11G06870 transcript:LPERR11G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYCRPSSSSMQPPDETCPGFSSAALRARSSYVVWQVKVMEPLRYTELIIAG >LPERR11G06880.1 pep chromosome:Lperr_V1.4:11:5718384:5721771:-1 gene:LPERR11G06880 transcript:LPERR11G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPRRVRPSKGVRPRYQRQRHQLLSPEQNTHNVGAAACCSALPISAPLPTPRSPSHRLASPYLIPATTNDVGVITNHNDSYIVREGISPNS >LPERR11G06890.1 pep chromosome:Lperr_V1.4:11:5722101:5722379:-1 gene:LPERR11G06890 transcript:LPERR11G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGEAVDAIWACGGDPARFDALMCSSGAEIFYPWRGEHGDLAAADEEYAGHVALVASLSAIAPAFFPIHPRRGHSPSLDCADGPTPLPSIE >LPERR11G06900.1 pep chromosome:Lperr_V1.4:11:5722445:5725178:-1 gene:LPERR11G06900 transcript:LPERR11G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTFGRPRLLRDIKLLLLAVLLLAVLHCGCAAIYEQGGAGRRMLRSGSTPGSPMGNCPPQTGVFINPALVEPFGLTIIEAAAYGLPVVATKNGLPVDILEVLSNGLLVDPHDAPAITGALLSLLAEKSRWSECRRNGLQNIHRFSWPPHCRLYLSHVAASCDHPQPHQLLRVPPTPTSSASTSAAAFATSSGSSEPLFYSLRDLSLRISVDTNLSVGDFAAAIMDALCWRRPSDRPATATANSPNSGGMGLGSRLAGVRGCSSLLSISMGRMGGWRLSR >LPERR11G06910.1 pep chromosome:Lperr_V1.4:11:5743069:5743293:1 gene:LPERR11G06910 transcript:LPERR11G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTALEMVEKAKEVAGKLARSTGKAAWILGTTCLVLVLPVLFVMERENQIIEYESDLQAEQRLILGDVGVVR >LPERR11G06920.1 pep chromosome:Lperr_V1.4:11:5745009:5747228:-1 gene:LPERR11G06920 transcript:LPERR11G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATPAMVAAHRPHSRLRLCLRVCAAWDMNPPAATVTAPKPSKPKVKPPPPLPSPATAPAPTQADLFARRSNGNEGQVPKKTVTMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSNHIADELVSSFKKKNTSVQSQQQAAQ >LPERR11G06930.1 pep chromosome:Lperr_V1.4:11:5749250:5751567:1 gene:LPERR11G06930 transcript:LPERR11G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGERIMVSALTGVMSPLIGKLTNLMGKEYSKLKGARKQIEILRKELMAINMMLEKYAAMENLDVQVKAWTKEVRELAYDIDDRIDLFTYHVDHEEPGGFSTTGVKRILYENITTLKNLHYRHKFAAEIQELLVLVNEVYERQKRYKIEDIACSNFHTKIDPRLPALLYVEVEKLVGIQDPTEEIIDLLIGQKSGKMQQRRIVSIVGPGGSGKSTLANQVYQKINVQFSCKAFVSVSQKCNMNSLLWELLSEIQRNCGILDNDHQPAGSYSNQQLINRLSPL >LPERR11G06940.1 pep chromosome:Lperr_V1.4:11:5751635:5754964:1 gene:LPERR11G06940 transcript:LPERR11G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFTLLLYVRLRKKTLRGWLGVSFDLPGEIAKKAADWWLDVRMQIHKQHREAFDSFFMLTCWTIWRERNARIFQQMFRTVESITADIKEEFFQWKAARVIMTTRIHSVAKFCCSSKEDVIYQMRPLSKIDSRKLLLTRTFDVDEKCPDQLDEIIYAILRLEVVDSILSLSYCDLPPPIKTCMLYLSIFPEDYMIDRDCLIWGWICEGFVAEKQGYTLQEIQPAYVGYDGKAGACRVHDIVLAFIVSRSIEENFVTIMDRQEMSFRHDKVRRGSFRNGQSNETVNLSHARSLYFFGSLSWMPTLLDLQVLRKISSLDQNLQGSALENNHLENIGSLIHLRYLGISLTEIDKLPLPEAIVQLKRLIYLIGNGLILPDGFGNMEALQELSGLDGFTSCNYFMEDLQNLRQLKVLAWNCLADSLCPPTCLLKKFEIEGTEGWFSKFPKWINPSLTELTKLKFSIQQMKEEDIQMVGGLPSLLALSITVQQTPKTGLRVSRSGFPSLTHLHFSDIHGPGLMFLEGALRKIEKLELKFTVEKALSAYFGFGCGIRHLSAVKHIIIWARFKLAKYFFEPMMNNGVFDVDYPRHLMESTIGYEVTVLRNHPMVEINML >LPERR11G06950.1 pep chromosome:Lperr_V1.4:11:5755997:5759772:-1 gene:LPERR11G06950 transcript:LPERR11G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGENIIVSSLAGLMSPLLGKLGNLIEKEYAELKGVRKKLERLRKELMGINLALEKYTSMENSDMQVKAWTIEMRELAYDMEDSIDLFSYHIDHEPASTTVGVKRIILKILRKVKKIHHRHKFAKQMHQLQVLVNEAYKRQKRYKLEEGASSNSFMEIDPRLPALYVEVEKLVGIEGPSKEIIERLIGEEPRRQRRVVSIVGAGGSGKTTLAKQVYEKIKGQFSCAAFVSVSQKPNINNLMRELLSRIGSGCGASGETELYSDQQLIDKLRACLEKERYLVVIDDIWQKSAWETIQCALLKNNHASRVITTTRIKSVAQFCCTPDEHNVYQMKPLSKSDSENLFLKRTFGAEEKCPSQLEGAINKILYKCDGLPLAIITLASLLAEKPRIKEEWEKVLNYIGSVPKEDSELEVMDQILSLSYNDLPHHMKNCFLYLSTFPEDHDIGKDILVWKWIAEGFIVAKKGFTLEEIAESYFHELINRSLVQPVNMVHGVGEQGCRVHDIVLNFIVSRSAEENFLTVLDGQELPSSKARIRRLSVWNKQQFSGLISQGIMKLPHIRAISICYVDSWTLPHYLDLPVLRVLDLEGCRAVKNVHLDSIISLFHLRYLGLSRTSIDSLPVQIGKLEYLQTLDVSSTLVRRLPESIIQLKRLMRLVGNELILSDGFGNMESLQELGIVDGCNCSINFGKDLELLTKLRVLRVMFKCEETSDLDIRKKSLMLSLCKLGGNSLRSLYIKSLVTGVDCSADSWCPPPILLQKFEYNGVRYFSSFPKWIKPSLVDLAYLDFRIERMEGEDLLVLGSLPALTVLCLTAKRIPEDGLMIISHGAFQCLTRLEFCNTDGPGLMFGADMPRLEWLKLEFSADKAQATYGSLVVGIQHLSSLKRIDLTVGKISSEVGSDLTQETIKSVISDQVQMHPHNPKVNVTFL >LPERR11G06960.1 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRVIKQHTIIQYWLAKAWEKIMVSALTGVMSPLISKLTNLMGEEYAKLKGVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.2 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESATLNSLLGLSCIIFAEAESKLNAGILVIKQHTIIQYWLAKAWEKIMVSALTGVMSPLISKLTNLMGEEYAKLKGVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.3 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRVIKQHTIIQYWLAKAWEKIMVSALTGVMSPLISKLTNLMGEEYAKLKGVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.4 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESATLNSLLGLSCIIFAEAESKLNAGILELRSLGRILGEMPRWTSKGNGITALTGVMSPLISKLTNLMGEEYAKLKGVRKQVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.5 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRELRSLGRILGEMPRWTSKGNGITALTGVMSPLISKLTNLMGEEYAKLKGVRKQVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.6 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKETMRELHIERIRSIALISSSSFSVCQRCNFLFGLWLKHFCGSRSPFFWQLEGRGMGVLMRELMICRGREQAECWNLGEKIMVSALTGVMSPLISKLTNLMGEEYAKLKGVRKQVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.7 pep chromosome:Lperr_V1.4:11:5779714:5787385:1 gene:LPERR11G06960 transcript:LPERR11G06960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFREFSSLHHREKIMVSALTGVMSPLISKLTNLMGEEYAKLKGVRKQVNRLRKELMAINVMLEKYVAIEKPDVQVKAWTKEVRELAYDIEDRIDLFTFHADHEEQGGFGNTSTGVKRILRKNIAKLKNLHHRHKFAAQIQELLVLVNEVCERQKRYKLEEITCIDLHTKIDPRLPALYVEVEKLVGIQDPTEEIINLLIGQKSGKMKQRRIVSIVGPGGSGKSTLANQVYQKINSQFTCKAFVSVSQKCNMNSFLWELLSEIQRSCGISDHDHQSASSYSNQQLINRLRNLLTDKGYMTFGVHSIGKPYNVLFLEMAGK >LPERR11G06960.8 pep chromosome:Lperr_V1.4:11:5779705:5784456:1 gene:LPERR11G06960 transcript:LPERR11G06960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGAVTGTTWIWRIDPSPLARVPTPSSSSSSSSGLFVIHLTG >LPERR11G06960.9 pep chromosome:Lperr_V1.4:11:5771188:5780269:1 gene:LPERR11G06960 transcript:LPERR11G06960.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVREKIMVSALTGVMSPLIGKLTNLMGKEYAKLKDARKNVETLKKELMAISVMLEKYAAMENPDVQVKAWTKEVRELAYDIEDSIDLFTYHVDHEEPGGFGSTKTGLQRILSQKIKKLKNLHYRHKFAAEIQELLVLVNEVYERQKRYKLEDITCSNLHTKIDPRLPALYVEVEKLVGIQDPTEEIVDLLIGQISGKMKQRRIVSIVGPGGSGKTTLASQVYTKIGSQFSSKAFVSVSQKSNMNSLLWELLSEIQRSCGVSDNDHQPANSYSNQQLIGRLRNLLTGIRYLIVIDDVWCQSDWETIQGVLPRNSRNIGRVIVTTRAHSVAKFCCTFKEDVVYEMRPLSKIDSRKLFMTRTFDVNEKCPDQLKNIMSAVLHKCDGLPLAIISIASLLSSKPRTKEEWEKVLNSIGSTHEKDTGLEVVGRILSLSYFDLSYPIKTCLLYLSIFPEDYNIDRDSLIWGWIGEGFVVEKNGYTLQEVGECYFNEFINRSMIQPAEVGYDGKAGACRVHDIVLAFIISRSIEENFVTIVGHQEMSFRHDKIRRLSFRNGKSMETVNLSHARSLYLGGFINSKYFMEDLKNLRQLKVLSVQFYQVEDGYDLTDRTKSLVPVLCDLGKRTLQSLLIYSDNKSSDIDRLVDSLCPSLLLKKFEVGGKEGCLSKFPKWINPSLTELTNLYLSVQQMEGEDLQMLEGLHSLLALHITVRKTPKNGHRVSRSGFPSLTHLYFSDTRGPALTVTGRGPALGFRVGRIGESPVPQLPDHLTGISRVRKGNAAAVRRRGWGSRSAGVAGLATVTVDRGRADLAAPAPVAADQSPAAAARHGEGGVGSPEWVEEKAVFSPSLPLPLALPLPVAAAAAPRQRRQRTGAGGCSTAAGAEMAVSGGAVTGTTWIWRIDPSPLARVPTPSSSSSSSSGLFVIHLTG >LPERR11G06970.1 pep chromosome:Lperr_V1.4:11:5796946:5798004:-1 gene:LPERR11G06970 transcript:LPERR11G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETPPPGRKPKPPMSRLMRLSLRAVDWVTDATRRGDGTLNRVALSLLDPRVPAFSSPCRGVSSRDIVLRPDSSPRLRARLFYPTAVSKGSSTSPLPVIVFFHGGGFAFLSAASAAFDGVCRRLCREVNAVLSVDYRRAPEHRFPAPYDDGLSALRFLDDEHAIVEPPLDVSRCYLAGDSAGGNIAHHVARRFATECSTFRNVRVAGVVAIQPFFGGEERTESEMRLDGAAPIVSVSRTDWMWRAFLPDGCDRTHEACCVVDDASCAGVESPAFPPVLLVVGGYDPLQDWQRRYADVLRSKGKEVRVVEYPDAIHAFYLFPVFDDARDLMIRIAHFVAESAAAAGEGGGSE >LPERR11G06980.1 pep chromosome:Lperr_V1.4:11:5808395:5809438:-1 gene:LPERR11G06980 transcript:LPERR11G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKKKKPAVAPPMTWRTRLSVLVAGYVTDATCRADGTINRRLLDFLDARVPPSPSPRHGVASLDLLLDASIPLSARLFYPVAGEAKPKPVPVVVFFHGGGFAYLSASSREYDAACRRIARHAAAAVLSVDYRRSPEHRFPAPYDDGLSALRFLDDKSNHPTAGGDIPPLDVARCFVAGDSAGANIAHHVSRRYTAAFSTFSNLRLAGLVAIQPFFGGEERTAAELRLAGAPIVSVPRTDWLWRAFLPPGADRTHEAAHAASPDGAAGVASPEFPPATVVIGGYDPLQDWQRRYCDTLCGLGKEVRVVEYPNAIHAFYLFPEFPESRDLMLRIKDIVSGAGDGEH >LPERR11G06990.1 pep chromosome:Lperr_V1.4:11:5821928:5836700:1 gene:LPERR11G06990 transcript:LPERR11G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKDAGLSPPAAEAPPAAAPAPAPAPFTFPPVPVLTGSIKPTECADLLSLVAGVKRPLEDVVADFLARVPAERRIRFGAAISFVLKDKMMLRPAERLIALAILYQCYSSQLGNPFVPLLIHVACDETSDKPERVFLQLLLNSTNGDNNMEILRHSAADYLEKSAYASQVLLTREQLERQYSLNVVEPQSCPGGFSGATVRSAIPDPDVSESCTDSSEISLAKSNRDNVVTSLLQQETLNGIGPQWIRPPPPRLEILEGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEQVLVELTKDWKLVYHCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDMDAYFDMLVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCILTCQNNKDKYMQNRLSLIRNKIINGILHCIFANKRSGCFVQAPQIFRVKKDV >LPERR11G07000.1 pep chromosome:Lperr_V1.4:11:5848663:5849541:1 gene:LPERR11G07000 transcript:LPERR11G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSLSSRFSARLAPSSPAVAPWPPVRSAYDRWLAAELDDLRLAAPCSTASWLARSLALASSAQRRLVASSPPSSAAAAGGCIDRKSIDECVDHTAELLDACSGLRDRLDTIRCYVSSMRVALHWVEGGDGSTAAQRRAAAAFAECDAVERRCGAELAKCGSNLRKLGERALTHSKHHHXWECAMQEVQRTVKEEYERRRKEGAPFMSELDAAAAACRVVASAAAAGRRCTPERAVAAAVRRCDELEEKVFVLEEKLGELQRELIGVRMVLLDWSQAARGHQQLKY >LPERR11G07010.1 pep chromosome:Lperr_V1.4:11:5851289:5851837:-1 gene:LPERR11G07010 transcript:LPERR11G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLAATTAVLLLTTTTPALASSATNHPNLTTTSTSTPTAYEMVERYGFPRGILPEGVDSYALRPDGSFEVLLSAVSVSGDGNSGDCEFRVGDGGAYLLRYGRRVAGNAREGSIRELEGVSVKVVFAWLGIGRVDAAGGELRFFVGPFSASFPATNFAECPRCRCGFDCSNSGDAAIAASS >LPERR11G07030.1 pep chromosome:Lperr_V1.4:11:5865192:5865707:-1 gene:LPERR11G07030 transcript:LPERR11G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLVLAMAASTLLLAAADGDNSTAPALSPSPDPTPTPPPPPPPSPPPPPPPTPTAYEMLQKYGFPVGILPEGVQGYKLSDDGSSFEAYLAGDCKFRAAKKYVLHYSSRIAGRIADGSIKSLEGVRVKELFWLRISELDVDGDHLKIHVGPFTKSIGVDQLAESPHCN >LPERR11G07040.1 pep chromosome:Lperr_V1.4:11:5869583:5873758:-1 gene:LPERR11G07040 transcript:LPERR11G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLLAAILAASLILLHATTTAAMNMNSTAATAYEVLEQHGLPRGILPEGVESYVLRPDGAMEVTLAAGRECDFFVAIAGEKYKIRYGATVGGVIGDGSVREVYGVRMQVKFAWLGFNGVRRRSGAGGEELELQVQEFTQSFPVVDMANQKLFLLAMVASTLLLTTSMATVDATDNSTSPSPSSSPPASVYDMLQKFGFPVGILPEGVQEYKFADSYFEVFLAGGDCELRAADKYTIRYSSRIAGSIAAGSITSLDGVTVKVEFVWLEISQVDVDGDQLKLYVGPNDGITQSFPVSDFAVSPKCG >LPERR11G07050.1 pep chromosome:Lperr_V1.4:11:5875392:5876296:1 gene:LPERR11G07050 transcript:LPERR11G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSYCFKCLTLGDVGVGKSCLVLQYTERKFREAHEPTIGGDFGTRIIATLDDAGNNNKLIKLQICDMPGNEPARCLTRSCYLGAIAAILVYDVTNRESFDHLKALLDDATAMQLSGNRDNPLTICLVGTIRAVSYEEGERFAKENGLMFVEASAKTGHNVDEAFAMIARAVRRRVEKYGVGGNKSGGGYLDLGAFYGFVGMPSKGGFGSVGRFLGSCLGSCCFSCGGS >LPERR11G07060.1 pep chromosome:Lperr_V1.4:11:5878630:5879891:1 gene:LPERR11G07060 transcript:LPERR11G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAWQPCLLAAWCSAPADQANGDGGEDAWGRRRGGDSEDNVGAAGGLPSAPRQIWSSMMAASAWPRRFALATQCGRDFVDRQRRLVATGSRRVAVAGGRNRRHPMVPAGARQDGSPGTPVFDGYRFRYAAVAGYENRVAAVCGGLDDLGRSGSPPLDPGSLWWFRRAGSWASLGNIGGHDDVHCDGEGSVGCHQCGVEGGKATAMRFGDPKVTRPTFRRLSSGCALKVAGGGCGKHGSSLTREDEMFQVKA >LPERR11G07070.1 pep chromosome:Lperr_V1.4:11:5881144:5894272:1 gene:LPERR11G07070 transcript:LPERR11G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVPEQPKGVSRNKPRAQINGHSVDPGQLPELTWEHNLSDIDYDLPSFRLTWSETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSDQGVPLGGIGSGSIGRSYKGDFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSKYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPNSVGGKSDLTGYHSNSPMTTANGQPPVTFAIAAEEKEYVHISECPYFVISGSSNEFTAKDMWNYVKENGSFDHLDLTKTSMCSRPGSSIGAAIAASVKLPPQATQNVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDTDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGEKKFSLDMQNGEADDVNGMIPRDNTAGDILHQMASILERIHASMASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVMMHDPEKLRLLHDGNWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDQASEKLFWNKYEKAKSVYGKLWNGSYFNYDDGDNIVSTSIQADQLAGQWYAKACDLFPIVEKDKAQSALEKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSTPKLHKETPTDIPQDSFPNQFSYARIAKLLQLPEDESPKSTLRIGDELVRQKLPDRANPVERRQLRLRSPLLKSWSLPLRAKPLARIPSTGARLLLRIRQPPSTFAIAPEKRPSQLVVPPLRADLWLGPIPSPAILLALPPCRASSPPSHRFAVERGRRERRECARSLSPLVLLTPGHAAARASPLLEHERHMGAAAADAQLRRRLHVLPAVGHRMSCRSPSCSYRLLDSEFNLLEPFHPNSLYQTKKKKNRINPFCKPNRQPEPTHPKKL >LPERR11G07070.2 pep chromosome:Lperr_V1.4:11:5881144:5894256:1 gene:LPERR11G07070 transcript:LPERR11G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVPEQPKGVSRNKPRAQINGHSVDPGQLPELTWEHNLSDIDYDLPSFRLTWSETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSDQGVPLGGIGSGSIGRSYKGDFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSKYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPNSVGGKSDLTGYHSNSPMTTANGQPPVTFAIAAEEKEYVHISECPYFVISGSSNEFTAKDMWNYVKENGSFDHLDLTKTSMCSRPGSSIGAAIAASVKLPPQATQNVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDTDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGEKKFSLDMQNGEADDVNGMIPRDNTAGDILHQMASILERIHASMASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVMMHDPEKLRLLHDGNWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDQASEKLFWNKYEKAKSVYGKLWNGSYFNYDDGDNIVSTSIQADQLAGQWYAKACDLFPIVEKDKAQSALEKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSTPKLHKETPTDIPQDSFPNQFSYARIAKLLQLPEDESPKSTLRVIYEIVRNRFKS >LPERR11G07080.1 pep chromosome:Lperr_V1.4:11:5893490:5894101:-1 gene:LPERR11G07080 transcript:LPERR11G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPTAGNTCRRRRNWASAAAAPMCRSCSRRGDARAAAWPGVSSTSGDRLRAHSLLSLLPLSTANLWLGGEEARQGGRARRMAGDGMGPSHRSARRGGTTSCDGLFSGAMANVDGGCRIRSSRRAPVDGIRASGCTAKFYWHRRGGGGDGGQWPEGTPSRAAAMTTTSRAGSADGADAVPLGLRGPGVFGGQARPRSRGNILL >LPERR11G07090.1 pep chromosome:Lperr_V1.4:11:5899683:5904508:1 gene:LPERR11G07090 transcript:LPERR11G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLCCSPVPVPSPAIGGVGLVTTPPPAPPLSLRRKVHVQDHDLILPAVAVMAVNNPACDAGEVMIEEAMPVTSAAAPTKKKKNAARWRPPRLIVPVVCDAGEEAMAVAAMAEKGKEEEVVEVEGEGFWLASRRGMRHAMEDGYGVITHKIDGHSKMSFYGVYDGHGGRAAVDFVADRLGSNVVAAAEKAKRSSSEEASPSSSSSPAAATADHVVDAIRAAYLATDSEFLSKGARGGACAATALVMDGDLYVANVGDCRAVLSRHGAATAVTSDHTPARDDERSRIHTSGGYVSCGSNGVWRVQDSLAVTRAFGDGGLKRWVVAEPEVTTTRLAGAGEFLVLASDGLWNKVSNQEAVDAVAAAAAGGEHSVEPCRRLVEMARRRGSRDDVTVMVVDLRRFLTC >LPERR11G07100.1 pep chromosome:Lperr_V1.4:11:5909262:5909879:-1 gene:LPERR11G07100 transcript:LPERR11G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGGGGEMAAAQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPSLVHRFRRPATAHPDDVRAAALEAASQFRRSAPDLLLDSAASAAGVSDCGGFEFHDSGGLIGGDDVAAAWDWGDLVGGEEELEARSPKMWAELAEAMLMAPPVWEGGEAAEENDEWAHASSALWDYSYSQPFGF >LPERR11G07110.1 pep chromosome:Lperr_V1.4:11:5924868:5926537:-1 gene:LPERR11G07110 transcript:LPERR11G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTSAAAAASFLHRRRITPSPPRSYLRFQKLVACRAAEVSGAEPSAAAAESASGGGEPRSSWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFVYPAKIDGENIYISISGAVSSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTSANELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFS >LPERR11G07120.1 pep chromosome:Lperr_V1.4:11:5927822:5933254:1 gene:LPERR11G07120 transcript:LPERR11G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTRKPLQNLIHLQFLQSSGRALQGLISQLCSKCTKRADGSALEGETTSSKCKCLCRFFLPSSKGDTAVQDNVPGDDGKTVLAIESKENGPISSNILDNGEKSVLPLDPSRKLSAHHRLKIWISSGHSGIIGRYGKKLELDFVPKKFPSEHVNTVWPDWLMNVAPEAVQGWFPRRAESFEKLSKIGQGTYSSVYKARDLKTGKIVALKKVRFVDLDPESVHFMAREILVLRKLNHPNVIKLEGIITSSVSNSLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLFQQLLSGLDHCHSNGVLHRDLKGANLLIDSNGVLKIADFGLATSYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSAGCILAELLAGKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADYFKDFPQPAIDLLDILLALEPEARGTAASTLQSDFFRTKPVACSPSGLPKCPPSKEYDARLRLEEVRRQRKVEGSKSVRPGRGNHRINRGNGSIQPKKHAYNSGNLKSEADPRTLATGIQSPGLDSAWNNRGDCIDHSEAHGRKYNSVRAAYSTVLRTKSSDLLQPESTDVRNDTHASRKNELEGKGVTGNNRVKNRTHYSSSLITPEGNIEEMLKEHDKNIQAAVRKARLNKNKEV >LPERR11G07130.1 pep chromosome:Lperr_V1.4:11:5934693:5935652:-1 gene:LPERR11G07130 transcript:LPERR11G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGKLKSQLMSAKAKLKHHVTPRRVFLLSAAAASAFLLLLTLRTLSSSSSPPPPPPVTLRSGPPPPSRDDQCDRLPASVAETLVHYATTTAANLTRRTAEEVEAAARAVTRRAPCNLLVFGGLAGGGGGGHARLMAALNHAGRTVFLDEDDAAVSSSSSSSIEAYRVAYLATAADADELLALASTADCVSSISGPLSPGRFDRSPCRLAVRGLPAAFYEAEWDVIVVDVAASTTDAAAAAGVMGAIYTAAVAARARGGGGGEAATEVVVHDVDVPVMEKFSTAFLCGGYLKEEVGKMRRYVIPSHDMNKEGMSFCP >LPERR11G07140.1 pep chromosome:Lperr_V1.4:11:5938236:5942502:1 gene:LPERR11G07140 transcript:LPERR11G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKKKTGAGGDHQKPLLWRLPEVASTELGKIGPAFGLGIGCGAGAGVGFFGGAGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDENKRYSNVGKMFQEAPSLPVDTVAGLVDELVVNTKKFVRATSKEIEKWR >LPERR11G07150.1 pep chromosome:Lperr_V1.4:11:5942678:5945285:1 gene:LPERR11G07150 transcript:LPERR11G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKMLGTRLNFAGSSRPTAPTAGAQKIVSLFSKKPAPKPKPAAVTSSSPDISDELAKWYGPDRRIYLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLVVAVIAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLATDPDQAALLKVKEIKNGRLAMFSMLAFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >LPERR11G07160.1 pep chromosome:Lperr_V1.4:11:5953712:5956241:1 gene:LPERR11G07160 transcript:LPERR11G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWKRILKNRMDLNSRSNFSNSTSDNICRCQSCIKNCLFTCQFGVFRIINNKNVITASGITEIVAAIY >LPERR11G07170.1 pep chromosome:Lperr_V1.4:11:5962184:5963167:-1 gene:LPERR11G07170 transcript:LPERR11G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTQGELPIPMHAAAAAGHVMELHHHDHVNNNGGGQAHQASMASPPHAAVAAAPPEQEISPAKKRGGGMNSSMNMVMVAAGGGGAASPATARYRECLKNHAAAMGGNATDGCGEFMPAGDDGSLDALKCSACGCHRNFHRKDIIFFSADEFPSAAAGRHYFHHKNGGAGGDPYAAARALPPPHHHQIIMPLSMIHTSESDEMDGIARGGGGAMGGGSSSSTGKKRFRTKFTAEQKARMLEFAERVGWRLQRLDDAMVQRFCGEIGVKRRVLKVWMHNNKHNLAKKPLPASPPEMAPPQMPPSPPPMPLLPMQPPSPQQQQLKLE >LPERR11G07180.1 pep chromosome:Lperr_V1.4:11:5981567:5985617:-1 gene:LPERR11G07180 transcript:LPERR11G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIFYPSASLSSPCTMGLPHSSISSAVPSSPSPHQGRGRKMSSKSIRALREDWREKSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKPEVDEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVKIVACFPCSDPDDRLAPRPVLARTPEEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGARQPFVIETVKADDEAKQGRGPSQPAPRFIGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYARKIVEAYDKEGRIESMLQ >LPERR11G07180.2 pep chromosome:Lperr_V1.4:11:5981567:5985617:-1 gene:LPERR11G07180 transcript:LPERR11G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIFYPSASLSSPCTMGLPHSSISSAVPSSPSPHQGRGRKMSSKSIRALREDWREKSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKPEVDEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVACPKACFSQAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGARQPFVIETVKADDEAKQGRGPSQPAPRFIGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYARKIVEAYDKEGRIESMLQ >LPERR11G07180.3 pep chromosome:Lperr_V1.4:11:5981567:5985637:-1 gene:LPERR11G07180 transcript:LPERR11G07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQADYPISMACCIFYPSASLSSPCTMGLPHSSISSAVPSSPSPHQGRGRKMSSKSIRALREDWREKSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKPEVDEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVACPKACFSQAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGARQPFVIETVKADDEAKQGRGPSQPAPRFIGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYARKIVEAYDKEGRIESMLQ >LPERR11G07180.4 pep chromosome:Lperr_V1.4:11:5981567:5985617:-1 gene:LPERR11G07180 transcript:LPERR11G07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIFYPSASLSSPCTMGLPHSSISSAVPSSPSPHQGRGRKMSSKSIRALREDWREKSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKPEVDEMYFGVYEQLLYARKIKSVEGAQWTGIVTTIAVEMLKANMVDAVAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGARQPFVIETVKADDEAKQGRGPSQPAPRFIGNIIAFLLDLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYARKIVEAYDKEGRIESMLQ >LPERR11G07190.1 pep chromosome:Lperr_V1.4:11:5987030:5992565:1 gene:LPERR11G07190 transcript:LPERR11G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGARRHELARGAKISPRAENSLFSPYLLCGLAQVALVHHQSTVTISPLSQADGFKSTGCSNLDCTGFIPVNDYVITPGDTLDHSSGQTKISLKIFKSKDDGDWWLYFGHDINNLGRVGYWPTSLFKNLDHATTVALGGSTVSYRGDSSPPMGNSQWPKKGSASVQNIQFLDKDGQEYIPQFPVDIRDIVTHNKCYETSTFSDDMFYYGGPGDCTE >LPERR11G07200.1 pep chromosome:Lperr_V1.4:11:5994654:5996181:-1 gene:LPERR11G07200 transcript:LPERR11G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLSSKSFMTTSCVIHPNETGSNASQKHIEPAAAPQKSEKKRKKVYLYNWRHHSNKSSESGIKFDDDERQGSADCSLESPCISNGVDSRSETALEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTENYNSENHELLQKGGSLSPEHLAVMVYSPAGKLKNQKEG >LPERR11G07210.1 pep chromosome:Lperr_V1.4:11:6001186:6002349:-1 gene:LPERR11G07210 transcript:LPERR11G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKCKIWAAIGSLMDHAISTTSTTTKSSSAAMSATPDRALLADIDAAVERSVTGASAAGDDQERHVHEILFLVSNAPGAITFLSRRITARLESPPARSPPSPAAALRALLLVHRLLRAGDRYFEQDLRSLWSSRDLRLDSPLCSTCSFSSASLAGGGGGGGEYAAAATATGACSFLHGYAAYLEERMQWAITQSGNLEPRPAAGDIAGGGGVDPVVIRLAMSQRLLDLAIQLLPDNNTTASPAARSAFGIVLRESFKVYAAFNDGLDALLLAGGGGKAAREVVKKACVQTVELKEFYQNCKRSSSNVKGLDYPVVRVVTSSAMDHLLLTAPPPPPVVVVNDESTAADESDQPFATKLETTISTVWVEFDDGGGDDSFKAVQPSYL >LPERR11G07220.1 pep chromosome:Lperr_V1.4:11:6006975:6013871:-1 gene:LPERR11G07220 transcript:LPERR11G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKDSRKRLFSMINNLPTIYEVVTGTAKKQTKEKTPKSSGKSNKSGTKPSRQPEQPNSRGSKMPPPPKDEDDSGGEEEEEEDDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >LPERR11G07250.1 pep chromosome:Lperr_V1.4:11:6040974:6042033:1 gene:LPERR11G07250 transcript:LPERR11G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLTLATNQGVPASEIPPPVLPPPPPLSPLGSPNESSDLHDHDMIANVELENQASAFNVVAEGMFGGFLGAIGDATQGFSRNLDDEIGPF >LPERR11G07260.1 pep chromosome:Lperr_V1.4:11:6047018:6051088:1 gene:LPERR11G07260 transcript:LPERR11G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARGRLKLYSFWRSSCSHRVRIALNLKGLEYEYKPVNLLANEQSFMFAEFEKLNPIKYVPALVDGDTVIADSFAILLYLEDRYPQHPLLPQDPTMKALNIQIASIVGSSIQPLQNYPALNYIEEKLDSQETVKWTQYHINRGFTALEKLLKGCITTYATGDEVQLGDIFLEPQIYSGINRFGIDMSNYPTLARLHEAYMEHPAFQAALPEKQPDAPSS >LPERR11G07260.2 pep chromosome:Lperr_V1.4:11:6047018:6051088:1 gene:LPERR11G07260 transcript:LPERR11G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARGRLKLYSFWRSSCSHRVRIALNLKGLEYEYKPKLNPIKYVPALVDGDTVIADSFAILLYLEDRYPQHPLLPQDPTMKALNIQIASIVGSSIQPLQNYPALNYIEEKLDSQETVKWTQYHINRGFTALEKLLKGCITTYATGDEVQLGDIFLEPQIYSGINRFGIDMSNYPTLARLHEAYMEHPAFQAALPEKQPDAPSS >LPERR11G07260.3 pep chromosome:Lperr_V1.4:11:6047018:6050892:1 gene:LPERR11G07260 transcript:LPERR11G07260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARGRLKLYSFWRSSCSHRVRIALNLKGLEYEYKPVNLLANEQSFMFAEFEKLNPIKYVPALVDGDTVIADSFAILLYLEDRYPQHPLLPQDPTMKALNIQIASIVGSSIQPLQNYPALNYIEEKLDSQETVKWTQYHINRGFTALEKLLKGCITTYATGDEVQLGDIFLEPQIYSGINRFGIDMSNYPTLARLHEAYMEHPAFQAALPEKQPDAPSS >LPERR11G07260.4 pep chromosome:Lperr_V1.4:11:6047018:6050892:1 gene:LPERR11G07260 transcript:LPERR11G07260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARGRLKLYSFWRSSCSHRVRIALNLKGLEYEYKPKLNPIKYVPALVDGDTVIADSFAILLYLEDRYPQHPLLPQDPTMKALNIQIASIVGSSIQPLQNYPALNYIEEKLDSQETVKWTQYHINRGFTALEKLLKGCITTYATGDEVQLGDIFLEPQIYSGINRFGIDMSNYPTLARLHEAYMEHPAFQAALPEKQPDAPSS >LPERR11G07270.1 pep chromosome:Lperr_V1.4:11:6047848:6057882:-1 gene:LPERR11G07270 transcript:LPERR11G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPAPFLSTAGVRFSTGEPPPPGASPRRRHCQPSCLASSFLRCLVTIPSTGRPPAASVGPYPHSRGLPLSGRGAPSRLRRRRCRRIIADPKLSRLLKDPRFGGSGPLKLLGTITGYV >LPERR11G07270.2 pep chromosome:Lperr_V1.4:11:6052590:6057882:-1 gene:LPERR11G07270 transcript:LPERR11G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding SVPAPFLSTAGVRFSTGEPPPPGASPRRRHCQPSCLASSFLRCLVTIPSTGRPPAASVGPYPHSRGLPLSGRGAPSRLRRRRCRRIIADPKLSRLLKDPRFGGSGPLKLL >LPERR11G07280.1 pep chromosome:Lperr_V1.4:11:6051891:6057518:1 gene:LPERR11G07280 transcript:LPERR11G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRIIHQLLFLIIVLDDALVISGDTDPLDTSALKGIAASWDNAKSKLPDWAGNDPCGEKWSGVYCTQNRVTSIRLSSYGLSGSLSGGDIQSLSELQYLDLSYNNLSGPLPPNLGSLSNLESLSVVGCQFSGDIPKELGQLPKLRSLNNNRFSGSIPPAVGNLSNLYWLDLGENHLTGSLPVSDGTNTGLDNLTNALHLLLDNNNFTGSIPPTLTLLTKLEVLRLDRNYQLTGPVPSNINNLTKLQELSMGNNNFSSSNVPTWFTALSALTTLYLENLHISGQLPQLLFKLPAIQTLGLKGNNFNGTLNIGSDYSSTLSLIDLQDNQISTLTLSGVPYNKKLILVGNPICDQGNNEAPYCKTSQQANPAEKPYSTHLNCPGLPPTCLSDQHVSPNCTCAVPYMGTLHFRSPPFSDLNNETYFILLEEDMKEQFQSKQLPVESIALNNPAFGPSNNLDISLKVFPSGKIQFSKEDISYIGFMLNNQTYKPHVPVINYGPYFFLAQSYPFAENTPAPKQTKSNRSLVIGVSVGGAFAVVSLLVVFTILFFKRKKRTQLQPQPRSPSYTSWDIKSTSISTPHLQGARVFTFDELKKITNSFSEVNDIGTGGYGKVYRGVLPSGQLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGYCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVLLGAAKGIAYLHELANPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAIDRTKDLYGLHELLDPILGTTSLAGFEQYVDLALKCVEEAGTDRPPMSEVVTEIEKIMRAAGVNPAADSASNSMSYNSRPPRHPYSGDYQFDYSGGLPSSSSRIEPK >LPERR11G07290.1 pep chromosome:Lperr_V1.4:11:6060603:6065314:-1 gene:LPERR11G07290 transcript:LPERR11G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGDGGGGDDGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAAVSPAKFMALANAVDAAKQWESDLVDARYIKDLGDDLTIIHLKLGGAGAGDNSKPPKRGPFRRRDVVVYERRQTMDDGTLVVAVSSLPKEIAAGLLPPGAGGSNGNGGATLLQSTGWVVEKADDGGDGDGGAWVATHVVQLDPAAAGWLPRCFATRLSSKLVMVIVAKLKKMAVDTMRFDAVCGDGDEVVGEGAVI >LPERR11G07300.1 pep chromosome:Lperr_V1.4:11:6074492:6080864:-1 gene:LPERR11G07300 transcript:LPERR11G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLVASLLLHRSAAAPRPQSTPSVAHLFNRAASYSSSTAGGGGQGFPPPGSEAAPRPGMFARAFDISQPSYGDSIMESQQPRQDYGFRRQFVLDGTNFGTTMPKTAGGERSSNFGFGNSSRYLEEHKQLLVKGKRDFVHVLLKKKKTFVTVTDVRGNKKTGASAGSLEDRKGRARLSKYAAEATAEHVGRAAKKMGIKSVVMKVKGAAFFSKKKKVILSFREGFRGERQIADTGLKSQFPTFYVCTCPLCTHGASKRLYPRYQMILECSDKAVNSDGEKGSEQHSIDVGPSDHGDGGVVAEKERLAVVEEPGKKKSKRVAALDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITIRTLKMLFWGLLLQGGYSHAPDDLAYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTAVRSGPYAIFHAYRWQWLGGFIAFVIYMVTTFSLFVPDWSYVYHNDGDVNDGRKFKVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKDCTSSSPNTGPLRADAPAWCLGPFEPEGLLRVLNPFIILAAIPINKQLYSFSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >LPERR11G07310.1 pep chromosome:Lperr_V1.4:11:6094369:6095954:1 gene:LPERR11G07310 transcript:LPERR11G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHAVELNPQGDSALHGADLNWASLGEQYNGGGSGFGRLRRWCMSWIVMPIVSRIINNTITYLGKDMVHELDDLERTVMPQLRFVTEAAERSPCQMKLQEAFYDAEDLLDMLEYKLLRRKATNNSSTWLLIPL >LPERR11G07320.1 pep chromosome:Lperr_V1.4:11:6096674:6100379:1 gene:LPERR11G07320 transcript:LPERR11G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDNLLITIMPQFRWVIKAAEGSPRRVELERWLWKLKEAFYDAEDLLDMHEYELLRCKATGNSSTSLISAPVSAMSNLLPANRKVLRKLNELKNILVEARIFHQEFLSSGATAGIAGPHFDSSSRSTITTTSFPTSKVFGRDADRDRVLSFLCSPDVADASGDRKYSTVAIVGLGGMGKTTLAQYVYNDERVMNRFDVRMWICISRKLDLHRHTAEILESATQKNQYMQYANLDALQCMLRGILQNSQRFLLVLDDVWFDGSFENEEEWGKLLAPLVSQKQGSQVLVTSRSAKLPAPFHCIEVLELQDMVDTEFLALFKSHAFAGEQMRDQHISDELHVIAEQIAQKLGRSPLAAKAVGYRLSRKKTPDAWEGHPYLITELVHLWVAEGFVNSPEGFVNLPDQSKTLENIARAYFREMPVCTRFTGPSHYSMHDIIHDLAETLSRRDCFRLEDSTVTEIPHTVRHLSIYVDKMEHHKQSICRLIHLRTLICMEPVMDDVNNLFHEVLCNLKKLRVLVLCFYNSSELPQTINKLKYLHYLNIFETSISKVPGSLCTLYHLQFLRVHRDVEILPKKICNLSKLTKLQGDVEFCVAKQEGHELRQLRDMTELRSTLEIKNLENVRTKAEASEAILQNKSHLYSLQLTWSCTHNLYVDDSLHLEVLEGLKPPHELRSLGISGYRSPVYPSWLLEASHIAKLEALYLENCTALEGLPSGVQPIKHFYKIFLMNMPNLKTLPRFPGRLGYLHINGCPLLVFISSEELGQHEQHANLMRTGDLSSQLAMIWEAQRGSKKCRYSVRDTLMSEHSSMKKLMELMDADISSQLQTMESALQSENDKALVEENAIEAWLSCQEQRAKLIYSRLSENLLLLPSSLSFLTLTSCSLTDGALAVCLQGLTSLSWLSISQIMSLTSFPSPHVLQSLNALKNLDIRSCWCLRSLGGLPEATSLSELVIESCVSLELVGGNGMAVFPSSLEQLSISGCVLGADFLSTDFSHLRSISIAGCRSSESLTIGRLHSLKSLSLINMPDLCSLEGLSCPHLQDIHLINVPKLTVESFSQHHTWKSLAISSSDMLSLMLSVKDFVFPEKLCSEQYNESSITFASSTNFTSVKSLEFSGSNIMSLTGSLESLSCLERIKFAGCPNLSSLPDFPKSLQQIEIRD >LPERR11G07330.1 pep chromosome:Lperr_V1.4:11:6106400:6112596:1 gene:LPERR11G07330 transcript:LPERR11G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVLAGSRWTVSPIMKKIINDASKYLGKDMEQELEDLETTILPQFKLVIQAAESSPHKVVLERCLWKLKAAFYDAEDLLDMHEYKLLEREAACNSTTSSISKSLVAHVSAVSNLLPANRKLLGKLKELKNILVEAKNFHREFLSSGSAAAAITGPYLKNSSDITTTSLPTSKVFGRDADRDHVVSLLCNTDDIDASDERNYSTLAIVGHGGAGKTTLAQYVYDDERVVDHFDVRMWICISHKLDVHRHTAEIMESATKNQGMQFANLNNLQCALRGVLQESRRFLLVLDDVWCDGSFKNEEEWAKLLAPLVSQQWGSQDMRDTEFLALFKYHAFAGQQHKHDELQDIAGRISQKLGHSPLAAKVVGSQLSRNMTVAAWEDALDSDNLGETRGALLWSYQNLDPNIQSLFPKGHPYEINELVHLWVAEGFVADSCNQSRTLEDIGRAYFREMVSASFFQQVRTEVENIYNMHDIIHDLAETLSRGDCFRLEDGVTEIPHSVRHLSVYVDTIEHHKKSICRLIHLRTIICVEPVMDDANKLFHEVVCKLEKLRVILLCFHNGNKLPQSIGELKHLRYLNILKTSISELPGALCTLYHLQFLRVHGDVSNLPAKICNLSKLRHLERCSRSHSPSSGFIGQLVVPKFPYIGKLTKLQHILEFCVEKAEGHELHQLSNMTELSSLLIIKNLENVRTKDEVLEGLRPPSELRSLSITGYRSPVYPSWLCKDYIVHLNALDLRDCSALEGLPSSIQLMKHFCHIYLCNIPNLKTLPCFPAGLGSFTMRGCPLLRFISSGELGQQELHTNLMKAGNLLSRLSMIWETRRGSEIHKCKIKDTLVSENLSMKQLMALMDDDISAQLQILKNASGSERDKVLLEEDTIKAWMYCQEQRTKLIYATPSENLLLLPSSLWFLSLSSCSLTDGALAVCLQRLTSLKSLYIMQIMSLTSFPSAQVFRCLTTLENLHIRSCWCLRSLGGLQEATSLSVVEIDSCVSLVLVDADGIVTMPSNIEKLSISGCILGTDFLTTDFPRLRSISITCCRSSASLAIGHLHSLESLSLNNMPDLCFLEGLSCPRLQDIHLINVPKLTAQSFSNHHAWKSLSISNSTMLSLILSIKDFMLPEKLCFEHYNEPSITFESSAKFASIKSLEFHSSNFMSLPSSLKELSCLEVIKFIKCPNLSSLPDFPGSVQQIEIQDCECLKISCQAPNGKNWPKIEHIRWKLIEFSKPNEGIMWCNFAIAN >LPERR11G07330.2 pep chromosome:Lperr_V1.4:11:6106400:6112291:1 gene:LPERR11G07330 transcript:LPERR11G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVLAGSRWTVSPIMKKIINDASKYLGKDMEQELEDLETTILPQFKLVIQAAESSPHKVVLERCLWKLKAAFYDAEDLLDMHEYKLLEREAACNSTTSSISKSLVAHVSAVSNLLPANRKLLGKLKELKNILVEAKNFHREFLSSGSAAAAITGPYLKNSSDITTTSLPTSKVFGRDADRDHVVSLLCNTDDIDASDERNYSTLAIVGHGGAGKTTLAQYVYDDERVVDHFDVRMWICISHKLDVHRHTAEIMESATKNQGMQFANLNNLQCALRGVLQESRRFLLVLDDVWCDGSFKNEEEWAKLLAPLVSQQWGSQDMRDTEFLALFKYHAFAGQQHKHDELQDIAGRISQKLGHSPLAAKVVGSQLSRNMTVAAWEDALDSDNLGETRGALLWSYQNLDPNIQSLFPKGHPYEINELVHLWVAEGFVADSCNQSRTLEDIGRAYFREMVSASFFQQVRTEVENIYNMHDIIHDLAETLSRGDCFRLEDGVTEIPHSVRHLSVYVDTIEHHKKSICRLIHLRTIICVEPVMDDANKLFHEVVCKLEKLRVILLCFHNGNKLPQSIGELKHLRYLNILKTSISELPGALCTLYHLQFLRVHGDVSNLPAKICNLSKLRHLERCSRSHSPSSGFIGQLVVPKFPYIGKLTKLQHILEFCVEKAEGHELHQLSNMTELSSLLIIKNLENVRTKDEVLEGLRPPSELRSLSITGYRSPVYPSWLCKDYIVHLNALDLRDCSALEGLPSSIQLMKHFCHIYLCNIPNLKTLPCFPAGLGSFTMRGCPLLRFISSGELGQQELHTNLMKAGNLLSRLSMIWETRRGSEIHKCKIKDTLVSENLSMKQLMALMDDDISAQLQILKNASGSERDKVLLEEDTIKAWMYCQEQRTKLIYATPSENLLLLPSSLWFLSLSSCSLTDGALAVCLQRLTSLKSLYIMQIMSLTSFPSAQVFRCLTTLENLHIRSCWCLRSLGGLQEATSLSVVEIDSCVSLVLVDADGIVTMPSNIEKLSISGCILGTDFLTTDFPRLRSISITCCRSSASLAIGHLHSLESLSLNNMPDLCFLEGLSCPRLQDIHLINVPKLTAQSFSNHHAWKSLSISNSTMLSLILSIKDFMLPEKLCFEHYNEPSITFESSAKFASIKSLEFHSSNFMSLPSSLKELSCLEVIKFIKCPNLSSLPDFPGSVQQIEIQDCECLKISCQAPNGKNWPKIEHIRWKLIEFSKPNEGIMWCNNLPFETAFVRSYPNRPYICLAIQFQKYLGDT >LPERR11G07330.3 pep chromosome:Lperr_V1.4:11:6105237:6112554:1 gene:LPERR11G07330 transcript:LPERR11G07330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGARAEISCMKKGAHPVESPLRLGISIPPYTGADHAWASLRNIHRYDNIGIAAYPKKLQFGQYVARLCITLTLPL >LPERR11G07340.1 pep chromosome:Lperr_V1.4:11:6118432:6119501:-1 gene:LPERR11G07340 transcript:LPERR11G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGGEQYVELIPGMPDDVAVDCLARVPHGSHRAMRRVCRGWRCAASTPSFAAARAAAGANEDLVYLLQFANPAAAAEAEKDGDDAPANSPAYGVTVYNVTTGEWRRDGAAPPIPMFAQCAAPVPDVHVLDASTGHWRRAPPMRSPRSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDFAADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPSARAWRRLDRVRAPPSAAHVVVRGRVWCIEGAAVMEWLGERRREWREVGPSPPGLKAGTARAVCVAGGERVVVTGAIEDGEGGSGRHALWVFDVKTKSWTVVRPPPEFAGFAFSVAAVRV >LPERR11G07350.1 pep chromosome:Lperr_V1.4:11:6127340:6127931:1 gene:LPERR11G07350 transcript:LPERR11G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAGARKPGGCGGVTQAASAGRTEARRLQRLGASGIGRAREEAVAAWRRGPPLVDLVPHGRNWPPAGFSGQARGGCTVRGGCNGSWRQGEATAEGRGDSFFSLILSFSDPNCIDGSDVRRCLSSYLMISRQRGASVVAAR >LPERR11G07360.1 pep chromosome:Lperr_V1.4:11:6127995:6128299:1 gene:LPERR11G07360 transcript:LPERR11G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDESPVFGLTTATPASAALLLGRRCVFPLLRVSSVENHVLLLERTAAAHSASYPYWRRRSLLGL >LPERR11G07370.1 pep chromosome:Lperr_V1.4:11:6139582:6147578:1 gene:LPERR11G07370 transcript:LPERR11G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPARRHAALIAAAFSAAYYGRRRVDGDGGSDDDSFVRVSASSVLSGAGILPHGGRAHLLAPRLAPSSEGFPVRSFFAYVFNWNGGFPIINSFSPASVPPTNLSNQSSDGSSDDSKCCTGCIGRDTIAKAAAAAGPAVVNISSTQDTHGWVLEKSIGSGTIIDPDGTILTCAHVVVDFQSTKPILRGKVSVTLQDGREFEGKVLNADRHSDIAVVKIKSNTPLPSASLGSSSKLRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIEQLKERSSSFPDVKKGVLVPMVTPGSPAERSGFRPGDVVVEFNGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >LPERR11G07370.2 pep chromosome:Lperr_V1.4:11:6139582:6147578:1 gene:LPERR11G07370 transcript:LPERR11G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPARRHAALIAAAFSAAYYGRRRVDGDGGSDDDSFVRVSASSVLSGAGILPHGGRAHLLAPRLAPSSEGFPVRSFFAYVFNWNGGFPIINSFSPASVPPTNLSNQSSDGSSDDSKCCTGCIGRDTIAKAAAAAGPAVVNISSTQDGREFEGKVLNADRHSDIAVVKIKSNTPLPSASLGSSSKLRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIEQLKERSSSFPDVKKGVLVPMVTPGSPAERSGFRPGDVVVEFNGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >LPERR11G07380.1 pep chromosome:Lperr_V1.4:11:6159068:6163622:1 gene:LPERR11G07380 transcript:LPERR11G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSVAAVKLMEEQLDSSEMDMDEPGTVAESGTAAVDAGNGGSKDCPLLASNRDSKGNKGGGGGGGGGGGGCVAAAKKPRSVSSDFGDELELELGNGDSDTTKESGGRQQERKLSRQDRVELSRSFQHAVSSHDWESAEGLVWKADAQGLNDVLCVAVDAIWFLSDKEELQAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHRFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLETMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPVATYAVADNIARSADEAVDAKLRSFMLEHWSEAAFSEGFSSAQEHFVNFMRIMEKGESPIRLSDVPLELVIAMAYLPLYRECMESGGLLLPQKLRGQLVEAAGRLEGRQLDRGSQGTELLAILEHHLPRFMVQT >LPERR11G07390.1 pep chromosome:Lperr_V1.4:11:6165586:6165909:-1 gene:LPERR11G07390 transcript:LPERR11G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARQRRNPLLAKPNASRSHLHQHQHQQQANAADKKASSSNYFSIEAFLVLVFLTMSLLILPLVLPPLPPPPSLLLLLPVCLLILLIVLAFMPTDVRTMASSYL >LPERR11G07400.1 pep chromosome:Lperr_V1.4:11:6182288:6185829:1 gene:LPERR11G07400 transcript:LPERR11G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR11G07400.2 pep chromosome:Lperr_V1.4:11:6182288:6185261:1 gene:LPERR11G07400 transcript:LPERR11G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR11G07400.3 pep chromosome:Lperr_V1.4:11:6182288:6185476:1 gene:LPERR11G07400 transcript:LPERR11G07400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >LPERR11G07410.1 pep chromosome:Lperr_V1.4:11:6185462:6189286:-1 gene:LPERR11G07410 transcript:LPERR11G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRFHQLRLVGVRPTCCSPSQEFSAVRPQKLQLSKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVNGRVVNKAGTQVSDTSVIEIKAEIPKYVCRAGHKLEAAIKEFCIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLSELPQLVDLVTLDLSFISILLVMPAVVKVMKAESTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNIEFLACFHRIPMPELKTEEAEEN >LPERR11G07410.2 pep chromosome:Lperr_V1.4:11:6185868:6189286:-1 gene:LPERR11G07410 transcript:LPERR11G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRFHQLRLVGVRPTCCSPSQEFSAVRPQKLQLSKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVNGRVVNKAGTQVSDTSVIEIKAEIPKYVCRAGHKLEAAIKEFCIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLSELPQLVDLVTLDLSFISILLVMPAVVKVMKAESTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNIEFLACFHRIPMPELKTEEAEST >LPERR11G07410.3 pep chromosome:Lperr_V1.4:11:6185868:6189286:-1 gene:LPERR11G07410 transcript:LPERR11G07410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRFHQRKVLVNGRVVNKAGTQVSDTSVIEIKAEIPKYVCRAGHKLEAAIKEFCIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLSELPQLVDLVTLDLSFISILLVMPAVVKVMKAESTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNIEFLACFHRIPMPELKTEEAEST >LPERR11G07420.1 pep chromosome:Lperr_V1.4:11:6192029:6193873:-1 gene:LPERR11G07420 transcript:LPERR11G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRASSSKPAASSASKPRRPSPSPSPSPVTAPKTAKNVSGGAQRRRSPLSDLNSGDASAARPGCFRFLVPSSASASRSKSASATRTTPTPVTSPKAKPRPRGRRGAADQESRTRAVVVEKHAPVARGVRREGSGGGGGQIRAAAARGQQMQMPHLGEALTPQRKAESGNRAASGATPPIHASISPEVLACGSATPACFAAGHHVVPGVGDRRKCRPRGILAIAGEGAASEEVEVLDADPSRASIRWLSSPSGTCSTKCANEDASVNWLPSPREERPGGVDLLGDEIFVPRCSLEDAFWQFSPDCTGLLSSPVLGGLLDFGTPASELSEMTPSSGFLPVQKTPSTGDSISPFSLIVKRASQSLSSRGLKSLCSQQGLGSTSFGSTADLTAISGESWNSSKCSGLTRTCSRPLTKMDPVVECLEMMTLSPRPRDADYCEENVALPAPLPELSFQFAGAPTPLESIDLTSFKRSPCGIELKEKKASFQKPVLAETRISWREGLVSRMFDMGDLDCCKWLSDDEDGPVVQGNDEALRDTKIQPVSPSCVQECGDQIAASGFGSVEFDYSVGEMYYDDRKPSLNPIPVAESMTAEGFELVLSDDSDWTLLYKNGLFET >LPERR11G07430.1 pep chromosome:Lperr_V1.4:11:6201795:6202085:-1 gene:LPERR11G07430 transcript:LPERR11G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSTCAQHDAEEPSSDRFVGATISDLQESSASDIGDSYSTSNSGDSCGIYTIDDDSNTASASGTPAQYLATIQAILDETPYDAAQNPSIPDWAQ >LPERR11G07440.1 pep chromosome:Lperr_V1.4:11:6203349:6223711:1 gene:LPERR11G07440 transcript:LPERR11G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLLSFFHSGIAAATDKPPRTHVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWLTGGHRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVASIIFVDSPVGAGFSFSRDTKGYDVGDVSCSLQIVKFLGKWFGDHPEYLANPFYVGGDSRAGLFAPFIAQKISQDIEAGVRPALNLKGYIVGNPVTGERIDYESKVPYCHGVGIISDQLYEMIMEHCKGEDYHNPKNVICKQALARFNTIYSNYLSYFWANNNVTRETLGIKKGSVDEWVRCHDNDLPYTKDIKSSIKYHQNLTLNADVSLGFTVPDRTESDVGGSAQPCESPCVKQRPQASLSGTHRSEDARPRGDAPVAFGDHDSVIPFLGTQTWVRSLNYPILDDWRTWHVDGQSAGFTTAYGNNLTFATVKEIRSPL >LPERR11G07440.2 pep chromosome:Lperr_V1.4:11:6203349:6223711:1 gene:LPERR11G07440 transcript:LPERR11G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLLSFFHSGIAAATDKPPRTHVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWLTGGHRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVASIIFVDSPVGAGFSFSRDTKGYDVGDVSCSLQIVKFLGKWFGDHPEYLANPFYVGGDSRAGLFAPFIAQKISQDIEAGVRPALNLKGYIVGNPVTGERIDYESKVPYCHGVGIISDQLYEMIMEHCKGEDYHNPKNVICKQALARFNTIYSNYLSYFWANNNVTRETLGIKKGSVDEWVRCHDNDLPYTKDIKSSIKYHQNLTLNALSAGEGEEDDIVCPRPDLAGSSTGGDHDSVIPFLGTQTWVRSLNYPILDDWRTWHVDGQSAGFTTAYGNNLTFATVKEIRSPL >LPERR11G07440.3 pep chromosome:Lperr_V1.4:11:6203349:6229855:1 gene:LPERR11G07440 transcript:LPERR11G07440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLLSFFHSGIAAATDKPPRTHVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWLTGGHRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVASIIFVDSPVGAGFSFSRDTKGYDVGDVSCSLQIVKFLGKWFGDHPEYLANPFYVGGDSRAGLFAPFIAQKISQDIEAGVRPALNLKGYIVGNPVTGERIDYESKVPYCHGVGIISDQLYEMIMEHCKGEDYHNPKNVICKQALARFNTIYSNYLSYFWANNNVTRETLGIKKGSVDEWVRCHDNDLPYTKDIKSSIKYHQNLTLNADVSLGFTVPDRTESDVGGSAQPCESPCVKQRPQASLSGTHRSEDARPRGDAPVAFGDHDSVIPFLGTQTWVRSLNYPILDDWRTWHVDGQSAGVVDILHQSISQRDA >LPERR11G07440.4 pep chromosome:Lperr_V1.4:11:6203349:6229855:1 gene:LPERR11G07440 transcript:LPERR11G07440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLLSFFHSGIAAATDKPPRTHVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWLTGGHRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVASIIFVDSPVGAGFSFSRDTKGYDVGDVSCSLQIVKFLGKWFGDHPEYLANPFYVGGDSRAGLFAPFIAQKISQDIEAGVRPALNLKGYIVGNPVTGERIDYESKVPYCHGVGIISDQLYEMIMEHCKGEDYHNPKNVICKQALARFNTIYSNYLSYFWANNNVTRETLGIKKGSVDEWVRCHDNDLPYTKDIKSSIKYHQNLTLNALSAGEGEEDDIVCPRPDLAGSSTGGDHDSVIPFLGTQTWVRSLNYPILDDWRTWHVDGQSAGVVDILHQSISQRDA >LPERR11G07440.5 pep chromosome:Lperr_V1.4:11:6203349:6229855:1 gene:LPERR11G07440 transcript:LPERR11G07440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLLSFFHSGIAAATDKPPRTHVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWLTGGHRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVASIIFVDSPVGAGFSFSRDTKGYDVGDVSCSLQIVKFLGKWFGDHPEYLANPFYVGGDSRAGLFAPFIAQKISQDIEAGVRPALNLKGYIVGNPVTGERIDYESKVPYCHGVGIISDQLYEMIMEHCKGEDYHNPKNVICKQALARFNTIYSNYLSYFWANNNVTRETLGIKKGSVDEWVRCHDNDLPYTKDIKSSIKYHQNLTLNGYRALVYSGDHDSVIPFLGTQTWVRSLNYPILDDWRTWHVDGQSAGVVDILHQSISQRDA >LPERR11G07450.1 pep chromosome:Lperr_V1.4:11:6222079:6222642:-1 gene:LPERR11G07450 transcript:LPERR11G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSRSLAGGGARSRARRGGAREQEGDRRAVGRSSRAAGQSSASDAELRRGGRRLGVRRGKRRPGAAAFSAASSPPSAALRKHMVGGGPRQQYAATRSAPLVAHHGDSRGGGMAGHGDAARRSGRHSSLVTAVLLGEGWLGVGAHGVCSVEVGWRGPALGIQLVRSLVTCGTIHTVGSGIGKLKV >LPERR11G07470.1 pep chromosome:Lperr_V1.4:11:6234703:6242025:-1 gene:LPERR11G07470 transcript:LPERR11G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEAAEEEERPGELPRSGSSSRLNAQAPEFVVPPGAFFAAVPPPPPGAFFAAVPPPPPPPFDYYPAPAGGGVGFGAPVVEHEAAEAEQVPARDGISDDVVHKITKQVEYYFSDINLATTEHLMRFISKDPEGYVPMSVVAGFKKIKALIQSNAMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTIEDAEKAIVELNDERNWRNGLRTKGGKGKKGGHDVNDGHGVEDDVSTSNQSNEKHGEEALQQLDAQGEQLPDESTGDMGRGRGKGRGRGGRGRGRGYHNHNNNQQHYHNHQQHNHQNSNNRSAAHPVGMPPSNHSVKNEQQQTQPPAVANKQPPGPRMPDGTRGFAMGRGKPQTSTPSSPTSEP >LPERR11G07470.2 pep chromosome:Lperr_V1.4:11:6234703:6242025:-1 gene:LPERR11G07470 transcript:LPERR11G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEAAEEEERPGELPRSGSSSRLNAQAPEFVVPPGAFFAAVPPPPPGAFFAAVPPPPPPPFDYYPAPAGGGVGFGAPVVEHEAAEAEQVPARDGISDDVVHKITKQVEYYFSDINLATTEHLMRFISKDPEGYVPMSVVAGFKKIKALIQSNAMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTIEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGGHDVNDGHGVEDDVSTSNQSNEKHGEEALQQLDAQGEQLPDESTGDMGRGRGKGRGRGGRGRGRGYHNHNNNQQHYHNHQQHNHQNSNNRSAAHPVGMPPSNHSVKNEQQQTQPPAVANKQPPGPRMPDGTRGFAMGRGKPQTSTPSSPTSEP >LPERR11G07480.1 pep chromosome:Lperr_V1.4:11:6284966:6285393:-1 gene:LPERR11G07480 transcript:LPERR11G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQRTYSALSDLESIGGIGSLKKINTKLSKMH >LPERR11G07490.1 pep chromosome:Lperr_V1.4:11:6290978:6294859:-1 gene:LPERR11G07490 transcript:LPERR11G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVLAVAAMASVLAAAAMATTYNVVDYGAAADGGETDSAAAFVAAWAAACADGGSPASTVLVPATATTPFMVSAARFSGPCRSGALTVDMTGAAVVASGAGGVQLWIVFENVDGLVITGGTLDGRGGAVWSCRRRRPRRRDCPPATRSLTVYRSRNVAVRGLTSIDSAGVHVTVQASAGVEIAGAVVSAPGDSPNTDGIHIKQSRNVTVRDAVIGTGDDCVSMVEGSQDVWIEDVTCGPGHGISIGSLGDTPEEAAVKNITVKNATLTGTTNGLRIKTWAKSNTGLVNNIIFSGIVTRNVSNPIIIDQNYCPGNISCPTEVLQDRWIILISSPSIPDGSRIEISSVSYTDVEGTSATSVAVRFDCSPSRPCVGIVMHDVWLRYQPPEPEKEKRPAKSFCRNAHEPPDIRNWFSSYEYESPEVPELDAGHGGNSNSETQDPLAVKILLFFLCQIICSVGIAGHSLLEHTTRDGDDDALRENHCGRQYNHEVSDIRDLIPTSRNTVDRGAKRKQSLRSLFGAGFLDNLSETSETESSLDLSVQRINADPLLDCNAVGLPDDDTQEGQECAIEHGKLPVDWNGVDVVADTQECSQGDQDTEHSRLTIGDGMCSSHTGKGIPKDGNEQCKLSIDCNTRASKVHIEKRFQEGIQNSVPPIDCNGIIIPDTEENSPGEETCHSNPIIDDKGQEATVAADGFVAIKRKAKPEQAFKTSKILKPPMRSEKATLQENHGIVEQVIVQGRVSRSPLADMTNLSEVAAAPLTENRGKWKCPRKGKPYIGPPLKQLRLEQWVRRGD >LPERR11G07500.1 pep chromosome:Lperr_V1.4:11:6302335:6304032:-1 gene:LPERR11G07500 transcript:LPERR11G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVLALFATAAMAAVYNVVDYGARAGGGVDSAGAFLAAWAAACGDDGGGDRTPPVMRVPAGNFLVGQAYFRGPCRSAGGVVVAIDDGAVIVAPPAVANTSWIMFHYAHRLAIRGGTLDGNGHSFWSCKSSTKTPHCPPGTTTLDISQSNNVSIKRLTLLNSKNVHVSIFDCTNVTLRGVRITAPADSPNTDGIRVALSRGVTILAATVRTGDDCVTIGPGTAGVVVRGIRCGPGHGISIGSLGGGAGEGEVRDVTVEDASLADTQNGVRIKTWAKPFAGRVSDVRFARVAMHGVENPIVIDQNYCPGNINCPNQMSGVKISDVVYEDITGTSATAVAVRLDCSGSNPCTGITLRNINLTYDDGGGEEVARSFCRNAAGSASGVVSPPSCL >LPERR11G07510.1 pep chromosome:Lperr_V1.4:11:6308136:6312016:1 gene:LPERR11G07510 transcript:LPERR11G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKCSVTIIAQLLFYYILCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKVLSLRLGSMDLKGRFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLQFITNLDLSYNSFSGEIPESLANCSYLNTVNLQNNKLTGAIPGQLGLLSRLSQFNVANNQLSGPIPSSFSKFPSSSFANQDLCGKPLNNDCTASSSSRTGVIIGSAVAGAVIMFIIVGVILFVFLRKMPAKKKEKDVEENKWAKNIKGTKGAKVSMFEKSVAKMKLNDLMKATGDFTKENIIGTGRSGTMYKATLPDGSFLAIKRLPDTQHSESQFASEMSTLGSVRQHNLVPLLGYCIAKKERLLVYKYMPKGSLYDQLHQHTSERKALEWPLRLKIAIGASKGLAWLHHTCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAIDKSLIGKDYDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNSEAEKMDELIVAN >LPERR11G07510.2 pep chromosome:Lperr_V1.4:11:6308906:6312016:1 gene:LPERR11G07510 transcript:LPERR11G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKCSVTIIAQLLFYYILCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKVLSLRLGSMDLKGRFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLQFITNLDLSYNSFSGEIPESLANCSYLNTVNLQNNKLTGAIPGQLGLLSRLSQFNVANNQLSGPIPSSFSKFPSSSFANQDLCGKPLNNDCTASSSSRTGVIIGSAVAGAVIMFIIVGVILFVFLRKMPAKKKEKDVEENKWAKNIKGTKGAKVSMFEKSVAKMKLNDLMKATGDFTKENIIGTGRSGTMYKATLPDGSFLAIKRLPDTQHSESQFASEMSTLGSVRQHNLVPLLGYCIAKKERLLVYKYMPKGSLYDQLHQHTSERKALEWPLRLKIAIGASKGLAWLHHTCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAIDKSLIGKDYDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNSEAEKMDELIVAN >LPERR11G07520.1 pep chromosome:Lperr_V1.4:11:6315809:6323670:-1 gene:LPERR11G07520 transcript:LPERR11G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCGEREYKAHLAVFYLIQKMDARMSLKHAPAKIQEINAGKPSKATQVQQVGEQMNIDLLKAATSGLFSPSLQESVSRNRSMLLARTPQGNTCLHISSMLGHERFCDAVLELDESLLVLVNSDGETPLLTAITSGHASLAFYLLGRCNKPGLNDSILKHDSNQCNALHHAIRSGYKDLALELIRTQPALSQGVNKFNESPMYLALTRDFKDVFEKLFAIPGSAHSGSYSYNVLHAAVKNGNPDIAKKIVESRPRLAREGSKSGNTPMQMAVRWDKAEMLRVLLEHDWSLGYVGNSRTDRPLLVSAAFQGHVGVARELLRHCPDAPYCRADGWTCLHQAIDLGHTEFVEFILGVPQLGKLINMQDEKGKTALHHAVQKCNPGIVAALLRKGARLDCTMLNQNVSMLMLKAYPGCKSTVDNLYKKAKRNVTETSRKNAKWLTEMYTTNTSLVAILIATITFAAAFTLPGGYSTDSGSEGLPIMSRKFAFQAFLICDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTKQLMWFAYMATTTAFAAGLYTVLAPRLLWLAVGICIMSVLIPILTKVLGEWPILKLRMQLGPSMPEFLDMV >LPERR11G07520.2 pep chromosome:Lperr_V1.4:11:6315811:6324121:-1 gene:LPERR11G07520 transcript:LPERR11G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIDALKTVPAENRYLWLFAASTFEREYKAHLAVFYLIQKMDARMSLKHAPAKIQEINAGKPSKATQVQQVGEQMNIDLLKAATSGLFSPSLQESVSRNRSMLLARTPQGNTCLHISSMLGHERFCDAVLELDESLLVLVNSDGETPLLTAITSGHASLAFYLLGRCNKPGLNDSILKHDSNQCNALHHAIRSGYKDLALELIRTQPALSQGVNKFNESPMYLALTRDFKDVFEKLFAIPGSAHSGSYSYNVLHAAVKNGNPDIAKKIVESRPRLAREGSKSGNTPMQMAVRWDKAEMLRVLLEHDWSLGYVGNSRTDRPLLVSAAFQGHVGVARELLRHCPDAPYCRADGWTCLHQAIDLGHTEFVEFILGVPQLGKLINMQDEKGKTALHHAVQKCNPGIVAALLRKGARLDCTMLNQNVSMLMLKAYPGCKSTVDNLYKKAKRNVTETSRKNAKWLTEMYTTNTSLVAILIATITFAAAFTLPGGYSTDSGSEGLPIMSRKFAFQAFLICDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTKQLMWFAYMATTTAFAAGLYTVLAPRLLWLAVGICIMSVLIPILTKVLGEWPILKLRMQLGPSMPEFLDMV >LPERR11G07520.3 pep chromosome:Lperr_V1.4:11:6315811:6321123:-1 gene:LPERR11G07520 transcript:LPERR11G07520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMSLKHAPAKIQEINAGKPSKATQVQQVGEQMNIDLLKAATSGLFSPSLQESVSRNRSMLLARTPQGNTCLHISSMLGHERFCDAVLELDESLLVLVNSDGETPLLTAITSGHASLAFYLLGRCNKPGLNDSILKHDSNQCNALHHAIRSGYKDLALELIRTQPALSQGVNKFNESPMYLALTRDFKDVFEKLFAIPGSAHSGSYSYNVLHAAVKNGNPDIAKKIVESRPRLAREGSKSGNTPMQMAVRWDKAEMLRVLLEHDWSLGYVGNSRTDRPLLVSAAFQGHVGVARELLRHCPDAPYCRADGWTCLHQAIDLGHTEFVEFILGVPQLGKLINMQDEKGKTALHHAVQKCNPGIVAALLRKGARLDCTMLNQNVSMLMLKAYPGCKSTVDNLYKKAKRNVTETSRKNAKWLTEMYTTNTSLVAILIATITFAAAFTLPGGYSTDSGSEGLPIMSRKFAFQAFLICDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTKQLMWFAYMATTTAFAAGLYTVLAPRLLWLAVGICIMSVLIPILTKVLGEWPILKLRMQLGPSMPEFLDMV >LPERR11G07530.1 pep chromosome:Lperr_V1.4:11:6330294:6335796:-1 gene:LPERR11G07530 transcript:LPERR11G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEELEEQVDLEGDDDVMDDDDGNDDVYPRRRRSRGGSDEPEEEEEDPDERQDGAAAAVAASGGGGDDVMDKDGGGDGPEDEEEKRKWDELLALPPQGAEVFIGGLPRDTTEEELRELCDSFGEIHEVRLMKDKETKENKGFAFVTYTAKDGAQHAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEMHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKASEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGAGGSPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNLVEFLLHPHCAGVTGGTVAAEVVKGVMAGDITLTIM >LPERR11G07530.2 pep chromosome:Lperr_V1.4:11:6330480:6335796:-1 gene:LPERR11G07530 transcript:LPERR11G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEELEEQVDLEGDDDVMDDDDGNDDVYPRRRRSRGGSDEPEEEEEDPDERQDGAAAAVAASGGGGDDVMDKDGGGDGPEDEEEKRKWDELLALPPQGAEVFIGGLPRDTTEEELRELCDSFGEIHEVRLMKDKETKENKGFAFVTYTAKDGAQHAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEMHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKASEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGAGGSPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNLVEFLLHPHCAGVTGGTVAAEVVKGVMAGDITLTSFSGP >LPERR11G07540.1 pep chromosome:Lperr_V1.4:11:6338255:6339084:-1 gene:LPERR11G07540 transcript:LPERR11G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEAKEVKKITMANAAAKNKGVALKAKSKKKKLVEEDDDENDDEEEDKEDGNVSYCLMAKTRKVMLPSNPPSSDDESSGTSSSDDEDMFKGFSKKAMLHVSKLMKALKAKEKTLKRQEDLLILEKEKCLALESQLELEKEKVASLTMHPFPRMTMLL >LPERR11G07550.1 pep chromosome:Lperr_V1.4:11:6344174:6344876:1 gene:LPERR11G07550 transcript:LPERR11G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKVSFLFLLMCAIIYPHTVIGIPCTKEQKDEILNCCKSFIKIIGSSPSLPAQSGPCCEAVRKVKDMNMPCIVRLLKRKDRDVYSVERILHLEASLIEEKCLIEIASVFYEYASIIQISNRH >LPERR11G07560.1 pep chromosome:Lperr_V1.4:11:6352352:6357428:-1 gene:LPERR11G07560 transcript:LPERR11G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKELLKAAISGDTNSMKGMASKNPEILLGKTPQGNTCLHISSLCGHLKFCTDELKEYPTMKVKLLSVNMMEETPLLTAIRSGHVNLATFLLKCCEEEKLSEVILKQDKDECNALHHAIRTGHKDLALHLIRVMPTFSKAVHKFGESPMYIASMRDFNSEQVFEKLLETPDSAHSGAYGYNALHAAMRNGDPDIAKKIVVARPWLATERNNDGNTPMQLAVRWGKTDMLRVLLEHDRSLGYVVNSKNGYPLLLSAAHRGHVNVARELLKYCPDAPYCKRDGWTCLHEAVRSGNTEFVQFILGKPQLQKLINMRGSNGKTALHYAVMKCNPTMVAALLDKEIDLTILSTDGNAAAWELQGATDNAKTLNWVVVLLSATTTTSSNIYSHNGEVNEVSMLMIKADPRNLKSLYNLHEEAKGKLINASRKDAKFFTKKLMWFAYMETTAAFATGLYAVFAPRLLWLAVGICSVAVLVPILTKVLGEWPVLKLRIRVGHAFEVRVP >LPERR11G07570.1 pep chromosome:Lperr_V1.4:11:6362487:6365153:-1 gene:LPERR11G07570 transcript:LPERR11G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHLGAMGRPGLAEARLGFSPVHRRRGGGRKETTGASSLARGPRGPRGASSRP >LPERR11G07580.1 pep chromosome:Lperr_V1.4:11:6405902:6412018:-1 gene:LPERR11G07580 transcript:LPERR11G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTVTRNSRSFVAPSPAPATTAPETLELSSIDRVPGLRHNVRSLHVFRGAGRRHDDDGDDERQRRPAAVIRAALARALDDYPAFAGRFVGSLVGGDACVACTGEGAWFVEAAADCSLDDVNGLEYPLMVSEEELLPAPEDGVDPTSIPVMMQVTEFTCGGFILGLVAVHTLADGLGAAQFISAIAERARGVDKPKVAPVWARARIPNPPKLPPGPPPSFKSFGFQHFSTDVTSDRIALIKAEYFQTFGQYCSTFDVATAKVWQARSRAIGYDPETQVHVCFFANTRHLLTHVLPKDGGYYGNCFYPLTVTANAEDVTTKGLLHVIKMIRDGKARLPMDFAKWASGDVKNDPYQLTFEHNVLFVSDWTRLGFFEVDYGWGTPSHIIPFTYADYMAVAVLGSPPVPKKGTRIMTQCIENKHSKEFKDEMKAFV >LPERR11G07590.1 pep chromosome:Lperr_V1.4:11:6417150:6419268:-1 gene:LPERR11G07590 transcript:LPERR11G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQNLLKFVVKLYIQYTNWLEITNNQGTGDSSGNVLLITFEGVRPNDITIYVIRLIIGDITEKNYIADITTATMVKQALEGHCIYDGGYCKLDLSYSRHTHLNISVRKCKLQYKPQVSALLEWHGKKQVTMLLPI >LPERR11G07600.1 pep chromosome:Lperr_V1.4:11:6437847:6440301:-1 gene:LPERR11G07600 transcript:LPERR11G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCYFLVVAAVAAMAVVATTPAKGIQFTESDLVSEESLSRLYERWRSRHTVSSSPRRPGVDGDDGEARRRFNVFAENARYIHESNKRDDRPFRLALNKFADMTTDEFRRTYAGSRMGHHRSLTGGRHRSSSFRHDGDGSLPPIVDWRERGAVTDIKDQGQCGSCWAFSTVAAVEGVNKIKTGRLVALSEQELVDCDTGDNQGCDGGLMDYAFEFIKKNGGITTGAKYPYRAQQGRCNKAKASSHDVTIDGYEDVPANDETALQKAVANQPVAVAIEASSQDFQFYSEGVFTGECGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEDWGERGYIRMQREESSRSNGLCGIAMEASYPIKSPPRDIPTKEKALEDEL >LPERR11G07600.2 pep chromosome:Lperr_V1.4:11:6437847:6440301:-1 gene:LPERR11G07600 transcript:LPERR11G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCYFLVVAAVAAMAVVATTPAKGIQFTESDLVSEESLSRLYERWRSRHTVSSSPRRPGVDGDDGEARRRFNVFAENARYIHESNKRDDRPFRLALNKFADMTTDEFRRTYAGSRMGHHRSLTGGRHRSSSFRHDGDGSLPPIVDWRERGAVTDIKDQGQCGSCWAFSTVAAVEGVNKIKTGRLVALSEQELVDCDTGDNQGCDGGLMDYAFEFIKKNGGITTGAKYPYRAQQGRCNKAKASSHDVTIDGYEDVPANDETALQKAVANQPVAVAIEASSQDFQFYSEGVFTGECGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEDWGERGYIRMQREESSRSNGLCGIAMEASYPIKSPPRDIPTKEKALEDEL >LPERR11G07610.1 pep chromosome:Lperr_V1.4:11:6445427:6447710:-1 gene:LPERR11G07610 transcript:LPERR11G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKEETVVRNKKVVLRRYVTGQLKVDDMEVVTTTVQPRVPPAMSTPAVMVKNLYLSCDPWMRGRMTKHDEDAEEPALADFVLGEALVNYTVGKVIDSTHPEFVAGDFVWGVNAWEEYTLVTQFGALLKINHPDLPLSYYTGVLGMTGLTGYAGLFEVGKAKGGETVFVSAASGAVGQVVGQLAKIAGCYVVGSTGSDEKVALLKTKFGFDNAFNYVKEGNDLAGALRRYLPDGIDIYYDNVGGEMLDAALLNMRRGGRVVVCGMISQYNLEEPKGVRNLQFVIPKRIRLEGLAVVDHFGLYAKFEEEMAGYLKEGKVVVVEDVVDGIDKAPEALIGMFSGRNVGKLLVALTEE >LPERR11G07620.1 pep chromosome:Lperr_V1.4:11:6462122:6463037:-1 gene:LPERR11G07620 transcript:LPERR11G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINHPICHSYYTGGSRVSLHTPSGFFEVGKAKTKFWFDNTFNYLKEVSKLAGTLWWYLPHCIDIYYDNVGGEMLDAALLNMQRGGRVWSMISHDNLYEPKGVRNLQFVILNRVQLEGFSVLYHFGMYAKFD >LPERR11G07630.1 pep chromosome:Lperr_V1.4:11:6472519:6472743:-1 gene:LPERR11G07630 transcript:LPERR11G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVTTSILKKLASLIGKVYKNHRNLQMEITFLKDELGSMNAVLKSLSNMEELDTQTMEWRNQMMDMVFDSED >LPERR11G07640.1 pep chromosome:Lperr_V1.4:11:6477834:6480819:-1 gene:LPERR11G07640 transcript:LPERR11G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVITSILEKLASLTGKVYKNHRNLQMEMAFLKDELGSMNAVLKSLSNMEEVDPQTTEWRNQVKDMAFDIEDCIDDFNCQVGENSCSHDAGFVDRIAQYVCKLRASYSFPTQIQQLKTRIKDVSERRKRYKLDESNSSSSSYVAVDPRVTALYVDAANIVGLDGPKEEVMKLLVGEESASVQSLRVVSIVGLGGLGKTTLANEVYRNVVVRYDCKAFVSVSQNPDLMKVLGIIMSSIGMPGPTCTYELQHLIGSLREHLRDRRYFFVIDDIWDGLAWEILRCAFPDNEKGSKIIITTRIETVARACCNYRNEFIYIMKSLDDQDSRKLFFSRVGNVSQKPPEEISNEILQKCGGLPLAIITIASLLASEPTTSIQQWEYVCRSLSSNLRTSPTLEGMRQVLNLSYKSLPDHLKNCLLYIGLYPEDYRFVKREMASLWLAEGLVSAIDGRVAEEVLLGYFNELINRSMIQPSIPDMIMFGDICAFKVHDMVLDLIRLKCEEENFARVVDKVQGMTTALHSKVRRLSLQFDHGENQDMSARPSFSHIRSLMLFNKAHFVLPISEFKSVRVLHLNCSNKIDLTSINKLFQLRYLKIVGSTQLPNHIRTLQRLEVLDLTETDSISLPSDIVQLPCLLHLRIPESTRLPDGIHKMTTLHTLQYFDTGMNSLENLTGVGDLINLRNLSVCNDSNGDLIHQKRMLDVLWPSIHKLINSNLRSLTATRIFPGRGSDIELSDSLASSQIGSCLEELCISCWMLSRVPSWTRQHHKLATLEFTIKTLTEEDIDLLAGLPNLIQLTLSIRRSSHKQITIRGTATTFPVLKQFILICYAPHLAFEAAAMPNLQSLNLNIHAHGVQRNGSSTVEGLEHLLSLKEFWAFVCFDGSDTNPLDGRAAATNAVKTLRHAINVHRGHPEIQIFEEDNRSSFWKIDELSNDA >LPERR11G07650.1 pep chromosome:Lperr_V1.4:11:6487635:6491989:-1 gene:LPERR11G07650 transcript:LPERR11G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTRARLQIGGLRRGDLMEGSMEERVVTERIRRKLEEVNSAVQTHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLSANNVVETEMAKFQAVRQPKATPDCLSTIAERLNRSMMVCQDKFEAAKLQKMKTHATEELESCVNRSIDDSIRVLPHLVDQIKSSLHMN >LPERR11G07650.2 pep chromosome:Lperr_V1.4:11:6487635:6495830:-1 gene:LPERR11G07650 transcript:LPERR11G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTMVSVATGVLSTVLRKLTTLVEKQCNNKFKGVNEEILSMKAELQSMNALLLKLADMDDLDVQVKEWRNQIRELSYDIEDCIDNFMHQTDGGTNRDHKGFLKKSIQKLRTIGVRNEIADQILKLKARVDGASERQKRYNFNGAISNYSNVVRLDPRLPALFEEADALVGMDEPTEVLINWLTRGEKRESRLSVVSLVGFGGLGKTTLARQVYNKIGGQFECQAFVSISQKPDMRKIFHKMLNDIKRTEHTSLAWDEEQLMGRLGTLDEEQLISKLREFLTGRSYPDLNNVYELKPLSSSDAKKLFMKRIFGSEDQCPSQLKLVSNDILRKCGGLPLAIISIASLLANKPCTKELWESYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPENFIIKRAPLVMRWIAEGFITRDGRQNLEETAEYYFNELINRSMIIPVSIQYDGRVDSCRVHDVILDLIISKSAEENFITAFGYQNHVLGPQDKIRRLSFDYRGQEEIMVPSNATVLNVRSLTTYGSTAHVPLLSDFQVLRTISIDCNDHLENHHLNGIERLFCLKYLRLNRVSINKLPEQIGELQQLETIDLTQTMVKELPKSIVKLKKLMFLLADEISLPAGIGNMNALQKLYHMKVDNGISSNTLNELQSLTELRYLGIIWCVNDMYASGKSQTDKFVSSISTLCKLKLRYLHVQCDAENGSSLDFLLDYWFSIPNPLQYFGMSSRYYFPRIPEWIALLSSVTFLNININHVGEEVFQILGDLPSLLALRLWTQGVARNERLIIRSRGFLYLKQLVFYSCNIEMNPLLFEDGAMPNLERFRFNLKARETRNPCSEFFLSIQHMSSLKHLLVLIDCRDATAHEVEAKEAAIREATNHLCKHFQVEINTNWTWKMVKDDEDIDIKCKAEDSTKHLREWEKNQAYFKCAYECFDRRRSQEGINNCVENCSVPVLSANNVVETEMAKFQERLNRSMMVCQDKFEAAKLQKMKTHATEELESCVNRSIDDSIRVLPHLVDQIKSSLHMN >LPERR11G07650.3 pep chromosome:Lperr_V1.4:11:6487635:6491989:-1 gene:LPERR11G07650 transcript:LPERR11G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTRARLQIGGLRRGDLMEGSMEERVVTERIRRKLEEVNSAVQTHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLSANNVVETEMAKFQERLNRSMMVCQDKFEAAKLQKMKTHATEELESCVNRSIDDSIRVLPHLVDQIKSSLHMN >LPERR11G07650.4 pep chromosome:Lperr_V1.4:11:6492187:6495830:-1 gene:LPERR11G07650 transcript:LPERR11G07650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTMVSVATGVLSTVLRKLTTLVEKQCNNKFKGVNEEILSMKAELQSMNALLLKLADMDDLDVQVKEWRNQIRELSYDIEDCIDNFMHQTDGGTNRDHKGFLKKSIQKLRTIGVRNEIADQILKLKARVDGASERQKRYNFNGAISNYSNVVRLDPRLPALFEEADALVGMDEPTEVLINWLTRGEKRESRLSVVSLVGFGGLGKTTLARQVYNKIGGQFECQAFVSISQKPDMRKIFHKMLNDIKRTEHTSLAWDEEQLMGRLGTLDEEQLISKLREFLTGRSYPDLNNVYELKPLSSSDAKKLFMKRIFGSEDQCPSQLKLVSNDILRKCGGLPLAIISIASLLANKPCTKELWESYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPENFIIKRAPLVMRWIAEGFITRDGRQNLEETAEYYFNELINRSMIIPVSIQYDGRVDSCRVHDVILDLIISKSAEENFITAFGYQNHVLGPQDKIRRLSFDYRGQEEIMVPSNATVLNVRSLTTYGSTAHVPLLSDFQVLRTISIDCNDHLENHHLNGIERLFCLKYLRLNRVSINKLPEQIGELQQLETIDLTQTMVKELPKSIVKLKKLMFLLADEISLPAGIGNMNALQKLYHMKVDNGISSNTLNELQSLTELRYLGIIWCVNDMYASGKSQTDKFVSSISTLCKLKLRYLHVQCDAENGSSLDFLLDYWFSIPNPLQYFGMSSRYYFPRIPEWIALLSSVTFLNININHVGEEVFQILGDLPSLLALRLWTQGVARNERLIIRSRGFLYLKQLVFYSCNIEMNPLLFEDGAMPNLERFRFNLKARETRNPCSEFFLSIQHMSSLKHLLVLIDCRDATAHEVEAKEAAIREATNHLCKHFQVEINTNWTWKMVKDDEDIDIKCKAEDSTKHLREWEKNV >LPERR11G07660.1 pep chromosome:Lperr_V1.4:11:6498713:6502157:1 gene:LPERR11G07660 transcript:LPERR11G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQFFLNDLPRNDFNQIFQLLEQFERSIAQNCACKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRCGTKNLSQVAIYMVLTVPGRKSNDMFHAGGMTIAFELLSKALHTLVAKGHVEKGELDSFNVPIYLPSADELRQLVQKNELLDIGDIHIISTSWNPMDDDLGPAVDGAAAAQAAGKSISATLRAGMESLIMSHFRESIVDELFAVFVRNITNHIENVVEMSSLTVISLSLQARQ >LPERR11G07660.2 pep chromosome:Lperr_V1.4:11:6498713:6502157:1 gene:LPERR11G07660 transcript:LPERR11G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMTERDGETSYARNSRMQLLEQFERSIAQNCACKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRCGTKNLSQVAIYMVLTVPGRKSNDMFHAGGMTIAFELLSKALHTLVAKGHVEKGELDSFNVPIYLPSADELRQLVQKNELLDIGDIHIISTSWNPMDDDLGPAVDGAAAAQAAGKSISATLRAGMESLIMSHFRESIVDELFAVFVRNITNHIENVVEMSSLTVISLSLQARQ >LPERR11G07660.3 pep chromosome:Lperr_V1.4:11:6498713:6502157:1 gene:LPERR11G07660 transcript:LPERR11G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQFFLNDLPRNDFNQIFQLLEQFERSIAQNCACKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRFLQMRYKELVPGGHIYGADSPWEEKQ >LPERR11G07660.4 pep chromosome:Lperr_V1.4:11:6498713:6500821:1 gene:LPERR11G07660 transcript:LPERR11G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQFFLNDLPRNDFNQIFQLLEQFERSIAQNCACKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRFLQMRYKELVPGGHIYGADSPWEEKQ >LPERR11G07670.1 pep chromosome:Lperr_V1.4:11:6525286:6528071:1 gene:LPERR11G07670 transcript:LPERR11G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMTKGDGETSYAGNSRIQRRAIRLTVPMVEKAIRELSMDLHPQSMVIADLGCSSGPNTLLFISTAIAAICENLANTTIESPMDAQFFLNDLPGNDFNQIFQSLEQFEQSIAQNCSHKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRFLRMRCKELVPGGHMVLTVLGRKSNDMFHAGGMTTAFELLSKALHALVAKGNVEKRELDSFNVPIYLPSAHELRQLVQKNELLDIGDIHIISTSWNPIDDDSGLAVDGAAAAQAAGKSISATLRAVMESLIVSHFRESIVDELFAVFAHNITNHIENEIEKSSLTVISLSLQARQ >LPERR11G07670.2 pep chromosome:Lperr_V1.4:11:6526305:6528071:1 gene:LPERR11G07670 transcript:LPERR11G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAIRELSMDLHPQSMVIADLGCSSGPNTLLFISTAIAAICENLANTTIESPMDAQFFLNDLPGNDFNQIFQSLEQFEQSIAQNCSHKGLQPPPHYIVGVPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDGNMNEGNIHIGETTPLSVAKLYQDQFEKDFSRFLRMRCKELVPGGHMVLTVLGRKSNDMFHAGGMTTAFELLSKALHALVAKGNVEKRELDSFNVPIYLPSAHELRQLVQKNELLDIGDIHIISTSWNPIDDDSGLAVDGAAAAQAAGKSISATLRAVMESLIVSHFRESIVDELFAVFAHNITNHIENEIEKSSLTVISLSLQARQ >LPERR11G07680.1 pep chromosome:Lperr_V1.4:11:6543347:6543583:-1 gene:LPERR11G07680 transcript:LPERR11G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALKIVATALMLVILISTPASADVAPCAPFFPVCGPLCDTPCFKICFTRCIYFLQFNVLFCQQQCATNSPWCGN >LPERR11G07690.1 pep chromosome:Lperr_V1.4:11:6549722:6549958:-1 gene:LPERR11G07690 transcript:LPERR11G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALKMVATALMLVILISTPASADVAPCAPFFPVCGPLCDTPCFKICFTRCIYFLQFNVLFCQQQCATNSPWCGN >LPERR11G07700.1 pep chromosome:Lperr_V1.4:11:6571044:6573288:1 gene:LPERR11G07700 transcript:LPERR11G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAEIDEVVLKEAEWKWMDVGDQLAVVRLISKAPSAHRYHKQPFSTILCGYSMWYFLKCEGRYYSNPEDDHDQNIDDREIQMMISDFCWFFRREIYHKRGRFFYTNSYMVDDPKYTKLIEWERNREGPLIMRVKRIIAGSAARLAIAAACHAVIVAHSAVAVVVIVVAATPSRTNDPSAAATINVVEPGGLAAGSVCLFPAASRPPSHGPWPVPNPAASGSSSLDPDPATAVLPLAVAAHRVIAARHCRSAAGATRCARERGGHGGVFERGGR >LPERR11G07710.1 pep chromosome:Lperr_V1.4:11:6575835:6579979:1 gene:LPERR11G07710 transcript:LPERR11G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEREFHMTKGDGDSSYGNNSRIQRKAILATKPMIQMAIKEVCTALQPRSMLVADLGCSYGANTHLFISEVITAISDKNATNNNITEVQFFLNDLPSNDFNHIFQSLEQFEQSITHECASRGLQPCRRAGLLLQQDPCLPEHPFLPFILQPHVPENLDSSMNEGNIHVGATTPQVVKNLYLDQFKKDFSQFLHHRSRELVPSGWMVLTILGRKSNDFENRNGLLMELLSLALRTLVEKGGVEKEKLDSFSLPYYRPSPDELKHLVQQSELFDIIDIQEWTMNTDPVDNSEVEEASTAVIAASYNVEATGHRIARGLMGVLEPLLAGHFSESVIDELFAEFLHNVISHIANYGMMKHITVISLSLQAVLVTPME >LPERR11G07720.1 pep chromosome:Lperr_V1.4:11:6582515:6583736:-1 gene:LPERR11G07720 transcript:LPERR11G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKKFLFDIKAKGTGLQYGDFDIGIRHISSLRYLRVGIVCTDARPCEVEVTEAAVRHATSVLPNNLQIEIERHRAGQMVKGEMGIIEDDAQRSNNKHQEEAEQEQKVAQDKSNKLWLLYIQCLPSVVEMAGMEQVAAGKLTGMWSAASGGGSREGAADGCWRRRGNEPGGERMT >LPERR11G07720.2 pep chromosome:Lperr_V1.4:11:6582515:6583736:-1 gene:LPERR11G07720 transcript:LPERR11G07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKKFLFDIKAKGTGLQYGDFDIGIRHISSLRYLRVGIVCTDARPCEVEVTEAAVRHATSVLPNNLQIEIERHRAGQMVKGEMGIIEDDAQRSNNKHQEEAEQEQKCLPSVVEMAGMEQVAAGKLTGMWSAASGGGSREGAADGCWRRRGNEPGGERMT >LPERR11G07730.1 pep chromosome:Lperr_V1.4:11:6583754:6586449:-1 gene:LPERR11G07730 transcript:LPERR11G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTMVSVATGVLSLVLKKISTLMEKEYSKLKGVHDEILSLKDELSSMNALLLKLSYVEDLDVQVKEWRNQIRELSYDVEDCIDNFMHQTSDRSDMKGFFRKIIHKVRELGARHAISNDILKLKTRVHSANERHMRYNFEGAISSSSAIVPIDPRLPALYAEAESLVGIDEPTDDIIKWLTEGEGDSVRKLKVVSIWGPGGLGKTTLAHQVYDRIGGQFYCRAFVSVSQKPDMRKILRSILINVTGVEYPAGMKAWDVERLINELRDFINGKRFFVVIDDIWSTTHWQTIRCVLLDSNIGSRVLATTQIRYVAESCCPANQDKVFEMKHLSAIHAEKLFLKRIFGSGDNCPLHLKEVSNDILRRCGGLPLAIITMASLLVNKPQTKEQWEKYRDSIVDKNPIVNYMQKILSLSYTDLPHNLKTCLLYLSTFPEDFIIERDRLVRRWIAEGFIPTEGGQNFHELVNRSLIQVVGIKYDDRANTCRVHDMVLDLIVSKSVEENFVTFIGYQNRVCDLQDKVRRLSLKCHQQDGGTMPSARVVSCTRSLTIYGSTKRMPPISDFQSLRVVNIENNDKLENCYLNGIGRLFQLKYLRLIEVSISKLPEEIGDLQQLETLQLEHTKIKELPKGITRLKNLIFLRADYTSLPEGVGNMKALQKLSWIKCVGDTYSDMKSYTKNFVSSVIKLCKHKLQYLRIRSDVDQGCYLSFLLDSWSCPHHLQKFDMYTEYYFPRIPEWIAPLSNIIFLDINVNPVGEDTLMILGNLPYLNILWLWTKTVVPKREFIIRNIGFNVWKSSTLVFGVLKWGQ >LPERR11G07740.1 pep chromosome:Lperr_V1.4:11:6595092:6597220:1 gene:LPERR11G07740 transcript:LPERR11G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKAIKEVCTDLQARSMVVADLGCSYGANTYLFISEVIAAISDKNGTDNNITEVQFFLNDLPSNDFNHIFQSLEQFKQSIAQEYAGRGLQPPSYYVAGVSGSFYNRLLPCNTVHFFHSSYSLMWLSQVPEHLDSRMNEGNIQIGATTPQVVRNLYFNQFKKDFSRFLHHRCRELVPGGQMVLTVLGRKSDDTENRNGLVTELLSQALRTLVEKGRVEKEKLDSFNLPFYRPSADELKLLIQQSEVFDIVDIQQWIMNTDPMDNSEMEEDCNTTIAATTTSRPVAVELPGD >LPERR11G07750.1 pep chromosome:Lperr_V1.4:11:6598480:6601085:1 gene:LPERR11G07750 transcript:LPERR11G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVATQKSSAPWHLTVWSNASALYSPLGDITDLSAAELRNKRARERYASLSAEKKEDKKIKNREYKQRKKDSSIGLYKSGTNMVGDVPSSGGGLRINCQMDTYESSALETTKEFPIVEPDRARPQSFVTPTRLLSNDGATCNDNKENTDIPFSCILQGGTQSKQITGFGSDKIVCGPRRPLITPARLPFMMNDDDAGNDSMQNTELPHSCIVEGRTQNSTAPDFINEKTDNMALYWLVGCSEARYAALTPEQKQARRDRQRAKRDSMTIQQKQQTSAHRKAARESLPDVVIHDINKRTKSRRQNVTSGERGALLSRRNARYAAMHDKPCAESIAMECPCSQTTADVSSTLVSEAASGADQPSQSYTMDNGTTSSHYNFSNIT >LPERR11G07770.1 pep chromosome:Lperr_V1.4:11:6630684:6632345:-1 gene:LPERR11G07770 transcript:LPERR11G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAILFAGDQGFSHSLGHQHPKETSHKRWGSVPSHGIVVEAATVFFVMVGETIQAALTPDVEVRAVLALNAPVVSQGPAVASPIEPPMAAADPGRRRLPAAPATPLRACTPSRRHGRTPVGRCLLGLHATSLPWPLPWPPPLWPRACKGRKRRRIDQRE >LPERR11G07780.1 pep chromosome:Lperr_V1.4:11:6637113:6637463:-1 gene:LPERR11G07780 transcript:LPERR11G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAEVRALAAAIEGANAVILAAPAPVPPLPSPLCPPRRCIADTVVHAIRSSPSIFVLASLAPLPPSLELRPPMHAIGKRFTLAARHGACPFYESQADGVSIITEDTSSEESSLA >LPERR11G07790.1 pep chromosome:Lperr_V1.4:11:6640884:6644351:1 gene:LPERR11G07790 transcript:LPERR11G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDVPTCSDLPAAVSAFADAFVDFSVSGIFFPTTPPXXXXXXAIGDLHGDLPKSLSALRLAGLIPPNSPSTSWSAGPTLAVQLGDILDRGGDEIRLLYLIRRLSLSAAAHGGALLPILGNHEVMNISGDFRFVTPQGLREFSSWASWFRAGLAIKRRCGGEGGIDPPPRNPFLGVPKEFPGVRPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEGNVEYGLERINAEVSDWIRGERGVNAAAPEFVRGRDAVVWLRRFSDGVNCDCERLKGVLGMIPGGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGADVRVITTDPSEAWQYRKREAEKAAVSTAVKEKKGEA >LPERR11G07800.1 pep chromosome:Lperr_V1.4:11:6646829:6654664:-1 gene:LPERR11G07800 transcript:LPERR11G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELIIGQLLSNLFLHSVETIEQIRTELTIINNRIQQIGGASLTDNIIRNYIRDTRSLAYGVEDLLDKYSYYTLQWEEERFIIKHFPKTLRYSVLYIRTADEIAKIKKEFDRVRELTDKVLPPPPYQYTTDQLSGMEKQQSGDSFQKFFKDEDLVGIEDSRRLLTEWLYSDELDSKVITVSGMSGVGKTTLVRNVYERERINFCGGDAWMVMSQTYTLDDLLTKLLRKVGFTCTDKIGEMGVNEIKRLLAKRKCLIVLDDVQDKEACIQLCDVFKNNKASRVIITTRNNDFADLLSSTCCLHLQPLSTSHAFDLFCKQAFYRHKDHSCPNDLVEISTSVVDWCQGLPLAIVLVGGLLSSKFEIPHAWNRTFNQLESELQKHENRNQVYKRVQAILNVCYLELSDELRNCLLYCRLFPEHYPISRDSLIRLWVAEGFVLSEQNNTPEVVAEGNLMELIHRNMLQVVETDELGRVSTCTMHDNVRDLALSIVKKLENFGYASDHVSMEKIDKDVRRLSCCGLKVDVTAPRHRLARLRTLVSLGDGAISSSPNLLPLILLESSYIAVLELQDSEITEVPASIGNLFNLRYIGLRRTKVKELPDSLGRLSNLQTLDVKQTKVENLPREITKIHKLRHLLAIRCNDGRQSEFLYLKGIQAPKYLSNMKELQTLETVEASKELAEQLKKLMELRSLHIDNISSADCAHIFATLSNMPHLSSLLLSAKDENEALCFEELKPRSTQLQRLIIRGQWAKRTLNCPIFHTFGTHLKYLALSWCHLGEDPLMILASHLPNLTYLRLNHMRCAETLVLHEWSFPKLKTLVFMHMPDVEGIEIIDGAIPSIEILCIVALPRLETVPRGIKSVRSLKKLLLLGLNKDLSTKWNDNIMHQNVQPITEVLKEITDNFSEERKIGEGTFGEVYRGVHKNGEEIAVKVLKFSPEHGDEQFLNEFNLMKVKHENIVQLVGYCYQINVKPGKYLGNRVFVHQPFRALCFEYVQNGSLKNYLSDECGGLDWLTSYKIIKGICQGLKYLHKELKEPMYHLDLKPDNILLNNNMVPKIADFGLSRLFGKEKTLITKYRKGTIGYMPPEYLHKGAMTNKFDVFSLGVVMREIVAGTAGSKKYHEMASEEYIDLIHGNWRLRLQQKWSGSLLEAYCQQVRRCTQIAVNCVEYRRDKRPDITAIVDSLTETETLIEEIAFLRSMSDFADSAAYNDLSDKFDKILVALDHHNNDIS >LPERR11G07810.1 pep chromosome:Lperr_V1.4:11:6688527:6698139:1 gene:LPERR11G07810 transcript:LPERR11G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNSPGRRRRLAATIFSPRDNPTLPLPPSRGAVIQAVRVDSPLSRQPQWPRPRPIGILAAAVSSRRSRAPPSLPSIPGSVDPMRRSADALDCKACANERLWQGHQGERIGVVESAGSSLIEFKEIFTKERAAL >LPERR11G07830.1 pep chromosome:Lperr_V1.4:11:6710070:6711007:-1 gene:LPERR11G07830 transcript:LPERR11G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGLSAAPPLPAVAAVALTTAGRRACTSVTTAPGLLFCLLKSIAREREGNKQKYKQRSTDYR >LPERR11G07840.1 pep chromosome:Lperr_V1.4:11:6740146:6744631:1 gene:LPERR11G07840 transcript:LPERR11G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSSVMNHPYTAISTCCVAFVIGWLVHWVYRWMNPRCNGRLPPGSMSFPLVGETLRFFRASASIDMPCYYKERLKRYGPIFKTNLVGQPLVVSLDPDMNRFIFQQEGKLFQSWYPETTNAIFGKKSITTNSGAVHKFVRSFASKLFGTTNLKESLLPELETTMRENLITWAREPSIEVKDGISNMIFDLIAKKLIGLEPSKSRELRKSFQEFFQGMVSFPIYFPGTPFYRCMQGRTNLQKTLNGLLKERLSVPEIKYNDLLDQIVEELQSENLVIDVNFAVDALSALLFASFATLSSTLAVGFKFLTDNPKVVKELKEEHTKILNKRDDQNSGFTWEEYKSLIFTPQVINEITQIGNVAPGIFRKTLADVQVNGYTIPAGWLVMISPMAVHLNPKLFEAPLEFNPWRWKAAILKVTSNLLTYNNGAKWQVQDETKRSELLRNYMPFGGGIRLCLGADFSKLFFALFFHILVTEYRRSKEEMSWRISEIIFPQGYHIQLIPQT >LPERR11G07850.1 pep chromosome:Lperr_V1.4:11:6847756:6848422:1 gene:LPERR11G07850 transcript:LPERR11G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEEVLGDVTNLSAAEVRRKRERERYASLSADEKEARLQKNRDYRRRKREATTGTLSRGVSRETTRILSKPRTDIDNTGKSTKNNTN >LPERR11G07870.1 pep chromosome:Lperr_V1.4:11:6861534:6862996:-1 gene:LPERR11G07870 transcript:LPERR11G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVYGRAEGGGQRGKHWKLHVKAEGGARPSGKWLHGRASGGSGWQKAGARRGGVLPTGTKDNIFSAELIGLVCNPGQKGLQTGVKDAFSRSESKPWGLGYGGTSLTRCSQKGISLSSYRYDVIKMPSTFEKDKDGKPFIGKSKKGVYAGIIHEAHLRVWILNESCRVLTYEHNLSPYNKYLSSLHGNDMRTYGPWTLEQDSSDVYGTEETLQKQKNFDWDSDREDFFTVEGSEDCYAYFHITGFHPYKEVAFIRENYLVLAYHLDSSKIQYLGSSCPNCYCRGTYEGFLYTPCMIGELYEDKSTCQRSS >LPERR11G07880.1 pep chromosome:Lperr_V1.4:11:6865964:6866842:-1 gene:LPERR11G07880 transcript:LPERR11G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASRPPLLALTSLLLALAVAGAGTVESYTNPSSTASATGGDGGITGAVDEGSYNPAKLCDNALSPESCVEVLPMIPRIADTPPDYSALAVLLDGHAWTSLQAAAFIADQMRAYMKGQGAVVNECVATCETAVHVVKTVFAEFRPLPEVERLRRIHLALAGIFREGGADAPPAYVGGCPKGSIRNAAEGDVVAKFRYVFSVLDLFEVVLAKVFSNDVSTPTAPATAASSGDENCTPPPPAATAAASNVAGAYGGAKQ >LPERR11G07890.1 pep chromosome:Lperr_V1.4:11:6870661:6871584:-1 gene:LPERR11G07890 transcript:LPERR11G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPHDVLAVVLRLLPPRTLAISRSVCKPWRDIVDGPNLLVTHHLPLSVHGIFVNYILLPPLSAD >LPERR11G07900.1 pep chromosome:Lperr_V1.4:11:6891153:6893143:-1 gene:LPERR11G07900 transcript:LPERR11G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSTAAFLFSVVAIAALTIVVVDSEATMVEQTFVVHEMNVTHLCNTTRIFVVNGQFPGPTVDVTEGDTVVVHVINRLPHGLTIHWHGVRQMRSCWADGAGFITECPIAPGSNHTYRFNVTDQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPTKDVPIIIGEWWELDLIELDRRMVDGNFDDNPLSATINGKLGDLSNCSGTVEESFILDNVNSGEIYLLRLINTALFSEYYFKVAGHTFTVVAADANYLTPYNTDIVSISPGETIDVLMAADAPPGHYHMVALANQPPPPDLQIPQLTSLGLLRYTAAVDTNTVPTMPIMPSQHNTMPSYYFHANLTGLARRHRVPRHVDERLLITLGLGSICRNTTGRCKRGRSPETVVVATMNNVSFAHPNATSLLERYYGGNLEGVYTEDFPVRPPRVFNFTDRSLIPPGPEEAALEPTAKATRLRRFRYNSSVEIVFQSTTLLQSDSNPMHLHGYDVFVLAQGLGNFNPRRDVSKFNYYNPQLRNTVQVPRGGWAAVRFLADNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGANGLKQP >LPERR11G07910.1 pep chromosome:Lperr_V1.4:11:6900059:6904254:1 gene:LPERR11G07910 transcript:LPERR11G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDVVYRSTSDVGSSYQKSLKKGLISFISVDLSRFTQVDEISCFPLTWRSYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSTSQKYLIKIQALQPSDVYKEYADCLSKFLAHSPYLELVSLAGLTELPDAALCELRISGTSLRSLSFYCCSGITDDGLEQVSTGCPNLVSLELYRCFNVTDHGLEILSKSCNALKSLNLGYCVAISDRGIGAIFRNCPNICAIIIAYCRGVSGVGFRGCPSTLSHLEAESCMLSRDGLLDVVSGGGLEYLNLYNLRSSTGLDVLDRVGYAKKLRFLNLRMCRYLTDDSVAAIASGCPLIEEWSLAVCHGVRLPGWSAIGLFCNKLKILHVNRCRNICDQSLQALRDGCVYLEVLHIHGCGKITNNGLASFSIVRPNVKQRVDEVMSIGPSIEDLFRLQ >LPERR11G07910.2 pep chromosome:Lperr_V1.4:11:6900058:6904254:1 gene:LPERR11G07910 transcript:LPERR11G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDVVYSCGSCGYPLNLSSSNRSTSDVGSSYQKSLKKDDGLEQVSTGCPNLVSLELYRCFNVTDHGLEILSKSCNALKSLNLGYCVAISDRGIGAIFRNCPNICAIIIAYCRGVSGVGFRGCPSTLSHLEAESCMLSRDGLLDVVSGGGLEYLNLYNLRSSTGLDVLDRVGYAKKLRFLNLRMCRYLTDDSVAAIASGCPLIEEWSLAVCHGVRLPGWSAIGLFCNKLKILHVNRCRNICDQSLQALRDGCVYLEVLHIHGCGKITNNGLASFSIVRPNVKQRVDEVMSIGPSIEDLFRLQ >LPERR11G07920.1 pep chromosome:Lperr_V1.4:11:6905011:6910918:-1 gene:LPERR11G07920 transcript:LPERR11G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVTPEECRDGDPDMDMLMLSSAFDGLDSYTDLVAGSSVADSIFSSLGFLQSSRPVNYKQDDASITEEGTTSDCSAGLASGETVCVGMAAVPKPLYGVTLMERMLRALSVLKEASSGEAILVQVWMPVRNGGQQVLTTSDQPFLLDQKLTGYREVSRQFMFSAEQGPGLFPGLPGRVFMSGMPEWTSNVMYYHNSEYLRVNYAMRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSVQLTTVNAWRHSQSYSSSRNQKLAFMEIFDVLQAVCEAHLLPLALAWIPVRPKRNAHVSVEYGAKFGASNKEVLCIQESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYNVHAYPLANHARKFGLQAAVAIRLRSTYTRNDDYVLEFFLPLLCKGGEEQQLLLESISATMRKVCKSLRTVSDAELKEDATARPLNENRSGRRCPSPVNFIYPGQEIDISDKIKTNLPMEHLIESIDEHRADTKSTNKLKCGNASDGEKRRSSTEKSVSLTVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKRIQNVISSVHGVEGILKYDPSTGCLVSSVSPSIEPLLMNVEHKGSDPLPIESELPHLNFEPGCDAYGREHVGKDVLHEVQNEQNAEIDFDMDDGGLFQNSHSTRRSRTLNVPLFEDMPKGINVAREMTCAAKTGTRAERLEHKPVVRDSFSMPQQYRADGETDKIKKNGEQSLPSCSSMTDCSGGSASSDGTFKSFKSQSVNESNTAIVVKAAYKNDTIRFKLLPSVKYEQLLEEIVKRLKLSVGTFQLKYKDDEGDWVILASDADLQECLDILDTTMLHILKLQVQDVLCPTGSSSGSCSMLGL >LPERR11G07930.1 pep chromosome:Lperr_V1.4:11:6921572:6927514:1 gene:LPERR11G07930 transcript:LPERR11G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLLCCFAAITCSLHVQAQDQTTQHHLKSSPHNGAVGRILSEMVNRSDSILSRRTRRIDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIILVGSLSISWIFFAKRNDGYGYVNYFFARFHFWFMIIFIILTVYVIVASAIALCSAVRFHSRANSVKEIIGRTALEATETIFNITEAIEKMQNTSKLYNNNSQAFDHLNSTVEALNSEAVEIQSKAEKNMRLVSKGIKILEAVTILTVTLNLVSVLALLVVRSLRLQKLCYLCISISWILTALIWMYFGLYYFLDEFVGDTCAALEEYQLDPKNSTLGTIIPCSGKLSGSVILHDVGAGIHDIIDQATEEELSSAIDYGKVETYTSSIQNVLDVFPGTQRLVSCDLVRRHAGDERQSVRHLTSSSNSEISESEFAEMHAKKVRVMLRPDQP >LPERR11G07930.2 pep chromosome:Lperr_V1.4:11:6921572:6927514:1 gene:LPERR11G07930 transcript:LPERR11G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDSAITCSLHVQAQDQTTQHHLKSSPHNGAVGRILSEMVNRSDSILSRRTRRIDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIILVGSLSISWIFFAKRNDGYGYVNYFFARFHFWFMIIFIILTVYVIVASAIALCSAVRFHSRANSVKEIIGRTALEATETIFNITEAIEKMQNTSKLYNNNSQAFDHLNSTVEALNSEAVEIQSKAEKNMRLVSKGIKILEAVTILTVTLNLVSVLALLVVRSLRLQKLCYLCISISWILTALIWMYFGLYYFLDEFVGDTCAALEEYQLDPKNSTLGTIIPCSGKLSGSVILHDVGAGIHDIIDQATEEELSSAIDYGKVETYTSSIQNVLDVFPGTQRLVSCDLVRRHAGDERQSVRHLTSSSNSEISESEFAEMHAKKVRVMLRPDQP >LPERR11G07930.3 pep chromosome:Lperr_V1.4:11:6921536:6927514:1 gene:LPERR11G07930 transcript:LPERR11G07930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYCYNAFSLVYQLTATNRRHSARRKLSTFQISSCSFYSYCGFRFETRTNVWNFSDWQFTDMESRRLEQLVFLLCCFAAITCSLHVQAQDQTTQHHLKSSPHNGAVGRILSEMVNRSDSILSRRTRRIDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIILVGSLSISWIFFAKRNDGYGYVNYFFARFHFWFMIIFIILTVYVIVASAIALCSAVRFHSRANSVKEIIGRTALEATETIFNITEAIEKMQNTSKLYNNNSQAFDHLNSTVEALNSEAVEIQSKAEKNMRLVSKGIKILEAVTILTVTLNLVSVLALLVVRSLRLQKLCYLCISISWILTALIWMYFGLYYFLDEFVGDTCAALEEYQLDPKNSTLGTIIPCSGKLSGSVILHDVGAGIHDIIDQATEEELSSAIDYGKVETYTSSIQNVLDVFPGTQRLVSCDLVRRHAGDERQSVRHLTSSSNSEISESEFAEMHAKKVRVMLRPDQP >LPERR11G07930.4 pep chromosome:Lperr_V1.4:11:6921572:6927514:1 gene:LPERR11G07930 transcript:LPERR11G07930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDSAITCSLHVQAQDQTTQHHLKSSPHNGAVGRILSEMVNRSDSILSRRTRRIDPLDGLRKVASAIALCSAVRFHSRANSVKEIIGRTALEATETIFNITEAIEKMQNTSKLYNNNSQAFDHLNSTVEALNSEAVEIQSKAEKNMRLVSKGIKILEAVTILTVTLNLVSVLALLVVRSLRLQKLCYLCISISWILTALIWMYFGLYYFLDEFVGDTCAALEEYQLDPKNSTLGTIIPCSGKLSGSVILHDVGAGIHDIIDQATEEELSSAIDYGKVETYTSSIQNVLDVFPGTQRLVSCDLVRRHAGDERQSVRHLTSSSNSEISESEFAEMHAKKVRVMLRPDQP >LPERR11G07940.1 pep chromosome:Lperr_V1.4:11:6932981:6939557:1 gene:LPERR11G07940 transcript:LPERR11G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHPFLLLLLLVLLSFSLAAAFQSDELLLHDDDEFEGAGARPAPATPPAVSSRRRSADAAGAESGSSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDEKDAFKKLLNEDGFYSIRLLSNVLDSAKKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCQYPRPVKMPSKWIFNSYTVLKTSEQAPRTPSFVDQLIEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEAGGQGQPGAQRAPNAAPRRR >LPERR11G07940.2 pep chromosome:Lperr_V1.4:11:6932924:6939557:1 gene:LPERR11G07940 transcript:LPERR11G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHPFLLLLLLVLLSFSLAAAFQSDELLLHDDDEFEGAGARPAPATPPAVSSRRRSADAAGAESGSSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDEKDAFKKLLNEDGFYSIRLLSNVLDSAKKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCQYPRPVKMPSKWIFNSYTVLKTSEQAPRTPSFVDQLIEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEAGGQGQPGAQRAPNAAPRRR >LPERR11G07950.1 pep chromosome:Lperr_V1.4:11:6943842:6947185:-1 gene:LPERR11G07950 transcript:LPERR11G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKARRPEEEGASVLETLPPIPLAIVIAKAGPRAAAALARASSTLRAAASGESLWRRFCADDFALDAPISPSGDSSLPSFKDAYKAWFQSFGMYPLALVKRVKVFWSSFKTWLCEYFPEGLRTLGDGISEAEIAVAECSLGLQLPMPTKLLYRFCNGQLHFGRDDFSYGVMGGYKICDQRYIVRLLPLAHHKIQNSNCIVVARSPFGEKIFLLDCLSGRLYVGTQYWKNDRETMSCMPKSLIRLTMDDDHGMPQDGLLLWLEEHLRRLQTGLIKVQSCNFPMISKYINLYPVQLPYCSSASMHGIKVRASAVFAPENSALADYPCKLSYCFSIRLSLPEAFVVDGKWYSSFQLQSSHYTIQIGDEVSSHVCKYGDRGKFPLLRCGEELFVYGCAISEALVPGSVTGYLTLVPWRGNKPSGSPLVAKIAPFPLRPPDYIF >LPERR11G07960.1 pep chromosome:Lperr_V1.4:11:6953512:6954896:-1 gene:LPERR11G07960 transcript:LPERR11G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLLTLTSLLLAVAIAGAVESYTDNPSSPPSTATATGGGGIAGPVDESSYNPARLCDNAASPESCVEVLPMIPGLADTPPDYNALAVLLDDHASTTIQAAASLAAGMRAAGATVDKCVASCAAAVDAANSVFAEVRPLTEVERLRRIHVSLAATFRDGGADTPPAYLSGCPEGSIRNAADGAVVARFSYVYAVLDLLEVVLAQVFSNDASVPAAPATAAAASGDENCTPPPPAAAAVKDAGYRGAKQ >LPERR11G07970.1 pep chromosome:Lperr_V1.4:11:6961550:6961924:-1 gene:LPERR11G07970 transcript:LPERR11G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPLLLTLTSSLFLAVAVVVAVAGVVESYTDNPSSTAAATGPVDEHKYSPARLCDKAMYPESCVEVLRMIPGLAETPPDYDALAVLLDGHTWTTLQSAAGMHAAVSQASFIFRLYMCT >LPERR11G07980.1 pep chromosome:Lperr_V1.4:11:6967862:6968743:-1 gene:LPERR11G07980 transcript:LPERR11G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARPLLALTSLLLALAVAVESYSNPPSSASASTASATGAAEEDSFNPKRLCVNATSPELCVEVLPTIPGLGETPPEYRALAVLLDQHAWTTLQSATVLAHQMRAAVPTYVTKARTHGAVGEVDWCVESCAQAVKDVNNVFADLRKLPEMERLRGIHVALAAIFHDGGEDAPLAYTSECPEDSSRKAADAAMFAKFRYLYSVLDLLELVLTKVFAGEENDGSPPPQSYKAL >LPERR11G07990.1 pep chromosome:Lperr_V1.4:11:7045975:7055077:1 gene:LPERR11G07990 transcript:LPERR11G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPVDDAAAAGVHYSALRFEELNINGSMSGEERPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLNKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLISAK >LPERR11G08000.1 pep chromosome:Lperr_V1.4:11:7057719:7062378:-1 gene:LPERR11G08000 transcript:LPERR11G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPEPAGAGLEELEGLALDTVIAKAGASHAVALACASKRLRDAAGDDALWRRFCADDLGLRGDAPLDPDGRPLPSFKDAYKVWMESFGMYPLPLVRRVKNFWASLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPMPTKLLYRFCNGQLPCSEDHGENVRMAPLGIIGGYVFYDHCVNVHLSPLEQIVEETKEFYREYNGQGVSNIKKLLLVATSWYRPKTFLLNCSDGELYVGTINLQDGEMLPCVPKSLIRPTNSDMPQDGLLLWLEEHLRRLQNGMIKTRMRKTSRYISLFPEAAPSCTSAMTNGVKVVRASAVFAPEHPESWQSGAKCMYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDQVVSDVNGEGVIGKYPLLTPGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKSFEVTVAPFPLEMPEYIF >LPERR11G08010.1 pep chromosome:Lperr_V1.4:11:7072361:7075855:-1 gene:LPERR11G08010 transcript:LPERR11G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKKPALRRPETMINDVKKLSSSLNISTSSSSLRSSTASTVRFAPTPTTMSSSSSSAASAAAKRRDYALSQQKKQTAARPATARPASVSGPRRRSLHGGGSPELGGPKGLGRSWGWGMSTGGGGGGDVDGRRPGDAGGGGGVVKAQVRSSSVPRRTPTDQVKGQQQKREIKTKNTSKAMSNSGSPPKSDLSMERRSPSSNARKNTEKVPNNVSLNNMVRASPPRKTTPATMGASWESLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRCLSAFADLAAAASEQSPQQTVDEFLALQSTLSRFPSSAAASAWLRAAVAADLAPFSLYSSSSAAAVSEETTETSQTTWVGEAAREVGEEAQGWFVCHVERLIDADAAGTLGQLKRVNDWLDEVNAAGGDGERGTAAAVERLRRKIFGYLLDHVESAVVALNGAADRRR >LPERR11G08020.1 pep chromosome:Lperr_V1.4:11:7077910:7084968:1 gene:LPERR11G08020 transcript:LPERR11G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPVLAPLAGAAAVGFLLFKFATVDGDFTLVSRGGPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEELERVKHSIIRVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIEAPQSSAAASSSQAQSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKIGAKRLDVAAKKGNAYGWNLLFGGKKSA >LPERR11G08030.1 pep chromosome:Lperr_V1.4:11:7083497:7089226:-1 gene:LPERR11G08030 transcript:LPERR11G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSETPPAPPSPGTGGVPLAPATLVALLRFVLSSHLAAPDPALPLSPSFCSRLLDDGGDLLERLAADLARCVEKGRLLLPVASPAAAEGIPAGEVGPEEMEKEREWEGVVLEKGGELKRMYDDVVFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRIAEGSLLLFNKCLLLNVQAVRKYGSFSEMLRTEMISEVLPGISSIEEGVGVYRKFYTEEKESLYGVLAISVSKPTDQPYITMTELLAGLGSDGLGRLLGMAKTAGTVQDGLPPSRSVLISSCMKLHQPNVNGCSLTDAARALAKHVHRCSDGWWGSFHGSDVKKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVREGYGARWSQDGSKEFVFR >LPERR11G08040.1 pep chromosome:Lperr_V1.4:11:7092431:7092757:-1 gene:LPERR11G08040 transcript:LPERR11G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIAGNPSKQPEIPIQESEGDYLHEWPAAAASCAACGDGGELRHAEVRTPERLLDEQAPGAGEGEGRGPRRVPIDFLSGR >LPERR11G08050.1 pep chromosome:Lperr_V1.4:11:7093375:7099851:1 gene:LPERR11G08050 transcript:LPERR11G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERFTSMSWGAAARGLQTATGFVTGVNTWAEFFNCVSSAISSALSWISIHQKPDNNYVHQMQEEMQRLQSDLWHLQTTLPKMHNLVDRLEWQIYKKPAAELLPHIKDDVLDAEDVIDEFNYYELKAKIEGRMEECQTSSGCQEFYAIVIQGSFNRVKEIQTKLDHLHCQFIDLGLQCAAQKFDKFVRPETSSFLNESEVFGRQKEEKMMLELLGVPVQDNTGYKRKRSSRVEVLPIVGLGGVGKTTLAQKICKNQRVKAHFDMTLWACVSDDFNSKRLTKEMTSGMMSWRMVDKNGKGFVHRCQMLFKEA >LPERR11G08050.2 pep chromosome:Lperr_V1.4:11:7093375:7099218:1 gene:LPERR11G08050 transcript:LPERR11G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERFTSMSWGAAARGLQTATGFVTGVNTWAEFFNCVSSAISSALSWISIHQKPDNNYVHQMQEEMQRLQSDLWHLQTTLPKMHNLVDRLEWQIYKKPAAELLPHIKDDVLDAEDVIDEFNYYELKAKIEGRMEECQTSSGCQEFYAIVIQGSFNRVKEIQTKLDHLHCQFIDLGLQCAAQKFDKFVRPETSSFLNESEVFGRQKEEKMMLELLGVPVQDNTGYKRKRSSRVEVLPIVGLGGVGKTTLAQKICKNQRVKAHFDMTLWACVSDDFNSKRLTKEMTSGMMSWRMVDKNGKGFVHRCQMLFKEA >LPERR11G08050.3 pep chromosome:Lperr_V1.4:11:7093375:7099218:1 gene:LPERR11G08050 transcript:LPERR11G08050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQERFTSMSWGAAARGLQTATGFVTGVNTWAEFFNCVSSAISSALSWISIHQKPDNNYVHQMQEEMQRLQSDLWHLQTTLPKMHNLVDRLEWQIYKKPAAELLPHIKDDVLDAEDVIDEFNYYELKAKIEGRMEECQTSSGCQEFYAIVIQGSFNRVKEIQTKLDHLHCQFIDLGLQCAAQKFDKFVRPETSSFLNESEVFGRQKEEKMMLELLGVPVQDNTGYKRKRSSRVEVLPIVGLGGVGKTTLAQKICKNQRVKAHFDMTLWACVSDDFNSKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDVWDDVMADGGQEWQRFCAPLSNALQGSMILITT >LPERR11G08050.4 pep chromosome:Lperr_V1.4:11:7095718:7096936:1 gene:LPERR11G08050 transcript:LPERR11G08050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFPLEGLNEDVFWDFFIVQAFGTENLCNYLDLEDIGRSIILKLKGSPLAAKTIGRLLQTNADYTLDELWKLEQERTDILPALRLSYMYLPPHLKRCFSFCAVYPKDYMFEKNTLVDIWLAEGFVEHTGSIPVVTVGQQYFEDLVSRSFFQKVSVTCDKYVIHDLMHDMAQLVSQDECFIIRDANDLRTIPPNVRHLSIFIKRNIRYHDLMGLCRYKKLRTLLCSKAFKHKEFVSVLGSWFKELQHIRVLSYSLPMLEDIPESIRNLKLVGYICFFSQHTFSILPSSFCCLYNLQILDASTCVFKSLPCDFGKLISLRKLRAKNFSYLQGEDLRERIKVLKHINQIEGNLLVNLPGLKSRKNIGHALLKMENNLYSLHTSRLAASRSSTFRGH >LPERR11G08060.1 pep chromosome:Lperr_V1.4:11:7100003:7106372:1 gene:LPERR11G08060 transcript:LPERR11G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDARYEVPRTADFIRSRAYTRVALQFPDEMLRDAAAVARALRRELAGGGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKMPLDTNACGRSLLECSRESDKHILVLYGLEYAHAIDDLKAVVAELYKSHSGSVEVQYADVLCSVMSPSSAAEREHDQSDGNTHSNDVSIQSDGVATFVNNCCNVEGSKRKYNLGGLTWSTPIDDNMEDYVLYWIGQDNSAFANIVLTFNKCDIVRYDTVANQPSRDVSHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKYLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFTRGREWTGEYLLDFKDLITTEKPEISNKTEEARFSFIKGGYVEDSCTEGKEEQSETSLALAEVTEKALSIRNLNNDAVLYQGGANSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNHETTKSAQ >LPERR11G08060.2 pep chromosome:Lperr_V1.4:11:7100003:7106372:1 gene:LPERR11G08060 transcript:LPERR11G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDARYEVPRTADFIRSRAYTRVALQFPDEMLRDAAAVARALRRELAGGGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKMPLDTNACGRSLLECSRESDKHILVLYGLEYAHAIDDLKAVVAELYKSHSGSVEVQYADVLCSVMSPSSAAEREHDQSDGNTHSNDVSIQSDGVATFVNNCCNVEGSKRKYNLGGLTWSTPIDDNMEDYVYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKYLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFTRGREWTGEYLLDFKDLITTEKPEISNKTEEARFSFIKGGYVEDSCTEGKEEQSETSLALAEVTEKALSIRNLNNDAVLYQGGANSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNHETTKSAQ >LPERR11G08070.1 pep chromosome:Lperr_V1.4:11:7108124:7121849:1 gene:LPERR11G08070 transcript:LPERR11G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEGGIMAKEKDRETVVMCMRVAKGRGVWGKAGKLASRHMAKPRVLAITTKAKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGGIPKVVGMDIVEMAMWAKENTPVKVTQVSTKDGPIESVVGEADSQVAVEKDLVSQAEEEDIEALLGTYVIAIGEAEAFSERMKRELVALESANVYALMETESVVEEIEWRNNRLELQSDSNVALIDELDKLLVFLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNLDPVYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSNELRAGSKASKSSTPLFEGPASANQPINITDTSADAYCKMITVFIPLLVDESSFFAHFMCFDVSALAPSDESDNKNPVAEGKSTSLSISEPSGSSAKSINSTAELGVLNQCLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQVGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKIYPSETVISVNEMRDTLASL >LPERR11G08070.2 pep chromosome:Lperr_V1.4:11:7108124:7124155:1 gene:LPERR11G08070 transcript:LPERR11G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEGGIMAKEKDRETVVMCMRVAKGRGVWGKAGKLASRHMAKPRVLAITTKAKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGGIPKVVGMDIVEMAMWAKENTPVKVTQVSTKDGPIESVVGEADSQVAVEKDLVSQAEEEDIEALLGTYVIAIGEAEAFSERMKRELVALESANVYALMETESVVEEIEWRNNRLELQSDSNVALIDELDKLLVFLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNLDPVYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSNELRAGSKASKSSTPLFEGPASANQPINITDTSADAYCKMITVFIPLLVDESSFFAHFMCFDVSALAPSDESDNKNPVAEGKSTSLSISEPSGSSAKSINSTAELGVLNQCLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQVGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKIYPSETVISVNEMRDTLASL >LPERR11G08070.3 pep chromosome:Lperr_V1.4:11:7108124:7121176:1 gene:LPERR11G08070 transcript:LPERR11G08070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEGGIMAKEKDRETVVMCMRVAKGRGVWGKAGKLASRHMAKPRVLAITTKAKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGGIPKVVGMDIVEMAMWAKENTPVKVTQVSTKDGPIESVVGEADSQVAVEKDLVSQAEEEDIEALLGTYVIAIGEAEAFSERMKRELVALESANVYALMETESVVEEIEWRNNRLELQSDSNVALIDELDKLLVFLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNLDPVYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSNELRAGSKASKSSTPLFEGPASANQPINITDTSADAYCKMITVFIPLLVDESSFFAHFMCFDVSALAPSDESDNKNPVAEGKSTSLSISEPSGSSAKSINSTAELGVLNQCLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQVGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKIYPSETGWGRLGHQKRRGNRVLVESNKVII >LPERR11G08070.4 pep chromosome:Lperr_V1.4:11:7108124:7121176:1 gene:LPERR11G08070 transcript:LPERR11G08070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEGGIMAKEKDRETVVMCMRVAKGRGVWGKAGKLASRHMAKPRVLAITTKAKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGGIPKVVGMDIVEMAMWAKENTPVKVTQVSTKDGPIESVVGEADSQVAVEKDLVSQAEEEDIEALLGTYVIAIGEAEAFSERMKRELVALESANVYALMETESVVEEIEWRNNRLELQSDSNVALIDELDKLLVFLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNLDPVYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSNELRAGSKASKSSTPLFEGPASANQPINITDTSADAYCKMITVFIPLLVDESSFFAHFMCFDVSALAPSDESDNKNPVAEGKINSTAELGVLNQCLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQVGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKIYPSETGWGRLGHQKRRGNRVLVESNKVII >LPERR11G08080.1 pep chromosome:Lperr_V1.4:11:7121799:7126089:-1 gene:LPERR11G08080 transcript:LPERR11G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEELDVQLKAWVNEVQELAYDIEDSIDAFMAHVEQNPDVPLGIKGFMSTKIQKLKKLLCHRKFVGKFLDFKNRVVEANERRRRYEVDECTSITTTSDLIVDPRLPALYSGFDELVGIGGPMDCIIKLLANQADSDSSTLQLKVVSIVGCGETRHGEDLNGFATSSTGDWYLIIIDDIWSISDWNIVKSAFPDNNLRSRIIATTRIMDVAKSCSYNLQCYVHTIQPLNHQDSSRLFIKRIFPSGCGIPQHLKEVSNAILKRCGGLPLAILIIAGLLASKSTRKDEWEAVHNSVGSEMDQNHTLKGFRKILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRENLVWKWIAEGFIRKEHGKRRDQVAERYFNDLINRNMIQAVGVRYSGNIYGCRVHDLVLDIIKSLSAEINFVTVMDNKSDRSFPMKIRRLSLQASNLEDQEMQKLVSSQSHIRSFIMFSDFKQAPDLVNLYALRVLDLSKCSCLENSHIKCLISLFQLRYLSLPCRITELPEQIGNLQHLEVLNISCCQIKRLPESIVKLGKLMCLHVASGVKLPDEIGRMQTLQELESISIPCNSVKLVEEIGKLTRLRRLAVETGITVELGDQEVRYREMLVSSLRLHIKNYIYLVPKWTKMLSSLVRLCLSVTGIEEGDIHVLKGIPTLLSLRLELKKPPQQRLVIGSEGFKYLNELQFFCSYSAMPLRFAPGAMPELHRLRLEFQARETMSMYGDYDFGIQHLSGIRDIRVEIKAEIGCFSRDTDIESKAAKDAITGACIIHPNCPVFNVQLSVTMIYIPKERAQLIGLQSATNEVNGGMEGTFIAYGNTISYRPS >LPERR11G08090.1 pep chromosome:Lperr_V1.4:11:7133773:7134653:1 gene:LPERR11G08090 transcript:LPERR11G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETYRLRYRETVMPLLSELENEEDSQTSLLLAEVTKKTLSIRNQNDDAILHQGGAKSAIDYLKARSYRGLTGEYEDPAPDSVLTGRTGRAAGHNNNKITIGVPPLPLPPLSVHPHGQHGR >LPERR11G08100.1 pep chromosome:Lperr_V1.4:11:7138660:7142295:1 gene:LPERR11G08100 transcript:LPERR11G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSVSTGVMESLLCKLSSMLEKEYAKKKAIEKDVLFLRNELSSMNAVMQKYAILNEPDLQVKTWMKEVREVAYDIEDIIDAFMARNEKFLEPTGIRGFIINNILKLKELLSSRTISQEIEELKNQVLEVNDRRKRYKLDVSLSMASSESIDPRLPAFYSEVGGLVGIDGPRDKIIELLREEAPDEDGGFVNQLKIVSITGFGGLGKTTLAKQVYQKIKWQFDCTAFVFVSQMPDIKRILLDLVSGLGFSGNMLDDERQLIDRIREFLHDKRYFIVIDDIWSISAWEILKCVLPGNNSGSRVIITTRNLDIAMICCSTFKGSIYRITPLSENDSRRLFYKRIFHGGHSCPTHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLYSLGSALENSHTLKGLKKILLLSFYDLPPHLKTCLLYLSIYPEDYMINSRELIRKWIAEGFIAEDRCKRLDQVGQSYFNDLINRSMILPMDNIYDGGGQYCQVHDLVLNIIRTISEEDNFVTIIDGHKSSSFPEKIRRLYLQFNDSKDAAMPDNITNKNCIRSFGIFGFEFIKQVPNFTGLQSLRVLDLGYCTLLENHHIECLGSMLQLRYLVLHSRNVTELPDQIGNLQHLEMLDVTLCSIQELPETIVQLQKLVFLYVSITTIIPERIGTMQCLEELCHISSNSIRLIGDLKCLTKLRDLSIAVGDPVGTETYRLQYRETLLSSLSELGRNNLQSLTLNYKGNENFILDSSMGSCFSTRCLRKFIIWRTLSRVPEWMSIFDNLIHLEMSISRMEKSDINILKGISTLCFLRLVFTGHAPNGRIIIDNQGFPALKELFLLCFIPGVWPLFAPGAMQKLQKYHLTFKLQKLKCNGGVLDFGLQHLSRLQHMSVVIIPSGSTCDDTLVAENAIRSATSIHLNQPTVEIFVQ >LPERR11G08110.1 pep chromosome:Lperr_V1.4:11:7143433:7147523:-1 gene:LPERR11G08110 transcript:LPERR11G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQPLTSPPAAATNPHKRKKPAAAGRGKGRGKGTKQIGRHGKRQKGADELSEDHRRSRKPSDRFLKLLRKRARDYNSDDEDEDEELRLSDSDEEEEASVSGNEESGGGGVTRFEEGCRAFRVAFQKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAGEGKPKGEAHREKRLAAEKGHVIPKDHLDSKDKELCKIATHGVVKLFNAVAKAQNSRKGLNPSRTKDAKVLAKETKQTFLTELNKSSNQSQKGKASSNFSKHVSKDEDEPGWAPLRDTYMLGSKLKDWDKAQASDVANEQTEIPLDDDSSEEE >LPERR11G08120.1 pep chromosome:Lperr_V1.4:11:7148780:7152225:-1 gene:LPERR11G08120 transcript:LPERR11G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQAAASSSSVMQLSTFTKVTSIPRNQKMSDMKVTVKLAVPEMAVPHHAAVDIVAVIDVSGSMKDQVPGSNKNMLDLVKEAMENVVENLAGADNRLAIVAFDNSIKKTQDLQRMTGEGQRKSLDMVKSLAPQGRTNFAKALERAPQFLNARSVEEKNCLAFIIFFSDGDAGLKAENINPNYPIHAFGFSAAKPEALELMANVNSGSYTLIDKDHLNKIPENACGVTVTKVEPENAATVVGDSFKCNITPDGRAGEIFLGALSSGKVREVIVHLDVPKGGIGAGADDDEWKLVAFGSNYKQDGKIVEGNNCIVAVVRPSCKELDWIEERLKYWCKVKVDLSAMYDKAETEAEKPKCGKVTEALREASDEAIDLAMHRDIYTAVLHAIKMRQCSGDKA >LPERR11G08130.1 pep chromosome:Lperr_V1.4:11:7164591:7170663:1 gene:LPERR11G08130 transcript:LPERR11G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAPAASVLQLSTFTKGQSITRPDKYSDFPVTVGLVAPPKDQPVRGPVDVVVTVDVSMSIDKERMEFHKAAAGLVVDSLISGDSLAVVPFDDMVSSHEEELVKMSDNGKDREEIRRSVKELRVTPGGGTNLASALKRAEKILTEQGTDDRAAFIVLLSDGGDREILKPKVWDRSNPNHPRHPVHTFGFAGHNPDTLRHVASKTRGTYTPIVADSATGGDLLRNFAAGLAGILTRATSQPFSANAVRVDLAAEHPGVLISRVESGDGEAIVSIGGDRRSGAVEVGGEVGAGEAREFVVYLDVPEGGDGDGDMDLVSVGGAYTQGWNGRRVELGRAVVSMVRPAPPPCPCDVEHWFRVKREGREKAMEEMAAELAATGDDGVECECGRWQFAAVLREAKS >LPERR11G08140.1 pep chromosome:Lperr_V1.4:11:7170639:7185806:-1 gene:LPERR11G08140 transcript:LPERR11G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDDGEGLPERAPVGMEEEEEEEEEEEEPNGWEEGRGEDGEEGEEEEEEEGYEFGDADEAMQCVEMAEQSGRDAVVQDYEVLAARKRKALAEERTERDASSKKPRQDDLSDAQAATVFDQLMEGFGLRRKRRSKDAKKRGRRKGTRNKYSPEVIKKLGDATLLFTDNKFEEAIPILHEVVRIAPNMSNSYHLLGSIYKERGELDKAINFLMLAAYVSPKDAFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRAFCDYQKAAEIYEQIVGIYPSNVVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSSLLDLLISLHLRNNAHNEALRQIERAHLVFGSQHKLPVQLQAKAVICHAYLGDMNHAEVFLQNVHLERSKDNTDVIKEVASTLEHLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGECYMVTGEKGKAIPYFHKALQRMEDNVDVRITLSSLLVDEDKSDEAIILLSPPANSGSQSATDQPQPWWLDGKVKMHLAKLYYNKGMLKDFVGTILIPVLETLNIEHANRKVRKSKKLPTNVLYERAKVLAEQRPESVFQGLRPIASPAELQKANRAKKLLEKRAASNEDMIKDDLQRSKQIPPISGLLSNAENHQLVLHLCQTLALLHQYWEALQVINRTLKLGNDTLSDENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPDNPLINLCVGSALINLALGFRLQNKNQCIVQAFAFLYRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAIEVKDYPIPRLPYEENSCVQQDLKPGYCDVRREAAFNLHLIYKKSGANDLARRILRTYCTI >LPERR11G08150.1 pep chromosome:Lperr_V1.4:11:7186608:7188611:-1 gene:LPERR11G08150 transcript:LPERR11G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIKEWMGLPVPVGVVWRVESVFLPGREPPNVSIHLRREFLVTYSREATTQPKHFGLLDCS >LPERR11G08160.1 pep chromosome:Lperr_V1.4:11:7188678:7189484:1 gene:LPERR11G08160 transcript:LPERR11G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLHHHHSFLSSSIHEGSPSILLKLTTNTNTSISFKLFSNTTSSSVTTASSPNSSAPTPVTPTPETTSPPPPSLELLSSQLAERDYRQADKTTRALLIELAGESARRRGYVFFSEVQFISTEDLQAIDALWREHSDGKFGYSVQRRLWEKSRRDFTRFFIKVGWMKKLDTEVEQFNYRAFPDEFMWELNDETPEGHLPLTNALRGTQLLGNIFTHPAFEEDQEEEAEENETPATTGQSKDDSKGKERPKFMGDFKPDYSF >LPERR11G08170.1 pep chromosome:Lperr_V1.4:11:7189968:7195332:-1 gene:LPERR11G08170 transcript:LPERR11G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTANAAGLPLLPRIVCAAFAFSAILPGLVAGIRKDIGLAAPIMCRSTTKEIDVLKLKVAKPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADYGSGPRLDGINISIGSLQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGNKWR >LPERR11G08180.1 pep chromosome:Lperr_V1.4:11:7202050:7211808:1 gene:LPERR11G08180 transcript:LPERR11G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLARAALFSSSMAMPTTRSSSSRVPRASRAELNPGVKEVKREPGVSFDLSKPEAVASVKRKNVKRVLEVTEENLKKEVDIVPAIEDFRYGKVSPSLIRLEKKVRASSVIKVGAPENWEAILTGIKSMRLSGEAPVDTKGCEKAAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKIRPS >LPERR11G08190.1 pep chromosome:Lperr_V1.4:11:7208966:7211393:-1 gene:LPERR11G08190 transcript:LPERR11G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPMQGLDKVSTRGLRGREVPQECLKRVAASKDQLSLGPACIPTVVTSYRPPILQACGCQRTNAPPLTSQPHNILSPAPPRPQPSTVARGHNDHPLLHIGLDHDHDLLPLLVLTSPTLLPATPGRAPGNESRKEKVHTLVGAGVAEGAIDAATILNPALARGELQYYQRFTNYDTFWHVLKEPTTIDEYRKHIEKDPALERRFQPVKVLSQRLMRP >LPERR11G08200.1 pep chromosome:Lperr_V1.4:11:7212545:7213979:-1 gene:LPERR11G08200 transcript:LPERR11G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRWPECGYNPSSYKTGRLDPVVGRQNQIDRVVQILGRQAKNNPCLTGEPGVGKTAIAEGLARRIALGNVPETIDGKTLYVPSIS >LPERR11G08210.1 pep chromosome:Lperr_V1.4:11:7217246:7218260:-1 gene:LPERR11G08210 transcript:LPERR11G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDARVLRFVEVAKMTGRVPVEIGFTPAAKIRRGGEDDRESARRDRVHAGAEERGEGVQKSKPSHFSSIELPCIVYVTTMLDLIICCLG >LPERR11G08220.1 pep chromosome:Lperr_V1.4:11:7220218:7221325:-1 gene:LPERR11G08220 transcript:LPERR11G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAASSPCQRRIRRPPCSRHCPDDDHKRRERTLLKPLPSLRSIAGGMRPRSPRRRHRADPPRSAPLGVGVREIRSVEVEKIEDREDAHRGRIHAGGEEASEEAWRKQTTP >LPERR11G08230.1 pep chromosome:Lperr_V1.4:11:7233418:7233807:-1 gene:LPERR11G08230 transcript:LPERR11G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDNSQNHQGNQSCGRDGGGFREEEEEEYYRNMGRLDDGRPGFQAGSGFGAQRNTGNMHHMFGLNRRGFRPRGGKGFGARHGGFVGRHGHGSHGGGALLGQGNGGAASSGRFERGGDAGSYLHSVDD >LPERR11G08240.1 pep chromosome:Lperr_V1.4:11:7260044:7268083:1 gene:LPERR11G08240 transcript:LPERR11G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALAASSSLPANSPSRSRRNHLSSSSSLRLPPPPIPAIPPPAAAPILPASEIPLPPHCNPPPPALYRRQAPPRVRRPPQPPSRGFEATTVWLGKRGSEREWWGWLGRFSVLGVQSLSRMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSTRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADLYFKNIANVDREPSAQPVTKLEFEFERRRVTKEDTRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDNRPQSTANITDNFSKCALGDNTQIIQQDSASVIANRVPQGGPARPGKVVGSVLRYGNCSTSTAEQYEHRRTTRNPALATNNVSPRGTYPRRNPSCKTETGDSERIDVSQAGQPKPYIPNKLPTTVDGRSGQW >LPERR11G08240.2 pep chromosome:Lperr_V1.4:11:7260044:7268083:1 gene:LPERR11G08240 transcript:LPERR11G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALAASSSLPANSPSRSRRNHLSSSSSLRLPPPPIPAIPPPAAAPILPASEIPLPPHCNPPPPALYRRQAPPRVRRPPQPPSRGFEATTVWLGKRGSEREWWGWLGRFSVLGVQSLSRMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSTRRKKPIPFTQKFPNADPLALHLYFKNIANVDREPSAQPVTKLEFEFERRRVTKEDTRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDNRPQSTANITDNFSKCALGDNTQIIQQDSASVIANRVPQGGPARPGKVVGSVLRYGNCSTSTAEQYEHRRTTRNPALATNNVSPRGTYPRRNPSCKTETGDSERIDVSQAGQPKPYIPNKLPTTVDGRSGQW >LPERR11G08250.1 pep chromosome:Lperr_V1.4:11:7289431:7299590:-1 gene:LPERR11G08250 transcript:LPERR11G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFIRDAERKRRLASDDFTRVWVRQTRDVAFEAEDALDDFFHKVDLETQGYHGWKNWHKYLTSCGTQITVRHDLSGKINRIKSRLDQISENHKEFKIEHTPSSTWTSSITELAAWNNFGEHLVGFDSYVNTLENQIVHHGVTPQQTFISILGETGIGKSTLMIKIFNKISREYKGLFKKLIMYTSPPNSSANDYLKEIYKRALDTDPPEGEDIDITKKLRSILHNKRYLLILGGINSITVLNCVKASLPDNRNGSRVVLILEPESQDVAKHAHTLNEIAGAQRKRISESIIKLSRLNESQTEELFCHRVYGDNSCKPKGYKVSYNEQIFKITGGHPLAIVVLAGLLRSKEMPVEWDSMLQQLMPVVEAGEHQSNNIAGVLLTKEKPIEWDALLQQLIPSTDAKLSNRMVIERIFSTSFDDLPHDLKSCFLYFAAYPANIQHPADQIMRMWIAEGFIKPEKGRSMEDLAQEYLKELVSRCLVEVKWWNECDKIELVQVHNRLLRFLQSEAQEASFIQIHDNTDVLAPTAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATVDIKDGSKKYLKKEPLKFLYGSKFLRVISIGGISLSELPNEIGDMIHLRYLGVTCPELENLPLNIAKLLNLQTLDIRNSKVKTIAPKFWRIKTLRHVIAKQLQLLLPNSVGNLNNLQTLHGVTPAEKWRGPTCPLEMMPKLQSLELHGFIDADHGIAMERALPNLKLLGHLKLIGDKIPSSVFTAPGLRCLDSLVLQGIVKWPETSSNIRNQSAEDMLGICELRPNLAVLKLNNVSKELYEFIKKIMPHILYEGPTQTDV >LPERR11G08260.1 pep chromosome:Lperr_V1.4:11:7291136:7297427:1 gene:LPERR11G08260 transcript:LPERR11G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLLPFRLSGKLAFTQFSTVIEFMPPRINRYLLLCRIERSFLVISISSPSGGSRALANTCLPQYGNECLLWSNTMMNNLIFESIHITIKPHQMFPEVVVEPMLGQTG >LPERR11G08270.1 pep chromosome:Lperr_V1.4:11:7302492:7303202:1 gene:LPERR11G08270 transcript:LPERR11G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCELSLKDGMFFLTIAGDGDGDGENYLTTEEFIAELEQKLKEIRGRCRPSSKGLVTIFAGSIRDGGGDGAVDYDTAAYRTAEAVRALFDMPIPTAAAVAGDVRSSLALSLVLAHDDMCVRDEAVFEVPDVLRDGRRLSHTYLLAALLRDKASYSYPMTVSGMVLQSKAMKGSDLSYWEWTECVGDSRESVTARATSIVGTSIGKVRDGKAYVATRKSFFPESWKAVSEFLGDKP >LPERR11G08280.1 pep chromosome:Lperr_V1.4:11:7317234:7318109:-1 gene:LPERR11G08280 transcript:LPERR11G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCRTSSIAISCDSAFIRSTFADITSASAQGNRPYFPPYAMLDLLLRRLAPRTPLLRRRIIPVDKGLLPGKQTPPTSASNLKIWVRLLCPTGKAGCNDGIVLAPSCLADQVTNSKLQ >LPERR11G08290.1 pep chromosome:Lperr_V1.4:11:7319275:7320018:1 gene:LPERR11G08290 transcript:LPERR11G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCEWVKTDGIYHLKLTGVDGHHYLTMEALRELKLKLAEIRKLASSEQPPCRGLITSSSSTTDDTGSFCEGIDYKSLRANMAAPVAEQAKALGDGLAAVVKELLAMPMPTVCAATGVAASLGLALAMAHDDLAVLGDAYYRLGNVEDGGVAVPPHVAALVREKTERWYTLTTLKSRWRSGGWMKGWRFADAAADTRDGVVREAERLVGEWWAAGDGAVNGEVHAEMRRQLYRESWEAVCAVVPDE >LPERR11G08300.1 pep chromosome:Lperr_V1.4:11:7321034:7323705:1 gene:LPERR11G08300 transcript:LPERR11G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTVSSPIEGIFVVTMANSDGHQYLTDDAITDLIAALTRVRDTPGLRGLVTTSSHGSFCDGLDHDAHAADQAAAPLLASRVGEAVRLLLEMPAPTAAAVSGDATSLGLAIALAHDSLVVWEDAAVGFPEARHGRRLPAYAAALLRDKVAYARLRKLLMMRAQPCTGRELVGTWYSANSPASADRDMVAAEACELLEGIEFGDGHSYAKVRQAMWPQSCAAVGMDTALPPPPSRPPPRDKDREEYEASDLHQNRLAKNMRNIYKTYGQQY >LPERR11G08310.1 pep chromosome:Lperr_V1.4:11:7347564:7352762:1 gene:LPERR11G08310 transcript:LPERR11G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSAVRSKPELVVPARVTPHELKHLSDIDNQQSLRFYATFVEFFQLSDSINAHKPQDSAKAIKSALAEALVYYYPLAGRLTELPDGKLVVDCTAEGVVFVEADADVRLEELGQPLLPPYPCVKQFLCDPGDTKVVVGKPLFFVQFKTEIICRNYIRTYKYLSLKSTNSYKVTRLKCGGFVVGFHICHNINDGFGMFHFIRAIADIARGEASPTIFPLWNRELLTSMCPSQGIRHKHLAYEPLHDGSQANDIMQSTPSDAMVGQYFLFGPAEISAIRSHVPAYLRQSTIFELISAAIWKCRTAALETMVSELCGNPLGYAVELVRKAKFDVNDEYVESTVDMMASRKWPSLIADRTYVVSDITTIGEDKVDFGWAKRIGGGVPMEGDILSKLLSYYMKCKNADGEDCVVVPMYLPSITMDKFSTEISVWSKKQGHKFPVI >LPERR11G08320.1 pep chromosome:Lperr_V1.4:11:7398718:7405875:-1 gene:LPERR11G08320 transcript:LPERR11G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKVQMHRTWAAAEDQVVPLKGSSADGDRPGAAGEEDSLKRRSGSKRKAEEEPVVEEAPPADVLPVGDLPAAATTEAAATGAVETAEPMLAASGDSAANWTMNPHLYPPEYPDGIPMEEYYNRRDPGDLVVDEYSDYDDDDDDEDIEMIMVTHNIFLFQLIFIAENTFCICSDYSAPGMIMKVFMEQQYHWK >LPERR11G08330.1 pep chromosome:Lperr_V1.4:11:7414709:7415440:-1 gene:LPERR11G08330 transcript:LPERR11G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVFPGAGKLAAVAIPLRAPLTVHDATGDETPSCFADSLASSSPRSSRRLGRRRRPLGLPSPPANTVVAGTVPTPSCPASVLVSFLESHYNSCMHRPAQIEPLALHAPATRAHPPPASPSALIPATVGHGTATHISV >LPERR11G08340.1 pep chromosome:Lperr_V1.4:11:7441111:7446219:-1 gene:LPERR11G08340 transcript:LPERR11G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTTSQQQNSMGAWHRGRMWASSPSFRRHLFLLRSLSPSPPPPSAAALLPHAVAGGSRCCSSSSLSSSSVRVHAMAGPPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESVSSRILTEVSKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEHNSLAILTPNVYEYKRLVQKALNCEVNEENASEQLTALCQKIGGITIMRKGKADLISDGKTVTQVSTFGSPRRCGGQGDILAGSVAVFASWAQHFLLSNEHPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNRRSTVTTDIIEFLGKSSN >LPERR11G08350.1 pep chromosome:Lperr_V1.4:11:7464035:7464691:1 gene:LPERR11G08350 transcript:LPERR11G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVLLVCCFSLLPLARARLLLAVKKSPNDKEFSIRGGNGAGGGRGFGVSISHGGHDTSIGIGGGIGGGAGTSRAGGASVGGGAGAGVGVEVGHGGVDVGIGGGGGAAVNGGGVHVGGGGGGGLGVHIGRGGVSISTGGGGGAGGGESAGSSNGGGFGGGSGVGHAGNAVGGGGGSGSAIGSSGSGGGNGVGSANAATGGGSGSGGGQG >LPERR11G08360.1 pep chromosome:Lperr_V1.4:11:7480972:7483349:1 gene:LPERR11G08360 transcript:LPERR11G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTSDSDSDLDAAAPATPPPAPAPPRRRRQRTRRPRAHRRATTATPSEGVDDEGADAEDVWRGLQRDAALAAAWPRRALSRPVVVAGEDDGAVVGGEGGGVGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLVDDHHQHHSTTPEKKKVEAEAEVEAEAAAALAPASPAQQPIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >LPERR11G08370.1 pep chromosome:Lperr_V1.4:11:7506974:7512051:1 gene:LPERR11G08370 transcript:LPERR11G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGKPVDTKKHGGVRASIFIHMLVWLSNVANIGNMTNIVSYLSGKMNMGVAAASTTSTSFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIQILGYILLAFQAHLPSLHPTPCTAQASPQSCSPVHGSNLSLLQLGMYLICVGEGAVRACLPALGGDQFDEADPREQRQAASFFNWYTFAVSLGAFFGLVFVVWVQDSKGWDVGFGVCAAIVLLGLVVWAAGIPSYRNKVPAGSPITRIIQVLVVAFKKRNLQLPENPDELYQPTDDDSAAGHEILQRTPSLKCLDKAAIILHHGTTATSSNGGAWSLCSVSQVEETKIILRMVPIFLTAALGYMPVSVILTFTVQQGNTMDTRLGRIRVSPATLFVIPTVFQMAILVVYDRAVVPALRRITGRVGGVTHLQRIGVGFVSAMAASAVAALVETKRKNVADQYHGGASAAMLPMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASEGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRGGDGGWLDGANLNGSKLDRFYWLVTAIEMVGFVAYLLFAWMYVYRNDQRVAAGGGELEEKKASSVDALGEI >LPERR11G08380.1 pep chromosome:Lperr_V1.4:11:7516054:7520341:1 gene:LPERR11G08380 transcript:LPERR11G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLLSNSANIANIMNLVSYLRGTMHMGVAEASTTSSNYFAALQMFSIPAAFLADSYIRRFYAVLLFAPIEIIGYILLAVQASTPSLHPPPCNPAATAPNTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLNDPNEQRQEASFYNWYTFAVSSGGFVGLVFIVWVQNSKGWGIGFAVCAAFVTLGLLVWAGAFPLYRNQLPTGSPITRILQVLVAAFKKRNVQLPENPSELKQINQDDDANVLEILPKTDGFRCLEKAAVQTSNDTGTAWSLCSVTQVEETKIVLRMAPIFPAAILSYIPVPLILTLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMAILIVYDRAIVPPLRRLTGYVGGVTHLQRIGVGFVAAAVATAVAAVVETRRKVAAEENGMMDSNTGIPMSVFWLTPQFFLLGIVDVTSFVGLLEFFCSEASMGMKAIGSSIFYCILGVSAWLGSLLIQVTNRVTRRAGDGNGGWLDGANLNKGKLDRFYGLLCIIEIVALVIYVFLARSYVYRNDQRVVVEGERKDERGNGVDVI >LPERR11G08390.1 pep chromosome:Lperr_V1.4:11:7522913:7524461:1 gene:LPERR11G08390 transcript:LPERR11G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKIFLLLHFLTLSVEPTTEEIDKILIVKSVQSADGQTYACVNFESQPSLRHPLLMDQTSQLNQTISIPQSVYGDKGYMPYISNVEVSNIECPPGTVPILTSYINGSMSTKSFDKTIGFDENGKDKVSRQAVAVAIVPSTLYGLHSAISIWEPDIGIGKPPRFSGAVALLENEGSHDDPQLYGDNHVHFEIAWVSKRAVPGIIIKPVSMINGEQYIFRVKIVKFLGSSILIVGQEMVGYWPSKLFNYMSGAASRAAWMGSVGAAPGESFPPMGSGQSPDEGERKELSSLMRR >LPERR11G08410.1 pep chromosome:Lperr_V1.4:11:7534251:7537051:1 gene:LPERR11G08410 transcript:LPERR11G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYNIWEARNEVRFEEKRVNPTVIANRICFLLDEWANLDVQKRTTVPSPTPSWQLPATGWAKLNADGAWSSQRGCGAAGVIVRDDSGRFLAASVHFFPCVLDAISAEIKATSRAVELAAELRLSKVLIETDSTKVLRLLAEDGRGRSIYAMQILDLKLKARQIRNVEFAWVRRSANRVAIRLVKEGVQTHCVTTWVSTPPVFLMSLLNEDSMRYIRWTTKTFLLLQLLTFRVDPTMEEIDNNLIIKKVQSAHGQTFACVNFESQPSLRHPLLKNHTIQLKQRNSIFHGTYDDNRSMFYISNVEMSEIECPPGTIPVLTSYNGSMSTILFDKTIGFNENGNENVSRQVAALATMPSTFHGLVSSLSVWEPDLGTGKPPRFSGAIAIVQNEESRVAAGWSVDPRLYGDNHVHFEIAWVDHGKACVNVRCAGFVQMSRKVIPGAIIKPVSTIDGKKYIIRIKIVKFLGDWVLKFGEEIVGYWPGKLFSQMSDYATSISWMGIVGAAPGEPFPPMGSGESPDQFEGETKAAYIADVNVVDASGNIVTPALSTLNTIVTAPKCYEIGHVFNSGGGLKFSFGGKGCSPSQPIK >LPERR11G08420.1 pep chromosome:Lperr_V1.4:11:7538823:7539569:1 gene:LPERR11G08420 transcript:LPERR11G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACGAREETTYYALIECTMAKTFWEAMKVITRIKLPHLCPAMWTVDILDDAICKEWDRCVI >LPERR11G08430.1 pep chromosome:Lperr_V1.4:11:7540420:7542632:-1 gene:LPERR11G08430 transcript:LPERR11G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDGGGSSSGCLQIWTSLAVLALAVALLSLRSPLPAPGMADDLPASVHDISVKDIKGNDVKLSEYAGKVLLIVNVASKCGLTNSNYKELNVLYEKYKEKDLEILAFPCNQFAGQEPGSNKEIEQAVCTRFKAEFPIFDKIAVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVDKDGKVVERYAPTTSPLKIENDIQKLLGIS >LPERR11G08440.1 pep chromosome:Lperr_V1.4:11:7559413:7560954:-1 gene:LPERR11G08440 transcript:LPERR11G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATRAVDDKECYYGHNIHGEANNVRPQQQRKNNPASRGPKPTPSKWDDAQKWLVSLSSNGGMDGIHGKVRPRNSNADDRRLLSSSSQNGRVSCSSVDGALEYTMVAAASASSAPPTPPQLGEAAFVVVETKKMDCCMVQPQQHGSPVEVLRSVCLRDMGTEMTPIASKEPSRSATPLRASTPVSGRSPMSSPGRRRRHHDGPPLVAEATTVDLVPAAGGCSVEDDCSGGFGRHEPGASTTTALECRAAAWDEAERAKFTARYKREEMKIQAWENHEKRKAELEMKKTEMKAEQMKARAQERLANKLAAARRMAEEKRASAEAKLNEHAARTTQRADYIRRTGHLPSFSFKMPSLCG >LPERR11G08450.1 pep chromosome:Lperr_V1.4:11:7581075:7586280:1 gene:LPERR11G08450 transcript:LPERR11G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAGRLGSSSRRLLPVLLSPSSTNDHKSFLHSHATSFGYKHVPEEEKSKLVGNVFSSVASSYDVMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLDRINSVSHRAMQGTLTDIEEDTQIYVCDINSNMLNVGKKRASERGYKEGHRLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFSQMIKDAGFEGIEYENLVGGVVAIHSGVKL >LPERR11G08450.2 pep chromosome:Lperr_V1.4:11:7581034:7586280:1 gene:LPERR11G08450 transcript:LPERR11G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAGRLGSSSRRLLPVLLSPSSTNDHKSFLHSHATSFGYKHVPEEEKSKLVGNVFSSVASSYDVMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLDRINSVSHRAMQGTLTDIEEDTQIYVCDINSNMLNVGKKRASERGYKEGHRLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFSQMIKDAGFEGIEYENLVGGVVAIHSGVKL >LPERR11G08450.3 pep chromosome:Lperr_V1.4:11:7581075:7586280:1 gene:LPERR11G08450 transcript:LPERR11G08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAGRLGSSSRRLLPVLLSPSSTNDHKSFLHSHATSFGYKHVPEEEKSKLVGNVFSSVASSYDVMNDLMSVGLHRLWKDRLASNDPHPQYHFITLGLPYCFLPLLRLISKLNPFPGMKHLDVAGGTGDVAFRVLDRINSVSHRAMQGTLTDIEEDTQIYVCDINSNMLNVGKKRASERGYKEGHRLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFSQMIKDAGFEGIEYENLVGGVVAIHSGVKL >LPERR11G08450.4 pep chromosome:Lperr_V1.4:11:7581730:7586280:1 gene:LPERR11G08450 transcript:LPERR11G08450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAGRLGSSSRRLLPVLLSPSSTNDHKSFLHSHATSFGYKHVPEEEKSKLVGNVFSSVASSYDVMNDLMSVGLHRLWKDRLASNDPHPQYHFITLGLPYCFLPLLRLISKLNPFPGMKHLDVAGGTGDVAFRVLDRINSVSHRAMQGTLTDIEEDTQIYVCDINSNMLNVGKKRASERGYKEGHRLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAMGELVAGDRQSYQYLVESIRRFPNQEKFSQMIKDAGFEGIEYENLVGGVVAIHSGVKL >LPERR11G08460.1 pep chromosome:Lperr_V1.4:11:7604429:7617085:1 gene:LPERR11G08460 transcript:LPERR11G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSLANNANGGSSSSNLVINGRSGYCAATKSFHSLRPPVPLPPPDVPLSFPAFAFSLLRRSLSGDLPPALLDSASGEAVTFPAFVSRVRALAGKLRARVGVRRGDVAFVLTPARLDVPVLYFALLSVGAVVAPANPALTPSEVSRLVSLSGASFAFAVSSTAGKLPAAAGLAATVLLDSPRFRSLMTEEVEEQHEEAVELVRQSDTAAIQFSSGTTGRVKAAALPHRNFIAMVAGFHALKPSNPNHHQRVLLCAPMFHSMGFIFVLNGIALGSTTVLVTANPAGIRGLVEAAERWAVTEMTAAPPVVMAMAKESSSSRRLPALERIICGGAPLPTPVIDQFRRRFPHVDLCMGYGSTEGGGISRMINKEECTHIGSAGRITENLEVKIVDHVTSKPLVAGQQGELWVRGPTVMKGYVSDDEANAITFDSEGWLKTGDICYIDQDGFLFVVDRLKELIKYKAYQVPPAELELVLQSLPEIVDAAVIPYPHEEAGQIPMALVVKQSGSKLTEAEIMNNVAKQVAPYKKIRKVVFVESIPKSPSGKILRRELANHLRLCKSSRL >LPERR11G08470.1 pep chromosome:Lperr_V1.4:11:7639601:7642053:1 gene:LPERR11G08470 transcript:LPERR11G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAPRKPPPASRDLPGCRLGAPPLLHSCTGTAHIRLCSLPRPTVCCARARRCQSLGKACRSEGLHAVESCEVLPLLACHHESPAKHVNRAGANFLSVVIDFKVQDEASCGDRGVEQDAEVCGGGSRPPLPPQDVQPLRQAHLQIHGT >LPERR11G08480.1 pep chromosome:Lperr_V1.4:11:7645011:7648803:1 gene:LPERR11G08480 transcript:LPERR11G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPADVDVDPRSGYSTATGTYHSLRPPPPHAPPPPSHPLSFPDFAFSSFSTSLPTTRPALIDSATGDAVTFPAFLSRVRALAAALLLGVVSPGDVAFVLAPPGVHVPVLYYALMAVGAVVSPADPALTQGEISRLVEMSSPTVAFAVSDTAGKLPCDLRVVLLDAPDFLSCHGVGVGEAAVAVRQSDPAAILYSSGTTGRAKAVLLTHRNLIASAAMPVTASASAAAAAAAANVVILLAVPMFHIYGFMFCLRAAMAGQTLVVYTGTAARGRGRFDARAVLAAVARFRVTRVAMAPPSVLAIVRAAEEDGSVTAGTASLQAVNCGGASISPDLIRRFSRKFPGVSLSQGYGMTETTAGFCRAVGEEESGRTGSVGRLSWGAEAKIVDPTTGDALPPGVPGELWVRGPFVMKGYLGDKESTSAILDSEGWLRTGDLCSFDKDGFLYIVDRLKELIKCKGYQVAPAELEHLLQTHPDIVEAAVVPFPDDQAGELPLAFVVRRAGGNLNAEQIKEFVAKQVVHYKRIHHVCFVNTIPKNSGGKILRKELVKLALHRRSNL >LPERR11G08490.1 pep chromosome:Lperr_V1.4:11:7657695:7669016:-1 gene:LPERR11G08490 transcript:LPERR11G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPHLLETVQVEGTFVDGTKLITVHDPISSDDGNLELALRGSFLPVPSLEKFSLVGVDDFPGEVRFISGCIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANQYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVRLVSIGGHKVIRGGNGIADGSVNSYQLDEVMEKVIANGFGHEDYPDSSEGTIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDFAIYGDECIFGGGKVLRDGMGQSTGYPTSDCLDTVVTNAVVIDYTGIYKADIGIKDGLIFAIGKAGNPDIMDGVNKDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIKAGAMGLKLHEDWGSTPAAVDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKIQRGRLPISRTSNVSEDNDNFRIKRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGRAGSSNSIAFVSKAAKEAGVAAQYKLGKRVEAVGGVRGLTKLNMKLNDTLPKIDVDPETYTVTADGEVLRCQPAPTVPLSRNYFLF >LPERR11G08490.2 pep chromosome:Lperr_V1.4:11:7657695:7668998:-1 gene:LPERR11G08490 transcript:LPERR11G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPHLLETVQVEGTFVDGTKLITVHDPISSDDGNLELALRGSFLPVPSLEKFSLVGVDDFPGEVRFISGCIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANQYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVRLVSIGGHKVIRGGNGIADGSVNSYQLDEVMEKVIANGFGHEDYPDSSEGTIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDFAIYGDECIFGGGKVLRDGMGQSTGYPTSDCLDTVVTNAVVIDYTGIYKADIGIKDGLIFAIGKAGNPDIMDGVNKDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIKAGAMGLKLHEDWGSTPAAVDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKIQRGRLPISRTSNVSEDNDNFRIKRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGRAGSSNSIAFVSKAAKEAGVAAQYKLGKRVEAVGGVRGLTKLNMKLNDTLPKIDVDPETYTVTADGEVLRCQPAPTVPLSRNYFLF >LPERR11G08490.3 pep chromosome:Lperr_V1.4:11:7657695:7668998:-1 gene:LPERR11G08490 transcript:LPERR11G08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPHLLETVQVEGTFVDGTKLITVHDPISSDDGNLELALRGSFLPVPSLEKFSLVGVDDFPGEVRFISGCIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANQYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVRLVSIGGHKVIRGGNGIADGSVNSYQLDEVMEKVIANGFGHEDYPDSSEGTIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDFAIYGDECIFGGGKVLRDGMGQSTGYPTSDCLDTVVTNAVVIDYTGIYKADIGIKDGLIFAIGKAGNPDIMDGVNKDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIKAGAMGLKLHEDWGSTPAAVDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKIQRGRLPISRTSNVSEDNDNFRIKRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGRAGSSNSIAFVSKAAKEAGVAAQYKLGKRVEAVGGVRGLTKLNMKLNDTLPKIDVDPETYTVTADGEVLRCQPAPTVPLSRNYFLF >LPERR11G08490.4 pep chromosome:Lperr_V1.4:11:7657695:7669016:-1 gene:LPERR11G08490 transcript:LPERR11G08490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPHLLETVQVEGTFVDGTKLITVHDPISSDDGNLELALRGSFLPVPSLEKFSLVGVDDFPGEVRFISGCIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANQYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVRLVSIGGHKVIRGGNGIADGSVNSYQLDEVMEKVIANGFGHEDYPDSSEGTIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDFAIYGDECIFGGGKVLRDGMGQSTGYPTSDCLDTVVTNAVVIDYTGIYKADIGIKDGLIFAIGKAGNPDIMDGVNKDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIKAGAMGLKLHEDWGSTPAAVDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGRAGSSNSIAFVSKAAKEAGVAAQYKLGKRVEAVGGVRGLTKLNMKLNDTLPKIDVDPETYTVTADGEVLRCQPAPTVPLSRNYFLF >LPERR11G08490.5 pep chromosome:Lperr_V1.4:11:7657695:7668998:-1 gene:LPERR11G08490 transcript:LPERR11G08490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPHLLETVQVEGTFVDGTKLITVHDPISSDDGNLELALRGSFLPVPSLEKFSLVGVDDFPGEVRFISGCIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANQYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVRLVSIGGHKVIRGGNGIADGSVNSYQLDEVMEKVIANGFGHEDYPDSSEGTIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDFAIYGDECIFGGGKVLRDGMGQSTGYPTSDCLDTVVTNAVVIDYTGIYKADIGIKDGLIFAIGKAGNPDIMDGVNKDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIKAGAMGLKLHEDWGSTPAAVDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVLMRPMFGAFGRAGSSNSIAFVSKAAKEAGVAAQYKLGKRVEAVGGVRGLTKLNMKLNDTLPKIDVDPETYTVTADGEVLRCQPAPTVPLSRNYFLF >LPERR11G08500.1 pep chromosome:Lperr_V1.4:11:7676386:7683130:1 gene:LPERR11G08500 transcript:LPERR11G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSAAAACLTAALSSSATELTVRTIDESSLHLGRNDSYKGTTLLCNIVTFYDGIVESSSVIAEAVSYLTKKVDSKTRDVKVLQPTIATQMARTTESNSLYEMDKATQRHSNASCLLSSFGF >LPERR11G08510.1 pep chromosome:Lperr_V1.4:11:7684395:7687962:-1 gene:LPERR11G08510 transcript:LPERR11G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAANLEDVPSMDLMTELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMEKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDITGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKGLVANLHAENPPKEVTDEVQKVLS >LPERR11G08510.2 pep chromosome:Lperr_V1.4:11:7684395:7687962:-1 gene:LPERR11G08510 transcript:LPERR11G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAANLEDVPSMDLMTELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDITGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKGLVANLHAENPPKEVTDEVQKVLS >LPERR11G08520.1 pep chromosome:Lperr_V1.4:11:7710550:7713707:1 gene:LPERR11G08520 transcript:LPERR11G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFLLTLTSISIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVVLLSFLSVFVGLVMLKSKKKKKSN >LPERR11G08520.2 pep chromosome:Lperr_V1.4:11:7710922:7713707:1 gene:LPERR11G08520 transcript:LPERR11G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSAQMELGDKAVGFLLTLTSISIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVVLLSFLSVFVGLVMLKSKKKKKSN >LPERR11G08520.3 pep chromosome:Lperr_V1.4:11:7711174:7713707:1 gene:LPERR11G08520 transcript:LPERR11G08520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQTHRQHPPPLGAFKLLSAQMELGDKAVGFLLTLTSISIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVVLLSFLSVFVGLVMLKSKKKKKSN >LPERR11G08530.1 pep chromosome:Lperr_V1.4:11:7715574:7724017:-1 gene:LPERR11G08530 transcript:LPERR11G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRLSEPRPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSSEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKALEDILISSGMLNLKELSLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKSKYELVNREKRKLMLTNIPFSLTCALHKDSVLADPTAEEESIVETSVTVVLDSSDQIVSIQKPGGGVTSMATITECISLAKDRRRKLREILMDSVEAMEVDQTD >LPERR11G08540.1 pep chromosome:Lperr_V1.4:11:7727811:7745041:-1 gene:LPERR11G08540 transcript:LPERR11G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETVGLVGERAQKAETTRAEHFRLSYSGNPEEGEVQVSNGRKNKRKQKKKNQNPNTSPPAPAMRSPPPPPPPALPISEHEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELNVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFCGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTALDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSAGMYSLDVVQISRLTSELGELEELGLGIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRPSRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDQTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGPTNVQAKKGWKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPSSVLEGDEYGKLPMYVVYHELINTTRPFMRNVCAVEPSWVKPILKKLERLDINKLSGGLSAPKDPEPLEDDQVSSPKRVTDVKQSDVDSRIQAARERYLARKGKK >LPERR11G08540.2 pep chromosome:Lperr_V1.4:11:7727811:7745041:-1 gene:LPERR11G08540 transcript:LPERR11G08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETVGLVGERAQKAETTRAEHFRLSYSGNPEEGEVQVSNGRKNKRKQKKKNQNPNTSPPAPAMRSPPPPPPPALPISEHEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELNVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFCGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTALDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSAGMYSLDVVQISRLTSELGELEELGLGIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRPSRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDQTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGPTNVQAKKGWKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPSSVLEGDEYGKLPMYVVYHELINTTRPFMRNVCAVEPSWVKPILKKLERLDINKLSGGLSAPKDPEPLEDDQVSSPKRVTDVKQSDVDSRIQAARERYLARKGKK >LPERR11G08540.3 pep chromosome:Lperr_V1.4:11:7727811:7745041:-1 gene:LPERR11G08540 transcript:LPERR11G08540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPETVGLVGERAQKAETTRAEHFRLSYSGNPEEGEVQVSNGRKNKRKQKKKNQNPNTSPPAPAMRSPPPPPPPALPISEHEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSSDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFCGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTALDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSAGMYSLDVVQISRLTSELGELEELGLGIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRPSRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDQTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGPTNVQAKKGWKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPSSVLEGDEYGKLPMYVVYHELINTTRPFMRNVCAVEPSWVKPILKKLERLDINKLSGGLSAPKDPEPLEDDQVSSPKRVTDVKQSDVDSRIQAARERYLARKGKK >LPERR11G08550.1 pep chromosome:Lperr_V1.4:11:7763529:7768636:1 gene:LPERR11G08550 transcript:LPERR11G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQFSAVFMFTTCLMLFPTSEQSSQGEVLQQLRKQLEYPRQLEVWNNPSSDPCYTQPTSLVAVACEGNAITELKIIGDRITKPQKFSGHPVPDITLSEAFVLDSFVTTLARLPTLRVVILVSLGLWGPLPEKIHRLSSLEVLDLSSNFLYGSIPPKLSTMSKLHTLTLDGNFFNGTMPDWFNLFSNITVLRLQRNRLKGPIPASIAKATTLSELALAGNSISGEVPQLGSLNKLELLDLRDNELDGEVPELPITLVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLMGIPPEKLFALPNISYLNLAANMLSGSFSSSLICSSTLGFVDLSTNQLTGDLPACLNVNINNRVVKFDGNCFSDDPDHQHEVKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTPGMSTELLVNARYISEAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLSLHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGTVDESCVKRVFLVYEYIPSGTLTSYLSGSSPEKTLKWCDRLQVLISIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAIGEAQKCIQNNDGELENLEDDVFSFGGILLEVLMGPKLHRKGDPFVLSELVGSISEQEEREQVLDPVVLGTSSQDSLAMAGLRSKRFSGICSTLHKCRQYLRAIRDQKFPRRLVSLTEYKHHGSNCPYLKKEGAICAN >LPERR11G08550.2 pep chromosome:Lperr_V1.4:11:7763529:7769344:1 gene:LPERR11G08550 transcript:LPERR11G08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQFSAVFMFTTCLMLFPTSEQSSQGEVLQQLRKQLEYPRQLEVWNNPSSDPCYTQPTSLVAVACEGNAITELKIIGDRITKPQKFSGHPVPDITLSEAFVLDSFVTTLARLPTLRVVILVSLGLWGPLPEKIHRLSSLEVLDLSSNFLYGSIPPKLSTMSKLHTLTLDGNFFNGTMPDWFNLFSNITVLRLQRNRLKGPIPASIAKATTLSELALAGNSISGEVPQLGSLNKLELLDLRDNELDGEVPELPITLVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLMGIPPEKLFALPNISYLNLAANMLSGSFSSSLICSSTLGFVDLSTNQLTGDLPACLNVNINNRVVKFDGNCFSDDPDHQHEVKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTPGMSTELLVNARYISEAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLSLHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGTVDESCVKRVFLVYEYIPSGTLTSYLSGSSPEKTLKWCDRLQVLISIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAIGEAQKCIQNNDGELENLEDDVFSFGGILLEVLMGPKLHRKGDPFVLSELVGSISEQEEREQVLDPVVLGTSSQDSLAMVISITTKCLSVESSGRPSIEEVLWNLQYAAQVQAISEGDQRSEVSSQAC >LPERR11G08560.1 pep chromosome:Lperr_V1.4:11:7770165:7774619:-1 gene:LPERR11G08560 transcript:LPERR11G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFNSKATNYLVTASTDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPSYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCPENGNVLSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNSIATYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFAVTK >LPERR11G08570.1 pep chromosome:Lperr_V1.4:11:7778643:7783575:1 gene:LPERR11G08570 transcript:LPERR11G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGAQRQRPNVLVTGTPGTGKTTTCSLLADVVGLRHVNIGDLVREKSLHDGWDDELECHIINEDLVCDELEDMMEDGGILVDYHGCEFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISSNVDTLTEWINNWRPSGS >LPERR11G08580.1 pep chromosome:Lperr_V1.4:11:7786688:7787243:-1 gene:LPERR11G08580 transcript:LPERR11G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTTTRKLSLMRRLYIAHDVAEALEYLHHHTDPTIVHCDIKPINILLDDDLIAHVTDFGLAKIMHAEACKKKQAATESSSFAVKGTIGYVPPEYGSGSGV >LPERR11G08590.1 pep chromosome:Lperr_V1.4:11:7787259:7799274:-1 gene:LPERR11G08590 transcript:LPERR11G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYPCSWKVKILSLLAHILLLTSSSLFTVNANDDLSVLLSFKSSITNDPRKALSSWDVAGNGSSTPEAYYCQWNGVACNNRRHPGHVTAIRLRDSGLTGTISPQLGNLTRLQILDLSNNNLIGKIPSNLGSCTKLVIMNLSMNYLNGSIPDDLGHLSKLNIFNIRHNNITGNIPMSLSNLTRLTDLTMENNNLQGLIPSWFGNLTMLKAIDLAINSFGGHIPGYLGKLTELAILMMQGNRLEGLLPQSIFNISSIEHLDIGMNLSGSLILDIGFKLPKLKFLSTFMNHFDGPIPSSLSNSSALEYLIMHENRYHGLIPRDIGVHGNLKLFSVGHNELQATKPTDWDFLTSLTNCSNLGSLNLYENNLVGVMPATFANLSQELVWLRLHRNQISGTIPDGLAVFQKLTEFTLHDNLFTGTLPLDIDRLSNLVPLDLSHNRFEGPIPESLEATMVAADMANHGTVTEDNDMVVGTVISPMIMTDDADPSMMLGVAAAPSMATVVLSMSTVATTSEFVGCVSKNRSRECKPFPLSKKLCFLYFQGNLLQGQIPKGLNTLVVLENLDLSSNNFTGPIPEFLGNIWSLNYLNLSFNNLSGPVPKTGIFRNVMISTLQGNSMLCGGLPLLQLPSCPSIDSHQAVQHRLRILIFCIIGTSIFLFGCAITAYCYIKTRGKPYVHTQRHRFLSQNHERISYADLHAATQSFSPANLIGSGSFGNVYIGTLIMDDDLATVAIKVLDLGRQGASRSFFTECDALRRIRHRKLVKVITVCSSLDHNGEEFKALVLEFICNGSLDE >LPERR11G08600.1 pep chromosome:Lperr_V1.4:11:7787756:7791958:1 gene:LPERR11G08600 transcript:LPERR11G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTATSIQEVLWDDPPTPECEARCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAEAELQDGNLSGVSSTFRESILLPEEEKMIHDAVGQVDGRNGIELLQDILKSSQWVKSDELSAALKSPLMRLYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGIAHTSSSLSQYLEVP >LPERR11G08610.1 pep chromosome:Lperr_V1.4:11:7803530:7823014:-1 gene:LPERR11G08610 transcript:LPERR11G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATTDACPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSTNLVEELPPRGPSTNPKVRIVFGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFRLTGLKVLPCNNSINTSAAEQRLHDLGDEFKSLPLWRQAEQRFLWNSYLLEPLIEKKNIHTEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVDEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHHICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGERMEEQTGTVRTNCVDCLDRTNVTQNMIGRKLLESQLRQIGVLGDNNEISDYPEFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHHVSSVSRDMPTQSKGLLENYASFRLALVLLLAAVIFMVISLRRARNDVFHLVLSFLWSGFSFGITRYVKANGRKFTNRPRFHLSRH >LPERR11G08610.2 pep chromosome:Lperr_V1.4:11:7804199:7823014:-1 gene:LPERR11G08610 transcript:LPERR11G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHATTDACPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSTNLVEELPPRGPSTNPKVRIVFGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFRLTGLKVLPCNNSINTSAAEQRLHDLGDEFKSLPLWRQAEQRFLWNSYLLEPLIEKKNIHTEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVDEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHHICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGERMEEQTGTVRTNCVDCLDRTNVTQNMIGRKLLESQLRQIGVLGDNNEISDYPEFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHHVSSVSRDMPTQSKGLLENYASFRLALVLLLAAVIFMVISLRRGTISVC >LPERR11G08610.3 pep chromosome:Lperr_V1.4:11:7804199:7817335:-1 gene:LPERR11G08610 transcript:LPERR11G08610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNSTVVSAVGFHRAFCTNTYYRSFKENIHTEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVDEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHHICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGERMEEQTGTVRTNCVDCLDRTNVTQNMIGRKLLESQLRQIGVLGDNNEISDYPEFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHHVSSVSRDMPTQSKGLLENYASFRLALVLLLAAVIFMVISLRRGTISVC >LPERR11G08610.4 pep chromosome:Lperr_V1.4:11:7817784:7823014:-1 gene:LPERR11G08610 transcript:LPERR11G08610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHATTDACPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSTNLVEELPPRGPSTNPKVRIVFGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFRLTGLKVLPCNNSINTSAAEQRLHDLGDEFKSLPLWRQHGPSN >LPERR11G08620.1 pep chromosome:Lperr_V1.4:11:7829379:7830196:-1 gene:LPERR11G08620 transcript:LPERR11G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSACALIWYQGSHQAEKQRQQGPGSAGDGGYWPAAVKLRRRRRRVDSRLELQLRGYGGVRDDPQSVQVRGIRWRLTGVGGIAAGGGGWPEKGKNDVPTLRVVSGQITLAEPCRVQGELSEEARRLGVALVERNGGRSFVGVHWARGTVDAVRGK >LPERR11G08630.1 pep chromosome:Lperr_V1.4:11:7843966:7847322:1 gene:LPERR11G08630 transcript:LPERR11G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMRRPIDIAVGIDSRAVPSRRITPFRLHRSIMRHCITVRCRQRSTSLSASTPCCLCRSPPQEEVSITTTTTRSSSSCPSASPGNAPPSASTSEQAGNDFFKQKRYKETAFSGVW >LPERR11G08630.2 pep chromosome:Lperr_V1.4:11:7843966:7847322:1 gene:LPERR11G08630 transcript:LPERR11G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMRRPIDIAVGIDSRAVPSRRITPFRLHRSIMRHCITVRCRQRSTSLSASTPCCLCRSPPQEEVSITTTTTRSSSSCPSASPGNAPPSASTSEQAGNDFFKQKRYKETAFSGVW >LPERR11G08630.3 pep chromosome:Lperr_V1.4:11:7843966:7847322:1 gene:LPERR11G08630 transcript:LPERR11G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMRRPIDIAVGIDSRAVPSRRITPFRLHRSIMRHCITVRCRQRSTSLSASTPCCLCRSPPQEEVSITTTTTRSSSSCPSASPGNAPPSASTSEQAGNDFFKQKRYKETAFSGVW >LPERR11G08640.1 pep chromosome:Lperr_V1.4:11:7849032:7849460:1 gene:LPERR11G08640 transcript:LPERR11G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQQTKKVPLPPGHVAGILAMKREPWPSSEYLGLSPEERRERLEWGASRRELDDGFEEFQREVHRAVKNSGCYLVDESYFTEQAELQVLIKREWAKMDFSGKMLRLITGVVSICICLCKYDELSIVQTSGWKLNYMNIG >LPERR11G08650.1 pep chromosome:Lperr_V1.4:11:7849796:7851065:-1 gene:LPERR11G08650 transcript:LPERR11G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGDDPCGPNPRAPQVELGPLVSIVLKGSLLSVYCHAGRVRSCRDAMRRRTKALDIYAEQYLH >LPERR11G08660.1 pep chromosome:Lperr_V1.4:11:7861130:7864984:-1 gene:LPERR11G08660 transcript:LPERR11G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDSAAAAADPKKRRPVGFSGIATDYKMTDFSREENESLNLFFSNVVTDGKVISNDASIDQDLADQLKIFELILFKLGK >LPERR11G08660.2 pep chromosome:Lperr_V1.4:11:7861087:7864984:-1 gene:LPERR11G08660 transcript:LPERR11G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDSAAAAADPKKRRPVGFSGIATDYKMTDFSREENESLNLFFSNVVTDGKVISNDASIDQDLADQLKASKHNVVARYRDNHCG >LPERR11G08660.3 pep chromosome:Lperr_V1.4:11:7861130:7864984:-1 gene:LPERR11G08660 transcript:LPERR11G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDSAAAAADPKKRRPVGFSGIDGKVISNDASIDQDLADQLKIFELILFKLGK >LPERR11G08670.1 pep chromosome:Lperr_V1.4:11:7868910:7870317:1 gene:LPERR11G08670 transcript:LPERR11G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEEQYNNRRYRIHCLHRDKKPRPTHVSPEDWAWLIKHVWSNEDFQRNRETGEWPTAMLVWRATYQKADGTWSVPNGAEVLAELNEVAQSQHENISSASVPLVEHFALVLGRKVNHSRGVGFRAINGVAKERLRFLAQVDAAEKHAAAAQERADAAEQRAVAMEDQVRKLDEANARLEEEQQSQREELNSQKKTVEGQVTDVERMVQLKPDEQMARYFSRLASSNGVPFSQASSDAANNQV >LPERR11G08680.1 pep chromosome:Lperr_V1.4:11:7873856:7874407:-1 gene:LPERR11G08680 transcript:LPERR11G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSSILLLSSALLLATAAYFSHRNTIPATTHLHFYMHDEYTGLHPTAALIVAGRPPSPNTTASTEQRRRRFGDIAVMNNALTEGPEPGSARVGTAQGFTVRVSERGAANALTMHLVMERGEHAGSSLAVTGRVDTDLAVRESVVVGGTGQFRFARGYAQSRSYDYDLDKGGVVEVDVYLY >LPERR11G08690.1 pep chromosome:Lperr_V1.4:11:7878932:7883078:1 gene:LPERR11G08690 transcript:LPERR11G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLAASLLSLLLPAILFLHIITNRLRRSHHLSSTYNLPPGDLGLPIVGHTMSLLDALRRNVDDKWFRERVKRYGPVSTMSVLGSPTALLAGPAANRFVFSGCAGGLVLTQTSALRALVGPVLTFAGDELRQVRGALQGYLRPDMVRRYVTKMDAEVRRQIAVSWAGRRRVTVMPLARSLSFGIICSVVFGEEAALIRDTLSDDFVSLSKAVMSFPVRLPFTRFSKGMDSSARIRKAITEIFRRREASSQHGTSNDTDFITYMLNLRSQGSHSLSLEDIVDNAMILVIGAHGTTSVLITFMIRCIANEPDILDKVTREQDEIAASKRPDDALTWEDVARMKYTWRVAMETLRTVPPVFGSFRTATRDLEYQGYHIPKGWKVFSAQSITHMDANFFHEPTKFDPSRFEKPVPPYCFMPFGGGPRMCPGNEFARVETMVAMHYLVRQFRWKLCCREEAYARDPKPAPVHGLPIELELRKDLIHD >LPERR11G08700.1 pep chromosome:Lperr_V1.4:11:7908332:7910275:1 gene:LPERR11G08700 transcript:LPERR11G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALEETLDKIQVPRQLGKKPLPTAADLGCSCGQNTLVIADLCGTCRDSTDRDDTVITVDPEFCFYFSDLPSNDFNTLFHLLPDNDDSSGVGGGRSYFAAAVPGSFHGRLFPERSINLFTSTFSLHWLSQVPEEVADKQSVAYNKGKVFVHDASVAMGETYRRRFQSDIARFLRCRATELKHGGVVFLICLGRPSSYDSTKTKALLYGAMFEESWRDLIKEGMVDGKKMDSFNVPVYAATIEEFRDIIDVDGSFRINRLELVMGSPPVVNNPKDRHAIGLTVAKYLRSLLGPLVVAHVGRALADELFIRVQRHAEARAEALVGEMRFPHIVCSLSLA >LPERR11G08710.1 pep chromosome:Lperr_V1.4:11:7929134:7930884:1 gene:LPERR11G08710 transcript:LPERR11G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTQTIEVPNDAELMQAQADLFRHSLYYLTSMGLRCAVELGIPTTIHSLGGAASVSDLMSSLSLPDNKLPFLQRLMRVLVTAGVFAAEGGSGSGRSTFDVERFRLTPLSRILVDGVVADEHHSQRCFVLGVTSRHYVDAAFALAEWFRKDLASPVPSPFEDVHGARLFDESTPELDPELDAVVNEGLAAHDNLGIGTLLRECRGVFEGVKTLVDCCGRHGATARAIVKAFPQIKCTVLDVPRLVDQAPRDGVVNYVAGDAFQAVPSAQAVMLKLVLHHLSDDDSVKILTQCRKAIPSRKEGGKVIVIDILVGPSLGPVMFEAQLMMDMLMMVNTRGRQRDEKDWHDLFTKAGFSDYKVVKKLGARAVFEVYP >LPERR11G08720.1 pep chromosome:Lperr_V1.4:11:7943483:7955462:1 gene:LPERR11G08720 transcript:LPERR11G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEAHTIEVPNDAELLQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRLGGAASVHDLITKLSLPAGKEPFLRRLMRVLVTSGVFAADGRPGARSTVDVERYRLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEASLGLDEWFRKDVPEGEPLPSPFEDVHGAALFDESTPLLDPELDAVVNEGLAAHDNLGIGTILRECRNLFRGVKSLTDCCGGDGTTARAVVKAYPHIKCTVLDLPKVIDKAPKDDGVNYVAGDLFHTVPPSQAVMLKLVLHHWSDDDCVKILAQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDESDWENLFLKAGFSDYKIVKMLGARGPSHLIPPTDDELLQAQADLWRHSLSYLTPMALRCAVKLGIPTAIHRAGGQSTLPDLITSLSLPSSKLPFLRRLMRLLVNSDIFTLTTTTTTGVHVYGLTPLSLFLVDGAYFADADVDDGHTNQSAFVLAATSPHYVEAALGLDDWFRADDDYVSPFEAAHDAPLLHETRAVADAELSALVGEALVAQNHMGIGLALRESRAVFEGMESLVDCGGGDGATARAIVRAFPGIRCTVLDLPQVIDTAPKVTDAGVEFVAGDMFSHVPPAQAVLLKYVLSHWSDEECVKILTQCKKAIPSREAGGKVIIKDVVVGTSSGQMLEAELLMDMAMMVMTSGRERDEQEWHEIFTNSGFSDYKIMNKLVSAALSH >LPERR11G08720.2 pep chromosome:Lperr_V1.4:11:7955436:7957427:1 gene:LPERR11G08720 transcript:LPERR11G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQAPTDAELLKAQANLWRHSLYYITSMGLQCVIKLGIPTAIHRAGGAATLPDIVTDLSIPPSKLPFLRRLMRLLVYSGVFATSNTDTYRLTPISCLLVDGVVEGHPNQGSVVLAMGARHNVEAAMGWAEWFREDHPSVSPPPSLFEHVHDATPFDETMESLDRDSHALLNEGLAAHDNSGFATVLRECGEVFRGVKSLTDVRGGDGAAARAVAEAFPGVKCTVLDIPRVIGEKKTDGVVNYVAGDIFGFIPPSQAVMLKLVLHHWSDEECVNILAQCKKAIPTREAGGKLIIIDILLGADPGPLLEAELLMDLGMMLISKGRQRDENEWCGIFKKAGFSNYKIVKKLGARGVFEVYP >LPERR11G08730.1 pep chromosome:Lperr_V1.4:11:7962745:7965539:1 gene:LPERR11G08730 transcript:LPERR11G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNQKAPAPATTVVDFNDENNHQFTIVIDGVETAIHDGFLRCNGGGTVTVVSPGVLAVDRVHHVVVRGGVDVSFTRCGFAAAESCGGASFHRCDAVRADGAREVSVRRCRSADVERVAGAVSIRRRCGAVRVERCLDATVSGCGTVAVRRGKVNVIGQPPPVCYEDEKPMYYRHAEPDDSDAAAIVRDGRHDGTTPLAGEEGCRVRDWCGALGIGRCGSADVSRCGAVRVERCLDATVSGCGTVAVRRGKVNVIEQPPVCYGEEKPMYYHHAEPVCAMPLEIMNK >LPERR11G08740.1 pep chromosome:Lperr_V1.4:11:7967210:7970754:1 gene:LPERR11G08740 transcript:LPERR11G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNNYRNQLYTKSKYAIRGSFIFPTPNTSDGNTTELLTDKAYLNPVTHANEQTTPTEKLIETAMAAAQTPNMLAPPTEEELLHAQADLWRHSLYYITSMGFQCAIKLGIPTAIHRAGGQSTLSDLVAALSLPPSKLPFLRRLMRLLVYSGVFAADDTNETATYSLAPLSWLLVDDVVNHGHPSQVPVVLAATSRHCVEAAMGLTEWLRKDVPESAASPFEEAHGAAMFEESMASLDPDSDALLNEGLAAYDHSGFATVLRECAEVFRGVESLTDVRGGAEGTAARAVVEAFPHIKCTVLDFPRVIGDKRSDGVVEFVAGDMFRAIPPAQAVMLKLVLHHWSDEDCLKILIHCKKAIPPREAGGKVIIIDIVIGAPPGPLLEAQLLMDVAMMVMTKGRQRDENDWRDLFKKAGFSDYKIVKKLGARAVFEVYP >LPERR11G08750.1 pep chromosome:Lperr_V1.4:11:7989615:7994120:1 gene:LPERR11G08750 transcript:LPERR11G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAGSSTATTRRAARTRSARSGAAASPRPSESPSQAVVASGRGARRRAAADDSEVVFQLPLLDSTFPLAISGSHKPSPWPPQEAAITATPGKMQLVPTSPHRGRPKKQPSPSPQEDEEGNGVCVRYECAFQNEGNHDFEPPDLVWGKVRSHPWWPGQVFDAADASELALKHTRTGTPLVAYFWDKTFAWSDSSSLLPFCTNFTRLAGQSTMSGFVSAVDAALQEVGRRVEAGLSCACFDSSIGKRQEIENSGIREGAYGAVVDGPYMRGTFRGRPFLDYILGLGTNPLAGADRLELTTAKAQLRAFNCSRGIRHLPEFVVFEGIEDVPVEVPHTKRKRVEKSSEDDVVDMEKKPRRGENSSNKKKVQPNASRKEVMDEEGYVPSIGATDDTLSKTKKLSNQNSAAKRKKFASKDADGVETVGASKRQSKKAVDEISSENKPASRPRSTRMKGGTPVSLRGRGKDGGAESVKVEGKNTALVKENKVGGRAGSARKKEKIAGDGDGVEDGNANISVSPGKKRSGRGKTSVAIEAPISEQGRKKKKLSDLMAVTDRTNSSSGGNSKTRSKRSTNASTEKFEDPDHIMKDTMKTRKRKKLDTLGDLSSQPQPVSHKSTTKVGKLMHKAAGQMSETSPVLKANGEVSQKKSRRTKDRQTNTPDKSAHSSKVNKSKTDTMTGNSLPCSEMLLQLSLAAFNRKKREKLATASMNFFTDFRKYSYASRSDVEKGIYGKAAGTDSCASVSDVDEDIPEKAACTELTPLEQPLADHMQDDYWADILINVEEPLSSLKKKKDKGVSRTSKKVQHAKKPVIKSSSLGNVEEPIVEGRQDSEQSKAETQSSVANGAKVSAEETESSSLAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVVFKRRMDAEAAFAGAGKISALGPALVSFRLSDFPATASGNDPRQGASKSE >LPERR11G08760.1 pep chromosome:Lperr_V1.4:11:7994801:7996805:1 gene:LPERR11G08760 transcript:LPERR11G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQQQSSSPAPTPTMLVPIPTDEEMLQAQAHLWRHTLSYLTPMALRCAINLRIPTAIHRAGGQSTLPDLITSLSLPSSKLPFLRRLMRLLVSSNIFSQSSDSEEDEIVYRLAPISFLLVDGDNNVVDGHISQTPHVLAATSRYCLDTVSGLATWLTTEEEFPISTTPFESAHGVAPEGVGRLDPEMGRLFEEGLRVYDASGFAVVLRECRGVFEGVGSLTDCGGGGEGDRRRVPGDQGRRSDGVVEYVAGDMFEFVPPAQAVMLKLMMHHWSDDDCVKILAQCKKAIPTREEGGKVIIIDIVVGSVSGPMLESQILMDVAVMMVTKGRQRDENDWRDIFMKAGFNDYKIVKKLGPRCLIEVYP >LPERR11G08770.1 pep chromosome:Lperr_V1.4:11:7998173:8003644:-1 gene:LPERR11G08770 transcript:LPERR11G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGASCCFCCGGVFSQDNTSCIYEKFSPLSNVYSGANFTVKVPHSNRARKYQAIKSAIASGKEQTMTTSASDQMKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIEMEGLEKKAKASGASQLVVKDLKEEFVSEYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKNDMYMMSVAPEDAPDEPEYLEIGIIAGVPVSINGRNLSAASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDILAHKYAELVYAGRWFDPLRQSMDAFMEKVTETTTGSVTLKLYKGSVNIASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLKKGL >LPERR11G08780.1 pep chromosome:Lperr_V1.4:11:8008350:8010761:-1 gene:LPERR11G08780 transcript:LPERR11G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRPRKRAKRAGAGKELQKREADPEVLLFAIGAEVEVAGDEPGFAGSFYEVTIEAHISGGGGGYVVVYSTLENDDGEVCAREDVRAASVRPRPPPTPPPPGGFPMHGLVDAFHNGGWWSGVVTGLPRRRLMVYTVAFPASLETFEFEETDLRPQVVFRRYRWVPAADVANKYRGEKTTEGINKISAISEEETKLIFNGEYTELPTNVIAGSGIPSEKNTVGCIDPTRLEDNQGPQESSIADIIKPSEIDNLCPEENLTLPETSEVENSGDVNLLSSDSSTDYQNRIINLEGCEIFAGTQDSCHPLIQKSHHAHVNIMAEQPSKSLPTVELPFVKTSPFWAPTEAMEIFNKIPQRPHFRQIWQEYPTFCEGKALGLMISFVHVAEGIERLNIHDNNSVFEEIMKLISLLEENGFNVMLLRSRLETLLRLKNSWSKIQDMLNQSEKEIAQEQINDEQLVTENSMLSMALRQHKLHAHLLRCIMHRAILKRMSIAVEKSSKIFTGTHKSCSVVQKSLHARDNIMADQPLKSLAMAELPFVKTYPFWAQIEAMEIFSKMPQQPHFHQVQEQ >LPERR11G08790.1 pep chromosome:Lperr_V1.4:11:8024391:8029835:1 gene:LPERR11G08790 transcript:LPERR11G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLRRGQPLPLLLLRGLLRPPPPPTSSSAVLESCNRNVSPGVQLRRFSAEGKDQTKSSEDGYSETNTKKDFALQQALDQIKSAFGEESIMWLNHSYGHKEVPVISTGSFALDIALGIGGLPKGRVVEIYGPEASGKTSLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSKTMLVFINQVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGMQIQVKIVKNKHAAPFKTVHLELEFGKGLNRELEIIELGCRHKFVTKSGVFYHMNGQNFHGKDALKCYLAENKDAQESLMSMIRESIMQHESHPDRDKEKANQDISITKEFASATDEEVSEEVEA >LPERR11G08800.1 pep chromosome:Lperr_V1.4:11:8030606:8034271:1 gene:LPERR11G08800 transcript:LPERR11G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGDEIGSSPPAVAPNEDYESWTFQQKLDDLLNSDPNRNIMPKYPKHAAMMKEKLRLHLEGLDMFKEGKNGRPLKKLRDKKKALLTILSWWYPMKRLLNMFHQF >LPERR11G08800.2 pep chromosome:Lperr_V1.4:11:8034278:8035652:1 gene:LPERR11G08800 transcript:LPERR11G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLILGKELTLETWNATKTIEECRLISQVQKEIIERKPPKGLAIKEVRNLFFPYWKSLLSRRLCVKIIPSCQLSRKDLLSVEASRKRTKSATHPCIPKNSMGNLGSTRWSPLSSNYESRRMLCAVTSISRKIFRSI >LPERR11G08810.1 pep chromosome:Lperr_V1.4:11:8036966:8043424:-1 gene:LPERR11G08810 transcript:LPERR11G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPHSPEIFPLPAATRRPAAKAKARNEGGGGAGKTGARSRWTAADESKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVLGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFIRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPMPESRRCGQPGLAWFQVQNESA >LPERR11G08820.1 pep chromosome:Lperr_V1.4:11:8084035:8087494:-1 gene:LPERR11G08820 transcript:LPERR11G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMTHVGPETHLGHLKRFMIKEIQEATNNFDRNNILGQGGFGVVYKGRLRDSTIVAVKRMKDCTTAIADEQFHTEVEVISLIVHRNLLKLTGFCVTNTERLLVYPFMPNGNVSSKLQEYVDGKPALDWSRRRRIALGAARGLVYLHDQCDPKIIHRDIKASNVLLDEYLEAVVADFGLVKLLNHGESHAITVVRGTRGRIPPEYLTANQASEKTDVYAFGFLLIELITGRRSIELHENEYENGGILDWANELLEKNRLSSFVDRRLKNDYVSAELEEMVQIALLCTMYSPDHRPRMTEVVRMLEGSDGSIAEKWEAVKDVDRSKPSTPEFMLSPPVDNGSAEHNSIQLEAEELSGPR >LPERR11G08830.1 pep chromosome:Lperr_V1.4:11:8091224:8092876:-1 gene:LPERR11G08830 transcript:LPERR11G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRAFSVLVMVMMMMISLVVFVDSAGVVAPRALSPAREAEALVAVKSMLHDTANVLTDWKIAAGGGRPCGWGLVICNGDGHVSGLDLRNRSLSGTLSPEIGKLRQLRYLFLQHNAVSGPIPDTISTLKMLQRLDLSYNHFTGHIPGRLGQARDILFV >LPERR11G08840.1 pep chromosome:Lperr_V1.4:11:8114897:8115317:1 gene:LPERR11G08840 transcript:LPERR11G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIGKVLVEIDDSDVEKFFCTICTDHKSMRNRSHCQGCPITSALTALSIILLIVCNVKGCFNIKTWQLWATFGRDDCRVDVTPICSAEAGYQGRPEDMP >LPERR11G08850.1 pep chromosome:Lperr_V1.4:11:8117467:8121364:1 gene:LPERR11G08850 transcript:LPERR11G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRNAWTAAMSRDASILRCDSCGQFLEGMTSKIDKVLVENDDSDVETFFCAICTDHKSMCSCSRCQGCPHHFYFNCIIDNITYGVLRGEIHDRCPEPGCTIGMCCPEPMCTIGELTYEFWYKHVVDDVQDASI >LPERR11G08860.1 pep chromosome:Lperr_V1.4:11:8121746:8127288:1 gene:LPERR11G08860 transcript:LPERR11G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLPRAPYLASSIPKSTASTPLRRPPCMSSTSAVPAAASAAAATGEASQPRKLPVLLFDVMDTVVRDPFYNHIPAFFQMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKASMVKAYEYIDGVEDILFHLKQNDYEVHAFTNYPVWWVSAYLDTSVYMYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLHVDPANCIFIDDRMTNIEAALGVGMVGLHFKNAEMLKKDLCSLGVEFAPVHEGEIQVQ >LPERR11G08860.2 pep chromosome:Lperr_V1.4:11:8121746:8127288:1 gene:LPERR11G08860 transcript:LPERR11G08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLPRAPYLASSIPKSTASTPLRRPPCMSSTSAVPAAASAAAATGEASQPRKLPVLLFDVMDTVVRDPFYNHIPAFFQMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKASMVKAYEYIDGVEDILFHLKQNDYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLHVDPANCIFIDDRMTNIEAALGVGMVGLHFKNAEMLKKDLCSLGVEFAPVHEGEIQVQ >LPERR11G08860.3 pep chromosome:Lperr_V1.4:11:8121894:8127288:1 gene:LPERR11G08860 transcript:LPERR11G08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLPRAPYLASSIPKSTASTPLRRPPCMSSTSAVPAAASAAAATGEASQPRKLPVLLFDVMDTVVRDPFYNHIPAFFQFSTSLSVWLGSLCSPNRMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKASMVKAYEYIDGVEDILFHLKQNDYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLHVDPANCIFIDDRMTNIEAALGVGMVGLHFKNAEMLKKDLCSLGVEFAPVHEGEIQVQ >LPERR11G08870.1 pep chromosome:Lperr_V1.4:11:8131307:8138513:1 gene:LPERR11G08870 transcript:LPERR11G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLLLLPVIAAAAATAMSPDGEALLALKTAVTDDPSGALSAWSDADADPCRWPGVTCANVTSSSSSPGGPRVVGVAVAGKNLTGYIPSVLGNLPFLRRLNLHGNRLSGTVPPSLSNATALHSLFLYGNNLTGDLPPELCDLPRLQNLDLSQNSLSGALPPDLARCRQLQRLLLSGNSFSGEIPAGVWPEMGNLQVLDISDNSLSGAIPPELGKLAALAGTLNLSHNHLAGGVPAELGHLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPSLCGFPLQVPCRAAPPSSTPPPPSITDGGGSLGGNTRQPIKTGLIVLISVADAAGVALIGVIVVYIYWKLRDNRNHNNDDEHDDEHRKSLFPCPCLRSTAAAASDEESSSENGKCGGGGGKNGGGEEGELVAMDKGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGGGVAAAAERYKEFAAEAAAIGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGRSGQASLSWSVRLRIAKGTARGLAHLHECSPRRFVHGEVKPSNILLDADYNALLADFGLARLLTVVGCASSDPSNAAGGIIGCALPYVKPTGPDRPNAYRAPEARVAGTARPISQKSDVYSFGVVLLELLTGRLPEHGASPSASASSSTSFSGPTAMAGADQAATTEAELVRWVRRGFEDARPLSEMADAAVLRDTAARKEVVAAFHVALGCVEADPERRPRMKAVSESLDKIGSS >LPERR11G08880.1 pep chromosome:Lperr_V1.4:11:8176091:8176396:-1 gene:LPERR11G08880 transcript:LPERR11G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTELCKIIVTTRNESIARMVAFANQENATPANLVEIGMNIVRKCKGLPLAIRTVGSMLRFQVDETTWRNILECDI >LPERR11G08890.1 pep chromosome:Lperr_V1.4:11:8179287:8179658:1 gene:LPERR11G08890 transcript:LPERR11G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVPPKTTRRTRRHQGGKERFTPNRFVGAIISDLEASTTQDDQDGYSVSKSCNSRVVYAVDGDDASASASMTPAQRLATMQQILNEAPTDVAAGTEITSWTDRLREAARNLDSALVEAGQP >LPERR11G08910.1 pep chromosome:Lperr_V1.4:11:8197443:8197935:-1 gene:LPERR11G08910 transcript:LPERR11G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEDKILVEINDSDAETFFCAICTEYKLKRSRSRCQVNVCWGAISLFVALSRGVLLASLPMNPGTSMSWVICAMLGQLQCLLRDASILRCGSCGQFPEGVTVERMEGVGDEHLDAFQRLAIMRCWKPCPNCGIFIENIGGGCSMIS >LPERR11G08920.1 pep chromosome:Lperr_V1.4:11:8206063:8208639:1 gene:LPERR11G08920 transcript:LPERR11G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDESSAERLYDAKSYVMANPILIQVQVLGTTKRFWRLSDKATRISRKLALILRSHHSVRKCLTAPLKVSNIWIGSNGNVKLRGVYFTGNGFNIQRVRDDYDHLSRVLMALISMNSISGRDITKLPPDYMEFLLLLQEDTLTMKDEFLIVNHVALLPMKNRTEVFLMLYDKTVKSLGRTNPSKKRRILSSLPYKNDWLATANANTKIKEWVDDVRHKYGTTPRDLLRLNRNVRSHLREYDNDDDIEEILYCEWPELLMVMQKMLYLEGELESTDIQNKFG >LPERR11G08930.1 pep chromosome:Lperr_V1.4:11:8255055:8256443:1 gene:LPERR11G08930 transcript:LPERR11G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLTNPPPGLYTTKTPSPQQHRAGSHATATAATAAVAMPQTMTTPSSSLVVNTRQMAAAPVVTIRRRTVEREEIISSNSISPSLASMWREVQGENNWEGMITPSSPSSSARLHPLLRSEIIRYGELVAATYKAFDLDATSKRYLNCKHGKARMLAEAGMPGAGYAVTRYIYAAAPGTPSRWIGYVAVSDDATSRRLGRRDIVVSFRGTVTGSEWVANMMSSLSPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLINKYKHEDVSVTLAGHSMGSSLAILLGYDLAELGLNRDGAGRVVLVTVFSFAGPRVGNTAFKNRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGKLELPWSSSCYTHVGVEIALDFFKARDPACVHDLEAYLGLLKCPNMTKVMKEGEDLVSKAKKFVLDQSFDTWRWQMAAIQVGGLVQALGM >LPERR11G08950.1 pep chromosome:Lperr_V1.4:11:8283656:8284837:-1 gene:LPERR11G08950 transcript:LPERR11G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKGMNAREAEHILLDVIASGSSQYWDDPEIDQFIYTEEDAGASNSSSQKK >LPERR11G08960.1 pep chromosome:Lperr_V1.4:11:8328841:8330232:1 gene:LPERR11G08960 transcript:LPERR11G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAVTRLTLGQSATSAMTTTTMSRRLRCPPPAAATSASPVTVSLADLPHKRSSATATTNSPVVVEKTDAATLASAWREVQGGGDWTGMVNPLHPLLRDELVRYGELVAACYRAFDLDPSSKRYLNCKLGKNHILPPTAGAGYTVTKYIYAAPDICVNAKSRWIGYVAVTSSADAVRRLGRRDILVSFRGTVTRSEWAANFMSALSPARFDPSDPRSDVRVESGFLSLYTSDDVKYSKFTCGSCRNQLLSEVTRLVSEYSRGGDDVSVTLAGHSMGSSLAMLLGYDLAELGLNRDAAGRAVPVTVFSFAGPRVGNVEFRRRCDELGVKVLRVANARDPVTRMPGVVLNEDTAARVCGRAATWWSKAWCYAHVGVEVALDFFVASDVACVHDIDAYIDHLLSIKCDPATSREDEADADDVASIKVTREGEDGGAAVAAEEVESWRWQMLAIRAGELLQALGI >LPERR11G08970.1 pep chromosome:Lperr_V1.4:11:8352124:8362060:-1 gene:LPERR11G08970 transcript:LPERR11G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRSQVNKPHKTRFASKASRHAHKIDKVRSGKPEGSHRAALKGSRAARVQRSKAIRDQKRAALLKEKRSSVGSSSAPRVVVLFGLSSSANVRPLAKELLTIASGEEGKPISSTVASPTYKLRTMVLEAPYGDLTACMELAKVADLLAFVLSANSLYDSDSSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSENKSRQELKKAAISFVSSELPEDCKFYAADTKDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEEACIKSDDNSGLCTLLVSGYLRAHNLSVNQLVHVSGAGDFQLDQIDVLKDPFPINERKDSNSMDSEDSGIQIVNTFVPDPSNQEPLLVENIPDPLEGEQTWPTEAEMEEAYLKNNQRKLVKRKLPQGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDQQDNSDHGGDSSDMDAVSHFTEKFDAETIGGTEMADDENLTKEQIEAEIKKIKEANADDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPPDYARIFAFDNFTRTQKHVLAKIAERDDGALKDCAQRGSFVRLHVKNVPIDVASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEPPIKSKESFIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHNPDDPVELWTKHGRRGRIKETVGTHGSMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI >LPERR11G08980.1 pep chromosome:Lperr_V1.4:11:8364420:8366247:-1 gene:LPERR11G08980 transcript:LPERR11G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGWWCWSLCAKPKQVASESVHGAQLALQRLAATRRCGGADCVDVEAGKACKCGDGQQPAAAADDVGRVVAVEAVAGGQHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLIGLGAMCAYTAHIIGKCLDDDPASRTYQDIGGRAFGGKGRAIASAFIYLEIFFALVSYTISLTDNLPLVFPNSGGLAFAGLTSPQLLTVVAVLVAMPSLWIRDLSTISFLSFAGIAMSLLIFSTVVLAAAFSGAAGNNHIPILQLERIPAVSGLYMFSYAGHIVFPNIHAAMRDPRAFTRVAVASFAVVTALYASMAFAGAAAFGAAVSPQVTLSMPRGLAATRVALWATVLTPVTKYALEFAPFAISLERRLPASMSPRARAAARGGVGSAALLLILALALSLPYFQHVLSLTGSLVSVAISVVFPCAFYLKIRWGHVSRAAVALNAAMIAAGVVLAVVGTASSAKSLLQSIQKGHAA >LPERR11G08990.1 pep chromosome:Lperr_V1.4:11:8377519:8380752:-1 gene:LPERR11G08990 transcript:LPERR11G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQVQRVAALELPDTPLSGPISPHLGNLSFLAVLNLTNTSLTGTIPPELGGLRRLRLLSLSENALSGAIPATGLDNLTRLEHLELSYNALSGQIPPTLLLRLHSLQRLVIRGNYLTGTLHPCLFNSTPNLIYIHLGNNTLTGAIPDSIVSPSLPLLEFVNLQYNQLSGAVPGGMYNKSRLQVMVLSHNNLTGLMPGTNRSFSLPMLRRLSITQNGFSGHISPVLASCRYLQILSLSINSFVDVVPAWLAGLPDLEVLSLGGNDISGNIPAALSNISSLTLLDLSYCNLEGGIPPELGLIPQLSYLHLAVNKLTGVIPASLGNLSQLSYLDLQINPLSGSVPATLGNIEALNFLVLSGDYLEGNLDFLSALSNCRQLQFLVIENNSFTGWLPDNVGNLSTQLLWFRAGYNSITGGIPATISNLSSLERIDLSDNLLTKPLPESITMMENLALLDVSRNNIFGPIPSQIGIVRSLQQLLLQSNKFYGSVPDDIGNLSRLEAIDLSDNQLNKALPANLFHLDMLIQLNLSHNSFSGALPADLGHLTVLNQLDLSSNLLLGSIPESFGQLEMLTYLNLSHNSFEASIPYSFGNLTSLASLDLSYNNLSGTIPTFLANFTYLTALNLSFNKLQGQIPEGGIFSNITVQSLTGNTGLCGDPHLGFSPCPGKYHPTNHKHLFLRFFLPVVTITFGAIAVCTYLVTRKKLNRDRDASASFDPEDIISHRLVSYHEIVRATDNFSNNHLLGSGSFGKVFKGRLSNGLVVAIKVLNTQIKQAMRSFDAECHALRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLDMLLHSQGHRHLGFLDRLQIMLDVSMALEYLHHENYEVILHCDLKPSNVLFDEDMTAHVADFGIARFLLGDDNAMISTSMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDDKFIGELTIRQWVHKAFPIDLVDVVDDRLLRDGSSSCCSQTGFLEAVFKLGLLCSADSPEERLAMCDVVVALKKIRKGLQDMHGKDDTDATQ >LPERR11G09000.1 pep chromosome:Lperr_V1.4:11:8399549:8405114:1 gene:LPERR11G09000 transcript:LPERR11G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVTGPYFLLSPPRPLSRIHGLRPRRRRPHAEGGEKKKTYRFRRGRRRGNPMGSVVLPTLRRKQEVDAAIRDTLDKVLVLRFGSAADAACLHLDDILAKSSWDISKFGTVALVDMDSEEMQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKMIVSCPLPPERIPRFQLLFKDITGLCSDR >LPERR11G09010.1 pep chromosome:Lperr_V1.4:11:8403648:8409243:-1 gene:LPERR11G09010 transcript:LPERR11G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFLHVAAAILLLMAAAATAGDPPFSCGAASVATSEYVFCDATRTAAERAADLVGRMTAAEKVAQLGDQAAGVPRLGVPPYKWWSEALHGLATSGRGLHFDVPGSTARAATSFPQVLLTAAAFDDALWFRIGQAIGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVTGMQGNSTAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFKSCVVDAKATCIMCAYTGINGVPACANSDLLTKTVRGDWGLDGYIASDCDAVAILRDAQRYTQTPEDAVAVALKAGLDMNCGTYVQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCEPTTPLNGIQGYLKNVRFLAGCNSAACDIAATDQAAALASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLINAVADAAKRPVILVLLTGGPVDITFAQTNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSNYSRQLVSGSKPTESYTNLLASLRTTTASEGDASYHVEEIGTYGCEQLRFPAVVEVQNHGPMDGKHSVLMYLRWPTAKGGRPTTQLIGFRSQHLKVGEKANVRFDISPCEHFSRVRQDGKKVIDRGSHYLMVDKDESEIRFEA >LPERR11G09020.1 pep chromosome:Lperr_V1.4:11:8415978:8416428:-1 gene:LPERR11G09020 transcript:LPERR11G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVCERCNVEITKDNITSRCKTFDKHYEVISKILSQSGFGWDWANDKLLIDSDDVWNKYVEANKSVACYKNKVVKNWEAISTIYSKDHANGEGAQTGAEAAQVQPEQVDDASPDLQPKKQ >LPERR11G09030.1 pep chromosome:Lperr_V1.4:11:8422296:8425618:-1 gene:LPERR11G09030 transcript:LPERR11G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIPLLLLMLSSAGGVATGANTPPFSCGPTAPAATQKAAFCNAALGPEQRAADLVGRMTTAEKVAQLGDQAGGVPRLGVPPYKWWSEALHGLAISGKGLHFGGGGAVVRSATSFPQVLHTAAAFDDTLWFRIAQVIGKEARAFYNLGQAEGLTMWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGNSSTNLQASACCKHITAYDIEEWKGVSRYNFNAKVTAQDLADTYNPPFKSCVVEGKASCIMCAYTLINGVPACANSDLLTKTVRGEWKLDGYTASDCDAVAIMHMSEHYTRTAEEAVAAALKAGLDINCGTYVQQHATSAIQKGKLTEKDIDKALKSLFAIRIRLGHFDGDPRTSNRLYGRLGAADVCTPASKALALEAAKRGVVLLKNDNRLLPLTRPTTTTSAAVIGHNAHDILALLGNYYGLPCETTTPLQGIQGYIKNVKFVPGCNSAACDVAATDQAVAAARSADYVFLVMGLSQKQEAEGLDRTSLLLPGKQQSLITAVAAASKRPVILVLLTGGPVDVTFAQMSNKIGAILWAGYPGQAGGKAIAQVIFGDHNPGGRLPVTWYPEEFTKIAMTDMRMRADPATGYPGRSYRFYKGKTLYKFGYGLSYSKFSRRIVSGAGDRSSYGKVLAGVRATAEGDVMYYHVDEIREEGCEKLKFLVNVEVENHGRMDGKHSVLMFLRWPTTNGGRPERQLIGFRSQHVKVGEKVNVKMEISPCEHLSRAREDGKKVIDRGSHFLMVEEDEMEIRFEA >LPERR11G09040.1 pep chromosome:Lperr_V1.4:11:8435002:8437461:-1 gene:LPERR11G09040 transcript:LPERR11G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEFASNGTVLNSGGEDEEIKEEADAVRHASDPQFSISIEQHVLLPDVNREGDSVNKALVVHAEVQEHHRRRRRVATGVRPVLHVAFWARSGPKEAREGI >LPERR11G09040.2 pep chromosome:Lperr_V1.4:11:8433526:8434979:-1 gene:LPERR11G09040 transcript:LPERR11G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNATTGSGDGKCLRRQWKNRGASGETKSLQACGNLGVGSPERQIGSPALGRRRRSVSTAHGRGRRPRLQVREDEADVMARYRARRWPDTPVRRPTATVHGSDDTARARTRPATAGQVLDMDGFQPLESISPKIDSPLLVLPLLEIFLF >LPERR11G09040.3 pep chromosome:Lperr_V1.4:11:8434857:8435284:-1 gene:LPERR11G09040 transcript:LPERR11G09040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPTCRSITVDDDEWRPEFGRCCMSRFGLDLDRKKHGKAYRRGEGAPAMTGNATTGSGDGKCLRRQWKNRGASGETKSLQACGNLGVG >LPERR11G09050.1 pep chromosome:Lperr_V1.4:11:8449309:8451327:-1 gene:LPERR11G09050 transcript:LPERR11G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPSAASFGPFMHRIYPCRSHLLHPPLLLLTRLFPRTAAPCLRCRLLRCTASKERKIGRGQEESKRNQRGGRPEDAKRGCGNDELRQGSPPPPISLTLSFRSRSSGLRRQITIAHPRPRLPSSLRAVVTMFCCQTISPQGLAADPPRSPPTFCK >LPERR11G09050.2 pep chromosome:Lperr_V1.4:11:8449056:8451327:-1 gene:LPERR11G09050 transcript:LPERR11G09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPSAASFGPFMHRIYPCRSHLLHPPLLLLTRLFPRTAAPCLRCRLLRCTASKERKIGRGQEESKRNQRGGRPEDAKRGCGNDELRQGSPPPPISLTLSFRSRSSGLRRQITIAHPRPRLPSSLRAVVTMFCCQTISPQGLAADPPRSPPTADSMVKSQ >LPERR11G09060.1 pep chromosome:Lperr_V1.4:11:8476543:8481519:1 gene:LPERR11G09060 transcript:LPERR11G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRRMEDAYEDEYYSEDGYEEEGSGAGEEYEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPTSNFGSFFGPSKPVISQRVIEERKSLKELQNTASVSRDRRPSGKEIPSSSSKVQSKTNGFHQKQKIVNEAKRKAEALKDNRDYSFLLSDDADISSSPMEKSVARSSLTQKSDRELTHSAVKSRAPTGQTARLSNGHGLKNTSSTQRHAESSRVDSNRKVAGANRERVVLDNGRMHSVVRNGSSQATTSKATSQKLPSKGPIANRPPVKSVNDHSLRTNHPASKQLLTQNGRSESSRSQRMQSTSHGQRPHQPVQSQRPQQSLQSRRPQQSPQNTRAQVMSQSQRPQQSLQRQRPQLSSQNGRLQSSERQRPLSQSYRPQSSQGQRPLSSQGHYPEQRRVQANDRIKSVEKQTRPPSKPMPSRQIPSNGMRDVHAKKKQPAKRRFNDFSDDEEDPLAMIRSMFRYDPSKYAGRDEDVSDMEADFATIEMEEKRSARIARQEDDEQLRLIEEEERREQERKRRKMGRGR >LPERR11G09070.1 pep chromosome:Lperr_V1.4:11:8499835:8500529:1 gene:LPERR11G09070 transcript:LPERR11G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELLTRGITPCTLNWPEHIRRWWYEHVGSLNPKTREKQLKRDELTYTLETPEHPGRAHGMGVVPWKVGFAAHTNTAEATRGRRMKRQTGSIEEVVLQSQQREKKLEERVQQISRQVGLEGGQRDLVLELTIDVSPTQRRSSVASTEVLEQDDDVL >LPERR11G09080.1 pep chromosome:Lperr_V1.4:11:8500555:8500853:1 gene:LPERR11G09080 transcript:LPERR11G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINCELHMPTKNLTILVAKGIVSPVDPQRSPPKYHGRSIPPGYGSVAIDMGLALDFPRGDDETTLGEIEHEIVLWRKRYIMRSVVQATIFVS >LPERR11G09090.1 pep chromosome:Lperr_V1.4:11:8509531:8510543:1 gene:LPERR11G09090 transcript:LPERR11G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLNVNVKDEHYFRGEDRIIIEFEWFQLFHKDALDKSLMLRHKRQGVYDVGFIDPYVMHAVNVVDNLEETERNILRFLRKQAHKTTILLPYVFSAWERFTSKEPGEWKLEYRRDRVAEED >LPERR11G09100.1 pep chromosome:Lperr_V1.4:11:8516589:8520414:-1 gene:LPERR11G09100 transcript:LPERR11G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPETAAAAGNGRGGSSVGLSMIKNWLRSQSAPAPAAAGDAMALVPVSTSPAVEATTVADGIGKGIDGAASAQRMKTAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELEDMKHMSRQEYVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGSGSTTRRMKDSSYHNDNVMDINVNTDANNVESSQFTNGIGNYSSQHYGYNGWSPISTQPIPLQYASGQSMAWCKQEESSVVAAAQNLHSLHHFSSLGYTHNFFQQSEVPDVTGFVDAPLRSEDSYSFKYNGTQDFHELPGGVSYAMPVATVVDQQVQGIHGYRDDGMVSIGTTHDLYGSRNVYYLSEGSLAADAGKDGHYGEAVVGNNWVSQTPESDIVEKADNVTVCHGLPLFSVWNDA >LPERR11G09120.1 pep chromosome:Lperr_V1.4:11:8544557:8544837:1 gene:LPERR11G09120 transcript:LPERR11G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTMVLYFGLAVRRADFLPMMQLTDEVVYAVTIDPTVHKQFAFTATIDRMSASSHLSSHRSSFLLPPPPPRTNREFFLTRYLDLVR >LPERR11G09130.1 pep chromosome:Lperr_V1.4:11:8545732:8547228:1 gene:LPERR11G09130 transcript:LPERR11G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNTMVLYPGLSVSHFLPMIHLTDKLMEHGYAITVAFIDPAVFKQIAFTATVDRIISSRPAISFHRLPLIDHPPATTTKDGNFFLPGSIHTLIVDFLSVEALDIAERLNVPGFVLNPSNAGSFAIFLQLPWICKSGDINFRELGDTPLEFSGLPPLPASHLYEEILKHPAGSEVYNAVMDLSRNNSRYSNGFLVNTFESLEARVVNELNNTDGHHCETKLPTFYCIGQFAEKVSAERRQHECLTWLDGQPEKSVVFLCFGSTGLANLSAKQLMEIAVGLEKSGQRFIWVVPVPPPPITVTVDVDKKDDPLEKKPFFDPSADPDLDALLPTGFLERTSGRGVVVKLWAPQVDVLGHRATGAFVTHCGWNSVLEGVIAGVPMLCWPLHAEQRINKVLMVEEMGVGVEMVGWQQGLVKAEEVEAKLRLVMESEVGNKLREQVMVHREAAAAAWTDRGSSRVAFARFLSEAEHGTAKRR >LPERR11G09140.1 pep chromosome:Lperr_V1.4:11:8573945:8580109:-1 gene:LPERR11G09140 transcript:LPERR11G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRLAVLRSHLQPPAASAPAGGGNRDVARGEQAAGISTSPCASAAAAAVGKGGGRGGAEAGGREAEGKGTCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPPVVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYPSPEGFKTKPTSSLPKER >LPERR11G09140.2 pep chromosome:Lperr_V1.4:11:8573945:8580109:-1 gene:LPERR11G09140 transcript:LPERR11G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRLAVLRSHLQPPAASAPAGGGNRDVARGEQAAGISTSPCASAAAAAVGKGGGRGGAEAGGREAEGKGTCVFCRIIRGEAPAFKVYEDDAFLDYSKMSFSFTGSNTTTYSFNMVVNNGAAAGQTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYPSPEGFKTKPTSSLPKER >LPERR11G09150.1 pep chromosome:Lperr_V1.4:11:8581191:8581630:1 gene:LPERR11G09150 transcript:LPERR11G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMVVAPSLPRHYPALASFSRRCSGVGPLTCPFTIGFRACPAPFGCRVHHPQVKDNSNTHLDPRNAR >LPERR11G09160.1 pep chromosome:Lperr_V1.4:11:8638161:8639831:1 gene:LPERR11G09160 transcript:LPERR11G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPRRISLGVDLSTSTGHPLRPPSVADIAWPNQAPMPKGPAIDFFIELSNDEEEEAIEAATPATTVHADSLQGRKRKLIIADDSDNEAADQSAPVPRLSSPLPPSAPKARPFSPRPAKRGHLKVSTVKPNTSFTSKDNDAPPQLPTVSAVEKPVAMPTKVQSESVEGDVPSTILLLSPQVTATEACPAAAQVATSSAIIPTVDTTPPATAPTVPSAATQAAPSSALTLMTTVEVPSASKGKQAQGSPATIEPSAGSDSEKTISDEIIGWRYGPDFDQVSILDRIEDQKSMTRLIQLTVESSDLVLISLAMTLLYLRLRNMQRSKC >LPERR11G09170.1 pep chromosome:Lperr_V1.4:11:8654470:8656007:1 gene:LPERR11G09170 transcript:LPERR11G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSITPHADALPLAKHFACSQAMLQVRNMHRNGFNSSTSARTTTTKFAAPTAKSYMAGLPRMPIKKMATKRKAVASAPILTSKAEKKKKPTRSPTRSSHTLVIESPHRENIFGFDISKFVPDDDEINSQPPSSSEELKNKLKEIANRLEASIDSLVADNGPIRARISEIQDHLASN >LPERR11G09180.1 pep chromosome:Lperr_V1.4:11:8665886:8670005:1 gene:LPERR11G09180 transcript:LPERR11G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRELLQRVVPNASVVRLLMSVFLLILLLCSSAPICTTAADDRQALLAFKTELARGGDAPALASWVSSSRNDNNGSSSSSPSPPDFCAWRGVSCSRRRPFRVVGLDLRSQGLTGGIPPAVANLTFLEILDLSDNRLGGRIPPELGRLARLRELNLSVNSLDGEIPDALWSSCSRLQSIALWNNSLSGEIPSGSIRRCSRLSLIHFSSNKLQGSIPPELGELPALQQLILSGNKLVGGIPATLGSIPNSSLTYVNLGLNYLTGGIPTSLAASPNLQSLELPFNSLSGEIPASLFNSSSLVVIDLGRNNFTGSIPHVDVVGGSSRPLQLLTLSENSLSGSIPASLGNFSSLYGIYLNQNNLVGSIPDSLGMLPLESFEVSVNNLSGQVPLSLYNSSVLSYLNLGYNSLTGMIPSDIGFTLPSIGWLILSSNRLEGVIPASLANASGLQELDLSINSFSGFIPSLGSLPTLLTFDVGQNRFEGQQKDWGFISSLSNCSQLSKLLIDGNKFDGSLPKSIWNLSTSLTWLWVGKNRFSGNLPAEIGKFKNLTVFYADNNQLTGSIPHTIGDLQNMGSLSFSNNRLSGHIPDSLGNLQKLVELYLGMNQLEGSIPPSLQGCKSLLMLNLSSNNLDGSIPAELFTISSLSQGLDLSRNRLSGSIPSQIGTLINLGLLDLSSNHLTDQVPSSLGQCVQLQILKLDGNFLEGSIPESFNNLKGIHTLDLSQNNFSGKIPSLFESFHLLQYLNLSFNDFSGPIPAGSPFDNSSVVFLQGNKGLCTLIENSGFVRCSSATTNTRKQKTSHVLKTVLPIAVVSIILLLCLIATIFIKKARKAQTSFEIRNKKLEKVSYTDIIKATDQFSSNNLVGSGRFGKVYKGTFHFSIDPLAIKVFNLEQHGASKSFLHECEALRNIRHRNLVKVITICSSFDHTGKEFKALLFQYMSNGSLEKWIQSTIFDRERALSLGQRINIAADVAFALDYLHNQCVPPLVHCDLKPSNIVLDGDMNAYVADFGLAKFLGTENNLSESGSNSTAFHGPNGSVGYIAPEYGIINKNTTEGDVYSYGIILLQMLTGKTPIDEEFKEGFTLHNFVTEAFPVRIEEILDPILLQEIEGAHYTWEVSCQANPWMQSCIIPLVKVALLCSVEMPKHRMKMEDVCYHVSEIKDEYLRLLHSGDVQRQSHQQLFENNV >LPERR11G09190.1 pep chromosome:Lperr_V1.4:11:8737299:8744078:1 gene:LPERR11G09190 transcript:LPERR11G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIHRMDITLGKSLLRVGSTFVEDLQVQKPFSEDRYGSVRKVYIICKQDKTIPEEFQRKMVENGGGRPVDEVREIDGADHMAMLSAPDHVVDCIANVAEMYH >LPERR11G09200.1 pep chromosome:Lperr_V1.4:11:8749827:8754916:1 gene:LPERR11G09200 transcript:LPERR11G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDTCPVCDQITLIDDMYE >LPERR11G09210.1 pep chromosome:Lperr_V1.4:11:8756436:8757454:1 gene:LPERR11G09210 transcript:LPERR11G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPAGAGVASRPHRRDASARSSCLPTRTQGARRNASALAAGGGRVTQRTLFRRRVSVGFRSASARLWGCGCLAFRRLCHDADTRGRLGWLLCPTCSVSFLGGGLVARWRRTLFRWMICSMRSVREHKLLRCGRSAKMVL >LPERR11G09220.1 pep chromosome:Lperr_V1.4:11:8760669:8761783:-1 gene:LPERR11G09220 transcript:LPERR11G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTSLGFRGVSVKNAAKSSSSESDGSSDDGSRKKSKHFAWEEVVVSHASLELQLNHPLPQDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEQGNTLDLELNIATIPSTFDDIKASSGVVADDYVRSGGAVGLAGPMMAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAMPRTPPRRIDAAVKPALETLSLLH >LPERR11G09230.1 pep chromosome:Lperr_V1.4:11:8760729:8761790:1 gene:LPERR11G09230 transcript:LPERR11G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVEGIVAMLSSKSRVLPCSSMGLTQLFLMVFLFRLAWDTTTSSQAKCLLFFLLPSSLLPSDSDDELLAAFFTETPRNPSDVGPRESSGCTSMD >LPERR11G09240.1 pep chromosome:Lperr_V1.4:11:8810656:8822464:1 gene:LPERR11G09240 transcript:LPERR11G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLDSLTYKGSIPDAINQSRRDKKLFVVYISGEDEASSSLEQSTLVNENVAEVIGKCCIFLHLKQGNVDASQFSAIYPQKAFPSISVIGLNGVMLWCHEGYISSKDLKEHIEKAWATLHLQETAATFLTASLASRMTEPVNTTSTTLPTQGGSSAAANPSTSSSQSTETSGPSGSPNSTDSVPQPPRSTSHDEAPRVDLNPGNRTVQERSDSDNAKIKGFLPDHSRSSNMEDCDQAGSTSSLKQKNKVDDGCTKVSSKSVTSTDATRGKSLKIADKTITTSTPIEPAQCPVKSNDIQLVIRIPDGPSLQIKLTKDDNLRKVKNFVDENLANGAGSYDLAMLYPRKVFSEQDMETTLLELGIETRQALTVVPHRKTVIAAKHQSSSPSHDGDNNMDEDNSGGWGYFSYLRTALSFVNPLSYLRGNSASSNPEQLANQGSQQYRPSSGPWNQPGAETGPESQAIPGNGSQHASRDTSSGSTLRRRPRQYGGNIHSLRSDEQDPSDDRNVYWNGNSTEFGGEDKK >LPERR11G09240.2 pep chromosome:Lperr_V1.4:11:8812193:8822464:1 gene:LPERR11G09240 transcript:LPERR11G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCHEGYISSKDLKEHIEKAWATLHLQETAATFLTASLASRMTEPVNTTSTTLPTQGGSSAAANPSTSSSQSTETSGPSGSPNSTDSVPQPPRSTSHDEAPRVDLNPGNRTVQERSDSDNAKIKGFLPDHSRSSNMEDCDQAGSTSSLKQKNKVDDGCTKVSSKSVTSTDATRGKSLKIADKTITTSTPIEPAQCPVKSNDIQLVIRIPDGPSLQIKLTKDDNLRKVKNFVDENLANGAGSYDLAMLYPRKVFSEQDMETTLLELGIETRQALTVVPHRKTVIAAKHQSSSPSHDGDNNMDEDNSGGWGYFSYLRTALSFVNPLSYLRGNSASSNPEQLANQGSQQYRPSSGPWNQPGAETGPESQAIPGNGSQHASRDTSSGSTLRRRPRQYGGNIHSLRSDEQDPSDDRNVYWNGNSTEFGGEDKK >LPERR11G09240.3 pep chromosome:Lperr_V1.4:11:8812579:8822464:1 gene:LPERR11G09240 transcript:LPERR11G09240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCHEGYISSKDLKEHIEKAWATLHLQETAATFLTASLASRMTEPVNTTSTTLPTQGGSSAAANPSTSSSQSTETSGPSGSPNSTDSVPQPPRSTSHDEAPRVDLNPGNRTVQERSDSDNAKIKGFLPDHSRSSNMEDCDQAGSTSSLKQKNKVDDGCTKVSSKSVTSTDATRGKSLKIADKTITTSTPIEPAQCPVKSNDIQLVIRIPDGPSLQIKLTKDDNLRKVKNFVDENLANGAGSYDLAMLYPRKVFSEQDMETTLLELGIETRQALTVVPHRKTVIAAKHQSSSPSHDGDNNMDEDNSGGWGYFSYLRTALSFVNPLSYLRGNSASSNPEQLANQGSQQYRPSSGPWNQPGAETGPESQAIPGNGSQHASRDTSSGSTLRRRPRQYGGNIHSLRSDEQDPSDDRNVYWNGNSTEFGGEDKK >LPERR11G09250.1 pep chromosome:Lperr_V1.4:11:8824951:8828950:-1 gene:LPERR11G09250 transcript:LPERR11G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIMDPFITTASLRVFYNSKEMTNGSELKPSQVLNQPRIHIEGHDMRTLYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSIRQTIYQPGWRQNFNTRDFAELYGLGSPVAALFFNCQRENGCGGRSV >LPERR11G09250.2 pep chromosome:Lperr_V1.4:11:8824951:8828950:-1 gene:LPERR11G09250 transcript:LPERR11G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIMDPFITTASLRVFYNSKEMTNGSELKPSQVLNQPRIHIEGHDMRTLYTLVMVDPDAPSPSNPTKREYLHWQRDCSIREPTPNCRHPSLRSIRQTIYQPGWRQNFNTRDFAELYGLGSPVAALFFNCQRENGCGGRSV >LPERR11G09260.1 pep chromosome:Lperr_V1.4:11:8888917:8896666:-1 gene:LPERR11G09260 transcript:LPERR11G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRATRTRYPRGELEALRAAPSEEAQARVWAEVSAALAAAGFSGEYDGLMAAEDPSSRKAGRKGKRAAGGGIAGGGWKRPDAAATQFFETEEISGWRNGDLGVCVDEPSGVLQAIGEPFDQGEYEEDDSDDDYGGILKPAFAVDGEPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLSMARNEQTPYMPEIPDISKCSTDLCASKHWEDIFITYFSETRLAFSEIDSSGEPSVSGGMKNSLKPCNKSEPQTDPTVTLIRNMDAVSRAATLRNYIDMIQSMDTLSRNNCLWLFALCVAVDTPLDGETCASLRSLLRKCTNILATKPEMDDEVAMLNILIAISGRFFGQYDKH >LPERR11G09270.1 pep chromosome:Lperr_V1.4:11:8917391:8932233:-1 gene:LPERR11G09270 transcript:LPERR11G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEAMHGTVVSGKFPEGMCVLTVDENPVCLKVLEVMLHHCKYHAIMTRDTETALQMLRKRPEDYDLVISDVQNLDMDGFMLLELIGLEMDLPTQTMMKGVTHGACDYMVNPVNIKEIQNIWQHVVRKRMTKLGNHKHGDDGGAGHKVQSWSVEVDMVCQTQTKRKYSKMRRSDEDDYDENKENIQFLSQKKPRVAWTPDLHQDFVNVVAKVGVDYAVPKKILQMRKPNHLNRENVTSHLQKYRLYLKRVNNQTGMDPYQFPAGWKHINEQNYLKNYFKNGRYHLSPAMPPTDSSNLYTRINSASALGAHGLSLLSTQAVQLRNNQSNLETVQRGGSLLKNVVLFPYKSNGVAPSNQFALQSNSGVLAPANQFFIQSKDGVLVPANQYSVQTNGGFLATGNQFPVQTNDRFLAPVNQFPSNGGLSAPTNQFQGRSNGSVLATANQYPVHSNGGFFATAKVQISIQRIHLLQTTSAHLAIIFNLRTLETIANHGKLQCHPMFPDVGQKVGTSFGLLQASIPNINQLSSFAASGGQQTESSNAGNSMRSTEMINGNFTLGSNSSISSTVPSDMSFGCTFSNLQADSPALLPQMLDSGNAEDVLPMFNDIHDQQDFFDQLDDNDFLTGENGPEGTGTLDDIVAMLFDDVRYSHPFFYCRMAAQFILWSSLVFVFHRIVDARFTRFA >LPERR11G09280.1 pep chromosome:Lperr_V1.4:11:8944262:8947066:-1 gene:LPERR11G09280 transcript:LPERR11G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVTHGACDYMVKPVNIKEIQNIWQHVLRKRMTKLGNHRHGHDGGAGHKVQSGSVEVDQVCQTRTKRKYSKMRRSDEDDYDENKQNIQFLSQKKPRVAWTPDLHQDFVNVVAKLGVDNVVPTKILQMMKPNHLTRDNVASHLQKYRLYLKRVNTQTGMEPYQFPARSKHIDEQNYLKNYFENGRYHLSPAMRPVDSSNLFTRMNSASALGAQLPRMPGARTCFPSGPSGSLFANISNDLVFDTNNSGESHSDMCRKLWEAETNNVVPSYHSGSLFPYKSNGVVPSNQFALQSNSGVLTTENQFLAQSNGGVLVPANQYSVQTNGGFLATGNQYSVQTNGGFLTTGNQFPVQTNGGFLAPANQFPVQSNGGCLAPANQFQIQSNTGFLDVANNFQIQPNGGLSAPTNQCQGRSKSSVLATATQYPVHSNGGFFATSNQYPVRSNGRFLAPGNQFLVQDNKQYLFQKNPSSANYFSPPGNHFQFMDIGNCSESWQTTMPSKFPDVGHKDGTSFGPLQASIPNINQLSSFAASGGQVPMFGNDLNSQTLANMSNDKSVVNIDDQTGPSNVGNNMRSTEMMNGNSTLGSNSSISSIIPSDLSFSCTLSNIQADSPLNGGNADDVLPMFNDIHDQQDFFDKLDDNNGFLTGENGPEGTGTLDAIVAMLFDDDFGEDDDAVLDRGQEFVP >LPERR11G09290.1 pep chromosome:Lperr_V1.4:11:8951185:8951577:-1 gene:LPERR11G09290 transcript:LPERR11G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMVVAENFPEGMRVLAVDDNPVCLKVLEVTLRHCKYHATMMRDVETALQMLRKRPEDYDLVISDVQNLDMDGFMLLELIGLEMDLPVIS >LPERR11G09300.1 pep chromosome:Lperr_V1.4:11:8958100:8958444:-1 gene:LPERR11G09300 transcript:LPERR11G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSRLLVGGCRWEGGKSERFYAAEPSGIGDGGRHNEEVGGRWGRRWPIRHFPRRSMPPDPRGRGPEAVDLALPVPLHTACSARLAASRLWKKRMRGGGGRLREREMAAEA >LPERR11G09310.1 pep chromosome:Lperr_V1.4:11:8958599:8960720:1 gene:LPERR11G09310 transcript:LPERR11G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPVAASCPVPDIPPMDSVCTSPYIQICALFGWLGCADNAGSQPASQPNRLLVLMKQRKGAGY >LPERR11G09320.1 pep chromosome:Lperr_V1.4:11:8972643:8985606:1 gene:LPERR11G09320 transcript:LPERR11G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVSLVAFLLLLLLPSSPSTATAVDPPYSCGPSSLSSQALAFCNTQLPPSQRAADLVSHLTLPEKISQLGDRAPAVPRLGVPAYEWWSEGLHGLSIWGRGIRFNGSVRAVTSFPQVIHTAAAFDARLWRRVGEAVGVEARALYNVGQANGLTIWSPNVNIFRDPRWGRGQETPGEDPATASAYAAAFVAGLQGGGSPATVTEASTLQASACCKHATAYDLDYWNKVARYSYDSKVTLQDLEDTYNPPFKSCVMEGKATCIMCGYNSINGVPACASSDLLTKQVRGEWGMKGYVASDCDAVATIRDAHRYTSSPEDTVAVAIKAGTDVNCGNYTQVHAMAAVQQGKLSEKDIDKALINLFAVRIRLGHFNGDPRSNDVYGHLGAADVCSPAHKSLALEAALDGIVLLKNDAGVLPLRPWLVSSLAVIGPNADNTGALHGNYFGPACDLTTPLQAIKSYINGSHLRFLAACDSPACTMAATGTAATIACSSDHVILFMGLSQKQEQEGLDRTTLLLPGEQQSLIVAVANAASLPVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIAKVIFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPAIGYPGRSYRFYQGNPVYKFGYGLSYSSFSSRLFTSFSMTNAGGVSSDTSALAGITVRSTEEDGGVSYVVGEIGIERCNELVFPAMVEVENHGPMDGKQTVLMYLQWPTANGGRPARQLIGFRSQHLKVGEKANLKFDVSPCDHFSWVSETGEKVIDRGAHFLIVGDEELEISFGLTGLS >LPERR11G09320.2 pep chromosome:Lperr_V1.4:11:8972643:8978806:1 gene:LPERR11G09320 transcript:LPERR11G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGILLPPLLLLLLLTSAALGGEPAYSCGPRSPTAGQYYAFCDARLPAARRAADLVRRMTAAEKVAQLGDEAGGVARLGVPGYKWWSEGLHGLSYWGHGMHFNGSVTGITSFPQVLLTAAAFDDNLWFRIGQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSSPGVLQTSACCKHATAYDLEDWNGIARYNFNARVTAQDLADTFNPPFKSCVVDGKASCVMCAYTDINGVPACASSDLLTRTFRGEWGLDGYVSSDCDAVALMRDAQRYAPTPEDTVAVAMKAGLDLNCGTYTQEHGMSALQQGKLSEKDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHKNLALEAAQDGIVLLKNDAGILPLDRAAVASAAVIGPNGNDPAALNGNYFGPPCETTTPLQGIQRYVTRVTFHSGCGSPACGFAATAQASAIAGSSDYVILFMGLSQDQEKEGLDRTSLLLPGKQQSLIEAIAAASKRPVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYQGNPVYKFGYGLSYSKFSRKVVSRASANRPGRPNRNLLAGLIPKPAADGGESYHVEEIGENGCEKLKFPVAVEVHNHGPMDGKHSVLMFVRWPNATTGVSRPASQLVGFSSQHVKVGEKTKVEMEINPCEHLSRAREDGKKVIDRGSHFLKVGEEDDEWEISFDA >LPERR11G09330.1 pep chromosome:Lperr_V1.4:11:9002229:9004384:-1 gene:LPERR11G09330 transcript:LPERR11G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPTIDFFNELSNDEEEEAIEAATAATTVHADSLQGRKRKLILADNSDNEAADQSVPTPRVSSPPPPSAPKTRPFSPRPVKRGRLKVSNIKPNTSFTGKDDDTLLQPPTTSVIEKPVAVPTGSQSELAEGEMPSTTPPLPPQDSTMDVEPAATQAATSSDITSSIDIIPSTVTTTPAAPAQATPSPSSVLTTTVEVPSADKGKQVPGSHVAIEPSAGSDSEKTVSDEIVGWRYGPNPDQVSVLDRFEDQKSMTRLIQLMAESSDLVLKVIKNSSAKDSLLERIAPLAEKADQAQEELAILKNEVAGYRNIRSEFKDKLRDFLGHDPAVLEAKKQAEEQVLKLQAELTQLQDKNEELIKAKDSAEKKLAHSITRNVKSHEQANYYKDKLETLSKKHEDLKKKSANELSAMKVKHNEEFLKMKAELEEARRINVELCQAAEPILDNLHAATAESNTSSLQSVIEHLQSAPARLKKIILESDSVACGQTLAVIKSLYPKLDLEPITSGYAEGTTGKKALELLDQVDGMAQIMAKDALYPEEEDNA >LPERR11G09340.1 pep chromosome:Lperr_V1.4:11:9009494:9010668:1 gene:LPERR11G09340 transcript:LPERR11G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRCIDMELLLCCPLGSQRHRSRRLHRRSHVDTLVSSSTVKFFVIYFDHRRCVLLKLPLLLLLSGGYLFVPLVLLLHIRLRPLHLVAYIGSSSATLSSSPATACCSDISLSLYAILALQLPRRPCLLVPSDMAQDGRLPRVHGIGNTVVRFHPESSTGLAHLAWCLVLHGSTISAFQCIFRNNCGDCITVFVYYVSSRILVLDALPCTHNHSVAPLAHLAAWLVCSSSTPDFRHDYLDHGSTAPCTLATSTMAQGLLSILRVVGLFSSSSVRVVHAWTVGGC >LPERR11G09350.1 pep chromosome:Lperr_V1.4:11:9012218:9049445:1 gene:LPERR11G09350 transcript:LPERR11G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGSNPLLRSPNGFLGREVWEFDPNAGTPEERAEVERLRNDFTRNRFTQRESSDLLMRMQENSSQVTEEVLLTALRRVLKQYSCIQGEHGHWPGGYNGILFILPLTNQDGGWSTHTLGPSTMFGSCVNYATLRLLGEEMHGDNVALSKGRAWILSHGSAAAAPQWAKIYLSVIGVYDWSGNNPIIPELWMLPHFLPIHPGRFWCYCRMVYMPMSYIYAKKFVGPITPTILAIRDELYNVPYNKISWTCARSSCCQDDIIYPPSWLQCVAMASLSKFVEPVFNMWPMKKIRQRALSNLMDHIHYEDETSNYIGLCPINKVLNMICCWIENPNSDAFKQHLPRINDFLWLAEDGMKSKVYNGCQCWETALAVQAYCSSGLAQEFGATLKKAHDFIKNAQVIKNFPNYKSFFRERSKGSWTLSNGENCWPMADCTAECLKAVLLLSKIPSNHVGDPIEEERVYDAVDCLLSFVNKDGTLSSAECQRTSFWVEFINPSESFRNIIVDYPYVECTSSLIQALTLFKGIYPSYRHEEIEKIIESGALFIEKQQRKDGSWYGSWAVCFTYATFFAIKGLTAAGRTYQNSSSIRMACDFLLSKQLSTGGWGENYISCQVEVELDPSPLYCGAKELINMQLETGEFPQQEIVGNFNSSLFFNYTNYRNLFPIWAIGEFHRRLLAKSG >LPERR11G09350.2 pep chromosome:Lperr_V1.4:11:9012218:9049445:1 gene:LPERR11G09350 transcript:LPERR11G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGSNPLLRSPNGFLGREVWEFDPNAGTPEERAEVERLRNDFTRNRFTQRESSDLLMRMQENSSQVTEEVLLTALRRVLKQYSCIQGEHGHWPGGYNGILFILPLTNQDGGWSTHTLGPSTMFGSCVNYATLRLLGEEMHGDNVALSKGRAWILSHGSAAAAPQWAKIYLSVIGVYDWSGNNPIIPELWMLPHFLPIHPGRFWCYCRMVYMPMSYIYAKKFVGPITPTILAIRDELYNVPYNKISWTCARSSCCQDDIIYPPSWLQCVAMASLSKFVEPVFNMWPMKKIRQRALSNLMDHIHYEDETSNYIGLCPINKVLNMICCWIENPNSDAFKQHLPRINDFLWLAEDGMKSKVYNGCQCWETALAVQAYCSSGLAQEFGATLKKAHDFIKNAQVIKNFPNYKSFFRERSKGSWTLSNGENCWPMADCTAECLKAVLLLSKIPSNHVGDPIEEERVYDAVDCLLSFVNKDGTLSSAECQRTSFWVEFINPSESFRNIIVDYPYVECTSSLIQALTLFKGIYPSYRHEEIEKIIESGALFIEKQQRKDGSWCVNMSYIIEFSNYINHMHTFGTNSKIFIRYGSWAVCFTYATFFAIKGLTAAGRTYQNSSSIRMACDFLLSKQLSTGGWGENYISCQVEVELDPSPLYCGAKELINMQLETGEFPQQEIVGNFNSSLFFNYTNYRNLFPIWAIGEFHRRLLAKSG >LPERR11G09360.1 pep chromosome:Lperr_V1.4:11:9071950:9072935:-1 gene:LPERR11G09360 transcript:LPERR11G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHKREGVYDIGFIDPYVVHSTNAIDQAEETERNILRFLRKQAHKTKIFFPYVFSVWVRFTSKEPGEWKMPLNVNANKQRPGTNLCAFYVAKTIMSRGQRTYSAFSDLEYRRDRVAEEDRHKAIQEALVGFLSDEVLDPKGEHYYDGRLEPASVDCNIDLDDPNFD >LPERR11G09370.1 pep chromosome:Lperr_V1.4:11:9123296:9124796:-1 gene:LPERR11G09370 transcript:LPERR11G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRVKAYVEENGRPEGASIFLEEQGSSMSDDDHRYSTSESVNTRGIYTIDGGNDVALTSGTPVQHLASLQDILGETSFDTTANPEIVQWTE >LPERR11G09380.1 pep chromosome:Lperr_V1.4:11:9127478:9130970:1 gene:LPERR11G09380 transcript:LPERR11G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDTGRLDLAASPPVTADPAARWQARQRHHPASTSMALPASQASHTGRSTQSRPRGRATAGAGAQPRRERQAEGSRRRAASQGAGRAPTYPWSAWSAKGADS >LPERR11G09390.1 pep chromosome:Lperr_V1.4:11:9137453:9158685:1 gene:LPERR11G09390 transcript:LPERR11G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVKIGEGASNPLLRSPNGFLGREVWEFDPNAGTPEERAEVERLRHNFTSNRFTHRECGDLLIRMQERRHPLSAVASALPFTITALSRNAAFLSWLCHNTTTGTVPILVPDVTIPAGLVQPLGSGLGWCVLCPASEVISLCKPMVVRAPAYFMCWEGPRYAEALVTGPDSMEPDAVMFTFTAEVEDLLNGLNAAGWSWPRLHMLKQSSKVTEEVLLSSLQCVLNQYSNIQAEDGHWPGDYSGILFMLPLTIFALHVTGTLNDVLSSEHIQRRWGLEYKYFGPQLNVWLMLLGEELENDNDALSKGRAWILSHGSATSAPQWAKIYLSVIGVYDWSGNNPIIPELWILPHFLPFIQKIEKNGLSFIGRFWCFCRMVYMAMSYIYGKKFVGPITPTIVAIREELYNVPYDIIDWNTARTSCYKDDIVYPPSWLQSVAMASANMFVEPVFNMWPMNKIRQRALSNIMDHIHYEDENTNYVGLCPINKVLNMICCWIESPKSLAVRQHLPRINDFLWLAEDGMKSKVYTGCQSWECALAIQAYCSTGLTKEFSATLMKAHNFLKNAQVAKNCPSYRSFYRERSKGHGHYQMVKMAGSIVVVKDSIKSSRRSNERRKVNKDGTLSSADCKRTSSWVEFINPSESFQNIVVDYLYGSWAVCFTYATFFAIKGLVAAGRTYDRSCSIRRACNFLLSKQQSTGGWGENYISCHVEVEIDPAPLYCAAKELINMQLEMGEFPQREVVSNFNSSLSFNYANYRNIFPIWALGEFCRRLLH >LPERR11G09410.1 pep chromosome:Lperr_V1.4:11:9191059:9199986:-1 gene:LPERR11G09410 transcript:LPERR11G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSCTRPTRPGRIGAIDPHHSFPAAASPNFAHTLSLSPLFPLSSSSPPPSSPPPQQPPRELGFLPFLPRSAAARNCTGSPRPPLPSPPLQIFVFMSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPFSVLDCAAQREAILPYVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLQENSLDDLIALLKKGKMEDNLLEFFPSTKRTAEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKSTLTTMINEEAEISEVTDAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNEFCTSGRLEVELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >LPERR11G09420.1 pep chromosome:Lperr_V1.4:11:9210998:9216421:-1 gene:LPERR11G09420 transcript:LPERR11G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAARQLVATAVTLLLLMSAARSDQVVPRLFLQEEIASDYDDGVGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFTKAIGGKLDYCIKDTNKEWNAAFNFSKDTSFLTNCMKQTNGDFQQRVCTAAEMKFYFNSVLDAGESGETYVRPNKNCNLSSWIDGCEPGWACSAGKEQKINLQDAKDIPFRAVDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPPGNPNHTCGAADNWADVSSTDDIFCPPGFYCPSTTQKLPCSSGFYCRKGSISQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKNVAKKAGSGLQSQLSRTFSRKKAVQTPKGGGLPSDGGGRKKNLTDMMQSLEDNPENEEGFNLEVGDKGLKKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIGFKGLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGINVPERVNPPDYYIDILEGIVKPTMSAGVTVKDLPLRWMLHNGYDVPRDMLQSFSESESSSFRGGSTDPTACNDGSVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIHYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVIANAQNYSGVWLITRCGSLVKSGYDINDKALCIIVLVANGLVFRCVAFFCMVTFQKH >LPERR11G09430.1 pep chromosome:Lperr_V1.4:11:9222592:9224088:-1 gene:LPERR11G09430 transcript:LPERR11G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALCDDLLQEVFRLLPPAAAPAVSLVSRRWYALLRASIASLTLRLPPPHHPDASVLLLPPLAALLSRFPFLSALAVLCSSTSATSAPTQIADAMLLAVASSASAARLSGLRFLPDSAVSPTALVAASPAFRALASLHLTALRPLSFRWIASLPRLKSFYLVNSAAASVDSAGLSSDDVDGGGEAVGDLPLERLSLCGIRSGDRGVGWLWRRCGNLQWLQLRACDGTGDGPSSQLFAGCLVGLLALELRTCRSVSDRVLLLAADRCRALRSLLVYDGGSREALHRFIHQRGAALHTLDLRLPLDLRNDHLLAIGAEQGQQLSHNAVHSRSLAALRLQSCVLITGDGLRSLARTAAGAGIEELALVNCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKELGAMLSSCHNLIDIRLRGCRCLTRASLVSLLRYCGRSVEVIDITRCLRIVAADVELFAQEATRLNQMIIDESSVSEELQAVAHKKSIRIGPLPCD >LPERR11G09440.1 pep chromosome:Lperr_V1.4:11:9244717:9249825:1 gene:LPERR11G09440 transcript:LPERR11G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSWSSSSSCTSSLGSLDEDAVVAACVVKAAAPAAAEGSVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFYELHRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTPPSTPPSSVVSRTPVPHAQHHYHTTRSAPSSCASFRWAAHQVSSPPARVPQHQHTYNRHSGEAPPHRYLVHSGIHWQ >LPERR11G09450.1 pep chromosome:Lperr_V1.4:11:9254032:9256578:1 gene:LPERR11G09450 transcript:LPERR11G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLCFVLPMDADEVVLVDAAAGLEDTGRRTAASYVRGKVGRALRCLRHGFCGCGRADRCHDSSGVSGFEDIAGVDEVGGARKLAGAGGVGTSPRIFSYSELYIGTNGFSDGEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQQQDEEEDELLLVYDYMPNRSLDRLLFGPSPALSWDRRRRIVSGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMAEDAPLDQVSPSPHSVRSSSFASANYQFRLMDTSKIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYQLFDMGRLMHLGLLCSLHNPRSRPTMKWVVENLSGGGGSCSGDDLPPLPSFLALPKYVSLSDSGGTTTTDCSTVTSAASKIYGTAAGSTIYLTAEDGSRRSIGGSSSSQRSARPAVVIPSVDTPREISYKEIVAITNNFSESQVVAELDFGTGYEGFLDSGAHGRVHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDHSPGSLLSHHLTGRRRRHDRDRVLLSWRHRYGIVKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNETHGGHHVLTTTTSARGIFGYMSPEYMEAGEATAMADVYSFGVLVLEVVTGATAVDATSPEVLLVRKVQRWKEQSRPVETIVDRRLGGQVDLLELQRLVRLGMACTQSDPAARPTMRKIVTILDGNDEILNKFEQRKQSKEEWESRNAVALSLVRRIHALAIH >LPERR11G09460.1 pep chromosome:Lperr_V1.4:11:9260944:9263881:-1 gene:LPERR11G09460 transcript:LPERR11G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPDHQLAAADESWARSLLRRGWDLTWKVAVVGAAATAAPVLAPPVVLLSAASLALSVPFAAYLASLAATDRLMAALLPTFPTAHSYAREDDDHADQEFLDASEEPGDEDPVFRRCCTMMPEEEDEGVRLASLPMPLESFQESSVSRNGDQIENGTTMEEKESTKETVALTSDVSEFLVPMEGVKGNLVEEGEQDEIAVQQLGQYPIVLETGDKSEESTAMEEKESTKEIPPEDLYVSGTPVSVFTAEDNVIMSDAESEVVVEVSLEEVASNTDIVTTEMVDMHVENVAIESPNNKMMPLSDLMGSVSQAMGKTSFDGEMQETAVMEGIVRDLSDANIKDMQHHDQEVVCSSISAASPFAVHDREDAIFSGNTQDIPGICDEVSSQADQGTGFRYTLMKEEFGAKVESEDKHLYTEAQLWEQLETVRTITGYRSPPSSTLVAELAGMYLFVGVEPPVSSRDASDLKEINAKLRLLKSIIGVD >LPERR11G09470.1 pep chromosome:Lperr_V1.4:11:9267565:9274100:1 gene:LPERR11G09470 transcript:LPERR11G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPLRLCDLIYEDCGDSPTSNPDGSTLEENLSPHADAECRSPTLAGQKEHQEFFNAMKESFRKAFKIVDKELRLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVARQLTVDLKPDHPRESRRIRRCNGRVFAHHDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRRITEKDEFIVLATDGVWDVLTNQEVVDIVASCSGRSTAARSVVDLANETWRFKYPTSKTDDCAAVCLFLNKYDVTSGLSGHPVSSSGVGSRPRLPALSGKKVTPEDVDDGSDSNISGDERSLDGFTRLNTLLALPKFGDTSPTKK >LPERR11G09470.2 pep chromosome:Lperr_V1.4:11:9268529:9269503:1 gene:LPERR11G09470 transcript:LPERR11G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPLRLCDLIYEDCGDSPTSNPDGSTLEENLSPHADAECRSPTLAGQKEHQEFFNAMKESFRKAFKIVDKELRLQRNIDSICSGTTAVTLIKQIPHAGYLKLRVYHQGHDLIVGNLGDSRAVLGTRDQNDKLVARQLTVDLKPDHPSNLLHS >LPERR11G09470.3 pep chromosome:Lperr_V1.4:11:9272476:9274100:1 gene:LPERR11G09470 transcript:LPERR11G09470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGDFCLKDFGLISVPDVTYRRITEKDEFIVLATDGVWDVLTNQEVVDIVASCSGRSTAARSVVDLANETWRFKYPTSKTDDCAAVCLFLNKYDVTSGLSGHPVSSSGVGSRPRLPALSGKKVTPEDVDDGSDSNISGDERSLDGFTRLNTLLALPKFGDTSPTKK >LPERR11G09480.1 pep chromosome:Lperr_V1.4:11:9309361:9317479:-1 gene:LPERR11G09480 transcript:LPERR11G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRRPSIIVAIVAWTTPTPSSRRVLDAECRVFAVCVLDF >LPERR11G09490.1 pep chromosome:Lperr_V1.4:11:9318924:9319232:-1 gene:LPERR11G09490 transcript:LPERR11G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALPSLIENLDKLKQHKIELEKLLADVNANTQSAEQAIVDHPASVEACREEVKAAITYAQSLKKELKPVSGTDAADAALIDEADQIRVRAINAINEFLAQ >LPERR11G09510.1 pep chromosome:Lperr_V1.4:11:9346917:9348505:-1 gene:LPERR11G09510 transcript:LPERR11G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNERVRGRRIPAFGEWNYDDGGCYGYGYRDGDWPVTQYFDSAMQMHTAGGLLISLPPSPDPPKKAVKWIDSGALGEEEKQRQRQQHKVVVGLADHGAKLQGGKGKQSRVADAGAHAAVARVVVKEAVDRDLYEIPPDMLCHKPRKRLTRSLWMGCLGLSCVA >LPERR11G09520.1 pep chromosome:Lperr_V1.4:11:9371662:9372857:1 gene:LPERR11G09520 transcript:LPERR11G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQPPSHNQQDNTRKHESSIKSSTTVCNTRGSPRSGDHDLLSSTPTSLACPSEKGSSVHASSWNVNSSDQPIPISLLPPKKRYLRRLQLNQASEVSPRVVPVEVPAQDPSVESNKGVHLGQNSKKDYLSDIPISALPPKKRYMRQIRLTQASEAKKRNILQQQLTQASEARPPTVVVAAPGQVPLTGSNKGASLGQKNNHDNSLGPINWRSTRWWNYQKRSLDDADNAEKNDAINSQNVGNATAGKRNRVKWGHGLAKYEKEKREKSNDLPVDGDNADIDNSSENMTQLWIAQPPCMHLHLEATSHQVKH >LPERR11G09530.1 pep chromosome:Lperr_V1.4:11:9377879:9379516:1 gene:LPERR11G09530 transcript:LPERR11G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPVDDDSAKNVSPDDEIREKGETEWTDDELHRFMKAMDNSNFDLKMISNYVGTRTICECKDLCHKYQRLFCSVMIHEANENIVVEEVSNSTPENGVTRLRSAISSKPCSMDNEQDQRPSIGDHGNEDAFIVSMSIAHDDQIKSPENNPQLCITTMDGKYSALYDLDIVRSPVQSEKMELNPVGIDNKVITQKENPQISHENSEPVIHSKGSSCVKLNGIELSSSDNANIDFNRNLSKTASGVNSPRVAVSFDLSSSPVVDLMESETSHTETLTGSSSPTLPATNVLVSENGKRGSIRSELPDNCLKSSKFHQEGQSFPTMQIGTTIESSFSQADDILSAQKIQHPKTTILETTKDPAKKTSFVRIFGKIFNEDSCMEVNSNSDKYSNIDDGLTFNVTTSMVLPNTMCGDLACPSRLRSSDLFKMGQNNLSNVRTGSTDLVNNQQAADSNVISFGKTRDDVASHLWTVPSGIVNLGEQPVKNSTLEGRRMFNGYPCLSDLTHMIANFQALGSGGQSTKTDGGSTRNTKAMESKQQCKEISPQIS >LPERR11G09540.1 pep chromosome:Lperr_V1.4:11:9388023:9392718:1 gene:LPERR11G09540 transcript:LPERR11G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSSKTAAEIPIIDASGPPSVADITRPTQATTPEGPAIDFNELGNEEEEETTEATTAAATVHADSLQGRKRKLVIADDSDNEAADQSVPASRLSSPPPPPAPKARPFSPRPVKHGRLKVSTVKPNTSFTDKDDDALPQPPTAEAVEEPTAVPTGFQSESVDRDVPSTTLPPSPQVTAMEVCPAAAQVTPSSTITSPVNTTPPATASTVPAAAAPSPTLAFTTTVNVPSANKGKQVQSSPMAIEPNAGSNSERTASDEKVVKNSSAKDTLLERIAPLAEQAERAQEELSLLRNEIVGYRNIRNEFKDKLRDFLRHDPALFEAKRQAGEQVQKLQAELTQLKDKNEELIKAKDSAEKKLAHAITLNVKSNEQANYYKDKLETLSKKHEDLKKKAANELSAMKAKHNEEFMKMKAELEEARRVNAEFCQAAEPILDNLHATTAESNTSSLQSSHIIKFTFNPYV >LPERR11G09550.1 pep chromosome:Lperr_V1.4:11:9428198:9429970:1 gene:LPERR11G09550 transcript:LPERR11G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGISIPMVNGAAMHLPPGYRFRPTEEVLIVNYLYPRALHMPLPCEIIADINILQHHPSDIVPEEESQTGKHFFTRKTIKYPGGHRSNRVVGNGSWRATGSEVPIYCKLGNGANNMLVGMRRTLVFYNGKSQATECMVWAMHEFRLAGVGLLPRPMMRQTTGDGLELPNGYRHATITKRNNGLSATNQGKIACARHVTIMVEPDSSWLICHVYKKRQRAPRVVFPRAIDNAIEGQVRFVDFLGHPSLEPSSPHSCIIDTISTDDMSDESVGGNGEKDGDSLYE >LPERR11G09560.1 pep chromosome:Lperr_V1.4:11:9458068:9459851:1 gene:LPERR11G09560 transcript:LPERR11G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGISIPMVNGAAMHLPPGYRFRPTEEVLIVNYLYPRALHMPLPCEIITDINILQHHPSDIVPEEESQTGKHFFTRKKIKYPGGHRNNRVVGNGSWRATGSEVPIHCKLGNGANNMLVGMRRTLVFYNGKSQAAKCTVWAMHEFRLAGVGLLPPPMMRQTTGDGLELPNGYRDATITKVEPDSSWLICHVYKKRQRAPRVVILPAIDNAREGQVRFIDFLGQLPLEPSSPRSCITDTFSSDDMSDESAGGNEEKDGDCLDEEN >LPERR11G09570.1 pep chromosome:Lperr_V1.4:11:9464889:9465200:1 gene:LPERR11G09570 transcript:LPERR11G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSEVPVYYKPTNGGVDDMLVGLEHTLAFHYGKSSSGLLHCPLMQNFLHLPVMGRVTSGGSSNAKVTIAMAGHCTQTSYIVTLSSTILL >LPERR11G09580.1 pep chromosome:Lperr_V1.4:11:9497016:9498794:1 gene:LPERR11G09580 transcript:LPERR11G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSSALSSMEVMLDALMQRGIGKPEEKPKEEAPPALPTRPTVRGRLPSLQRPGVPAPWAHRPPPLPPSQEEEEKCAVNLELERRAMVAEEAVREKDDVVRQKDDEIASLRQQVEHYESRLSECEARMRSVEEELQKQITTLQIAQSNAGRSGGSSMATRHRHDLSGSTGAGKEASSVTRHQPQQPPRAGEPVIVAVDERQTEAVNRLATELRRESEAFEHGARAVVATDTRPPGAAKSVDELKRLKRQFGAWKKEYEARLRKTKAELKKLVRSERGGQGHGNGTSRRRCCSWRISCKMPKCRLPKWSCAIKLPSCAICCCFRRCC >LPERR11G09590.1 pep chromosome:Lperr_V1.4:11:9499973:9504161:-1 gene:LPERR11G09590 transcript:LPERR11G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPLSLSLSLYLPSVASRPASRIHHRRVCPSRYTIVCLAAPLKVPIPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEGMDMDGTLEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREETRNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMHGKAEGADNTENENAGSDSKLEPLTGISGRGSVTGVKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVQELFLNHINGSGEVVYSPSTRFSSGFCYGTELIQETS >LPERR11G09600.1 pep chromosome:Lperr_V1.4:11:9509015:9509365:-1 gene:LPERR11G09600 transcript:LPERR11G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVRFFLDSGARGNQRDRDRERAQARKPNAKGPGDGLTPEQRRERDKKALEEKAAKKAAQAAGGGGGTSTDNKNKAGCAKK >LPERR11G09610.1 pep chromosome:Lperr_V1.4:11:9514743:9517691:-1 gene:LPERR11G09610 transcript:LPERR11G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHCDEHRQANARKKGGLRPIPVIIGNVFGFTKSANEVSERIVSSSVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPVCGAIVSDALLGRYLMVTLTLFSCTIGTSMLFLTSLIPKLTPPICAIPNQGCASATPLQLFILCACLGFMSLGASGVRPCCLAFAEDQIAHWDDTHKDRALRSLFSWYYVSVGFAQIVAITILVYFQDQMGWKIGFGVSAAIMLSMTLVNLAASPFYVKVKPEKSIWVSLLQVVVVAVKNRHLVLPETHQNIQFHNASGSRELVPSEKMRFLNKACILGNHATSVSYGVSNTNSWNICTVEQIENLKSLLSVVPMWSAMVMTFLIQIQNSSFGVLQAATMDRRIGTQKFQLPAGSISIFEIVTFTIWSGCYDRCIVPFLRRITGRQQVLNLKQRMGIGVFLSILSMVVASTVETYRRKAAVMEGLQQAANGTLQMSVLWLAPQYVIIGLAGALSTIGQIEFYYAVLPKSMGSFVLALLFFASGVASVIATLVVKTVNMTTSRNGMAPWLSNNLNEGHYNYYYFLLAIFGAIDMVYFIVCSYVFDESSQNMSLETGGDAKEMTEFLS >LPERR11G09620.1 pep chromosome:Lperr_V1.4:11:9524353:9526159:1 gene:LPERR11G09620 transcript:LPERR11G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGCSASGLVAAGLILLVCSATAAAGETHVVGDSKGWGFSIAYDSWAGGKVFAAGDTLVFNYQAGVHNAVAVSAAEYRSCKVRSSADTAPTAAGTAKLDLKKGVNYFICGVPGHCAAGMKLRVVAN >LPERR11G09630.1 pep chromosome:Lperr_V1.4:11:9533736:9537962:1 gene:LPERR11G09630 transcript:LPERR11G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAESLPPRCKIEAATLVGVPSYNVGDLHLFDASSSSHGGRELVPPTHHCRSYRCHR >LPERR11G09640.1 pep chromosome:Lperr_V1.4:11:9580431:9582071:1 gene:LPERR11G09640 transcript:LPERR11G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSPWRKLGEAEAAVNRAVAASRVGKYFKLEARKSTFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCSPVTVGNSTAFPGPECRVGSNPGYEACLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYGTALAGVMVEGIVFFVLSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGPSPSTLVTLAACSDTDPVTGACAAGTTMRSPTFWLGAVGFLVTATCLSRDVKGGMIYGIVFVTVVSWIRGTSVTVFPRTAAGDAAFAYFKKGVDFHVITTTAGKLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFFAAGTDGGFEGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPILTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYVALHFFDWVRLAYNKVLDERRNQVAASSSASEATMAAAATTEAAVAHEV >LPERR11G09650.1 pep chromosome:Lperr_V1.4:11:9588327:9590595:-1 gene:LPERR11G09650 transcript:LPERR11G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPSGPEAPQMGFEEEDAYFWKQMGKGMLCTYALFGVAWLWNETSPLGWWTLKPRPKEEREMAHLYERREFPYPGDDEAI >LPERR11G09660.1 pep chromosome:Lperr_V1.4:11:9599786:9600886:1 gene:LPERR11G09660 transcript:LPERR11G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSVAIVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNFKPGAHNVLAVDAAAYRSCKVSGAAADSVAAATGTASFLLKKGVNYFICGVPGHCAAGMKLRVVAN >LPERR11G09670.1 pep chromosome:Lperr_V1.4:11:9612831:9615932:-1 gene:LPERR11G09670 transcript:LPERR11G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGSLLTLAKQFKLVFKDVQHIRVLRLPTLTYSIDYLLCNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSNLPRSINNLVNLRHFVARGELHAQIAGVGRLKFLQELKEFRVGMTTDFQIGQLNGLRELGGSLAIYNLENVCSKEESKNAGLRDKIYLKDLLLSWCSNRFDVRSVIEEEVLESLQPHSGLKCLSIKDYGGITCPKWLSFINPLISLETIYLDNCTKWKVLPPLGQFPLLRKLHLIQLPASRVVLTVSCDDDWTGSKKQVIFPCLEELVIRDCPELRTFPFSPYSYEDKSSHTFDCLSHVTIYNCPQLMDLPQFGKTKYLSTISIEGIGSFPDIRLLVRTLYIKGCGSPHKLHEILMLIESNLSLLEKLTLPFLLFLTIGKCAKITSLVVGDLITERGSSSTSVYLQSTTDGLLQIPSHLLIRLQYLCIEDFPDLTFLSKEGYHGFTSLQTLHITGCAQLLSTMMTESETYNANSSLLPPLLHDLMVTHVRNELLPLLLSNLTSLSIIAISKSPELSSLTLHSCTSLETLIIEKCVGLSALEGLHSLTNLKHLRIFQCPNLVKTWDSSSADRQNHGPDFSLHLEKLEIDATMFFNTEFCKRLSSLRHLVFFMAKNVRSFTEEEKALCHLRSLHEIDFCYCPDLSLPKVLHCLPSLKQLSIKACPGIQSLPEEGLPASLYELYVSNCNAELKEQCKKIKNVRCVYVDRNASKFVVICKLLRLYFRYCITILIYYYLCFKNKINDTF >LPERR11G09680.1 pep chromosome:Lperr_V1.4:11:9616738:9617271:-1 gene:LPERR11G09680 transcript:LPERR11G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGAKRARHSGDDSSAGRIKGILERMCEAGDDVHDAIKREKLDAIVIGGGKGDGIIRRGPTTSYSTEPKVFGRDTVKEHIVGLLISSETCGTDLAVLPIVGNGGVGKTTLAQLVYCDTRVQVHFSKRIWISVSFDFDEVRLTREILDCVSNGASKHDGITNLNKLQEILEDDIKSE >LPERR11G09690.1 pep chromosome:Lperr_V1.4:11:9627810:9631859:1 gene:LPERR11G09690 transcript:LPERR11G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTRSKALTGSSSNPDESITPSNDSSFDPSKGPLLAPSVAMDLQEPVLETNNTTKDDSTDAETNNGSDDDMNDIDQGHVDSDYLRGQSIGEARLQSNLHIAASSSKGKEIDANVWKKNKSPTSASIRPMKKKLQSDCARSTIINLKNMEATCYAKAFEPLGQTTNMAGLGGFADLFSQLDDGNFSSEEREMLRKCISNYANENAAINNEYMVSVNNAEMKKNEETLKSTIRVMKVFATVCNNRAIRLEKTGRIPMVDANTSTSMPTTSTTMPSTPLDTDIKSMPSACADTLLRKSGAPEKRLFTKNQVRKQVGGERWILRNK >LPERR11G09700.1 pep chromosome:Lperr_V1.4:11:9650395:9697549:-1 gene:LPERR11G09700 transcript:LPERR11G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAKSSSYEACCAEGLDLSKGLDKSWFLSFFFLLLFAATAAGSLTRTNVASLPGFDGALPSRLETGYVTVDEDNGAELFYYFIESEGDPSTDPVLLWLIGGDRCSVLSGLLLEIGPYKLVIEPYDGELPRLRYHPYSWTKLASIIFVDSPVGVAFSYSRDPKGYDVGDVSASMQLIKLLKVHPEYLSNPFYVGGDSYAGKIVPFIVQKISEDIESVVRPTLNLKGYLVGNPGTGESIDHDSAVPFSHGFGIISDQLYEMIMEHCKGEDYDNPKNEICRQGLTRFESLLDEGSHAQILDRKCIYASPVLNHETIYRKILMEKIGVLKHAPPQPSIKCGVYANYLSYFWANSNVTRKTLGIKKGTVNEWVRCHEHDLPYTKDISSSIKYHHNVTLKGYRALVYSGDHDAVIPFLGTQAWVRSLKYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGGGHTAPEFEPERCFAMFKRWISNMAL >LPERR11G09700.2 pep chromosome:Lperr_V1.4:11:9665827:9697549:-1 gene:LPERR11G09700 transcript:LPERR11G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAKSSSYEACCAEGLDLSKGLDKSWFLSFFFLLLFAATAAGSLTRTNVASLPGFDGALPSRLETGYVTVDEDNGAELFYYFIESEGDPSTDPVLLWLIGGDRCSVLSGLLLEIGPYKLVIEPYDGELPRLRYHPYSWTKLASIIFVDSPVGVAFSYSRDPKGYDVGDVSASMQLIKLLKVHPEYLSNPFYVGGDSYAGKIVPFIVQKISEDIESVVRPTLNLKVIPVQGKALTMTQQCRFPMDSESFQINYMSFASPMPKLGTIDRKILKEDGGVLQHPPPQPSIKCTGYAGYLSYFWANSNVTRRTIGIKKDTVNEWLRCHEHDLPYTSDIRSSIKYHRNVTLKGYRALVYRLEMLRHKREGVYDIAFIDPYVVHSTNVVDQPKEMERNILRGYHDAVVPFLGTQALVRSLKYPIIDDWRAWHIDGQSAGFTISYGNNLTFATVKGARHTAPEFEPERCFAMFKQWISNMAL >LPERR11G09700.3 pep chromosome:Lperr_V1.4:11:9650395:9697549:-1 gene:LPERR11G09700 transcript:LPERR11G09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAKSSSYEACCAEGLDLSKGLDKSWFLSFFFLLLFAATAAGSLTRTNVASLPGFDGALPSRLETGYVTVDEDNGAELFYYFIESEGDPSTDPVLLWLIGGDRCSVLSGLLLEIGPYKLVIEPYDGELPRLRYHPYSWTKLASIIFVDSPVGVAFSYSRDPKGYDVGDVSASMQLIKLLKVHPEYLSNPFYVGGDSYAGKIVPFIVQKISEDIESVVRPTLNLKVIPVQGKALTMTQQCRFPMDSESFQINYMSFASPMPKLGTIDRKILKEDGGVLQHPPPQPSIKCTGYAGYLSYFWANSNVTRRTIGIKKDTVNEWLRCHEHDLPYTSDIRSSIKYHRNVTLKGYRALVYRLEMLRHKREGVYDIAFIDPYVVHSTNVVDQPKEMERNILRGYHDAVVPFLGTQALVRSLKYPIIDDWRAWHIDGQSAGFTIAYGNNLTFATVKGGGHTAPEFEPERCFAMFKRWISNMAL >LPERR11G09700.4 pep chromosome:Lperr_V1.4:11:9650388:9697549:-1 gene:LPERR11G09700 transcript:LPERR11G09700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAKSSSYEACCAEGLDLSKGLDKSWFLSFFFLLLFAATAAGSLTRTNVASLPGFDGALPSRLETGYVTVDEDNGAELFYYFIESEGDPSTDPVLLWLIGGDRCSVLSGLLLEIGPYKLVIEPYDGELPRLRYHPYSWTKLASIIFVDSPVGVAFSYSRDPKGYDVGDVSASMQLIKLLKVHPEYLSNPFYVGGDSYAGKIVPFIVQKISEDIESVVRPTLNLKVIPVQGKALTMTQQCRFPMDSESFQINYMSFASPMPKLGTIDRKILKEDGGVLQHPPPQPSIKCTGYAGYLSYFWANSNVTRRTIGIKKDTVNEWLRCHEHDLPYTSDIRSSIKYHRNVTLKGYRALVYRLEMLRHKREGVYDIAFIDPYVVHSTNVVDQPKEMERNILRGYHDAVVPFLGTQALVRSLKYPIIDDWRAWHIDGQSAGGVDTLHQSLSQRDALQCLNDGFRTWHFDK >LPERR11G09700.5 pep chromosome:Lperr_V1.4:11:9650395:9665788:-1 gene:LPERR11G09700 transcript:LPERR11G09700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQDLIAVDEVRLAVVVTLLLFFFTAADAAGSLSMTNVASLPGFDGALPSRLETGYVTIDEDNGAELFYYFIESEGDPSTDPVLLWLTGGDRCSVLSALFFEIGPLKLVIEPYNGELPKLRYHPYSWTKVASILFVDSPVGAGFSYSRDPKGYDVGDVSASMQLIKLLREWFIEHPNYLSNPFYVGGDSYAGKIVPFITQKISEDIEAGVKPTLNLKMIMEHCKGEDYDNPKNEICRQGLTRFESLLDEGSHAQILDRKCIYASPVLNHETIYRKILMEKIGVLKHAPPQPSIKCGVYANYLSYFWANSNVTRKTLGIKKGTVNEWVRCHEHDLPYTKDISSSIKYHHNVTLKGYRALVYSGDHDAVIPFLGTQAWVRSLKYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGGGHTAPEFEPERCFAMFKRWISNMAL >LPERR11G09720.1 pep chromosome:Lperr_V1.4:11:9753991:9754932:1 gene:LPERR11G09720 transcript:LPERR11G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGLVLKVVKNSSTKDTLLERIVPLAEQAERAQGELSLLRNEIACYRNIRNELKDKLRDFLGHDPALIEAKKQVEEQVQKLQAELTQLQDKNEELVKEKDSAEKKLAHAVNLNVKSHEQANYYKTKLEALSKKHEDLMKKAANELSAMKTKHNEKFMKLKAELEEARRMNAELCQATEPILDTLHTTTAESIGSRETQEGYP >LPERR11G09730.1 pep chromosome:Lperr_V1.4:11:9781685:9783170:-1 gene:LPERR11G09730 transcript:LPERR11G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVVTALLSFFFFLLFAAVAGGSLTRTNVARLPGFDGVLPSRLETGYVTVDEENGAELFYYFIESEADPSTDPVLLWLTGGDRCSVLSGLLLEIGAQHAHACTDRFGHYGDLPRLRYHPYTWTKGYDVGDLSASMQLIKLLREVCALFSDIEDGAKPMFNLKIIMEHCKGEDYDNPKNEICRQGLARFESG >LPERR11G09740.1 pep chromosome:Lperr_V1.4:11:9788063:9793852:1 gene:LPERR11G09740 transcript:LPERR11G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQPQQAVTAAATSGVWKTVKPFANGGTSGMLATCVIQPIDMVKVRIQLGEGSAATVTKKMLANEGVRAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAVIGLTAGAIGASVGSPADLALIRMQADSTLPAAQRCNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGEVTTVLGASAISGFFASACSLPFDYVKTQIQKMQRDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTLIFLNEIQKLEKRLGI >LPERR11G09740.2 pep chromosome:Lperr_V1.4:11:9788025:9793852:1 gene:LPERR11G09740 transcript:LPERR11G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQPQQAVTAAATSGVWKTVKPFANGGTSGMLATCVIQPIDMVKVRIQLGEGSAATVTKKMLANEGVRAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAVIGLTAGAIGASVGSPADLALIRMQADSTLPAAQRCNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGEVTTVLGASAISGFFASACSLPFDYVKTQIQKMQRDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTLIFLNEIQKLEKRLGI >LPERR11G09740.3 pep chromosome:Lperr_V1.4:11:9788084:9793852:1 gene:LPERR11G09740 transcript:LPERR11G09740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQPQQAVTAAATSGVWKTVKPFANGGTSGMLATCVIQPIDMVKVRIQLGEGSAATVTKKMLANEGVRAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAVIGLTAGAIGASVGSPADLALIRMQADSTLPAAQRCNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGEVTTVLGASAISGFFASACSLPFDYVKTQIQKMQRDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTLIFLNEIQKLEKRLGI >LPERR11G09750.1 pep chromosome:Lperr_V1.4:11:9802463:9806715:1 gene:LPERR11G09750 transcript:LPERR11G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPVTSPPIWFVVLFAVGLHAAVMWAATFLAWLRRAFLRPGKDLSRRHGEWAVVTGATDGIGRALAIELARRGHNLVLVGRNPTKLAAVSAQIRASAAAAAPASCKVRTVAFDLAAGEEEEMSCGVARVAAAVEGVDVGVLVNNAGATYPCAAYFHEVPTPVWEAVVRVNVEAATRIARAVVPAMVAKGRGAVVNVGSGSSIVVPAFPLYAVYAATKAYVDQLSRSLHVEYKYHGVDVQCQIPLYVATKMSPVKDYSPFIPSPEVYAKAAVHCIGYEPRCVPYWRHSIQWFFASLLPDSALNLWRLQVGIKKRNEMKALLGENSGFS >LPERR11G09760.1 pep chromosome:Lperr_V1.4:11:9811140:9818997:-1 gene:LPERR11G09760 transcript:LPERR11G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLIPFLLLLLCVSAADRRTNVSTLPGLDAPLPSHIETGYVTVDEEHGAELFYYFIESEGDPSADPPYDGVSLPRLRRHPYSWTRFANILFVDSPVGAGFSFSRDPKGYDVGDVSSTLQLVEFVNKWFTDHGEFRANPFYVGGESYAGKLVPLLVQKISQDIEVGGNPVVNLKGYLVGNPGTGDSIDFDSKVPYGHGVGIISDQLYEMILEHCGREDYNNPKNAICAQALNRFNDLMGEVEGAHILYKKCAYVSPKPDDDTTGRKILMEELVEQNRRPARPPMDCVTYPNYLSYFWANNNITREMLGIEKGTVDEWVRCDDDKLLPYSTDTGSIIMYHRNLTSRGYRVLVYSGDHDSLVPFLGTQAWIRLLNYPIVDNWRAWHVNGQSAGFTITYGTNLTFATGGGHTAPEYQPERCFEMRLGRARSPGQLPSQEKGVKQTGR >LPERR11G09760.2 pep chromosome:Lperr_V1.4:11:9811140:9818997:-1 gene:LPERR11G09760 transcript:LPERR11G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLIPFLLLLLCVSAADRRTNVSTLPGLDAPLPSHIETGYVTVDEEHGAELFYYFIESEGDPSADPPYDGVSLPRLRRHPYSWTRFANILFVDSPVGAGFSFSRDPKGYDVGDVSSTLQLVEFVNKWFTDHGEFRANPFYVDIEVGGNPVVNLKGYLVGNPGTGDSIDFDSKVPYGHGVGIISDQLYEMILEHCGREDYNNPKNAICAQALNRFNDLMGEVEGAHILYKKCAYVSPKPDDDTTGRKILMEELVEQNRRPARPPMDCVTYPNYLSYFWANNNITREMLGIEKGTVDEWVRCDDDKLLPYSTDTGSIIMYHRNLTSRGYRVLVYSGDHDSLVPFLGTQAWIRLLNYPIVDNWRAWHVNGQSAGFTITYGTNLTFATGGGHTAPEYQPERCFEMRLGRARSPGQLPSQEKGVKQTGR >LPERR11G09760.3 pep chromosome:Lperr_V1.4:11:9811140:9818997:-1 gene:LPERR11G09760 transcript:LPERR11G09760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLIPFLLLLLCVSAADRRTNVSTLPGLDAPLPSHIETGYVTVDEEHGAELFYYFIESEGDPSADPPYDGVSLPRLRRHPYSWTRFANILFVDSPVGAGFSFSRDPKGYDVGDVSSTLQLVEFVNKWFTDHGEFRANPFYVGGESYAGKLVPLLVQKISQDIEVGGNPVVNLKGYLVGNPGTGDSIDFDSKVPYGHGVGIISDQLYELMGEVEGAHILYKKCAYVSPKPDDDTTGRKILMEELVEQNRRPARPPMDCVTYPNYLSYFWANNNITREMLGIEKGTVDEWVRCDDDKLLPYSTDTGSIIMYHRNLTSRGYRVLVYSGDHDSLVPFLGTQAWIRLLNYPIVDNWRAWHVNGQSAGFTITYGTNLTFATGGGHTAPEYQPERCFEMRLGRARSPGQLPSQEKGVKQTGR >LPERR11G09770.1 pep chromosome:Lperr_V1.4:11:9850580:9852466:-1 gene:LPERR11G09770 transcript:LPERR11G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALRRLRPPRLRLATAAANYSGANSIVYAPTASSAAAVGANNVKDSNRRIAELAAAGRISDARRLFDGTPHRDVVSWTAVVAAYARRGMLREARELFDRPDARRNVVTWTALLSGYARARRVDEAKALFARMPERNVVSWNTMLEAYAAVGRVEEACALFGRMLVRDASSWNILLAALVRSGSLEKAHQVFERMPVRDVMSWTTMVSSLAQSGSVDEARVLFDAMPERNVVSWNAMISGYGQNQRIAEALELFTKMPLRDVASWNIMITGFIKNKDLKSARELFDEMPRRNVITWTTMMNGYLQCMQSEMALELFNCMLVEGIRPNQVTFLCSLDACSNLAALCEGQQVHQMICKTSFQFDTFVESTLMNLYAKCGEIRLARKVFDFSTEKDLISWNGIIAAYAHHGFGIEAMHLYKKMQENGYMPNDVTYVGLLSACSHSGLVDEGLKIFESMVKDSSIVVRDEHYTCLIDLCSRAGRLDDAKRLISWFKIKPTSSTVWSALLGGCNAHGNESIGDLAAKNLLEAEPDNAGTYTLLCNIYASAGKWKEAAKIRSAMNDRGLKKQPGCSWIEVANKVHVFVSRDKSHCESDLITDLLQNIHHMMRMAGSVPRDHILIDVELVDI >LPERR11G09780.1 pep chromosome:Lperr_V1.4:11:9858622:9868489:1 gene:LPERR11G09780 transcript:LPERR11G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRLPAAAAAVLLLVLLAGGAASDDASSDDDAGVPASPGCSNKFQLVKVKNWVNGTEGTTVVGLSARFGASLPRDIHGAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKVAQAGGAAALLVINDNEELYKMVCSDNDTSINITIPVVMIPQSAGKKMKGLLDDGAKLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASIWTEFVACEQVDERYNQLTVTRKDGPNSGTSNREDKEIFEISAKGAIVFIIVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHACLVTLLTRFFKECGRKTVQLPFFGEVLTISAVIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLYNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWLKGELHDLWNYGRSQTENLIDEP >LPERR11G09780.2 pep chromosome:Lperr_V1.4:11:9858622:9868489:1 gene:LPERR11G09780 transcript:LPERR11G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRLPAAAAAVLLLVLLAGGAASDDASSDDDAGVPASPGCSNKFQLVKVKNWVNGTEGTTVVGLSARFGASLPRDIHGAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKVAQAGGAAALLVINDNEELYKMVCSDNDTSINITIPVVMIPQSAGKKMKGLLDDGAKLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASIWTEFVACEQVDERYNQLTVTRKDGPNSGTSNREDKEIFEISAKGAIVFIIVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHACLVTLLTRFFKECGRKTVQLPFFGEGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLYNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWLKGELHDLWNYGRSQTENLIDEP >LPERR11G09790.1 pep chromosome:Lperr_V1.4:11:9873397:9874287:1 gene:LPERR11G09790 transcript:LPERR11G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTVAGWKWQIRFYPNGHGEAAAAGFVSVYVGLAGDYRPGAKPVIANAMFTLLNRDGKPVPSYAHAMNGIDFSRNDFGTNIKRADLRAHLKDVGFVVRCDLCFDNVKPPPPQQQEEVKVKDNVKVPPSNLHRHLGDLLWKKQGCGDVSIDVQGMTFTAHRWMLAARSSIMAAELDLLSSSSSSDADDKARTTAPTTLTLSVDDDMEPKVFRALLHFIYTDVLPEMTKEEAAATATGLHVAVGRYEMERLKLICEDTLCKNVSVDTVASALMFAEKNGCRVLKAVCLDFLTCDKLE >LPERR11G09800.1 pep chromosome:Lperr_V1.4:11:9885532:9886403:1 gene:LPERR11G09800 transcript:LPERR11G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTAAFFLAWLSAFKVLLLALALGPLHPGLPLLPFLLTALLPVKLRPSAAAAAGDASEPKSSFPLLVKVAAIATILRLYESSSIQMLPRHARLAVYGIHIYLFLDLLLPSISAAVGAALGGGMELEPPFDRPYMAASLREFWGRRWNLMVPAILRPSVYGPVRAVAGREAAVLAAFLVSGLMHEAMVYYMLLRPPTGEMAAFFLLHGGLCLAEEWCACRWRWWWRPPRPVATGYGGEELLLEEWVAVAAFFHDAGRKLLRYSVSWID >LPERR11G09810.1 pep chromosome:Lperr_V1.4:11:9889156:9896484:1 gene:LPERR11G09810 transcript:LPERR11G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRDLIHRFSLNTSAVSASAASSASPSPKCPNPNRKTKPKPTPTQQNTKPRARETPRPGTRTSPIFPQISDHRIASTPMAADPSTAGAAAPDPDGPDAVRLTWNAFPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPICYSRNHFPPHYSAISEANVPAELFPQCSTIEYIVPTGVPGSQPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKLYVFRGTKEMSKEQILDQLGLAGAGAGRPGFPKMPQQPGAPQINGMHPPANAGVSRFLLPVSECECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >LPERR11G09820.1 pep chromosome:Lperr_V1.4:11:9905709:9912236:1 gene:LPERR11G09820 transcript:LPERR11G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTNNTQLAAAARRPRPISSSSSALRLAAGRCRWPSFSPTCIVSTHSPSPHPPVVAFRGCAAACASDNNAKTLTPLSLGPLLALPARVRLLRRSPSRERAAAVASGFHRSIAPSPSCTTEPKQIHEIKDLLTAQRKDAWSMRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGGLSIKTDYAVCSSRNVCSSLPQ >LPERR11G09830.1 pep chromosome:Lperr_V1.4:11:9912904:9915930:-1 gene:LPERR11G09830 transcript:LPERR11G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTADGEGVDASVPWWRRKRPRRPPPPPEADAEAVKAEALELMAAQPVLPRLVVFDLDHTLWPFQCDRLPRDEPPYLYPQARGILSALKDRGIEIAIASRASRTKGVAKSFLQKLGIHFMFGAQEIFYTWSPKSEHFQSIHRKTGVPFKCMLFFDDEIRNIIATRKLGVSCVLVEKGITLDKLRTGLRNYANSANSSASPNAEPAKGSISWYLNH >LPERR11G09840.1 pep chromosome:Lperr_V1.4:11:9918581:9921001:-1 gene:LPERR11G09840 transcript:LPERR11G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPVLQAMALVKAVAGAWALKDLVEVVAVVVTLAGAANSEEVVAGGATPALAAVLPQDHREDWSTTTTTTMKKSSTTMDSGWTVLTKRVPMSLVMRRE >LPERR11G09850.1 pep chromosome:Lperr_V1.4:11:9928749:9930521:1 gene:LPERR11G09850 transcript:LPERR11G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVKPHVVIVPYPCSGNINRAMQLAKLLHGQGVFVTFVNTEHNHLRLTGLRSEPNHLNQPAMRGTLERAPVTAEGWRRGDDEGVLPPVTCVVPTFLMSFALDVAKELGIPTMVLWGCSAAALMGHMWLGQLRESGYFPIKDAAELETIIDWIPGMPPIQLGEISGFLRKTEDPDNFGLRFNEIETKNCAKADALILNTFDDLEADVLAALRANYPRIYTIGPIGSLHHHLKDEIDDDASTGSNSSLSLWRQDTECLAWLDKQEPGTVVYVSFGSHAVLTLEQVMEIAWGLVLTGRPFLWAIRENQISGGADGCLPAEPFMEAASQSYITTWCVQEQVLFHHAVGCFVAHNGWNSTCESIAAGVPMVCWPGFADQYTNCKYACKEWGVGMQLDQMVRREQVASHIMLVMELEEKKKCAAGWKAKAEMAVRPGGSSYRNLSSMVGALIKSSQAELQTEPEPLCEIRNI >LPERR11G09860.1 pep chromosome:Lperr_V1.4:11:9966539:9970211:-1 gene:LPERR11G09860 transcript:LPERR11G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPAGIEHDIPDDLFMCSKLYIAAFEGHTDQVIALLDGNGWSSLEEQEQSTAKHHGRACSIHEVTAERSTLLHIAAAEGHEDLIAELCRRDAALLSAASSSGDTPLHSAARAGHAGAVRAIARLARENGHAVEEEVRLERNEAGDTALHVAARHGHGEAAEALVAEAAGPETAAAEVNDAGVSALYLAVMSGSVRAVRAIIWCRDASAAGPKSQNALHAAVLQSSGLATGLDINRSSPLHFASSDGDCSIIKAILAHSPPEVAHIQDNQGLSPLHAAAQMGHAAAVRLLLQFSPASADVRDKYGRSFVHVADREGNTPLHLAVVAGECKVVSKLLSNRKVQTHIMNNVGCTPSDLVKDCRGFYTMVRLVVKMYVSGAQFQPQRQDQIEKWNGQEIMKWRETTSKNLAVVSTLVATVAFSAAFNIPGSYGNDGKAVLTGDRMYDAFVVLDTFAVVSSVMATILLVYGRASRSNRSWVGFIISMHFLWMSLNSMVLGFFAAMAAVTNKKNGTKTAMSQRVKRRITRQYPFAITYTFNVVMFIVLSWVAISSVDVTRNLP >LPERR11G09860.2 pep chromosome:Lperr_V1.4:11:9966539:9970211:-1 gene:LPERR11G09860 transcript:LPERR11G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPAGIEHDIPDDLFMCSKLYIAAFEGHTDQVIALLDGNGWSSLEEQEQSTAKHHGRACSIHEVTAERSTLLHIAAAEGHEDLIAELCRRDAALLSAASSSGDTPLHSAARAGHAGAVRAIARLARENGHAVEEEVRLERNEAGDTALHVAARHGHGEAAEALVAEAAGPETAAAEVNDAGVSALYLAVMSGSVRAVRAIIWCRDASAAGPKSQNALHAAVLQSSGLATGLDINRSSPLHFASSDGDCSIIKAILAHSPPEVAHIQDNQGLSPLHAAAQMGHAAAVRLLLQFSPASADVRDKYGRSFVHVAGMKGHSSIISHAIKNRMLEHHLNAQDREGNTPLHLAVVAGECKVVSKLLSNRKVQTHIMNNVGCTPSDLVKDCRGFYTMVRLVVKMYVSGAQFQPQRQDQIEKWNGQEIMKWRETTSKNLAVVSTLVATVAFSAAFNIPGSYGNDGKAVLTGDRMYDAFVVLDTFAVVSSVMATILLVYGRASRSNRSWVGFIISMHFLWMSLNSMVLGFFAAMAAVTNKKNGTKTAMSQVIYYGMYFLVMLLTNLASPGSLIATLKFLIGGCMERQQRVKRRITRQYPFAITYTFNVVMFIVLSWVAISSVDVTRNLP >LPERR11G09870.1 pep chromosome:Lperr_V1.4:11:9981483:9985244:-1 gene:LPERR11G09870 transcript:LPERR11G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKLYIAAFEGYTNRVIALLDGNGCSSLEEKEQSTANHHGRACSIHEVTADCSTLLHIAAAEGHESLIAELCRRDTTLLSAASSSGDTPLHCAARAGHAGAVRAIARLARASDGVAEEDRLEMVLHGRNEAGDTALHVAARHRHGEAAEALVAVAGPEMAVAEVNGAGVSALYLAVISGSVQMVSLLLHWKPGLATDLDINRSSPLHFASSDGDCSIIKAILAHSPPKVAHIQDDQGLSPLHAAALMGHAGAVRLLLQFSPASADVRDKYGRSFVHIAAMKGHSSVVSHAVESRMLEHHLNSQDREGNTPLHLAVVAGECNIVSKLLSSGKVQTHIMNNAGAQFQPQRQDHIEKWNGQDFIKWRETTSKNLAVVSTLVATVAFSAAFNIPGSYGSDGKANLIGDRMYNAFLILDTLALISSVMATILLVYGRA >LPERR11G09870.2 pep chromosome:Lperr_V1.4:11:9981824:9985244:-1 gene:LPERR11G09870 transcript:LPERR11G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKLYIAAFEGYTNRVIALLDGNGCSSLEEKEQSTANHHGRACSIHEVTADCSTLLHIAAAEGHESLIAELCRRDTTLLSAASSSGDTPLHCAARAGHAGAVRAIARLARASDGVAEEDRLEMVLHGRNEAGDTALHVAARHRHGEAAEALVAVAGPEMAVAEVNGAGVSALYLAVISGSVQMVSLLLHWKPGLATDLDINRSSPLHFASSDGDCSIIKAILAHSPPKVAHIQDDQGLSPLHAAALMGHAGAVRLLLQFSPASADVRDKYGRSFVHIAAMKGHSSVVSHAVESRMLEHHLNSQDREGNTPLHLAVVAGECNIVSKLLSSGKVQTHIMNNAGCTPSDLVKHCRDFYSLVMLLYCTSLGKNFNLEAPLK >LPERR11G09890.1 pep chromosome:Lperr_V1.4:11:10020565:10022943:1 gene:LPERR11G09890 transcript:LPERR11G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPLLPSMTNRNIRFCILYIAVFAVVLLLLSFVSPAGSCSEQDSRSLLQFLAGLSQDGGLTTSWRPDVDCCTAWEGVSCGRDGMVTKVSLPSRGLHGCISPLSVANLTSLTHLNLSDNALSGSLPPELMSSLSLLVIDVSFNHLDGVLLLPWPMNTGLKLPLQVLNISSNRFAGEFPSNLSWNAIANLVMLNASNNSFTGEMPIAPLCNGSPSSSLAVLDLSDNRFNGEVSPEIASCSMLKVLNVAHNKLTGILPIKLFDVTSLEHLSFADNDLQGEIDGAHITKLTNLVTPDLGENSFHGEISESIGQLKKLEELRLDNNYMSGDLPSSLGNCTCLTTIDLKINKFSGDLGKVDFTSLHNLKILDVMRNIFSGVIPESIYSCKNLTALRISSNHMHGKIPVWLAKLKKLKVLDLSNNQLTSPMPSWINSLSNLFYLDVSNNSLTGNIPVTLMAMPMLESDNYEAHLTRLFDLPVYRISALRQYLALTSFPALLNISTNKFTGVIPQDIGQLSALSQLDFSRNKLHGEIPPAICNLTKLQVLDLSSNYLTGPIPEALNKLNFLSEFNISNNDLEGPIPTGGQMSTFSSSSFVGNPKLCGSILANCNSVKAAPTVLTTSGKQCSSEVISVIAFGVFFGVGVLYDQLVLSNYLDM >LPERR11G09900.1 pep chromosome:Lperr_V1.4:11:10053412:10054398:1 gene:LPERR11G09900 transcript:LPERR11G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCPSSRAKRATAPLDAAARARLAMAAVFVPGSSADSSGSEHEATALSNLVNEYLLDTDDDDVATVPSAAVLAAEQRSHDVEDDDDDNDDDLNGGRNAATEEITEITRVLDNARSSDDLLRGRIFADVVDAIGALEDVVRASAADRSAFRRAVMSRLREHGHDAGLCKARWDRNPKSGIAAGSYEYIDVVVVVAADAAGTTTRYIVDVGFAGEFEVARPTEEYEAVLSSLPEVLVARPDDVRKVVRAASAAARRSLKRRRLSVPPWRKRKFMLAKWLGPYRRTVNAVPASAGTAVSGGGAGASAVCRIVHGFEAPPRVMTSSGFWG >LPERR11G09910.1 pep chromosome:Lperr_V1.4:11:10079398:10095274:1 gene:LPERR11G09910 transcript:LPERR11G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTAASSLPDHWPEPVVPVQSLSESGISVLPPQYIKPQQDRPVLPAPNLDIPTVDVAAFLDDGGGAAEQLKTIADACSQYGFFQVINHGVESTTVERMRGAWRRFFSLEMEEKKACSNSPAEREGYGSRAGVEKGALLDWGDYYFLNILPSEITYRNKWPKSPDDLREITEDYGRDLMNLCEVLLKAISLSLGLGENYLHATFGGNDGISACMRVNYYPKCPQPELTLGISSHSDAGGMTVLLADDRVKGTQVRKGDTWYTVQPIPNAFLVNTGDQIQIISNDKYNSVEHRALANSDDARFTVAFFCNPRGDVQIGPAAQLVSSQSPAVYRPIAFNEYRMYSRTRGLKGKSQLEAMKNNIVH >LPERR11G09920.1 pep chromosome:Lperr_V1.4:11:10082637:10083414:-1 gene:LPERR11G09920 transcript:LPERR11G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKKQSGGGWLSGNHWICFVLIPRLLQFIVLDPMDVNPKKYLEFIDIIDYATSNLSVPYYVGTMCGTSLSASSPEDMPVIEDYDWNLDGQEI >LPERR11G09920.2 pep chromosome:Lperr_V1.4:11:10083415:10084101:-1 gene:LPERR11G09920 transcript:LPERR11G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPSPMFFSQLYNNIVTDVSTQPRPSSPVLTTTVAPSNPSWKTIERKRWILTPFVRRENATVDPDSPRMMAIRRGLADILDMDNTWGAMELECPTTYVPGMPFFPYYVVKKLPIKTKRLHEWYEEAYKFNVLSMTAVTNHSVFLDGGSTVVVEFKDLHAMFRHEEHHDYLLMQQDMADNAKLDVAYLNPIKISKPFKSTENQRHTKKYLRLR >LPERR11G09930.1 pep chromosome:Lperr_V1.4:11:10117198:10119395:1 gene:LPERR11G09930 transcript:LPERR11G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGAGGSFHHAHLAPWPLPLDLAALQAHHHALIDGCWENEPATAAVGSGAGAAMMMDELASRLCGGGVQSPPPSSRYASCYSTPVGSPASKPAAAGGVHHQLPASDGSSSDAPCRKRKAATGGKARGKDAATAIAKSPEPEKKAKKCKLSSSLDAAAVGDEETKPVAGEGGVHGGNGKGKEVAGGEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVMKLRQKHGNFTQVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDASEPSMSLPLSLPQPQPPLSYSPEGASPAICYASSQGTAMQSVVTSTKAFEMAATFANHGIPVPSLDGFHSANSQVGSCMWEDDLQSVVQMGFRGNA >LPERR11G09940.1 pep chromosome:Lperr_V1.4:11:10132878:10136390:1 gene:LPERR11G09940 transcript:LPERR11G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRRRRRRVLLLPLPYHGHINPMLRLAAALHSRGGLAVTVVHTETRAAEARRILPPGCALVTVPDGLTPDQLAASNDVPAFVTALNRNCLAPFRDILAAALGEEEECVVVVVADVDWYAPLAAAREMGVPALALMTSNAARFRVYLGYPRLCEKGYLPVQDLHVMVPDTARHVAYADLLDRIVAGVRQSSGLILNTFDGIERADIELIRRDTCIPVFPVGPLHALSPAAPAPAQLSSDHPEDRSCLEWLDTQVQGSVLFVSFGTLATIDADELTELAWGLAGSNRPFVWVVRPRLVRGRDSVDLPCEFLKETRGRGMIVRWAPQEEVLSHPAVGVFVTHCGWNSTLESMSKGVPMICKPCGGDQLGTARYVGDVWKVGVRVGVNDKLREGIQVAIKTLMAGKEGEAIRNRMKEMRDVVSKCIGKGGSSDLALQELIDFIDLS >LPERR11G09950.1 pep chromosome:Lperr_V1.4:11:10146259:10156577:1 gene:LPERR11G09950 transcript:LPERR11G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSPSQVARAFGFPTTTSAAGGGGGGDAPAVPVLGMDRYRPSYNVSPGTYLPVGMVGRALPAECDGVGDMDGEVPVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKTSFRRLIPNNRCLVAVEGFYEWKKDGSKKMPYYIHFQDQRPLVFAALFDIWKNSEGENIHTFTILTTQASTSLKWLHDRMPVILGDKDSINAWFNSTSAKLEEITVPYEGADLVWYPVTTAIGKTSFDGPECIKEVQMRPTEKPISTFFTKKPVKPEKIAQDFDETKDFRAAKEKCYESAENQLEKTCQRQMEAIQDASTVVNDQSITLEHDAEKAEIMKNHDIVFTDEATQKQDASSRKRKIEDAEVHEDRVVHSVRSPVTHLKKKEKAHKSASIGQASLLSYFTRK >LPERR11G09960.1 pep chromosome:Lperr_V1.4:11:10160484:10168551:1 gene:LPERR11G09960 transcript:LPERR11G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAVLHFLVLAVVASTCRAVVLGRKAGISEEQILAGEEEIAAADADDKYAVIFDAGSTGSRVHVFRFDAQMDLVKIGDDIELFAKVKPGLSSYAGKPQEAANSIALLLEKAKGVVPKWLQKRTPLKLGATAGLRLIGDEKAEQILEAVRDLVHTKSKFQYKPEWISVLGGSQEGSNLWVALNYLLGRLGGDYLKTVGVIDLGGGSVQMSYAVSADTAANAPPAPVGNDPYITKANLKGKDYNLYVHSYLRYGLQASRVEVLKTKNGPFSSCMLRGFNGTYKYNGEEYDAAASPEGANHAKCGDEAEEALGLDAPCAATNCSFGGVWNGGGGAGLADLYVASYFYDRAVHAGIVAADVPSAVVTPSAFAAAAEKACSLSSGEAAAAYPEAFDVQFICLQPSRKMTLVKQVKYGDYYVESAWPLGTAIEALSAQKGHQSA >LPERR11G09970.1 pep chromosome:Lperr_V1.4:11:10172410:10180821:1 gene:LPERR11G09970 transcript:LPERR11G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPQPCGRVVLYPFSYQGHANPMFRLAAPDPAGHPPGYRFVAVPDNTPPELTTSHDVAAVVTALNESCAAPFVDRLKELMAEEEEEGVVRCVIADVIWYKPVVAARELGVPVFGLMTRLDMLPLHINVCKMYLWKFLNSSKLYPEAQKDATVDILPPFRVKDLHHIDTSDLYAFSELLANIVAGARRSSGLIINTFESIEGDNICRIHDELSIPVFTIGPLNKLVPHVDSSFIPQDRDCLQWLDRHAPSSVLYVSFGSLAAMDAHKFLEIAWGLAGTKVPFLWVVRPSLVRGLELNSNEIPSDLKEEINGRGMIVSWAPQEEVLSHPSVCAFVTHNGWNSTVESISEGIPMICKPYFRDQMGNARYVYDVWRVGVEMEVGSILQRSNVEAAVEKLVNGKEGKEVKQRISDLKLEAEKCIKKDGSSNTSFKQANVQSMMAASTAPPCGRVALFPLPYHGHITPMLRLAAILHSRGFSITVLHTDLHAPDPAVHPPDYRFVSVPDDTPPELAASEDVVALVESLNESCAAPFGDRVREMVVREEEEGGVLRCVIADVMWYAPAAAARELGVPVVAMMTSSASSFRTFMEYPALLERGFLPMNETKEEDIVDILAPFRVKDLQRIDTSNLFGFANMLESVVAGARLSSGLILNTFDFIEADNICWIHDELSITVFSVGPLNKLTPFVGNNFLPEDRDCLKWLDKQAPCSVLYVSFGSVATMDSHEFLEMAWGLADTKQPFLWVVRPSLIRGVSLNPDELPGALLEEINGRGKIIPWAPQEEVLCHPSIYAFVTHNGWNSMIESISEGVPMICKPCFGDQKGNARYVCDVWGVGVELEVGSVVQRAMIQAAVDKLVNGKEGKEVKQRMQDLKIEAEKCISKGGSSEASLCKLVDSVISF >LPERR11G09980.1 pep chromosome:Lperr_V1.4:11:10189574:10241595:-1 gene:LPERR11G09980 transcript:LPERR11G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSKNEKWSLAGATALVTGGSKGIGTALVITNHVSMCMQTRHSGQLGRDGRTCCRSEADLSRCLKEWTAMGLAVTVSICHLSVRAEREALVGRVQALFDGKLSILVNNAGMSFLKPAAELTPEECSSLMATNFESCFHLSQLVYPLLKASGRGSIVNISSIASFPGFRSLPNAMYAAAKGAMNQVTRNFAAEWANDGIRVNCVAPGFIRTPLLSEFVVGNEFERAEFNRVPMGRLGDPEEISSLVAFLCKPASSYVTGVTHMATTTISKTERWSLAGATALVTGGSKGIGRAVVEELASFGASVHTCARNEADLNSCLEEWTAKGLAVTVSVCDVSVRAEREALAGRVCALFDGKLSILVNNVGTAYLKPAVELTPEECSSLMMTNFESCFHLSQLAYPLLKPSGRGSIVNISSVSSVLASHSLPIYSAAKGAMNQVTRNLASEWAREKIRVNCVAPGLIQTPLLADFVDGNDLLQVELNRVPLGRLGDPKDISSLVAFLCMPAASYITGQVICADGGRVLS >LPERR11G09980.2 pep chromosome:Lperr_V1.4:11:10189574:10241595:-1 gene:LPERR11G09980 transcript:LPERR11G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSKNEKWSLAGATALVTGGSKGIGGQLGRDGRTCCRSEADLSRCLKEWTAMGLAVTVSICHLSVRAEREALVGRVQALFDGKLSILVNNAGMSFLKPAAELTPEECSSLMATNFESCFHLSQLVYPLLKASGRGSIVNISSIASFPGFRSLPNAMYAAAKGAMNQVTRNFAAEWANDGIRVNCVAPGFIRTPLLSEFVVGNEFERAEFNRVPMGRLGDPEEISSLVAFLCKPASSYVTGVTHMATTTISKTERWSLAGATALVTGGSKGIGRAVVEELASFGASVHTCARNEADLNSCLEEWTAKGLAVTVSVCDVSVRAEREALAGRVCALFDGKLSILVNNVGTAYLKPAVELTPEECSSLMMTNFESCFHLSQLAYPLLKPSGRGSIVNISSVSSVLASHSLPIYSAAKGAMNQVTRNLASEWAREKIRVNCVAPGLIQTPLLADFVDGNDLLQVELNRVPLGRLGDPKDISSLVAFLCMPAASYITGQVICADGGRVLS >LPERR11G09980.3 pep chromosome:Lperr_V1.4:11:10193414:10241595:-1 gene:LPERR11G09980 transcript:LPERR11G09980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSKNEKWSLAGATALVTGGSKGIGTALVITNHVSMCMQTRHSGQLGRDGRTCCRSEADLSRCLKEWTAMGLAVTVSICHLSVRAEREALVGRVQALFDGKLSILVNNAGMSFLKPAAELTPEECSSLMATNFESCFHLSQLVYPLLKASGRGSIVNISSIASFPGFRSLPNAMYAAAKGAMNQVTRNFAAEWANDGIRVNCVAPGFIRTPLLSEFVVGNEFERAEFNRVPMGRLGDPEEISSLVAFLCKPASSYVTGVTHMATTTISKTERWSLAGATALVTGGSKGIGRAVVEELASFGASVHTCARNEADLNSCLEEWTAKGLAVTVSVCDVSVRAEREALAGRVCALFDGKLSILVAMINSVRAPIA >LPERR11G09980.4 pep chromosome:Lperr_V1.4:11:10189574:10241595:-1 gene:LPERR11G09980 transcript:LPERR11G09980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSKNEKWSLAGATALVTGGSKGIGTALVITNHVSMCMQTRHSGQLGRDGRTCCRSEADLSRCLKEWTAMGLAVTVSICHLSVRAEREALVGRVQALFDGKLSILVNNVGTAYLKPAVELTPEECSSLMMTNFESCFHLSQLAYPLLKPSGRGSIVNISSVSSVLASHSLPIYSAAKGAMNQVTRNLASEWAREKIRVNCVAPGLIQTPLLADFVDGNDLLQVELNRVPLGRLGDPKDISSLVAFLCMPAASYITGQVICADGGRVLS >LPERR11G09980.5 pep chromosome:Lperr_V1.4:11:10189576:10193357:-1 gene:LPERR11G09980 transcript:LPERR11G09980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNVGTAYLKPAVELTPEECSSLMMTNFESCFHLSQLAYPLLKPSGRGSIVNISSVSSVLASHSLPIYSAAKGAMNQVTRNLASEWAREKIRVNCVAPGLIQTPLLADFVDGNDLLQVELNRVPLGRLGDPKDISSLVAFLCMPAASYITGQVICADGGRVLS >LPERR11G09990.1 pep chromosome:Lperr_V1.4:11:10192670:10193677:1 gene:LPERR11G09990 transcript:LPERR11G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWEARTEETDEMLTILPLPEGLRRGYASWLRWKQDSKLVIIKLEHSSGVSSTAGFRMLSLPSKRAHTLPASASLSARTDTSQTETVTARPLAVHSSRQLFRSASFRAQVCTLAPKLANSSTTARLQTW >LPERR11G10000.1 pep chromosome:Lperr_V1.4:11:10272075:10276059:1 gene:LPERR11G10000 transcript:LPERR11G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGGDQARSKEAAGMMALHEALRNVCLSSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEEMDGGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPPEWTDQFASGIQARTLYSVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSTRGTSPSPSSFPIKHPPPPAMAAAVLPPPQLFNWPGQPPPSQPQLFPGPAAFRPMPPPFAGGGKVDESHMFHLPPPPPVGNKDEHHAGDQAAAADQAAGAGDLKWPNGMSFFTALTGRADDAKLLFGGGNGGGDDGSKSAAAHDAAGHGGAENVEEYLSLESHARKARRMESTKQFKRSFTLPARMTTTTTASSANTSPSVSASTAAPPQQQGMEYRGGPHEGGGVYSDLMETFLE >LPERR11G10000.2 pep chromosome:Lperr_V1.4:11:10272075:10276059:1 gene:LPERR11G10000 transcript:LPERR11G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGGDQARSKEAAGMMALHEALRNVCLSSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEEMDGGEDPVRKAFSKMSIQLYNYGEGWVLYCIIDPSAPGGRLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSTRGTSPSPSSFPIKHPPPPAMAAAVLPPPQLFNWPGQPPPSQPQLFPGPAAFRPMPPPFAGGGKVDESHMFHLPPPPPVGNKDEHHAGDQAAAADQAAGAGDLKWPNGMSFFTALTGRADDAKLLFGGGNGGGDDGSKSAAAHDAAGHGGAENVEEYLSLESHARKARRMESTKQFKRSFTLPARMTTTTTASSANTSPSVSASTAAPPQQQGMEYRGGPHEGGGVYSDLMETFLE >LPERR11G10000.3 pep chromosome:Lperr_V1.4:11:10272075:10276059:1 gene:LPERR11G10000 transcript:LPERR11G10000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGGDQARSKEAAGMMALHEALRNVCLSSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEEMDGGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSTRGTSPSPSSFPIKHPPPPAMAAAVLPPPQLFNWPGQPPPSQPQLFPGPAAFRPMPPPFAGGGKVDESHMFHLPPPPPVGNKDEHHAGDQAAAADQAAGAGDLKWPNGMSFFTALTGRADDAKLLFGGGNGGGDDGSKSAAAHDAAGHGGAENVEEYLSLESHARKARRMESTKQFKRSFTLPARMTTTTTASSANTSPSVSASTAAPPQQQGMEYRGGPHEGGGVYSDLMETFLE >LPERR11G10010.1 pep chromosome:Lperr_V1.4:11:10290215:10308011:1 gene:LPERR11G10010 transcript:LPERR11G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPSTCVGLDCEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVLVLGSAGNVWKDTEARKQWYVKYDDDEPWYQICVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANSSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNNAEIHFNGRVVQYEILDILEFTSDRKRMSVVVLDCESGKIFLLSKGADEAIIPCAYSGQRIKTFVDAVDKYAQLGLRTLCLGWRELETEEYLEWSRSFKEANSALVDREWKVAEVCQKLEHSLEILGVSAIEDRLQVGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTEDEVVRSLERVLLTMRITTSEPKELAFVVDGCALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHALVVFLITIHAYANEKSEMEELSMVALSGSICLQAFVVTLEMNSLTFVQFLAIWGNFMAFYIINFFISSIPSAGMYTIMFRLCRQLTYWVTLLLISGVGMGPVLALKYFRYTYKPSAINILQKAERSFGPMYTLVNLESQLRSDMENTNISFSTTPVKNKASVYEPLLSDSPISSRRTLAASSFDIFQPAQSRNTSSYPRNIKAN >LPERR11G10010.2 pep chromosome:Lperr_V1.4:11:10291643:10308011:1 gene:LPERR11G10010 transcript:LPERR11G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPSTCVGLDCEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVLVLGSAGNVWKDTEARKQWYVKYDDDEPWYQICVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANSSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNNAEIHFNGRVVQYEILDILEFTSDRKRMSVVVLDCESGKIFLLSKGADEAIIPCAYSGQRIKTFVDAVDKYAQLGLRTLCLGWRELETEEYLEWSRSFKEANSALVDREWKVAEVCQKLEHSLEILGVSAIEDRLQVGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTEDEVVRSLERVLLTMRITTSEPKELAFVVDGCALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSFFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHALVVFLITIHAYANEKSEMEELSMVALSGSICLQAFVVTLEMNSLTFVQFLAIWGNFMAFYIINFFISSIPSAGMYTIMFRLCRQLTYWVTLLLISGVGMGPVLALKYFRYTYKPSAINILQKAERSFGPMYTLVNLESQLRSDMENTNISFSTTPVKNKASVYEPLLSDSPISSRRTLAASSFDIFQPAQSRNTSSYPRNIKAN >LPERR11G10010.3 pep chromosome:Lperr_V1.4:11:10293229:10308011:1 gene:LPERR11G10010 transcript:LPERR11G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPSTCVGLDCEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVLVLGSAGNVWKDTEARKQWYVKYDDDEPWYQICVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANSSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNNAEIHFNGRVVQYEILDILEFTSDRKRMSVVVLDCESGKIFLLSKGADEAIIPCAYSGQRIKTFVDAVDKYAQLGLRTLCLGWRELETEEYLEWSRSFKEANSALVDREWKVAEVCQKLEHSLEILGVSAIEDRLQVGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTEDEVVRSLERVLLTMRITTSEPKELAFVVDGCALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSFFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHALVVFLITIHAYANEKSEMEELSMVALSGSICLQAFVVTLEMNSLTFVQFLAIWGNFMAFYIINFFISSIPSAGMYTIMFRLCRQLTYWVTLLLISGVGMGPVLALKYFRYTYKPSAINILQKAERSFGPMYTLVNLESQLRSDMENTNISFSTTPVKNKASVYEPLLSDSPISSRRTLAASSFDIFQPAQSRNTSSYPRNIKAN >LPERR11G10020.1 pep chromosome:Lperr_V1.4:11:10312734:10314188:-1 gene:LPERR11G10020 transcript:LPERR11G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDIGSRVSEGMHFLIVSGAAQGQITPARRLARALVAAAPARVRATLAVPLSALRRMFPGETKASAAAGEGAVVFSDGGGVDYAAFTDGFDDGFRPERFDGAAFVGRLQLVGPASLSRLAAALRIRGRPVTCVVYTLLLPFAAAVAAELGVPSYFFWTMPAAVLSLYHHYFHGRHAVLSAAAGDDPDRRVEVSGLEFLRARDLPSLLTAPSPYLPAFRDMFHVIESSAPTRVLVNTFDALEPEALASVLPAIELIPVGPMVTDSTDHSSGDLFDHDDDAIYMSYLDAQRDASVVYVAFGSLAVLSARQIDEIRRCLDSTGRPFLWVVRRDNRRCDDDACNAPKCGMVVEWCRQERVLGHRAVGCFVTHCGWNSTLEAVASGVPVVMAPQWSDQATNARMAEARWGIGVRAEANAEDGGAVLCSELARGIDAVMGDSDGAWAIRRRAREWKARAAAAMGGGAAASNLRRFVEGVAVGSQRVKS >LPERR11G10030.1 pep chromosome:Lperr_V1.4:11:10329928:10337048:1 gene:LPERR11G10030 transcript:LPERR11G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSRAAKRAGEMAFNAGGGVINWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLTAKRRILALNKKDLANPNIMNRWVHHFESCKQDCISISAHSTSSVSQLLGLVEFKLKEAILKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLYQREEFDEETYNSNENNYRNSPRRSRRLNKSDAVYVQDMVMEVQRTLCSIFMDFTGNTEDENDLESLIDMQLVALRKVFRVPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPYDQ >LPERR11G10040.1 pep chromosome:Lperr_V1.4:11:10366400:10370786:1 gene:LPERR11G10040 transcript:LPERR11G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQEAIFTVDSSEGLCQILMEKVPLWISFVLATSIGFCNGDEDFVKDGEYIKIKRSTFGVLIIFAVMVITLIIAFMRYLRKKSKADESIDSTRSSQDNKGHGEVINRWSGLYKFSTGEIEKAINYANSKIYLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDTVARDSLTKKAKDVVSGKKPLDEFIDPRVREEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDRALRNTNSKVIT >LPERR11G10040.2 pep chromosome:Lperr_V1.4:11:10359893:10367154:1 gene:LPERR11G10040 transcript:LPERR11G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDTLPLLLLFLLLAAAAAAASDADAIHDRRLSGSLPPSLSTLTSLTALQLQGNHLTGDVPSLARMGSLTRLALDSNAFASLPPDFLQGLTSLQSLTMDDLGLLRPWSIPDAISTCSSLQTFSASNASIVGAFPAVLGSLESLRYLRLSYNNLTGELPPTLGQLSAMESLQLNNQQSEEKLSGRIDVVAAMKSLKLLWIQSNKFTGPIPDLSGLQQLESFNVRDNMLTGVVPASFTGLTSLKNVTLSNNNFQGPVPVFPSVQPDVSSGNGFCNKDKNPPGPCSPLTTTLLEVAGGFGYPYDLATKWTGNDPCGASWSGIICNSSDVSIINLSKRNFSGTISPAIANLTRLVKLDLSNNNLTGEIPDVLTILPYLTVLNVANNSLIGQVPKFKPSVNLLIDGNRFGESGDKQGGGGSDGGSSSSDSAGGGKSRSNTGMVIGIIVAAIILFACVGLLVRHLKKKNNMEKFRPVSTKTSPAESEMMKIQVVGTNGYSNGSSAVPTELYSHVSAATSANIGDLFESHGMQLSMEVLLKATNDFSEDCILGRGGFGVVFKGDLNGKLVAVKRCDSGTMGTKGQQEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGYNPLTWTQRMIIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMIAGRKVLDDSLPDDETHLVTIFRRNMLDKEKFRKFVDPTLELSAESWKSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNVDEDDDEGESSEMGLHQQLEKWRSDDFTISDSDTFSSLNMPRKYN >LPERR11G10050.1 pep chromosome:Lperr_V1.4:11:10372554:10377174:1 gene:LPERR11G10050 transcript:LPERR11G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPHFKWAPCPHHHHPSSSPSSTSAPSSSSSSRCSPRAARFRVHCAVTTTSVSASASAAAVVEAAGGALRLAYAAPDNSLSSPALQKKIQSALASEAFLNEEAVVTAAAAEAIALARAAAEAAQEVVLMVQNNKKEPNTRPKKAVVNYLANEILRTEMKPNNLDKYGDSTLSENMDSYGILSDEAEVDDDAQDIPSIAVKSARQTERRARRTRAAIKAATIVHNSQKPPSSSKKKRSKGASSSTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFSQWATAAGTDERTLRKRLDHGIHCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECIKRLRRKLKRRPTNEEIAVDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVHKALDTLTPRERQVVTLRFGLEDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVPVGNW >LPERR11G10070.1 pep chromosome:Lperr_V1.4:11:10412892:10416481:1 gene:LPERR11G10070 transcript:LPERR11G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQTPSHSHDTRGRFCHLESIQARVRGVIFPSFTLPSLPSHLNHSLILSTLHQSKKHQRNTPHTALFLPYFPASRRGLAVTAVAQCREPSPFIRLRRLAVAVAGALRSLSAPASTRRGFRGRVVFRVWSFLSCGRRWK >LPERR11G10080.1 pep chromosome:Lperr_V1.4:11:10457004:10457351:1 gene:LPERR11G10080 transcript:LPERR11G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVASQLLLFAAMVGTAALLSTAVDVGVGAFKATEAMVPMHALRRLADVEDVSSLVEEEEEAAYPRRRMLYSNQYVSYNGLTESKAACYGSCPGRGQPYSSRGCQNIYQCNNR >LPERR11G10100.1 pep chromosome:Lperr_V1.4:11:10507025:10507418:-1 gene:LPERR11G10100 transcript:LPERR11G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLKHTHRGPKLFCLVILACYAIPLQITGQSTNKIESNMLNGVKNVVSLGGLKLDVCFQTHSGFFCCSNGHLCYDTLQECLSKCVIKQKVRRGLGG >LPERR11G10110.1 pep chromosome:Lperr_V1.4:11:10511780:10517756:1 gene:LPERR11G10110 transcript:LPERR11G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPNLRVPKQHSRAEAARDHANEVLIYTVVVINQHRPSLETRGEGRGVYEWMATCIRRLGYNVRVTRMVEAYRRICMVQIARRQEST >LPERR11G10110.2 pep chromosome:Lperr_V1.4:11:10511672:10517756:1 gene:LPERR11G10110 transcript:LPERR11G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKQHSRAEAARDHANEVLIYTVVVINQHRPSLETRGEGRGVYEWMATCIRRLGYNVRVTRMVEAYRRICMVQIARRQEST >LPERR11G10120.1 pep chromosome:Lperr_V1.4:11:10521392:10529236:-1 gene:LPERR11G10120 transcript:LPERR11G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQPQSELSTDSNFPIRKMAHFQGQQQHGHPAARVDEYGNPVAAGHGITGTHEAGLGGYGTGAQAGYGATGTGHHDAGGYGRQAGYGPTGTGMHDAAGYGGSGQPAYGATGTGIHDAGGYMPGHTAGHGGTGAGVHHGAGGLPAGQTAGYGTTGAHHGTGEFGTGHTAAGYGTTGTGAHQTAGGLGTGAHHGAGGLGTGHGTTATPGTMAYETAGAGAHGAAAGAYPHGGAGGQFQPAREEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGTQQQYQPTVATGGYGAPGTGYTGTTTATGTGAATTATHALTQGTHEKKGMMEKIKEKLPGGHH >LPERR11G10130.1 pep chromosome:Lperr_V1.4:11:10529440:10530005:-1 gene:LPERR11G10130 transcript:LPERR11G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGPHATGHIDEHGNHPVNTGHTPTAMGAGHIQEPAREDKKTDGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGKKNEPQQQEHTKTTTGGAYGPQGHGTETSIETTGAHGTTTTEGATGEKKGLKDKIKEKLPGQH >LPERR11G10140.1 pep chromosome:Lperr_V1.4:11:10532011:10532591:-1 gene:LPERR11G10140 transcript:LPERR11G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYQGQHGYSADRADAYDPAAGQYYGGATAPGMGAHGAGAGAGGQFQPAREEHKARGILHRSGSSSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDSQQQQMMGNTGGAYGQQGHAGMTGAGTGVHGAEYGNNTGEKKGFMDKIKEKLPGQH >LPERR11G10150.1 pep chromosome:Lperr_V1.4:11:10535692:10536275:-1 gene:LPERR11G10150 transcript:LPERR11G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDAYGNPVSGQYGGATAPGAMGMGRGHGAGAGGNQFQPVREDHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDSQQQQMMGNTGGAYGQQGHAGMTGAGTGVHGAGYGNNTGEKKGIMDKIKEKLPGQH >LPERR11G10160.1 pep chromosome:Lperr_V1.4:11:10540165:10540764:-1 gene:LPERR11G10160 transcript:LPERR11G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRQQHGHIDEYGNPVGTGTGAGHGQMAPGGMGTHGTTGGIGTHGTTGATTGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGNKGEQQQQHTMGGTGTGTGATYGQQGHGTGMTGATGTTGAHGTTTETGEKKGIMDKIKEKLPGQH >LPERR11G10170.1 pep chromosome:Lperr_V1.4:11:10542407:10550098:1 gene:LPERR11G10170 transcript:LPERR11G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVVTAIRCCCHLPLPLRAIPVLCLRGSAHIRAVAAAKQSMALTPRSHRAASLQRGPGEWDVGFIDLDQATKPLQPHLVMVTSLSDTSLRLHLPLFLTSIKRYLRRDRPRSDKYSTSLPQHC >LPERR11G10180.1 pep chromosome:Lperr_V1.4:11:10581202:10581441:1 gene:LPERR11G10180 transcript:LPERR11G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALHIGRFGIAARFIFSVLVVAMAMATVLSGSLVTAAPNGLTYKGFSPNQPVCSGPCVPGQSNIPQRDCLKIYQCR >LPERR11G10190.1 pep chromosome:Lperr_V1.4:11:10609955:10612229:-1 gene:LPERR11G10190 transcript:LPERR11G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRRLPSLPLRCAAAAAVRRLALIADYPWSVTRPIMSSRMKYAVFGYHLGDIIHLVHQHEGFFFSRPGSITRADWLEVDLGIKRIDYRQGLTTGHVCMLEYCIFELVRGRLEMLNDG >LPERR11G10200.1 pep chromosome:Lperr_V1.4:11:10622726:10624034:-1 gene:LPERR11G10200 transcript:LPERR11G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFVVTKSPEMVVQTSKPATNGAAVADKKIELSPFDRSLVRTQTKVLLVFEHPINEPMETIRRSLSRALVDYYPLAGRLAVDGNDYYIDCSIHDGVTFATASLPAGGLDGDATGARHDQSAAKAGGCNRANFPLLTVQVTVFACGGFVVGVVWDHSVADGFGMAQFLLAVGELARGLPTPSIVPLRNLSPMQLVMPPVTYAVMRFIGGMPSCDLGAGMVNVTAPSTFINRIKSSGTTPAAAAFTVFEIVTAVVWKCRTRGVMADPAAPAVLLFSVNVRKQLGVDDAYYGNCMTLEMAMADSGEVASADILDVAWMIRRAKEQISDQDSSGELLKAIGELGERRVGYESVVFVTCWREIGFEDVDFGGGKTGRVMTFSQNFAMPLCVICLPCKWDKGSKVLSGCVTAQHSDAFLREISTLTNNLTD >LPERR11G10210.1 pep chromosome:Lperr_V1.4:11:10636054:10636257:-1 gene:LPERR11G10210 transcript:LPERR11G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFIFSVLVVVMAMAIVLSGRLVTAAPNGLTYKGFSPNQPVCSGPCVPRQSNIPQRDCLKIYQCR >LPERR11G10220.1 pep chromosome:Lperr_V1.4:11:10671911:10678320:-1 gene:LPERR11G10220 transcript:LPERR11G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQEDTKPFLSAAIAIPRANRGSGSCHQHLTTAKQAGGDRIRSRPAAPRRISRSFWSADEYDEAGSSSSGQQLAGNVQNHMRVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKAFTNCNGSPGLLVQDDGGGMDPESLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFSRCMKRSEPTQSIGLLSYTFLRETGQKDVVVPVVDYKYNLVTGEAKQCHRLAPDKFSSNLSVILKWSPFATEEQLLQNFCDIGPHGTKIVIFKLWLNDDGDLELDFNSDEKDILISGVLKPAETSNTAKTMNGRHLANQLRYSLRAYVSILYLKLPAYFKIILRGQEVKHHHIASDLRYCQCIRYMPQTFGKKEDHVDTIIGFLDGAPTISIHGFSIYHKNRLILPFQRVLSNASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEYWDLHSDLVGYQKVSTASPALVPTLSGTTATTSSERIPIDHVSAVPSRPNSRENPSHAIPIAFAPHLVSAPSGPNAVATGVSTVCSQSRMQIRSPSTVAIGTGSADTRKRKMETLVDMDGPLRRQSRHDLAGKSSVDSIDQVCQHMGERELKEYTYLKQENKLLRQECLDFESAEKDLLLKEQKLRLEIEQADAQYTSLLNEYISVISVKTSK >LPERR11G10230.1 pep chromosome:Lperr_V1.4:11:10683532:10685952:-1 gene:LPERR11G10230 transcript:LPERR11G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKTGKVPDPESTDNAEFKIVLTIIRDGLKADPFKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKSQADYISVPVEGPYKPAHYRY >LPERR11G10240.1 pep chromosome:Lperr_V1.4:11:10700428:10704481:1 gene:LPERR11G10240 transcript:LPERR11G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAEEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKVEVEKFATSFDMPGFSLDSMKYKE >LPERR11G10250.1 pep chromosome:Lperr_V1.4:11:10706771:10707103:-1 gene:LPERR11G10250 transcript:LPERR11G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVTKLILLPSLLLLFLLLLQGQQGGQCLKSLEMDMAMEMEMDSEAHSRMLWEASSSKRRYISYDALRSDVVPCSRQGVPYYNCRITTTANPYTRGCESITRCRDVDP >LPERR11G10260.1 pep chromosome:Lperr_V1.4:11:10708157:10710841:-1 gene:LPERR11G10260 transcript:LPERR11G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAVARPVGVRRGVFRASRPSSSSRCWKPQGSMLNWKSTSSTRSPLTTVACAKPDESEFESVDAPLEPQTWEGSFLCSLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWENSIGSAEDCLHRRIAEMKEQECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNRRLQIWPPRETELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTRKQEQEEAIGGSTETSSSSKPSSVVDLHDLKSYMMGFDPKTLELCAKLRSSEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >LPERR11G10270.1 pep chromosome:Lperr_V1.4:11:10712204:10713684:-1 gene:LPERR11G10270 transcript:LPERR11G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKMIARKSSDAGAFEVGDAVAMQSDTIPDLIDDEYADNVTPLPVVMELETIPDLIDDAFADNVTPLPDANSEPAPRRASNISGDGALADPAALHPILPMPVPALHHHRNILVRNRRRTRTATQLLINCGLFLICTTGSFIIYHTAGDPSTIDDPSYALVAFILVLLGVWFALLVPVAHQFPGAVRVAVAIAKALKGFLLGGRN >LPERR11G10280.1 pep chromosome:Lperr_V1.4:11:10719199:10721785:1 gene:LPERR11G10280 transcript:LPERR11G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAGTTSDDAASAAAVPSPSGEDLKNWDADFVKVDQATLFDLILAGNYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >LPERR11G10290.1 pep chromosome:Lperr_V1.4:11:10729305:10730714:-1 gene:LPERR11G10290 transcript:LPERR11G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGSPAATTAAGGDGELHVMMFPFLAFGHISPFAQLARKIVAVDGGRGVRVTFLSAAANMPRVEAMLGGSPAATVASLQLPRVPGLPEGAESTAEVDGDGAELLKLAVDATRPQVETLLATLRPDVILFDFATPWVADAARPLGVKAALFSVFAAVSGAYLMVPARRLKTNDLTSPPQGFPPTSPLATGVPPYQAADFAYVFKTFHGMPSVHDRVAACNAACDALVLKTCAEMEGAYVDYLFAQHGKPVLVTGPIVPDPPQVNDDDDRWSTWLSAFPDNSVVYASFGSETFLSPDAATELLLGLESTNLPFLAVLNFPKGTDADAELYKCTPPGFAERVKGRGAVHTGWVQQQRILQHRSVGCFVNHAGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARELRAGSEVARRGEDGWFGRDDVRDAVAAAVGGDGGEERRWREFLMDEAVQRGFVREFVAGLRKLKG >LPERR11G10300.1 pep chromosome:Lperr_V1.4:11:10739071:10740462:1 gene:LPERR11G10300 transcript:LPERR11G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAAAGVRDGGELHVMMFPFLAFGHISPFAQLARKIVAVGDVRVTFLSAAANVPRIKAILGGSPAATVAVLRLPHVPGLPEGAHSTAEVDADGAELLKIALDATRPQVETLLASLRPDVILFDFATPWVADAARPLGVKAAHFSVFSAVCEAYLTVPAHRLVGDFASAPEGFPPSSPLATGVPAYQSADFAYMYATFHGMPCVYDRVEAGNAASDALVFKTCAEMEGPYIEYITAQHGGKPVLVTGPIVPEPPQVTGDDDRWSTWLSTFPDNSVVYAAFGSETYLSSAAATELLLGLESTKLPFLTVLNFPKGTDAEAELNKCMPPGFDERVKGRGAVHTGWVQQQRILQHRSVGCFVNHAGLSSVVEGLVAGCRLVLLPMKTDQFLNAALFARELRVGTEIARRSVDGWFSREDVRDAVAAAVAGDGGEESRWREFFMDDAVQKTFVRDFVDGLRKLKG >LPERR11G10310.1 pep chromosome:Lperr_V1.4:11:10747933:10749978:-1 gene:LPERR11G10310 transcript:LPERR11G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARVVIRVDGNDSGGDRDAPRRMSLLVLLLHDMVGVAALVASHPVHAAYALFFARAILSLACFFSPLLLTTSLLLAILLTLSPSPPPPSPLGLTCRIAVGALRAELQPDGINGGGDGAVAVVAQLCSFVLGPGDAAAVLRVGEIMGDIGDDSCFLLQDDDHTDLPPQLHWRQEIPIDGEISTDQDVADETKDGIEEKKVVLELNFPSDNCSSATSDTSLRDMEEQDAIHEQSLVLTEVDGVEEKRLECDPVSVEIRKCEPAPAPAKAKKPCSSVSRRILQWEAQASGNFRSVLEEMEGNSVDLCLEKASVVMDFKECDGLEAGAFAEKCGEKKKVEGIDSVSESVIHQGTQEFKDVKECVQAEPKSCTEKCSKEKKSDETTLVVQSEQECQQENIKWTLSEPELKDEECKLVEPGKEQECQQETIKCTLSEPELQDEECKIVEPVKEMKDQEHKFLHSEEEEHQDQNSKNALQPAEELQDEQKMQDYQEEEFKDADQEDPLISPSPSPSTTIARRVHSRNSSEHHLAVAEGSSPRSGKEKEWKRTLACKLYEERMQLKLCRDRAVVDSGADTNMDMLWEAYEVGGGNGNAASAAAKRKAKRSSSSKNEQQVEETEEEEDEEDEEEGSVRQLCCLQALKFSTRKMNFGGGGKPSLAKISKVLRKMAALSRSGSRRSTKG >LPERR11G10320.1 pep chromosome:Lperr_V1.4:11:10765906:10766219:-1 gene:LPERR11G10320 transcript:LPERR11G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAIVEMGDDGAPTTFVTYRMDQEQIDNILSWVIPETDQEPIFAREKDRGLTIKTTEVMNKSLVRRRKLQAYVKDNLEQFSHVDINEDMFYS >LPERR11G10330.1 pep chromosome:Lperr_V1.4:11:10770936:10772300:-1 gene:LPERR11G10330 transcript:LPERR11G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSVAEIVGAAVVGEAIGRVCSYLIGRGHDAAGDGDGEAEQNEERLEVALLRIQAAVEEADGWHITNRPLVRWRDKLKRAADDGERVLTEYRHRRRRRVDNDDDDDARRCSLPRRVARLASEKLFAFAARGEGGGDEQLLTVRRFERLADGVGDFVKLVEIGGRAKRFVPFQPLAATLLARRSASCSLTPASASCRATAAAAHAFAFPDLTSPPWRGGPRAHVVFLYADGATGEKLELFVELAIAESADVMALALSAVDALPPHFRFASAAAFGSFHRLHAHDMTSHVDSAWDAHYCSQPSRYEKPDWMAAGYGAREPASVASLPEHVLYVVAEWDSPAAPAAASVHVSYHLGRQGEDSPVGREEMAARMLDGGFGTRARRVERFPDAGAETFDGLVMSTVEGFRWGSGPPSSAAAAANGKVVRWCFVSGWVVYLSVRGVGAGQPYEVGYHR >LPERR11G10340.1 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTTKGKGRDTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRASGYNRDGDVALPSDIGSISDRVGTVEDWKRCNHVSLNYVGDIHTVTMMLPLPSLAHKHGLDFLTFLWLRNGDHGISRWTSCRGAGHTAPEHKPKECFAIWLISLQNHLATNGLEYYIMMIGKEARRAMVARRSRWSLLPLACCLVSWVASSPAAAAGTMVTSLPGFDGPLPFSLETGYVEVNKTTKVNLFYYFVRSEKDPEHDPLLVWLTGGPGCSSIYGLTHEIGPFQFTAQWYYRNGFPKLIYRPETWTKTNNIIFVDSPVGTGFSYATTEEGSKSSDTKAVKQLVVFLRKWLLDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDESNYLGEKPYFNLKGYFAGNPRTDKQFDEDAKIPFFHGMGLISDELYERAKETCRGKYSAPSNTQCVQSLKDINDCTKDINMLHILEPLCEEIFSPKIHNTAEQDGTSRVMLESTAAADDLTKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYSGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10340.2 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTTKGKGRDTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRASGYNRDGDVALPSDIGSISDRVEALTYAWPHTSGMMAAMGGEQWKIGKDAIMVLATLLQSISPRSALRCSQDGFQANLSDMIGKEARRAMVARRSRWSLLPLACCLVSWVASSPAAAAGTMVTSLPGFDGPLPFSLETGYVEVNKTTKVNLFYYFVRSEKDPEHDPLLVWLTGGPGCSSIYGLTHEIGPFQFTAQWYYRNGFPKLIYRPETWTKTNNIIFVDSPVGTGFSYATTEEGSKSSDTKAVKQLVVFLRKWLLDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDESNYLGEKPYFNLKGYFAGNPRTDKQFDEDAKIPFFHGMGLISDELYERAKETCRGKYSAPSNTQCVQSLKDINDCTKDINMLHILEPLCEEIFSPKIHNTAEQDGTSRVMLESTAAADDLTKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYSGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10340.3 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTASGYNRDGDVALPSDIGSISDRVGTVEDWKRCNHVSLNYVGDIHTVTMMLPLPSLAHKHGLDFLTFLWLRNGDHGISRWTSCRGAGHTAPEHKPKECFAIWLISLQNHLATNGLEYYIMMIGKEARRAMVARRSRWSLLPLACCLVSWVASSPAAAAGTMVTSLPGFDGPLPFSLETGYVEVNKTTKVNLFYYFVRSEKDPEHDPLLVWLTGGPGCSSIYGLTHEIGPFQFTAQWYYRNGFPKLIYRPETWTKTNNIIFVDSPVGTGFSYATTEEGSKSSDTKAVKQLVVFLRKWLLDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDESNYLGEKPYFNLKGYFAGNPRTDKQFDEDAKIPFFHGMGLISDELYERAKETCRGKYSAPSNTQCVQSLKDINDCTKDINMLHILEPLCEEIFSPKIHNTAEQDGTSRVMLESTAAADDLTKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYSGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10340.4 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTGTVEDWKRCNHVSLNYVGDIHTVTMMLPLPSLAHKHGLDFLTFLWLRNGDHGISRWTSCRGAGHTAPEHKPKECFAIWLISLQNHLATNGLEYYIMMIGKEARRAMVARRSRWSLLPLACCLVSWVASSPAAAAGTMVTSLPGFDGPLPFSLETGYVEVNKTTKVNLFYYFVRSEKDPEHDPLLVWLTGGPGCSSIYGLTHEIGPFQFTAQWYYRNGFPKLIYRPETWTKTNNIIFVDSPVGTGFSYATTEEGSKSSDTKAVKQLVVFLRKWLLDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDESNYLGEKPYFNLKGYFAGNPRTDKQFDEDAKIPFFHGMGLISDELYERAKETCRGKYSAPSNTQCVQSLKDINDCTKDINMLHILEPLCEEIFSPKIHNTAEQDGTSRVMLESTAAADDLTKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYSGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10340.5 pep chromosome:Lperr_V1.4:11:10781347:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTTKGKGRDTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRASGYNRDGDVALPSDIGSISDRVEALTYAWPHTSGMMAAMGGEQWKIGKDAIMVLATLLQSISPRSALRCSQDGFQANLSDMIGKEARRAMVARRSRWSLLPLACCLVSWVASSPAAAAGTMVTSLPGFDGPLPFSLETGYVEVNKTTKVNLFYYFVRSEKDPEHDPLLVWLTGGPGCSSIYGLTHEIGPFQFTAQWYYRNGFPKLIYRPETWTKTNNIIFVDSPVGTGFSYATTEEGSKSSDTKAVKQLVVFLRKWLLDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDESNYLGEKPYFNLKGYFAGNPRTDKQFDEDAKIPFFHGMGLISDELYERAKETCRGKYSAPSNTQCVQSLKDINDCTKDINMLHILEPLCEEIFSPKIHNTAEQDGTSRVMLESTAAADDLTKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYR >LPERR11G10340.6 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDCTKDINMVHILEPLCEGIFSPKIHNITEQDGTSRLMLESTAADDDLTTKGKGRDTLAGRRSIGIADSPSMRLPTALSSATSASGYSCEFFSSLGSDRASGYNRDGDVALPSDIGSISDRVEALTYAWPHTSGMMAAMGGEQWKIGKDAIIGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10340.7 pep chromosome:Lperr_V1.4:11:10779237:10819118:-1 gene:LPERR11G10340 transcript:LPERR11G10340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSRWSLLPLACCLISWVASSAVAAAGTTVNSLPGFDGPLPFSLETGYVEVKETTGVNLFYYFIHSEKDPEHDPLFLWMTGGPGCSSLCGLTYEIGPFQFSAQWHYRNGVPKLIYHPETWTKTNNIIFVILLWGQDSRTQPQRKDSSLWLVDHPQFLLNPLYIGGDSYGGMIIPVLTLAIDERNYLGEKPYFNLKGYLVGNPRTDKQFDEDAKIPFFHGMGLISNELYERAKETCSGKYSAPSNIQCVQSLKSINDKAAYIVLKIWVNDETVRENLGVRKGTVGDWKRCNYVGLHYVRDIHSTVEYHSTLMRKGYRALIYSGDHDAGFTFIGTQAWIRFLNLSVAEKWRPWYLAGQVAGFTTSYASNNLTYATVKGAGHTAPEYKPKECFAMFTRWISGKSL >LPERR11G10350.1 pep chromosome:Lperr_V1.4:11:10798033:10798743:1 gene:LPERR11G10350 transcript:LPERR11G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGRRRRVDFRLRSASLTRSSSTLRLPASHSHASGLRLLISAFRHDCRVAADHQSIRIQPPRPQLKVAGLGLEMEFT >LPERR11G10360.1 pep chromosome:Lperr_V1.4:11:10844187:10864379:1 gene:LPERR11G10360 transcript:LPERR11G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRDGIPKLIYRPETWTKMSNIIFVDSPVGTGFSYAATDEGSRSSDTKAVKHLLIFLKKWLLDHPQYLRNPLYIGGSSYSGMIIPVLTLAIDESNYLGQKPHFNLKGYIIGNPSTDKQFDEDGKIPFFHGMGLISDELYEHAKETCRGKYSSPSNAHCEQSIQAINNCTKDINIFHILEPSCEEIFSPRIRNTAVKDVMSRLVLESAPADDDFPRASYALLKLWANDETVRKSLGVRKGTIGDWKRCNDESLHYIKDIPSTVEYHSMLMKKGYRAMIYSGDHDAGFPFIGTQEWIRFLNISVAEEWRPWYVAGQVAGFTRSYASNNLTYATVKGAGHTAPEYKPKECFQMFARWISGNSL >LPERR11G10360.2 pep chromosome:Lperr_V1.4:11:10844187:10864379:1 gene:LPERR11G10360 transcript:LPERR11G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRDGIPKLIYRPETWTKMSNIIFVDSPVGTGFSYAATDEGSRSSDTKAVKHLLIFLKKWLLDHPQYLRNPLYIGGSSYSGMIIPVLTLAIDESNYLGQKPHFNLKGYIIGNPSTDKQFDEDGKIPFFHGMGLISDELYEHAKETCRGKYSSPSNAHCEQSIQAINNCTKDINIFHILEPSCEEIFSPRIRNTAVKDVMSRLVLESAPADDDFPRASYALLKLWANDETVRKSLGVRKGTIGDWKRCNDESLHYIKDIPSTVEYHSMLMKKGYRAMIYSGDHDAGFPFIGTQEWIRFLNISVAEEWRPWYVAGQVAGVLDILLPSINPRSAFRCLQDGFQAIHSDRCVLFS >LPERR11G10360.3 pep chromosome:Lperr_V1.4:11:10844187:10864379:1 gene:LPERR11G10360 transcript:LPERR11G10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRDGIPKLIYRPETWTKMSNIIFVDSPVGTGFSYAATDEGSRSSDTKAVKHLLIFLKKWLLDHPQYLRNPLYIGGSSYSGMIIPVLTLAIDESNYLGQKPHFNLKGYIIGNPSTDKQFDEDGKIPFFHGMGLISDELYEHAKETCRGKYSSPSNAHCEQSIQAINNCTKDINIFHILEPSCEEIFSPRIRNTAVKDVMSRLVLESAPADDDFPRASYALLKLWANDETVRKSLGVRKGTIGDWKRCNDESLHYIKDIPSTVEYHSMLMKKGYRAMIYRGMATVVCCWTSCRGAGHTAPEYKPKECFQMFARWISGNSL >LPERR11G10370.1 pep chromosome:Lperr_V1.4:11:10869879:10870070:-1 gene:LPERR11G10370 transcript:LPERR11G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLFNYPIVMPGQRFDETIDMGNLPVHYQTRPSPARWWSPETIRVSWDSISSVTSQRSRET >LPERR11G10380.1 pep chromosome:Lperr_V1.4:11:10894855:10915193:1 gene:LPERR11G10380 transcript:LPERR11G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSSSSSSRRWRWWPWLPLTCFFLSLLSVASWKAVAAAGTTVTSLPGFDGPLPFSLETGYVEVNETTVVNLFYYFVYSENDPDQDPLLVWLTGGPGCSSISGLAHEIGPFQFVAEWYNGREFPKLIYRPETWSKISNIIFVDSPVGAGFSYADTEEGSKSSDTKAVQELLIFLRKWLLDHPQFLQNRLYIGGDSYGGIIVPTLTLAIDESNYLGEKPHLNLKGYIAGNPVTDPQFDEDGKIPFLHGMGLISDELYKHAKETCRGKYSATSNAQCAHSIQAINDKITDISTSKQCTKDINMAHILEPICQEIYSPMSHNTVARDGMSRLMLESAAADDDDDLNKASYVLLKIWANDETVRANLGNSWEWKRCNYDINYNKVIHSTVEYHLMLMRKGYRSIIYSGDHDGTITFIGTQEWIRSMNLSIADDWRPWYVAGQVAGFTRSYASNNLTYATVKGAGHTAAEYKPKQCLKMFARWISGNSL >LPERR11G10380.2 pep chromosome:Lperr_V1.4:11:10894855:10915193:1 gene:LPERR11G10380 transcript:LPERR11G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSSSSSSRRWRWWPWLPLTCFFLSLLSVASWKAVAAAGTTVTSLPGFDGPLPFSLETGYVEVNETTVVNLFYYFVYSENDPDQDPLLVWLTGGPGCSSISGLAHEIGPFQFVAEWYNGREFPKLIYRPETWSKISNIIFVDSPVGAGFSYADTEEGSKSSDTKAVQELLIFLRKWLLDHPQFLQNRLYIGGDSYGGIIVPTLTLAIDESNYLGEKPHLNLKGYIAGNPVTDPQFDEDGKIPFLHGMGLISDELYKHAKETCRGKYSATSNAQCAHSIQAINDCTKDINMAHILEPICQEIYSPMSHNTVARDGMSRLMLESAAADDDDDLNKASYVLLKIWANDETVRANLGNSWEWKRCNYDINYNKVIHSTVEYHLMLMRKGYRSIIYSGDHDGTITFIGTQEWIRSMNLSIADDWRPWYVAGQVAGFTRSYASNNLTYATVKGAGHTAAEYKPKQCLKMFARWISGNSL >LPERR11G10390.1 pep chromosome:Lperr_V1.4:11:10917550:10919382:1 gene:LPERR11G10390 transcript:LPERR11G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHHLTQMENRCISLAMFFLVAFLSEVSLSISQQQCGGASEGVRAGYWLPSASHYSPLSSINTSLYSHLYYSSLSIDETRSAVALPSGEESSILSDFSNSVKSSGNFAIKTFLSIGTNEYKEDVSNAAFSRMAGDRNLRKVFINSSIELARANGFDGLDLSWRFPATQMDMENLGDLLAEWRAAIMEESGKTSSDPLLLTATVYFSNHLFDMPDSNLNYPIDDMSNNLDWVNILTFGMHKNSNITIADAPLYDKDSHFSASYGVTSWLDAGLPPCKLVMGIPLFGKSWFLRNKDKNGLGAPTAAAGTKQRKSNQTGVIAYAEIEEYLKSQSVSVTHDNQSVADYFYSGDLWVSFDSALVVQDKIEFVVKSQLLGYFLWTISFDDSNCTLSKQASLSWNQYHDTSYEQGGFGIMQAGAVMQDLHASTGSPRSWYSKTLSYLLSTILVSVVL >LPERR11G10400.1 pep chromosome:Lperr_V1.4:11:10921387:10924935:-1 gene:LPERR11G10400 transcript:LPERR11G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSTVLNMLGPKLYTFLQQNHELRRNLEHDIHYIQNELRMIAALIDEHEHRPKHSGTVQGVWIQGVRELAYAMEDCIDRFMHQMTKSGHRLATMAVRTKFATVIQKLRKKSEDLSKLRENYTTVGSSNGNDTDESTISRTFLAYERHAPASDTVPVGMDDPQDEILEMIRETEGQRRQLKVISLVGFDGLGKTLLAMKIYQSAVVCEEFHPRAWVSAAGKSAWDILKEILRQLGSQSQEVDDYSDINKLITSLKECLQAKRFFIVIDGIQREYWNSIIKDAFPVDTGSTSILMVTTAIHSIANACSSGNGHVYVMSPLDKEHSRELFLRESSWDDYPYGSERALSTKCDGLPLALVSTAQFLQSKGQQMPQEFAKLCDNLGMHLEREDTLARMKHVLVRNYTSLPGHAIKACLLYFGIFPSGHLIRREKLIMRWSAEGLVEADPFRSRLDVAMDYFKELINRSIIQPVAVSSNTEVKTCQTHGMMLEFILHKSLCDNYITLLYDQARLPDQIRCLSVQHNQARRGKMNSDIDLSHVRSLTIFGEADDSVLEFSSYELLRVLDLEECNNLKDKHLRKICNLLMLRYLSLGGAVTTLPKEITKLKLLETLDIRRTKINILPIQVIKLPHLTHLFGFFKLEDVGQNMSKLQNYLSKKSKLETLEGFFTDNDQGFPQLLDSMINLAKVKIWWENISNASSNTTHLSNGIQVFIQRGTDMNDVRSLSLNFEECIQDQENILNFSLEDSCYLSSLKLKGKINRLPAFVTSLKGLTELSLSCSDLLNGDVLAALSNVHCLHHLKLTTGNLHKLVIRHGLLKSLRRLSIVVKSMTQPEIQQGALPNLESFRLLCKDLNGLCGISIHYFGPECLKEVTLDERMDHETKEMWKQAVKNHPRRPKVSFVKTGEVDQMQNMEVARPAENGATLALAAGIPRSVPAVDIQRQINNGSEVYVA >LPERR11G10410.1 pep chromosome:Lperr_V1.4:11:10927550:10936719:1 gene:LPERR11G10410 transcript:LPERR11G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTTAMGSLLPRLAELLREKYKLHVGIRDRIRMFSNELKNMHDVLHLMSQMPREQLDTPFRLWGHNVRMLSHDLEDIIDTFLIRLRGSAHPENVDDLEMLMRLMTEMNDLLFSGSMEYGQKFSDAIAKHQLQDVPAKHGVGSYSTVGHATHDLYQKAMSTKLVGIDGPRDEIIEMLSMGDQSKLKIISIFGIGGLGKTTLAKVVYDKHKSGFQYKSFVTVGRSPDFNKVFRNILYYLDMKMFNNSNTVILDGKQLINKLQEYLQDKRYFIVIDDLWDMNSWNTIKYALPDSDYGSKVITTTRIPGVARDLGDVYNLQPLSYGNSKKLLCTRLFDDEGKCLENQSVVATEKVLKQCGGVPLGIITMASLLANKPQDDWPEMYNSICFGNTINDEVEYTKRILSFCYYDMPSHLRTCLLYLSIFREDCEINKCLLIWKWIAEGFIHEEQQIGLFELAEGYFNELINRNMIQPVEDKRTGYVIGCHVHDMVLDLVRSLLTEENFVTVLSDSGEELPLMNANRLALQCRIVEKQHPHLANVDMEQMRSFVAIFSDISVVSPSFQVLRVLALEDCKFIEGYTSNGLEHIGKLLNLRYLGLTRTRGFRRLPEEIGSDLKFLQTLDLYETDLEEVPSSVGLLTQLLCLHVDVGTRVPTGLIGNLTSLQDLWIYPAMKDYSMGATIASQFVKDLGNLRELRVLKTRIHGWDQNMQIALVESLHNFHKIQILELHGESSLGKGVTWEAGFVSSQHLRYLSLACMQLTRLPGWMNSLLFPNLGYLVVNVQFWQEHDMETLGRMPELCTLQLQSCNMRVLNIKNTHGDIHYFQKLRSLMTYHIMIGFDLCSGKLSSGNIGIDAPTVMPSLEYLQFTVHVRFLKDANIGFDKLVSEHLPSLQRVNARIDCSDAHLAEVEEAEAALTYVVNVNPNDPTLEMMRCSEYKMVSSDQDQEVYAITPINSTSSYLGKFGGKNRETQAINSSLGTMRSLLTKLDMLLDPGCRLPTEVKDNMQLLKSDIEEVGTYLEDLAKVEDPHLMAKCWMKEVRELSYDIEDYINNIEYKIKFARAAHLITKTSFVCKINHLKINGVPRRLKWRKNITNMISEFRIYIQEAIERYNRYDLQYCTNPNRYMPVGCVLPTPYEQTGDLVIDGRMNEFIQWLANDGDQKLKVVSIVGSSGIGKTTLAKLFYTKFGGQFDYRAFVQVPQKPDMKRLLCDIISQVQKNNTPYNCMEPDLIDNIRRQLQNKRYLIIIDNLSAASVWDILNQAFPECTQRSRIITTTQIKDVALTCCLRRSEYIFEMRPLEDDYSRKLFFNRLFGSEYHCPLIFKDVSNKIVQICGGLPLAITIMASLLASQPVLSMELCTHICNSLTSYLWTDSTSDGMKQVLNLCYNILPHHLKTCLLYFNMYPEGYIICKDALVKTWVAEGFIGAPKGLDMEKVATSYFDELVGRRLIQPIEIKYNEEVLSCTIHDLPNLLDLIGRMKSAITLGSLDPSTKSSQSNLNNLQDIHLRCSTFPSEHLQRNMEALGFLLGAVGNLKTLVIVSGNYQKDDTVSDTSDATVSWDFFAPPHFLQRFEWLLHDCIFSKVPNWIGELDKLCILRIAVKELVKNGVDILKGLPALTSLSLHVHTMSIEKVIFHKGGFLVLKYLEFTCSAPLLKFESDSMPNLRKLKLGFNALTKHIYGTVPISIEHLSGLKEITAKIKCGGNEELALTSAISNHPGNPKINVQLVDGIFYGDEDEMHTTLAMGLPGQCQKTVSNDSHGVEKCAQPNQVLDNILLESILQFLTTARDRNAVSLVCRHWYHTEAETRRELFIRNCYSVSPSRIIERFHGLRSITIRGRPPFANSTLVPKGWGAYATPWVAALGPSFPHLECIFLKQMTVSDDDLRLIAQSFPRLRELSLISCDKFSATGLAIIAEQCRHLHVLDLINDHIEDTAEKQVDWISMFPWPNTPLESLVLACVDLACNFESLEALVARSPALRRLCVNHHVTIEQLCCLMAVAPNLTHLGTGAFRSRSGYRAGEAPPSVSDLATYFAPFKSLISLSGFHDVNPDYLPAIYPVCANLTSLNIRSASITAEQIAPIIRFCGNLRTFFVCDTIGDDGLCAIAETCLDLRELQVYRLFAGSEYNSSVTDVGLEAISKGCRKLETLIYYCGSMTNAAMIIMSNNCPNLEVFQLSILRTHLPDRITGEPMDDGFGAIVMNCKKLSTLSTSGLVTDKAFAYIGQYGKSIKNLSIAFSSNTDMSLRYVFEGCTQLQELEVRACPFGDKGLLSGLNHFSNMRFLWMSSCRITMRGCREVAQKMPNLVVEVISGHSGNEEVTADNVDHLYLYRSLAGPRDDTPPFVKIL >LPERR11G10410.2 pep chromosome:Lperr_V1.4:11:10927550:10936719:1 gene:LPERR11G10410 transcript:LPERR11G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTTAMGSLLPRLAELLREKYKLHVGIRDRIRMFSNELKNMHDVLHLMSQMPREQLDTPFRLWGHNVRMLSHDLEDIIDTFLIRLRGSAHPENVDDLEMLMRLMTEMNDLLFSGSMEYGQKFSDAIAKHQLQDVPAKHGVGSYSTVGHATHDLYQKAMSTKLVGIDGPRDEIIEMLSMGDQSKLKIISIFGIGGLGKTTLAKVVYDKHKSGFQYKSFVTVGRSPDFNKVFRNILYYLDMKMFNNSNTVILDGKQLINKLQEYLQDKRYFIVIDDLWDMNSWNTIKYALPDSDYGSKVITTTRIPGVARDLGDVYNLQPLSYGNSKKLLCTRLFDDEGKCLENQSVVATEKVLKQCGGVPLGIITMASLLANKPQDDWPEMYNSICFGNTINDEVEYTKRILSFCYYDMPSHLRTCLLYLSIFREDCEINKCLLIWKWIAEGFIHEEQQIGLFELAEGYFNELINRNMIQPVEDKRTGYVIGCHVHDMVLDLVRSLLTEENFVTVLSDSGEELPLMNANRLALQCRIVEKQHPHLANVDMEQMRSFVAIFSDISVVSPSFQVLRVLALEDCKFIEGYTSNGLEHIGKLLNLRYLGLTRTRGFRRLPEEIGSDLKFLQTLDLYETDLEEVPSSVGLLTQLLCLHVDVGTRVPTGLIGNLTSLQDLWIYPAMKDYSMGATIASQFVKDLGNLRELRVLKTRIHGWDQNMQIALVESLHNFHKIQILELHGESSLGKGVTWEAGFVSSQHLRYLSLACMQLTRLPGWMNSLLFPNLGYLVVNVQFWQEHDMETLGRMPELCTLQLQSCNMRVLNIKNTHGDIHYFQKLRSLMTYHIMIGFDLCSGKLSSGNIGIDAPTVMPSLEYLQFTVHVRFLKDANIGFDKLVSEHLPSLQRVNARIDCSDAHLAEVEEAEAALTYVVNVNPNDPTLEMMRCSEYKMVSSDQDQEVYAITPINSTSSYLGKFGGKNRETQAINSSLGTMRSLLTKLDMLLDPGCRLPTEVKDNMQLLKSDIEEVGTYLEDLAKVEDPHLMAKCWMKEVRELSYDIEDYINNIEYKIKFARAAHLITKTSFVCKINHLKINGVPRRLKWRKNITNMISEFRIYIQEAIERYNRYDLQYCTNPNRYMPVGCVLPTPYEQTGDLVIDGRMNEFIQWLANDGDQKLKVVSIVGSSGIGKTTLAKLFYTKFGGQFDYRAFVQVPQKPDMKRLLCDIISQVQKNNTPYNCMEPDLIDNIRRQLQNKRYLIIIDNLSAASVWDILNQAFPECTQRSRIITTTQIKDVALTCCLRRSEYIFEMRPLEDDYSRKLFFNRLFGSEYHCPLIFKDVSNKIVQICGGLPLAITIMASLLASQPVLSMELCTHICNSLTSYLWTDSTSDGMKQVLNLCYNILPHHLKTCLLYFNMYPEGYIICKDALVKTWVAEGFIGAPKGLDMEKVATSYFDELVGRRLIQPIEIKYNEEVLSCTIHDLVRDFIAYKSLEENFIVVVDCYRKNLGLIDKVRRLSLHFSASKYTNAPANIKSSQVRSLTFFGFFNCMPSVTNFKLLRVLNLQLFSHRKDNTLDLTGISKLSQLKYLKIMSDTRIELPNQMRALQILETLDVDTKLTAVPGDVFHLAGLLHLHLLLEPNLLDLIGRMKSAITLGSLDPSTKSSQSNLNNLQDIHLRCSTFPSEHLQRNMEALGFLLGAVGNLKTLVIVSGNYQKDDTVSDTSDATVSWDFFAPPHFLQRFEWLLHDCIFSKVPNWIGELDKLCILRIAVKELVKNGVDILKGLPALTSLSLHVHTMSIEKVIFHKGGFLVLKYLEFTCSAPLLKFESDSMPNLRKLKLGFNALTKHIYGTVPISIEHLSGLKEITAKIKCGGNEELALTSAISNHPGNPKINVQLVDGIFYGDEDEMHTTLAMGLPGQCQKTVSNDSHGVEKCAQPNQVLDNILLESILQFLTTARDRNAVSLVCRHWYHTEAETRRELFIRNCYSVSPSRIIERFHGLRSITIRGRPPFANSTLVPKGWGAYATPWVAALGPSFPHLECIFLKQMTVSDDDLRLIAQSFPRLRELSLISCDKFSATGLAIIAEQCRHLHVLDLINDHIEDTAEKQVDWISMFPWPNTPLESLVLACVDLACNFESLEALVARSPALRRLCVNHHVTIEQLCCLMAVAPNLTHLGTGAFRSRSGYRAGEAPPSVSDLATYFAPFKSLISLSGFHDVNPDYLPAIYPVCANLTSLNIRSASITAEQIAPIIRFCGNLRTFFVCDTIGDDGLCAIAETCLDLRELQVYRLFAGSEYNSSVTDVGLEAISKGCRKLETLIYYCGSMTNAAMIIMSNNCPNLEVFQLSILRTHLPDRITGEPMDDGFGAIVMNCKKLSTLSTSGLVTDKAFAYIGQYGKSIKNLSIAFSSNTDMSLRYVFEGCTQLQELEVRACPFGDKGLLSGLNHFSNMRFLWMSSCRITMRGCREVAQKMPNLVVEVISGHSGNEEVTADNVDHLYLYRSLAGPRDDTPPFVKIL >LPERR11G10420.1 pep chromosome:Lperr_V1.4:11:10943022:10946984:-1 gene:LPERR11G10420 transcript:LPERR11G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHSRRAHPLPCLSQARRRLTTGATPWTGKTNPMMTTPSPNPNRARTSSGSTPSPALCRRHVTACRVPAAAPDHGHSDPRGMPIEGSGPAFGKEANPYRSGFGPPGATWHAPEEGTPGGLRRGHAPQKGSWPLGPTRRAPEEGRPGGLRGGRALQKGVRATRGHVACP >LPERR11G10430.1 pep chromosome:Lperr_V1.4:11:10954628:10959238:1 gene:LPERR11G10430 transcript:LPERR11G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRPSAAAAASDLEVGFAKLQGENFEYYMQTYSIVLGRLSKRPNPNNPHPNPNPPSAAAADDVDVDLGILGGGRNVSRRHARIFYDFARRRFALEVLGKNGCLVEGVLHVPGGEPVKLDSQDLLQMGDARFYFLLPTRSVFATDAAARRGAAAAVTRAAPVVAPPPPPSSSDDDDEEEEEDGEEEDDDGEGMAVMKKKRLTNGEDGALSGRKSGKSGAGSKGYRQADDLQLLQLEEKDVISSTATVLSDLCGPQDWVPMNKLHEVMFEKYGDLWHHNRVRKYLTSEDWPETETDGRPWHGLSLLLRKYPEHFVINIRMAGGQGVDFVSLVSLQP >LPERR11G10440.1 pep chromosome:Lperr_V1.4:11:10964955:10965457:-1 gene:LPERR11G10440 transcript:LPERR11G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPIPLLLISLLAATFLFPQSLAQQQQPSPQTGSSSISSSSNATDGWEWTPRLKKTFLDGGAGMLPRRRRLVGRFQICAVCTCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >LPERR11G10450.1 pep chromosome:Lperr_V1.4:11:11011072:11019393:1 gene:LPERR11G10450 transcript:LPERR11G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAGAMSPLLGKLGELLKDEFNLGKKVKRGIMSLKTELTMMHAALCKVADMPPEQLDEQVKIWANMVRELSYDMEDAVDASMVHMEKGSDQPIADASLKSRVNKFLKKSAGLCRKGKDLHQIASAIKEALEAAKQLGELRQRYELEMYGGNACTTIDPRVVALYKDVRELVGIEQPTDELIQSLFYGEEAKQRLRTISIVGFGGLGKTTLAKAVYDKIKAQFDCTAFVSVSRNPNVKKIFQNLLYDLDREKYKEIYREEWDEVQLIDELRRFLQDKRYLVVIDDIWDEKVWKFIKCAIPINHLGSRLIMTTRKSSVAEACCSSTDDIAYRMKHLSDVDSQRLFYKRIFSHEDGCPHELERVSQDILKKCGGVPLAIISIASLLSRSNQVKTNDQWHMLLNSIGHGLAEGDSVEEMQKILSFSYYDLPSHMKTCLLYLSIFPEDYEIPRDQLIWKWIAEGFVKCEKLETRLFESGENYFNELINRSLIQPIDIDVEGKAQSCRVHDMVLDLILNLASGENFITIFDGIPGKTSLQRKVRRLALQNTVVQPTMPQLTMGLSQVRSITVFRHAINLMPPLPSFHVLRLLDIEDCELHNLRYVGNLTHLRYLRLRAKNYPKKDVELPAEIGNLRFLQTVDIKVVNIEELLSNIIQLRQLMCIHVGHNTRLPDGIGNLTYLEVLSTVCLNKCPSFAKQLQSLTTLRELSILTYGMDESLIETLVNSLCKLQKIETLEVRDLSPLLDLFGNCWVPPPKLRRFLAFGHFDAMPAFIKRDPLLLFNLSVLNIQFSELPQEDLQYLGRLIISSCGPKFQRGAMPKVEDIEFRFDVRQKKDDNADFDFGLGNLLSLEHIDVAVYCYDATKGEVEEAEAALEHTTHVHPNHPILSTKRVGEDRMRDHERD >LPERR11G10460.1 pep chromosome:Lperr_V1.4:11:11053136:11056674:-1 gene:LPERR11G10460 transcript:LPERR11G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTAQTTWLLLPLLSLLFLLLLHNILATFTVNRDDHRLPPSPPALPIIGHLHLVGSLPHVSLRRLAAKHGGRDFMLLRLGALPPTLVASSPRAAEAVLRTHDHVFASRPRTVLADVVFYGSRDIGFAPYGEHWRKARKLVTTHLLSAKKVQMLQKAREEEVSLVMAKISKAATAGTPVDIGQILSSFTNDMMCRTVSGKSTSDSKQKKIFQDLVHETSLLIGCFNIEEYFPVLARIGIAGKVMRAKAERLKKRWDELLEKLIDEHENEYNSNLASDQSEDDFVNILLSVRQEYGLNREHVKAILQDVFFGGIDTSAQVLESTIAELMRKPQLLKKLQDEVRACVPKGQEMVCEVDASKMVYLKAVIKEGLRLHPVAPLLAPHISMADCKIDEYVIPSGTRVLVNVWAIGRDARFWDKAEEFIPERFVDSMSSVNFRGNDYQYLPFGSGRRMCPGMNFGIAVVEIMLANLMWKFDWTLPRGMEIDMSEVFGLSVHRKQKLLLIPEQRV >LPERR11G10470.1 pep chromosome:Lperr_V1.4:11:11063803:11075189:-1 gene:LPERR11G10470 transcript:LPERR11G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLEALCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSASDQLKAELRNIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCKILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAKEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQALIPILDHSTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >LPERR11G10470.2 pep chromosome:Lperr_V1.4:11:11063803:11075189:-1 gene:LPERR11G10470 transcript:LPERR11G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLEALCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSASDQLKAELRNIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCKILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAKEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQALIPILDHSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >LPERR11G10470.3 pep chromosome:Lperr_V1.4:11:11063803:11075189:-1 gene:LPERR11G10470 transcript:LPERR11G10470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLEALCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSASDQLKAELRNIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCKILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAKEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >LPERR11G10480.1 pep chromosome:Lperr_V1.4:11:11087120:11095437:-1 gene:LPERR11G10480 transcript:LPERR11G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAVGEGGGSSSSTAAQAAATIGPHGVDEGFGRGHGGSACGRAGPTNAADGGDTVVSVAGGGEGLLGLFSWRAVYERSAGSMTEWETRRRCCWVGTLLRVEKGRFLSGIGVPLPSGALCLSCYRNADRFHAVDRLFVMNLGEAMEAGPYPERVGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECSYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPGQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVPTARRMLGPVPAHSEVSPDNVSGRSRRITHSDSQQIPSVERSAEREAS >LPERR11G10480.2 pep chromosome:Lperr_V1.4:11:11087120:11095437:-1 gene:LPERR11G10480 transcript:LPERR11G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAVGEGGGSSSSTAAQAAATIGPHGVDEEAMWQMNLGEAMEAGPYPERVGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECSYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPGQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVPTARRMLGPVPAHSEVSPDNVSGRSRRITHSDSQQIPSVERSAEREAS >LPERR11G10490.1 pep chromosome:Lperr_V1.4:11:11103081:11108102:1 gene:LPERR11G10490 transcript:LPERR11G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLPVILFALLALAAAVAGADGDESVAGSRTSLGRRAGGFLHGLKKKEAIVEGDHVVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >LPERR11G10500.1 pep chromosome:Lperr_V1.4:11:11108936:11110432:1 gene:LPERR11G10500 transcript:LPERR11G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKELQGQAAAETSHCRSAPVPAVAARDEEATMGKAKSTDQEDSQAARRDFLAGIRKLIKSFKSLSHVFEIYKEEDEDDDMDINIEIGFPTDVQHVAHIGLDGSSNISSLRGLQEEARELLSMSNLTMEQFEFAMASLAAHKDNKMVVDRVAP >LPERR11G10510.1 pep chromosome:Lperr_V1.4:11:11111505:11117969:-1 gene:LPERR11G10510 transcript:LPERR11G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIDLLISFSSPLIDQVNFLKLCMQLCKVWFACWPAAATTRESTPVRLSRVLLKLGDPKQ >LPERR11G10520.1 pep chromosome:Lperr_V1.4:11:11125511:11130892:1 gene:LPERR11G10520 transcript:LPERR11G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLVLALLINEKFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWIAGIVQTLLYADFFYYYIMSWKNNVKLELPA >LPERR11G10530.1 pep chromosome:Lperr_V1.4:11:11129586:11131627:-1 gene:LPERR11G10530 transcript:LPERR11G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSGGGGGGNAWAKEMTIRRRIASIFNKTQDHFPCLRDYNDYLEEVEDMTFNLVEGIDVEEIEAKIARYQQENAEQIYMSRAKRAEDLAAALKASRMNPGKAGASDTAAGSSQGISSGAGVQGQYAPAAVPGGTQPRPTGLVPQPIGGLSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >LPERR11G10540.1 pep chromosome:Lperr_V1.4:11:11135144:11142772:1 gene:LPERR11G10540 transcript:LPERR11G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVVAAAAPEVPAEEERALIRDITVAAEAHAKEGDTFFLITNRWWQSWIDYVIQDLASATNNGSHHHEYGSNVLRRPGAIDNTDLIDDTATEVSDMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGFSQTDLAIEVYPLRLQLLLAPKGEKAIIRISKKDTVSELHKRACEVFDLIPDEVCIWDYYGRTRHSLMDSLEKTLDDANIQMDQDILVEVASDANGSLDGGCMGSIQENEYFGRESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQNQYLRSSNGDLDNMHSTSGMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLSRFAPQFSGYNQHDSQIFFYLYLSKFWQELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVTVFAFDGSVPPTPYTVNVPKQGRCRDLIQALSNACSLRNEERLVIAEIRNHRIHRLLDDPVVQLSTINDDDHLAVYRLPKMDKKPSYIQFVHRRDDLDNGSNISSTSWKPYGVPLLAQVSRNETVTGIHIHEMVRKMLAPMQKNQESQHLVQSSVSTRTQTYHNDGSKFQLQLIDDSSTVIEQSNDTIRVPQSSLAAVFFVNWSKGDLKKLNFHHLDNLPEVFKYAPPAKRTRGEPLSLYACLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKSSERQIYELYALLDEDRWYNFDDSHVSAINEEDVKSGAAYVLFYRRVRNVAGSNGIQPIEQIQMGIRRIHLTVSIINARPKGAGAPVSLFIEA >LPERR11G10550.1 pep chromosome:Lperr_V1.4:11:11161694:11167984:1 gene:LPERR11G10550 transcript:LPERR11G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTKWPWQRLGNFKYVVMAPVMVHGMHRVMTKGWGDIDLAYSFILPSLLLRMIHNQVWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLLFYVGYLAMPSVRRMPMWRTDGAVVTALVHAGPVEFLYYWFHRALHHHFLYSPRLHRHRAHHIYHSNASGHAVIHPFAEHMVYFTLFAIPILLTIYMGNASAMGVVAYIAYIDFMNNMGHCNFELVPRWIFQFFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDHVHLTHMTTLQSAYHLRTGIASIASKPYSHSPWYMWMLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWERESINDLIEKAILDADVRGVKVISLGLLNQTKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDTKKVFLHTGTSKIARAIAMAICSRGVQVIMNEKMEYDMLKSQIPENRANYLKLSSDDIPQIWIVDNIDENEQRMAPKGTIFIPISQFPLKKVRKDCTYLSTPAMRIPEEMKNIHSCENWLLRRVMSAWHIAGILHALEGWNMHECGDDMMDVEKSWSAAIRHGFLPLTKA >LPERR11G10550.2 pep chromosome:Lperr_V1.4:11:11161694:11167984:1 gene:LPERR11G10550 transcript:LPERR11G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTKWPWQRLGNFKYVVMAPVMVHGMHRVMTKGWGDIDLAYSFILPSLLLRMIHNQVWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLLFYVGYLAMPSVRRMPMWRTDGAVVTALVHAGPVEFLYYWFHRALHHHFLYSPRLHPVIHPFAEHMVYFTLFAIPILLTIYMGNASAMGVVAYIAYIDFMNNMGHCNFELVPRWIFQFFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDHVHLTHMTTLQSAYHLRTGIASIASKPYSHSPWYMWMLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWERESINDLIEKAILDADVRGVKVISLGLLNQTKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDTKKVFLHTGTSKIARAIAMAICSRGVQVIMNEKMEYDMLKSQIPENRANYLKLSSDDIPQIWIVDNIDENEQRMAPKGTIFIPISQFPLKKVRKDCTYLSTPAMRIPEEMKNIHSCENWLLRRVMSAWHIAGILHALEGWNMHECGDDMMDVEKSWSAAIRHGFLPLTKA >LPERR11G10550.3 pep chromosome:Lperr_V1.4:11:11157235:11161818:1 gene:LPERR11G10550 transcript:LPERR11G10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLGPLTEWPWQRLGNFKGDIHLAYSLLRMIHNQIWISLARYQTVRNKHRIVDREHGWPAHSNKQTDGAVMTAVVHAGPIEFLWFHRALRHHFLYSRYHSHHHASIVTEPITATWPERLTRAAPATTVMLDGDRDSPAEEEESALPPPAGCGVDGDDSSVPLRYSRRDGLSRRLKLGPAATRRELAAGDESHESPRLSPLISWV >LPERR11G10560.1 pep chromosome:Lperr_V1.4:11:11256053:11258353:-1 gene:LPERR11G10560 transcript:LPERR11G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLESQPAIEGLVVAASLIGATIITTFSGPASDAVGRRPLLIASSLLYLAGGLIMLWSPSVSVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFLSYVMIFAMTVSSPSPNWRAMLGVLFVPAVAYLVVTVFFLPESPRWLVSKGRMKEARDVLEMLRGRDDVSGEMALLVEGLGTGDDTRIEDYVVGPSDVGGDGGGENERDDQTVTLYGPEQGLSWVAQPVAGGRGSMLGSALALGMQPSSGSWHGSMYEQMKDPVVALLGSVHERLPAAENGGGSMRGSTLFPNLGSMLSVAERPGGGGEGWDEENVRSDTGDDEEMEDEEEYLSGNGDAEAGLQAPLLSRQSTDVEVSSEIQPASQMSMRRHSSIGGGGVEAASTMGIGGGWQLAWKWTEKVGADGVKRGAVKRMYLHEEGEVTPAAIGGDGGDTAATPYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASAGHPVWRELLEPGVRHALFCGVTIQLLQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGVAMRLMDVSGRRKLLLWTLPVLILSLVVLVVANVVPMAAKAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIIVTYSLPVMLSSVGLAGVFAFYAVVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPEDEY >LPERR11G10570.1 pep chromosome:Lperr_V1.4:11:11291942:11293527:1 gene:LPERR11G10570 transcript:LPERR11G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPKFLIALLFIVVSVHGTNEEQCAASSSIQVETINTGESGGGGDTVFEVQVKNLCSCSVRNVRLDGGGFATTVEVDPAVFRAADGGAYLVNGGEPIASMATVTFRYSWDHFFQITPRSLEEDQC >LPERR11G10580.1 pep chromosome:Lperr_V1.4:11:11303097:11303303:-1 gene:LPERR11G10580 transcript:LPERR11G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASLQVAAVDCVFGWPQRTVESAFRMADRQGKLYGLIQQPLTKGKTSCGGVKVSLHASGGGACWR >LPERR11G10590.1 pep chromosome:Lperr_V1.4:11:11336852:11340837:-1 gene:LPERR11G10590 transcript:LPERR11G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASARELLVIEGTNRKYEQNRWGFTSESRTCVSFAGRTATHTYRLRSLLLMI >LPERR11G10600.1 pep chromosome:Lperr_V1.4:11:11337183:11337455:1 gene:LPERR11G10600 transcript:LPERR11G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNNPIVMLGQSIDETISMSNLKSTNRRVRHPLDGGDQKRYASHGTRSTALPCNSLANPKPILVCASLPRNATSPYNSSKGMILKI >LPERR11G10610.1 pep chromosome:Lperr_V1.4:11:11382445:11383343:1 gene:LPERR11G10610 transcript:LPERR11G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLDEFQIEANKEEAKGRGDDNSSSLDATDRRCTSIGEAVYSFIGKKLCASDVSEWFRCFYMGFDVENMIWLAYSEINEFENPSPIQTQTSCIFCHHYQPAGICGGKNGLLISSVGLHPDTTSSSILISTPTRMRTNRSNLQQLVDWDNRCPTAIQLPKGSSAPTDYQKDHIQEAQGSRINQPP >LPERR11G10620.1 pep chromosome:Lperr_V1.4:11:11383452:11385672:1 gene:LPERR11G10620 transcript:LPERR11G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRWWKKMKGKYQPTLLQYINTPLVSKPYRLNLHHSISHHYHLINHRIGSPSIAISLPTITISSSAIAVSSSTIAIGSPTITIGSSAIADPAIPNSTTKFNFSTFLINIFGINIYEFVPEQHEEAASKSPLASDDLKAKLKDIDKWLNVSIDFLVSNTGSIRDRNLAIED >LPERR11G10630.1 pep chromosome:Lperr_V1.4:11:11387877:11396381:1 gene:LPERR11G10630 transcript:LPERR11G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVVSPSPSQTMSPFPLPNPRRRLAVPNPTPASSILKLPPPPHRLDHPDADRAASILPRLVYLEYYSTRRRCGFINATQSSPLTHQILRRAHPGTWSSPCQLICSEAGVAHGKQYYGNTVRV >LPERR11G10640.1 pep chromosome:Lperr_V1.4:11:11415070:11419852:-1 gene:LPERR11G10640 transcript:LPERR11G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLITPNLLACLFYALLFAQVTSVTEGTEVKVSTTPVFPMIPRNQAHKDFQMLLRVEAPTAADLNVRFSIDLVVVVDVGEGENLNLVKKAMKFAIRQLNDKDSLTVVSTINNPEELKNFSTHIGGRRRIAEKKVDQLRGRDNAHRTWEETLKMLNSQPESSLDGRERFIMLVTDNNSSRYNVTEMLLMNFQYSVHTFGLGTAHDPSVLQLIAARSMGTYSFLDDDNLHKLDGALALCLGGLTSVVTTVGTRVMLDAAIDGGAKITKIDSGGYPSYIYENTSGEIIIRALYAGEVRNFIVHLNVPAAKPDFVADTGVCRNQQLLLIANLVGPNIEAGSIQDLLIVQRPKTTVLPIVPYPIVVNQIFQFQVLEMVDIFIAEEIKETKDEKDDDEETKDDKDDNNEETKDKEEEEEEDNDEEKSRSKRKRKLGNKLKRKMDKLVMEHKFSIGLNLGVGGLPSVISTVALVLTAVGSVATSAIALSLLSSLMMQRPTAMGSPNLVIDAFLTMEMRLKQQMAIKVNGTAECDYSCIDPVPPSLFVLGEDNTYHFNSEAYQGILSTDEINQMMSKLYQENAPKNIFIQKKEQKRSAMLRSRKGLVKANSLNQCPATPTSDEQQPEQQQ >LPERR11G10650.1 pep chromosome:Lperr_V1.4:11:11452230:11459906:-1 gene:LPERR11G10650 transcript:LPERR11G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTAEAYLHGKPSASARTCISSAVRRCRLQLRRRPPRVLLHAPALREDKPAVSAARENAAAIEKWERSDRMALMVMRQTISPFIQIDRAPAIKHVGSEFLLCHHDGHPNSLIAAAFPSLQKLELVGMVEWGDWDWDNQVQAMPCLEDFLLKCCKLRRLPPGLAFHARALWLLYVYEVQNLMFVEGFTSVVELELWDNPDLERISNLPKLRKLQIVFCPKMQVLEGVPELRSLILEDHLIQTLPRYLQDVNMKNFQVDCSFELLSSIALGDAGPEWNKISHILQVKAYAGDIHDRRRWHVSYTRYPYCFETNVDGSSNPSALLHQSQQMGSSMLTEEREPNLQLSRRNARMLLRLQKNRWWLGQSSYSRCSDQSFIGI >LPERR11G10650.2 pep chromosome:Lperr_V1.4:11:11452230:11465631:-1 gene:LPERR11G10650 transcript:LPERR11G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFAAYLRDLLIQVTKDKVGLLLGVSDEISKLDEKLQFLKDYLGDAEKKRITDRHVEGWVRKLKGIMYEATDILEICQLKAMEQGASVDLGCCNPLLFCLRNPLFAHDIASRIKKLNQSLDNICKTGADFKFMKLETYQDQKTASPPISRTTSPVLELSGVVGDQIKEDTSELVKMLTENRETIQAGNNVLLVAIMGVGGIGKTTLARNIFNDKTIQEKFDMKIWLSVTQKFNEDDLLTTAIIATGGDHRGSYNRSTSEPALVNAIRGKKFLLVMDDMWSERAWNDFLRAPFSHGGPGSRVVVTTRDERIAKGVKAMYLHHVNKLTPDDAWSLLKQQALREDKPAVSAARENAAAIEKWERSDRMALMVMRQTISPFIQIDRAPAIKHVGSEFLLCHHDGHPNSLIAAAFPSLQKLELVGMVEWGDWDWDNQVQAMPCLEDFLLKCCKLRRLPPGLAFHARALWLLYVYEVQNLMFVEGFTSVVELELWDNPDLERISNLPKLRKLQIVFCPKMQVLEGVPELRSLILEDHLIQTLPRYLQDVNMKNFQVDCSFELLSSIALGDAGPEWNKISHILQVKAYAGDIHDRRRWHVSYTRYPYCFETNVDGSSNPSALLHQSQQMGSSMLTEEREPNLQLSRRNARMLLRLQKNRWWLGQSSYSRCSDQSFIGI >LPERR11G10650.3 pep chromosome:Lperr_V1.4:11:11461552:11465631:-1 gene:LPERR11G10650 transcript:LPERR11G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFAAYLRDLLIQVTKDKVGLLLGVSDEISKLDEKLQFLKDYLGDAEKKRITDRHVEGWVRKLKGIMYEATDILEICQLKAMEQGASVDLGCCNPLLFCLRNPLFAHDIASRIKKLNQSLDNICKTGADFKFMKLETYQDQKTASPPISRTTSPVLELSGVVGDQIKEDTSELVKMLTENRETIQAGNNVLLVAIMGVGGIGKTTLARNIFNDKTIQEKFDMKIWLSVTQKFNEDDLLTTAIIATGGDHRGSYNRSTSEPALVNAIRGKKFLLVMDDMWSERAWNDFLRAPFSHGGPGSRVVVTTRDERIAKGVKAMYLHHVNKLTPDDAWSLLKQQVVDPIGKVVISETDKPKIEALRDIGMKIIGKCDGLPLAIKVVGGLLCRKDRDHGVWSDILGNSIWSVNGMPEDETLHCS >LPERR11G10650.4 pep chromosome:Lperr_V1.4:11:11461552:11465631:-1 gene:LPERR11G10650 transcript:LPERR11G10650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFAAYLRDLLIQVTKDKVGLLLGVSDEISKLDEKLQFLKDYLGDAEKKRITDRHVEGWVRKLKGIMYEATDILEICQLKAMEQGASVDLGCCNPLLFCLRNPLFAHDIASRIKKLNQSLDNICKTGADFKFMKLETYQDQKTASPPISRTTSPVLELSGVVGDQIKEDTSELVKMLTENRETIQAGNNVLLVAIMGVGGIGKTTLARNIFNDKTIQEKFDMKIWLSVTQKFNEDDLLTTAIIATGGDHRGSYNRSTSEPALVNAIRGKKFLLVMDDMWSERAWNDFLRAPFSHGGPGSRVVVTTRDERIAKGVKAMYLHHVNKLTPDDAWSLLKQQVVISETDKPKIEALRDIGMKIIGKCDGLPLAIKVVGGLLCRKDRDHGVWSDILGNSIWSVNGMPEDETLHCS >LPERR11G10660.1 pep chromosome:Lperr_V1.4:11:11465882:11466382:-1 gene:LPERR11G10660 transcript:LPERR11G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSRALGLVRNAATASALAPEYARHPFGEMRSWSDLSRLGHDVTDTACRAPWKTQTPVLDRKKASVPVERYPAGFLSCHLPKGKAERGE >LPERR11G10670.1 pep chromosome:Lperr_V1.4:11:11479655:11485363:1 gene:LPERR11G10670 transcript:LPERR11G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPRNLRRLLLLLLVAAAAAAGEEEEFTEELLLRPLPDRKALAHFHFRTSAPLSAAAGRHHHVFPKAIAQLVQQFHISDLELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLGEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLFRPSIYKGYYHSQKLKLTSSQSFGIVIDQTLTVVLQPDTFRGKQLHSTDGQLQPSWSMKDLFHRKLSGKCLVSKSSRVFLEIEKDVVDKIGKSGTEASWTNELFVLSTAPDRMLKELNNMDAQSSLYEYDVTKYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHNQLFGSSDDCSIKAVVFQVVPWYVRVYYHSLQIFIDGNSKAISDVVDKIHVTPSEDKLLPGSLEMLLRLPCGMQAATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSSRSYPETDTLLVSPLLQTFKEDSVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAAAKRGLIPLLIAKLRGKVGPPPQGSSPTSLVSTKLLLKVVFVAVVAITFHYLSNS >LPERR11G10680.1 pep chromosome:Lperr_V1.4:11:11488264:11493607:1 gene:LPERR11G10680 transcript:LPERR11G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPPPSPAPAPAARAAARAVPEWLNSPLWSASTPSAAPDPYGADLSPPPPPPPKPPPPPSYEQAVGSSRRVEEEEDEEEAGGGAALRAHLLADFKLALSKKLVNMGELRRLACLGVPDGGAGVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEELKLLRKKELNTDNIGLLPRSKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKTNQESLRRILIIFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDPSNSASAEADTFFCFVELLSGFKDNYCKHLDNSEVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPSTNIDHLLHIANRLRGSVAS >LPERR11G10690.1 pep chromosome:Lperr_V1.4:11:11495204:11498304:-1 gene:LPERR11G10690 transcript:LPERR11G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRARPMEAAAITGATKSAVDMAQRPLKSIGSHIWARMSYLVKCEAKVDELKERVDSLQLEKKDLETIIDNAHREYKVASETTKKWISDVQNLASQADDLVMKCKGKSPSRHDLHDVDITQNRGEFKNEATDKRKVRNPIRRVLIGSLATKLLQEVEELLQRRNNLDVLVPCERPENSVTPQNNVMEFSSRNEAVNLIRSALNEDKGHVISVYGPRGIGKSLLVAEILRKMEAQETFHEVVTVDLGKKPGPEDIRNSIAHQLRIPTALLEQTLNDRKPVVFLDNVWESLDLGMLGIPVDQCKVIVTTQKIGVCKNPYASVEVTVDFLTEQESWELFKSKAGLAETYGTESVGQKIAKRCGRLPVALDVIGTALHGKDKMYRESVMLELESSNRLDKDEVIQKIYNPLESSYNHLEGTGTQYLFLMCSLFPGGHKISEEELSRYWIGLKKFPTMMQSRARIHIMLRDVVVIIASREDGQFAAPHEIDDERINERLKKCERVSLINTNIDKLPAPESPKLQLLLLRNNSDLHILPENFFECMQRLVVLDMTDSFIQSLPFSTKHLTELKTFCLDNSKVSGRTWLLGKLENLRVLSLAGSSIDSLPEELGGLEKLRLLDLSSMESLEIPLKLIPKLRHLEELYIGSSKLRWVTRVKSHRKNLYVKGVTFIRSWVVDALLEETEDLILESCLVEESPLTALNLLSRFRDLKILRLTKCNGLTHFFWCDDQNPQTAFHNLEELHITQCDRLRAVFHFQSTNENLPAFPCLKIIRLNSLQETVSIWSWEGNPPPHICSNLKELHVQSCNELKYVFVVRVASMLSDLKKLILKSNKAMKEIVASDETVGSEIVSANTRYAAHSADAGASLDPEAFSSLTHLSLVDLPEMEFFYKVRDEIMRFSWKSLVSLKLGGCNTLKGFPLHGESSPELKNIELVNDSDKSWYQTLVSQDASLAERFKHTQE >LPERR11G10700.1 pep chromosome:Lperr_V1.4:11:11529355:11533594:1 gene:LPERR11G10700 transcript:LPERR11G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDTEISSREGRADQKDIRAGFALVSILRAIFDRATLVIETLQNRSVASDPALSGDYEQRTVLSDYEPLIEEHINMGWTFPFSSFMSHGAVIGAGIASASLFSSSLLWPGITSILSENSSLLSGDYMIQDLQRCLNKLMHVLSKMEAKVLYTAILGWQDDTLFAMALAIERNLDMLEYKQVQKHENDDILKDMKPLLKSILRVLKEALEELPVNMPSDRQTVDKADDITKLSSNQPNLEKLGNKSANPSVEMRIPIWVKESLEMQLLDKGQDGIYLIPFLLSSESEDFVIPIIKDVYNNSRVKEHFEVRIWVNIYGRSTTIKSEVSVPSCINELMNYHVMNSVNHLPPVHSEEFENWIEQNGTDGDSREAYHQKMKDLEEVIHCGLVGRKFVLVLDSISEDQMGQLEHLLRVTKCGRKGSKVILLTTSTNIEESVRNMNIMRGDQIDNNLHWRFFRSYAFDNFSIHSYKVNHLHHEVISAKTFRRVESNYLPANPQLSTHMSIMSGFLPTLKKFQEQITEWHLHTLINLPKLSNESRHHLRYLSLQDTGIQTLDLDNFYHLLVLNVQGCQLSNFPDHISQNLSRVRHIIGPASLVSSIHCIGNLKNLQELQEFRVHKLPGFGIEELEGMNLRGSLSITNLENVAIATKAGEVNLSLKSCLVSLKLGLNSTQGTSQSVSEKVLERLKPPDSLNELEINGYRGIISPTWFAEDCLINVKKIILRNCSSVSVLAPLSKLPNLEVLTLESFSMLERTSESGRIQYLEYVLRLLKFPTETSCSFPRLMKLRIEDMPVLEEWIEQEPCFPCLKELTLRNCPKLTVLPPLHHARIKRIHIEGVQLISFGSPFGVFLDRSLQPSNMFVLRHCPNLSTFTIPADNSSSSHCIGPLLQLEITDCRELKAIKGALGIVEKLHIEKCHSSLKLPKGNMMQSLHTLHIDSVSTRMDTFLLGLQALRVLIIKDSAELNSLDVLLESDHMPDTLEQLQLINCNSIKSLPWNMDRVLGLELLCLLNCPSMQFLPCLPNNLTELRIRGCPILKEKYGDNGPDWDDISHVPYVSVD >LPERR11G10710.1 pep chromosome:Lperr_V1.4:11:11535976:11541548:-1 gene:LPERR11G10710 transcript:LPERR11G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHGEALLPPRRRRGPARLWVAVVALVAGTVWLCSSSLGLGLARSSSYGVKDVDVNKLWRTADSNGWRASSAPRTYWPPPPVESDSNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFIGIYDVPHFIKTLKYDVRIVMSIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKASSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGELFGGKRFMKPFKALFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRTARFEERSIEGEEDIEEVKPSNQTDSTSQIEETMVK >LPERR11G10710.2 pep chromosome:Lperr_V1.4:11:11535978:11541548:-1 gene:LPERR11G10710 transcript:LPERR11G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHGEALLPPRRRRGPARLWVAVVALVAGTVWLCSSSLGLGLARSSSYGVKDVDVNKLWRTADSNGWRASSAPRTYWPPPPVESDSNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFIGIYDVPHFIKTLKYDVRIVMSIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKASSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGELFGGKRFMKPFKALFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRTARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQTNPRNHANKCPTDNIYEVLESQFQSIEGEEDIEEVKPSNQTDSTSQIEETMVK >LPERR11G10710.3 pep chromosome:Lperr_V1.4:11:11535978:11541548:-1 gene:LPERR11G10710 transcript:LPERR11G10710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHGEALLPPRRRRGPARLWVAVVALVAGTVWLCSSSLGLGLARSSSYGVKIQMVGEHLLHPAPIGHICNAVVAARIMNATLVLPELDTNSFWHDESGFIGIYDVPHFIKTLKYDVRIVMSIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKASSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGELFGGKRFMKPFKALFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRTARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQTNPRNHANKCPTDNIYEVLESQFQSIEGEEDIEEVKPSNQTDSTSQIEETMVK >LPERR11G10720.1 pep chromosome:Lperr_V1.4:11:11551731:11556955:1 gene:LPERR11G10720 transcript:LPERR11G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPRAGLSDYRRTYEYIELEEKLHRGRLVHWNVVVGRTASFTRAISEIFVEKSSRGRWTPFDHVINVRLIKPVEKDLRRRTLDVVDQLVTVVAEELGLLADDQEYSKLKQAHDEALYFSWGSMNQYVAEFSKYLEKMVPRINRALSGTMYLLAVDNLFEPVAPGDFMYRVGLPPASGWTGSKWVISTKSQKVCDRSKSERDDVYGPFTNDDIMVLVLVTLQLASKHIAEIASGQEEYWHRVILQCFNYAVLLLPQHCGDNGNNSLAITSYELIRQWAALGVLTSKKPQKVQENIQNKGIKYHDIYQIGKFILQAFQDYSLLKLPFSPATRADEATETAASFLAYHHLIAEHHTQDEVFKEEWLQDKRLIKMVCKQGIEDQEWHIGTKWLSCEEPGGPTTLILRGCLNKSAINSFHSAFFQSMPCLQELVLVKCYNLVELPHSFIALYSLTKLEVTGTQIKFFPENMFEEMQNLQSLKLVDNKTLMSLPGSIYRAHGLIELHIEGWESTMQNEIMLASHPTLKSFLLINAPHIRCLYLQGCKNLESLELRDLGSLEDLDLSSTSIKELPPDIPNLPKLRRLLLIDVPSLSRFPWHKLKRFPEVFCLDHCAWRNGNDYDDHIAQLKRKTVSVCTKDSKLFYSFSNFTRMLTRHRVLQSFYVQVSPCPANIRKLQEEQDMLANKLQELALKKSPYGELYYYYTAKEFSVMSMAAPSIRHVQLSATDQYPHGLDNLLKVATSISLIDDAYVSCLTDLSNLDELEDCKLYLCHKMKLAFEYAFYVGNSLQNAWISQLKGLIHFYKPEFSTYNFSSLKHLHLEYCPRLESIMPRESALPSLMTLNILSCYNLHTIFHQDHRHEKAMTYQLPSLKKMRLQELPLLKRLCDGDDIVISAPTWKELHVRGCWSLRHLPHLHQEHPSVVVEVSGERAWWRKLLWDNESPHTHCCCYEPKLPPAFASFNERALVTSYLR >LPERR11G10730.1 pep chromosome:Lperr_V1.4:11:11599333:11602510:1 gene:LPERR11G10730 transcript:LPERR11G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYRTQPSVDRRRIYDALSNKWYFLVVENLYEPIGPSDFTYYAGLASPYAIPWTSPFDDDNDIMVLILSSLHLSAKHISKAVSRQEENEEHWHRASLHCFQYALLLLFPSTTTSDENDITSDELIRQWAAQGFLTSATCSYKPRAAVQEHAHSSKQEAQGQHADDIFHVGNIILQAFSEYSLLKLPFSPATEDSEATQTAAHFLIYHDLIASHLSDYEIFHQDNWLQNEGWIKMACKQGMENQGWHMNTQWESKEEPTGLTTLVLRHFLHTSSLVKLVDNIFPKLIPCLRVLDLSYTLIESLPTSVRYLSNLRLLSLRGCRALKSLSNASNSGYSHPQKDKDQMNSLLYLDLTLLNINILPIDFFQGMVKLEELMLGCSNLVELPCSMSTLSGLLTLEVTGSKLTSLPGSMFEGMKKLQSLKLIDNKLLVSVPRLILEARALKVIYILGCDELKEVGVFGGAEHKTVNLSGSRQLWDLPKSLMEEDIQLDRPTTLESFSLINAPRIRWLSLRGYTKLEHVELKELSTLEDLDLSGTAIKELPINIPNLPQLRRLLLMGFPAQSRFPWHKLQRFLSVFFLDHYAQGYGNHYDDQVVRVCVKDSRLFYSFNDKTKDLIAPSTVNIRRLEDEENMLDNKLLELAQKRSPYGDVHCRCMAKEFSAVSMAAPPIRQTARHVQISAAEWDPRGLGYLLAVTKSISMTGYSHVYGLSDLSDLEELEECKLYFCHQMNCVFEYNFTGLKLQNARISQLKNLNLFCNNPTNFSSLKHLHLEYCPRLESIMPRESALPNLTTLDILFCYNLNTILYKHPDETVVSDKLPNLRRMRLQELPLLKNIWDDDDDIVISAPALKELHVRGCWSLRRLPRLRQENPREAVEVHGERAWWQKLFWDSDSSPAHSGCYKPKLPPPFASFNERATVKSYLSRIASKLINPCIHDSVCYFYHHIIC >LPERR11G10740.1 pep chromosome:Lperr_V1.4:11:11605821:11606279:1 gene:LPERR11G10740 transcript:LPERR11G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNAIALLSSLQTPLCPPTAARCAWLASQHRTFWRETSRSAKTSNAGWRLPTSPCLSARVQFAAATDLLLTAAAATSLRTGHLLVALSSSPRNAIVTGSGASTRFLTSSTVLSCKKPPLRLLTTTASRSHGTSLAGSALGRHPRRLSSRPP >LPERR11G10750.1 pep chromosome:Lperr_V1.4:11:11608408:11609915:-1 gene:LPERR11G10750 transcript:LPERR11G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVEVTQQNDVKLFNRWSFEDVQVNDISLADYLAVTATKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKILAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >LPERR11G10760.1 pep chromosome:Lperr_V1.4:11:11619550:11626033:1 gene:LPERR11G10760 transcript:LPERR11G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVELMQGRTTQNFNYTNREGNGQGQERKVSFGVPYQNKRRIRTLRVCCTADEEGEISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGGHGYDPPRWFGEEELPKQ >LPERR11G10760.2 pep chromosome:Lperr_V1.4:11:11619550:11626033:1 gene:LPERR11G10760 transcript:LPERR11G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKKEKFHSVFHTRIREGFERCGYAALLMKKEKYHPYYPRAAVMCQLAVSTLCKHTRDMVLQRVVMDMIPQGGLVRKSCPSNDVCYHKKGCSGVVLHVTISRKLLC >LPERR11G10760.3 pep chromosome:Lperr_V1.4:11:11619550:11626033:1 gene:LPERR11G10760 transcript:LPERR11G10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKKEKFHSVFHTRIREGFERCGYAALLMKKEKYHPYYPRAAVMCQLAVSTLCKHTRDMVLQRVVMDMIPQGGLVRKSCPSNDVCYHKKGCSGVVLHVTISRKLLC >LPERR11G10760.4 pep chromosome:Lperr_V1.4:11:11619550:11624875:1 gene:LPERR11G10760 transcript:LPERR11G10760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVELMQGRTTQNFNYTNREGNGQGQERKVSFGVPYQNKRRIRTLRVCCTADEEGEISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGGHGYDPPRWFGEEELPKQ >LPERR11G10760.5 pep chromosome:Lperr_V1.4:11:11619550:11624875:1 gene:LPERR11G10760 transcript:LPERR11G10760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVELMQGRTTQNFNYTNREGNGQGQERKVSFGVPYQNKRRIRTLRVCCTADEEGEISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGGHGYDPPRWFGEEELPKQ >LPERR11G10770.1 pep chromosome:Lperr_V1.4:11:11619624:11619890:-1 gene:LPERR11G10770 transcript:LPERR11G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLRIQMSRGGLAGDIAALEVGNGGGRRELEADAGGLQSPVAFCSGGRRFVDDVGATAAGAGGDCGERRMRCEIGGAAISSCEVA >LPERR11G10780.1 pep chromosome:Lperr_V1.4:11:11635130:11647716:1 gene:LPERR11G10780 transcript:LPERR11G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPQQSRPPPPFAAAPPQNPTPAPAFPPSSAFSNLQISRGPAPPPGAPRSGLTPQAAPPAGFPSRPSSGMGIAAPPAVRPFPGSPPAPVPPFPRAQAASPPFGVPPAVAAAPAVAMQQPRPFGGPPPGIGGPPGAAPPFGGPPGSAAAAPFGGAPAPMSQPQQQPPQFGAPPPVAMMSQPPPQFGVPPGVGAQPAAPPQFGRPALSGPPAAAGIGSSQAMPPSFGSQQQQAPPTFGGPAPPQFGRPGAQPPFGAAQAAPMSQQAPFMGPLRGNAPAFGNAPWQTQGAGSGAMQPPMRMPGMPPNTLGQGMPPTTPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCSVNQIPCTADLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRHFICNLCGHSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAVQTGATAAACSAISQAISDLPEGPRTMVGIATYDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECRENLEQLLESIPTMFENNRVADSAFGAATKAGFLAMKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANISTSDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQCALLYTNVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFACFLKQAGNGIPTSTLLHIREEVTNTCINILQSYRKYCASVTSSGQLILPEALKLLPLYTLALIKSVGLRNEGRLDDRSYWISLVSSISVSLAVPMVFPRLIPIHDLTSRGDDDSLIPSPLMLNSENTHEDGVYLLENGEDGLIYVGNVVDPTILQQMFGVSSLAALPSQVVLEQFDNELSRKVNEVINEIRRQRCSYLRLRICRRGEPSGDIFRSYLVEDKAPGGPSYVEFLVHVHRQIQSKLT >LPERR11G10790.1 pep chromosome:Lperr_V1.4:11:11647352:11648785:-1 gene:LPERR11G10790 transcript:LPERR11G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGHIPKFGDWKSGDGGSPYTVFFEDARKRKNAGGVMPPPLARGDSVPPSGHRTPPHVGSGGTVPAWGQWNENNAGGGAQQYTLMFDQIRDERRGSAPSTPTIEQIQRATPNRYNHHSKHDNMPKRFTCFGLCLK >LPERR11G10800.1 pep chromosome:Lperr_V1.4:11:11650834:11653646:1 gene:LPERR11G10800 transcript:LPERR11G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSGGAVFPAAAGADPRRPPPPGSVAVADKNSSYSRSMQASDNGGSLEAPLRPLDIHEAMSMLTEGKTVQSAMYVPLLHRCIETGSLCAARAVHGHMAKTGTDADMFVATSLVNVYMRCGASGDARRLFDEMPERNVVTWTALITGYTLNSQPVLGLEVFVEMLELGRYPSHYTLGAMVNACSASYNVDLGKQVHGYAIKYGAESITSMGNALCRLYAKSGNLDSALTAFWRIPEKNVITWTTMISTCAEDEDCVELGLSLFLDMLMEGVMPNEFTLTSVMSLCGARLDLNLGKQVQAFCFKVGCETNLPVKNSTMYLYLKKGETDEAMRLFEQMEDVSIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSAMKPDLFTFSSILSVCSTMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGSIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFEDMRLAGVRPNEITFVSVLSACSYAGLVEEAERYFDMMEKDYGIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRAHPQSNELYQLLENLLEKAKAIGYEPYQNAELSESEDDEKPASGSLKHHSERLAVALGLLQTTPGATVRVTKNITMCRDCHSSIKLFSLLANREIVVRDSKRLHKFKDGRCSCGDFGALL >LPERR11G10810.1 pep chromosome:Lperr_V1.4:11:11656368:11662218:-1 gene:LPERR11G10810 transcript:LPERR11G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPSASAAAASRFAAFWAADALAADDSLDFSVTTGFCSIHAALVGVSPDSLKGAPEAVRERVAMRCLRELVSAASSEGEVEAAAAKEGVVLGVDASGSCEDLLLRLIREVGSSGSLEKDMISPFKQDIQRFICIKRPTLPETSFELLRQVYPEITPVVPPSPMKQNGNDQHENISHDLVNTEKIGFATDGAQLQQDDLANLVNESNTQNLQKDTMPTPDFHQPCASDNRCFDQPQEDYIDTVGVSIKSPDDYPTNVDRHMPVATEPSLSRAADLLGSSNSGTMSERDMIDHTTIVQSQSCGVRNPHTLHNNNGDRPLVASIQSPKGSIHEGSTMHATVSPAFDRSNDALPASTSETSHLPEFVTAQDTVMTSEQHISKTRPNSQIDTGERANQDADLCGAGIQTAAALLSEGFNGAIQGDRFEIKDPPENTREHMEMFEQENSDKAHLEVGCSDKVDEALCDGNIMKNNMVCGGPNVQTAPESHSSCMALHNKNSEANHLSEQNIGGNTTDIQRDCCSIPASPQDVNGKQAKQASNKGTMGNTVAETLHVHPSGGSFCGVAAAGLLSMTDKVPFCTQDQDANCNVEGFSEQDLCIVCGKDGQLLKCCSCLLAAHDTCFGSSVTFDDSGQFYCPVCFYTKATEAYQKAKKTYCEARKNLSTFLGRKKLGEQEAAVQRGAANSEYHFNGCNTSKRQGNHHSGLDNLSHRDEEPDRQRKKQKTNAKSDACTQEVVTEKAPVIQKSDVASMNKHPELQNNRKQAQDEEHEQPEEIAEVHGESGNDGSLHKTRHSAQNKCSPTNQNVDTGREDGIASPHQSEESDEIEASSSDDSRKQSSPPWLKLRHHKARCQDKNTAIPSTSKKALGHHDQHMASPSRKRNYAYPPKRYSNPVAPAGRRTKLHWTEQEEATLREAMAKFTPSDNGPIPWIKIQEYGRDVFHRTRLPSDLRVKWRNMKKKSDS >LPERR11G10820.1 pep chromosome:Lperr_V1.4:11:11684720:11686357:1 gene:LPERR11G10820 transcript:LPERR11G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFVAAWSCLVMVVMLSSLRLRSSSSSSSSSSSSGHGAYGAGGGYGPTSYPIIGCLVAFYRNRRRLLDWYTEMLSASPSQTIVVDRLGARRTVVTANPANVEHILKSNFPNYPKGKPFTDVLGDLLGMGIFNVDGDLWHAQRKLVSHEFSARALRDLELTVLASESSDRLVPALAAAADFSGDLDMQDVLRRFAFDVICRVSLGVDPGCLDAAMPATRLAAAFDAAAGIIARRGAAPVAAVWKVKRALDAGSERRLREEVGVIHEAVMGLIRSRRKEREIVNGGEIGRRRSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLTRHRHVERQVLDEITAVRRAAGRDGGEGEGLDLDDLRRMRVLHAALCETMRLYPPVAWDSKHAAADDKLPDGTAVRRGDRVTYFQYGMGRMEAIWGDDAEEFSLDRWLSIPNADGGGGGVPAAAAAFGGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVAAAVLRRFELRPVDEARTPAFVPLMTAHMAGGLNVTVRRRRAQKEGRGPLTSS >LPERR11G10830.1 pep chromosome:Lperr_V1.4:11:11700215:11706163:1 gene:LPERR11G10830 transcript:LPERR11G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSSGGGRKTSSPAMSGEGGAAKFLSGLPSSGNFSSTSVSSTLGRLRVYVCEHGTDPPEGQVIKTDTTNILIRHLQLKKKEDAKEAKDTGPRNQGESVKWKRMN >LPERR11G10840.1 pep chromosome:Lperr_V1.4:11:11715413:11719823:1 gene:LPERR11G10840 transcript:LPERR11G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMACQPGDQRVPRRSAPLGHSIEHLHRLLHGTSLGIHVDQRRPKDHPHGRRHPPLLHHPMHPPPHLEPARSPRPRARRKHRRHRRPTQLQPLHPNHLLKHHHSILIHPVLRVRGHHGSINHPTPLRHSVEHLTCDTHEPRDRVRPNKAIPNMSVAVQPVPHGERVELLGGADGEVRGGLEEEREGVPAGGERGIAAAAEEGEEAEGGGWGGGEGAEGGVEHEGVGDVGEGGEEAEEDGGRGRGWGEGGVGGEEAGGGEGVVGEAAGDEHGGVDGGEGA >LPERR11G10850.1 pep chromosome:Lperr_V1.4:11:11718280:11719713:-1 gene:LPERR11G10850 transcript:LPERR11G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGNHGGGVVRRRPNLSLLADRCATLRALAAVHAAMLVSGRLADDAFAASRLLAAYASLSPSPSPSTILLRLLASLPYVPNSFMLNTTLRALASSPSSALRFFSLLRRRRNSPFTPGRHTFPFLLKATSHLPIRATEQLHALAVRHGLDCDAHVGNGLVRAYSVAGLVGVARKVFDGMPERSGVVYTSMVSAYAQNGMYEDAMVVFEEMVGVEGLELRGAAVASVLSACARSGGSRGLEMGRRVHGMMEERGMTAPVGVILGTALVDMYAKTGAMEEAMEVFDGMPERCTATWNALITGLARHGHGEGALSTFHRMRREGVPPNATTLVGVLSAYCCTGRLDEARHVFASMEKEFGVAPTIQHYGCMVDLLGRSGLLIEAEEMIRGMTTCDADTVIWGALLTACKNHGDIDVAERAVQEMLKLDPDNHGVYVVLSNMYAEAGRWQDVDKLRKVMKQARLSKIPGSSEVAGDG >LPERR11G10860.1 pep chromosome:Lperr_V1.4:11:11720608:11726181:-1 gene:LPERR11G10860 transcript:LPERR11G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGGATASAAYVEGEAVREARELVAELCRHFYLQGWVTGTGGSITVKANDPAIPLADQLIVMSPSGVQKERMVAEDMYVMSADGKLLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRMTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTESLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGLLSSAKRPRSVLSSGIPNGCSDVKPSKQCVVLDIEGTTTPISFVTDVMFPYARNNVRKHLISTYNSDETKEDIKLLRIQVEEDLKNGVVGSVPIPPDDAGKEEVINAIVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFEDVPQALKHWHASGTKVYIYSSGSREAQRLLFGNTTYGDLRQYLCGFFDTTTGNKRETKSYSEISQALGVDSPAQILFITDVFQEAVAAKSAGFDIIISIRPGNAPLPEDHGFRTIKSFSEI >LPERR11G10870.1 pep chromosome:Lperr_V1.4:11:11727428:11733029:1 gene:LPERR11G10870 transcript:LPERR11G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDEDDDGAEEAEVDPNVLPEDDAAAETVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGATADRKLPRMLHDQDTDEDTNFRRPKRHRASFRPPREPRTPRSDDDGDGATPSSPGRSRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKSEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANIEDRVATDVDDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTHIEVKVEDLKNKAQEYEIYDLRPFFSSTHFRDNNFVLDEGRGIIRHPISA >LPERR11G10880.1 pep chromosome:Lperr_V1.4:11:11735952:11736382:1 gene:LPERR11G10880 transcript:LPERR11G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSADDVPGETTTADVAELAVSSLPLETASGCRSTPSLASRPSDVFLASVPKSGTTWLKALAFATANRATHPPSSESHLLRRHGPHDCVTFFEFTLTYPDGDATLVALPSPRLLSTHLPYSLLPERIRHDS >LPERR11G10890.1 pep chromosome:Lperr_V1.4:11:11737261:11744082:1 gene:LPERR11G10890 transcript:LPERR11G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVELCSFDHLTGLEVNKTGVTKWRYNSVRNELFFRKGVAGDWSNHMSPGMAARVDGAVNDALRGTGFSFAGDGDARHSTHTKLDKPMDTSADHDVPGETTAAADVAALAPSLPLEARYPPSLLRQFAGFWLPEFALPGIAAAQSPTCFHPRPSDVFLASVPKSGTTWLKALAFATANRATQPPSSDSHPLRRCGPHDCFTLSYPDDGDTTLAALPSPRLLSTHLPYFLLPELVRDCRIVPKDTLVSWWWYISKNNMATSSYTIEDVVNQYCQGRCAMGPQWRHVIDYLEESRRRPEKVLFLRYEEMLSDPLYHVKKLANFLGCPFSDEEEKGGVVNDVVELCSFGHLMGLEVNRTGVTKWRYKSVRNESFFRKGVAGDWSNHMSLEMAARVDRTVDDALRETGFSFAGDDDSNSA >LPERR11G10900.1 pep chromosome:Lperr_V1.4:11:11747878:11753973:1 gene:LPERR11G10900 transcript:LPERR11G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEVLRAGKHVSRFTRHACQAARFFSISCAPISQFKRPQSLDAIIKLRSCSFIQTQSMAMATTLSNGVSQNGPQRNYQVVVASTHDMGIGKDGVLPWKLSGDLKFFKELTLTTVDPAKKNAVIMGRKTWESLPLKARPLPGRLNVILTRSGSFDFATVENVVICGSMNSALELLASTPYCVSIEKVFVIGGGQVLRESLNGPSCEAIHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTFVRVRKTMAEALDSNGKESTNDGTKSDKFETVNFSFLPKLIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTISKFGCQMRFNLRNNFPLLTTKKVFWRGVVEELLWFISGSTSTKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >LPERR11G10900.2 pep chromosome:Lperr_V1.4:11:11747139:11753973:1 gene:LPERR11G10900 transcript:LPERR11G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRNQPNQTGLLTPSSPHSVARKEGKRVGQARRRPPAHFRRIDPPSPAARFFSISCAPISQFKRPQSLDAIIKLRSCSFIQTQSMAMATTLSNGVSQNGPQRNYQVVVASTHDMGIGKDGVLPWKLSGDLKFFKELTLTTVDPAKKNAVIMGRKTWESLPLKARPLPGRLNVILTRSGSFDFATVENVVICGSMNSALELLASTPYCVSIEKVFVIGGGQVLRESLNGPSCEAIHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTFVRVRKTMAEALDSNGKESTNDGTKSDKFETVNFSFLPKLIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTISKFGCQMRFNLRNNFPLLTTKKVFWRGVVEELLWFISGSTSTKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >LPERR11G10900.3 pep chromosome:Lperr_V1.4:11:11748382:11753973:1 gene:LPERR11G10900 transcript:LPERR11G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTLSNGVSQNGPQRNYQVVVASTHDMGIGKDGVLPWKLSGDLKFFKELTLTTVDPAKKNAVIMGRKTWESLPLKARPLPGRLNVILTRSGSFDFATVENVVICGSMNSALELLASTPYCVSIEKVFVIGGGQVLRESLNGPSCEAIHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTFVRVRKTMAEALDSNGKESTNDGTKSDKFETVNFSFLPKLIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTISKFGCQMRFNLRNNFPLLTTKKVFWRGVVEELLWFISGSTSTKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >LPERR11G10910.1 pep chromosome:Lperr_V1.4:11:11756249:11757766:1 gene:LPERR11G10910 transcript:LPERR11G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPATPTAASSAAAAPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDATVARADAEGRLPLHGHRDPKSFVLSLARPRTVVLLVQAGPAVDATIDALAPYLDEGDAIVDGGNEWYQNTERRIEAAEGRGLLYLGMGVSGGEEGARNGPSLMPGGHLEAYNNIRDILEKAAAQTEDGACVTYVGSGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNKGELESFLVEITADIFTVADPLDGSGGGGLVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAAGVLEAEGMPSGLLEKVNVDKKVLVDRVRQALYASKICSYAQGMNLIRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >LPERR11G10920.1 pep chromosome:Lperr_V1.4:11:11771201:11773313:1 gene:LPERR11G10920 transcript:LPERR11G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELLTTISFLFIFFSTINPIPHLPWLYLSLAIAAIAIPPLAKRHARRPNAGGGGGDGEMMNEMVKEGYELVGKFNLGDYYPRHMWGSGLLDFHGVGPRCQRLAARVREHFGRVMEERRKVMMREEGGEVMEKRDDLLSYMLAMPEEERFDDYDVIAMLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKIQQELDTVVGHRPMTDSDIPNLHFLHCVIKETLRVHPPGPLLSWARLAVHDTRVGKYLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVFGSDLRLAPFGSGRRVCPGRMMGLSTAYLWFGRLLQEYKWLPTHPIKLTECLRLSMEMKKPLVCRAVHRNKIG >LPERR11G10930.1 pep chromosome:Lperr_V1.4:11:11776210:11777244:-1 gene:LPERR11G10930 transcript:LPERR11G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKRRRIHTATTTLSCSAMSPAAGEPDPLSPAAKRDADDVFDRLPDDIVLTILANLAAAASSPADILSASLACRRLHALASHPSVLSRASPAAAAVRRAASWLSDDGHGAHNFLRRCAVAGSAHACYFLGMVWLYCLGRIDAGAAMLARAAWRGGHAPAMYALAVVRFNGGGGGEKGNERDARGGVALCARAAWMGYAPAMRELGHCLVDGYGAPRHTAAGRSLLLHAAAIEHLDRKYGRHGDGEDEASRFMVDWWETRRRGKKAAKGGCVPGDGGEDDGEVRMCSQERCGRRERRRHEFRRCAACGAASYCSRACQAIDWKRAHRAACAPARWLAGDGGAH >LPERR11G10940.1 pep chromosome:Lperr_V1.4:11:11792954:11795522:1 gene:LPERR11G10940 transcript:LPERR11G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMSAGGGGSSMMTREQLLHLFSRFSFLTSLPEIKARIADAVREKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNIMYEVDMELMVKFYQFVAKEEMAIDEAELDPRNFAEKLHAQQKLQEQQLKMLIQMRKYNPESQSVVLETLHKQLESANFDTDASILTTEQIQEIVEN >LPERR11G10950.1 pep chromosome:Lperr_V1.4:11:11795956:11798880:-1 gene:LPERR11G10950 transcript:LPERR11G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMTSTALDPNPNFQPSMPRPEGNFQVKTLTARRAHLSATRPHSPQSWTRLPSENPPAMYGETETAAAERTAFRRAEKQYKLYKPPPKSKGRSRARSKTGGGGGEDLSAVVDFHDILAAGDGGELPAGIGRRDLDGFDRPVFCFLDRPGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKNRKILVEVENPNGQDTSEQNSDGRKIHPNNFTFMEDSELQKGERNYDVSLPHNKIPDALSALAKKMAIPAMPYGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEVPTAMYLQSGDIVLMAGEARECFHGVPRIFTGSDQEEISVLASQLSGEDDTFILNYIHNSRININIRQVY >LPERR11G10960.1 pep chromosome:Lperr_V1.4:11:11805755:11813815:1 gene:LPERR11G10960 transcript:LPERR11G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIKFPSSHHITGHALKLRRSSRSSSVRAQIFRQHSDNVLVGMKRTLTFYYGKGNTTECRKCGTKEFCLTSTSQLPSPVMRCATGYVFNPPFGCAKETIAKRNDDLSVVLHSALVLAPLVKTVVEPNKSWLICCIYKKRQHVLRVIVPPAIGNAREIIIPPANVHAREGEVHFIDFLRQAPRIHPSSRCSCIVGPCLAEGSDESTGASDENDNINSNSKESK >LPERR11G10970.1 pep chromosome:Lperr_V1.4:11:11826752:11828281:1 gene:LPERR11G10970 transcript:LPERR11G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFRLAGSSLLHFPIMEQFTSSGSSNTDAIIAMSNDGGLSAALTQVVPDSSWLIYHIYMKRRHAPQVIIPPAFGNAGEFMIPPAVGNTGGGQVRFIDFSGQASRVGPSSPSCSIGMTKIGMAMARGIEVIKGSNSGESRIKIASVFCTIARKTCDLHIYVCF >LPERR11G10970.2 pep chromosome:Lperr_V1.4:11:11820715:11826744:1 gene:LPERR11G10970 transcript:LPERR11G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHESRLVGVGYLPCPVMTRTISNGLGPPYSCLEAKIAMTMVNGGVCITLVNGSTMHLPIGSIFHPTEVQEEKNGKHFFTRKENKNPGDKHRNRAAGDGFWRSTGSEVPVYYKPSGGGDDMLVGMKRTLVFYRGKSSSSY >LPERR11G10980.1 pep chromosome:Lperr_V1.4:11:11833209:11835366:1 gene:LPERR11G10980 transcript:LPERR11G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMEHGFHVHMEHQALPCGEFKDGICAQIPSPPLPSTSRPNSMVVKKVCPREFIPPHIVAEAISTLRGLDLRWSGPITPSERLYVEQYVLAKYPQYSHGLILLDDDLSGDHRHTVILSSSTSTSSPPSMTMTTTAIRLEPSRLVDMLTKKASFTGSFISIPEIQARNRVLRRCGLADEDYLVLFAPSPRDALLLVGESYPFFRGNYYMSVLSNNADSDCVRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHPHKGLFAYPVAGAGEGGSTAARYSLHWVSEAHRNGWHVLLDATGVGVGDRMPLSLHRPDFVMCGVDDEHAQPPSRVTCLLVRRRSFDVTSSSKGDNIIE >LPERR11G10990.1 pep chromosome:Lperr_V1.4:11:11837110:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEFLDGDSIRESDIGISVADATDSTKSESDVVLTEHAVLSISSAVQTSREICQIMKGCMVYTVSSTLQALAVRLILLLWGLELSCFPMLVIAACNYCTSTAMLFERTKSSKSPDSLKAKKIIATGSAFGSYVALSTVMFFIITTRTEFISGIFKARSLVGHDDEIKSALFLQMSTVNHAIGLFTQSYYGCSFGPLVTISFVLSQLVATIFAVYGDMNSPLLKGIGWSWAGFVWLYNFVLLLSLILICDLRNLAKFSTSEITCWRLFTEWMKCRRLLSRGKMLMEMLTFSAISGLIIVWSIYVYHVMKVQQQ >LPERR11G10990.2 pep chromosome:Lperr_V1.4:11:11837110:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDTKIICYESIDTLHSGHFMPLIGVIPMTMTAVLYLAFALGSQRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLAQGIDKDSAIVLAARASKPKNELYKEPMDAAIVCLLDDPDQHSSCIFIAMTLMYMTTYIDENGSKCSVLKGDPAIMLRDCGCSEEVKEHIRTRIDKLGLEGYKSIAVGRIVNSQLDIVSLLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHANSMPGLVRSKKDIFLNINGISDLFIVADATDSTKSESDVVLTEHAVLSISSAVQTSREICQIMKGCMVYTVSSTLQALAVRLILLLWGLELSCFPMLVIAACNYCTSTAMLFERTKSSKSPDSLKAKKIIATGSAFGSYVALSTVMFFIITTRTEFISGIFKARSLVGHDDEIKSALFLQMSTVNHAIGLFTQSYYGCSFGPLVTISFVLSQLVATIFAVYGDMNSPLLKGIGWSWAGFVWLYNFVLLLSLILICDLRNLAKFSTSEITCWRLFTEWMKCRRLLSRGKMLMEMLTFSAISGLIIVWSIYVYHVMKVQQQ >LPERR11G10990.3 pep chromosome:Lperr_V1.4:11:11837110:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSARARADDDVLGRLGTDESTGLTEEEAARRLKAYGPNIVLHHHSQTDSKHLAALKCILFLWGWDHSFTEYIKCEIGWESWEQLIFPWSKEMMCIMLINFLSWMATVAALVSLALNSAAGQTTYYELSVIVYLLVGSLAACFVAKLLANHAKALLESKAFSQRSRFRGAEYGKMRMRLILSLGIPYI >LPERR11G10990.4 pep chromosome:Lperr_V1.4:11:11837110:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEFLDGDSIRESDIGISVADATDSTKSESDVVLTEHAVLSISSAVQTSREICQIMKGCMVYTVSSTLQALAVRLILLLWGLELSCFPMLVIAACNYCTSTAMLFERTKSSKSPDSLKAKKIIATGSAFGSYVALSTVMFFIITTRTEFISGIFKARSLVGHDDEIKSALFLQMSTVNHAIGLFTQSYYGCSFGPLVTISFVLSQLVTCVTLQNSAHLR >LPERR11G10990.5 pep chromosome:Lperr_V1.4:11:11837110:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSARARADDDVLGRLGTDESTGLTEEEAARRLKAYGPNIVLHHHSQTDSKHLAALKCILFLWGWDHSFTEYIKCEIGWESWEQLIFPWSKEMMCIMLINFLSWMATVAALVSLALNSAAGQTTYYELSVIVYLLVGSLAACFVAKLLANHAKALLESKAFSQRSRFRGAEYGKMRMRLILSLGIPYI >LPERR11G10990.6 pep chromosome:Lperr_V1.4:11:11837033:11843868:1 gene:LPERR11G10990 transcript:LPERR11G10990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYFSQVAAAAATSSLETRASRPLPPRDKAMHLSPQLDEIAPAKKRKVLAMASSSSWSDLRPELLDIVLHCLHFLANRIRF >LPERR11G11000.1 pep chromosome:Lperr_V1.4:11:11845140:11850907:1 gene:LPERR11G11000 transcript:LPERR11G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINFTHNDICMFTKNFSEKRCLGNPGAFGQVYKGRNKGKNHANCPKKVAVKVSKTKSSYLQKMWKDKTVKIADFGLATKNGEKMSFFHDRRFGIFNANDDETAQEKFDVFCFGNLIRELVLLERERWIPTKCPRILLANDCIANNPEDRPTMNQVVSKLKVLLTKWTMM >LPERR11G11000.2 pep chromosome:Lperr_V1.4:11:11846315:11850907:1 gene:LPERR11G11000 transcript:LPERR11G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELINFTHNDICMFTKNFSEKRCLGNPGAFGQVYKGRNKGKNHANCPKKVAVKVSKTKSSYLQKMWKDKTVKIADFGLATKNGEKMSFFHDRRFGIFNANDDETAQEKFDVFCFGNLIRELVLLERERWIPTKCPRILLANDCIANNPEDRPTMNQVVSKLKVLLTKWTMM >LPERR11G11010.1 pep chromosome:Lperr_V1.4:11:11855174:11856094:1 gene:LPERR11G11010 transcript:LPERR11G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRRRLLAAAGLLVTASLMAAATLLLTTTPPPFLLPYSFLPCLPAVTSPSGSGYSPPGLAALADAAVLYATSPTVPQQSRAEISLSLAVLHRRAPLRLLVFGLGHDSALWHALNPGGATVFLEEDPSWYRVVRSRSPFLRAHLVSYRTRLDHADLLLSSYHHHPSCLPNLAAAAGEEEGEIKIRGNSGCPLALENLPAEVYENEWDMVMIDAPKGYFAAAPGRMAAVWTAAAMARGRRGEGDTDVFLHDVDRRVEKAYAEEFLCERFRVGGTGRLWHFRIPPASRRRNGTAGAGAGEDGRRPFC >LPERR11G11020.1 pep chromosome:Lperr_V1.4:11:11859763:11862920:1 gene:LPERR11G11020 transcript:LPERR11G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDENILLAFKASQSNQSDVLSSWNKSTYFCQWPGVLCSLKHKQRVTVLNLSSESLAGTITPSIGNLTFLKILDPSRNNLNGEIPSSIGRLARLQLLDLSNNLLHGDITSDLKNCTSLQGNIPEVFGWLSSLKDISLGGNHLSGMIPTSIFNISALSRFSVTTNQLHGVLPSDLGVHLPKLQYLLGINHFTGRLPASVANATKIYYLDISFNNFSGSIPPEIAQLQVLYVGFNEISGNIPFGIGNLVGLNQLQLSNNRFTGTLPNSMERLNSLQALGFDNNLLRGFIPSSLGNLTQLLRLYMDNNMLEGPLPTSLGNLQKISVATLANNKFTGPLPAEIFNQSSLSYALVLSGNYFVGPLPPEVGRLTNLAYLYISINNLSGPLPDELSNCQSLIDLRLDQNSFSSNIPATLSKLRGLKFLNLTKNTLSGVIPHEIGLMDGMEQLDLAHNNLSGHIPGSIGNMTSLNHLDLSFNHLDGEVPSKGVFTNMIGFVFNGNLGVCGGISELSLPPCPSVSMGHNIRKQHLIFRVVIPTVVIILFLNMIFIIFVLKRKQKGQSKKMTGLELVDDQYPRVSYAELVQGTNGFAPNNLMGRGRYGSVYKCGLLLKNMMTTVAVKVFDLQQSDSSKSFQAECETLSKIRHRNLINVITCCSSSDPNQNDFKSIVFEFMPNGSLDRWLHIDVHASQNLQGLTLTQRLNIVVDVADALDYLHNNCDPPIIHCDLKPSNILLDEDLVARVGDFGLAKILADSEGEQQINSKSSIGTRGTIGYVAPEYGEGGQVSLCGDVYSFGIVMLELFTGMVPTHDMFRDGLTLQKHAKNAFSGILMKIVYPVLLSIEGVYINSSPPGSDTREHMNNVISSVMKIALSCSRQAPTERMSIRDAPADLRRVRDSHVRKIRNEEAP >LPERR11G11030.1 pep chromosome:Lperr_V1.4:11:11867895:11868509:1 gene:LPERR11G11030 transcript:LPERR11G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSPSPSPAAAASEETADSVLMRLLPAAVLAMAAPLGAEGKEVLAYLVLASLRSPPATATETARRIGEAAAGGEHPPELGCGCFGCYTAYWSRWDGSPERDAIHAAIDAFEDHLARSELEEKQVKASGRRRKKRGKDKAPPAASAAQPPPPAPEKEETSAAAAAAAPSPVAGGGDGKRRGWGVLSWRGWGQLWGAH >LPERR11G11040.1 pep chromosome:Lperr_V1.4:11:11880462:11886349:1 gene:LPERR11G11040 transcript:LPERR11G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRSNNDVFKEFNTGGGGACNCITGLASPDADNGYFSAAAATDDASPPVINAGDLFTFDPRFLTIGTLGIATIPADADDFDFDVDVVDASDADADESDADDDDVIIDGAATPTFTFPVVEKAAAAAAAAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGGDVASSARVSFAAAAMAGMDCPLQGFLFGSPVSSDVDLPPRESSGSCGGGGGGRRTSLGELFMRTRFADEKVALVAVEEGGEDGSGGGGGGKTMKKIRKVKDEKGSGGGGGGMPATVTKSKFQKILQIFHRKVYPENTLLTRNLTKKNRKRGAPDKGGGTAATGDPDEPLASPVLRCRKDHVMRGFGCCTNGAFSASSPGNGDMNGNKSGHWIRTDADYLVLEL >LPERR11G11050.1 pep chromosome:Lperr_V1.4:11:11894345:11896162:-1 gene:LPERR11G11050 transcript:LPERR11G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAGDGEDAAAAPTACMAASMPTKQSRGAECRSAGRSERGTPRVRRAEVGGGGECGVRRRRPRVLRAPLTEILPKHSSVASLAEILPKHEREVEALTSNLQGPSNAACCSRT >LPERR11G11060.1 pep chromosome:Lperr_V1.4:11:11896161:11899269:1 gene:LPERR11G11060 transcript:LPERR11G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTRWGFSRRCRRGRISVSAAGYSQLEVRKVSYRPPGTEQNLLNEINLSLREKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGMICIQKYNDSGIPVGSSELLTAQRVENHNLQVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAIFAWARLRCCLPVFLMGRPELHCVRTQGQGCGKECRVHMKTCIIWNAEQDDTEDAADIYPEGELADCATVTKHWEVCSTA >LPERR11G11070.1 pep chromosome:Lperr_V1.4:11:11913148:11917073:1 gene:LPERR11G11070 transcript:LPERR11G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPSSSTSSSYHFMTSSGANSHGLQAGGNGGGGLSHGLFMGSSSSSKMEDFSNSKDDATRSPHDSSAGDGAGDGDGLQVAGGSGGGGGGRKRGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEAIVVTTYEGTHNHPIEKSNDNFEHILTQMHIYSGLNPSSAASSSTLFPSSAAASHMFQ >LPERR11G11080.1 pep chromosome:Lperr_V1.4:11:11920232:11922867:1 gene:LPERR11G11080 transcript:LPERR11G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHLLPCPHVSSTSTRPNPTQPNPPAAAVAVAVFLHATQQSPFPLPPPARQRSSAVSHLQIRPRPNPRKDPTFALSHLCLQICRARGQGHGRPAGDRVSQKASKLKKMAILCASHIGISPANSRFPSGWLSQNDSPRVCYHIPITRRKLIKQSRHQRVISFSKSSSLQDPVASVKPSRLLQTDELRIFRNNVPEEIISTIRLEESDAYYMLELSTSREFSSSLLDKNAAILICLINSDGDSLLQRVPAVYWDDSSQGRKAEQMLPFQSGSVDVVTFKGYKLQRIKEVWIGLESGSWRINNLSLKVIHGPLYTPPDLEVTPEHKFNGLQYTFDQISMLVGEDGASVVEARPVAVTDLLGISLSDLQEGQLSSASATSSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAAYSFLVGGIGGFLYLLLLQKSVDGLPVLNSPSEAGNAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLELTPVELFVGTAGFLANKVAVLLAAFKPLQTNLKTEDQSGNSA >LPERR11G11090.1 pep chromosome:Lperr_V1.4:11:11922682:11924401:-1 gene:LPERR11G11090 transcript:LPERR11G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNAAIVFEKNATNVQPPFVHVYCKENASVEPVALINGNNMSSSNEVKILLGFESREKNSNLVRQKPCCANKELDWRQFEFVTASTILQCNSSSNH >LPERR11G11100.1 pep chromosome:Lperr_V1.4:11:11924438:11929838:-1 gene:LPERR11G11100 transcript:LPERR11G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGLYRRSSPTNFRILYLERQRRREDARGHGGVASRARGGSGAQEPSAGKAASYEQELRVTTRMAGIFASLAVNKALDKLASFLPKSSSLTAAKERQEKDLDDLKMLERTMRRIHATLQDAEQHWDIREESSKLRLRELKDLAYEAEDVVEEYEYELKLCNAKALLSYLKAAPTTSGSDSRQSMVAVPDELAVKTRKLIEKFQEIQCYSDNFSLSENDGERRLAPDIGCSWKTSSVVFEQSILGRENDKEFIVQKLLSIGDDNVADPLYVMAIVGMGGLGKTTLAQLTYNNPRVSSSFNDRAWVCVSDQFDVSNITRCIIAAVKREKCDLSELCDLQEVLQDEIKGKKILIVLDDVWIERTDCWELFFMPMRRTKLCTIIVTTRSEKVAELMPTRTDFYNLDCLDHDESWLLFTKVAFTIDQGNVPLGLVEIGRAIVQKCKGLPLAIKTLGSMLRYETAEERWREVLDSKLWDLEQARNEVLPSLELSYKHMPIYLKRCLVSLSLYPKDYDINELEVIELWNYLISFKVIEMMTSMQLEAKNFNGFDDDDQHIMHDLIHDLACFLSTGEFFRLDGDTFVEIPQKARYISIQDGASGKISVAPPSLRAILVLPRATVYIDNPKELFSNCEKLRVLVLDQHRLGPALPDFMGRLKLLRHLKFESLEEADIDDPSFRPYMYHIKGLHEIRCLMNLHTLPPIHFTHIKELRSLNEIRELTITGLGVGLNIDDAKEALLHTKRHLQFLRLDFDNYSEEMVWISYDSPNNNQNLQLLECLRPHCSLEELIITDYKSPKYPSWLGDSSFMNLTQVMLSSCRSQCLPTLGHLRSLMFLHFHNMPLVQHIGQEFCSHSPSVKEGSFPSLEELVFNEMTVCSEWSEVNNCTFPCLCTLKICRAYRLMSLPLVPFMSLTSLELDKCYSLTKIPASPRLRKLRIRWYDHFDELSAVLPINDPLLSKPLPSLEGLLLNNPLPIATTSISIEPQHLPLLRKLHLSCDNLQYCDRLTELSCLDELKLLGCPKFPPIPGSLRQQLQTLLGGLKILKKLISKNI >LPERR11G11100.2 pep chromosome:Lperr_V1.4:11:11924438:11931505:-1 gene:LPERR11G11100 transcript:LPERR11G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRHFKITTYPQGEPGHLGLVGGLHGIRHLLNLVTLPPIIIGNDHMADLMGLNEIRELSISGFSIKLKVHDVKDANLHSKKHLQLLKLGFENKEHKISTDSHRSQLMKLFDSLRPNCSIRDLIINNYKIPMYPCWLGDASFIKLTTIKFNNSDTQYLPTLGNLPFLASLHFDRMWGVQRTGREFHGVNGFPSLTQLTFRDMPEWSEWSEVDDGDFPRLHTLWISWADSLSSLPSESFMSLITLELTLCPSIERIPELTKLQILHMGRACNLHVPLLNPLPSLECLKIICHETICSPLVAQHLPLLRMICLCCEELMYCDGHGGLASLRDLKLWLTTRMAGIFASLAVNKALDKLASFLPKSSSLTAAKERQEKDLDDLKMLERTMRRIHATLQDAEQHWDIREESSKLRLRELKDLAYEAEDVVEEYEYELKLCNAKALLSYLKAAPTTSGSDSRQSMVAVPDELAVKTRKLIEKFQEIQCYSDNFSLSENDGERRLAPDIGCSWKTSSVVFEQSILGRENDKEFIVQKLLSIGDDNVADPLYVMAIVGMGGLGKTTLAQLTYNNPRVSSSFNDRAWVCVSDQFDVSNITRCIIAAVKREKCDLSELCDLQEVLQDEIKGKKILIVLDDVWIERTDCWELFFMPMRRTKLCTIIVTTRSEKVAELMPTRTDFYNLDCLDHDESWLLFTKVAFTIDQGNVPLGLVEIGRAIVQKCKGLPLAIKTLGSMLRYETAEERWREVLDSKLWDLEQARNEVLPSLELSYKHMPIYLKRCLVSLSLYPKDYDINELEVIELWNYLISFKVIEMMTSMQLEAKNFNGFDDDDQHIMHDLIHDLACFLSTGEFFRLDGDTFVEIPQKARYISIQDGASGKISVAPPSLRAILVLPRATVYIDNPKELFSNCEKLRVLVLDQHRLGPALPDFMGRLKLLRHLKFESLEEADIDDPSFRPYMYHIKGLHEIRCLMNLHTLPPIHFTHIKELRSLNEIRELTITGLGVGLNIDDAKEALLHTKRHLQFLRLDFDNYSEEMVWISYDSPNNNQNLQLLECLRPHCSLEELIITDYKSPKYPSWLGDSSFMNLTQVMLSSCRSQCLPTLGHLRSLMFLHFHNMPLVQHIGQEFCSHSPSVKEGSFPSLEELVFNEMTVCSEWSEVNNCTFPCLCTLKICRAYRLMSLPLVPFMSLTSLELDKCYSLTKIPASPRLRKLRIRWYDHFDELSAVLPINDPLLSKPLPSLEGLLLNNPLPIATTSISIEPQHLPLLRKLHLSCDNLQYCDRLTELSCLDELKLLGCPKFPPIPGSLRQQLQTLLGGLKILKKLISKNI >LPERR11G11100.3 pep chromosome:Lperr_V1.4:11:11924438:11927725:-1 gene:LPERR11G11100 transcript:LPERR11G11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIFASLAVNKALDKLASFLPKSSSLTAAKERQEKDLDDLKMLERTMRRIHATLQDAEQHWDIREESSKLRLRELKDLAYEAEDVVEEYEYELKLCNAKALLSYLKAAPTTSGSDSRQSMVAVPDELAVKTRKLIEKFQEIQCYSDNFSLSENDGERRLAPDIGCSWKTSSVVFEQSILGRENDKEFIVQKLLSIGDDNVADPLYVMAIVGMGGLGKTTLAQLTYNNPRVSSSFNDRAWVCVSDQFDVSNITRCIIAAVKREKCDLSELCDLQEVLQDEIKGKKILIVLDDVWIERTDCWELFFMPMRRTKLCTIIVTTRSEKVAELMPTRTDFYNLDCLDHDESWLLFTKVAFTIDQGNVPLGLVEIGRAIVQKCKGLPLAIKTLGSMLRYETAEERWREVLDSKLWDLEQARNEVLPSLELSYKHMPIYLKRCLVSLSLYPKDYDINELEVIELWNYLISFKVIEMMTSMQLEAKNFNGFDDDDQHIMHDLIHDLACFLSTGEFFRLDGDTFVEIPQKARYISIQDGASGKISVAPPSLRAILVLPRATVYIDNPKELFSNCEKLRVLVLDQHRLGPALPDFMGRLKLLRHLKFESLEEADIDDPSFRPYMYHIKGLHEIRCLMNLHTLPPIHFTHIKELRSLNEIRELTITGLGVGLNIDDAKEALLHTKRHLQFLRLDFDNYSEEMVWISYDSPNNNQNLQLLECLRPHCSLEELIITDYKSPKYPSWLGDSSFMNLTQVMLSSCRSQCLPTLGHLRSLMFLHFHNMPLVQHIGQEFCSHSPSVKEGSFPSLEELVFNEMTVCSEWSEVNNCTFPCLCTLKICRAYRLMSLPLVPFMSLTSLELDKCYSLTKIPASPRLRKLRIRWYDHFDELSAVLPINDPLLSKPLPSLEGLLLNNPLPIATTSISIEPQHLPLLRKLHLSCDNLQYCDRLTELSCLDELKLLGCPKFPPIPGSLRQQLQTLLGGLKILKKLISKNI >LPERR11G11100.4 pep chromosome:Lperr_V1.4:11:11924438:11927207:-1 gene:LPERR11G11100 transcript:LPERR11G11100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPDELAVKTRKLIEKFQEIQCYSDNFSLSENDGERRLAPDIGCSWKTSSVVFEQSILGRENDKEFIVQKLLSIGDDNVADPLYVMAIVGMGGLGKTTLAQLTYNNPRVSSSFNDRAWVCVSDQFDVSNITRCIIAAVKREKCDLSELCDLQEVLQDEIKGKKILIVLDDVWIERTDCWELFFMPMRRTKLCTIIVTTRSEKVAELMPTRTDFYNLDCLDHDESWLLFTKVAFTIDQGNVPLGLVEIGRAIVQKCKGLPLAIKTLGSMLRYETAEERWREVLDSKLWDLEQARNEVLPSLELSYKHMPIYLKRCLVSLSLYPKDYDINELEVIELWNYLISFKVIEMMTSMQLEAKNFNGFDDDDQHIMHDLIHDLACFLSTGEFFRLDGDTFVEIPQKARYISIQDGASGKISVAPPSLRAILVLPRATVYIDNPKELFSNCEKLRVLVLDQHRLGPALPDFMGRLKLLRHLKFESLEEADIDDPSFRPYMYHIKGLHEIRCLMNLHTLPPIHFTHIKELRSLNEIRELTITGLGVGLNIDDAKEALLHTKRHLQFLRLDFDNYSEEMVWISYDSPNNNQNLQLLECLRPHCSLEELIITDYKSPKYPSWLGDSSFMNLTQVMLSSCRSQCLPTLGHLRSLMFLHFHNMPLVQHIGQEFCSHSPSVKEGSFPSLEELVFNEMTVCSEWSEVNNCTFPCLCTLKICRAYRLMSLPLVPFMSLTSLELDKCYSLTKIPASPRLRKLRIRWYDHFDELSAVLPINDPLLSKPLPSLEGLLLNNPLPIATTSISIEPQHLPLLRKLHLSCDNLQYCDRLTELSCLDELKLLGCPKFPPIPGSLRQQLQTLLGGLKILKKLISKNI >LPERR11G11100.5 pep chromosome:Lperr_V1.4:11:11924438:11927207:-1 gene:LPERR11G11100 transcript:LPERR11G11100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPDELAVKTRKLIEKFQEIQCYSDNFSLSENDGERRLAPDIGCSWKTSSVVFEQSILGRENDKEFIVQKLLSIGDDNVADPLYVMAIVGMGGLGKTTLAQLTYNNPRVSSSFNDRAWVCVSDQFDVSNITRCIIAAVKREKCDLSELCDLQEVLQDEIKGKKILIVLDDVWIERTDCWELFFMPMRRTKLCTIIVTTRSEKVAELMPTRTDFYNLDCLDHDESWLLFTKVAFTIDQGNVPLGLVEIGRAIVQKCKGLPLAIKTLGSMLRYETAEERWREVLDSKLWDLEQARNEVLPSLELSYKHMPIYLKRCLVSLSLYPKDYDINELEVIELWNYLISFKVIEMMTSMQLEAKNFNGFDDDDQHIMHDLIHDLACFLSTGEFFRLDGDTFVEIPQKARYISIQDGASGKISVAPPSLRAILVLPRATVYIDNPKELFSNCEKLRVLVLDQHRLGPALPDFMGRLKLLRHLKFESLEEADIDDPSFRPYMYHIKGLHEIRCLMNLHTLPPIHFTHIKELRSLNEIRELTITGLGVGLNIDDAKEALLHTKRHLQFLRLDFDNYSEEMVWISYDSPNNNQNLQLLECLRPHCSLEELIITDYKSPKYPSWLGDSSFMNLTQVMLSSCRSQCLPTLGHLRSLMFLHFHNMPLVQHIGQEFCSHSPSVKEGSFPSLEELVFNEMTVCSEWSEVNNCTFPCLCTLKICRAYRLMSLPLVPFMSLTSLELDKCYSLTKIPASPRLRKLRIRCATTSISIEPQHLPLLRKLHLSCDNLQYCDRLTELSCLDELKLLGCPKFPPIPGSLRQQLQTLLGGLKILKKLISKNI >LPERR11G11100.6 pep chromosome:Lperr_V1.4:11:11927363:11931505:-1 gene:LPERR11G11100 transcript:LPERR11G11100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRHFKITTYPQGEPGHLGLVGGLHGIRHLLNLVTLPPIIIGNDHMADLMGLNEIRELSISGFSIKLKVHDVKDANLHSKKHLQLLKLGFENKEHKISTDSHRSQLMKLFDSLRPNCSIRDLIINNYKIPMYPCWLGDASFIKLTTIKFNNSDTQYLPTLGNLPFLASLHFDRMWGVQRTGREFHGVNGFPSLTQLTFRDMPEWSEWSEVDDGDFPRLHTLWISWADSLSSLPSESFMSLITLELTLCPSIERIPELTKLQILHMGRACNLHVPLLNPLPSLECLKIICHETICSPLVAQHLPLLRMICLCCEELMYCDGHGGLASLRDLKLWLTTRMAGIFASLAVNKALDKLASFLPKSSSLTAAKERQEKDLDDLKMLERTMRRIHATLQDAEQHWDIREESSKLRLRELKDLAYEAEDVVEEYEYELKLCNAKALLSYLKAAPTTSGSDSRQR >LPERR11G11120.1 pep chromosome:Lperr_V1.4:11:11945373:11945678:1 gene:LPERR11G11120 transcript:LPERR11G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVLPKTTRHTRRQQGGEERFTPDRFVEAIISDLEASTIRDDQDGYLASESCNSQAVYAMDGDDASASASMTPAQRLATMQQILNEAPTDATAGAEITS >LPERR11G11130.1 pep chromosome:Lperr_V1.4:11:11956903:11963615:-1 gene:LPERR11G11130 transcript:LPERR11G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFASLAIKKALDTLCSILPASLSASSSSRALTGRQEQEKDLEDLRKLEKTIRRIHATLHDAEQHWEIREVSAKLRLKELKELAYDAEDVVEEYEYEVKLCKAKALEKSSSTTNHKRKRQEENEAFPRDAGTVPVSTEMASQIRMLLQRFDNLVEYHHNFTLSVSDGVRLADTSTVRTNRETSSVVVAQTILGRDEEKDKIIEILIPREGNNVESPVSVFAIVGMGGVGKTTLAQIVYNDSRMQESFDMRAWVCVSEKFDVHALTRSIICSLKKGGVIYQNIVLEKLVDEIRGKKVLLVLDDVWNEGAECWTNLCKPMMTTELCKIIVTTRSERVAKLVQTKPNFHNLNCLSFEQSWLLFKQQVAFTVDQENALSDLVEIGRAIVKKCKGLPLVIKTLASMLRWETSEQRWRDVLESRLWDLENPRNEVLPSLQLSYTHMPIYLKRCFLALSLYPKDYMFDEPDVLQLWKVLDLLHDDGYNIADETGSRYLHELVERSFLQPNFEFMRAGRYVMHDLIHDLACFLSMDEFFRVDGDTSMKIPENARYISIQNASGEISTAPPCLRAIIVLSKGATVNINNPEALFSNSGKLRALVLDKDCLGLEFSALMGKLKLLRHLKSAMLYSWHSGSDFIGGLHGIGHLINLVTLPLIDMGKDRMTELTSLNEIRELSIDGISIMSDVHDVKGANLHSKKHLQFLRLGFVEGYGISTDSHHSQQMKLLDSMRPNHSISHLMIYKYNSPMYPCWLGDASFIKLTTIEFNYCSTQSLPTLGNLPFLASLLLHSMDHVQRIGREFHGVNGFPSLIRLRFIHMPQWSEWSEAGNGDFPRLHTLLIGDATRLSSLPSESFMSLTTLELTLCPIERIPALPKLRILHIDMGYHSNSHVPLLNPLPSLECLKIICVYATCIPLVPQHLPLLRTICLSSKELMNCDGLGGLASLQHLKLWGCSKLPIHSLLPRMQLQTLDIRDKTGTCEREFKNLGSEIGRAIVKKCKGLPLVIKTLASMLRWETSEQRWRDVLENRLWDLKNPRNEVLPSLQLSYTHMPIYLKRCFLALSLYPKDFLLDTPDVLQLWKVLDLLQGDGYHNSDETGSRYLHELVERSFLQANFEFFGEDGRYVMHDLIHDLACFLSMDEFFRLDGDASMEIPQNARAIIVLPKATVNINNPEELFSNCGKLRALVLDKNCLGLEFPTLMSKLKLLRHLKFTRRHTYSFGQLCEPVRNHIGGLHGIGHLINLVTLPPIDMGNDRTAELMSLNEIRELSISRIMLNIHDVKGANIHSKKHLQLLQLDFGNEKDEISTTSHHSKLMKLLDSLRPNHSIRDLIINNYKIPIYPCWLGDSSFIKLTTIVFKDCDTQYLPTLGNLPFLTSLHFCMMWHVGRTGREFHGVNGFPSLTRLTFADMAEWSEWSEVGNGDFPRLHSLSISSANHLKSLPSDSFMSLTTLELTACQSIEGIPALPKLRILHMGWSCNLRVPQLNPLPSLDCLKIIWYYTTCIYLVPQHLPLLRMICLCCEELMYCEGLGGLASLRDLKLWGCSKFPIHSLLPRLQLQTLDVRDGERETLTEYKL >LPERR11G11140.1 pep chromosome:Lperr_V1.4:11:11979684:11981546:1 gene:LPERR11G11140 transcript:LPERR11G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIALAPLLLAAIFSTAPAAAAAAAPTKATAISPGRNTTAPPPPPFGANHTVGENAGWFFDGKVNASVANYSTWAANRTFYLGDYLTFVTSTDNTVVHTTNATVYKLCGDGGAAAGGCNGGWKTEEAFVTVMLTTEGDNYFFSDGGGGEHCRKGMRFEVAVAHGRGLPPVPESYYEPLSAAPAAGGVSSVAVKLAACVAFAEAD >LPERR11G11150.1 pep chromosome:Lperr_V1.4:11:11986592:11988321:-1 gene:LPERR11G11150 transcript:LPERR11G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEQYGGSGDVWIGKDAWVGNGMYLNAAKASFKNFQRLCQLEWTTLESWHSKSNFQTYGVTRKNALRAYFLAAANIFEPSQAKERLAWARTAILAEAISWLLREPTIQDSTDHSLVRALSELIDPQPLNATVGENLREAWRQWLMALTQNGPSVGGDTALLLARTVEICSGRYQVSVEQQKHELAEFSRLELLTSSICDKLSTTGSLSRQDGGNMESGEINLDQEVDLHMQELSHLVLEGNSGIDTVTCQTYLSVVKSFYYVAYSSPETIHGHISKVLFEDVL >LPERR11G11160.1 pep chromosome:Lperr_V1.4:11:11994191:11997045:1 gene:LPERR11G11160 transcript:LPERR11G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCCKLIKPELYSLIYFAGSSIGIGDGSGAGFLLFALIAFFATQKYKHRRAQKLKQKFFQQNRGQLLQQLVSQRANIAERMIITVDELKNATNNFDKSRELGSGGHEVPLLVYEFISNGTLYQHLHVDGPRSLPWNLRLRIAAETAKAIAYLHSSVSIPIIHRDIKSSNILLDYTMTSKVSDFGASRYIPVDKTGLTTRVQGTIEYLDPTYFYMGRLTDKSDVYSFGIILVELLTKKKPFSYLSQDGKGLGVEFVNLLTEDNLDKILDPQVMEEGAKKCMKFLYLQHQCIN >LPERR11G11170.1 pep chromosome:Lperr_V1.4:11:12017196:12019570:1 gene:LPERR11G11170 transcript:LPERR11G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSSVAYSVGSTTAAELQDDANSIFMGHIDDGELYRTPSKASTLPICTRMLHVLDLEDTDDLVTHHLEHIGKLFRLKYLSLRRCNGIFKLPDSLGNLKQLETLNVRDKGGVTLVAVHKYIAVVFCTRLMALKSLVSTAAHLRVKRGTVKAGVMLTYPKKNGAGQFMKMWREKQKK >LPERR11G11180.1 pep chromosome:Lperr_V1.4:11:12020915:12027147:1 gene:LPERR11G11180 transcript:LPERR11G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGVSVGKSVLNGTLGCVKSAIAEELAWQLGIQRDHAFIRDELEMMQSFLMAAHDDERDEHKVTKTWVKQVRDLAYDVEDCLQDMAVRVGKPSLWRKCSPRMLLERRRVAQKMKELRAKVEDVSQRSIRYRLIDGSVSKGTTNAMQSDNADELMPGIEEAKQQQEKAKGDLARLISKKDEDRRVIALWGPSDAVERAFFVKRAYDDLKINKKFECCAWVNLMRPFHQKEFLLNIISQFYENLIEAAMEKQEKQIPGTDILLDMVMAKEDDIHLVDVFIGYVKEKNYLVVLNNLSTIEEWNEIKTYFPNSKKGSRVIVTTKQVEVATLCLGAENAKPELTQFSYGRTLYAFYEKVHLFIALLVQGALDGTDSTSQVHISNEVDTTYSAASKEFELIGRVGEKNSITNLVLNEGSQGLQVISVWGMGGLGKTTLVRDVYQSPNLSGRFEKRAWVTVMRPFNCSHLLKSLAAQFGNETSTDLSKLLEEKRYLIVLDDVWFVQEWDATMPHLPKTAASCIIVTTRQKSIAKHCSKNESNIHNLKILEPIDAHKLFTGKVFKEANWENKYPELIELTEPILKKCGGLPLAIVAIGGFLAHQPKSALLWRKLNEHISAELEMNPELETITTVLLKSYDGLPYFLKSCFLYLSIFPEDYKVSRKRLMKRWTAEGYTRAVCGKPAKETTHDMFIELIDRSMILPSQESSKIAEISSNWNGEKHEFCQLHDLLREISITKSVEENLVFRLEEGCSSNSRGTTRHLTISSNWKGDKHEFESTVDLSRVRSLTVFGEWKPFFISEKMRMLRVLDLEDTKDLADHHLEHIGKLIHLKYISLRGCCVFHLPDSLCDLRQLETLDIRSTWIAMLPKYIVKLRNLKYLLAGGDTYYVTQKSLADRSLSLLGHGARLCGACCIPRLLEFQYLDEYGPASTVNFSRCDACNYSCCIQPRVLMKDLDGCFPMLPGGSRKLKGLHTLWHVHLAWGNSVIQDIKRLTQLRKLGVVGIDKKNGPAFWSAISKLSRLESLSVCASGEAGLRGCQDYCSTPSTLPPSKNLQSLKLQGELGKFPEWIGKLQSLVKLRLRFTVLEDANAAIQVLGALPSLVILCLLHDSFNGGEAREVCFNFRQEQEATTMFPSLKVLHLWGISGRFADLKSVQFGRGGTPKLELVLFCDSSLSDVGLFTGLKELPSLREFVFFDSSYTDDFTKDVQEQLDQNLNRPVLISR >LPERR11G11190.1 pep chromosome:Lperr_V1.4:11:12028308:12029255:-1 gene:LPERR11G11190 transcript:LPERR11G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSDSSQANSNCGQAKSDCGVGASNATYGHGHADRRRGHDGEQANRSGRPASRSNRPASGELARSTDSVTPSSDLGEPIIIMT >LPERR11G11200.1 pep chromosome:Lperr_V1.4:11:12033039:12034856:1 gene:LPERR11G11200 transcript:LPERR11G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSNKQQKRRHFILVHGVCHGAWCWYRVATVLSSAGHRVTALDMPACGARPGHATDVASFEQYCQPLLDAVAALPEEKAVVVAHSFGGQSLALAMERFPEKIAVAVFVTATMPAAGKPMSFAFKQLSQGKGPDFFMDCTIRTIGDPQNPDQTFLFGPEYLARRVYQLTPPEDLTLAMSMVRPSRRFLNDATMNGDVLTATRYGTVRRVYVVAEDDEWKPAEMQRLMVSWNPGTEVRSLRGADHMPMFSKSRELSELLMEIANNWS >LPERR11G11210.1 pep chromosome:Lperr_V1.4:11:12070970:12079848:1 gene:LPERR11G11210 transcript:LPERR11G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAMHTLLPKLDTLLTGEYNLQRGLRDEIRFLKAELESMQAALTRVSEAQMTDNMVRIWARYVREMSYDVEDIIDMFMVHVESHPLARLHGIKGFLIKSIGSLTRAKIRRQIAIDIKSIKVLIKEVAERRDRYRVDDVIDQPMAQAIDPRLHGMYEESTKLVAISGPTEELSSLVMGQEGSPKSQLKVVSIVGVGGLGKTTLANVTYQRLRHQFDCDAFVSVSLKPDLKRILSSLLRQVSEEGHTNNETWETEELINRIRRVLIDKRYIVIIDDIWDESAWKYIKCALVENNCGSKIITTTRLVNVAVSCCSDIDGTVYNLKPLSYDDSKQLFHKRVFGSETGCHPELKEISEKILKKCGGVPLAIITLASLLANKPRNISEWNNVHNSIGSGLQKGFRMENMRQILSISYNDLPSILRPCLLYLSVFPEDYIIPIDQLVRRWIAEGFIRGQHDTLYQLGLSYLFELMNRSLIQPEHITSYDGFEACRVHDMVLDLITSLSTEENFVTIFDGKQYADHPEKIRRLSLQNNEEVHTVEHALNLRHVRSLTVFPGATNLIPPLSNLPVLRVLDLEHCRDLENHHICGVGKLFHLRYLGLRDTTITKLPREVGSLHCLETLDLSQTSITELPSAITRLKKLLRLHIGESVKLPVGIGKLNLLQVLSSIGVSSSPNIVRELGNLTELRVLHISLISNNDSWHKSYEKPLLDSLFKLEKIQELHIQSYGVPTEFITNLGWFPRHLQDFLGGGISRLPIWMTSSLSNLYQVNMSLNILRQEDLQNLGALPFLCQLYLSVVVIDSTGERLVIGTDRSQFQCLYHLSFASDAMGVMFAQRAMPNLVSLDFTLSARETKDIYGDFNLGLENLYSVRQVTVKIRCTGSRICEVDGADAAIKMAILMNPNRPMLDVIRYSEYEMILDEVEHQVNEETVEEDEKIMLERSGPWGGEGTRNHDIMVAPQRLESVNVSYGVVVDGFGFSYRDKYGTQHTTPLWGGVGGSSRTIHFGPSEFVKEVSGTYEEEKLITFWRAGGGSGECAGRKEESLASAHLTAEDANKNGRVNCQDYDGSE >LPERR11G11220.1 pep chromosome:Lperr_V1.4:11:12084375:12087072:1 gene:LPERR11G11220 transcript:LPERR11G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYVWPSDAASDARLRSANGPRPTPRGLRSRPEATRRRVDPASDAEHPASDQRHSAVVAPDSFCTIAALLKILCLVLPVV >LPERR11G11220.2 pep chromosome:Lperr_V1.4:11:12084375:12084904:1 gene:LPERR11G11220 transcript:LPERR11G11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYVWPSDAASDARLRSANGPRPTPRGLRSRPEATRRRVDPASDAEHPASDQRHSAVVAPDSFCTIAALLKVTSVFKSIADYWLSTDAR >LPERR11G11230.1 pep chromosome:Lperr_V1.4:11:12087122:12101281:-1 gene:LPERR11G11230 transcript:LPERR11G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHLISLMWNLFDLVQSDRHDKEDEIWKYLSQRIAVSNAVNKLSSILPPTASSEAQQQDLDDFRMLEATMRRIHAALHLHDAGDIREEKSAKLRLEELKELAYDAEEVVEEYEYEVNRRKAVASAGGSSSSKRRRLEVNDEDCSKEAGIVSIPSELAVRTRKVTKRFSEIKGYSDSFTLSVNDGERRIIPDINSVRQTGSFVFAPIIIGREQDKENVIKKVLTGEGSHVSVLAIVGMGGLGKTALAQLVYNDPKVRQTFDLWGWVCVSEHFDVENITRKIISSLTKGNCDYIKSGDLQGKLENLIKEKRVFLVLDDVWNERTDYWESLCTPMLAATRCDIIVTTRSEAVARLVQTTPFYSPNCLNRDDSWSLFKQTAFVEQDNGSPENMVKIANLVDIGRRIAEKCKGLPLALRTLGSVLRFETDVVKWRDVLESELWYLKRSQKEVLAALELSYKYMPIYLKRCFVSLCLYPKDYALEEDDMIRLWQLLDLLHCDGIDDCHEIGSLYYTDLIQRSLLQMNINGFSGVVMHDLVHDLACLLAGREFFRLEGDKETKVPWGARYMSIVPNPSCKTGIQISNASQSLRAIITIRIAVDIKNPEALFSNSKKFRTIDITRHGLRKALFDSLGDMKLLRHLNISEQFNLTLSMEECKLHGIGRLFNLHTVPVIYLMNCGCPFDIRELRSMNEIRNLCMRGLRNVPRIGYANEASLESKKNLQILELDFNASISCDHCNGAYTNEDNINVSGGQLLESLRPHYQSLKVLRIHNLNNRNYPSWLSSSSFAKLTELLLDTCQSQHLPTLGELPSLKSLQISRMDYVKHIGREFCSHDPEVKGFQLLTSLTLEDMSRLSEWSEVLDGEFSSLETLRIFRAFELTTLPLVPFKCLRSVFLMNCTNLVAFPASATLQKLYMIKCNAIKELPFLPSLQSLELTSCPSLVSFGHFPSLTILSLREELKEETLHRLINSHLTLEDLDVSSDSLKSICLDPHSFPSLRKLSLRCPKLRYFDALGSIASLKILQIRSEAIWKNCIYRESSSWYMCLCYWQSSELVIRLLATGKSAAYMGNFVPTSKGDMQWWESI >LPERR11G11230.2 pep chromosome:Lperr_V1.4:11:12087269:12101281:-1 gene:LPERR11G11230 transcript:LPERR11G11230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHLISLMWNLFDLVQSDRHDKEDEIWKYLSQRIAVSNAVNKLSSILPPTASSEAQQQDLDDFRMLEATMRRIHAALHLHDAGDIREEKSAKLRLEELKELAYDAEEVVEEYEYEVNRRKAVASAGGSSSSKRRRLEVNDEDCSKEAGIVSIPSELAVRTRKVTKRFSEIKGYSDSFTLSVNDGERRIIPDINSVRQTGSFVFAPIIIGREQDKENVIKKVLTGEGSHVSVLAIVGMGGLGKTALAQLVYNDPKVRQTFDLWGWVCVSEHFDVENITRKIISSLTKGNCDYIKSGDLQGKLENLIKEKRVFLVLDDVWNERTDYWESLCTPMLAATRCDIIVTTRSEAVARLVQTTPFYSPNCLNRDDSWSLFKQTAFVEQDNGSPENMVKIANLVDIGRRIAEKCKGLPLALRTLGSVLRFETDVVKWRDVLESELWYLKRSQKEVLAALELSYKYMPIYLKRCFVSLCLYPKDYALEEDDMIRLWQLLDLLHCDGIDDCHEIGSLYYTDLIQRSLLQMNINGFSGVVMHDLVHDLACLLAGREFFRLEGDKETKVPWGARYMSIVPNPSCKTGIQISNASQSLRAIITIRIAVDIKNPEALFSNSKKFRTIDITRHGLRKALFDSLGDMKLLRHLNISEQFNLTLSMEECKLHGIGRLFNLHTVPVIYLMNCGCPFDIRELRSMNEIRNLCMRGLRNVPRIGYANEASLESKKNLQILELDFNASISCDHCNGAYTNEDNINVSGGQLLESLRPHYQSLKVLRIHNLNNRNYPSWLSSSSFAKLTELLLDTCQSQHLPTLGELPSLKSLQISRMDYVKHIGREFCSHDPEVKGFQLLTSLTLEDMSRLSEWSEVLDGEFSSLETLRIFRAFELTTLPLVPFKCLRSVFLMNCTNLVAFPASATLQKLYMIKCNAIKELPFLPSLQSLELTSCPSLVSFGHFPSLTILSLREELKEETLHRLINSHLTLEDLDVSSDSLKSICLDPHSFPSLRKLSLRCPKLRYFDALGSIASLKILQVLTCHELHVPDSLRSHLEELHIS >LPERR11G11240.1 pep chromosome:Lperr_V1.4:11:12101327:12111097:-1 gene:LPERR11G11240 transcript:LPERR11G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASMALEGALDNISSLLPANSTSQAVAATNHGLNGLDDLQILERTMRRIHSMLLEAEKHWNTREKSAKLRLEELKELAYDAEEVVEEYEYEVNRRKVEAFERLAAVQAGKIRKRKVHDDHCIEATIVPVPSDLAVRARNVVQRFNEMQGYYDSFTLSENDGDRRIIPDIKSVRQSSSVVFAPVIVGREQDKDNIIRKVFTGEGNRLGGCISVVAIIGMGGLGKTTLAQLVYNDSTVRQSFNLFGWVCVSEHFDVKTVTRKIISSITKRNCDYIQSGELQGKLENLIKDERVFLVLDDVWNERSDLWESLCMPMLASTRCDIIVTSRNEAVARLVQTTAFYSPNCLSPDDSWSLFKQSAFLDQENACPANLVEIGMRISKKCKGLPLALKTLGSVLRFETNLMKWRGVLESELWDLEESENEVLPALELSYKHIPMHLKLCFISLSLYPKDTYLDESIVVWLWKSLHLLQCDGTDNRNEIGGLYFSELVQRSFLQQLDIHGRMVIHDLVHDLACFLAGEEFYRLERDEQIEIPRGVRYMSIVPHPQCKKSIQISNTSQSLRVLIMINDTDIENPEALLLNCKKFRIIQVKNNKFAKVLLDFMGGMKLLRHFTILRNCTDVQLVISNTMSQLFNLKTLDCEPYSLHGIGRLTNLQTLPNIHLYKCGCFFNIRELRNMNKIRQLKMYGLCNITSIRDVNEAHLHSKKDLDILELDFKRGGICEEHKEGADGNQDISTVSSGVILESLRPHHQSLKVLRIKNLDDENFPSWLGSASFSMLTKLQLQTCKSQHLPPLGELASLKSLDIRQMEYVEHIGRQFCSLDPRVKGFRSLVHLCFIDMSQLSEWSEVQDGEFSRLEKLEISSAFELSSLPLVPFSFVHSFKLYGCQNLVTFPASATLQTLSISTCDKLKELPALPSLRSLELFRCPSLVTLNHFPALTTLNLYTGFKEEELHRLMNLHLTLVDLSIWSDNLKSIKLDPHSLPSLRNLEVRCPNLKNCDALAALTSLKILNINGSSPELRLMMAGLIASLAIRKALDKLSSFLTASASSLNSGNAREEQDLEDLRMLERTMRRIHATLHDAEQHWNITEASTKLRLEELKDLAYDAEEVVDQYELNRHKVEAFKLFASSGSHKRKYQQENEGFLCESSLVTISDEMAIKTKKLIERFDEIKHYSDNFTLSENDGERWLTHIGTIDTSSAVFEQSIVGREKDKDKIVEKLVSIRCDSVASPVSVMAIVGMRGLGKTTLAQLVYNHPKVGKYFDVCAWVCVSDQFDVSSITRSIVVSVTKEKCDLLELCNLQEILVEEIKQKKVLLVLDDLWNERKDFWDLLCMPMNTTKLCMIVVTTWSEKVAKLVQTMPLYNLNCLSYDESWSLFKQVAFIDGQENTPSNAVEMGRAIVKKCNGLPLVIRKLGSMLRYETNEQTWREVLESDLWVCSNKE >LPERR11G11240.2 pep chromosome:Lperr_V1.4:11:12101327:12105985:-1 gene:LPERR11G11240 transcript:LPERR11G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLIPLMMAGLIASLAIRKALDKLSSFLTASASSLNSGNAREEQDLEDLRMLERTMRRIHATLHDAEQHWNITEASTKLRLEELKDLAYDAEEVVDQYELNRHKVEAFKLFASSGSHKRKYQQENEGFLCESSLVTISDEMAIKTKKLIERFDEIKHYSDNFTLSENDGERWLTHIGTIDTSSAVFEQSIVGREKDKDKIVEKLVSIRCDSVASPVSVMAIVGMRGLGKTTLAQLVYNHPKVGKYFDVCAWVCVSDQFDVSSITRSIVVSVTKEKCDLLELCNLQEILVEEIKQKKVLLVLDDLWNERKDFWDLLCMPMNTTKLCMIVVTTWSEKVAKLVQTMPLYNLNCLSYDESWSLFKQVAFIDGQENTPSNAVEMGRAIVKKCNGLPLVIRKLGSMLRYETNEQTWREVLESDLWVCSNKE >LPERR11G11240.3 pep chromosome:Lperr_V1.4:11:12101327:12111097:-1 gene:LPERR11G11240 transcript:LPERR11G11240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASMALEGALDNISSLLPANSTSQAVAATNHGLNGLDDLQILERTMRRIHSMLLEAEKHWNTREKSAKLRLEELKELAYDAEEVVEEYEYEVNRRKVEAFERLAAVQAGKIRKRKLMMAGLIASLAIRKALDKLSSFLTASASSLNSGNAREEQDLEDLRMLERTMRRIHATLHDAEQHWNITEASTKLRLEELKDLAYDAEEVVDQYELNRHKVEAFKLFASSGSHKRKYQQENEGFLCESSLVTISDEMAIKTKKLIERFDEIKHYSDNFTLSENDGERWLTHIGTIDTSSAVFEQSIVGREKDKDKIVEKLVSIRCDSVASPVSVMAIVGMRGLGKTTLAQLVYNHPKVGKYFDVCAWVCVSDQFDVSSITRSIVVSVTKEKCDLLELCNLQEILVEEIKQKKVLLVLDDLWNERKDFWDLLCMPMNTTKLCMIVVTTWSEKVAKLVQTMPLYNLNCLSYDESWSLFKQVAFIDGQENTPSNAVEMGRAIVKKCNGLPLVIRKLGSMLRYETNEQTWREVLESDLWVCSNKE >LPERR11G11240.4 pep chromosome:Lperr_V1.4:11:12106231:12111097:-1 gene:LPERR11G11240 transcript:LPERR11G11240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASMALEGALDNISSLLPANSTSQAVAATNHGLNGLDDLQILERTMRRIHSMLLEAEKHWNTREKSAKLRLEELKELAYDAEEVVEEYEYEVNRRKVEAFERLAAVQAGKIRKRKVHDDHCIEATIVPVPSDLAVRARNVVQRFNEMQGYYDSFTLSENDGDRRIIPDIKSVRQSSSVVFAPVIVGREQDKDNIIRKVFTGEGNRLGGCISVVAIIGMGGLGKTTLAQLVYNDSTVRQSFNLFGWVCVSEHFDVKTVTRKIISSITKRNCDYIQSGELQGKLENLIKDERVFLVLDDVWNERSDLWESLCMPMLASTRCDIIVTSRNEAVARLVQTTAFYSPNCLSPDDSWSLFKQSAFLDQENACPANLVEIGMRISKKCKGLPLALKTLGSVLRFETNLMKWRGVLESELWDLEESENEVLPALELSYKHIPMHLKLCFISLSLYPKDTYLDESIVVWLWKSLHLLQCDGTDNRNEIGGLYFSELVQRSFLQQLDIHGRMVIHDLVHDLACFLAGEEFYRLERDEQIEIPRGVRYMSIVPHPQCKKSIQISNTSQSLRVLIMINDTDIENPEALLLNCKKFRIIQVKNNKFAKVLLDFMGGMKLLRHFTILRNCTDVQLVISNTMSQLFNLKTLDCEPYSLHGIGRLTNLQTLPNIHLYKCGCFFNIRELRNMNKIRQLKMYGLCNITSIRDVNEAHLHSKKDLDILELDFKRGGICEEHKEGADGNQDISTVSSGVILESLRPHHQSLKVLRIKNLDDENFPSWLGSASFSMLTKLQLQTCKSQHLPPLGELASLKSLDIRQMEYVEHIGRQFCSLDPRVKGFRSLVHLCFIDMSQLSEWSEVQDGEFSRLEKLEISSAFELSSLPLVPFSFVHSFKLYGCQNLVTFPASATLQTLSISTCDKLKELPALPSLRSLELFRCPSLVTLNHFPALTTLNLYTGFKEEELHRLMNLHLTLVDLSIWSDNLKSIKLDPHSLPSLRNLEVRCPNLKNCDALAALTSLKILNINGSSPELRVPDSLRSQLEKLYSPESY >LPERR11G11250.1 pep chromosome:Lperr_V1.4:11:12127344:12127580:1 gene:LPERR11G11250 transcript:LPERR11G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEKTILEGKIANGRARLEKLRRKNREIEIKIIMCDIIDGRKNLDDVPTDLMNEFYMAVEKRIQELRYMDSSSKST >LPERR11G11260.1 pep chromosome:Lperr_V1.4:11:12147675:12147934:1 gene:LPERR11G11260 transcript:LPERR11G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGTMHTLLTKLNTLLTVPKLNTLLSGEYELQRGLHGKIKFLKDELESMHAALTNVSESETTDDLDKIWERSVNFLR >LPERR11G11270.1 pep chromosome:Lperr_V1.4:11:12151239:12151619:-1 gene:LPERR11G11270 transcript:LPERR11G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGDVVHRQRHCPQRRDSVYYDGDGLWKMPRPCILHFPPIVTISSAAAQGGARRYAWRRPPQVCLMSQRMNLDV >LPERR11G11280.1 pep chromosome:Lperr_V1.4:11:12153519:12159941:1 gene:LPERR11G11280 transcript:LPERR11G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAGAMHTLLPKLNTLLSGEYELQRGLHGKIKFLKDELESMHAALTNVPEAETTDDLDMIWAGSVRDLSYDVEDTIDKFMVDIKDNPCAHVPGIRGFFGRCFGLLTKAKKRHQIATNIEQIKRLVTEVAERRDRYRIDTIQQPTAQRIDPRLCGMFEESEKLVAINGPEQELSSLLMEQEGTSERQLKVISIVGLGGLGKTTLANVTYQQHRHQFDCDAFVSVSLKPDLMKILSSILHQVSDQGCAQSETWDAEELINKIRRVLINKRYFIIIDDIWDESAWKYIKCALIENNCGSRIITTTRLATVAISCCSDIDGTVYNLKPLLHDDSKRLFYKRIFGSEDGCQPELKEISEKILEKCSGVPLAIITIASLLATKEPNMSEWNRVHKSIGSGLEKCSKMDNMRQILSISYDGLPSVLKPCLLYLTVFPEDYTIPINQLVRRWIAEGFVHGQHDALHDDLYSLGISYIYELVNRSLIQPDAYGSIQTCRVHDMVLDLITSLSSKENLVRTFDGHQHADLPENVRRLSLQNNDEEQILTRATFSLTHVRSLIVFPGATNLMPPLSDIPVLRVLDLEHCRDLENRHIAGLGKLYHLRYLVLSDTAITELPAELGNLHCLHTLDLSNTSITELPSTTVHLKQLVRLYIEDSVKLPKGIGELNLLQVLSSIGVSSSPNIVGELGNLTELRVLHISLISGNGTWSKSYEKPLLDSMIKLQKIQELHIQPSGVTTEFIEEFEWFPQHLNSFLGGAFRLPNWMNSSLSNLQEINMWLSIIRHEDLQNLGDMPFLCRLFLSAGKVKSTKQRLVIGTDRSHFPCLYELRFDTGAMGIMFAQGAMPKLATVAIALGSRNTIDIYGDFNLGLENLYSVRQISVKILCNGSRRGEVDSAEADIRRALSQNRNNPTYNVTRCFEDQIILEEEEEVDEEIIEQEEIMPERVGPWGGEGRSNHDIKVAPMHLKSIKVSCGQVVDAIGFSYLDKKGKQHTTPLWGDYGGTVYTVNFAPSEFLKEVSGTFGPFFSFPNVVTSVELITNERSYGPFGNTKGTAFRARAKENGNIVGFFGSSTVYLDSIGVYIHA >LPERR11G11290.1 pep chromosome:Lperr_V1.4:11:12191083:12191289:-1 gene:LPERR11G11290 transcript:LPERR11G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQADLVRCLRLPGAAVGRVCAAHDGRCVACYSMVRPSAIARVCGSRDERCLVCGAGGGGGGRVLL >LPERR11G11300.1 pep chromosome:Lperr_V1.4:11:12192430:12194052:-1 gene:LPERR11G11300 transcript:LPERR11G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLSRHVSLAFLLFFSNLLFFLPSPSSSAASPSAIDDFVSCLLSANIPADQVLTPTSTSFISVLTSSVQNARFATSSSSNATSPACIVAASDVAHVQSAVRCGRRHVIRLRVRSGGHDYEGLSYRSLRSDDVFAVLDLARLRAVRVRAGDATAWVESGATLGELYYSIGKSNPGFAFPGGACPTVGVGGYLSGGGIGLMMRKFGLGADNVLDAMLVNADGDVLDRGRMGEDLFWAIRGGGGESFGVVVSWRIKLSMVPSTVTVFNIAKTVSGDGAAVAALAKWENLILQPFMPELTIRVVLQGNTALFQSLYIGGCGRLAASMDAYFPELGMSRSDCNELTWLRAMAFITTGNITAPPEDMLRRTNNLGTSVKSKSDYVRRAIGATAWTSLFTNWLSRNNNGILILEPHGGVVGAVVPDYATPYPHRAGVLYNVQYGVFWWGDDGVNAARRWLDGVYEEMGPLVSSDPREAFVNYRDLEIGENEIGEDGLTTYESGRRWGERYFMGNFRRLAVVKGRVDPGDYFRNEQSIPPLFQSY >LPERR11G11310.1 pep chromosome:Lperr_V1.4:11:12198051:12201313:-1 gene:LPERR11G11310 transcript:LPERR11G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSSPPRRTMSMGGGSGLPRRSAASAGESSMLPGLSRSMTMGGGGGGERTVRRLRLSRALTVPESTTVLEACRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDETPAWKVMTRHPVFVLSDTLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRIERASDKGKAAIATAINDPAASSMIEALKEQMLRPSLSTITSTAESAVVIVSPGDSVLVATQKMLEVHASSAVVAVGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATMDMPILDALRTMQERKFLHLPVMDRDGSIVSILDVIDITHAAFSIVEGTGDAAMNDDVAISMVQRFWDSAMALGPLDYDIESQSQMSEASRSQIMSDIHQEAASEQSFSFKLQDKRGRMHRFSCDVQSLTPLITCILQRLGPNLDRDRLPQILYEDEDRDKVVLASDDDLTAAGLKLFLDYSGSSGRRRSVISGGGGGGTVVDVSSRDAWAAAYSGVAAGAALVTGLGVMTYLRRAG >LPERR11G11320.1 pep chromosome:Lperr_V1.4:11:12207692:12207901:1 gene:LPERR11G11320 transcript:LPERR11G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >LPERR11G11330.1 pep chromosome:Lperr_V1.4:11:12212478:12221251:-1 gene:LPERR11G11330 transcript:LPERR11G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAVTKAASWGMAAAADDAGPTMLSFAGSSSAAAAAAEAAAALQDFSVGLIHRGAAPRPAARKARAAAGGGAGGAEWCSVDGCRSDLSRCRDYHRRHKVCETHAKTPVVVVAGQEQRFCQQCSRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDVLNPRSFMPYQQVNQFSVYPQTFPIADQNADALMHPLDRQAPFSISFSGTFRAPKQFPFLQDSGSGINTGRHDHLRPFSSAEDGANITTTTRSACNGIPHGLDPECALSLLSSSLHPSPASISNATAPAQFAPSTFGRIAASSSQAVTTAFASDGASAGGDHVLVPAVTYEDPSQALPFSWQV >LPERR11G11340.1 pep chromosome:Lperr_V1.4:11:12265107:12271545:1 gene:LPERR11G11340 transcript:LPERR11G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGINGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLYANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSQINGELLEKFDIIVVSCASIKTKLLINDHCRKRSKHIAFYTIECKDSCGEIFVDLQNHTYAIQKVGDEPKQKELTYPSLQDAISVPWKNLPRKTTKLYFAMRVLENYELSEGRSACEASLSDLPAVLALRKDMCDKMIFNSGKLQSLSESQIPTALLERLLPAGMKQHPPVSAILGGILGQEVIKSISGKGDPIKNFFYYDATDGKGIMEDIPPSSSD >LPERR11G11340.2 pep chromosome:Lperr_V1.4:11:12265107:12271545:1 gene:LPERR11G11340 transcript:LPERR11G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGINGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLYANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSQINGELLEKFDIIVVSCASIKTKLLINDHCRKRSKHIAFYTIECKDSCGEIFVDLQNHTYAIQKVGDEPKQKELTYPSLQDAISVPWKNLPRKTTKLYFAMRVLENYELSEGRSACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLPAGMKQHPPVSAILGGILGQEVIKSISGKGDPIKNFFYYDATDGKGIMEDIPPSSSD >LPERR11G11340.3 pep chromosome:Lperr_V1.4:11:12265107:12273806:1 gene:LPERR11G11340 transcript:LPERR11G11340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGINGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLYANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSQINGELLEKFDIIVVSCASIKTKLLINDHCRKRSKHIAFYTIECKDSCGEIFVDLQNHTYAIQKVGDEPKQKELTYPSLQDAISVPWKNLPRKTTKLYFAMRVLENYELSEGRSACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLPAGMKQHPPVSAILGGILGQEVIKSISGKGDPIKNFFYYDATDGKGIMEDIPPSSSD >LPERR11G11350.1 pep chromosome:Lperr_V1.4:11:12282903:12283850:1 gene:LPERR11G11350 transcript:LPERR11G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLAERRRSSASSSPEFRFWPIDADPAASPSCADDLFSGGLLLPRSPLPLPRRHADSLASSASSDSPNLAAAVAAGEDDDAAVTSRSANSAGGGGGGSKRWTDIFSKKSPAPAAEEREKDQPRRKDGGGRRRPAAAGGGGSELNINIWPFSRSRSAGGGSSSKPRPSPAAARKASSAPCSRSNSRGEAAAVANPPLRRWAASPGRAGGGVPVGRSSPVWQIKRPSPSPASTKHAAAAEHLAGDRRPPHHKEKLAAAGGGKKPNSGGGGMRGINLSVNSCIGYRHQVSCRRGVARSHGGGGLFGIKGFFSKKVH >LPERR11G11360.1 pep chromosome:Lperr_V1.4:11:12290740:12293611:1 gene:LPERR11G11360 transcript:LPERR11G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAQNTTRTRRRVRPSSRLRLSPIDSSPTPSEFESPFPLSLSLSARSIEAGRPATGSERRMQQKPAAEAMDEEVKGAAAAAGRAGLGFWLAARRRLAPDDPFFAAGDMERELLAKQVALDLSEDERYQLEKMEMTSANNLFCPISGCGAHLDCLENFEDHYNTRHTASCSVCWRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECVVEGCGVKLKSYKSRQQHLVDKHQFPKSFEFFKKARPSQRHRHKTQKQRQTVYKGEETRETLMDVDGKSSRNMNQKYRPKQHDDKESKENDCEEPKNNEMEVDKQIDELASAVSKLSTADSTPSISFGHRRTRGLAFVPRSIRQNKQVSQKEPK >LPERR11G11370.1 pep chromosome:Lperr_V1.4:11:12295499:12297124:1 gene:LPERR11G11370 transcript:LPERR11G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYDKFVREQLRKHGLVGSGAGGASVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >LPERR11G11380.1 pep chromosome:Lperr_V1.4:11:12326880:12335519:1 gene:LPERR11G11380 transcript:LPERR11G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFMNGFLSVFMHVGLSLVLLIYLPISFVCRMLSKLLIRPFVSGEDLRGKVVLITGASSGIGEHLVYEYATKGACIALTARTEIALRAVAKTARELGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTTTLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSRTFYVSKSSDQDGDALSKKILEAVGGKKFLYPKTIRSQAIPAN >LPERR11G11390.1 pep chromosome:Lperr_V1.4:11:12344086:12351661:1 gene:LPERR11G11390 transcript:LPERR11G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEVPGEGFDGEEVFINEEDIINEIPIDEEDLPDRDDEDDDGVGDLQEDDSQYAFRAHTGKLVEKIKIVLVLIVDEIYSVACSPTDASVVASGGKDDRGFLWKIGSTGHTDTVCAVAFSSDGNLLACGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNTILNTFAGHSSTVTCGDFTPDGKLICTGSDDSSLRIWDPRTAQSRHVVRGHGYHTDGLTCLSITSDSQTIVSGSKDNSVHVVNINSGQVVGSLDGHTGSIECVGISPSYNWVAIGAMDQKLIIWDLSRQLIRCTCNHDEGVTSLAWLGSSRFVASGCIDGMVRIWDSLAGECVRVFAGHGDVVQSLAVSADGNFIVSASTDGSALVFNISMFK >LPERR11G11390.2 pep chromosome:Lperr_V1.4:11:12344086:12351661:1 gene:LPERR11G11390 transcript:LPERR11G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEVPGEGFDGEEVFINEEDIINEIPIDEEDLPDRDDEDDDGVGDLQEDDSQYAFRAHTGKLVEKIKIVLVLIVDEIYSVACSPTDASVVASGGKDDRGFLWKIGSTGHTDTVCAVAFSSDGNLLACGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNTILNTFAGHSSTVTCGDFTPDGKLICTGSDDSSLRIWDPRTAQSRHVVRGHGYHTDGLTCLSITSDSQTIVSGSKDNSVHVVNINSGQVVGSLDGHTGSIECVGISPSYNWVAIGAMDQKLIIWDLSRQLIRCTCNHDEGVTSLAWLGSSRFVASGCIDGMVRIWDSLAGECVRVFAGHGDVVQSLAVSADGNFIVSASTDGSALVFNISMFK >LPERR11G11390.3 pep chromosome:Lperr_V1.4:11:12345960:12351661:1 gene:LPERR11G11390 transcript:LPERR11G11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEVPGEGFDGEEVFINEEDIINEIPIDEEDLPDRDDEDDDGVGDLQEDDSQYAFRAHTGKLVEKIKIVLVLIVDEIYSVACSPTDASVVASGGKDDRGFLWKIGSTGHTDTVCAVAFSSDGNLLACGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNTILNTFAGHSSTVTCGDFTPDGKLICTGSDDSSLRIWDPRTAQSRHVVRGHGYHTDGLTCLSITSDSQTIVSGSKDNSVHVVNINSGQVVGSLDGHTGSIECVGISPSYNWVAIGAMDQKLIIWDLSRQLIRCTCNHDEGVTSLAWLGSSRFVASGCIDGMVRIWDSLAGECVRVFAGHGDVVQSLAVSADGNFIVSASTDGSALVFNISMFK >LPERR11G11400.1 pep chromosome:Lperr_V1.4:11:12352803:12353281:1 gene:LPERR11G11400 transcript:LPERR11G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTALVFLLCATLVSCHLHTGEGRQNCNKSDVRVAVERTGKTVGGQAEYRVSLAAPGCPCSVSGVRVWCDGVQDGPEPVDGSLVDFEEGICVLKQPIPAASSLSFTYTSRTPVNFPVLNATADC >LPERR11G11410.1 pep chromosome:Lperr_V1.4:11:12355846:12356384:-1 gene:LPERR11G11410 transcript:LPERR11G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKATILSLFLAALLCHVHAGETTGCELSDIHVSVQKTGKLVEGQPEYRVTVDNTCPCPQSTVTVHCAGLSTVEPVDRSKISVIDNKNCIIAGGHGIFNGAPVTFTYAWKTPQDFAVVSARPQC >LPERR11G11420.1 pep chromosome:Lperr_V1.4:11:12359593:12363014:-1 gene:LPERR11G11420 transcript:LPERR11G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVDTDRRYAAVLYLLLRKGGRLGFAGGTAQYGPRQQHELRCLFTLAAGLTVPVYVGLVGHVVIQFRTSSRVQEMDGTATSLAAVAGLMLMLLTSSPPAFIFPVTRDALVERLLGTLANVQLALVGAAGLSAARGAAPGEFVRVRGANAVQRRVRRRRPRRVGQLAKEGRGRRGGLSARRQPHKHGAAVQGRPYRDRRVRLISSCSKVWC >LPERR11G11430.1 pep chromosome:Lperr_V1.4:11:12373353:12373594:-1 gene:LPERR11G11430 transcript:LPERR11G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQRTGKLVEGQAEYQVTIGQHVSMSTVRRYRALCWPVHREAGPKSASLTAYCLVAGGGAIVQGARVGFTYAWKTP >LPERR11G11440.1 pep chromosome:Lperr_V1.4:11:12380515:12381967:1 gene:LPERR11G11440 transcript:LPERR11G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIKLLLVSSLMLLLLQGSPAAATAKCGAASVEVSQANTGEKVGYDPVFEVTVRNRCACAVRGVRLRSEGFASSVAVDPRLFRRDAGDYLVGDGRRIEPSAAVTFRYAWDRAFRMAPATAHDDCS >LPERR11G11450.1 pep chromosome:Lperr_V1.4:11:12386026:12392136:1 gene:LPERR11G11450 transcript:LPERR11G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSPLSPLRYPLKFPMNGILKPAQRLLSTHLPIVYICRDPKDVLVSAWLFTNKIMHAFGHETGGNIELCLQVNYTIDQAFELFCDGRCIFGPHWNHVLVYWEESRRRPEERTPEEMLYEPTCHVRKMAEFLGCPFTEDEEEAARVGDDIIHLCSFDHLRSLAVNKTGAARLGIPIGNDTFFRKAVAGWSNHMTLEMAVRLDIVVKDALRGSEFEFTFGVVGEGQGTRL >LPERR11G11460.1 pep chromosome:Lperr_V1.4:11:12392191:12393192:1 gene:LPERR11G11460 transcript:LPERR11G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFADIEDIAKLIPSLPLETRCPPFPLRQYGGFWLPEWVLPGINAVHTRFDPRQSDVFLASFPKSGTTWLKALAFATIHRVHHPPSSGESHPLRRSSPHDCVKFFESAFAFSSGDVFAAFPSPRILATHIPYSLLPETITANASGCRIVYICRDPKDTFVSMWLFTNKNRKVNGALTTEQSSLTIEEVFDLFCDGRCVVGPMWRHVIEYWEQSQRRPEKVLFLCYEKMLCDPSRHVKKLADFLGCPFSVEEEKGGVVDAIVDLCSFDKLKSLQANKNGVTDLAAKKESFFRKGVAGDWGNHISAEMAARLDGIVEDALEGSGFTFDAVDDAE >LPERR11G11470.1 pep chromosome:Lperr_V1.4:11:12396690:12397978:1 gene:LPERR11G11470 transcript:LPERR11G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARHKPPPSAKPCSSGPQPCRAWWPIWQAASPLSPFLPVPSIPRETTSGEGEGMERGSVVVCNDGTGPWFIFGIRALSIHGDVRQVGFVEPWRTLTVAATTPDDLALAGAVEYPTLTSTYYQEWSLLMRVNMQAQGFWYDVIEYREDRLALAAILRAVPSEMIASLATKRMARSPTAKSSYSRL >LPERR11G11480.1 pep chromosome:Lperr_V1.4:11:12399194:12400612:-1 gene:LPERR11G11480 transcript:LPERR11G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFGYDPLDYYTSSSYYPYDYGYSSLYNLPHYASAAATPRRRASTFFPADCYAADFAQPPARRRTSNSRPAVSVPVQSVESEQRKVETEAEVRAARSKLAAAVRKREEAAVRLQAAVRGFLARRMARMVREVRKVEKEAEEVERKVEMEAEALRGDARGRIAVGEALMRLLLRLDAVRGAREYRRKVTKRVLALQDAVDALEHAPSPVESPVVVKEEEVGDDRLAAVEMEAAPEVVDDATADVNIEEEEGDDAVEENEMAPESPHRAAEEHGEVESKVKAAESEAVVDAAATETHAAEMEVDADGVAATGGEAEADETAVEQVVGMADQDEDAEEEDEWEMVSAAESASAAVPIHTDAASMDNSAVTVDAAENSPATEAPRQESAGEEVKKEVAGGEGVDAKKMMEMVALLCEQSAQQCAVIGALAERVEVLERAVRRAEEADRRRRRNKKLKKEGKANNNKFIRSCYSD >LPERR11G11490.1 pep chromosome:Lperr_V1.4:11:12401054:12409886:1 gene:LPERR11G11490 transcript:LPERR11G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPEDNIKDEKNPPSISEDDVALLKSYGLGPFSTSSKKLKEIEEMAMKINNFYAVCLSILPINLEISVPPVFAISIC >LPERR11G11500.1 pep chromosome:Lperr_V1.4:11:12408210:12408539:-1 gene:LPERR11G11500 transcript:LPERR11G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESSWSAVEEWAAVKVQAAARGLLARRAVRAVAEAEREAMNALLPRVAAVLVGEAGATGGKAKLAVAEEPMRLLLRLDAVRGARAYRRRVVAKVLALQDALDSGVN >LPERR11G11510.1 pep chromosome:Lperr_V1.4:11:12423718:12426792:1 gene:LPERR11G11510 transcript:LPERR11G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEARENGAAVAVAGDEAAAGKFTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKPAAAAGEDDAVAVLRDALAKVLVHYHPLAGRLTISAEMKLAVELTGDGAVFVAADAGCDLADVGDLTKPDPTKLGQLVYSVPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGAAELTVPPFLDRTLLSARSPPVISFDHHEFAEIPDISDTAALYASQDLLYRSFTFDPDRLDRVRSLALVGADEDLLAGKRFTTFEALSGLVWRARTAALGLTPDQRTKLLFAVDGRQRFEPPLPKGYFGNGIVLTNAIATAGELLGSPVSFAAGMVREAVRMVSDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFQGADFGWGEPAMSGPVTLPEKEVILFLAHGEERKSINVLLGLPATAMEAFQKLMDEI >LPERR11G11520.1 pep chromosome:Lperr_V1.4:11:12430972:12435919:-1 gene:LPERR11G11520 transcript:LPERR11G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKLQQQQQAPTSPTASVSESNIVAAPSTTTASADHHPAEAANDALAGLQALRFDGGGDIDDDVEIQSPDIALWESLFADDHHIGAGVSSAADFFMSISSNSPLRDYMVTSSPKRDYMVTSSPKRGGDYMVTSSPKRDFMVTSPRRATISNLYAASYGGLEGGGAAQLQYGKGKSQSPLHKVSHGGGGGGELPSSFMEEAGYNLGLYHHHMPETKTIGGVNGGGGSVVTAPASSSQQQLPTLSECLAMPEPVYRGGGGEEGMAALMPPELYYGGGGFGGEGMVATMQHQMGDSSLHSMLGSVIQSEPEQEQDSGLQLVHLLLACADFVSKGDHPSAVRHLHLLRRVASPLGDSMQRVASHFADALAARLSPSSTPTTAPFPFPPSPETLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPQLLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPAAHLPGLLSMVRDQAPKILTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAARMKVEQCLLAPEIRNVVACEGAERLARHERLDRWRRLMEGRGFEPVPLSPAAISQSQVLLGLYGAGDGYRLTEDNGCLLLGWQDRSIIAASAWRC >LPERR11G11530.1 pep chromosome:Lperr_V1.4:11:12439351:12444190:-1 gene:LPERR11G11530 transcript:LPERR11G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRRGRPLRSLLRRSLLLAAVCAAALLLLLLHLHGPELPSSSTTRRVTPSRGELSAGDEAAADAGSGGGGSSGETCATVERIGEESVGRRGSPEAASLRVREIIRRHFLLHGAARVRELPASEFCKQGFLLGKASEAGFGNEMYKILTAAALSIMLNRSLIIGQTRHMHLLSVTFLPYLGLYPFGEYISYTNHSFTIGEVKHLWRKHRCSRTYGRDLNMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGAADAVGIQFFLKNVHPRMKTAATSLFGSPSSLHPRPNTFGELMQVIISPSRIIEKAVQWALKGTSPDIVLHMRMMTNRPVRARKAAVSCIKRAIEICHLKGTPRVALISDTPGFVKDITQDITEFAEVIHFDHKGFAKNFGLDITGNDKPLDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGHESSGVNFTFLSSIHSNLLVDGLSSQVGWGHIWNRYAGPLSCQHQLHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERRLMSYCRSRKDHVKRYRVLPSYSSSI >LPERR11G11540.1 pep chromosome:Lperr_V1.4:11:12458541:12461212:-1 gene:LPERR11G11540 transcript:LPERR11G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKPVQRGLRLRWVCNDKAEELVHLCMCGSASLVGRGTRMWTSYRLLALAMEDNSALLPAKD >LPERR11G11550.1 pep chromosome:Lperr_V1.4:11:12471428:12473350:-1 gene:LPERR11G11550 transcript:LPERR11G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSIPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLFYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSSGPHRLIILGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIRDKYVALPNVLGFTFGVIQMGLYAIYRNSTPKAPTKEIEATASDEDTAVVKEHVVNIAKLSAAADDVKTCEVHVHPVDVESPPVECVATGDAPPPKEGKPAIAGAGEKV >LPERR11G11560.1 pep chromosome:Lperr_V1.4:11:12509204:12511756:1 gene:LPERR11G11560 transcript:LPERR11G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVLCSPLVNGARMQLPHGCVSIPTEGELVWHYLYRRAVNLPLPCNFISDVNIMRHNPWDIVPIVATERDNGKYFFMRKEIKFRGSHHINRVTGNGFWRLPGKEKPIYYTPGNGSDNLLVGMKRSLTFYYGKGRTAERTKWGMKEFRLAGVGVLPYPVMRCANGDDSKPLCGCAEATIANRNNDLSAVLHCALSLAPMVKRVVQPNESWLICRIYRKRRCAPRVIFPSTIGNARATSTPPANGHAREGHVRSIMGPCLAEGSDESVGSSDEKVNEN >LPERR11G11570.1 pep chromosome:Lperr_V1.4:11:12522170:12522688:1 gene:LPERR11G11570 transcript:LPERR11G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISIPMVNGTMMHLPPGYWFCPTEDVLVINYLYPCALHAPLPCEIITEINILQHHPSDIVLVEESRTGKHFFTRKEMKYPGGRRNNRVAGNGFWRAPGSEVPIYYKPGHDGDNVLVGTRQTLVN >LPERR11G11580.1 pep chromosome:Lperr_V1.4:11:12522722:12524269:1 gene:LPERR11G11580 transcript:LPERR11G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFQLAGVGLLPRPMESDGISTTFHAKISCVPRVRIMVEPDSSWLIYRVYKKRQAALRVVIPHALGSLSVPEQML >LPERR11G11590.1 pep chromosome:Lperr_V1.4:11:12530081:12531090:1 gene:LPERR11G11590 transcript:LPERR11G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGDSITLVNGSMMHLPLWSIFCPTEDAFAIASFPKSTSYASTPGTSSQIYMKRQCATQVIIPPAFGNAGELVIPPAMVNACEGGQVQFIDILGQVPCAGHPPMHAPLLSLMRGVLILRMDGMSRKVTAMARIKVIKEAT >LPERR11G11600.1 pep chromosome:Lperr_V1.4:11:12554298:12555377:1 gene:LPERR11G11600 transcript:LPERR11G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVCITLVNGSDLYLHRGAYCAPPRVILSSTTYTERVVHIPQSLDFIPNINNLCHNPWEIILPNPREEERDRCISSTIRSISIQVTSAETVLPVMGSSGSTVPVYYNPSGGADDMLVGMKCTLEFHLAGSCLLHCPMMRQLCATVMYNNDAGGSCALNQVVPNSSWLICRIYKKRHRAPQVIIPPAFGNAWEVLNVKVKYSDFLGKAPRARPSYPACSIALSFEGSDESTD >LPERR11G11610.1 pep chromosome:Lperr_V1.4:11:12568296:12569098:1 gene:LPERR11G11610 transcript:LPERR11G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGDCITLVNDSTMHLPLWSKFCPTEVLCWVGDEGVAQEKKNEKYLFTRKENKNPGDKRQNRTAGNGLWRSVGSDLHEKAVLPRSSSLLHLAMQGKS >LPERR11G11620.1 pep chromosome:Lperr_V1.4:11:12582492:12584362:1 gene:LPERR11G11620 transcript:LPERR11G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVCITLVNGSTMHLPVGSRFRPTEGELIFHYLYPRAIQKPLPLDFIPNIDILRHNPWDIVPAQEKKNGKYFFTYKENKNPGDQRQNHTAENGLWRSAGSEVPIYYKPNGGAYDILVGMKRTFVFYRVKSSSAERTKWAMKEFRLAGSCLNSPVMGQVSNGGSSNGEVTITMNNDGALSAALTQVVPDSSWLICRLYTKRRRAPQVTIPPVFGNAGEVVIPPAVGNAGEGDPVCFIDFLGHAPRVGPSSPTCSIALSYDASDEFSDGEDANDSDNHGKGN >LPERR11G11630.1 pep chromosome:Lperr_V1.4:11:12592462:12592839:1 gene:LPERR11G11630 transcript:LPERR11G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPEDQLDAAAPAPAKPPGRRGAFDLFGRGGAGRRTKSSNIIAAGGDQRKNQQQENEGEELRQNKQQQQQADKIAATKGRELARSPGSPSFRYYCENNAFAVAVAAAAAVAEPKKERR >LPERR11G11640.1 pep chromosome:Lperr_V1.4:11:12600172:12601989:-1 gene:LPERR11G11640 transcript:LPERR11G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTRMDAEKGMVMVSGQVDPATIIKKLSKVGKRAELWGSKVGVAAVNNQIEKFHLTGGGKGGQPKDTTSDKGQPKDASGKGQKGGGGRNKEAKMMMPPHPTPQQIQQLQQHLQMNGLKLPQFMDGKMPPFSATAAAATSIKDPMSVKFNIPEDGFVDDGSEFDDEFADFDDEEDFNDGGLDDEDYNDPMMMKQMAMLPPNASGGGDKKGGKKGGRNEIPVQIKGNSTGGGGSKKDVGGNQNQGGSKNGGGGQPNNDNEGGSGAVNGGGNHPGAHGKKGGGSLVGGPMGRMPTQQQAMTRPNLMGPRGAGFTGMGQMGSGSMTVPQMAHHHHPQMGNGAVQGMLPPAFYQGGGMLEMPQATAVAGNPMAQHQHMAMMQQQQHLQQQQMMMNGNHGYYGHGHGGGAPTGYPAAGYGYGRPVMQYPMSYPMQLPPLGEPYNYFSDENPNGCSVM >LPERR11G11650.1 pep chromosome:Lperr_V1.4:11:12616824:12628428:-1 gene:LPERR11G11650 transcript:LPERR11G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAAMSPREHVERIRLERYYIGRSERNPLSEDMHYAVNYLSQEIYSKDVHFLMELVQWAPPANLTSIFFPFLPQWRRHAAAADQLMTPAARCPILRRARGTTTITSFININRSEIDRWSSDPPYPAPHGGKKLDPSIQCNAEDNEYPSGVPPSLEFLVTSKDITESGASATLLIFNNEKGFSPANIESISRVGKSTKKGNRDQGYIGEKGIGFKSVFLISSQPHIFSKGYQIKFNEKPCDECGIGYIVPEWVESRPSLSDIREIYGSSKDLPTTTIILPLKNEKVNAVKEQLSSIHPEMLLFLSKIRQLSVKEDNCNPECSTLSEISISSEKDFEVRKNVNAESYTVHLSALEDGKKKECPYYMWRQKFLVKPENRVDKRAEIGEWVITLAFPFHQRLSRGKQLLPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFRDVFVTLVKSGDNMLPSYPPSRFKFLPVDPSLIPLLEPVRSGIKDKVLVEDIVPCESYTPQKIFCKPGVAGRLKPAFWDILSKAEQSVDLKNLSTHGTYIISSYFDMPEYNSVLEFLGIKSVNPEWYAKCIEGSNLVKEVPEPLYLEIISFIADNWENFFSVTSMPSIPLLKYVDRNDVPSFWSLSRARQYSDRLCIASENKYISWLISWNKEFPSSSRFFFPLSTQAALKEFSQKATVTKWFEDYAKVETVSVYGYAHKIVNSLGSDRRPVIAFAHFLYHSSEKEHVGSYNLSELLHAMPVIDNYGNVITVRENILVPAKGSKWVGLMGSNPWRNEMYVELSSDYKSAGCFAGQFTSEDQLMAFLNTQLQVSDVPFINPPDASFPTVSSPLTLDNAVLLLQWIRNLKSKGVQLPARFLACIKQGSWLKTSVGYKPPNESFLSSAEWGSLLQNGSSFVDIPMIDQHFYGNKLQEYKKELQAIGVRFEFREASAYIGSRLMSMAANNMLTRENVYQLLRLIRFMREKFLPPSELINSVKDGIWMKTILGYRSPAGCIMHDLDWEVASCISDQPFLDVKFYGETILSYKEELELLGVLAKFKDNYNLVIDNFKFSSTAITSEATILILKCIRHVKSCDDFVKKLRGLKWLKTNMGFHAPTESFFVDSKWECLIKVFDGIPVIDYGFYGSEISSYKEELKKTGLITKFEEASEAIANFFKQMVSKSSLKKASVLALLSSYQQLSPVPYDLFNCMRTEKWLWTSMGFKAPSDAILFNEEWQSLSPIAKLPFIDDGDTQFGLGKEIHGYKAVLKELGVIVEAKGGARFVITGINIPNDPSIMSKATVLALLECICSYLASTIALPKDFCESIASKEWLKTTIGYRRPDECILFDAKCTCICKEDGPFIDEAFYGSEIASFKDVLEKIGAIVDIKFGHDLVARHLRNHKDNATISRVYMYLMDCNWEPENKTSNWVWIPNGSDGGEWVSPLSCVLHDRDNLFASHLHVLDKYYEKKLLDFFSVVLGVRHGPGAEDYCKLWSTWESSVSELSIADCSAFWKFIAINWGQKANKLLSGCMKVPVCSDGKIILSNKKDVFIPDDLLLKDLFSKISQEPCFIWYPFSSLPSMSRASLNNIYGSIGVGTISKAVQKNDSFTLASGNLKTVGLNKVIKAGLLQLVFAFLADPVLDISTKERHRMVSWLLDVTVLETDEPITVGYSVSLSSGRTLDVKASRMLRWERENKKLYIQRSHDTTSYREKIEFATYFAEEICQGLLFEKADQIPSLAELIKLGCLLDFQYAAVEFLLKSKNLQLLPEDEAFLNAALQGLLLTN >LPERR11G11660.1 pep chromosome:Lperr_V1.4:11:12641886:12645870:-1 gene:LPERR11G11660 transcript:LPERR11G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKGILECVPSAFVNAFVALVKSRADAPAMSLPSMFNFLPVHPSHVPLLEELRSGIKEKVLAEDIMPCESCTLQKMFCKPGEVGRLKPEFWTILKKAQESGVDLKNISTHGTYVLSCHFDKSIYNGVLAFLDVKNVSAEWYAECIEGSNLVDDLPEELYIELLYFVAANWESFTSTRMKSIPLLKYFDSYGYPSLGSISGANKLCISSQKKCIQWLISWNQEFPSCKQFFLPLSTETALDDFSNNTFLTSWLQRHVNVKVVSVYNYGSIVASSLDYERRAIVAFAHFLYHSFKMGHIESYLVTILCYGMPIINNYGKVVKTKKKHSCSCQGQQMGQLIRFLQENNLSTIALVNSVNSGQWMKSTLGYRSPSNCIVYDSDWGVASCISTLPFLDVQFYGDNILDYRPELELLGVLVGFKDNYTVVIDNFEFHSNAISSEATVLILKCIRYTQDIKWIKTNVGFCAPSETFLIDPEWECLLKVFSEVPLIDLGFYGVDICSYKEELKKTGLVAGYMEASKALADLFKQMVSKSSLTKEIVLSLLASYRQLKSLEPSPMKLFNCLRDEKWLHTSQGFRCPSDTILFDESWWLLSPIASLPFINDGDSGNGLGLEIYDYKDELKDLGVTVEVKDGASFVIMNLKIPNDRFNPNAEDHCKLWSTWEASVTELTMVDCSAFWGFILENWTKATEMLLSACVTKVPVCNEGKITLSNKEDIFIPDDLLLKDLFDKLPQESIFIWYPPASLPYISRARFNCIYNSIGVRKISEAVEWNESFIVRDTSFRKVDVSTVIRHDLLQIVTAFLANPVLDIPAIERHKMVSHLLNVTILETIEPITAGYSVKLSSGRHVSVDASRMLRWKRDNSKLYMQRCDQAASHRGKIEFASYFADEISQGLLFEMEDQIPQLTELVKFDYLLDFHELAVEFLLKSKNLQLFPEDKEFLDSAMWS >LPERR11G11670.1 pep chromosome:Lperr_V1.4:11:12646439:12651177:-1 gene:LPERR11G11670 transcript:LPERR11G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPREHVERIRRERFFIGRGERNPLAEDMHQAVSYLSQEIYSKDVHFLMELIQQYSLAMGSGIGFKSVFLISRQPHIFSNGYKIKFNEEPSSECNIGYIVPE >LPERR11G11680.1 pep chromosome:Lperr_V1.4:11:12653697:12675532:-1 gene:LPERR11G11680 transcript:LPERR11G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQFAEVISAGFLALALATFVSCNTEGDILYKQRVAWEDPENVLQSWDPTVHNPCTWLHVTCNYDNSVIRDILYKQREAWEDPQNMLQTWDPTLHNPCLWMHVMCNNDNSVIRVDLGDADISGPLIPQLEGLKNLQYFELYGNRLNGSIPATMGKLKHLEVIWEQPERDNTPIIGHLKSLEYLELHNNALSGSIPASIGNIKTLKYLRLNGNGLTGTVPLEIISLLISNLAELNIADNNLDGTARKSGRRAMAAPSAAAVLFSSLLAFATLVSCNTEGDILYAQRQAWKDPNNVLQSWDSTLANPCSWSHVTCDSNNSVVRLDLGLAGLSGPLIPELGGLNYLQYLRLPANNLSGDIPQSLGNLTNLVRLELQKNALSGTIPASLGNIKALELLRLNDNLLTGTVPLEVLSLTYSTMGAHSEAAAAALFTGFLAFAMLVSCNTEGDILYKQRQELKDINNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGSAGLSGSLIPELGGLRNLQYLRLHDNNLTGTIPKSLGNLKNLVRLELQMNSLSGTIPASLGGIKTLEFLRLNGNSLTGTVPTELLYLVLAGNLTEINVAGNNLKGTVRSNGFRAMGVHSAAAAAVVFTVLALSTLVSCNTEGDILYAQRQAWKDPENVLESWDPTLVNPCTWFHVTCNLNNSVVRVDLGSAGLSGSLIPQLGGLSNLQYLWLQKNNLTGTIQESLGNLKNLVILELQNNSLSGTIPASLGGIKTLKFL >LPERR11G11680.2 pep chromosome:Lperr_V1.4:11:12663385:12675532:-1 gene:LPERR11G11680 transcript:LPERR11G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQFAEVISAGFLALALATFVSCNTEGDILYKQRVAWEDPENVLQSWDPTVHNPCTWLHVTCNYDNSVIRDILYKQREAWEDPQNMLQTWDPTLHNPCLWMHVMCNNDNSVIRVDLGDADISGPLIPQLEGLKNLQYFELYGNRLNGSIPATMGKLKHLEVIWEQPERDNTPIIGHLKSLEYLELHNNALSGSIPASIGNIKTLKYLRLNGNGLTGTVPLEIISLLISNLAELNIADNNLDGTARKSGRRAMAAPSAAAVLFSSLLAFATLVSCNTEGDILYAQRQAWKDPNNVLQSWDSTLANPCSWSHVTCDSNNSVVRLDLGLAGLSGPLIPELGGLNYLQYLRLPANNLSGDIPQSLGNLTNLVRLELQKNALSGTIPASLGNIKALELLRLNDNLLTGTVPLEVLSLVLTGKLTELI >LPERR11G11680.3 pep chromosome:Lperr_V1.4:11:12653697:12663326:-1 gene:LPERR11G11680 transcript:LPERR11G11680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSEAAAAALFTGFLAFAMLVSCNTEGDILYKQRQELKDINNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGSAGLSGSLIPELGGLRNLQYLELYGNKLTGSIPTTLGNLSNLVSLDLYNNLLTGAIPNSLGAISKLRFLRLHDNNLTGTIPKSLGNLKNLVRLELQMNSLSGTIPASLGGIKTLEFLRLNGNSLTGTVPTELLYLVLAGNLTEINVAGNNLKGTVRSNGFRAMGVHSAAAAAVVFTVLALSTLVSCNTEGDILYAQRQAWKDPENVLESWDPTLVNPCTWFHVTCNLNNSVVRVDLGSAGLSGSLIPQLGGLSNLQYLWLQKNNLTGTIQESLGNLKNLVILELQNNSLSGTIPASLGGIKTLKFL >LPERR11G11680.4 pep chromosome:Lperr_V1.4:11:12653697:12663326:-1 gene:LPERR11G11680 transcript:LPERR11G11680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSEAAAAALFTGFLAFAMLVSCNTEGDILYKQRQELKDINNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGSAGLSGSLIPELGGLRNLQYLRLHDNNLTGTIPKSLGNLKNLVRLELQMNSLSGTIPASLGGIKTLEFLRLNGNSLTGTVPTELLYLVLAGNLTEINVAGNNLKGTVRSNGFRAMGVHSAAAAAVVFTVLALSTLVSCNTEGDILYAQRQAWKDPENVLESWDPTLVNPCTWFHVTCNLNNSVVRVDLGSAGLSGSLIPQLGGLSNLQYLWLQKNNLTGTIQESLGNLKNLVILELQNNSLSGTIPASLGGIKTLKFL >LPERR11G11690.1 pep chromosome:Lperr_V1.4:11:12676989:12679987:-1 gene:LPERR11G11690 transcript:LPERR11G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASLPKKCKVGGKGKKRRSIIQEVAVFVPTIRIPVDSDVVQPLRGLVSKELVDRLSKLRDRVVALSEEIYYADVSDVSELQQALQEYLPVVLGLTMKESRLGASVEFRWKTLDDDQECCLSSAWYEVLSVVHMMAMLALFEANLILIPKKGQAGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQVKKSFPSYFQEGMLEAISIQALAQAHYSLSGCDTSDSFGRKLLLFLKWKCMEAKAIAYYYHGLVLDKGNEASNHISAVCCLSAADDLVADSKRACLSFCLANPITRVPPPWGIMKNMHKKIPDAACKRFQMYGYLFEQDKNSALQSLPDLPEFALSLRPEGYELPTTDSIWDNVDSQPQIQSLKEHLHDEDEVETK >LPERR11G11700.1 pep chromosome:Lperr_V1.4:11:12693899:12695554:-1 gene:LPERR11G11700 transcript:LPERR11G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSPESGEPAAATPAGDKSECLRLLAALPSAAASSPAFRRHWPSISASLSSLSSSLSHPAADDARLLSPLAAALSALVSVAAAPSSLGHLHTVSLVSSSAVELSHLAADAKLLVASSSPSPPEQEVIPRLRLGSAASRAAALDEIAAAVASLPPSSAAATASAVAAMLDSGEIPPASREKTVSFLAATSSLLPRDAAVAVVPHLCRALESSSSASAAENACVALAPLTSASRDAAAAVAARGGVGPLLATCATGTPAAQAAAAHVLRNIAAHADLVNAFLADGDGDDQVNAAAVPCLLSLVSLGTPRAQEMALACLTNLTAGDGNDDDRDRIKVEAFQAGALARVKEFLESCVAGDEPGLAPAFALLRNMSSFRYIAEIALSSSFVDHAVAAIGCSESAATRAEAAMALAELISVRTTTRGGVGVGEAVPRLVWMLEAKAVAEREAAARALAAIVGAGGVYRKVFKKEERGVVNVVRLLDPNNAGAGVDKRFPVAVLLAVSPSRRCRKQMIAAGACGFLQNLEVDGAKKLAGYLGRGKMLGVFPRS >LPERR11G11710.1 pep chromosome:Lperr_V1.4:11:12713898:12717690:-1 gene:LPERR11G11710 transcript:LPERR11G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFPEEVVEYILGFLTSHRDRNAVSLVCRSWYHVERLSRRSVLVCNCYAVRPEFVHARFPRLRSLSVKGKPRFADFGLVPAGWGATAEPWVEACAAECPGLEELRLKRMVVSDECLRLLACSFPNFRSLVLVCCEGFSTDGLAAVATSCRFIKELELHESLVEDRGSHWLECFPKPSTLLESLNFSCLTGEVNGHALEKLVARSPNLRSLRLNRSVPLDVLARILSHTHRLVDLCTGSFVRGNIADAYSGLFNGFQHCSLLKGLSGLWDATSWFIPVIFPVCKNLTCLNLSYAPMVRSTYLIEFIRHCKKLRHLWVLDHIGDGGLKIVASSCVELEELRVFPANADVRASTAVTEEGLVSISAGCDKLQYVLYFCQRMTNSALITVAKNCPRLKSFRLCIIKPGSPDAVTGQSMDEGFGAIVHSCKGLRRLSLSGLLTDIVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHKYEALRSLSMSSCNVTLGGCKSLAATMPSLNVEVMNEAVSIDEADENTNNAKVEKVYIYRTVAGPRGDAPEFISIV >LPERR11G11720.1 pep chromosome:Lperr_V1.4:11:12723532:12727826:1 gene:LPERR11G11720 transcript:LPERR11G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHNELEELVARFVGKPSAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAFGQPRTRRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASREIIQHLKMSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLMKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >LPERR11G11720.2 pep chromosome:Lperr_V1.4:11:12723232:12727826:1 gene:LPERR11G11720 transcript:LPERR11G11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHNELEELVARFVGKPSAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAFGQPRTRRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASREIIQHLKMSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLMKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >LPERR11G11730.1 pep chromosome:Lperr_V1.4:11:12729601:12732499:1 gene:LPERR11G11730 transcript:LPERR11G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLINIMMTKVNHAGKVYHMRAKRQMAQSLGQIAKFNRRYQQETEENKDK >LPERR11G11740.1 pep chromosome:Lperr_V1.4:11:12748673:12749060:1 gene:LPERR11G11740 transcript:LPERR11G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFEKLQPILEPFPTSIGLHKLNRRKNKKIMDWHAHHHSFVERWNNPEAHIMPHEHHTRGNYWLSLKLAWTFADTADDPKDLEEVNEYDTAY >LPERR11G11750.1 pep chromosome:Lperr_V1.4:11:12749852:12755984:-1 gene:LPERR11G11750 transcript:LPERR11G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPARMKNDEPHKERYRINSNILVPRSPSSSHRLARRCCCRTSARSRAVASLHSVRLFPCRCPAPRRGGCASASAAGSAKDRAGSSGSASPRATAPLHAATHRQIREGEGRRRQIRPAPCHRIAARRAPASTQMKSGISAATVDDRRRRSRRLGRKQMRGVAELILAGETPAHGRQRNWSVRACGIRAGHRLEKTKPYNAHAPVRSAWEHGTAASPRQTTGSPSLRVGGMEHHYYDIVRRSLEKVSIICLY >LPERR11G11760.1 pep chromosome:Lperr_V1.4:11:12774200:12776460:1 gene:LPERR11G11760 transcript:LPERR11G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNQRGGRKEPTVDHIKHANWKGVADFLDALLKKNELEPNDELAHLLEKLKEKSSINYCDLVWEPGLWTTMVKIHFVREVYWSIKGDRKSVLENTNPLGIKTFMTKLGYSSNQEKSLLDSILFLRKRVVAHQDTTYLSYNGDKNEVGESKRSVELLLQKTKADYMIELVSHIRRLGWLIESPILRSKTQYMEAFRQSKK >LPERR11G11770.1 pep chromosome:Lperr_V1.4:11:12779655:12794477:1 gene:LPERR11G11770 transcript:LPERR11G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATTSGRAVDCDDDPVPVRDEGYVDALVRASLHLIRKDFPHDVRGHGVRLIQHLVRFRWEELSITNWSKFVDVVSFLGVELRVPDDLVWKNATAHLVAEVVWSHGISLLHDLIPCFVCLSAKGATETLEVLMGHALELLEKHSGAVSGENLNHQVEVTTQHACAVKAALDAANAYAQWVDVIDLAKHGLIKGYAIDKVETGCGRILICNDFHRPMTIGIFDTDKYYDGDCAHAGHGNYSRDNILEDSEPRMLGNDGARQANSFHQRYKDVLTCILSPLSKIWTQPEWEGKYTHYAWCLTHLFSDRRFVKNVYDVVKSWEEQFKRRVDESHVIQIPDKYSDSLLQLILPLLLQFLRCVHALWNQEITFDLSEELTKAKRSGIDEEEGSQEIEMRQWLQDIRESGLRMSFFGYLVDGEAAMKAIPFCHALVHLARAANDDKLRDSVKKEKESEDVADSFKCWLVKQKEDLRAKAYSAPPKEFFEQTQLEWNWEFQDEFRRYLPVYFEMMQEVDAMVGCLEVDYLYREVLYMKLRPEFRSKYAIDSSEHPHLKIISNMRERKYYSMVSAKHHKQIYAILGDLITLKPYIKGSDHSYEIVERIGEKFEIPSNIFDCDDAEKSIHGHKDFLLEIVRQLAKAKAAEYSEPFVPQMEDFKPHLQPYAAAFFEATLKDSMYDNAKVQVHLHEEFDSYLSSGELDGHLQQSLKDIDAAVPSPFSILQKDLIDLSLKLKDEVKSLMSELEAEGFFDVDDKQIEWEKKYFSESIDRFNDKVFAGHSLPRHYVIRGIMDYRTILFWKANSWEDAFDKLWSDTRYYDHQYYDIIREPFKQMLV >LPERR11G11770.2 pep chromosome:Lperr_V1.4:11:12779655:12794477:1 gene:LPERR11G11770 transcript:LPERR11G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATTSGRAVDCDDDPVPVRDEGYVDALVRASLHLIRKDFPHDVRGHGVRLIQHLVRFRWEELSITNWSKFVDVVSFLGVELRVPDDLVWKNATAHLVAEVVWSHGISLLHDLIPCFVCLSAKGATETLEVLMGHALELLEKHSGAVSGENLNHQVEVTTQHACAVKAALDAANAYAQWVDVIDLAKHGLIKGCGRILICNDFHRPMTIGIFDTDKYYDGDCAHAGHGNYSRDNILEDSEPRMLGNDGARQANSFHQRYKDVLTCILSPLSKIWTQPEWEGKYTHYAWCLTHLFSDRRFVKNVYDVVKSWEEQFKRRVDESHVIQIPDKYSDSLLQLILPLLLQFLRCVHALWNQEITFDLSEELTKAKRSGIDEEEGSQEIEMRQWLQDIRESGLRMSFFGYLVDGEAAMKAIPFCHALVHLARAANDDKLRDSVKKEKESEDVADSFKCWLVKQKEDLRAKAYSAPPKEFFEQTQLEWNWEFQDEFRRYLPVYFEMMQEVDAMVGCLEVDYLYREVLYMKLRPEFRSKYAIDSSEHPHLKIISNMRERKYYSMVSAKHHKQIYAILGDLITLKPYIKGSDHSYEIVERIGEKFEIPSNIFDCDDAEKSIHGHKDFLLEIVRQLAKAKAAEYSEPFVPQMEDFKPHLQPYAAAFFEATLKDSMYDNAKVQVHLHEEFDSYLSSGELDGHLQQSLKDIDAAVPSPFSILQKDLIDLSLKLKDEVKSLMSELEAEGFFDVDDKQIEWEKKYFSESIDRFNDKVFAGHSLPRHYVIRGIMDYRTILFWKANSWEDAFDKLWSDTRYYDHQYYDIIREPFKQMLV >LPERR11G11780.1 pep chromosome:Lperr_V1.4:11:12797710:12804595:1 gene:LPERR11G11780 transcript:LPERR11G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIIEDAPHPVVTKVLDITELCLISTEVKKLDDGLNYCEGFVRYGFDAPFSIRTTFVAFENYESAVTTNDRLNLVNHPFIMRSLGGVSCFRQHQPCHFLPFPYFDTTYADYLDKRSNKAFEYKRFTPEFIQLTGHVILGIVALQEHGFCCKNLKGKDIAIVKENGSITVKIWHFRVYTGDKAKARRAEWRRLGELIKKAAVDHKCLTLEIEDLCTKLENGILEGAEVLKHSAMLMVREKFENILALNMYVKVHCDPLGTQIADSNTQTGVASRKEKARLEFLNYLDKDVGWFSGKSDVQWITDKKRELGFAWSSSNKFRSFIWEMRCLIEHEDEYIPPEPKVSFRVFQLVDVKVDLESELRAAWAELLLMALESMPRVDFLPPQFSSKRSPTESSGLSLVKDMKEEKC >LPERR11G11780.2 pep chromosome:Lperr_V1.4:11:12797734:12804595:1 gene:LPERR11G11780 transcript:LPERR11G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIIEDAPHPVVTKVLDITELCLISTEVKKLDDGLNYCEGFVRYGFDAPFSIRTTFVAFENYESAVTTNDRLNLVNHPFIMRSLGGVSCFRQHQPCHFLPFPYFDTTYADYLDKRSNKAFEYKRFTPEFIQLTGHVILGIVALQEHGFCCKNLKGKDIAIVKENGSITVKIWHFRVYTGDKAKARRAEWRRLGELIKKAAVDHKCLTLEIEDLCTKLENGILEGAEVLKHSAMLMVREKFENILALNMYVKVHCDPLGTQIADSNTQTGVASRKEKARLEFLNYLDKDVGWFSGKSDVQWITDKKRELGFAWSSSNKFRSFIWEMRCLIEHEDEYIPPEPKVSFRVFQLVDVKVDLESELRAAWAELLLMALESMPRVDFLPPQFSSKRSPTESSGLSLVKDMKEEKC >LPERR11G11790.1 pep chromosome:Lperr_V1.4:11:12817846:12830848:-1 gene:LPERR11G11790 transcript:LPERR11G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICAGRLPTTAWARTNVNGGCRDSFSLELEEPAKEEDEGYVDLLVNASLFLLVSKDFPREVRRQGVKMLEHLLKFRREEFSSSDLLKFTSEEKLSRITYFKERNNHEKSLLLAATNSYLRSLLGDEERAGRHEAEGPVDTTTVPNHMPSPYMPFLRLRQRSSALGGQIIDFSAVLDHSFWSSSTPLYTILPNDFFNLEGPDFRLHSPRLEAGLGLCHFGLGMKAEYMSEHNTLIGGLESDESSHGSSSNSLSCTSSPGLSFDTEVDHDGNELEVQRLMSIDIFDYHESVDQDGTDRTNFPNKFDDIIHPRMRCSNGIVPYHRVRGCLLLVEHNILCEAFDVVTSCLRTEQYKGVLHCLLKPLNKIWIQSEWRSTFLHYGFGLTCLLSDHFLELVYNIVKFCENELNQSAIESIKMQSCDLHSLLRLILPTLLQLLQCIHSLWKGQISGSLSEQLESARNMISVENFQQNKTSKFVEEIRLSGYKVIGLSLSIEGAFPDLMNFSSFNVAIFEELGSMEFRHLSKLIRLIFIPLVTNCPCESWKESMLNLLRPLLNHCEDLLYNAWFSLMHHNRAEVPYYFGQLSGSAEKNEKFEDALLLEFTREVSHLLGVLSSPESNNVPLEYLLTHGCLWSLRMSLFGYWVDGEATKSAISFCLAMSLMGGEKHNAGVENNFTYWLVKQKEDLRAKALCVAPMEFNGEWNWEFEDEFQRYLPVYFDMLHEVDAMDYTVECDCSDWNVLLQKLKPEFRSKYAVNSTNHPYLKEISRMQERKFRSVTQVRHYKQMYDLLSKLITLKPYIKGSDQFYTVIERLEENFEIQSTFDAYEVDQSVSPLMPDPGDFAPHLKPYARFYIETTLKTSTYGRAELQIHLHEDYDNYLASGRLDSYIQSHVSEDFSDEDVKTYAVPQEYSDLNHDLIKLSLKRRAQIVHMHHQLFTYSKCLRDLHQNESILLKDRLLSLMSELSAEGFFDIDSNSVNWENECFSKLVEKFNIEIFTGHSLPRCYTIQGIIVVAQTSNKLIGYLPQFWRETRYYDHEYYNIVREPLKKVRVLIAMKIICF >LPERR11G11800.1 pep chromosome:Lperr_V1.4:11:12850777:12853321:1 gene:LPERR11G11800 transcript:LPERR11G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEHPLELDFPEEQIQVSDALTEMSQGQWEGCPKSEIYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTIIRLPEKVAMGDTLSQQNEAKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNDEEDFSPKEINQRHVLHDANLAPSVTCIAIFSHATPIRCLIAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >LPERR11G11810.1 pep chromosome:Lperr_V1.4:11:12858291:12858557:-1 gene:LPERR11G11810 transcript:LPERR11G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGNKISEQGSKIDLLVKMMEEAEKRRVDSENKTRAEIKVSMEARIPEVEKKVEDLQASVDEIRAQVDQLKKIGTSSPSPDQQSAIR >LPERR11G11820.1 pep chromosome:Lperr_V1.4:11:12863674:12868635:-1 gene:LPERR11G11820 transcript:LPERR11G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVVLMARERPRSAVVAPGGDLVTAGGGGGGGGAGAGAAAQQRSRVWMGYSMPRNYAPAFHSFAWAQAVQNKPLVPRNAAADEDEVEHVVDTSDEEKEEGEIEEGEAGMASSSPPRAQPETIDLDADAPEKSESVAVDGSGAPVGAEEDEVDFDQRVGSILEELEMVSIEEAEKSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITSVAISYDMPKREQTKNMLLKLLFHIKNRYSAMLTPDQRDELDSRVRQLVFEDGKDNANGPSATPATNTTNVGAPSGQVLSERLPFESRAGNSFSGSSMLKVEIPAKNRIVSPLLDLHADYDENSLPSPTRDSAPPFPVPKPIGFSAFPMPPERPSFMERVEPTKNPSYPSLNDALKAVSSYQQKYGQKSTFASDDLPSPTPSGDGDKSGDKGGDTFGEVSSFSASNKTALPIVNQMPSSQTSTISSSDNFAGGPPGYAKQIENPVSGSNHVPKLSAKSRDPRLKFLNRDPTGVADVNRRVNFAESNPSKDRTMGAGVTRKHKADEPLVDENMSKRSRGGFGDPRDMLAHTGRGGWARDGGNISSYPSDGFQPNQNTRLGNSTTGSLNLRADSAPVSNTKNMTNSSGTSSGIVQAPQTNPVPQTSSAPAVSLPAMLKDIAVNPAMLVHWIQMEQQKMSAAEPQQKVTVPVGMASNVSTGTVLPLGNAPKTTEVAPVSSVRPQVPIQTATGHVQNDAGVIRMKPRDPRRILHSNIAQKNDTVPPVCVEQAKSNGTALPDSQGSKDHLPNHDQQAVQPQTSALPSQSVSSVRPATVNVNPVSNSQLAATALMPHGDSQKTSSSVNKADPRLAAGQNESNGDAKTSTGPATAPDSVPPASPWGELDHLLDGYDDQQKALIQKERARRIMEQQKMFAARKLCLVLDLDHTLLNSAKFLEVDHIHEEILRKKEEQDRERAERHLFCFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGALFAGRVISRGDDGDNFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHQNFFSHPNLNDADVRSILASEQQSILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRASELDFAVK >LPERR11G11830.1 pep chromosome:Lperr_V1.4:11:12871238:12872720:-1 gene:LPERR11G11830 transcript:LPERR11G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSVVVSAKLGSAAVLSSWKGNKISSKNLISMRTTARRGLVSLRSPRFRVFCAAKSETVGKVMQIVKQQLALGDDAKVAPESQFTDLGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVADKPPA >LPERR11G11840.1 pep chromosome:Lperr_V1.4:11:12889410:12891974:1 gene:LPERR11G11840 transcript:LPERR11G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALAVLVLILVMKSTTPAVEAACSVSAIYSFGDSIADTGNLLQEGAVGTFASIGSYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGASFESGVNFAVAGATALDRTYLLQSGIMMPPASVPLSSQLDWFRSHLNSTCSSQQDCEKKLSGALFLVGEIGGNDYNYAFFQGRSIEAMKAYVPQVVRSIMDVAKEVIELGATKIIIPGNFPIGCSPSYLSLFSTAISGDFDDRGCLKSYNSFAMYHNDQLQAAIDDLRKVNSDISIIYADYYGAFMHLLQKAYLLGFEESLLFKACCGAGGKYNFDMNLMCGAVGTSACPDPASHVSWDGIHLTQQAYKAMALSLIMEGFAQPANAVQKIWSC >LPERR11G11850.1 pep chromosome:Lperr_V1.4:11:12901301:12910279:1 gene:LPERR11G11850 transcript:LPERR11G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDELVKLLGEEDDVLKKNLQVSIVGPGGLGKTTLANQVYNTIGGNFKHRAFVSVSQNPNMMNVLRDIHRQVIIPRFIDEPKEQLRESAPNMLHELRWIAEGFIPQERGYTPYEIGERCFLELINRPLIQPVKTNAFGEVKTCQVHDIIIDSIVSKSIEDNFVTIYVTVFENFVKLPPLLEFKILRVLDIEGCDLSEEHHRLAAIGMLSQLKEIRWITVLRNIESKGNKITELPASIVQISQLINLLIDGEVKLPDKIGDMLSLQELRLINVLKQSDNFWQELSKLTNLRDMSLSLESDGANDAERWNVCTENMVSSAIREQDVSILGSIPALVRLVLYVQNEQEKQQQQQQKKREIKDKKKSKEKKTDKEEDVEEGEMSEQLEKLENLKDKKMIMMKEEERNNEELEGRTRKRREEHDTNAATGLSSNSRRRQPKQEQDVSPKRRTSNRGQLKISVSHGFQCLRYLKIDGTDPRVLGLEFGAGSMPNLEELVLMFKAARKFDETNEDFFFGINNLSHLVSITCEIDCFPEPEIMAEELEDTIRRAVRMHPNNPRLHIYKRSL >LPERR11G11860.1 pep chromosome:Lperr_V1.4:11:12922396:12929434:1 gene:LPERR11G11860 transcript:LPERR11G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRQEEEAAAPVVEIGGRWSSRESEDGGEVAAVLPKPLAAVVAGGRSRSNSPAISRNGVDNGAVNRPPSTGRSTFAPPVGVNIRPLQAVEMPNGAPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLELAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSDEIATLQKQLQSAKEKEAAAVQKLKESESETKSLRMMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSTRSGGDDLEETERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAQHHRPNVAELADPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLIYLWRRATTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDADQGIRELRRLGIEQLLWELSRREVNTTKEESSDVEDLT >LPERR11G11860.2 pep chromosome:Lperr_V1.4:11:12922396:12929594:1 gene:LPERR11G11860 transcript:LPERR11G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRQEEEAAAPVVEIGGRWSSRESEDGGEVAAVLPKPLAAVVAGGRSRSNSPAISRNGVDNGAVNRPPSTGRSTFAPPVGVNIRPLQAVEMPNGAPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLELAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSDEIATLQKQLQSAKEKEAAAVQKLKESESETKSLRMMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSTRSGGDDLEETERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAQHHRPNVAELADPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLIYLWRRATTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDADQGIRELRRLGIEQLLWELSRREVNSTKQELNSSKEEPENTTKEEQKNIKEEVNTTKEESSDVEDLT >LPERR11G11870.1 pep chromosome:Lperr_V1.4:11:12956498:12960183:1 gene:LPERR11G11870 transcript:LPERR11G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVSCRRPLAMVVAPLQLLLIAALLHLGSCNGGDRITRLPGQPEVSFGQYAGYIGVDDKGKRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQKLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTGHYIPQLAEVMVEYNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQVGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTTVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLEGRPLPETFS >LPERR11G11880.1 pep chromosome:Lperr_V1.4:11:12972174:12974796:1 gene:LPERR11G11880 transcript:LPERR11G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLRLVGEARRRAVTGAAAGGERWLSASAAAKGRLDGKIAIITGGASGLGKATAHEFLKEGAGAVIIADINTDLGHETAQALGPKAHFLRCDVTDEPSVAAAVDAAVSLHGRLDVMLTSAGVSGPLGPGASELASLDLPSLESVLRVNLLGSLAAVKHAARAMSAAGAGGSILCVSSVSGVMGGLGTYPYSVSKFAVAGVVKSAAAELSRRGVRVNCISPFAVATPMVVAQFRQMLAGGGGGEEEVDVEAVVRGLGELRGATCEADDVARAAVYLASDEAKYVSGHNLVVDGGFTSYKYMNLPMQERPQE >LPERR11G11890.1 pep chromosome:Lperr_V1.4:11:12979054:12980391:-1 gene:LPERR11G11890 transcript:LPERR11G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAILKSIDKLSDQIVVYLIGAMQSAKFENNLFPVWAIVLVSFHASFGYLSGYGISDRERQFMEAANVIKFIGAGVLTGTRDLNYAGDIYLIYGESNQDITLNRPQYTLNLSDSSAKSLVTLGKVRQFNWLPLKKTHGDDSLNKDSRDDMKDLIVSRIISPDFQGQRWRRPRQLIGHSGSLNWSLHLSETTYLYTLYHIASSLSQYCAYLQVFRSELLPDSFLVPEVVFVETLKHTREQLEGCNLKWCRYTKLIEIAQKVAPASVDEMLQMNIVQQGAILAKELIDINDDEVCWKILAEVWADLIVHIAPSWNATDHKDNLESGGEFITLIWALLWHCGIEKSNLWHNEGENSSSRN >LPERR11G11900.1 pep chromosome:Lperr_V1.4:11:12989758:12990461:1 gene:LPERR11G11900 transcript:LPERR11G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLLMIFFACLLSCISNRGEAASCSVESIVVTQRATGVWAHGQPEYAVTVSNTCGCAQSDVQLACDGFKTTLSVDPSKLRQSDDGKLCLLNGGDPVVQGHDVTFSYAWMPKFEFTPVSSTVNCSIGDMMDGFVRS >LPERR11G11910.1 pep chromosome:Lperr_V1.4:11:12992378:12992825:-1 gene:LPERR11G11910 transcript:LPERR11G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKLKIISAFLLLACLCSRGEAVCSLSDLVVTQTGSHVTVENRCICTQTNMKLSCAGFDESAVRGEPGVISRDAGAGDLCTLVGGGPVTNDSSVSFDYAGKTSVSFTPVSSTINCS >LPERR11G11920.1 pep chromosome:Lperr_V1.4:11:13005989:13007732:1 gene:LPERR11G11920 transcript:LPERR11G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMSDAAAHAESASLLAAGRRPPLDAGEFRRQGRLVVDFIADYYARIDDYPVRPSVTPGFLTSKLPSTAPMTPEHDALAAALRDVRDLILPGSPRHFAHYPASSSNAGALGEALAAGLDLNPFTWAASPAATELEIVTDWLGKALHLPGRLLFAGGGGGTLMGTTCEAMLCAIVAARDAKLAVVGEERIGDLVVYCSDQTHFSFLKAARIAGIRRVNCREIPTSIQNGFELTGESVRAAVDADVAAGRVPLFLCATVGTTATAAVDPVAELGAAVEGRGVWVHVDAAYAGAACVCPEHSHVIAGAGDVADSVSTNPHKWLLANLDCCALWVARPAALVAALGTDDHVILKDADVADAVVDYKDWQVALSRRFRALKLWLVLRCHGTDGLRATVRAHMRMAAAFERMVRADERFEVPVPRRFALVCFRLVGVENEVNRRVLEAVNATGRAYMSSAIVGGMYVLRCAVGNTLTEERHMREAWSVVQEQASAVLATTGQHSMLRRNSF >LPERR11G11930.1 pep chromosome:Lperr_V1.4:11:13020384:13023090:1 gene:LPERR11G11930 transcript:LPERR11G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAHTLHAKEATHYISTRRTAIYGSGNATTPLVEEPVSPSARLMENFYIVVTVNDVLIGITYSALSRYYFRKSGDTRLSHMEHGTRASVDMINSGREEEVKLGNALGFIILPFHVGMHKDPLDYVRKAKKVVDRKKSSLEVVFTNLVAEVVFKLFGLKVEFCGHPVVFIAPSVYGPPEAQFPDCHELLDDFAESLRHIKDAALTLGN >LPERR11G11940.1 pep chromosome:Lperr_V1.4:11:13042944:13046815:1 gene:LPERR11G11940 transcript:LPERR11G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFNSAAMWMQDDGGGGGQEHQVTQGMELMPQAPPATGQDQQLMALAAGFRAPAPTLLDDDWYFDASGSGGVIGGGGVAHGSSMLLGLSTLSSVHGGAGGGHGQQQQFSMLNMGGGVAPAAPYDVTGFDLGGGVGGGGEMVGYIGGGNASNTSLLPVGNAGFLGSFGGFGTAASDFVGGGGFDMFDAGAVTGGSSSSVAQPPPPTPTPTPANTAPFSGRGKAAVLRPLEIVPPVGAQPTLFQKRALRRNAGEEDDDKKRKAAAADISGGAGDMALDGDDDDGLSIDASGLNYDSEDGRAGGEDSGRTVTAAGGGGGDAKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTNQQPRVEVRLREGRAVNIHMFCARKPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLLQSAGFHTMI >LPERR11G11950.1 pep chromosome:Lperr_V1.4:11:13055081:13061520:-1 gene:LPERR11G11950 transcript:LPERR11G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEVEAAAAAAAGVGGGEGDAAMARGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVSEQRMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQQEENGCTEEEAPTAPATHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVNPPPINPLPVPRTKRLRPNVTASPPDPSAVAKEAAPKVTVESEPNGMQRAFQTQENAAPKGSFGNSSELDTAQKSVMRSSGFDREKNNISTQRKLGSDGWMQMNRPENYSEMLSGFQPPKDVQTPQGFCSLPDQITPGHSNFWHTVNAQYQDQQGNHNMFPSSWSFMPPNTGLGLNKQNYSMIQEVGGLSQRAMNTKFGNGVYAAQPGRGIEQYSGAWFGHMIPSSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPVKSELLKSPSSVVYDGTPQTPGVEWRRQDVNEVEKCSDPSKTLKSLDAPQADSVPEKPLSCQQASRNMPCKSQGGSTRSCKKVHKQGIALGRSVDLTKFDGYEGLIAELDDMFDFTGELKGPNKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSVERGSVAREMRGCVSTSGIGISLGIGKVKMRSSNFIFLQ >LPERR11G11950.2 pep chromosome:Lperr_V1.4:11:13056318:13061746:-1 gene:LPERR11G11950 transcript:LPERR11G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQQEENGCTEEEAPTAPATHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVNPPPINPLPVPRTKRLRPNVTASPPDPSAVAKEAAPKVTVESEPNGMQRAFQTQENAAPKGSFGNSSELDTAQKSVMRSSGFDREKNNISTQRKLGSDGWMQMNRPENYSEMLSGFQPPKDVQTPQGFCSLPDQITPGHSNFWHTVNAQYQDQQGNHNMFPSSWSFMPPNTGLGLNKQNYSMIQEVGGLSQRAMNTKFGNGVYAAQPGRGIEQYSGAWFGHMIPSSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPVKSELLKSPSSVVYDGTPQTPGVEWRRQDVNEVEKCSDPSKTLKSLDAPQADSVPEKPLSCQQASRNMPCKSQGGSTRSCKKVHKQGIALGRSVDLTKFDGYEGLIAELDDMFDFTGELKGPNKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSVERGSVAREMRGCVSTSSLNSENC >LPERR11G11950.3 pep chromosome:Lperr_V1.4:11:13056320:13061520:-1 gene:LPERR11G11950 transcript:LPERR11G11950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEVEAAAAAAAGVGGGEGDAAMARGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVSEQRMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQQEENGCTEEEAPTAPATHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVNPPPINPLPVPRTKRLRPNVTASPPDPSAVAKEAAPKVTVESEPNGMQRAFQTQENAAPKGSFGNSSELDTAQKSVMRSSGFDREKNNISTQRKLGSDGWMQMNRPENYSEMLSGFQPPKDVQTPQGFCSLPDQITPGHSNFWHTVNAQYQDQQGNHNMFPSSWSFMPPNTGLGLNKQNYSMIQEVGGLSQRAMNTKFGNGVYAAQPGRGIEQYSGAWFGHMIPSSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPVKSELLKSPSSVVYDGTPQTPGVEWRRQDVNEVEKCSDPSKTLKSLDAPQADSVPEKPLSCQQASRNMPCKSQGGSTRSCKKVHKQGIALGRSVDLTKFDGYEGLIAELDDMFDFTGELKGPNKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSVERGSVAREMRGCVSTSSLNSENC >LPERR11G11950.4 pep chromosome:Lperr_V1.4:11:13056320:13061520:-1 gene:LPERR11G11950 transcript:LPERR11G11950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEVEAAAAAAAGVEASTNQVSEQRMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQQEENGCTEEEAPTAPATHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVNPPPINPLPVPRTKRLRPNVTASPPDPSAVAKEAAPKVTVESEPNGMQRAFQTQENAAPKGSFGNSSELDTAQKSVMRSSGFDREKNNISTQRKLGSDGWMQMNRPENYSEMLSGFQPPKDVQTPQGFCSLPDQITPGHSNFWHTVNAQYQDQQGNHNMFPSSWSFMPPNTGLGLNKQNYSMIQEVGGLSQRAMNTKFGNGVYAAQPGRGIEQYSGAWFGHMIPSSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPVKSELLKSPSSVVYDGTPQTPGVEWRRQDVNEVEKCSDPSKTLKSLDAPQADSVPEKPLSCQQASRNMPCKSQGGSTRSCKKVHKQGIALGRSVDLTKFDGYEGLIAELDDMFDFTGELKGPNKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSVERGSVAREMRGCVSTSSLNSENC >LPERR11G11960.1 pep chromosome:Lperr_V1.4:11:13081809:13090172:-1 gene:LPERR11G11960 transcript:LPERR11G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSAPTEGELVCYYLYRRAVNLPLPCNCITDVTILRHNLWDIVPIERHGKYLFMQKEIKFHGSHRSNRVTGNRFWRSSSKEKPIYYTLGDVASNLLVGMKCTPTFYYGKGCTAERTKWGMKEFRLADAGVLPCPTMRRATGDGSKLPYSMHRVSLFLLPFEMQGEGQGQFIYFLRQPPQIDPSIVVSSWGPVWQREVMNLLPELPWTYARGLRPLGPTRRTPGGVGPGVRQGGHTPQKGFRPPEATRHAPEEGTPRGLRGGHIPQKGFGPPEATRHAPEEGTPGGLRGGHVYNHDTPPAANHRV >LPERR11G11970.1 pep chromosome:Lperr_V1.4:11:13094139:13096013:-1 gene:LPERR11G11970 transcript:LPERR11G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVCITLVNGSTMHLPTGSKFRPTEGELVFHYLYRRAAQMPLPLDFIPNIDILRHNPWEIVPAQDKKNGKHFFTRKEYKHPGYRRRNRATGDGFWRSTGSEVPVYYKPSAGGDDMLVGMKRALVFHYGKSSSAEPTEWAMQEFRLAGTCLLQCPMKGQVTSGGSSNAENNAAGLSSALTQVVPDSSWLICRIYKKRQRAPQIIIPPAFGNAGEVMIPPAIGNASEQSQVRFIDFLGQAPRARPSSSACSIALSFEGSDESTD >LPERR11G11980.1 pep chromosome:Lperr_V1.4:11:13096928:13098802:-1 gene:LPERR11G11980 transcript:LPERR11G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVCITLVNGSTMHLPTGSKFRPTEGELVFHYLYRRAAQMPLPLDFIPNIDILRHNPWEIVPAQDKKNGKHFFTRKEYKHPGYRRRNRATGDGFWRSTGSEVPVYYKPSAGGDDMLVGMKRALVFHYGKSSSAEPTEWAMQEFRLAGTCLLQCPMKGQVTSGGSSNAENNAAGLSSALTQVVPDSSWLICRIYKKRQRAPQIIIPPAFGNAGEVMIPPAIGNASEQSQVRFIDFLGQAPRARPSSSACSIALSFEGSDESTD >LPERR11G11990.1 pep chromosome:Lperr_V1.4:11:13113226:13118993:1 gene:LPERR11G11990 transcript:LPERR11G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEETGAGGVPGGGGGGGGTGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNFFNLRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPGGFPNNWSGATHCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKLESSYSIDDQ >LPERR11G12000.1 pep chromosome:Lperr_V1.4:11:13124698:13125348:1 gene:LPERR11G12000 transcript:LPERR11G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGLTVGSPEELRAVAEKASASESEDGLRVAVSGVRVSQAQELLTLIRDHVEAKLWGVDDEAASMAALKAAADGAARIVALSDALDAVMRDTEDRIPVPATALLRELACAVGSQKPPPFLPNRYTLAGYDALEIGKQAEAISFFRFSSSGDHRFRQKGLESSVWYFRQTAPKARARMDLLLGMTIPFEDPPIVIHILNASTWEARYYSNSQENM >LPERR11G12010.1 pep chromosome:Lperr_V1.4:11:13136454:13138006:-1 gene:LPERR11G12010 transcript:LPERR11G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEAKLSRQALVLCITGNMPASNSRVVNRAVWAFPKGNFLMVCRSWDLRNRILADSPFTAEDIDFNVLPWSRRTQAESTKWRYKARICMEGIPAHAWSKETVAHLLDDGCLVQDIDDACLCVWVKTEDPDLIPRAVHLQLEETVLPPSPLLHYPDLGIEEPVPEFDYSLHSHSLKQAGVRSGPLSLSTSGRATPADGDQGDSQALSCQEQPGWVVSDVLQITQESAPDLMRDEAAILQIRPAAEGGEQQNQVFEKIPPPLITSPPRKGRKPGAIKTSPFRLSCGTDRKSERLGKKTAARTKVTTEERAQEVLMKKSGCVAADAQPDAEQPLSPEIIEAFSALVAGCGLGSEKKKNNAV >LPERR11G12020.1 pep chromosome:Lperr_V1.4:11:13154396:13158804:1 gene:LPERR11G12020 transcript:LPERR11G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADSNHRLIAGAALLVATLVFIKLLLKSLAGGNKRLPPTIPAAPLVGGLIRFMRGPIPMIREEYARLGSVFTVPIISRKITFLIGPEVSAHFFKGNESEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDQARKRLKEIFSTIIKSRKASGQSEEDMLQCFMDSKYKNGRSTTENEVTGLLIAALFAGQHTSSITSTWTGAYMMKFKQYFEAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTNDGKEYDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRFAPGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKNEVIVNFKRRKLVVDK >LPERR11G12020.2 pep chromosome:Lperr_V1.4:11:13155062:13158804:1 gene:LPERR11G12020 transcript:LPERR11G12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADSNHRLIAGAALLVATLVFIKLLLKSLAGGNKRLPPTIPAAPLVGGLIRFMRGPIPMIREEYARLGSVFTVPIISRKITFLIGPEVSAHFFKGNESEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDQARKRLKEIFSTIIKSRKASGQSEEDMLQCFMDSKYKNGRSTTENEVTGLLIAALFAGQHTSSITSTWTGAYMMKFKQYFEAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTNDGKEYDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRFAPGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKNEVIVNFKRRKLVVDK >LPERR11G12030.1 pep chromosome:Lperr_V1.4:11:13163359:13172690:1 gene:LPERR11G12030 transcript:LPERR11G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVRLAVLLLVAAAAVVEAVYIPYNTSAGVVKGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQNDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGEFYFEVDDTSPLVQDDPLLFDYNVQQRVNDFVDAALAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENEKWPLKTNDFFPYADDPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDHRTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLAIGYTQAEKLVSSSLSCLSQSGSKSHCLSQTTNFGQCPLLNITYCPPSETNLSQGKSLVVLVYNSLGWKREDVLRIPVLSDSIVVHDSEGREVESQLLPIANASLHMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASLSLMSTLHPSQGSENSNTQIGQGHLKLQYNAAGKLSLYSNSKSQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTNGQVPLTVLRGPILDEVHQQINSWIYQINRIYKGKDYVETEFIVGPIPVDDGNGKEVSTEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIEGKYYLKIDPQGEGSRWRRTFGQEIYSPLLLAFAEQDGGNWVNSHVTKFSAMDPTYSLPDNVALLTLQAGEHKELSALASVDLKRVFPDKKIGKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPIDPSKLIVELGPMEIRTFLVNFSQQSGKQLM >LPERR11G12030.2 pep chromosome:Lperr_V1.4:11:13163366:13172690:1 gene:LPERR11G12030 transcript:LPERR11G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGEFYFEVDDTSPLVQDDPLLFDYNVQQRVNDFVDAALAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENEKWPLKTNDFFPYADDPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDHRTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLAIGYTQAEKLVSSSLSCLSQSGSKSHCLSQTTNFGQCPLLNITYCPPSETNLSQGKSLVVLVYNSLGWKREDVLRIPVLSDSIVVHDSEGREVESQLLPIANASLHMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASLSLMSTLHPSQGSENSNTQIGQGHLKLQYNAAGKLSLYSNSKSQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTNGQVPLTVLRGPILDEVHQQINSWIYQINRIYKGKDYVETEFIVGPIPVDDGNGKEVSTEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIEGKYYLKIDPQGEGSRWRRTFGQEIYSPLLLAFAEQDGGNWVNSHVTKFSAMDPTYSLPDNVALLTLQAGEHKELSALASVDLKRVFPDKKIGKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPIDPSKLIVELGPMEIRTFLVNFSQQSGKQLM >LPERR11G12030.3 pep chromosome:Lperr_V1.4:11:13163405:13172690:1 gene:LPERR11G12030 transcript:LPERR11G12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVRLAVLLLVAAAAVVEAVYIPYNTSAGVVKGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQNDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGEFYFEVDDTSPLVQDDPLLFDYNVQQRVNDFVDAALAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENEKWPLKTNDFFPYADDPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDHRTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLAIGYTQAEKLVSSSLSCLSQSGSKSHCLSQTTNFGQCPLLNITYCPPSETNLSQGKSLVVLVYNSLGWKREDVLRIPVLSDSIVVHDSEGREVESQLLPIANASLHMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASLSLMSTLHPSQGSENSNTQIGQGHLKLQYNAAGKLSLYSNSKSQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTNGQVPLTVLRGPILDEVHQQINSWIYQINRIYKGKDYVETEFIVGPIPVDDGNGKEVSTEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIEGKYYLKIDPQGEGSRWRRTFGQEIYSPLLLAFAEQDGGNWVNSHVTKFSAMDPTYSLPDNVALLTLQAGEHKELSALASVDLKRVFPDKKIGKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPIDPSKLIVELGPMEIRTFLVNFSQQSGKQLM >LPERR11G12040.1 pep chromosome:Lperr_V1.4:11:13177085:13182414:1 gene:LPERR11G12040 transcript:LPERR11G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSPPRKRPRDPPADDGGGGGMSSPPPAGYIFMCSGATKPECYARRVMGVPRGRLAAVSRIRRGAALFLYDFGSKHLHGPYRADSDGGLDLVPAAFQGRFPAQVKFTIDGDFMPIPESSLKSAIKENYTNGKFSPELSLTQVEKLRTLFHPIIVQPEPGLAHNFDDKHPAPPADLPPPISHPTQLADYCSMSPTAPSLACNRPDPLVEDDENGCPSGSSKSSPAGYIFMCSGVTKVECYRHRVMGLPLGSLEAVSRIRRGTTLFLYDFDAKHLYGPYCADSNGGLTLVPDAFHGRYPAQVKFTVEGDFMPIPESSLRIAIKENYSNGRFNPELTLTQVKKLRTLFRPIIVMTESSLYHNDDRHPAHPAVYLPPASHPTEPAAYVHHQTSYIPPTALPVPPESYAHPYAQMPPPNEFTTPYYMSTSEYPYKAEHTTYSSLASNYQYAQAPQSHYPYSHQSVSSHVSAPGYYTAPYYATHQIGTHPVDQGSYRLESGRTTYGSEHEVARISGATAAPDAAATNSELACNSGAATTNLQLVRNYGYVPSSMIGAAAHSSEGSQFQQATSYITHAPGTYTYGGSSTIYY >LPERR11G12040.2 pep chromosome:Lperr_V1.4:11:13177085:13182414:1 gene:LPERR11G12040 transcript:LPERR11G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSPPRKRPRDPPADDGGGGGMSSPPPAGYIFMCSGATKPECYARRVMGVPRGRLAAVSRIRRGAALFLYDFGSKHLHGPYRADSDGGLDLVPAAFQGRFPAQVEKLRTLFHPIIVQPEPGLAHNFDDKHPAPPADLPPPISHPTQLADYCSMSPTAPSLACNRPDPLVEDDENGCPSGSSKSSPAGYIFMCSGVTKVECYRHRVMGLPLGSLEAVSRIRRGTTLFLYDFDAKHLYGPYCADSNGGLTLVPDAFHGRYPAQVKFTVEGDFMPIPESSLRIAIKENYSNGRFNPELTLTQVKKLRTLFRPIIVMTESSLYHNDDRHPAHPAVYLPPASHPTEPAAYVHHQTSYIPPTALPVPPESYAHPYAQMPPPNEFTTPYYMSTSEYPYKAEHTTYSSLASNYQYAQAPQSHYPYSHQSVSSHVSAPGYYTAPYYATHQIGTHPVDQGSYRLESGRTTYGSEHEVARISGATAAPDAAATNSELACNSGAATTNLQLVRNYGYVPSSMIGAAAHSSEGSQFQQATSYITHAPGTYTYGGSSTIYY >LPERR11G12040.3 pep chromosome:Lperr_V1.4:11:13177085:13181905:1 gene:LPERR11G12040 transcript:LPERR11G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSPPRKRPRDPPADDGGGGGMSSPPPAGYIFMCSGATKPECYARRVMGVPRGRLAAVSRIRRGAALFLYDFGSKHLHGPYRADSDGGLDLVPAAFQGRFPAQVKFTIDGDFMPIPESSLKSAIKENYTNGKFSPELSLTQVEKLRTLFHPIIVQPEPGLAHNFDDKHPAPPADLPPPISHPTQLADYCSMSPTAPSLACNRPDPLVEDDENGCPSGSSKSSPAGYIFMCSGVTKVECYRHRVMGLPLGSLEAVSRIRRGTTLFLYDFDAKHLYGPYCADSNGGLTLVPDAFHGRYPAQVKFTVEGDFMPIPESSLRIAIKENYSNGRFNPELTLTQHEVARISGATAAPDAAATNSELACNSGAATTNLQLVRNYGYVPSSMIGAAAHSSEGSQFQQATSYITHAPGTYTYGGSSTIYY >LPERR11G12050.1 pep chromosome:Lperr_V1.4:11:13182645:13185379:-1 gene:LPERR11G12050 transcript:LPERR11G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTELGETSAGGGEGEITYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGSFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPSLHPMMLGNERDDQHMDSPYLFAVTLVTLLCLKVTYLTWHVFLSIHVRLMKKHVLPLHVFINWHYRMKNSNCM >LPERR11G12060.1 pep chromosome:Lperr_V1.4:11:13186363:13189607:-1 gene:LPERR11G12060 transcript:LPERR11G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPNTRSTPPPHARCPVLAEPTADTSPDRRLAIARDLDAPTHSPHPPPSRGVAVDHFISRRRRFILLLRLLHPSSPSVPAWHSPPSRRRSSSSTPRPNADEALPPSTLCPDAPPIPVVASLHRP >LPERR11G12060.2 pep chromosome:Lperr_V1.4:11:13186363:13189607:-1 gene:LPERR11G12060 transcript:LPERR11G12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPNTRSTPPPHARCPVLAEPTADTSPDRRLAIARDLDAPTHSPHPPPSRGVAVDHFISRRRRFILLLRLLHPSSPSVPAWHSPPSRRRSSSSTPRPNADEALPPSTLCPDAPPIPVVASLHRP >LPERR11G12060.3 pep chromosome:Lperr_V1.4:11:13186363:13189607:-1 gene:LPERR11G12060 transcript:LPERR11G12060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPNTRSTPPPHARCPVLAEPTADTSPDRRLAIARDLDAPTHSPHPPPSRGVAVDHFISRRRRFILLLRLLHPSSPSVPAWHSPPSRRRSSSSTPRPNADEALPPSTLCPDAPPIPVVASLHRP >LPERR11G12070.1 pep chromosome:Lperr_V1.4:11:13193655:13194200:-1 gene:LPERR11G12070 transcript:LPERR11G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPAAAAITHVLHNKQEFPLATTLDDILLLSIASTTSTSTTAAWNTPMPAETRSPSPRELARVTAVGSNYVHIQAASTAAGEMMSQRSVEAALFSGRRMSERTNSEKVDDVAAELVKEYERRRRRCSTMMLPNVVIKQVTTRAVDVVGDHVVVREHGEVGVVNAGVDVIVRLPPIAEDLK >LPERR11G12080.1 pep chromosome:Lperr_V1.4:11:13194254:13194614:-1 gene:LPERR11G12080 transcript:LPERR11G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMRGPDGRPSSSPAASVRTGLAPGGRRGGVWSRLFRFGGGGGGARNKAFRRVFGDATLKDTVAPLLVPCYDLATSAAFMFSRADAVETDAFDFALRDVCAATCGTTVRR >LPERR11G12090.1 pep chromosome:Lperr_V1.4:11:13203804:13206412:-1 gene:LPERR11G12090 transcript:LPERR11G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEMQPTPMRNGPIASATGINNSPYQCGDDSHCAGIVESKVQLPEDILHHIHAFMPMQDAARAACASRCFLRSWRFYPKLNLNVNTLCIEKDARSEDEITRDFISRVDPIMLNHAGTGVKMFKLFTYPCSSLHPSYVDRWLQIAVAPGIKEFGLKIYSDSKMDYDFPCSLINMTKLIQNLLLITLQIELPAIRHDSILEDLNGGSFHPRVISEYHHDNLKSMMITGFCSAKSMINLTYHILENTSSLEYLTLDTTRGYDRRYEKIDKCLAMSREAILEAEKALRAIRIYVEGRVPSSVNLKVIEPCSKCHTNSS >LPERR11G12100.1 pep chromosome:Lperr_V1.4:11:13210893:13213133:1 gene:LPERR11G12100 transcript:LPERR11G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQLQAPSSLPRAPAPLRSNFSAPLSQSVAAVAPRRGARAAARITMRFGVASKQAYICRDCGYIYNDRTPFDKLADNYFCPVCGAPKRRFKPYEPKVSKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >LPERR11G12100.2 pep chromosome:Lperr_V1.4:11:13210911:13213133:1 gene:LPERR11G12100 transcript:LPERR11G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQLQAPSSLPRAPAPLRSNFSAPLSQSVAAVAPRRGARAAARITMRFGVASKQAYICRDCGYIYNDRTPFDKLADNYFCPVCGAPKRRFKPYEPKVSKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >LPERR11G12110.1 pep chromosome:Lperr_V1.4:11:13213590:13220764:1 gene:LPERR11G12110 transcript:LPERR11G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAKADTAEEMEAKIRKEKKDGVVKEVIRLERESVIPILKPKLTMKLAYLIEQDKDRAEFMKLCRRVEYTIRAWYLLQFEDLMVQLYALFDPVNGAKSMEQQSLTPDEIETLELNFLTYLFQVMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPAFADKYIVFRRGIGFDKTTDYFFIEKVDVIISRVWRSLLRVTRIDRLFSKKQKLRPQKDTKKTDEVNEVVEEQDFSVERIRLEKMELSIKNLLSPMTIQEPTFERMIVVYRKASTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVIGLVTLIGSLEMPKADVWVVIAILSGLVGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATIQDLDSRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGTTTEEMVMRAQQAPAGS >LPERR11G12120.1 pep chromosome:Lperr_V1.4:11:13218971:13220718:-1 gene:LPERR11G12120 transcript:LPERR11G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRRALHLRRVLPSPSRASALLPAASSSSPTHRILSGFTTAATTQQNSASTTTIDLSSVESRRRLINRLVYRSKQRGFLELDLVLGSWVEQHIHSMDEANIRALLQVLDLENPDLWKWLTGQEQPPEAVNTNPVFVAVKSRVTDNLSKHSSPETRSAPGQPWVRGWDDKRGLDGPKYGNQ >LPERR11G12130.1 pep chromosome:Lperr_V1.4:11:13221495:13228542:-1 gene:LPERR11G12130 transcript:LPERR11G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTSVGQAAFFVVWAALIAADVAFFFSASLSSAASASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRQSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFTSWASVCDLLLLFFVPFLFQLFASTRGALWWITRDVRTMDQIRMSNGLVALIVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWVAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLLCYIENRLFNYATMYYFGFDAEIMYPSYMVLITTFLGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSRSVLSCYLLSLPPCFFTGVACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYQSEFIKAAHISDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKTFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAVDRGAIHGPPGRSSAFPPKSRLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLIVNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISVYLVLTALYRIWEETWPGSGGWALDIGGPGWFFAVKNVALLMATLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPAIIMTDILTVRVLGLLGSDVLSLRSP >LPERR11G12130.2 pep chromosome:Lperr_V1.4:11:13221495:13228542:-1 gene:LPERR11G12130 transcript:LPERR11G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTSVGQAAFFVVWAALIAADVAFFFSASLSSAASASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRQSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFTSWASVCDLLLLFFVPFLFQLFASTRGALWWITRDVRTMDQIRMSNGLVALIVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWVAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLLCYIENRLFNYATMYYFGFDAEIMYPSYMVLITTFLGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSRSVVWVSAVLLLAVTPPLLLYRDKSKGGSRMKVWQAYFHACVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYQSEFIKAAHISDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKTFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAVDRGAIHGPPGRSSAFPPKSRLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLIVNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISVYLVLTALYRIWEETWPGSGGWALDIGGPGWFFAVKNVALLMATLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPAIIMTDILTVRVLGLLGSDVLSLRSP >LPERR11G12130.3 pep chromosome:Lperr_V1.4:11:13222186:13228542:-1 gene:LPERR11G12130 transcript:LPERR11G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTSVGQAAFFVVWAALIAADVAFFFSASLSSAASASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRQSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFTSWASVCDLLLLFFVPFLFQLFASTRGALWWITRDVRTMDQIRMSNGLVALIVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWVAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLLCYIENRLFNYATMYYFGFDAEIMYPSYMVLITTFLGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSRSVLSCYLLSLPPCFFTGVACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYQSEFIKAAHISDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKTFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAVDRGAIHGPPGRSSAFPPKSRLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLIVNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISVYLVLTALYRIWEETWPGSGGWALDIGGPGWFFAVKNVALLMATLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPAIIMTDILTVRVLGLLGSIYTLSQYAISRRIRLAGMKYI >LPERR11G12130.4 pep chromosome:Lperr_V1.4:11:13222186:13228542:-1 gene:LPERR11G12130 transcript:LPERR11G12130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTSVGQAAFFVVWAALIAADVAFFFSASLSSAASASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRQSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFTSWASVCDLLLLFFVPFLFQLFASTRGALWWITRDVRTMDQIRMSNGLVALIVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWVAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLLCYIENRLFNYATMYYFGFDAEIMYPSYMVLITTFLGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSRSVVWVSAVLLLAVTPPLLLYRDKSKGGSRMKVWQAYFHACVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYQSEFIKAAHISDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKTFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAVDRGAIHGPPGRSSAFPPKSRLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLIVNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISVYLVLTALYRIWEETWPGSGGWALDIGGPGWFFAVKNVALLMATLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPAIIMTDILTVRVLGLLGSIYTLSQYAISRRIRLAGMKYI >LPERR11G12140.1 pep chromosome:Lperr_V1.4:11:13228668:13229330:1 gene:LPERR11G12140 transcript:LPERR11G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANATAARRIGVLTRRAERFRERAKQLRSAEEELRALEIPEEEEELRAPAVEEEEELRARDVPEGRIPSEVARVLANIDMGIDMEENSFAYLRRWLKVLAGRDEQFVVGREGPPTEVQDFINSLEEDEEGKFEEKVRLNARQVDEVKEVWRHLNGVDHEDFRALDSACRGYTTVQRFGEPIGEANELLRIIRSSGWVSALETRANQLDAEAESLQATLT >LPERR11G12150.1 pep chromosome:Lperr_V1.4:11:13234132:13234685:1 gene:LPERR11G12150 transcript:LPERR11G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVFNDFPVRSVEDILAVESRLGSPLPPLTRVVVVGLDRLDAAQEVYRVLEHAGSSCGWVIKGMDEVAEAVEMRRVLTRRKHQLMRILHLISAVDPSDLRARAGAARGALRWTSPGAAAAGDGVITPDQCRDLSSMASRVSWAARLAHLRVTAVRWYLEALIRGTLTALHSEVVFRDDE >LPERR11G12160.1 pep chromosome:Lperr_V1.4:11:13235995:13236496:-1 gene:LPERR11G12160 transcript:LPERR11G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYLRFATFLLYCVFIICLILNITLAGGSNRAFFFLVSCLLLVNQNLDLFCRIIYVSIPIDDIDVGETLPGNGGWTLDIGSLTDAKLLLTLPFNMSSVLGLLCAIYSLAQYTISWWIGISGMKYI >LPERR11G12170.1 pep chromosome:Lperr_V1.4:11:13236668:13238129:-1 gene:LPERR11G12170 transcript:LPERR11G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSSAKYIGAALQLLIWFNKTVVLCRTVMLGGFICIRVGITLGINILVRYFMQAVVPYPLATIVFAAVYIVSSSTHLPSESSTMVLPWVFSLLIALFPVTYLPEGHLRAKNFADDDEAEIH >LPERR11G12180.1 pep chromosome:Lperr_V1.4:11:13243812:13247950:1 gene:LPERR11G12180 transcript:LPERR11G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLVELMIHILDNTPFLECFTLDTRIYGFKNEIRRCLALDNGLFLTIDDPIECELDRELFMSTSDLVEACRARQVIRRYIEGKVPSTQPRTRDFDLAPPLTGLTSTSAAAAVGLASRLPYRFLPWCGAEAMEPEDQLTLPEDILRKIHALMPLADAARAACSSRVFLDSWRCYPKLVFDMRTLSKDVFHRFDPFVPAKEDRLRDFIDRVDHIMQNHSGTGVKIFILRTQSIFSVCSSYLDRWLQVAISPGIKEFELHLPISNMMEYNFPTSLLSMENGRWIQSFYLSACSFDSSDKVGCLSSLKIIHLRHVNITGEDLSLFLSNSFNLKQLILSGCDHINSLKIPHLLSKLKILKVIGCNNLQTIEGNAPSLRTFYYSGPSIHILLSDPLKLKLIHIFGSRPNRLYYASTKLPFIAPNLKTLFLLSSYETVNTPTLVGKFLHLKHLEIVLLTPSQSPDYDFCSLVSFLDAAPSLKKFILRVELPALSNDSILELNQGDSSLKKRISEHCHKKLKTVMITGFCSSKTMIELTNYILEFAVSLKRLTLDTTRGYDMRLCESNTCLPLSKDALMEARKSILAIRTHIEKKVPSNIKFKVIEPCNEFHSEESCHK >LPERR11G12180.2 pep chromosome:Lperr_V1.4:11:13238531:13244297:1 gene:LPERR11G12180 transcript:LPERR11G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSKPQLRRWRPRKGEPSVWAGGSSTSQAKEKIATKRKEFPLQRDDCSSCDKRTRLEISSLPEDLLPMILSHVPMRDAARAACVSRAFLHSWRYYPYLIFNAETLALNQKNTNDRTPMDFITVVDNIMRNHSGVGVKTFKLELDHGYAVHPSHLDRWLKAASTLKIKEFAFELPLGSKTEYNFPYSLLFSDNHTGNSVQSFCLSSCAFHPAVRFDCLRSLMSVHLSWVDITGEELACFLSNSFNLQSLEIPRCCKISFLKTPIVLQQLNCLQVEQCSRLHMIEINAPMLSRFHYRGPLIEISLGDSIQLKDVNVLCYPWPCMFHYARTKLPTIARNIENLFVMTCDEDVQTPMVSSKFLHLKYLEMVFIGPRKKSPACYDFFSLVSFLDASPALETFILHLDSVGTMNDCILGGSSELRKLHKCITTTT >LPERR11G12190.1 pep chromosome:Lperr_V1.4:11:13252467:13260556:1 gene:LPERR11G12190 transcript:LPERR11G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVESDSFTASDISALHVATLDSICEDLELALAVAEGSSLVQEVLSLLTKAREVAVEGHAIANLVMEEQQLLLEGAATDEQAAIEDDSDTDEEETDTEDDDKTGTADDTDTDDEGEIRMAVFLEDIICIMKDIDIRFRFDRDWKKIEAYVGSKIVIQSNSRAHDFVPRFMQMLPGPQEFGFGPFFFWIQLATHSTSSTRSLTLVAAVALPASSPSFCQRRPERREIPQRRGYETPPTTYPLLVCSAPLPRVGVPGQQIWLSGAEGRPAVLEGRGGARRAQPTALSWDTYRHIADLSHGKLQNNGVKMKMMVQLEVVQYGRHEASVSAF >LPERR11G12200.1 pep chromosome:Lperr_V1.4:11:13264113:13265278:-1 gene:LPERR11G12200 transcript:LPERR11G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQVAGEIMSFFTRNNFTVSDRGEVVTFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >LPERR11G12210.1 pep chromosome:Lperr_V1.4:11:13276815:13277021:1 gene:LPERR11G12210 transcript:LPERR11G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSHRKLEDKFSECQAIILSLRHAAKGYAVVDNNSDFRFAACKAGGIYLGVADLPEAIYQGFGLASF >LPERR11G12220.1 pep chromosome:Lperr_V1.4:11:13281574:13285353:1 gene:LPERR11G12220 transcript:LPERR11G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTADDLRRRLFMFSLVSHQQVYGRGGTVGEGMTMYLMFVKPEATTPSGTKVRTAVTSFLNTGAFRGTSPESRHWRELCHDIRTGGAPELVDTVAADSPAPSWRGIVRRLSPIAKYIEAIMTGSMAQCVPMLEF >LPERR11G12230.1 pep chromosome:Lperr_V1.4:11:13285376:13291292:1 gene:LPERR11G12230 transcript:LPERR11G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGLSESYLGINFRPLDLPDDVAYTLIHNMCYFVFIKVDDGDDTVCGRCGTVGEGTTMYLMFTKPKVTALSGTKVRTAVTSFLSTGAFRGTSPESAIWCANVDESMYAQLLCGLVRRDEVVCVGAIFATVILRAVTFLERIWRELCRDISAGEARHGVLSDPAGRDAVNAIVARPDPELADAIAAECSAPSWRRIVRRLWPRAKYIEAIVTGSMVQCVPMLEFYGGGLPLVSTLYGSSESYLGINLRPLDPPDDVAYTLIHNMCYFELIVTTYLHRYRHRQRRRGARPV >LPERR11G12230.2 pep chromosome:Lperr_V1.4:11:13292372:13293902:1 gene:LPERR11G12230 transcript:LPERR11G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTVVTSFLNAGAVHGTSPEAAIRCTDFEESMYAQLLCGLVRRNEVVRVGTVFATAFLRAITFLERHWHELCHDIGTAGARYGVLSDPACRHAVNAVVARPDPELADAIAAECSAPSWRGIVRRLWPRAKYIEAIVTGSMAQCVPMLDFYGGGLPLVSMVYGSSESYFGINIRPLDPPDDVAYTLIPNMCYFEFIKVDHDHDTVSGFHNAAPQFRFVRRRNVVLSVDADKTTEDDLHRAVVAAAKRLLEPPLGFVLIDYTAYADASSVPGHYVLFCELTPPAPRNDDVVARVMVACCGAVEEGLDAMYRQLRGRRDIGPLEIRVVSPGAFDALMDARVARGASVSQYKTPRCAARAEDVALLDALVVNRFFSDASLAT >LPERR11G12230.3 pep chromosome:Lperr_V1.4:11:13291214:13292652:1 gene:LPERR11G12230 transcript:LPERR11G12230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPNQTMDASATHDHDDGGIIKLAALAPAPPSLDLIEELTTHAGAIQRRLLKDIVDTNAGTEYLRRYLAVDGDDDLAAAFKQRVPVVEYEDIKPFVDRIARGGEDSSLLVSSAPITEFLTSSGTSGGERKLMPSTADDLRRRAFMSSLVSPLINR >LPERR11G12240.1 pep chromosome:Lperr_V1.4:11:13312031:13317763:1 gene:LPERR11G12240 transcript:LPERR11G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSESPEHDHDAAAASSPAPAPVSLPPMIPACDPHDGPACLELIETLTTHAGAVQRRVLAEILAMNAGTYYLRRFLAGDSDGDGGDDLAAAFKRRVPVVEYEDVKPYIERIANGAPSSLISSKPITELLTSSGTSGGQPKLMPATEEELDRKTFLYNLLVPVMNKYVKGLDEGRGMYLLFVKPEVTTPSGLTARPVLTSYYKSRHFRQRPDSPYTRYTSPNEAILCADSCQSMYAQLLCGLARRDEVLRVGAVFASAFLRAIKFLESHWRSLCRDIRAGHVDRDAVTDVDLRLAVDQVIGDGGGGGKPELADAIEGECVGDSWEGIVRRIWPRTKYIDVIVTGSMAQYVPMLEFYGGGLPLVSTMYASSESYFGINLRPLDPPEEVVYTLLPNMCYYEFIRVEKDGDGEEKEIAGGEVVGLVDVEVGGYYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTSAKPLLSSRLGCVLAEYTAFADTSSIPGHYVLFWELTPSLPSQQAEFSRVMADCCVAVEAGLDAVYRRCRSRDRSVGPLEIRAVSPGAFDALMDLCVSQGSSVNQYKTPRCIKHPDAIAVLEQRVVGRFFSDAVPHWEPLKVDATDNAAVDAGDN >LPERR11G12250.1 pep chromosome:Lperr_V1.4:11:13319429:13321469:-1 gene:LPERR11G12250 transcript:LPERR11G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGLLRRRGLLAMNSRLAGDVGDRRSSMSRAGRIGRRSRIFCSAANATDTAQPRRRAAMLAIGTANPPNSMSQDEYADWYFRVTNSDHLTNLKHKLKKICKHKTPKISPSKSPKRSKSGIRKRHFYHGDDTFRDHPELAVRGAPSLDARQDILRTAVPELAAAAAARAIDEWGRPASDVTHLVFANLSGVSVPGHDLRLASLLGLRPSVQRTSMYFHGCSAAAAALRVAKDIAENNPGTARVLVACAELSLFMFRAPTPTTQRDSTLVVQALFADGAGAVIVGAGDDTDGERKVFDIMSAVQTVLPDSEGGADGQLYASGLVFQPSFKLPAMLRDRVEQCLAKGIGPLAVAAAGGGGGWNDMFWAVHPGGRAILDGVEEGLSLAPEKLEASRRVLSEYGNMSGAGLIFVLDELRRGGDLPPGGLGVMIGVGPGVSIETMLLRAPAA >LPERR11G12260.1 pep chromosome:Lperr_V1.4:11:13324553:13326745:1 gene:LPERR11G12260 transcript:LPERR11G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQSKQQRHSYILCNLCNWMDTMASPPAPEMIAIDAVSAQQHAAVLGIGTANPENCVRQEEFVDWYFRVTNSDHLTNLKAKMKRMCDKSEIKKRHFYHSEEMIASHHEFTNRESPSLDARLGIAKEAVPELAMAAAARAIADWGRPAADITHLVVATNAGAHAPGPDLRLAAHLGLRPAVRRIVLYLRGCSAGHDALRLAMDIAGGDAGARVLVACAEITLPAFAAPDGEDDADRLVVMALFGDGAGAVVVGAADDTGHPVEHPVFHLVSASQAIIAGTEERVTLQLGERGLRYRISGDVPALARDSVERCLVDALVPLDLAVAGDGDGGEGWSWNHLFWAMHPTGRAMLDGYEAALRLEPGKLAASRRVLREYGNLSGAAMIFVLDELRRRRHGGDGEEEGDRSGFCEWGAMVGIGPGLTIETMVLRATSGPDDGKKGAEAVQP >LPERR11G12270.1 pep chromosome:Lperr_V1.4:11:13341192:13342681:1 gene:LPERR11G12270 transcript:LPERR11G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLSCRRTMPAAAASAAVLAIGTANPPSFFPQEKYADWYFRVTGRDHLTELKAKMKRVCEKSGIKKRHFHLTEQLLADHPDFIDRAQPSLDARVDITATAVPELAAAAARKAIAEWGRPAEDITHLVVATSSGGMMAGADVRLAALLGLRPDVRRTLLFFQGCSAGSAALRVAKDMAEIGGAGARVLVACAELTSPVFRGIEENRLDGDGNTLITQSLFGDGAGHVAPIERPIFYMASAMQATIPGTEHVVGGQIRQGGVEYHISRELPSLVGEHIGRCLADAIAPLGIDIAGGGDGGWNDMFWAVHPGGRAILDSVEARFGLAPEKLAASRRVLGEFGNMTSATVIFVLDELRRAGGEDGCEWGVAVSFGPGITVETMVLRAVQ >LPERR11G12280.1 pep chromosome:Lperr_V1.4:11:13350987:13359711:-1 gene:LPERR11G12280 transcript:LPERR11G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSCPATSAAVLAIGTANPPSLFPQEKYADWYFRVTGREHLTGLKAKMKRICEKSSIKKRHFHLTEELLADNPDFIDRTQPSLDARLAMAATVVPELAAAAARKAIAEWGRPADDITHLVVATSSAGTMGADVHLAALLGLRPDVRRTLLFFQGCSAGAAALRHSTDTMDSMSTQELAQAESELYNHFFCYLKSMALKCAVDLGIPDAIHRLGGAAALPDIVAAVGVHPSKLPQVRRLMNVLTISGVFVSNGATDADAVVYELTRSSRLLKWFRAGGDGDTAAAKKSFFELTHGVDRWEKNREDGGDNDAFNLAMAADSRITMEIFVREAGAGVLRGVGTLVDVGGGHGNAARAIAAAFPDVKCTVMDLPHVVSEAAADETVEFVAGDMFEHIPPADAVLLKLILHCWEDDNCVKILRRCKEAISAKGPGGKVIIIDGVMGFGERDMVHKETAALADLYMMYINGVERDEQQWKKIFLEAGFSGYKVVAMLGLRSVLEVYP >LPERR11G12280.2 pep chromosome:Lperr_V1.4:11:13350989:13372863:-1 gene:LPERR11G12280 transcript:LPERR11G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSTDELAQAERELYSHFLSYIKSMALKCAVDLAIPDAIHRHGGAATLPDIVAAVGIHPSKLPQVRRLMNVLTLSGIFVSNSTIAGDAVVYELTRSSRLLVTGGDDGDESKAASHSLLLKFLVSPTNVSTFFAMSTWFTGGDGDIGAAKSSFFALTHGVDRWEKNSKDGNDNDLFNVAMVADTRITMEIFLREAGADVMRGVGTLVDVGGRHGQAAKAIAAAFPDVKCTVMDLPHVVSQAPADETVEFVAGDILSYTAGKMTTILRRCKEAISAKGPGGKVIIIDGVMGFGERDMVHKETAALADLYMMYINGVERDEQQWKKIFLEAGFSGYKVVAMLGLRSVLEVYP >LPERR11G12280.3 pep chromosome:Lperr_V1.4:11:13360060:13372863:-1 gene:LPERR11G12280 transcript:LPERR11G12280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSTDELAQAERELYSHFLSYIKSMALKCAVDLAIPDAIHRHGGAATLPDIVAAVGIHPSKLPQVRRLMNVLTLSGIFVSNSTIAGDAVVYELTRSSRLLVTGGDDGDESKAASHSLLLKFLVSPTNVSTFFAMSTWFTGGDGDIGAAKSSFFALTHGVDRWEKNSKDGNDNDLFNVAMVADTRITMEIFLREAGADVMRGVGTLVDVGGRHGQAAKAIAAAFPDVKCTVMDLPHVVSQAPADETVEFVAGDMFEHIPPADVLLLKSILHCWEDDDCIKILRRCKEAISAEGPGGKLIIMDVIMGSGQLGKVTKETLALFDLYMMCINGVKRDEQRWRKIFFEAGFSGYKLVAMLGLRSVIERA >LPERR11G12290.1 pep chromosome:Lperr_V1.4:11:13365889:13366457:1 gene:LPERR11G12290 transcript:LPERR11G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVPETKAIDAVCARQHAAMLGIGTAVPANWLAQEEYVDWFFRVTKSDHLISLSYLG >LPERR11G12300.1 pep chromosome:Lperr_V1.4:11:13367176:13369487:1 gene:LPERR11G12300 transcript:LPERR11G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDCGEKSSTRKRHFCITDEIIAAHPEFTNRSLPSLDARLAITEHAVLDLAAAAAANAIADWGRPTADITHLVLATSACAHAPSPDARLAKRLGLRPAVLRTSLLLHGCSGGCVALRLAKDIAGGDAGARVLVVSADTHLLAFAAPDEAHLETIVVNALFGDGAAAVVVGTTNPRIPDERPIFHMVSSSQTTIEGTEEEVVMRISERGLDYNISGDVPALVRGSIERCIVDAIAPLGLAAAAAGGGGGWNEMFWAMHPGGRAILDGYEEALRLEHGKLDASRRVLSEYGNMGGTTIIFVLDELRRRRREEEDGLRFCEWGAMVGLGPGLTIEIMVLRATGGPDDGKMMTADIQP >LPERR11G12310.1 pep chromosome:Lperr_V1.4:11:13375465:13376765:1 gene:LPERR11G12310 transcript:LPERR11G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSSRVLCSTTIATKPRTSTTILNDTVQPRQAAMLAIGTANPPNSMSQDEYADWYFRVTNSDHLTNLKHKLKKICRKSGIKKRHFHHGDDTFRDHPELAVHGAPSLDARQDILAAAMPELAAAAAARAIAEWGRPASDVTHLVFTTYSGMRMPGADIRLASLLGLRRSVQRTPMYFVGCHAAAAALRVAKDIAENSPGTARVLVVCAELTLMLFQPAQEHRVDTLVNQALLGDGAGAVIVGAGDAMGGERKVFDMVSAAQTVVPGSEDVADGQVRASGMVFRPSPKLPALVRDNVDQLMAERVVPGGPAILDAVEEGLALAPGKMDASRRVLSEYGNMSGASIIFVLDELRRRGDLPPGGLGVMLGVGPGISIETMVLRAAAA >LPERR11G12320.1 pep chromosome:Lperr_V1.4:11:13381785:13383678:1 gene:LPERR11G12320 transcript:LPERR11G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLSTEQLAEAERELYSHFLSYIKSMALKCAVDLAIPDAIHRHGGAATLPDIVAAVGVHPCKLPQVRRLMNLLTLSGVFLSSSNSAGDDAVTSYELTPTSRLLVSGGDGDGKASLSLLLKFLVRPTNVSTFFGMETWFRGGHGDDTTAAAAAKKSFFELTHGVDRWEKNREDGGDNDAFNVAMVADSRVTMEIFLREAGAGVLRGVGDLVDVGGGRGHAARAIAAAFPHVRCTVMDLPHAVGKAAADDEKVEFVAGDMFEYIPPADAVLLKSILHCWEDDNCVKILRRCKEAISAKGPGGKVIIIDAVMGSGQRDNVTKEALALFDVYMMYINGIERDEPHWKKIFLDAGFSGYKVVAMLGLRSVIEVYP >LPERR11G12330.1 pep chromosome:Lperr_V1.4:11:13397608:13399361:1 gene:LPERR11G12330 transcript:LPERR11G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANFPVTGGAAVLAIGTANPASIIPQEEYADWYFRVTGSDHLTGLKAKMKRIFEFSSEKSGIKKRHFHHTEQLLADNPDFIDRTLPSLDARLDITATAVPELAAAAARKAIAEWGRPADDITHLVVATTSGGGKLVGADVRLAALLSLRPTVRRTLLYLHGCHAGSAALRVAVDQAKSTTGARVLVACADVSLIAFRGPHEDGDVDTLVLQALFGDGAAAVIIGDVDPVNPVERPIFHVASAAQATIPATEHVVTGRIRQGGLDYHISRELPSLVGEHIGRCLADAIATAGVDVAAGDGGWNDMFWAVHPGGPAILDSVEKRLGLAAGKLEASRRVLSEFGNMTSATVIFVLDELRRGRGGEEGGECGVAVAFGPGVTVETMVLRRAVR >LPERR11G12340.1 pep chromosome:Lperr_V1.4:11:13432110:13435033:-1 gene:LPERR11G12340 transcript:LPERR11G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVDLKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDEAIERPLFQMVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALGDAFKPLGIDDWNSIFWVAHPGGPAILDQVEAKVGLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHLTTGEGMDWGVLFGFGPGLTVETVVLHSVPIAAGVAA >LPERR11G12350.1 pep chromosome:Lperr_V1.4:11:13456737:13460407:1 gene:LPERR11G12350 transcript:LPERR11G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTYSESVASCRAPSRSSGSHSPSSPATPAAAAVTPRSAVRRQLDFFSGGGGDEEEDEDDFLFRAAEETERNHLEAQRRASAPPPPXXXXAQRRASAPPPPPPPPPATAAFREKPCICGRGACNVEERESGRWEYVCPATPKCKYSVWCGEVDLCPIPGPAFMNHPCSPVLAISEVVLQLQLMLVPKGQGACSYRVLINAFVEESPQTVNNNNPLEGNNENRSINPDQPEYDEWPFEIVNNDVVCSGFLATAEPALRDGIVAGESSSTQNQSNSMAQVKTPTKSPVPAPYGSGIPFTPRSNCCFRCGEYGHWFKNCPKPASSPLSSPCFNCDELGHWRDNCPAIYDTRGY >LPERR11G12350.2 pep chromosome:Lperr_V1.4:11:13456737:13460407:1 gene:LPERR11G12350 transcript:LPERR11G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTYSESVASCRAPSRSSGSHSPSSPATPAAAAVTPRSAVRRQLDFFSGGGGDEEEDEDDFLFRAAEETERNHLEAQRRASAPPPPXXXXAQRRASAPPPPPPPPPATAAFREKPCICGRGACNVEERESGRWEYVCPATPKCKYSVWCGEVDLCPIPGPAFMNHPCSPGVFNSPGNYLAGATTPTPSNLVVYNVPRNHNVSNSPSNQRGGAATPVNASPQGTRSNDKRPICHCGAGKCIEKIIKGQKYYVCCIPKGQGACSYRVLINAFVEESPQTVNNNNPLEGNNENRSINPDQPEYDEWPFEIVNNDVVCSGFLATAEPALRDGIVAGESSSTQNQSNSMAQVKTPTKSPVPAPYGSGIPFTPRSNCCFRCGEYGHWFKNCPKPASSPLSSPCFNCDELGHWRDNCPAIYDTRGY >LPERR11G12360.1 pep chromosome:Lperr_V1.4:11:13471188:13474788:1 gene:LPERR11G12360 transcript:LPERR11G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWSCSWICPLEVIVQISKLFFSSKEKMAPSSPPARNMACARQGRLRQRYEGCYRLVSGCIPYMIKDVGENNSSMQDDHVLDRLQVLMISTPKRRDLIFPKGGWEDDESIEEAACREAFEEAGVKGVISGTPLGEWIFKSKSKQNSCGLEGACKGFMFALQATELLESWPEQTTHGRKWVPVEEAYGLCRYDWIREALDKLKEQLMFAGDDLSSSQSMELSAPESSASLYMVMPAVAEGAVALC >LPERR11G12360.2 pep chromosome:Lperr_V1.4:11:13471588:13474788:1 gene:LPERR11G12360 transcript:LPERR11G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPPARNMACARQGRLRQRYEGCYRLVSGCIPYMIKDVGENNSSMQDDHVLDRLQVLMISTPKRRDLIFPKGGWEDDESIEEAACREAFEEAGVKGVISGTPLGEWIFKSKSKQNSCGLEGACKGFMFALQATELLESWPEQTTHGRKWVPVEEAYGLCRYDWIREALDKLKEQLMFAGDDLSSSQSMELSAPESSASLYMVMPAVAEGAVALC >LPERR11G12370.1 pep chromosome:Lperr_V1.4:11:13475545:13481355:-1 gene:LPERR11G12370 transcript:LPERR11G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPPPPPPPTVEAHFADLCKDLGVDEGVAGEAAAVLADGKDALLASPSFGSRSPEDVEKLCFAFVLYCASKLNEAKAGSSGVRLWEILKGCKLKCDDFLKESQRLASKVDQVLGGQYGSDWEARLELKQLEILVNLLADASRFYRKAFNDLFLSTSTDQEPESTAKFPDYIRFGWLLFLMIRSKSPELFKDLVSCIHGLVAVLAILVVHLPSKYRSFTFEVKKTEKGVDLLASLCHNYHTSEDRLKEMIGKSYKMIEAFFSRKALNGLMYIKDLVDDEFFQSNLERLEKLSNTTGCEGELDPKVFLTSNDYLLIAENTSGGSSNLGCSKRVFETLASPTKTIKNMLAVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLRDVVSSSPDKPSSKLAQFLSSCDKDLTNDVTKRVSIILEAIFPTKSSVDRGASIGLNCANAFDVPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLTSEINCLGLLAEPMPSLDDIVARQNIHPESLPPTPSKKRPSGPDGNCDPQSPKRLCTESSNSLVECNLQTPPKQSQMGLSNLKRKCHPLQATFASPTVSNPVSGNEKCAVVGVQIFLSKILKLAAIRIRNLCERLRHEELTERVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVLGLALTFKEIVNNYKREPQCKPEVFRSVFLAGTNRNGGLGSRHVDIINFYNVVFVPMAKPFLVPSGTRPEDKKNTDSQIGSPKPSPFSNLPDMSPKKVSPSHNVYVSPLRQTKLDALLPPSSRSFYACIGESTQSLQSPSKDLAAINSRLNYSSRRINTRINFDKVSDAMVAGSLGQPNGGSASSDPTAAFSPLSKKSKTDS >LPERR11G12380.1 pep chromosome:Lperr_V1.4:11:13487810:13488307:1 gene:LPERR11G12380 transcript:LPERR11G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAYSLLRAASSAIPSRSATTATATAAKKKPAGDYVPVYVALGMIGVAVSLGLATAKQQLAHAPNVRLDKKKREAVPEVAAPEMAIDEADRFVRGSLFRKVAHVQDDAALRAGVVDDPVAAAEYPPARKAVTLKDAGVEPPGIEKGREGILEVLGRKKHEATA >LPERR11G12390.1 pep chromosome:Lperr_V1.4:11:13489297:13497991:1 gene:LPERR11G12390 transcript:LPERR11G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPIPRAAPPPNPHPLLLLLRPPALVRLHHLRLRLPPPRRARVAAAATATAAAASVAPDDIPQINHRLRALVRRRVASSSSSSSLAASSSFDPAQAEAYLSMIREQQRMGLRQLRRDDSAPEEEGESDDGGRRGRKGAAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFVCISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVGMDQFTAEFPYDPTPDQNQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIQVAMFSGAQTKEEKDELITKIKNGDLQIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTAIDDVLQYLQDSLPDVPIAVAHGKLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLEAIEEHSDLGQGFHVAEKDMGIRGFGSMFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLIPVPYKDVQMDVNISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLMQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKTIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKAELLVNLPDTLLLNWLFHCLADCYAVIPALVKY >LPERR11G12400.1 pep chromosome:Lperr_V1.4:11:13504132:13507477:-1 gene:LPERR11G12400 transcript:LPERR11G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRFLFKKKSPLPRSNLSDVSSSATSAAATTATVNTHRLYQVWRGRNRFLCGGRLIFGPDASSIVLTVSLIMTPLALFVAFVSFRLAALIGKPLGQLVPAAAIAVGAFDVIVLVLTSGRDPGIIPRNLRPPEPDYAVDGVASPASVVTGASGLSSPSRPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFGFCWANLLLIARQYSCSIGRAVVESPVSGFLIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKSNPYNRGAAANFAEIFFSPIPASRNDFRARVAVEHYYAAPAPSGQFYYSYSIGPLSSESKVSFNTRGSLSFDMAKASFDLAGYGGAGGYSAKRTSVAGVDDSSSTSSDFGDIYGAAEQKPRHSIFGAGAGAAERTKAADFDIATEFGHYGAAAAAGRPHGREFEAV >LPERR11G12410.1 pep chromosome:Lperr_V1.4:11:13508692:13512221:1 gene:LPERR11G12410 transcript:LPERR11G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLMPPLPRAPPTPDLSYITDRTDLSVDQKIEMIIMNHNTTPLPFDEETIIRYFKKYLAQRWKEDEEEGIVLDEDDEEDVLEELRLDEEQGVNKVVLGDEKDPEGTVKRPPQEEAPLEGEPVCKMPRAASPPQGEHNSKSPMV >LPERR11G12430.1 pep chromosome:Lperr_V1.4:11:13540909:13541898:1 gene:LPERR11G12430 transcript:LPERR11G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAGAGRRRVTVRSISCRGVKAFVPFQKPPLYVAVSLAGRREKTTADSDGGENPDWESAVFAFDLDAGGEGGGAAEIGDGMLQFEVKAQVPLLANKLVGKVSVPLADLAGAGGGHVSYQVCAPDGKANGKLSFSYAVTGGGEYQPPPPQDSTFYCAPPPLSPPSSAPYPSPAMASYPPLPSLSAPLLYPPPPPPDSHFQAQNFPPNSSYPPPPPHPSQYISSYPPPPQSQSNYYPPPPPAGYPTAANFPSPASTYPPPPAPPESGSSQYPPLPRTAPCCDSSVDRALPPSYMSPPVHDAYPPPATWQHDEAAGAPYNCYPQPGNRYL >LPERR11G12440.1 pep chromosome:Lperr_V1.4:11:13551007:13551867:-1 gene:LPERR11G12440 transcript:LPERR11G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWKSLVALHSSAPSCFPQPPAPSPCPSPPREPEKKNHAINSSPEKQPSPAVRLVGSDGKVRTYERPVTARELMQQHPRHLICRADALLIGEKIPAVDAGEELEPGKAYFLLPSHLFHSVLSFVSLASSLLLLLSAAGAGGASAAGGGKKQRPFELLRTDSGNLQIKFSDEFLLAADDKSSAAAAESTKPPVLAGDKKLEKEYEELVGYSKARRWSPKLETIQEIVAGAGAAVVSGDGGGSTTTATTKRSKGRSLPFLGRLGSRRRRETATTTTTINGSAVACSG >LPERR11G12450.1 pep chromosome:Lperr_V1.4:11:13551182:13552588:1 gene:LPERR11G12450 transcript:LPERR11G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRRRRGGGGGRTRGRRRRGRSGRGVMAGGSMLSPAPAPRRRPPPGSSPRSAARRRGKSGAAGAAASAPSPSPASRTSAPSRRSPPASPPVTAVSPATN >LPERR11G12460.1 pep chromosome:Lperr_V1.4:11:13564684:13568625:-1 gene:LPERR11G12460 transcript:LPERR11G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRRTPPPPRHLQLLLISLLAFTVPETAATPPRTSAGIASVTGMETKEAVSPKDVLTKEPISGVIALKGSMKYFDADFFNDSKLREMEDGAKEFNIPAFRNNRKLVALENGGLHNPSALVFKSSWSDEGKISEKKSFEYPHISSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTDVFLRRLRRYGPVIESVITVTDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQVLDIEAFVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIKEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSHEVAIEDPFHVDITQLTVGYLDSAEMKAVNVLAAMGIKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQREQHDEDYEAQDQWPVELRRARLIPAVDYMQAQRARGKLIKEVRESFTVDAFIGNATDWELVCLGNLVGMPVAVVPTGFESIENPPKGGTRRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHHKKRPPIDDIGPDDDLQQ >LPERR11G12470.1 pep chromosome:Lperr_V1.4:11:13572135:13573645:1 gene:LPERR11G12470 transcript:LPERR11G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRRRGWARGLAAFAAVAVAVAAGRRYGWDGEAAVAAFRRRREAMGPWAAPAYVGAHALTLALCPPYAIFFEGAAALVFGFLPGVACVFSAKVLGASLSFWIGRIKNY >LPERR11G12480.1 pep chromosome:Lperr_V1.4:11:13577066:13578041:1 gene:LPERR11G12480 transcript:LPERR11G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKSNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPLLGIMSSVLISWRIKQYSSALVIPEELKNSSTNGKANVDDKPTLGLSENTNSGKTRRRK >LPERR11G12490.1 pep chromosome:Lperr_V1.4:11:13578160:13579032:1 gene:LPERR11G12490 transcript:LPERR11G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVLFSYILLLGLITLKTLSDSPPLQDVCPMAPQGERKLFMNGFFCKSPSTIMASDFKTLLLSHAGNLDNMFRSSVNIVTATEFPGLNTLGLSMARTDIAPSGVVLPHSHPRGSEMMFVYSGSVVAGFFDTKGKLFQKTLAEGDVFIFPRGLVHFIMNYGFSLATTFSVLNSQNPGVVGITHAMFAPDSEVAEGLMSRMLSFRDMGMNENNTVYSPWFY >LPERR11G12500.1 pep chromosome:Lperr_V1.4:11:13581297:13587498:-1 gene:LPERR11G12500 transcript:LPERR11G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSRAGADGASGDDEEDYVEITLDVRDDSVAVHSVKPAGAGAGGEDADVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGAGAGGARLDRSKSAAAHALKGLKFISRADGGGAGWPAVEKRFDELAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQDQAEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRAYRRAAYFLEDNWRRVWVLLLWLSICAGLFTYKFIQYRHRAVFNVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRKAIARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAIAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYALLIVHGHYLFLTKKWYKKTTWMYLAVPMVVYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMRQLDGDLETGNGNDNSVPVSFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHREQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFEFHKENF >LPERR11G12510.1 pep chromosome:Lperr_V1.4:11:13595774:13596142:1 gene:LPERR11G12510 transcript:LPERR11G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVRLSTATPAAATSSFSRAPLAAVAFPRARGFAAVRASGPSTPPDISDKMSESIDKAKEACADDSASGECAAAWDEVEELSAAASHARDKLKDNSDPLENYCKENPETDECRTYDN >LPERR11G12520.1 pep chromosome:Lperr_V1.4:11:13625204:13626166:-1 gene:LPERR11G12520 transcript:LPERR11G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNDQSYCKDCRLAVTVVVDHATGDTICTDCGLVLEERYVDETSEWRTFSDSAGGEDRDPNRLGGRSDPFLTHAQLGTVVASTAAKRQSNATSLPRVHLDIGRESSSQENSLVVAFRAITDMAEQLHLVATIRDHAKEIFKKLEEAKLCPKGRNRDATYAACLHMACRKEGKPRTYAELATVVRDDARADATKKKKEIGRVVKIISEQLGEKDGHAMGVGVVVVRAADYMVRFGSTLGMGKAEVRAAQRAAQRLDERLDVRRNPESIAAAIIYMLAQRAGAKTSARDVSAVTNVAEVTIREACKELTQHAELLFSSQE >LPERR11G12530.1 pep chromosome:Lperr_V1.4:11:13638740:13640587:-1 gene:LPERR11G12530 transcript:LPERR11G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPVAVELPAPNRLLSSPRRRPPLLSALRHSGRRPLLSQRRQSALPPRCPGRSPSGLASTSLIPVGGSVLSSSKVNDLHCIVDSELATVHLDYVCLSARASTKQYGWNRIHLNLPAATALSLVKCHGGNGGHVCSIEVDAPMLKSFKLTADLDESIDRFTRRPDYWSRPSQAVISMDKRLEEAGDIYGLSGRFFDCLRSSLTKVGIQFRMDEYNCLGVRLVKFFVENAMRLEEIPIDGGYSRMYDHINHSVERWIANSSPN >LPERR11G12540.1 pep chromosome:Lperr_V1.4:11:13643783:13645461:-1 gene:LPERR11G12540 transcript:LPERR11G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRISSLSDQLIHRILHFTPAKEAATTSLLSTRWRSLWRSTGAVDLAFRVTGNPSSRHDFVRTARAALDAAAAAAGGVMRFALRLESSSIDKIRDFLVTESHRPTKSGAGKIKIIIQDVLRDVFLSHPAASRVEELVVAAVEDPISPDEHTSYRRNVAAFELGLGLPVTLTGCRRLHAGVPLPRLTTLRLRVCTVQIDDLQEIIDAAPALATVRLESVYIDWTHDDGGARIRFPASTTALVLDDNTLSNCGDDDRDDAIEVDAPGLRSFGVAVRFSLVSPAPDIVRVELDFHDGGHGAAMSRRRFWRFLHSFRNVKVLKVKVYNLKHIAIAGKAMCAKLLVPLTGVELLEIDGVHEATSKTAAVAIGNLLRCCPAVRDLVLRLSTTVQDDSMENGIDGKDLLQLKRERIMDLNESLHRFACRQKLSKPSPMNSVDEQLEQDGDIVGLTGHSFACLQSSLRRVGIQFRLDEHNFLGVQLIKFFAEKAVCLEEMCVDDGNMRMGDHINHRVERWTANLSAKMAITKHQNDTGNPPEIQGIERSVSHFRVLPLDR >LPERR11G12550.1 pep chromosome:Lperr_V1.4:11:13653253:13656076:1 gene:LPERR11G12550 transcript:LPERR11G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAVAMAMAMATLVATASAAPPRKPIDVPFSKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHMKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFIASYRGFHVDGCEASAEAKYCATQGARWWDQPEFQDLDGEQYRKLAWVRKTHTIYNYCEDRDRYPTMSPECHRDRDV >LPERR11G12560.1 pep chromosome:Lperr_V1.4:11:13666119:13669069:1 gene:LPERR11G12560 transcript:LPERR11G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSYDDWHQDYLLQGSDIIVDDPAISHVLDSSGLLLDQQLALHNVQISQPALSLNGGEEIVYFVARKNYRHPGAWILAVDMKNGKLQAVNKFGDQRQLDSEVIYCPSIISKYMDAATTTGFQFPFSSNETRKEQLHIELKSIPNLSSSRFPTGAEVHEIRDDMEGGGDGRVIGSIPSNYHLHRRGGRSGRGRATCAYGGGDSGFFKHAIESTP >LPERR11G12570.1 pep chromosome:Lperr_V1.4:11:13673044:13674613:1 gene:LPERR11G12570 transcript:LPERR11G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRNAAVDHSTFISIVRQLSSATLCKFVLHFPLLRSDADPIDRWVSLKLILHKVSIDGDLQRLLPECTVLEWLGFMFCSLHHRDLIIHRPMERLRYLHVLFCRLQKLELQAPNLTEFEFGSHQVPLVVGDCINLSMATVWVMKPSDGFDYAFTRLPVALAHVRDLLYINMAIRIERHRRQLISTSDMTGFYGIRGQLELAHRILRSTAALEHLIIDPKIKVDYGFHDQTYANTGGFMARLCIDKAQFPGTVITVL >LPERR11G12580.1 pep chromosome:Lperr_V1.4:11:13675697:13684219:-1 gene:LPERR11G12580 transcript:LPERR11G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGDAHLDQLRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITMKEALLWTDGRYFLQAEQQLSDCWKLMHMGEDPQVEVWIADNLSNEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVDEIWKDRPPVNPLPVFVHPIEYAGRSVSEKLKELRDKLLHENARGIIIAALDEVAWLYNIRGNDVRYSPVVHSYSIVTLHSAFFYVDKRKVSIEVQNYMTQNGIDIRDYNMVQSDVSLLASGQLKGSAVTNGSSHGENDMNENTKVWIDSNSCCLALYSKLNEDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKEHTEVKLTEVSVSDKLEGFRAAKEHFKGLSFPTISSVGPNAAVIHYSPEESSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIIKEANTKFNFGDKGYLTFEHITWTPYQTKMINTALLTPAEIEWVNLYHSDCRNILQPYLNEQEKEWLRKATEPIAVSC >LPERR11G12600.1 pep chromosome:Lperr_V1.4:11:13692318:13692770:1 gene:LPERR11G12600 transcript:LPERR11G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGVGRRWEPPPQAPPASGAPLMVMPGEAGRSVPTASAATVLRTLRADLALGSSVPAASQAPTSVSPDAPSKVAPRSPPVTTSWRRPAGNDLPVLGVDCAPADWGPLVGDNARALAHNLIARELSEMLRILGW >LPERR11G12610.1 pep chromosome:Lperr_V1.4:11:13692843:13694658:1 gene:LPERR11G12610 transcript:LPERR11G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDTLYERGGRDAANAHAERVHLEQLQAEASSAIIGATAAQEEIKRAEAARLQAELDLSEARAELAREREGASKLAQQLQSAQSALSASDQELRTSRSTLEDTKKVLVQLNTRAVTAARSLVQAFATIGGETPVGREGGQVYGECGCRSVSSSSAVGYGSWCSWATMRLLLLLLCSKSCTHIGPSVRYSPDDVTGVISADPDANSSKRDADDFAAKMWPAMGHDAAWR >LPERR11G12620.1 pep chromosome:Lperr_V1.4:11:13700200:13703723:1 gene:LPERR11G12620 transcript:LPERR11G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMNTLLEKDEDDKDINVVSSWKFIVERHGKLIDYFSEYAASKARHAYGPNGHRGMSVLIFDGSDVGYVEAERLHRHFIDQRTDRDSWQNHRVRFLPGGKRQLYGKARLKYEMRSYNEMVVAQMKQMSEDNQQLNYLKNRMVKKEQHSKLVEETLGVVTQKLRETMEENNIVRKKATEKHLEYENVMKFQEKFFHDQIERIHKATDEKESRKEAIQKSIDCQVKDVEKFEAERDKLIKSHEETKVKLKMEYMAKEVELEKKLDAALTSLMDKHKPDAFQGSCSSSS >LPERR11G12630.1 pep chromosome:Lperr_V1.4:11:13704718:13710260:-1 gene:LPERR11G12630 transcript:LPERR11G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLPVSQADQARNVLAWRSCIFLGPCLERHRRWGRHGSVRATGGLERERAAAAACGGWGDTAGREGTRRPHRLPELGRRSLDGLTGFLLYFFAYLAAWEAVCYLLRADADLLRGEVRAGAGGGSERREAAGAGAGGRAQAASGRASRSGAVQSEFVETVSGNRFPGNDIHTSDSEVTYNPQTGTISYEPDHVNKSDPLKHVEALQIKFLRLVHRTGVPPATDVVAQVLYRLQLANLIKAGESDNKRTNLAINKARVIAAEQEAPGGPDLDLPLQILLLGKTGVGKSATINSIFDESKVATNASAPATQRIRRIDGTIKGIRVTVIDTPGLTPHYHSQWRNRKILHAVKHFIKRSPPDIVLYFERLDHINSKYSEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYARYCKNVVQRHIQVAVSNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSFLKFQDSFLLSQANTRLPSLPHLLSSLLKPNSSSRSDGADTDMTELSDDEDESDQLPPFRVLRKSEYEKLTKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLAEVQNVEASNAIGDDYEESSPEVMHMSDMEIPLSFDSDYPVHRYRYLVTDDLVFRPVLDPQGWDHDIGFDGINFDVAQDLQKNITGSIVGQMRKDKEDLYIQSECSLSYSNKNGHSLIGGMDMQTSNKDLVCTVHGDARFRNLPWNTTGGGISVTKYGSKYFSGAKLEDSITVGRRLQLVGNAGRIVGCGQVAHGGGLEMTFRGKDYPVREESINVAATALSFEKETVIGANLQSDFRMGHGSKVSVSANLNNRNLGRLSVRTSTSDHSEIALIAAVTLIQFFLRRSKASTDEGKQQQFDTYSDE >LPERR11G12640.1 pep chromosome:Lperr_V1.4:11:13721246:13732077:1 gene:LPERR11G12640 transcript:LPERR11G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALLWTDGRYFLQAEQQICDRWKLMRMGEDPPVEVWIADMGEGCMAWCYVVAVLVLLIKVNRAVDWLAWCMHGINLLDEAVIGINPWCISVDTALRYEHAFSKKHQTLFQLSSDLVDKIWKDRPSANSQPVVHPVEFAGCSVTKKIKELREKLIHEKARGIIVAALDEVAWLYNIRGDDVHYSPVVHSYSIVTLHSAFFYVDKKKVSVEVQNYMTENGIDIRDYNEVQSAVSLPSSGQLKGSTVNGSLHAKNDMNENSKVWIDSNSCCLALYSKLEEGQVLMLPSPIALPKAVKNPVQLDGLRKAHIRDGAAVVQYLAWLDNQAGRCKFISHLLALDFKQMQENYGASGYFNGAKGSQKKEHTEVKLTEVSVNDKLEGFRASKEHFKGPNFPMISAVGPNAAILHYLPEASSCAELDADKIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHALDILARTPLWKRGLDYRHGTGHGVGSYLNVHEGPHQISFRPARNVPLQASMAVTDEPGYYEDGSFGVRLENVLIVKEAHTKFNFGDKGYLAFEHITWAPYQMKLIETTLLTPDEIEWVNTYHSDCQKILQPYLNEQDKEWLRKATEPITVSC >LPERR11G12650.1 pep chromosome:Lperr_V1.4:11:13735640:13738505:1 gene:LPERR11G12650 transcript:LPERR11G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYAAQRSASRRPASIYRVPEHIKKMTNRGVYEPQLVSLGPFHHEKAELRRMEAHKHRAVEHLVKRSGKSREEFKASVEKIAEQLRGSYEGLDEEKWSGEEFVEMMVTDGCFLLEIMRTFQIEGKMRVDYEPDDPVFSEHGYLYLRCDIISDVLVLENQVPLLLLQTLSDVAVSNNLIQITNKSVLSFLFSTPDAPDNVTLDNHHLGLHPLDVVQKSIRGVSKEWKGKAGVFSIPCAAELHEAGIKFKANDEDGVGFAEACSFKSGVLTIQNLYVMDSTECLYLNLMAFERLHTGAGNDVMALVLFMDNIIDTAKDVALLRSKGIIGNLFSSDEAVAELFNGLSKGAVMSPSSSLYGVQQQVNAHCNKRWNRWRASLMHTYFRNPWVFISLIAAFILLAGTVMQTVYTIMSFYTRAN >LPERR11G12660.1 pep chromosome:Lperr_V1.4:11:13740520:13740846:1 gene:LPERR11G12660 transcript:LPERR11G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKCRATANMVNRSGKTLEEFIAAVEEIKEQLMDAYENLDDKWRHGTSFVEMMLADGCFLLEMRIILQIVDDGGTVETYGPNDPVFSKHGFLYSYTCPRVSEPTSS >LPERR11G12670.1 pep chromosome:Lperr_V1.4:11:13741903:13754845:1 gene:LPERR11G12670 transcript:LPERR11G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKVGCLLLDMGMAALTGTTGPTIDHVFSKHYFLYMSSGIKSNVELGLKSLNNWVIGLHCSSVSYVTPLDDDNHLGLHPLDVLQKSTRDARRHRQCPEVSGFVMSCDTELYEAGIHFKLSDENGLAGGVTFEGGVLKIPKITLYDDTELIFLNLMAFERLHPGAGNDVTAFVFFMDLLIDAAKDVALLRSKGIIDNGIGSDEVVADATIMQTVYTIRGVVCVAQGSGRKLRRPSERVAHGDVEQVLRNVAHQSAWRLALGVLPVYKKPLYSFFSQPSELRNKAKIQAVRRPRRSSLRSSLNRSVYLLCALFIFFLLALCGERVIPGQIQQRGAPSTGCRSTSRT >LPERR11G12670.2 pep chromosome:Lperr_V1.4:11:13752534:13754548:1 gene:LPERR11G12670 transcript:LPERR11G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKDRAVANLVKRSNKRLCEFIAAVEEIKEQLQETYEDLDDKWRHGTSFVEMMLKDGCFLLGMGMTTLTILDQARWDDCRELWTRRPYLQQAWLPILSIRSDVVLMENQLPLLLLQKLADIAYPTNIFEELGLPINNWVVAILCPQVSNITPVDVNFLGLHHPLDVFQKSTRGIRQHRQRPCRIQSAFVMPCAAELHEAGVHLKLSDAHGLAGGVTFKGGELNIPKIKLYDNAERIFLNLMAFERLHPGVGNGVMAFVVFLDLLIGTPKDVALLRSKGIIDSGLGSDEMVADLFNKILTKVAIMSLDSSLFDVMHEVNAHCKKPWNKWRTVYTIVPFYKNK >LPERR11G12680.1 pep chromosome:Lperr_V1.4:11:13767212:13782031:1 gene:LPERR11G12680 transcript:LPERR11G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPPAENHDISRNRPSDNDDTMDGDNDNTMDKANSLAMVVFGEKDKNDADEETDGFTSSDVEEEDEETMDSDAEDTMDKASSRVTTVPGEKNKNDGDEETDGSTSFYLEEDDEKEEEEDDEEEEDDEAEEYTRGKTSLMAKMVPGEKDNNDDEDGESSFSDMEQDSTEMKERNMDPPRCTKFEQDMAAWRRSSRMRASMPMPFPSMQVPFPRKSSADKFLSIKSQQPDQSNEATRVNKKVIPNWARDKIDEIQNAAPPRPTPFPPMPIPFPPKSSADKFQSKKSQQSDQSNGAVIVNKYAIPNWALDLKIDKIQEAAPLFKMIVQSKEQCIYWVPPWIKDLSNSQSYQPQVVSLGPLYHWDKKLWSMEMHKLQAVINMTRRTNKSLEQFLAAVDEVAPLLRSLYGSSLNDDWEEGWKARPMFVQIMVLDGCFFLEVMRLNEATMASEIPPTIMADQIPPTEATSSDPTIDTIFSVHGFIHYLFRPIQTDMLLMENQLPLLLLKTLASVAEGSQIDDREMNNRVLRCLWRTNLDDEARKAVGNNLGLHPLDLYHKSYCRVRQGQPEIQMRKPVMPSAVELYEAGINFKVTKHETLPGIDFQSGVLSIPSYNVDYFSEKVLLNLMAYERLHAGTGDNITAYVIFMDNIIDTARDVALLRDKGVIVNGLGSDEEIADLFNNRLSKGAAMSLSSTLNQVHKDVDAHCKKKWNKWRANLKHTYFKNPWASISFIAASILLVATLLQTSYNKNQYGKPHYPRPIKHGKITSWVVEMEKMINDTGPTSGPREGHHFIYRVPGYIKNMTNPNAYRPEEVVSLGPFHHGDPALLPMEKHKDRAVANLVRRSNRSLREFITAVEEIKEQLQDAYEDLDDKWHQGTSFVEMMLKDGCFLLEMGMTRLIVAQGGTVDENYGPNDPVLSKHGCLYLSGSIRSDVVLMENQLPLLLLQKLADIAYSTNFFEEEFGVTINYWVLALLCWPVSSVTLVDDNHLGLHPLDVLQKSTRGTRQHRQSPIECGFVMPCAAELHEAGVHFKLSDADGLAGVVNFKGGVLNVPEINLFDNAERIFLNLMAFERLHPDAGNDVTAFVVFLDLLIDTPKDVALLRSKVIIESGMGSDEVVADLINKILTKGAVVIEDSSLHDVVHEVNAHCKKPWNKWRASFIHTYFSTPWVFISLVAAVILLIATVFQTVYTIVPFYMNK >LPERR11G12690.1 pep chromosome:Lperr_V1.4:11:13786676:13787584:-1 gene:LPERR11G12690 transcript:LPERR11G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARYGVGDEFAMAQEYGAMLAKAAMAKNGYNNCAGAAVVSGGAAQSGLTCNNGGGGCVVASASRKRTREVPSSAALLPIPGMVVNPSPSPPPASRLVESAMASTSGRTPAFLGEAMASEIDAVVRAECDRLRAGVKRQCHAVARAVASAASRRLQEKDAELAAARRRAAELEERLRQASGEAQAWCSVARSNEAVAAGLRATLDHLLLRAAKHVQPVEGSGESDDVADADSSTSSCCFEGKEKKGRWNCKACGEREAAVLLLPCRHLCLCRGCEARVEACPVCLAVKKVSVVARLPADL >LPERR11G12700.1 pep chromosome:Lperr_V1.4:11:13833885:13834904:1 gene:LPERR11G12700 transcript:LPERR11G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQYAAAMMAGVALPPAAMCFPRADEVEAQMFAGGVGNLNFYGLDCAAAGVVSGGAAQSELTCNNGGGAVVAVGRKREREVVELEARYGAASSAALLPIPGMTKAAVAPVSRLVDSGMTSTSGRSAAEIGDAMVAEMFVQSADIDAVVRMECERMRAGLEQARKRQCQAVVRAATAIVARRLREKEAELDAARRRAADLEERLRQASGEAQAWCGVARSNEAVAAGLRATLDHLLLRAAAPAAQIAEGFGDSDPILATGAADDAQSCCFETKVACDGAEATSPAAKWSCKSCGDGDATVLLLPCRHLCLCKACEPKLDACPVCLAAKNASVHIAIN >LPERR11G12710.1 pep chromosome:Lperr_V1.4:11:13841396:13845001:-1 gene:LPERR11G12710 transcript:LPERR11G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVAADGDHGSSNNNNNPPPPSPRRRRPPTWVVEVERTITEADASSEASRWQRHCIYRVPTCIKDIKPKAYQPQVVSLGPFHHGDPGLSPMEAHKRRALRHLLRRAARPLADFVAAVDAVAAQLETAYDLDVDGRWGGEEGRERFVEMMIVDGCFLLEVMRTAAGKHVEDYAVNDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLAVETEKSNVHSRVSNEDMINRMVLRFLSPSSRVPPAGTALSLHPLDVLRRSMLHGQYQPPHRSGITDSSSYSDGGGDIIRSAVELYEAGIWFRRSRTDSLHDIRFRRGVLSLPTVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESALDDVQRQVNAYCRQPWNMWRANLIHTYFRSPWAFLSLAAAMFLLVMTVMQTVYTVLSFYEQKDSGSGGSVAPSPM >LPERR11G12720.1 pep chromosome:Lperr_V1.4:11:13847396:13849971:-1 gene:LPERR11G12720 transcript:LPERR11G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRKSVKRNAAAQRAGHGHTAAQRTAARERAGDGVGRGGATLRTAAGARAGACPPAACARRHRRERAGSGSAAAGDGAAPLRGGGSRQPVRREQARQPAAQQALSLLFMKTKREDPENMAYLPNPGSATGYTDNSLHRLYDYINNLIVIDDFTVNGLHQHSSTPPPLYPQGWTSPRSLTDTSARRFIDDGDDRPVLYDCNGRDSDNGINRVINNDVVCVTN >LPERR11G12720.2 pep chromosome:Lperr_V1.4:11:13847396:13849971:-1 gene:LPERR11G12720 transcript:LPERR11G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRKSVKRNAAAQRAGHGHTAAQRTAARERAGDGVGRGGATLRTAAGARAGACPPAACARRHRRERAGSGSAAAGDGAAPLRGGGSRQPVRREQARQPAAQQGSGSSSLPTPNPGSATGYTDNSLHRLYDYINNLIVIDDFTVNGLHQHSSTPPPLYPQGWTSPRSLTDTSARRFIDDGDDRPVLYDCNGRDSDNGINRVINNDVVCVTN >LPERR11G12720.3 pep chromosome:Lperr_V1.4:11:13847837:13849971:-1 gene:LPERR11G12720 transcript:LPERR11G12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRKSVKRNAAAQRAGHGHTAAQRTAARERAGDGVGRGGATLRTAAGARAGACPPAACARRHRRERAGSGSAAAGDGAAPLRGGGSRQPVRREQARQPAAQQGSGSSSLPTVHEDEA >LPERR11G12730.1 pep chromosome:Lperr_V1.4:11:13853591:13859732:-1 gene:LPERR11G12730 transcript:LPERR11G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATTTKSSIVVHGGRDSKEESAGGDGGVHHAVVDVEAGGSGGETASTDPAPPTRQRLVSLDVFRGITVALMIIVDDVGGVVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYRKVPNKVLATKKAVLRAAKLFLVGLILQGGYFHGLHDLTYGVDIRKIRLMGVLQRIAIAYLAVALCEIWLRFSSGGGISSGCMLVRRYRHQMFVGLVLVVMYLVILYGLYVPDWEYEVTTPDSTVKHFLVKCGVRGNTGPGCNAVGMIDRSFLGIQHLYTHPVYLKTKQCSMDSPRNGPFPPDAPSWCEAPFDPEGVLSSLMAIVTCLIGLQIGHVIVHFKKHGERIRRWSISSLCLLTLGFSLHLFGLHMNKSLYSLSYTCVTIGTAGLFFVAIYLLVDVYGYKRPAFPMEWVGKHALMIFVLVACNIVPVLVQGFYWKEPRNNLVHWGRRGAGGVDEDGMVVAAMSYPVELWRP >LPERR11G12740.1 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMVYKSFGDVIGSYSKMLASSQRISKPISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHRMGALFGQLATSITSGLIDDDTVLVLLGVFWPLLERLSRSSHMENVSLSAAACRSLSLAIHSCGQHFQILLPKVLECLSTNFLQFQRHDCFLRTAASVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.2 pep chromosome:Lperr_V1.4:11:13864416:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMVYKSFGDVIGSYSKMLASSQRISKPISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHRMGALFGQLATSITSGLIDDDTVLVLLGVFWPLLERLSRSSHMENVSLSAAACRSLSLAIHSCGQHFQILLPKVLECLSTNFLQFQRHDCFLRTAASVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNVLRDAASDYLHSRTADNVRNDHAYMQGKGGRTLKRIVRDFAESHRNVPMPCPS >LPERR11G12740.3 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHRMGALFGQLATSITSGLIDDDTVLVLLGVFWPLLERLSRSSHMENVSLSAAACRSLSLAIHSCGQHFQILLPKVLECLSTNFLQFQRHDCFLRTAASVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.4 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMVYKSFGDVIGSYSKMLASSQRISKPISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHSVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.5 pep chromosome:Lperr_V1.4:11:13864416:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMVYKSFGDVIGSYSKMLASSQRISKPISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHSVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNVLRDAASDYLHSRTADNVRNDHAYMQGKGGRTLKRIVRDFAESHRNVPMPCPS >LPERR11G12740.6 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHRMGALFGQLATSITSGLIDDDTVLVLLGVFWPLLERLSRSSHMENVSLSAAACRSLSLAIHSCGQHFQILLPKVLECLSTNFLQFQRHDCFLRTAASVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.7 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHSVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.8 pep chromosome:Lperr_V1.4:11:13864469:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQLREESATLQVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHSVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNPQIIFTVGLPIMSETIMLTCKGKVEEPLNV >LPERR11G12740.9 pep chromosome:Lperr_V1.4:11:13864416:13876242:-1 gene:LPERR11G12740 transcript:LPERR11G12740.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASELRARLAAAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGVATSLLVSPENPPPPADLLFFAAQMLRRKIQCPGAAGGGGAPPHVLDALLLAAGRFCYLGPPRLLTQISLALAALALRADGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDAAARGRFTREVMAHAPSVLEFLLGQSDNAAADDERNRRVLRCLLSWVRVGCFSEMPPAALAAHPLLTFAFNSLQVPFSFDVAVEVMTELVSQYQDLPQAFLNKMPYIREALLLPALANRNEKIIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILESDAQTDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHDTDGELCIPDGLAQFRMNLEELLVDICLLIGGSAYINKIFSGGWVLASQSFAWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLVKELRKSLLARLLCSSYSAVEKLIDIDRDQSLRQNPAAYTEALNFAVHGLHSVVEEFGHKEEYGALCVRTFETLSSAASISTLNSSYTCDQEPDLVEAYTNFASTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDGSPGVVLAQILARCGEGLMSNVHKSATILQQLAALCSLCERTTWKGILSWDSLCRWLQAAVKSMPSEYLRQGEAEMIIPLWLNVLRDAASDYLHSRTADNVRNDHAYMQGKGGRTLKRIVRDFAESHRNVPMPCPS >LPERR11G12750.1 pep chromosome:Lperr_V1.4:11:13878571:13882798:1 gene:LPERR11G12750 transcript:LPERR11G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAAVSAPVSSSNFLASTRATTTTRFFSVPRRCHSLWSNGRRSHHCWAGGGATPDELPPGRGRYHPFEEIADKIQLDDGEPAHLTDAECARTIVEVNSKAAVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKIAHVIIGLDNTQVFADLDVAAASATEFAQEGDDDDDDDDSDDEDSDFDDDFDGEGVFAVDDDDGDDDDEDDLPRWTNLETMNSCHPLYFARLIAETSAKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPQKDNKETGATFFKVEVLSIELITAYGTEPKIKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTKATSEFGAEKQIHELLFPRIQQEGQSSQTRQK >LPERR11G12750.2 pep chromosome:Lperr_V1.4:11:13878571:13881870:1 gene:LPERR11G12750 transcript:LPERR11G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAAVSAPVSSSNFLASTRATTTTRFFSVPRRCHSLWSNGRRSHHCWAGGGATPDELPPGRGRYHPFEEIADKIQLDDGEPAHLTDAECARTIVEVNSKAAVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKIAHVIIGLDNTQVFADLDVAAASATEFAQEGDDDDDDDDSDDEDSDFDDDFDGEGVFAVDDDDGDDDDEDDLPRWTNLETMNSCHPLYFARLIAETSAKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPQKDNKETGATFFKVEVLSIELITAYGTEPKIKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTKATSEFGAEKQIHELLFPRIQQEGQSSQTRQK >LPERR11G12760.1 pep chromosome:Lperr_V1.4:11:13881677:13882992:-1 gene:LPERR11G12760 transcript:LPERR11G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAKAAASPTSTNPFREILNRGVFHAFEHLVQTGEVPYQSPPSAAGGGGGEPSPPSSIYSPAMAAESVAAAVDALGLPTPLHLVLHDTALAAGAAFVSSNPGAVSSITLIDTTAVLPAFPAAIFGVPVLGTMVIRVPALFRGLLRLCCVRGIGAEAAEAHRAAVRMEEKGKREAVFESWKGLNQSFDLKEWRGSSEAVRRLPMMVIWSGSWSDRWINEGKKVVAALPDAKFVYHSGGRWPQEDAYDEISKLIAEFVTSVPTSVQSQHINQFSEQAAAQE >LPERR11G12770.1 pep chromosome:Lperr_V1.4:11:13889607:13894315:-1 gene:LPERR11G12770 transcript:LPERR11G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSRSPPARRCRRSPSPRYHRGRRARRDRSPSRGSRSPYRSSYRRKSPSPSPRRRISRSLSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPHVARGPAENKSLVDKQRLEEDKKRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIRHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAKHKAEREIKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELEMLQKEREEAMKRKQMEEEQQKQNQMKLLGKNKSRPKLSFAFGMK >LPERR11G12770.2 pep chromosome:Lperr_V1.4:11:13889607:13894315:-1 gene:LPERR11G12770 transcript:LPERR11G12770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSRSPPARRCRRSPSPRYHRGRRARRDRSPSRGSRSPYRSSYRRKSPSPSPRRRISRSLSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPHVARGPAENKSLVDKQRLEEDKKRWGVSALLCRVRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIRHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAKHKAEREIKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELEMLQKEREEAMKRKQMEEEQQKQNQMKLLGKNKSRPKLSFAFGMK >LPERR11G12780.1 pep chromosome:Lperr_V1.4:11:13898155:13902473:-1 gene:LPERR11G12780 transcript:LPERR11G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISVGVAVPAASRKREDDSGLLRLRQLCIVQRQVRCRLPLLRPIRHNVIVQTSNVNVGPGSYEGGEEGSHGEHLDSSASRDSNKPTKPPSGSRYPQSIAAAILLCALASAFIVFFKGQPSAVVAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSITDAWQLPDNTNENLQGNSESGELAEAEELVKEKVVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIE >LPERR11G12790.1 pep chromosome:Lperr_V1.4:11:13904315:13910454:1 gene:LPERR11G12790 transcript:LPERR11G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAVAGGEREAEIEKAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDENTNNDAPEKDEAKDDPSKEESEDAQPTSDLNKISSNAEEQAAKPSETEKDREGDKDHSSGSDISEAMIKNALVKRASYFRENSETITLQGVRRTLEEDLKLPKKALDAYKAFISTELDNILQEPANGTKNSRKDSGQKTSKNSKRARQDSDTSEINDSHGERGDSDEDAMPKKKKTEKGKAVKKQKKVEKQLSSPKAKKVAKKGLDKSKEQSKSTEEDSSHSSAEEDNKKKRQIAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTDPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEENAEDDEEAANVEGGE >LPERR11G12790.2 pep chromosome:Lperr_V1.4:11:13904315:13909534:1 gene:LPERR11G12790 transcript:LPERR11G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAVAGGEREAEIEKAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDENTNNDAPEKDEAKDDPSKEESEDAQPTSDLNKISSNAEEQAAKPSETEKDREGDKDHSSGSDISEAMIKNALVKRASYFRENSETITLQGVRRTLEEDLKLPKKALDAYKAFISTELDNILQEPANGTKNSRKDSGQKTSKNSKRARQDSDTSEINDSHGERGDSDEDAMPKKKKTEKGKAVKKQKKVEKQLSSPKAKKVAKKGLDKSKEQSKSTEEDSSHSSAEEDNKKKRQIAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTDPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEENAEDDEEAANVEGGE >LPERR11G12800.1 pep chromosome:Lperr_V1.4:11:13911487:13916161:1 gene:LPERR11G12800 transcript:LPERR11G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGSDTCVDDRALPRRRKALSNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEALPGEETVPETIHGNESIPPSTHPPAEAPSAAAMPAPNAKAEETKNDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >LPERR11G12810.1 pep chromosome:Lperr_V1.4:11:13915569:13919848:-1 gene:LPERR11G12810 transcript:LPERR11G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPILARRNSGLFFTTLHPPLSRLTARAESAQATASPKSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNSKVVECAVKLGLALNCKIAMSSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEIQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSDYIDEIHKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >LPERR11G12820.1 pep chromosome:Lperr_V1.4:11:13920246:13926947:-1 gene:LPERR11G12820 transcript:LPERR11G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVRELLLSTTADGDLDPSTPLSAPDLRLLIDRLRIRSDRLHASALSFASSSHAPLAAALLHAADSAAASSSLESSLAAALSPLSSDGGLSELRELSDRLLAARRELRERREHLAAASSVADLAARLRAARDSADPLAAAAAAAELKPLLVDPEGSGSGQDEPVVFGLLRSELEQLVDELQVTLVKNLEECVEFAPEGRKVVVRAAPRGNSGGTPGVELHVALQALEIIESVDYGMAKLADLMIKHVLVPAISNISVAVSVEVLEKSGPEYPTSVLCIVPTEELQGYKDGSALYSRIIDIIKFVHETICGENITWMQSFSKLTWSRISDLVIRHFISKAVPHEASKLIEFQDIVRSTAEFENTLRNMMYISHDKRDGKLTQFVDDVEVHFAVRKRNEILVKARDLLVQYDYDNPLASLDREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPNFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKLHIMWEPILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIICPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSEVQSFVKAIFADSPLRKECLFWKYLSIHVCDYIL >LPERR11G12830.1 pep chromosome:Lperr_V1.4:11:13927266:13930680:1 gene:LPERR11G12830 transcript:LPERR11G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQLAPSFSFAPKFLSPKLIRRRRRRGKMTPDWASLPGELVDLIGWNVLAGGNLHDYVRFRAVCSHWSATTTNPHGRGVADRRFHPRRWMMLPEGDGLYPGHPSLRGHARFLNLSTGAIVRSPHLPLLLSGDHVILDSVDGLLLLHGDADTAIRLLNPLTGDAVDLPPLDSLLPQRGAEENKRRRVMKVCTSIAVNSTGTITVMLTLFHLQRVVAYATAGVDQRWTLSTWELKHFIKPISFQGKSYALRFLSCDRRKAYIYQFNPPCPDAEEGLSHLSSAVKIAEFPLRTFLYFLNFVECDKELLLVAYEDNSRSKLLVYRLADLVRGKIEPIASIGDHTLFLGERSLCVSHSAYKGRRSFPDILPNFIICMHTLQKYPGSEGPARFEQYDLGTGIWTPASDGDIFERPPPRPPYTHPPYLYLLNKGFMYSCDINPTWLVKQDLRFGA >LPERR11G12840.1 pep chromosome:Lperr_V1.4:11:13928557:13935794:-1 gene:LPERR11G12840 transcript:LPERR11G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWPRPWRRLLSSDAASTGDSPAPRRRRVAALWGNGDYGRLGMGALESRWLPTACPFFLRGGGVAGDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHTLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKSAGKVVPTPRKVDCLADAIVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIKNLDGVKIKKIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEEVPFSEEVACGGYHTCAVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKLPVSKVEIFTRGAGEVLTGHGDDVDYFEPMMVKFSNNAKAVHVSCGFNHTGSDKDSHSSTAQSDPWKLNKKADRVEGELTNICGHSK >LPERR11G12840.2 pep chromosome:Lperr_V1.4:11:13930775:13935794:-1 gene:LPERR11G12840 transcript:LPERR11G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWPRPWRRLLSSDAASTGDSPAPRRRRVAALWGNGDYGRLGMGALESRWLPTACPFFLRGGGVAGDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHTLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKSAGKVVPTPRKVDCLADAIVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIKNLDGVKIKKIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEEVPFSEEVACGGYHTCAVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKLPVSKVEIFTRGAGEVLTGHGDDVDYFEPMMVKFSNNAKAVHVSCGFNHTGAIFEYSED >LPERR11G12840.3 pep chromosome:Lperr_V1.4:11:13928557:13935794:-1 gene:LPERR11G12840 transcript:LPERR11G12840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWPRPWRRLLSSDAASTGDSPAPRRRRVAALWGNGDYGRLGMGALESRWLPTACPFFLRGGGVAGDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHTLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKSAGKVVPTPRKVDCLADAIVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIKNLDGVKECCTQLALMGFGRSGDELRPTVVEEVPFSEEVACGGYHTCAVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKLPVSKVEIFTRGAGEVLTGHGDDVDYFEPMMVKFSNNAKAVHVSCGFNHTGSDKDSHSSTAQSDPWKLNKKADRVEGELTNICGHSK >LPERR11G12840.4 pep chromosome:Lperr_V1.4:11:13928557:13935794:-1 gene:LPERR11G12840 transcript:LPERR11G12840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWPRPWRRLLSSDAASTGDSPAPRRRRVAALWGNGDYGRLGMGALESRWLPTACPFFLRGGGVAGDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHTLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKSAGKVVPTPRKVDCLADAIVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIKNLDGVKECCTQLALMGFGRSGDELRPTVVEEVPFSEEVACGGYHTCAVTDSGDLYSWGSNENGCLGLGMLADIKFVTSGTDMVRSPEVLKSSLFKLPVSKVEIFTRGAGEVLTGHGDDVDYFEPMMVKFSNNAKAVHVSCGFNHTGSDKDSHSSTAQSDPWKLNKKADRVEGELTNICGHSK >LPERR11G12850.1 pep chromosome:Lperr_V1.4:11:13951031:13955451:1 gene:LPERR11G12850 transcript:LPERR11G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVRLVALLVVVVLLPGGGEASIHTYSREPFREVGNAFLLSGGSEGIVADGADLAAPASSFIKFTNVTFWRTPESAESHTKMAHSTGLIQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGQVIRRPSSDDPDWPIVLETHFSGNHLSVKLDDEEVRITKTGMYNLFFISCDPKLRGLSMSGKTIWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVIWSSQYIRFWRDIMPIQNWITLVIALDLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTTLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEVADDDDEEAQSLTKGTDGNVGMVKIDKDRSGGVSNAFSLEDEAEEDKRESALLHPFLVLYKTMAMLQKRKLLQKEEMKDRLQDFCAELMQETRILNQDGNLPEEI >LPERR11G12850.2 pep chromosome:Lperr_V1.4:11:13951031:13956433:1 gene:LPERR11G12850 transcript:LPERR11G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVRLVALLVVVVLLPGGGEASIHTYSREPFREVGNAFLLSGGSEGIVADGADLAAPASSFIKFTNVTFWRTPESAESHTKMAHSTGLIQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGQVIRRPSSDDPDWPIVLETHFSGNHLSVKLDDEEVRITKTGMYNLFFISCDPKLRGLSMSGKTIWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVIWSSQYIRFWRDIMPIQNWITLVIALDLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTTLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEVADDDDEEAQSLTKGTDGNVGMVKIDKDRSGGVSNAFSLEDEAEEDKRE >LPERR11G12860.1 pep chromosome:Lperr_V1.4:11:13954966:13957295:-1 gene:LPERR11G12860 transcript:LPERR11G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRYGTPRDQHLQDLVTQFQEATDEESKERIVANLANFAYDPFNYAFMRQLNILELFLDCITEPNEKLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCSPSTKKDILKPEVLKAVREYAAAGDANAGFSNLANAFLDKHRVRLVHAICLDYLKNLLESSNFIYVKRNIAHGRTIMKMKGKNQKRPHAERFAWHKRQSIPHPHVQ >LPERR11G12860.2 pep chromosome:Lperr_V1.4:11:13954966:13957295:-1 gene:LPERR11G12860 transcript:LPERR11G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRYGTPRDQHLQDLVTQFQEATDEESKERIVANLANFAYDPFNYAFMRQLNILELFLDCITEPNEKLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCSPSTKKDILKPEVLKARVRLVHAICLDYLKNLLESSNFIYVKRNIAHGRTIMKMKGKNQKRPHAERFAWHKRQSIPHPHVQ >LPERR11G12870.1 pep chromosome:Lperr_V1.4:11:13957435:13957661:-1 gene:LPERR11G12870 transcript:LPERR11G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSYVSIMKRQIHIVRSGLRFAAANGTVFINTPCNHRTLVVFLDDLDTAAASPSQPMRPYVGDEKYSH >LPERR11G12880.1 pep chromosome:Lperr_V1.4:11:13960804:13963795:-1 gene:LPERR11G12880 transcript:LPERR11G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRSWAGPIGPLSSTRYLSCRRRLYIGPQPPSGLRVRRHFCEPPPLRLTVDKKEFEHMAGQPDDPPIWRGAEIHGFSAPSVLEYSHSTAGSRFTLGSEACAFLMNGRRYESKGVLDNEDYKDYEIRIVSNGELQSMAVMNGANLEGETIYHLLQYKIAPNVRGSDRAGLLAGLAHDHDLSPEEWADILGNIPVHYGVPVLPPLDEALPMVGEGSQALADLDNANAVLEGVPVAEQENYAVPNEGIPRLRPMMLKRRNIKLSVLRTMKRWGSLKLNKIKLTVLWTMKRGGALKLSNFTTMKRSGELRMCNIKLNVLRPQQVLLICYTLTFQATKSK >LPERR11G12880.2 pep chromosome:Lperr_V1.4:11:13961711:13963795:-1 gene:LPERR11G12880 transcript:LPERR11G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRSWAGPIGPLSSTRYLSCRRRLYIGPQPPSGLRVRRHFCEPPPLRLTVDKKEFEHMAGQPDDPPIWRGAEIHGFSAPSVLEYSHSTAGSRFTLGSEACAFLMNGRRYESKGVLDNEDYKDYEIRIVSNGELQSMAVMNGANLEG >LPERR11G12880.3 pep chromosome:Lperr_V1.4:11:13960806:13961694:-1 gene:LPERR11G12880 transcript:LPERR11G12880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPTRERYMGLLRVSDTLLLPYYEINGLARLRRRVVLPPHLVPAGETIYHLLQYKIAPNVRGSDRAGLLAGLAHDHDLSPEEWADILGNIPVHYGVPVLPPLDEALPMVGEGSQALADLDNANAVLEGVPVAEQENYAVPNEGIPRLRPMMLKRRNIKLSVLRTMKRWGSLKLNKIKLTVLWTMKRGGALKLSNFTTMKRSGELRMCNIKLNVLRPQQVLLICYTLTFQATKSK >LPERR11G12890.1 pep chromosome:Lperr_V1.4:11:13964773:13981723:-1 gene:LPERR11G12890 transcript:LPERR11G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASELRARLATAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGISLALAALALRAEGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDATARGRFTRELMPTQLVPFSFDVAVEVMTELVSLYQDLPQAFLNKMPYIREALLPALANRNEKIIAGLVCLMCEVRQAAPALVEEGSVQALALAVEIDAQTDKRNAAQELFSPVFSSLLDALLFCAQIDTDEHDTDGELCIPYGLAQFRMNLEELLVDIWLLIGDPAYRNKLFSGGWGLTSQSIPWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLEKELRKSSLARLLCSSYSAVEKRIDIDRDQSLRQNPAAYTEALNFAVHGLHSVIEEFGHKEEYGALCVRTFETEAIVASGSLLELSFQKAAICSTAMHQGAALAGMSYMSCFLDVSLAAALESRECLSDGSPGMVHKSATILQQLAALCSLCERTMWKGILSWDSLCRWLQSAVKSMLSEYLRQGEAEMIIPLWLNPQIVFTITAMLACKGKAEEPLNHTLPEETEEQHE >LPERR11G12890.2 pep chromosome:Lperr_V1.4:11:13964773:13981723:-1 gene:LPERR11G12890 transcript:LPERR11G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASELRARLATAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGISLALAALALRAEGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDATARGRFTRELMPTQLVPFSFDVAVEVMTELVSLYQDLPQAFLNKMPYIREALLPALANRNEKIIAGLVCLMCEVRQAAPALVEEGSVQALALAGALLRMNLEELLVDIWLLIGDPAYRNKLFSGGWGLTSQSIPWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLEKELRKSSLARLLCSSYSAVEKRIDIDRDQSLRQNPAAYTEALNFAVHGLHSVIEEFGHKEEYGALCVRTFETEAIVASGSLLELSFQKAAICSTAMHQGAALAGMSYMSCFLDVSLAAALESRECLSDGSPGMVHKSATILQQLAALCSLCERTMWKGILSWDSLCRWLQSAVKSMLSEYLRQGEAEMIIPLWLNPQIVFTITAMLACKGKAEEPLNHTLPEETEEQHE >LPERR11G12890.3 pep chromosome:Lperr_V1.4:11:13964773:13981723:-1 gene:LPERR11G12890 transcript:LPERR11G12890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASELRARLATAVHALNHGAQHDPSARLAANQWLLALQRSPQAWGISLALAALALRAEGGVEGLFARMRHLPEPAVLELLTVLPEEVVQDQSGDTGVDATARGRFTRELMPTQLVPFSFDVAVEVMTELVSLYQDLPQAFLNKMPYIREALLPALANRNEKIIAGLVCLMCEVRQAAPALVEEGSVQALALAVEIDAQTDKRNAAQELFSPVFSSLLDALLFCAQIDTDEHDTDGELCIPYGLAQFRMNLEELLVDIWLLIGDPAYRNKLFSGGWGLTSQSIPWKEVEVRMYALSMGMDAGNLRIEDEEEIISAITHALCSVLEKELRKSSLARLLCSSYSAVEKRIDIDRDQSLRQNPAAYTEALNFAVHGLHSVIEEFGHKEEYGALCVRTFETEAIVASGSLLELSFQKAAICSTAMHQGAALAGMSYMSCFLDVSLAAALESRECLSDGSPGMVHKSATILQQLAALCSLCERTMWKGILSWDSLCRWLQSAHTLPEETEEQHE >LPERR11G12900.1 pep chromosome:Lperr_V1.4:11:13984833:13985300:1 gene:LPERR11G12900 transcript:LPERR11G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKNHRANMARKAEARALAAAVEGTNAVTLAAPAPVPPPPSPPCPPRRRIVDTVVRAMRSSPLVFVLASPASPPSSPKLRPPVHVAREREALAVCRGAHPFYGSWVEFVAATAATVAVPAVEAETTSMTAHFPKTDGVWIVTEDTSSEESSPS >LPERR11G12910.1 pep chromosome:Lperr_V1.4:11:13989575:13995092:1 gene:LPERR11G12910 transcript:LPERR11G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSAAARLGGGDAALAVDRAGSPATGENHGSGGSHSVARVSAGAAWCGSGATVPTGGQRRQRFRRECGRVYACARGGAPVAVLPVTNITGSSEGRGEGLRRRAWHTELGPAGVVVRVDVGVQFSSSPVAASRSVLGSDGGINSRDVAPVWFHSNGGAWVWRRNRFAATPSSSSGSSSWSTASRPSSDPSSIPCLHGLGRKLVGTPAGRHACLHCPVLEVEMAWCS >LPERR11G12920.1 pep chromosome:Lperr_V1.4:11:13996958:13998983:-1 gene:LPERR11G12920 transcript:LPERR11G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCFAPPNAAVPLSLWPGAAAVDPPPLIGAWTEPPPSPRDSSPPPVNPLATDPVQTSPTSDPLPK >LPERR11G12920.2 pep chromosome:Lperr_V1.4:11:13998723:13999096:-1 gene:LPERR11G12920 transcript:LPERR11G12920.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFQIHPVEHLARAVVAAASLAGAPPRFNSPFLTPPSSACRAASPSASTSPSRDRRVDRAAAVTP >LPERR11G12930.1 pep chromosome:Lperr_V1.4:11:14009071:14009911:-1 gene:LPERR11G12930 transcript:LPERR11G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKVSWANSRARGARSRVADFDEMSCDADDEECLPDKDTTDEEGGEEEDGRQGWGATSRSSVKHTAGVIAKFDDTKRQLVRDAGFGGLLELHQINALNRRFTVWLMSRLDWRKSSLPVGRNLEVEISPRSGVVSPTGEDL >LPERR11G12940.1 pep chromosome:Lperr_V1.4:11:14024968:14027006:-1 gene:LPERR11G12940 transcript:LPERR11G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRHDPPHLSPAPLGLAVHGPMSAHDSVQQAPPPDEAQLRRRRLADLVRGKIEPITSIGDHTLFLGERSLCVSHSLYKGSKSFSNILPNSIICMHTLQRYPGSEGPAHFEQYDLGTGIWTAASDGGHFRKTTTKPPHTYPPYLYFLFDDV >LPERR11G12940.2 pep chromosome:Lperr_V1.4:11:14024968:14027006:-1 gene:LPERR11G12940 transcript:LPERR11G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRHDPPHLSPAPLGLAVHGPSLSECPPMIPCSKRRRPTKPSYAAAGKKMPLDWASLDGDLLDLIGWRVLAGGLQDYVWFRAVCSHWNASTGQITLLSQLCRMLADLVRGKIEPITSIGDHTLFLGERSLCVSHSLYKGSKSFSNILPNSIICMHTLQRYPGSEGPAHFEQYDLGTGIWTAASDGGHFRKTTTKPPHTYPPYLYFLFDDV >LPERR11G12950.1 pep chromosome:Lperr_V1.4:11:14056231:14064847:1 gene:LPERR11G12950 transcript:LPERR11G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRPWRWLRRPVSSEAAAAEEGGGAVGERGLREAGDGGAGIEVDPHESGRVFAAGLNDFGQLGIGSSVTHFLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFVWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEDGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIRNLDGVKIKRIAAGMLQSACIDVFNIELQGFGRSGDELRPTIVEERMLAYIKFLTSGTDMIRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGADGTFFEEGHSSGGQLGHGDDVDYFEPMMVKFSNNAKAIHVSCGFNHTGAIFEYSEN >LPERR11G12950.2 pep chromosome:Lperr_V1.4:11:14056231:14063891:1 gene:LPERR11G12950 transcript:LPERR11G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRPWRWLRRPVSSEAAAAEEGGGAVGERGLREAGDGGAGIEVDPHESGRVFAAGLNDFGQLGIGSSVTHFLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFVWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEDGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIRNLDGVKIKRIAAGMLQSACIDVFNIELQGFGRSGDELRPTIVEERMLAYIKFLTSGTDMIRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGADGTFFEEGHSSGGQLGHGDDVDYFEPMMVKFSNNAKAIHVSCGFNHTGAIFEYSEN >LPERR11G12950.3 pep chromosome:Lperr_V1.4:11:14056231:14062574:1 gene:LPERR11G12950 transcript:LPERR11G12950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRPWRWLRRPVSSEAAAAEEGGGAVGERGLREAGDGGAGIEVDPHESGRVFAAGLNDFGQLGIGSSVTHFLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFVWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEDGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIRNLDGVKIKRIAAGMLQSACIDVFNIELQGFGRSGDELRPTIVEERMLAYIKFLTSGTDMIRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGADGTFFEEGHSSGGQLGHGDDVDYFEPMMVKFSNNAKAIHVSCGFNHTGAIFEYSEN >LPERR11G12950.4 pep chromosome:Lperr_V1.4:11:14056231:14062054:1 gene:LPERR11G12950 transcript:LPERR11G12950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRPWRWLRRPVSSEAAAAEEGGGAVGERGLREAGDGGAGIEVDPHESGRVFAAGLNDFGQLGIGSSVTHFLEPVEVLGFDEKVVEVSAGNHHSCAVTADGKLFVWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEDGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIRNLDGVKIKRIAAGMLQSACIDVFNIELQGFGRSGDELRPTIVEERMLAYIKFLTSGTDMIRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGADGTFFEEGHSSGGQLGHGDDVDYFEPMMVKFSNNAKAIHVSCGFNHTGAIFEYSEN >LPERR11G12960.1 pep chromosome:Lperr_V1.4:11:14063362:14066129:-1 gene:LPERR11G12960 transcript:LPERR11G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDWASLPGELVDLIGWKVLAAVCSHWAASTAAPRGHDVADPRFHPRRWMMLPEGHGLYPGHPSLRGHARFLNLSTGAIVRSRSSPTTPSSTPSTASSSSTATPTRPSASSTPSPATPSISRRWILSCRSEENKRRRVMKVCTSIAVSSTGTITVMLTLFHLQRVAYATAGVDQRWTLSAWELKHFIKPISFQRQILRTAVPVLRKAYIYQFNPPCPDAEEGLSHLPSAVKIAEFPLDTFIYFLNFNHKELLLVAYEDNSRSKLLVYRLADLVRGKIEPITSIGDHTLFLGECSLCVSHSAYKGGRSFPDIPPNSIICIHTLQKYPGSEGPARFEQYDLGIGIWTPVSDGDIFERPPPSPHTLTHHILMNKGIMYSCYINHTWLVKQDLRFGGCEVAISALRNHAPLPLANLANF >LPERR11G12970.1 pep chromosome:Lperr_V1.4:11:14067311:14070628:-1 gene:LPERR11G12970 transcript:LPERR11G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATTRLVAGGRRRALLMVAVSLLPFSSTSGLPPKPNPPSTSARPLRHGLLRRLTLSRAAPEEQQPSPAEAAAPAPAEAEERRSLAVRTGELFLGLAALLVRSGRGWGGKGAAAVEEVEARDGVIWEQRAEDVEAEIGRRRELVGPGFSFSAAGLLFPYHLGVAQCLFDRGYLTERTPLAGSSAGAIICAVIASGNTMQDTLQVTKDLADDCRRKGTAFRLGAVLKDVLDRFLPDDLHIRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATYFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEVLDRLYELGYQDAAVWAEQSPVDLIVKKEQPLTAKDYEDINKT >LPERR11G12980.1 pep chromosome:Lperr_V1.4:11:14074344:14075828:-1 gene:LPERR11G12980 transcript:LPERR11G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASETAPFGLSSTKGIGIGVGGGGGGAGAKPHGHIVTAAGRVHDALVFAAGAVASVLVLLATASVMPPMPVTNLVSFRSPVTVVTSSSSSSPPLDVHGVHGGPDAAGRTFYDDPKLSYAVDAVRGESRITGWDAKRAEWLRLRYPHGMNSSAAANARVVMVSGSQSPPCRGGGGDHLLLRFLKNKLDYCRLHDRIDLIYNTALLHPKMLAYWAKIPIVRAAMLAHPDAEWIWWVDADAVLTDMDFTLPLHKYANHNLVLYGWNREVYDARSWVGLNAGVFLIRNCQWSLDFMDAWARMGPGSPEYAKWGKVLKQTLQGKSDEESDDQSALVYLLSENEEKWGSKAYLERDYFFQGYWAVIVDNLDGIAARYDAFERRRDIPAAKGIRRRHAEREHGRYAAARNAAARGSIPGPDGGGESGWRRPFVTHFTGCQPCGGEPNKIYSRKSCADGMNRALNFADDQVLRAYGFRHKDPLSDEVVPLPFDYPAAAGR >LPERR11G12990.1 pep chromosome:Lperr_V1.4:11:14078936:14081128:-1 gene:LPERR11G12990 transcript:LPERR11G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAHRRLLLSAFFLLAAAVDRSLAGFFDSPAPGPAAGEDGEDKKCSQTCESEHCTGTYAQAPLMRYGKYCGVSYTGCSGEAPCDALDACCMLHDACVEATDDDYFNMLCNQSLLDCVATVKEGSRAITTFAGNKCNVTDVADEITSLVEAAVFAKRILHRP >LPERR11G13000.1 pep chromosome:Lperr_V1.4:11:14085658:14091849:1 gene:LPERR11G13000 transcript:LPERR11G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPTREESVYKAKLAEQAERYEEMVEFMETVARSAGGAGGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAAAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKESGDQ >LPERR11G13010.1 pep chromosome:Lperr_V1.4:11:14089751:14093720:-1 gene:LPERR11G13010 transcript:LPERR11G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAGDRGGGGVVTAKRMKLFAEEEEEEEEGWVWRPPVGMVGEEEEAAAWEGRAAAIVVSDAVEADFPVIYVNAAFEAATGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSSHRPNIQEMNSAFHEHIPKIQSSEYCGILQLSDEVLAHNILSRLLPRDVASIGSVCTRMHELTNNDHLRKMVCQNAWGRDVTVRLEMSTKMLEWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESTKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVYGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEENPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >LPERR11G13020.1 pep chromosome:Lperr_V1.4:11:14100058:14107917:1 gene:LPERR11G13020 transcript:LPERR11G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFPTAMLLLLLILLMLHASSATAALFTCTTPTPSPCKSALGYRIQTSTTYTQIFSLFNTTTTLGELLRANGLPPTATPPNTTVKRGLLTVPFPCSCGNNGNNGVGQSHGRRPIYTVRPRDTLYVIARDVFEGFVTFQEIAAANDITSPTQIVVGRQLWIPLPCSCDRVDGYVVTHFAYKVSEVDTVESITAEFGVLEGNLLKTNGMTDPKKLFEGQILDVPLHGKARVRIVLGITLPGSVAILAIAFFIIHIRKRRAAKESPMPWSINQEDFSLDLWTLQTATDNFDERNKLGEGGFGAVYKGSLPDGQQIAVKRLSHCSKQGLNELKNELVLVSKLQHKNLVRLVGVCVEHQEKLLVYEYMPNRSLDTILFDPNKSKELCWEKRFKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSGLTPKISDFGLAKLFGEDQSHVVTNRIAGTYGYMAPEYAMFGQYSVKSDVFSFGVLILEIVTGKRSMGSYGDHEQSFSLLDLIWQRWNRGTILELVDPSLSVSGAGRQCSLQIDQLLGCIHVALLCVQENPADRPKLSAVTTMISGGSSASLQPPSRPAFCVHPADVMRTAAGIAMDSSGGSSTAASANRVTVTELQPR >LPERR11G13030.1 pep chromosome:Lperr_V1.4:11:14111324:14123916:-1 gene:LPERR11G13030 transcript:LPERR11G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQVSSCATMVVVVVVAGLVLLPLAAGDLLGKVCGGGGYRPGDAYGSNLDMLLNLLHDSIVSMSYPYFQHVGIDTIYAVALCRGDATDSTDCLMCLSAAAHDARTLCRSSMEATVYHDVCFLSYSSSEWDYSYDQWSPHGFRYAELAGAGTTIFTEPSFPGWNPSSDAHGAIAIRRFVLASLEDTARWAALNTSERYATGRFDGHGGVTLYSLAQCTPDLATGDCWDCLQGLLNRMTEVFAGLQGGWCMSVRCGCRYETYPFYGGASTLLNGWPDVAVTTPMDQDNSTHILINKEDIQLMESLKFDLSTLKAATNNFDECNKLGEGGFGVVYKGTLSNGQVIAVKKLSHTSQQGIDELTNEIVLIGKLQHRNLDRSNVLDWVKRFKIISETARGLQYLHEESRLRIIHRDLKPTTFFSTLTCHLRFLILAWQSYMEGINHIGYMAPEYAMCGQYSVKSDVFSFGVIVLEIVTGRRSMGSYNYEQPVSPLGVHWSTGTVVDLVDPSLLSNISSSQQCSDRDQMLRCIHIGLLCVQENPADRPKLSSVIEMLRSSSTTPLQSPSRPGFWVHSVDAPPCSSSSGGDPAAASANHVSVTELEARILWNHRDGKYMKTGTYETIEMQM >LPERR11G13030.2 pep chromosome:Lperr_V1.4:11:14111324:14123916:-1 gene:LPERR11G13030 transcript:LPERR11G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQVSSCATMVVVVVVAGLVLLPLAAGDLLGKVCGGGGYRPGDAYGSNLDMLLNLLHDSIVSMSYPYFQHVGIDTIYAVALCRGDATDSTDCLMCLSAAAHDARTLCRSSMEATVYHDVCFLSYSSSEWDYSYDQWSPHGFRYAELAGAGTTIFTEPSFPGWNPSSDAHGAIAIRRFVLASLEDTARWAALNTSERYATGRFDGHGGVTLYSLAQCTPDLATGDCWDCLQGLLNRMTEVFAGLQGGWCMSVRCGCRYETYPFYGGASTLLNGWPDVAVTTPMDQDNSTHILINKEDIQLMESLKFDLSTLKAATNNFDECNKLGEGGFGVVYKGTLSNGQVIAVKKLSHTSQQGIDELTNEIVLIGKLQHRNLVRLVGVSLEKEKLLDRSNVLDWVKRFKIISETARGLQYLHEESRLRIIHRDLKPTTFFSTLTCHLRFLILAWQSYMEGINHIGYMAPEYAMCGQYSVKSDVFSFGVIVLEIVTGRRSMGSYNYEQPVSPLGVHWSTGTVVDLVDPSLLSNISSSQQCSDRDQMLRCIHIGLLCVQENPADRPKLSSVIEMLRSSSTTPLQSPSRPGFWVHSVDAPPCSSSSGGDPAAASANHVSVTELEARILWNHRDGKYMKTGTYETIEMQM >LPERR11G13030.3 pep chromosome:Lperr_V1.4:11:14111324:14123916:-1 gene:LPERR11G13030 transcript:LPERR11G13030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQVSSCATMVVVVVVAGLVLLPLAAGDLLGKVCGGGGYRPGDAYGSNLDMLLNLLHDSIVSMSYPYFQHVGIDTIYAVALCRGDATDSTDCLMCLSAAAHDARTLCRSSMEATVYHDVCFLSYSSSEWDYSYDQWSPHGFRYAELAGAGTTIFTEPSFPGWNPSSDAHGAIAIRRFVLASLEDTARWAALNTSERYATGRFDGHGGVTLYSLAQCTPDLATGDCWDCLQGLLNRMTEVFAGLQGGWCMSVRCGCRYETYPFYGGASTLLNGWPDVAVTTPMDQDNSTHILINKEDIQLMESLKFDLSTLKAATNNFDECNKLGEGGFGVVYKDRSNVLDWVKRFKIISETARGLQYLHEESRLRIIHRDLKPTTFFSTLTCHLRFLILAWQSYMEGINHIGYMAPEYAMCGQYSVKSDVFSFGVIVLEIVTGRRSMGSYNYEQPVSPLGVHWSTGTVVDLVDPSLLSNISSSQQCSDRDQMLRCIHIGLLCVQENPADRPKLSSVIEMLRSSSTTPLQSPSRPGFWVHSVDAPPCSSSSGGDPAAASANHVSVTELEARILWNHRDGKYMKTGTYETIEMQM >LPERR11G13040.1 pep chromosome:Lperr_V1.4:11:14130872:14131309:1 gene:LPERR11G13040 transcript:LPERR11G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGREREAASEAELAGDCFGASASAARSAPAQAGSVSLPRPRASVLSWAARRRSPLAEDDEGEEERPGGRRRRRQTRLRLARSGDDSDLLLRRRLRRIHGPRRPAPPRRVKSPESGGERACDSVRGEECWARFWIRGLCMKFWI >LPERR11G13050.1 pep chromosome:Lperr_V1.4:11:14137846:14139521:1 gene:LPERR11G13050 transcript:LPERR11G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTAAVTVLLPALMVSLLAPGVVARAPTPSNNQSCESSVAHCANYLNGTSSPSDDCCETLRTSLMNEPRCLCDLFASPEIFAAFNISMSEVYSLADRCFLKDFRTICPGNVTVPPALPPRAAPPAMPPRAVLKLVSNRGEFIFLK >LPERR11G13060.1 pep chromosome:Lperr_V1.4:11:14148818:14152256:1 gene:LPERR11G13060 transcript:LPERR11G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICLLLTGLINEEDVQLMESLTLDLSTLKAVTNNFDECNKLGEGGFGVVYKGTLPNGQVIAVKRLSHTSQQGINELTNESFNWETSTQEPYQDRSNVLDWERRFKIISEIARGLQYMHEESRLKIIHRDLKANNILLDSDLSPKISDFGLAKLYGGDQSHVITNRVAGTYGYVAAEYAMCGQYSVKSDVFSFGVIVLEIVTGRRSMGSYKYEQSVSLLGLIWQHWSMGTAVGLVDPSLLRNISSSQQSSDRDQMLRCIHIGLLCVQENPADRPKLSSVIEMLRSSSTTPLQAPSRPGFWVHSVDAPPCSSSSGRDPAAASANHVSVTELEARILWNHRDGKYTKRGT >LPERR11G13070.1 pep chromosome:Lperr_V1.4:11:14155655:14157790:-1 gene:LPERR11G13070 transcript:LPERR11G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLDPGYWRIGVTPNQFSTSSPPPSPQISTSTNWTINVSDARTIKVTNISLSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFQRQERTSSPKTPTEAAVRKAEEAVSTMLARGFVLSKDALKRARSFDERQLRLLSSASERVASLDRRLGLSVKLSAARGAVRGVDERLRVSGMARAAAAAAEQGAASVVAGSPYASRGAAWVSAAVGAVARAASDVGAMTMEKVVRAEEEDGAGEDVAHARVQVDENKAV >LPERR11G13080.1 pep chromosome:Lperr_V1.4:11:14158577:14184846:1 gene:LPERR11G13080 transcript:LPERR11G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFGELPVEAVAEAEAEAEAPINRNWLDCDPRFAVEADAAVRALEERDPYAYKLAEELVSNYPFSPLAYTILAEWYRVHGHPKEAKRYLGYVSKLARRCPRTAFHLAAVLWSMGLRHAEVNVCDHRLARREPTDPVLHYPARRSSVLTIVRIERPERRIAYTREGIRVLRLRAEIEGKGTVDQSALFAPEWPLESADLAAGRDLWSSMSEEEREAFLKVNFEDMKSYCRSGVLPQKYVDRMIRVLSGAEEFVKGCDSFSYWVCPLCPAQICYDDKMFMGHIKESHIAEDYMELGSSIPERITDSERELLESWGWEPMRMDGDDFEERADILMNIKGIVFELIAIEAVSLNLLYVMYQFIMRWVRPVTPWAVSMCGSCGIGQLSSAHLKELHTLLEKLPHTLADYKQESQQDSLVETQQDSLVETTWSKETNTLSFGYVKTTSRKTDGSNQPDETFDSLFGKSLLEDPLVSWAGMWQKCMDDGPGIIDKMNEALNKLKLKCSSCEELKQRHGDDFFLPDAILENDIDVNPYFDSGIGSVQVEMLLINAELKDRKNRLLETCKMDYLTAILPIAKACLWAKLNSNTPEKSLPVCPPKGLERQSPLDVVLWSLWHIRHFHDALQKIPRKCPDVTVGQSQIGKELREIFDSWGHERDCKPCDPIGLTRYESLTRCLISEKDGLRTATGIVKSIFRSLHLSQTPLHFEFKGETLEQQTVTEPSLLGCICLMHDLFGLHKCEIKCRCANGIITEYKHTTFLHNVDLGVIGETKLESFNELLKAADKQLLCDTSNGGCGHKFSQYSLLYPPRVLMAVFKWGVDDVSHINKHGVLMSLAEKLDISHNYGGLHSGCMYTLVSAVCRNDQEQYLCFARNENRWLIYDNNTVMYAASWEASIERYSEANLYPEIPKPSNRDWSDCDPRFAAKADKAIHALQGSDPVRLAKELVKKNSDSPLAFTILAEGYLRKVGPRLHKARWHLVTAGKLAPGCPYIFFKLASVLMRLGFCHDVVKVCDRSLSEPEPTDPALHCPCPKTHIDAIIGSKSGECRIAIMREKIRQMRVSLSLRAKLGMKPAIEVNWPQEIVDVDRARRCWSSMSEEERKAFLEVSFGDMKLYCRSRGLPEQMMRVLSDAEEFIKGCGSPLYWICSLCSVIIFDDAKEFMSHMENVHMRDEEYKNLRPSVPERIPDSEIELLKLWKWEPKPINGDDSEKRTEYLSKLEKIVSQLIDMGAISRCLLFIMHKFIMRRVRRVTPLVVSMCGCCGIRQLSSAHLMELYGLLEPLTRIDMGYEIHKRHNDENKSQQDSLVLILCSKETCTLCFDCAKDASRKTDGSSQADELFDSLLCKPLVGDPFQSWVGMGQKCSDHAPDILKKISETLNKLKSACSSCEKLKQIEGGVYFLPDAIFKKHIDIKPYFDSETGYKQVEMLLIDAEMDYWKKKLLETSKVDYLAVILPIATACLQAKLKDNPPGNALPVCPPNGHELKGPLDVILRSLWHIRRFHDNLQERPCKWPDVTVGDSQIGKKLRGIFDYWDHEKEVELSDRCGLTRFADLASSLINEMDGKMTASRMVELILQRLHMSQTPLHFELKGESFGSQRVTQPRLLGCICLAHDLFGLHFSENKCACVNGVPSETKCTTFFHSVDLGAVEKTELKSFSELLKAGDEQLLCDAKNGSCGHKRWKDNVGRHINMHEVLASLPAKLDISHIYEGLHPGSNYTLVSAVCCSDQGQYLCFARSKNGWLIYDNNTIMDAESWEALIERYSQANLCPEILFFEHDKDKFAEAADTAIILLNQGDLLNAFGLAARLVHDYNTSPLAHSIQAGVMIALAGIEAQKGNHPAAVGNLQMATAHLRSAQGARPVLPPHRLQPLLLFRVGSLDEAVEECDRGLRREPSPTHPARHFLLPIRRVYEMLGSKTPQHWIAVEREAIRVLSHWVKKSRETAALPPDSALFGPNWPPQGAEIDHARRRWSGMMSEEERQAFLTVSSEDIKSHCWCLVWLPHKCVRRMVRVLSGAEEFVHSCESSSYLACPLCRIYICVDAEEFVGHIKKSHIGGEYKELRPLMPERITDSERERLRSWRWEPMPIDGDDLEERARILSEVKTIVFWLIDNEAFSRNLLHIMYKFIMRRVRPVTPLEVSMCGCCGMRQLSSVHLKDLHTLLESLPHTRTDYKQERQQDSLVVPTWSKETGNSSFRYGKIDPFVSWASMWQKCMDDGPGIINKINEAVNKLKLKCGSSEELKQRHGDDYFLADNILENDIDTICYFTSGIGSVQVEMLLIDAEVDYWNNRLLETCKAKLNKKPPEKSVPVCPPEVFELQAHLDVILRSLWHIRQLHDALQNIPRKYPDVTAGQSQIGKELREIFDSWDHDKECEPCDPIGSMRFKGLTNCLIDKKKRIRPASEVVKSILRMLHSSLTPLHFEFKGQTFEVQKVTNPSLLGCICLAHDLFGLHNYENIWNCVDEVHTKFGHMIDLGDVRKTELESFSELVVPMESGNGSNGHLLYPPHLYMTVFEWGNDEVSHINMHGVLLSLAEKLDISHIYGGLHSGCMYTLVSAVCRNNQGQNLWFAREKNRWIIYDGKTVEAIPDKLSYLSFVSHSVQNYSMSSFICVCPCSLLNHGKNKSSGTIMPTTSVLKSSSLSMTQMTPPRLPLRWLLDPSLHRKVLTFDVVVD >LPERR11G13080.2 pep chromosome:Lperr_V1.4:11:14158577:14184846:1 gene:LPERR11G13080 transcript:LPERR11G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFGELPVEAVAEAEAEAEAPINRNWLDCDPRFAVEADAAVRALEERDPYAYKLAEELVSNYPFSPLAYTILAEWYRVHGHPKEAKRYLGYVSKLARRCPRTAFHLAAVLWSMGLRHAEVNVCDHRLARREPTDPVLHYPARRSSVLTIVRIERPERRIAYTREGIRVLRLRAEIEGKGTVDQSALFAPEWPLESADLAAGRDLWSSMSEEEREAFLKVNFEDMKSYCRSGVLPQKYVDRMIRVLSGAEEFVKGCDSFSYWVCPLCPAQICYDDKMFMGHIKESHIAEDYMELGSSIPERITDSERELLESWGWEPMRMDGDDFEERADILMNIKGIVFELIAIEAVSLNLLYVMYQFIMRWVRPVTPWAVSMCGSCGIGQLSSAHLKELHTLLEKLPHTLADYKQESQQDSLVETQQDSLVETTWSKETNTLSFGYVKTTSRKTDGSNQPDETFDSLFGKSLLEDPLVSWAGMWQKCMDDGPGIIDKMNEALNKLKLKCSSCEELKQRHGDDFFLPDAILENDIDVNPYFDSGIGSVQVEMLLINAELKDRKNRLLETCKMDYLTAILPIAKACLWAKLNSNTPEKSLPVCPPKGLERQSPLDVVLWSLWHIRHFHDALQKIPRKCPDVTVGQSQIGKELREIFDSWGHERDCKPCDPIGLTRYESLTRCLISEKDGLRTATGIVKSIFRSLHLSQTPLHFEFKGETLEQQTVTEPSLLGCICLMHDLFGLHKCEIKCRCANGIITEYKHTTFLHNVDLGVIGETKLESFNELLKAADKQLLCDTSNGGCGHKFSQYSLLYPPRVLMAVFKWGVDDVSHINKHGVLMSLAEKLDISHNYGGLHSGCMYTLVSAVCRNDQEQYLCFARNENRWLIYDNNTVMYAASWEASIERYSEANLYPEIPKPSNRDWSDCDPRFAAKADKAIHALQGSDPVRLAKELVKKNSDSPLAFTILAEGYLRKVGPRLHKARWHLVTAGKLAPGCPYIFFKLASVLMRLGFCHDVVKVCDRSLSEPEPTDPALHCPCPKTHIDAIIGSKSGECRIAIMREKIRQMRVSLSLRAKLGMKPAIEVNWPQEIVDVDRARRCWSSMSEEERKAFLEVSFGDMKLYCRSRGLPEQMMRVLSDAEEFIKGCGSPLYWICSLCSVIIFDDAKEFMSHMENVHMRDEEYKNLRPSVPERIPDSEIELLKLWKWEPKPINGDDSEKRTEYLSKLEKIVSQLIDMGAISRCLLFIMHKFIMRRVRRVTPLVVSMCGCCGIRQLSSAHLMELYGLLEPLTRIDMGYEIHKRHNDENKSQQDSLVLILCSKETCTLCFDCAKDASRKTDGSSQADELFDSLLCKPLVGDPFQSWVGMGQKCSDHAPDILKKISETLNKLKSACSSCEKLKQIEGGVYFLPDAIFKKHIDIKPYFDSETGYKQVEMLLIDAEMDYWKKKLLETSKVDYLAVILPIATACLQAKLKDNPPGNALPVCPPNGHELKGPLDVILRDGKMTASRMVELILQRLHMSQTPLHFELKGESFGSQRVTQPRLLGCICLAHDLFGLHFSENKCACVNGVPSETKCTTFFHSVDLGAVEKTELKSFSELLKAGDEQLLCDAKNGSCGHKRWKDNVGRHINMHEVLASLPAKLDISHIYEGLHPGSNYTLVSAVCCSDQGQYLCFARSKNGWLIYDNNTIMDAESWEALIERYSQANLCPEILFFEHDKDKFAEAADTAIILLNQGDLLNAFGLAARLVHDYNTSPLAHSIQAGVMIALAGIEAQKGNHPAAVGNLQMATAHLRSAQGARPVLPPHRLQPLLLFRVGSLDEAVEECDRGLRREPSPTHPARHFLLPIRRVYEMLGSKTPQHWIAVEREAIRVLSHWVKKSRETAALPPDSALFGPNWPPQGAEIDHARRRWSGMMSEEERQAFLTVSSEDIKSHCWCLVWLPHKCVRRMVRVLSGAEEFVHSCESSSYLACPLCRIYICVDAEEFVGHIKKSHIGGEYKELRPLMPERITDSERERLRSWRWEPMPIDGDDLEERARILSEVKTIVFWLIDNEAFSRNLLHIMYKFIMRRVRPVTPLEVSMCGCCGMRQLSSVHLKDLHTLLESLPHTRTDYKQERQQDSLVVPTWSKETGNSSFRYGKIDPFVSWASMWQKCMDDGPGIINKINEAVNKLKLKCGSSEELKQRHGDDYFLADNILENDIDTICYFTSGIGSVQVEMLLIDAEVDYWNNRLLETCKAKLNKKPPEKSVPVCPPEVFELQAHLDVILRSLWHIRQLHDALQNIPRKYPDVTAGQSQIGKELREIFDSWDHDKECEPCDPIGSMRFKGLTNCLIDKKKRIRPASEVVKSILRMLHSSLTPLHFEFKGQTFEVQKVTNPSLLGCICLAHDLFGLHNYENIWNCVDEVHTKFGHMIDLGDVRKTELESFSELVVPMESGNGSNGHLLYPPHLYMTVFEWGNDEVSHINMHGVLLSLAEKLDISHIYGGLHSGCMYTLVSAVCRNNQGQNLWFAREKNRWIIYDGKTVEAIPDKLSYLSFVSHSVQNYSMSSFICVCPCSLLNHGKNKSSGTIMPTTSVLKSSSLSMTQMTPPRLPLRWLLDPSLHRKVLTFDVVVD >LPERR11G13080.3 pep chromosome:Lperr_V1.4:11:14171584:14184846:1 gene:LPERR11G13080 transcript:LPERR11G13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVPRKPSNRDWFDCDPAFAKRADMAIRAVLRQEGGGGAVNLARQLLNDNPASPLACAILADGYLMSSLRTESTREAGIQLEKQCLEAARVNLEKAAVFAPRCPHVSFALGVVLTRLGFWSEAVAECDRSLGEPEPTDPALHYPFRKKHIDAIVRSKSRHYRIALMREQIRRLRVKMVKEPALAANWPQEIVDVDRARLCWSSMSEEERKSFLEVSFGDMKSYCRSRGLPEQMMRVLSDAEEFVKGCGSPSYWICPSCFVIIFTDAEDFMSHLENDHIKDEELRSSVPERIPDSEIELLKLWRWEPKPINGDDSEKRTEYLTKLEKIVSQLIDMGAVSRCLLFIMHKFIMRHVRPVTPLVVSMCACCGIGQLSSAHLMELYGLLEPLTHTDTGYEIHNHHNGGNKSQQDSLVLILCSKETCTLSFDCAKDASRKTDGSSQADKLFDSLLCKPLVGDPFESWVGMGQKCFNHAPDILEKINETLDKLKSACSSCEELKQIEGGVYFLPDAIFKRDIDIKPYFDSETGYKQVEMLIIDAEMDYWKKKLLETSKVDYLAVILPIAMACLPAKLNDNRPGNALPACPPNGHELKCPLDVILRSLWHIRRFHDNLRERPCKWPDVTVGDSQIGMKLREIFDCWDHENEVELSDRCGLTRFADLTSSLINEMDGKMTASRMVELILQRLHMSQTPLHFELKGESFGSQRVTQPRLLGCICLAHDLFGLHFSENKCACVNGVPSETKCTTFFHSVDLGAVEKTELKSFSELLKAGDEQLLCDAKNGSCGHKRWKDNVGRHINMHEVLASLPAKLDISHIYEGLHPGSNYTLVSAVCCSDQGQYLCFARSKNGWLIYDNNTIMDAESWEALIERYSQANLCPEILFFEHDKDKFAEAADTAIILLNQGDLLNAFGLAARLVHDYNTSPLAHSIQAGVMIALAGIEAQKGNHPAAVGNLQMATAHLRSAQGARPVLPPHRLQPLLLFRVGSLDEAVEECDRGLRREPSPTHPARHFLLPIRRVYEMLGSKTPQHWIAVEREAIRVLSHWVKKSRETAALPPDSALFGPNWPPQGAEIDHARRRWSGMMSEEERQAFLTVSSEDIKSHCWCLVWLPHKCVRRMVRVLSGAEEFVHSCESSSYLACPLCRIYICVDAEEFVGHIKKSHIGGEYKELRPLMPERITDSERERLRSWRWEPMPIDGDDLEERARILSEVKTIVFWLIDNEAFSRNLLHIMYKFIMRRVRPVTPLEVSMCGCCGMRQLSSVHLKDLHTLLESLPHTRTDYKQERQQDSLVVPTWSKETGNSSFRYGKIDPFVSWASMWQKCMDDGPGIINKINEAVNKLKLKCGSSEELKQRHGDDYFLADNILENDIDTICYFTSGIGSVQVEMLLIDAEVDYWNNRLLETCKAKLNKKPPEKSVPVCPPEVFELQAHLDVILRSLWHIRQLHDALQNIPRKYPDVTAGQSQIGKELREIFDSWDHDKECEPCDPIGSMRFKGLTNCLIDKKKRIRPASEVVKSILRMLHSSLTPLHFEFKGQTFEVQKVTNPSLLGCICLAHDLFGLHNYENIWNCVDEVHTKFGHMIDLGDVRKTELESFSELVVPMESGNGSNGHLLYPPHLYMTVFEWGNDEVSHINMHGVLLSLAEKLDISHIYGGLHSGCMYTLVSAVCRNNQGQNLWFAREKNRWIIYDGKTVEAIPDKLSYLSFVSHSVQNYSMSSFICVCPCSLLNHGKNKSSGTIMPTTSVLKSSSLSMTQMTPPRLPLRWLLDPSLHRKVLTFDVVVD >LPERR11G13080.4 pep chromosome:Lperr_V1.4:11:14171584:14184846:1 gene:LPERR11G13080 transcript:LPERR11G13080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVPRKPSNRDWFDCDPAFAKRADMAIRAVLRQEGGGGAVNLARQLLNDNPASPLACAILADGYLMSSLRTESTREAGIQLEKQCLEAARVNLEKAAVFAPRCPHVSFALGVVLTRLGFWSEAVAECDRSLGEPEPTDPALHYPFRKKHIDAIVRSKSRHYRIALMREQIRRLRVKMVKEPALAANWPQEIVDVDRARLCWSSMSEEERKSFLEVSFGDMKSYCRSRGLPEQMMRVLSDAEEFVKGCGSPSYWICPSCFVIIFTDAEDFMSHLENDHIKDEELRSSVPERIPDSEIELLKLWRWEPKPINGDDSEKRTEYLTKLEKIVSQLIDMGAVSRCLLFIMHKFIMRHVRPVTPLVVSMCACCGIGQLSSAHLMELYGLLEPLTHTDTGYEIHNHHNGGNKSQQDSLVLILCSKETCTLSFDCAKDASRKTDGSSQADKLFDSLLCKPLVGDPFESWVGMGQKCFNHAPDILEKINETLDKLKSACSSCEELKQIEGGVYFLPDAIFKRDIDIKPYFDSETGYKQVEMLIIDAEMDYWKKKLLETSKVDYLAVILPIAMACLPAKLNDNRPGNALPACPPNGHELKCPLDVILRSLWHIRRFHDNLRERPCKWPDVTVGDSQIGMKLREIFDCWDHENEVELSDRCGLTRFADLTSSLINEMDGKMTASRMVELILQRLHMSQTPLHFELKGESFGSQRVTQPRLLGCICLAHDLFGLHFSENKCACVNGVPSETKCTTFFHSVDLGAVEKTELKSFSELLKAGDEQLLCDAKNGSCGHKRDQGQYLCFARSKNGWLIYDNNTIMDAESWEALIERYSQANLCPEILFFEHDKDKFAEAADTAIILLNQGDLLNAFGLAARLVHDYNTSPLAHSIQAGVMIALAGIEAQKGNHPAAVGNLQMATAHLRSAQGARPVLPPHRLQPLLLFRVGSLDEAVEECDRGLRREPSPTHPARHFLLPIRRVYEMLGSKTPQHWIAVEREAIRVLSHWVKKSRETAALPPDSALFGPNWPPQGAEIDHARRRWSGMMSEEERQAFLTVSSEDIKSHCWCLVWLPHKCVRRMVRVLSGAEEFVHSCESSSYLACPLCRIYICVDAEEFVGHIKKSHIGGEYKELRPLMPERITDSERERLRSWRWEPMPIDGDDLEERARILSEVKTIVFWLIDNEAFSRNLLHIMYKFIMRRVRPVTPLEVSMCGCCGMRQLSSVHLKDLHTLLESLPHTRTDYKQERQQDSLVVPTWSKETGNSSFRYGKIDPFVSWASMWQKCMDDGPGIINKINEAVNKLKLKCGSSEELKQRHGDDYFLADNILENDIDTICYFTSGIGSVQVEMLLIDAEVDYWNNRLLETCKAKLNKKPPEKSVPVCPPEVFELQAHLDVILRSLWHIRQLHDALQNIPRKYPDVTAGQSQIGKELREIFDSWDHDKECEPCDPIGSMRFKGLTNCLIDKKKRIRPASEVVKSILRMLHSSLTPLHFEFKGQTFEVQKVTNPSLLGCICLAHDLFGLHNYENIWNCVDEVHTKFGHMIDLGDVRKTELESFSELVVPMESGNGSNGHLLYPPHLYMTVFEWGNDEVSHINMHGVLLSLAEKLDISHIYGGLHSGCMYTLVSAVCRNNQGQNLWFAREKNRWIIYDGKTVEAIPDKLSYLSFVSHSVQNYSMSSFICVCPCSLLNHGKNKSSGTIMPTTSVLKSSSLSMTQMTPPRLPLRWLLDPSLHRKVLTFDVVVD >LPERR11G13080.5 pep chromosome:Lperr_V1.4:11:14158577:14171596:1 gene:LPERR11G13080 transcript:LPERR11G13080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFGELPVEAVAEAEAEAEAPINRNWLDCDPRFAVEADAAVRALEERDPYAYKLAEELVSNYPFSPLAYTILAEWYRVHGHPKEAKRYLGYVSKLARRCPRTAFHLAAVLWSMGLRHAEVNVCDHRLARREPTDPVLHYPARRSSVLTIVRIERPERRIAYTREGIRVLRLRAEIEGKGTVDQSALFAPEWPLESADLAAGRDLWSSMSEEEREAFLKVNFEDMKSYCRSGVLPQKYVDRMIRVLSGAEEFVKGCDSFSYWVCPLCPAQICYDDKMFMGHIKESHIAEDYMELGSSIPERITDSERELLESWGWEPMRMDGDDFEERADILMNIKGIVFELIAIEAVSLNLLYVMYQFIMRWVRPVTPWAVSMCGSCGIGQLSSAHLKELHTLLEKLPHTLADYKQESQQDSLVETQQDSLVETTWSKETNTLSFGYVKTTSRKTDGSNQPDETFDSLFGKSLLEDPLVSWAGMWQKCMDDGPGIIDKMNEALNKLKLKCSSCEELKQRHGDDFFLPDAILENDIDVNPYFDSGIGSVQVEMLLINAELKDRKNRLLETCKMDYLTAILPIAKACLWAKLNSNTPEKSLPVCPPKGLERQSPLDVVLWSLWHIRHFHDALQKIPRKCPDVTVGQSQIGKELREIFDSWGHERDCKPCDPIGLTRYESLTRCLISEKDGLRTATGIVKSIFRSLHLSQTPLHFEFKGETLEQQTVTEPSLLGCICLMHDLFGLHKCEIKCRCANGIITEYKHTTFLHNVDLGVIGETKLESFNELLKAADKQLLCDTSNGGCGHKFSQYSLLYPPRVLMAVFKWGVDDVSHINKHGVLMSLAEKLDISHNYGGLHSGCMYTLVSAVCRNDQEQYLCFARNENRWLIYDNNTVMYAASWEASIERYSEANLYPEIPKPSNRDWSDCDPRFAAKADKAIHALQGSDPVRLAKELVKKNSDSPLAFTILAEGYLRKVGPRLHKARWHLVTAGKLAPGCPYIFFKLASVLMRLGFCHDVVKVCDRSLSEPEPTDPALHCPCPKTHIDAIIGSKSGECRIAIMREKIRQMRVSLSLRAKLGMKPAIEVNWPQEIVDVDRARRCWSSMSEEERKAFLEVSFGDMKLYCRSRGLPEQMMRVLSDAEEFIKGCGSPLYWICSLCSVIIFDDAKEFMSHMENVHMRDEEYKNLRPSVPERIPDSEIELLKLWKWEPKPINGDDSEKRTEYLSKLEKIVSQLIDMGAISRCLLFIMHKFIMRRVRRVTPLVVSMCGCCGIRQLSSAHLMELYGLLEPLTRIDMGYEIHKRHNDENKSQQDSLVLILCSKETCTLCFDCAKDASRKTDGSSQADELFDSLLCKPLVGDPFQSWVGMGQKCSDHAPDILKKISETLNKLKSACSSCEKLKQIEGGVYFLPDAIFKKHIDIKPYFDSETGYKQVEMLLIDAEMDYWKKKLLETSKVDYLAVILPIATACLQAKLKDNPPGNALPVCPPNGHELKGPLDVILRSLWHIRRFHDNLQERPCKWPDVTVGDSQIGKKLRGIFDYWDHEKEVELSDRCGLTRFADLASSLINEMDGKMNASRMVELILQRLHLSQTPLHFEFKGESFESQNVTQPRLLGCICLAHDLFGHFAEHLARGRNRASSGVAGARSPPRETSHRGAHQLLRPLRHFGEHLARACARPLLPPPTRRAS >LPERR11G13090.1 pep chromosome:Lperr_V1.4:11:14190643:14192962:-1 gene:LPERR11G13090 transcript:LPERR11G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAILLVGILFAAAAAELPRLDHAHKGDGSLTLLVVGDWGRRGAFNQSMVAKQMGIVGEKMDIDFVISTGDNFYNNGLTGVEDKAFEESFSNIYTAKSLHKPWYTVLGNHDYRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNNTYDWRGVYPRETYMANVLKDLEDALKQSKAPWKIVVGHHAIRSVSEHGDTQELLQHLLPILKAHGVDIYLNGHDPCLEHISSRDSKLQYLTSGAGSKAWRGVQTPNADKVEFFYDGQGFMSLRLTSAEASLAFYGVAGEVLHSWQHAKPSGY >LPERR11G13100.1 pep chromosome:Lperr_V1.4:11:14204483:14206661:-1 gene:LPERR11G13100 transcript:LPERR11G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLISLHLLPHAQPSLPLPNCITRQRSASPATWRGQWSHTGVRRLPLPLPAMATDTPRPLAPEAETKEQFDWLDQWYPVAPICDLDPRAPHGRTVLGLSIVAWFDGSEWRVLDDVCPHRLAPLSEGRVDNKGRLQCAYHGWCFDGRGSCQFIPQAPALGPPVHKNKKACVAPYPCVVQNNILWFYPRTEPEYMDVLHRKQPPYFPDLDNPSFETVYGTRDFLYGYDVLMENLMDPAHVPYAHKGLFPEFQNVHLLSTVEFDKERGGPIKMKIEEANINGFLSIQEENWGQFRFIAPCALNRSELPFESEEKKQQQSQGMLVFLCVPVTPGRSRLIWAFPRSAWPEKIIPRWLHHFVTNAVFDSDMYLLHIEERNFATVGLDNWHKACYVPTSSDSMIITFRNWFRKYCKHQVGWATPMVNQLPPTPTKDQLMERYWSHVMQCTSCSAALRWMRALEVGLQVASVAVVGFLAIAKGTMVTSVVQKAAVVVAAVMFLAASRWLANFIEKAFYFQDYIHADK >LPERR11G13110.1 pep chromosome:Lperr_V1.4:11:14217289:14221843:-1 gene:LPERR11G13110 transcript:LPERR11G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLATAMFVMVAVVGMMAAPAAAELARVEHPAKEDGSLTVLAVGDWGRKGQYNQTLVATQMGVIGEKLAADFILSTGDNFYSDGLTGENDTASFQESFTNIYTADSLQKPWYIVLGNHDYTGDALAQQSAAIRAVDSRWTSVNKSFIVDSGIAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDAMSQSNATWKIVVGHHTISSGCEHGNTTDLVALLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSKAWAGKFKQTSDKLQFIYDGQGFLSMQLTEAEGNFAFYDVTGTVLHSWQLTKSTSTN >LPERR11G13120.1 pep chromosome:Lperr_V1.4:11:14228846:14236923:1 gene:LPERR11G13120 transcript:LPERR11G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRSPLLLAAAAGAAALAASSAVSSPSDDGRGATSVLHAVARSSRAVYTVFTLLIVADSLFQIGFVVVDYKYSLRGLAPGSADYRDKRSEIHLSSAKKLLKLCEANKGFYVKAGQFVSSLRQVPREYSSTLSCLQDQATPCNFRDIKRVLEQKFGKDLHSIFLEFDEHPIAAASIAQVHRGRLSNNQEVAVKVQYPGLERRMKIDILTMSFLSKSVSWFFPDYRFEKLLSEFERTMSMELDFTQEAKNSERTASCFKKNNVVKVPHVFWELTSKEVLTMEFCSGYKVDSLDALRKADISPTEVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGRFSLGDLLHGSIHYIYIVRIKVKDEHLMICREADVLLDHGIYKELDRKFRLDYCQLWKALILLDSQKILELGEQFGVGKYAKYFPLIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPHRVRLLTYAKCAIYGLEEQKKLQSELSGFLMQVNDLRLKVMNRFRLMLQKSS >LPERR11G13130.1 pep chromosome:Lperr_V1.4:11:14236735:14239346:-1 gene:LPERR11G13130 transcript:LPERR11G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEEAELAGPLGELLPPVDFCCAYGSTLLHARPEASSMVDYILGVADPLQWHSENLERNPAHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMNDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKVNTINLKMAMSASLLLLPGQFTEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVDGSFQSFQSMYRPLMQEYIAGGLLTTTSSGEQKAFQQDCGASATNELFSYLPWTIQRRMQGRFASNGKEMPTRAIVSSKDMAATCVRKALRTRVMVSSARQAVSGLLASGGAVAARYLGKKMSKAWKSRTV >LPERR11G13140.1 pep chromosome:Lperr_V1.4:11:14239570:14243279:-1 gene:LPERR11G13140 transcript:LPERR11G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMANMQISQLGRWPGFIPAHPNKPSSSSTSPESLLHNSHPHLLATPTPPRLSSSSPEQKLGSSRGELLMAAASIPANPQPFKLILGSSSVARKHILTEMGLQFEVMTADIDEKSIRREDPDELVTVLAEAKADAIISRLNIADYQKEGDLPTLLITSDIVVVHEGIIREKPTTKEEARQFLNGYSGGHVSTVGSVVVTNLTTGKRFGSLDKAEVYFHDIPDEVIENLNEASFGIPFRPSIQSRSSPPKYGP >LPERR11G13140.2 pep chromosome:Lperr_V1.4:11:14239570:14243279:-1 gene:LPERR11G13140 transcript:LPERR11G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMANMQISQLGRWPGFIPAHPNKPSSSSTSPESLLHNSHPHLLATPTPPRLSSSSPEQKLGSSRGELLMAAASIPANPQPFKLILGSSSVARKHILTEMGLQFEVMTADIDEKSIRREDPDELVTVLAEAKKLTALNILQADAIISRLNIADYQKEGDLPTLLITSDIVVVHEGIIREKPTTKEEARQFLNGYSGGHVSTVGSVVVTNLTTGKRFGSLDKAEVYFHDIPDEVIENLNEASFGIPFRPSIQSRSSPPKYGP >LPERR11G13140.3 pep chromosome:Lperr_V1.4:11:14239570:14243279:-1 gene:LPERR11G13140 transcript:LPERR11G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMANMQISQLGRWPGFIPAHPNKPSSSSTSPESLLHNSHPHLLATPTPPRLSSSSPEQKLGSSRGELLMAAASIPANPQPFKLILGSSSVARKHILTEMGLQFEVMTADIDEKSIRREDPDELVTVLAEAKADAIISRLNIADYQKEGDLPTLLITSDIVYFHDIPDEVIENLNEASFGIPFRPSIQSRSSPPKYGP >LPERR11G13150.1 pep chromosome:Lperr_V1.4:11:14244240:14251573:1 gene:LPERR11G13150 transcript:LPERR11G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVVGESGGKREGEAARGNGREAADPVVDVYSAAAYGDLERLRGFVERDGGASLAAADGNGYHALQWAALNNYPHVALYIIEHGGDVNAGDNAQQTALHWAAVKGGIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYGADFEALDNDGRSPLHWAAYKGNADTIRLLLFLDANQVRQDKNGCTPLHWAAIRGNFEACTVLVHAGTKVELTLKDSGGFTPVELANDKGHRYLSNILSNATKVTFEDKYCPGRSRKIGYAPILFCFVVVLITFFLNSIVFAPNFSRITAAVGLWSWGAVSLAFAALVMFYRVSRKNPGYIQANTKRLDSKEPLMEIDLNSSSWTGNWSQLCPTCKIIRPVRSKHCPICKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGTTTAFLGATIGFHRLWTEPIILPSSESWINFMLTNHPGALLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYNQGCRRNCADFLVSGYSNDEEAAWPTLQQAVQRS >LPERR11G13160.1 pep chromosome:Lperr_V1.4:11:14252793:14255057:1 gene:LPERR11G13160 transcript:LPERR11G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAMIRIAIPAIPSSSGYCARARGRVAAAGMRVRCSGGGGGGSEGKGDGEEGPESLVAAAEFERAAAAADGQRARSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILISVSLFAVLYVYFGPSFVHDASKTEVSPPPYIDPYELLEDERLSRPSPDVF >LPERR11G13160.2 pep chromosome:Lperr_V1.4:11:14252793:14255057:1 gene:LPERR11G13160 transcript:LPERR11G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAMIRIAIPAIPSSSGYCARARGRVAAAGMRVRCSGGGGGGSEGKGDGEEGPESLVAAAEFERAAAAADGQRARSMALNSEGLEYFGPSFVHDASKTEVSPPPYIDPYELLEDERLSRPSPDVF >LPERR11G13170.1 pep chromosome:Lperr_V1.4:11:14258190:14262685:-1 gene:LPERR11G13170 transcript:LPERR11G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDILLPKLSELLTEEYNLHKKLRGEIMFLKAELESMEAALIKVSEKPIDQQPDPQDKIWVRDVRDLSYDIEDSIDKFMIHVDPRAPNKLHSFRGFIDRSLKLLNRAKFRHKIGTDIEEIKGRIKEVTERRYRYKVDGVAAKPVYPTIDILRLSALNKRAEEFVDIDEKRDEVVRRLMEGDEMSKKQLRIVSIVGFGGLGKTALAYVVYEKLKIQFDCVAFVSVSLNPNMIKIFKDMFDQLDKEKNTDISKQATWDETLLIIKLREFLRDKRYLVVIDDIWDTPAWETIKYSLSDNENGSRIITTTRIFDVAEEVGGIYQMAPLSVEHSRKLFCLRIFGAEERCPNQLAEVSEKILRKCAGVPLAIITIASVLASKSKVGNEHTYWSKVYQSMGSGLEDNLHVKNMRRILSVSYYDLPPNLRTCLLYLCLYPEDYYIPREDLIRLWVYEGFVHREQGKNMYQVGEDYFNELVRKFRVHDMVHDLITSLSNEECFVTTVCGQHVSPIRCKVRRLSLQTSYEDSLKQLETMSLSHVRSLIVLKRFKLVPAPLSKIFPVLRVLNLAYCEQVKNQHVKDICHLFHLRFLDLWGTSITELPREIKNLRFLQTLKMGETGIEELPSTFVQLEQLEYFVFRSKMRLPNGFGNLKCLQELGGDIIIDSPTMLDDLGRLTELRRLSIDFNDRWNKSYEEAFLRCLAKLTSLCQLAITGNLSLGSKELLSSDMHNSDIYSPVPNWKSSISSLSTLNAQLKTLGEGVLELLGSLPSLRYLSIEVDEPTLDRDERLTIGTIYPFMCLTWFRLSSATMEVAFAKGAMPKLHALRLIFEVQKTKELFGGDMDFGLENLSSLQLASICLSNCSCATPEEIEVVEDALRKAVTMNPNNPSFELQRSDDDDNRGGGSGGCDDDNDDDALE >LPERR11G13180.1 pep chromosome:Lperr_V1.4:11:14291254:14294099:1 gene:LPERR11G13180 transcript:LPERR11G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCSKRRRRRLTPSDAAAAGGGGKNKMPSPDWSSLDGDLLDLIGQRVLAAGDLDDYVRLRAVCTHWAASTATPRGRGVADPRFHPRQWMMLPEGHGLYPGHPSLGGHLRFLNLSTGVIVTSPHLPLLLSDDHVILDSVDGLLLLHRDADTAIRLLNPFTGDVTDLPPLDSLLPYIKPIGFRLRTDRSKRSALMQVRAAVAVAGGGVITVMLAFITLDRVAFAAAGDKRWTFSEYKLKHFLKPTSFQGKIYALQFTSFEINKMYIYQFNQPYDKGGLLHLDLPVKIGEAPMDKFIYLLNFAVCGSELLIVAYNGASPSKLLVYRVADLVNGKMEPVTSIGDHTLFINERCVCVSLSNNKEGISKSLPSILHYSIICMHTLQVPASVDIARFEQYDLGTSIWSPASDGDIFQAPPPSPHTLIHHIFTCCSHRYWNKGIMYCSKTDPSWLVKQDLRIGA >LPERR11G13190.1 pep chromosome:Lperr_V1.4:11:14298250:14298651:-1 gene:LPERR11G13190 transcript:LPERR11G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTALLAGAAPAVAMASQRRPSRATPTGYSPVSTGGGGGCALAVECSSRPQKKATKHHMKTRPKKSQPWDRRRRPTQYPPLPPLPPDWTLVAAGATVDASAQEAEEEEAETVAAPAVEVVAAAAVAPAAAD >LPERR11G13200.1 pep chromosome:Lperr_V1.4:11:14302185:14307412:-1 gene:LPERR11G13200 transcript:LPERR11G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVTSAISVLLPKLREVLKEEYQLQKTVRGEIMFLTAELERMQAALLEISVSEVANIDDEAPCKLVKLWARDVRELSYDVEDTIDRFMVRVGVDKPRSFMGFIDRSLNLLTKLKIRHKIGGSIRDIKSRVKEVSELRDRYKVDGIRVAKPVGQIVDSLRLQSALYKNVSELVGSEKKANDLVRRLMEMPDVMESRQKLKVVSVVGFGGLGKTTLANKLKGEFSCGAFVSISLNPNLVGIFKDMLYQLDKDKYQNIHGETRDEVQLISTVREFLQNKRYIIVIDDLWSNSVWQRIRYALVDNQLGSRIITTTRAIDIAEQVGGTYQLEPLSPDDSRKLFNQIIFHSKGKCPYHLSEVSQKILKKCGGIPLAIITIASMLATKKGNEHENWYKVYHSMGSGLKDSALKDSAHLTKMRKILSISYYDLPPHLKTCLLYLSSYPEDYLFTREIMIWKWVGEGFVEAKQGSSLYEVGGDYLDELMNKGMIQLAYEFGKRYRIHDMVLDLITSLSNEEHFLTRLDGQQSLSLPKKIRRLSLQTNNEEDVKQLATISLCHLRSLTVSGHGFSLLPALRSSCPFLRVLDLSDCVNLENQHCKDICNLFHLRYLRLSGTGITELPKEISSLQFLQILDIFCTKIKELPPTFIQLKQLLYLCFPRGTILPKGFGSLNRLHTIIPEDFTISSPTMLHDLGSLVELRSLSICFNEWDESYEKAFIQCLSKLVSLESLVVFETEIPSSSCGSLLPGPQRLRFINMSSCTLTAVPSWMSSLCSLSTLLVTLLTLGEEDLQVLGSIPSLNSLRITVKKITRGRYKRVVIGNSHTFLCLTQFGVEGITMEVKFAEGAMQKLRNLARGTVHWALLGLMPVTGTMLQFGDFDLGLENLSSLEHADVYIDYIDPKHGEAAEMAIRRSLDMNPNNPTLGLYKYLI >LPERR11G13210.1 pep chromosome:Lperr_V1.4:11:14307879:14308118:-1 gene:LPERR11G13210 transcript:LPERR11G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMESVSGDGGPFGILFLAMAALDSEARIDLGTEPVGSHSMNRSSNVHGRRFPHPDLGNAAMAGRRRLRHFSTTKAHR >LPERR11G13220.1 pep chromosome:Lperr_V1.4:11:14307931:14308176:1 gene:LPERR11G13220 transcript:LPERR11G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRSGWGNLRPWTLLDRFMLWLPTGSVPRSILASESNAAIARNKIPKGPPSPETDSMAAIAGERRITNSPPDTVAMGQ >LPERR11G13230.1 pep chromosome:Lperr_V1.4:11:14310215:14313353:-1 gene:LPERR11G13230 transcript:LPERR11G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVTGVISTLLPKLGEVLKEEYQLQKTVRGEIMFLMAELERMQAALLEVSESEAATIDGDVPSKLVKLWARDVRELSYDVEDTIDRFMVRVGDDKPRSFRGFIDRSLNLLTKLKIRHEIGDSIRDIKSRAKEVSELRDRYKVDGIKVAKPVGQTVDNLRLQSALYRNVSDLVGTKKKTDDLVRRLMQRHGDEESKQKLKIVSIVGFGGVGKTTLANAVYQKLKGEFGCGAFVSISLSPNMVAIFKNMLRQLDEKNYWNINGETWEELQLIDELRKFLQNKRYIIVIDDIWSNSVWQTIKYALLDNKLGSRIITTTRAINVAEQVGGAYQLEPLSPDDSRNLFNQIIFHSKDKCPPHLTEISQNILKKCGGIPLAIITIASMLASKNGSEHEHWYKVYYSMGSGLEDSPDFRNMRRILLISYYDLLPHLKTCLLYLSLYPEDYLFTRDILIWKWLPPTFIQLKQLVYLLADHKTRLPDALGSLNRLQEIPNCIPINSSNMLHDLGGLSKLRHLAIYFDKWDESYEKAFLSCLSNLISLKILVVKGKLGSTCGNLSREPQLLHTIDMSCCTLTAVPRWMLSLSLSSLDITLLTLGEEILKVLGSIPSLNDLKIRVKKSTHGRNKRLLISNVYPFPCLTQFRVDSNTMEVGFAQGAMEKLRDLTLHFEVSETMDQFGDFDSGLENLSSLEHVTVCIHYSNPKLREADGAMMAIRRSLDMNPNNPTVELYKV >LPERR11G13240.1 pep chromosome:Lperr_V1.4:11:14315773:14318684:-1 gene:LPERR11G13240 transcript:LPERR11G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLPKLFDILQKEYQLQKKTRGEIMWLMSELESMQIALLKISEAPIDQPPDEQVKLWAKNVRDLTYDIEDNIDKFVVRIDTPMPNNPHSFRGFIERSLDLMTKAKIRRKIGLDIRDIKSRINEEKERRDSFIQRAFKTVGIEEKTDYLVRRLMEGPDEELRQQQYVVSIVGFGGLGKTTLAMAVYKELKEKFDCGAFVSISLSPNMVGILKNMLHQLDEEKYCNINGATWDEGQLIDELREFLKKKRYIIVIDDVWDNSHWQTIRYALAENELGSRIIMTTRIVSIAKQIGGAYQLEPLSLDDSRKLFDQIIFPLKDKCLPYHLSEVSQKILKKCGGIPLAIITIASMLASKKGNEHEHWYKVYNSMGSGLEDKPDLMNMRKILSISYYDLVPHLKTCLLYLSLYPEDYVFTSKTLIWKWVGEGFVETEQGSSLYEAGREYFDELMNKSMIQPVDEDGLETRYRIHDMVLDLITFISIEEHYLTRLDGHQSLSLPKKIRRLSLQTNVEEDVKQLATISLCHLRSLTVSEQSFNLLPSTLSSLCPLLRVLDLNCDGVENQHCKDICNLLHLRYLKLSCDCITELPKEIANLQFLQVLDIYHTHVKELPPSFIQLKRLVYLHVNGWYMRLPDDLGSLDMLQEIGDIFYIDNPTMLHNLGGLAELRSLSIDIIKWDESYDKAFIQCLSKLVSLEFLKVIGTVGSTCGSNLSPGPQRLRSIDMSDCTLTAVPRWMSSLSSLSTLSITLLTLGEEDLQILGDIPSLNYLDIQVRKATPDRNKRLVIDNVSPFQCLTQLSVVSVTMEVRFAPGAMQNLRNLEFYINVRETVDQFGDFDFGLENLSSLDSVGVRIWGSDSKMSEADDAETAIRRSLHMNGKNPTINLHRHDWA >LPERR11G13250.1 pep chromosome:Lperr_V1.4:11:14320620:14325174:-1 gene:LPERR11G13250 transcript:LPERR11G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPQERKAGGGIWLQGLLPFSAAAAGGGFGGGRMLGFSTGQLVVILGACSLIMKRSDMIKVARVAGRMTGKAVGRLMLFRRQMDEILEQTAAKQVNKELKDAMTQLDSIRYEVQNLSRFTPGQFIMKQHNPVGMDEPGKNDTVDGSALNLEEIRSQIRSMVRDEFESFYRTNQDRFTGRLDNADAVNSSVDGREADVAATPTMLASKDMKLANTGPTNLHSQATMYARLTESPGIKSSSISGNSGHQFQERNGLLNVLPISAESAGILPSRTDKPQGSDLILEAVLEAEVAEHAKSFVQQHHDELHKE >LPERR11G13260.1 pep chromosome:Lperr_V1.4:11:14325975:14326364:1 gene:LPERR11G13260 transcript:LPERR11G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNMTIFSLVLLVLSTDMAMAARETDGRTLAAAATDCETTLFPAVCIDIKPCVQICNANNPLNWQVTKIFCVDTGCRCTFCPKAAIN >LPERR11G13270.1 pep chromosome:Lperr_V1.4:11:14328586:14330201:1 gene:LPERR11G13270 transcript:LPERR11G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHLMILSIVFLVLSSDMMMAARTTTFGTLDGTVCKRVTFEVHCDDIKPCAKLCGTQNPLYPSHSLITSIICHNTSECQCTFCKIQEDVTILVVGGCDLSNNQSPKKSEPTNRT >LPERR11G13280.1 pep chromosome:Lperr_V1.4:11:14331179:14331863:1 gene:LPERR11G13280 transcript:LPERR11G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLKDLLSQVAQNEVDHMDTWGEGQLIRKLRGCLLNKRYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSRADDLIYRIEPLKESDSKVLFFKRIFGYEDVCPPQLKEVSDQIF >LPERR11G13290.1 pep chromosome:Lperr_V1.4:11:14331929:14335579:1 gene:LPERR11G13290 transcript:LPERR11G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEALSDFWHENNCNHERWEKVLISIGSALEKNSDLEGMKHILSLSYYDLPYYLKTCLLCLSLYPEDFKIERDNLIQQWIAEGFINEERGQSVEDVAESYFNELIRRSMVQPMDINCDGKAHACRVHDMMHELIISKAIEENFVTLLGGDPVEVKPQGIIRRLSVQYEYLNLRRTWISKLPPQIGDLQTLETLDIRDTNIIELPGTIIKIGQLKYVLSSGHTWGKIKLPDGIGSMASLRVISGFDICCSSTNAVQELGNLKSLRELTINWTDFTSATRSYDEYSWQTGHKKPPIFRNLQSQLWFLGILRFLVTTTKSPSEIPAVCILLSPKSSKVDALCNLIDLDINIEELTNEDIHILRDLPSLLRLDLFLKSPQKEHRIVIHGVGFPYLKELFFTCEGTWLICEPEALPKLERLQMAIYVKEAKPYVYQFGIEHLRSIKKVYIQLLCAGTSGSDIENVEEQSRSHPIHPRVDIQKYGMDMPLEERNKRQHFEDNNAENGNTRKEDMNHTNKKRKQ >LPERR11G13300.1 pep chromosome:Lperr_V1.4:11:14336501:14337453:-1 gene:LPERR11G13300 transcript:LPERR11G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADICCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >LPERR11G13310.1 pep chromosome:Lperr_V1.4:11:14338468:14342341:1 gene:LPERR11G13310 transcript:LPERR11G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYCGGNGRCDEQGGQQQDGFLVREARASPPPHPSSSSFLGSTRSSGRGGQMLSFSPNETAVCLSCDGGFGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPPMVIASGVSSRSYNVARQKQVKTSATNVTDPFSRQSNRKFLEKQNAVDQLSPMDSFDFSSTQSSPNYDNVALSPLNLHHDHDESYIGQGAASSSEKGNMLHESRLTVSKETLDDGPLGEVFKRKNCELSSANILNDKWTEGPNSHCPAGILQMATKFNSMSSSNTVNGGGTALENRITDNGYLMYPHIVSTLL >LPERR11G13310.2 pep chromosome:Lperr_V1.4:11:14338468:14342341:1 gene:LPERR11G13310 transcript:LPERR11G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYCGGNGRCDEQGGQQQDGFLVREARASPPPHPSSSSFLGSTRSSGRGGQMLSFSPNETAGFGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPPMVIASGVSSRSYNVARQKQVKTSATNVTDPFSRQSNRKFLEKQNAVDQLSPMDSFDFSSTQSSPNYDNVALSPLNLHHDHDESYIGQGAASSSEKGNMLHESRLTVSKETLDDGPLGEVFKRKNCELSSANILNDKWTEGPNSHCPAGILQMATKFNSMSSSNTVNGGGTALENRITDNGYLMYPHIVSTLL >LPERR11G13310.3 pep chromosome:Lperr_V1.4:11:14338787:14339319:1 gene:LPERR11G13310 transcript:LPERR11G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNESQLPSCWVLAKNNNWSSIQQGVHSIACFLLFISSFPKAAKASLVSQTPTAIIITSSSSSILTRDL >LPERR11G13320.1 pep chromosome:Lperr_V1.4:11:14342791:14345878:-1 gene:LPERR11G13320 transcript:LPERR11G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAGNGRPPASAAAWNFAASGTLLGLSALSVRGVLGRIKAGMAAEDDGGRPVIPMGHGDPSAFPCFSTTPDAVDAVADALRSGEHNCYPSAYLSSDLPYELSADDVYLTIGCSQAIEIICSVLARPGANILCPRPGYLFYEARAIFNGMEVRYFDLLPESGWEVDLDGVQALADKNTVAMVIVNPGNPCGNVYTYEHLAKVAEIAKKLGIFVITDEVYAHLTFGQNKFVPMGVFGSVVPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFQMTKVVESIKSYLDISCDPATFVQGAIPQLLENTKGEFFEKTIDVLRQTADICWEKLKGISCITCPSKPEGSMFVMAKLDLSCLQGIKDDMDFCCQLAKEELVVILPGCALGYKNWLRITFAIEPSSLEDGIERLKSFCLRHSKPKVLLET >LPERR11G13330.1 pep chromosome:Lperr_V1.4:11:14350535:14354731:1 gene:LPERR11G13330 transcript:LPERR11G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKIKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >LPERR11G13340.1 pep chromosome:Lperr_V1.4:11:14357332:14359440:1 gene:LPERR11G13340 transcript:LPERR11G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPDDVFVDEILSRLRNPIDLATCHHVCTQWRDAVDSRRLLATLPLPFHGVYIDINEHGEFHLLVRHKNNTTPIKSLDFLPNKSKSWLDLVDHCNGLLLYHDEFHNNHFGIIPQMYLVIDPAAANVSPPCHEVFVPSFPWTEEGKSTEELPPELYGVEVFSSRMGRWEERRFAREGGAVVTRGDVYADKVRPFHYLMGPDQRLSLSDNKYKVIKTPTAIHENEVSDTDENGREWERQPYLGRSEKGIYFVEIYKLQCWVWTLDESHEPMEWVLTNHVDISPLYHWIGTYFYNGGKIEIEAPWILDDSFGSNKRYNIENDTDTDTDNEEDDDREENQEDSSDNEMEDDTDGNQEDSSNDEENKVNQEKFEWNSDDDYNLEIEEGTEDFFGSNIIHFLGFHPYKEVMFFGRRFKAVAYHLGLSKAKFLGYFYPSKLSMSHGTAVLGAFPYTPCFVDALTEGPSEINK >LPERR11G13350.1 pep chromosome:Lperr_V1.4:11:14360269:14362603:1 gene:LPERR11G13350 transcript:LPERR11G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDDACNKETTQIAPLLSVLESEQAYLLGSVLCTVSTILTVLLPESSFGEKSRLVRLDKQSFTNILEIYFVDGRRILSNLSELKSLIRAGADPDYDGRSPLHLAACKGFEDVVNEGVDIDLADKFGNTPLLEAVKQGHDRVAMLLLDAAKADELSKFLDRTEEIRDKMHLWRCSVFPYHP >LPERR11G13350.2 pep chromosome:Lperr_V1.4:11:14360269:14362603:1 gene:LPERR11G13350 transcript:LPERR11G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDDACNKETTQIAPLLSVLESEQGGYQPHRLVRLDKQSFTNILEIYFVDGRRILSNLSELKSLIRAGADPDYDGRSPLHLAACKGFEDVVNEGVDIDLADKFGNTPLLEAVKQGHDRVAMLLLDAAKADELSKFLDRTEEIRDKMHLWRCSVFPYHP >LPERR11G13360.1 pep chromosome:Lperr_V1.4:11:14362786:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSLTAACRPVSSSLPLSSGGSHDGESRRAASTVGRRSRPGDGDERPGVSGSGGVGPGLCRSTGSSAQDAAKAELGRGSGWRRRPSSHCCLLSPVLSLSLSRHAAAPRQRPEAGGCGNDDRGRWGQLRVRAPRSAVALLLVSPNSPVELVLVECFLTASQLELVWYNGIVLGFIHEIMTLSCPYLAIDMNLYWKHFVHPQTVRN >LPERR11G13360.2 pep chromosome:Lperr_V1.4:11:14363137:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSLTAACRPVSSSLPLSSGGSHDGESRRAASTVGRRSRPGDGDERPGVSGSGGVGPGLCRSTGSSAQDAAKAELGRGSGWRRRPSSHCCLLSPVLSLSLSRHAAAPRQRPEAGGCGNDDRGRWGQLRVRAPRSAVALLLVSPNSPVELVLVECFLTASQLELVWYNGIVLGFIHEIMTLSCPYLENRSLKS >LPERR11G13360.3 pep chromosome:Lperr_V1.4:11:14364008:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRARVPCAWRNKMGVGVCQCFYLWPRPNLALLSNAKVKAAKLNENCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSLTAACRPVSSSLPLSSGGSHDGESRRAASTVGRRSRPGDGDERPGVSGSGGVGPGLCRSTGSSAQDAAKAELGRGSGWRRRPSSHCCLLSPVLSLSLSRHAAAPRQRPEAGGCGNDDRGRWGQLRVRAPRSAVALLLVSPNSPVELVLVECFLTASQLELVWYNGIVLGFIHEIMTLSCPYLVRDFWLLDYWG >LPERR11G13360.4 pep chromosome:Lperr_V1.4:11:14364008:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSLTAACRPVSSSLPLSSGGSHDGESRRAASTVGRRSRPGDGDERPGVSGSGGVGPGLCRSTGSSAQDAAKAELGRGSGWRRRPSSHCCLLSPVLSLSLSRHAAAPRQRPEAGGCGNDDRGRWGQLRVRAPRSAVALLLVSPNSPVELVLVECFLTASQLELVWYNGIVLGFIHEIMTLSCPYLVRDFWLLDYWG >LPERR11G13360.5 pep chromosome:Lperr_V1.4:11:14362838:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSLTAACRPVSSSLPLSSGGSHDGESRRAASTVGRRSRPGDGDERPGVSGSGGVGPGLCRSTGSSAQDAAKAELGRGSGWRRRPSSHCCLLSPVLSLSLSRHAAAPRQRPEAGGCGNDDRGRWGQLRVRAPRSAVALLLVSPNSPVELVLVECFLTASQCH >LPERR11G13360.6 pep chromosome:Lperr_V1.4:11:14363617:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISPLPLSLKSGLPALAGVGQLSAGVGSMHPCHGIVLGFIHEIMTLSCPYLKEN >LPERR11G13360.7 pep chromosome:Lperr_V1.4:11:14363137:14385375:-1 gene:LPERR11G13360 transcript:LPERR11G13360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGCVVIELPRGGDRLPRLKNCAIAEAILNWKRNSDKKSEGVEECPIHYNILYMNNHNVPCLSSKTCRHKIYGKSASIHYGTGAISGYFSQDSVKVGGIVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPICFPGRALASSSEFEVWIACTSWSWAAVCWSWIDASLSWCLAAATLSIQFDSENRSLKS >LPERR11G13370.1 pep chromosome:Lperr_V1.4:11:14394859:14414114:-1 gene:LPERR11G13370 transcript:LPERR11G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCREIGGLNVVLPPASIVAVANTDTGVQCKGALCLEEQDRCGGLPVLLALVKAQPFPIGPLDNITNFSAAVDLKKKRACAMDYGVSTPKSSTNRAKLSAVELRRKRDKERYALLSAEQKESRNKKAREYKLGKEESQGHQSATTTVTGNEVRQLIMTPRRLPFTDTYNVANNQYIEYNDVPLSCIIQGTTENTSTTDYLHGESVTLKLMVMT >LPERR11G13370.2 pep chromosome:Lperr_V1.4:11:14394859:14416293:-1 gene:LPERR11G13370 transcript:LPERR11G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRPAASPFTEQQLKQFRAQCIIFLAFRNKKEPQKLHLEIALAGFPAQGPLDNITNFSAAVDLKKKRACAMDYGVSTPKSSTNRAKLSAVELRRKRDKERYALLSAEQKESRNKKAREYKLGKEESQGHQSATTTVTGNEVRQLIMTPRRLPFTDTYNVANNQYIEYNDVPLSCIIQGTTENTSTTDYLHGESVTLKLMVMT >LPERR11G13370.3 pep chromosome:Lperr_V1.4:11:14394859:14414114:-1 gene:LPERR11G13370 transcript:LPERR11G13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCREIGGLNVVLPPASIVAVANTDTGVQCKGALCLEEQDRCGGLPVLLALVKAQPFPIDRAKLSAVELRRKRDKERYALLSAEQKESRNKKAREYKLGKEESQGHQSATTTVTGNEVRQLIMTPRRLPFTDTYNVANNQYIEYNDVPLSCIIQGTTENTSTTDYLHGESVTLKLMVMT >LPERR11G13370.4 pep chromosome:Lperr_V1.4:11:14394859:14414114:-1 gene:LPERR11G13370 transcript:LPERR11G13370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCREIGGLNVVLPPASIVAVANTDTGVQCKGALCLEEQDRCGGLPVLLALVKAQPFPIDRAKLSAVELRRKRDKERYALLSAEQKESRNKKAREYKLGKEESQGNEVRQLIMTPRRLPFTDTYNVANNQYIEYNDVPLSCIIQGTTENTSTTDYLHGESVTLKLMVMT >LPERR11G13370.5 pep chromosome:Lperr_V1.4:11:14395410:14414114:-1 gene:LPERR11G13370 transcript:LPERR11G13370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCREIGGLNVVLPPASIVAVANTDTGVQCKGALCLEEQDRCGGLPVLLALVKAQPFPIDRAKLSAVELRRKRDKERYALLSAEQKESRNKKAREYKLGKEESQGNEVRQLIMTPRRLPFTDTYNVANNQYIEYNDVPLSCIIQGTTENTSTTDYLHGESVTLKL >LPERR11G13370.6 pep chromosome:Lperr_V1.4:11:14414693:14416293:-1 gene:LPERR11G13370 transcript:LPERR11G13370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRPAASPFTEQQLKQFRAQCIIFLAFRNKKEPQKLHLEIALAGFPAQDDRRSDNGGRGDEASSSSSSSSSCESSSDRRFWREALEVVVEFEFEP >LPERR11G13380.1 pep chromosome:Lperr_V1.4:11:14417013:14422901:-1 gene:LPERR11G13380 transcript:LPERR11G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVAGNGNGIGIGRSPPPGRRRRGGRRGRHWSRPPKPSSTSPDPNDSTLSPSLPPPMEPGAEVEVRVDADGFHGSWFEATVESFLPARGPRTPARYTASYAHLVDDDDGGALVEPFAPSHLRPRPPPISDDLLLRTHQIVEAFHKDGWWSGIVFPNSSSGAAAAGEESNPGGGITVAFPITREVITFPPRLVRPRRDYVAGVGWVPSDYVIALQPSRAVRVYEVGDKVEVERDRDVYGYSWFPAKVAKVIDKLSYIVEYSTDLEGDGDGDGDAAAAAGKSVEYLHWRFIRPAEEHTPRGVDFHLGPGTAVEAYCDGAWSPGVVRTVVGEGEYEVSVAGKNHKELLLTKVTELLKPQYKWNGKSWRIVSAKRYLRRQSVSGNSPSSPVDVFSSDDEHRHETELSALKRSRKEMKALQQLENVLTEDSDHASRSEMNTPLSELCKSSGSNSSLKPCSQLSGTKNFQVLSKKIVSNCLVPVRMLDVSSGNPIPQNESREDGIGKTVVNQEIVSDMMLTNGQLDTSCGTNADEGCAMLSTTKFRKQKMTLSCRYNPLQKASFQELQTKKTMPFKIKRGKVRPIQALLGRSDTSDDINLKRNSTSPSTEIICALRVSSECNTPSPLGKPINAVDVMSRRVDSGSYTRVFTSKKLATKKRFKESESPGNLLDANSTVQPIGRKKAAGRLKGSSVERQLEGETHTQQQLDKTLDDSLNANEVIYQELLPLTPPGFESVVSGKLQQFSNDSFSVGSCDWNTDGLSESNIHSSLFDEELAATINGICLDNHNGDAQTDNVATQVAENSRLMEKPILSLDRSVEQEVGEDVGQGPIQVHTKKGASFQSTSDSTIVRGCSFAGSSMASDMSMCQVSGEQLPFTKTLALWSLVEAMDLFQKVPQQPHFLPLKRQLPPLREGIALGLMLSYSNFVDVMRKLCITDSMETFEVNIKTLATLKENGFNVEALQHSMTKLLQIKSDHTSLVSVSEKMEEEILDKASAVTRDDALLDEKDSAISKLEEELGRLRWEARKIAKNKENNEAELSRLKEENSNAQETRGQAEEQFRNVQAELRRCYAIGSQ >LPERR11G13390.1 pep chromosome:Lperr_V1.4:11:14434189:14435139:-1 gene:LPERR11G13390 transcript:LPERR11G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSPSPSGRRLSELLEEKQEPFFLDLHLLEKGCSSSRLLDTALCWPSAAGGGSDAAASVLRRLTSRNKTKKNQPPPSPAPAKKTGTTPAASGLLRMILSKILHGKSAAVSRKPAALQSSESFKKIPVAVAAVDVAGIGEEEEIEYTDSESEDEKQFSPVSVLDKHNHPFDCSPAATAAAASPTKDAMAFIRDLLLLEAAYSPALLTHLLSKSDELIKMNSTDIIDDDNGDDDCCYNRRTTSPKNDDEVAAAAAYWETNKAELTRVSELVAGEVRGSSRLDAGEERDGVGGEIADAVLEEILLELAVELAGGC >LPERR11G13400.1 pep chromosome:Lperr_V1.4:11:14437885:14442476:-1 gene:LPERR11G13400 transcript:LPERR11G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPTAAATDPSPSPSPSSGGDREWRVDDTRVALLHRNAPVPGASFAFDHVFDGTATNDRIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSGDQPGIIPLAVRDVFDTAREATDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVAGLREEIVNSAEQVFELLELGEENRHFGETNMNVRSSRSHTIFRMVIESSAKNQMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLNEGKHINKSLMILGNVINKLSESGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETKGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEALEQVILKQRNDMHKFERERDRLATELEEERRLTETLQQRLTEQQKMLDGINNTSISPDQFTDSIQLDALKTPNSKERPAGFVASRSTYSKDVEFSPIPENLGTVADEDLWMQLNKGCITDLDMLEMTPGLKCVSSLAEDKTLATTPVEEPIDTRCQRLEKDCNSDRQQLEDSKVRCAALENECDTLKKENSSLQDALAKSRKDADRLVAEKEEVLKELELEKSRMEELKQEIRLFSQAFSQRQGQLTSLYTKSKAIVDNCKTSQLALP >LPERR11G13410.1 pep chromosome:Lperr_V1.4:11:14445525:14447550:-1 gene:LPERR11G13410 transcript:LPERR11G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAVAVRLRPPTPAAVIGALPRSLEELELPSLNGDGELRPEITGRQRNAASMTIMTSEISVKMRLKQLLAAIPLSLVKVALEVYRGALDNDDLVAVKRYIRGDLIQEFMEEVKIHSQINHKNIVKLIGYSSDDYISKGNLEDILHNRKVAMPLDTRLGIAIGCAEALG >LPERR11G13410.2 pep chromosome:Lperr_V1.4:11:14444139:14445524:-1 gene:LPERR11G13410 transcript:LPERR11G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMHLSTGSLVCHGDIKPANILLDEHITTKISDFGLSRLLSTTRHTMNKGCLTPRSDVYSFGVVLLELITRKRVKEGSISLIDNLSKAFAKRRVQLRELFDDEIVNEGNMEALETIGKLANKCLDLNIKNRPRMNVVVEQLRELWKGRRGGQGLVKMSLGIFKMNAVSSAMRTKLQNVKVFTTWELIRITQNYSCLPSHVRIMSQIVHKNIIKLLGYCFEEDNPILVFEYAFASKRCLTAILHGNKDHLSLELRLKIAVQTAQALAYIHSPSTGVTHHGSVVPSNILVDDNFMPKVTGYKALLERTDTLYDDYNTRAALKDDYDDYTNPRSEFKSDVYNFGIVLMELISRKKPVDDNGSRLIYKLRTGENGTEMFDKEISSNFEVAILEQIVWLIIKCTNLLEDERPTMEQVAEYLDTVRRFRKNHIYSCVRCIAANKLH >LPERR11G13420.1 pep chromosome:Lperr_V1.4:11:14448445:14448687:-1 gene:LPERR11G13420 transcript:LPERR11G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILLDDNFIPKVTGYLLLLERNKMFKDMADYVHYMHPESAKSAVYSFGIVLVELISTKKHVYDKEADPLQYYRGTRSI >LPERR11G13440.1 pep chromosome:Lperr_V1.4:11:14463207:14479700:-1 gene:LPERR11G13440 transcript:LPERR11G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISWKKPVYDNGYHLTINFRLAYMIDNSGKALFDKELSAEDDIDVLEDIGKLAVMCIRELVDETNNGASMAMPLQDRLSASSSSNIIVIIIACMVVPMAAGGASPPNCQHKCGGVPIPYPFGIGDGCSFPGYGNEFQITCNNNSLVGRPPRPYLFGKHEIMDVAVEAAEIRIYEPVSYICFKSINQLSAENLWEFDSSSSPLLISPKKNKFVGLGCYTDAMLSGREDETYYTTCASYCPSENQLQVGEGRQCTGLGCCETPYITTNLSYMYFYFFENDNETRNPAWKYSPCSYAFMADISWYVMDSKISREDVIGNMTFVRRIGKQGVPLVLDWAIRDNGTCLTPSISNKNGKEHGNTCVSVHSYCLNTTNGPGYICKCSEGYAGNPYVSDGCQNVNECDPSIYNGNYPCIGGTCQDIEGGYRCKCNFGRRKDGKDGHTCNLVLPKQAIVAIGNSIRINPAFATICAISILAILLIFLHMKREKRKLQYAFDKNGGQLLKNIGIRIFTKKEMDKITNNYDTKIGEGNFGRVYMGTTDDKQKVAVKCPRPDTKHNTETETVNENRPSDFANEITVQFRINHKNVVRLLGCCLETNAPQLVYEFIPKGSLEKVLHGESNGSNLAKDPLPLQVRLEIAIQSAEALNYMHSSANQKILHGDVKPGNILLDDNFMPKVSDFGISRLLPIGKKHTSLVIGDPNYIDPEYMETGLLTQKSDVYGFGIVLLELITRKAPRYDDHKRLTIVFVKTYMTEKKAREMFDEEITTSTEAINCLDMISGIAVECLQQGVDERPTMKEVLERLRSAKEVMQGQR >LPERR11G13450.1 pep chromosome:Lperr_V1.4:11:14479845:14491170:-1 gene:LPERR11G13450 transcript:LPERR11G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVLIAGSAMMPDRNFTEHEIERITSGYTTLIGKGGFGEVYIGVLDNDDIVAVKRYIRGDLIQEFMEEVRIHSQIDHKNIVKLIGYCRGENTLIIVTEYISNGNLEDTLHNSDDSIPFDMRLGIAIGVKTRSISLIEIFTTAFAKGKDFSELFDAGIVSQSNIKILEDIAKLATKCLNLDVKKRPTMNDVTERLLVHRKALRGGDGNIGHRLFWRTQNEVEARHQESTNSFDHTNSITHDSGISKRNIGHANSVNSERTEEWWIQERISSVCYTSSVLCNFGISKRYVGLRNMDNSKILAKRWSHERNSSVSPTNSIMCPFGISKRNVGLANANKSEILAKLGNVRIFTKRELIEITENYSYLLGKGICSNFYKGTLDDNMLVLVEKYNDKANIEEFCNAVVILSEIVHKNIIKVFGYCFEDDIPFLVYEYSTNGNLYEILHGGQDFPLDLRFKIAIKTAEALEHLHTSSTGVIRHGSVMPSHILLDDNFMPKLAGFSFARRLTGHNKWTKSVKRHTSYTDPFFLNTGIVTVESDMYSFGILLLELITRKEHKCDNHLLCGRTHYCGLSLQFKRAYQKKGTTKAMLDKGITAEEDVAVLDTIGKLGLLCCNLDHAERLQSAGVAKRLEKLRRSWRSVRQRRRGPQVKDAMATGVAHLEGENDWIFNNRSLSPAQRFGDIKDELSVWKLSSWLRLLNQSNLLQDLGEELELPTLIDGELHRSEIHPPACCADSCWYKLEFLENMCAVRDIRDNNNDDIRNFTEDEIETITSSYSTLIGKGGFGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNVVKLIGYCRGEDTLVMVTEYISEGNLEDILHNRKVAMPLDTRLGIAIGCAKALNYMHSMHLSTDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLLGGTTRHTVNVKGSIDYMDPMYRRDGCLTSKNDVYSFGIVLMELISRKRVNEGKASLIYTFEAFFQGRVPLMEVFDGEIVNEGNLEALESIGKLANKCADWNIKNRPKMSVVVEQLLKLWESLRGGQGLLKMSLAVFKRITLNSTIQTKLQNTKVEAFSAGKLVRVTQNYSCLLGEDSFHEYYKGTLEDNTLVAVATYKLLPTVAFIHPVMIMSQIVHKNIIKLLGYCFEDYCPILVFEYAFASERCLTDILHGNKDHLPLELRLKIAVQTAEALAYIQSPSTGVSHHDTAVPSNIILDDNLIPKVTGYLLLLERKKMFKDMADYVHYMDPESVKSAVYSFGIVLMELISRKKPVYDKGCRLIDKFITDNSRKEMFDKDILSEDSFVVLEGIGQLAVKCTSKLVDKRPTMKQVAEYLEITRRHWKKHIAERARSATSCSEAEAAIAVGISGDEASELMTIMTSEISVKMRLKQLLAAIPLSSAKVALGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEAVRIHSQINHKNIVKLIGYYEYLTTKISDFGLSRLLSDGCLTPRSDVYSFGVVLLELITRKRLRELFDDEIVNEGNMEALETIGKSANKCLTSRKHSAWKQRSSLAGIVSEDCSLDCTSISIHPITRNWSQRPPSYGSVSTSNIILDDNFNPKVTGYMAKWTGLTD >LPERR11G13450.2 pep chromosome:Lperr_V1.4:11:14479845:14491170:-1 gene:LPERR11G13450 transcript:LPERR11G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVLIAGSAMMPDRLIWKERMIGSSTIDRFRQPSGSVILKMSFLLRLLNQSNLLQDLGEELELPTLIDGELHRSEIHPPACCADSCWYKLEFLENMCAVRDIRDNNNDDIRNFTEDEIETITSSYSTLIGKGGFGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNVVKLIGYCRGEDTLVMVTEYISEGNLEDILHNRKVAMPLDTRLGIAIGCAKALNYMHSMHLSTDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLLGGTTRHTVNVKGSIDYMDPMYRRDGCLTSKNDVYSFGIVLMELISRKRVNEGKASLIYTFEAFFQGRVPLMEVFDGEIVNEGNLEALESIGKLANKCADWNIKNRPKMSVVVEQLLKLWESLRGGQGLLKMSLAVFKRITLNSTIQTKLQNTKVEAFSAGKLVRVTQNYSCLLGEDSFHEYYKGTLEDNTLVAVATYKLLPTVAFIHPVMIMSQIVHKNIIKLLGYCFEDYCPILVFEYAFASERCLTDILHGNKDHLPLELRLKIAVQTAEALAYIQSPSTGVSHHDTAVPSNIILDDNLIPKVTGYLLLLERKKMFKDMADYVHYMDPESVKSAVYSFGIVLMELISRKKPVYDKGCRLIDKFITDNSRKEMFDKDILSEDSFVVLEGIGQLAVKCTSKLVDKRPTMKQVAEYLEITRRHWKKHIAERARSATSCSEAEAAIAVGISGDEASELMTIMTSEISVKMRLKQLLAAIPLSSAKVALGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEAVRIHSQINHKNIVKLIGYYEYLTTKISDFGLSRLLSDGCLTPRSDVYSFGVVLLELITRKRLRELFDDEIVNEGNMEALETIGKSANKCLTSRKHSAWKQRSSLAGIVSEDCSLDCTSISIHPITRNWSQRPPSYGSVSTSNIILDDNFNPKVTGYMAKWTGLTD >LPERR11G13450.3 pep chromosome:Lperr_V1.4:11:14479845:14491576:-1 gene:LPERR11G13450 transcript:LPERR11G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVAIAAAAGGQGEDLRRRLPPPPHAVRRESVAPPPTAATIPFDSRLIWKERMIGSSTIDRFRQPSGSVILKMSFLLRLLNQSNLLQDLGEELELPTLIDGELHRSEIHPPACCADSCWYKLEFLENMCAVRDIRDNNNDDIRNFTEDEIETITSSYSTLIGKGGFGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNVVKLIGYCRGEDTLVMVTEYISEGNLEDILHNRKVAMPLDTRLGIAIGCAKALNYMHSMHLSTDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLLGGTTRHTVNVKGSIDYMDPMYRRDGCLTSKNDVYSFGIVLMELISRKRVNEGKASLIYTFEAFFQGRVPLMEVFDGEIVNEGNLEALESIGKLANKCADWNIKNRPKMSVVVEQLLKLWESLRGGQGLLKMSLAVFKRITLNSTIQTKLQNTKVEAFSAGKLVRVTQNYSCLLGEDSFHEYYKGTLEDNTLVAVATYKLLPTVAFIHPVMIMSQIVHKNIIKLLGYCFEDYCPILVFEYAFASERCLTDILHGNKDHLPLELRLKIAVQTAEALAYIQSPSTGVSHHDTAVPSNIILDDNLIPKVTGYLLLLERKKMFKDMADYVHYMDPESVKSAVYSFGIVLMELISRKKPVYDKGCRLIDKFITDNSRKEMFDKDILSEDSFVVLEGIGQLAVKCTSKLVDKRPTMKQVAEYLEITRRHWKKHIAERARSATSCSEAEAAIAVGISGDEASELMTIMTSEISVKMRLKQLLAAIPLSSAKVALGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEAVRIHSQINHKNIVKLIGYYEYLTTKISDFGLSRLLSDGCLTPRSDVYSFGVVLLELITRKRLRELFDDEIVNEGNMEALETIGKSANKCLTSRKHSAWKQRSSLAGIVSEDCSLDCTSISIHPITRNWSQRPPSYGSVSTSNIILDDNFNPKVTGYMAKWTGLTD >LPERR11G13460.1 pep chromosome:Lperr_V1.4:11:14500111:14500448:-1 gene:LPERR11G13460 transcript:LPERR11G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGAARYKRIWPSTGLATIKSDVYSFDVILMALMPTYDHQLLMINFDKFSNKLLIISRHKFNQTVEGKCSIHSSEEMGRLAVRCVSAEDGRPAMAEVARQLEMLRT >LPERR11G13470.1 pep chromosome:Lperr_V1.4:11:14503705:14504364:1 gene:LPERR11G13470 transcript:LPERR11G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPDRCCLYDTQQIIRQRRLISLAILLLVAALSAFLTISLAVTPRLNATIDDARLNTFTFTFTSSYLTYNLSLAVTVTNPNKAIGISHTAPLVAVLAFHDRRLLRNSSTLVLVGEGYQQRPGKARRVVVVPAVEGRMSGGLLGAAAEEEFRRQNATGVFEVDLLLSGEIKNYPLVIVRKREVGARCALRLQIAPPGPEVVVFHQVNCEPAKPDNMFF >LPERR11G13480.1 pep chromosome:Lperr_V1.4:11:14503777:14504319:-1 gene:LPERR11G13480 transcript:LPERR11G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNDLRTRRRDLQPKRTPRANLTLPHNHQRIILDLPRQQQIHLENPRRVLPPKLLFRRGAEQTTRHPPLDGRHDDDPPRLARALLVSLADEHEGGGVAEETAVVEGEDGDERRGEVRGGEGEGEGVETGVVDGGVEARRDGEADGEEGGEGSDEEEDG >LPERR11G13490.1 pep chromosome:Lperr_V1.4:11:14505141:14510906:-1 gene:LPERR11G13490 transcript:LPERR11G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGPIIAGLVSKILPEFASWLQKEGRKRFEGLERDVNSIRDELQLIDAAILDHRSDSSSSSNSHKVWISQVRRLANDIEDWIDQFQVAETKKARQELAEQVLGFKQRSENIGKDPPAPTTNSNNSPQLGSKAARKTACRLDVAGEVGHPLPAAHGGDEGSLEELRELVVWRSDCQSERKLRVICIVGFGGIGKTSLAHKLYTSVSNQFPRHAWVNATNKRADQVLKAILEQLGKQADKGKGIDGASTSSKIPQPENADLKSCLRTKRYLIIIDDVQRREVLRSIIHEFPEDIEDSRIIVTTTVQSVAYDISSDSRHMYKVKTLSCDDPKELFFQVASMEKSPEPDKNQALSAIDSCDGLPLALVSIAEFMKRKRVTDNTDLAKQKVIARICEEALQACRDCDDRCKDEPRIGNGGCAAAAEACCDCDLSGRMQRVLFNSYHSLKNDGATIQYCLLYFSMFLVRRLMAEGLVQQDGVSTDPVNVAVKNLGVLIDRNVIQTIDENAKRCQTPGMMHEYISHKSMRKSFMRVLPCHHQHQQQPGKNEYIRRLTLHNYNGRKISAPSHLRTLAPKLKSDGIVDIGLDLKFANYKLLGVLDLEECDGLKNGHLQEICDTPLLLLKYLSLGGSITAVPRKIARLKCLQTLDLRRSKANTVEAPVEVILLPELKHLLGAFRLSRFDFLVKGLEKKLSKSELETLAGFDIGKSRGISRLLIHMGMLRKIKIWCESTADKANLTRVSRAIKKFIRNVHNTPADLSLSIDMAPGCRTEFLDFLQAAPGLGVLEYLKLVEDNLGDLVIEPGPDYESESDTESEAGSESESEHEHQSKSVYFPSLKRICLVSSKALPGITIQPGALKHVASIHLFSPQLPDPSKIGITNLKTLKEIALQKGVDKERVTLWKESAKRHHNRPNILEIENP >LPERR11G13490.2 pep chromosome:Lperr_V1.4:11:14505141:14510906:-1 gene:LPERR11G13490 transcript:LPERR11G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGPIIAGLVSKILPEFASWLQKEGRKRFEGLERDVNSIRDELQLIDAAILDHRSDSSSSSNSHKVWISQVRRLANDIEDWIDQFQVAETKKARQELAEQVLGFKQRSENIGKDPPAPTTNSNNSPQLGSKAARKTACRLDVAGEVGHPLPAAHGGDEGSLEELRELVVWRSDCQSERKLRVICIVGFGGIGKTSLAHKLYTSVSNQFPRHAWVNATNKRADQVLKAILEQLGKQADKGKGIDGASTSSKIPQPENADLKSCLRTKRYLIIIDDVQRREVLRSIIHEFPEDIEDSRIIVTTTVQSVAYDISSDSRHMYKVKTLSCDDPKELFFQVASMEKSPEPDKNQALSAIDSCDGLPLALVSIAEFMKRKRVTDNTDLAKQKVIARICEEALQACRDCDDRCKDEPRIGNGGCAAAAEACCDCDLSGRMQRVLFNSYHSLKNDGATIQYCLLYFSMFLVRRLMAEGLVQQDGVSTDPVNVAVKNLGVLIDRNVIQTIDENAKRCQTPGMMHEYISHKSMRKSFMRVLPCHHQHQQQPGKNEYIRRLTLHNYNGRKISAPSHLRTLAPKLKSDGIVDIGLDLKFANYKLLGVLDLEECDGLKNGHLQEICDTPLLLLKYLSLGGSITAVPRKIARLKCLQTLDLRRSKANTVEAPVEVILLPELKHLLGAFRLSRFDFLVKGLEKKLSKSELETLAGFDIGKSRGISRLLIHMGMLRKIKIWCESTADKANLTRVSRAIKKFIRNVHNTPADLSLSIDMAPGCRTEFLDFLQAAPGTLNSLKQQGELKEFPPFIVHLAGLTELCLWSTNLSGRDIISSVRGLGVLEYLKLVEDNLGDLVIEPGPDYESESDTESEAGSESESEHEHQSKSVYFPSLKRICLVSSKALPGITIQPGALKHVASIHLFSPQLPDPSKIGITNLKTLKEIALQKGVDKERVTLWKESAKRHHNRPNILEIENP >LPERR11G13500.1 pep chromosome:Lperr_V1.4:11:14517873:14525230:1 gene:LPERR11G13500 transcript:LPERR11G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTASSSGMSPLLRQLHSLKDTIDNLVPKGEGVGEDEIQDFKIALAELCINIKNLTQVERGTSLTAKRWMQEVQDLCYDTEDYLDRIVGKSDLNIPIKEMQRRRRIAADFLGLKARAEGAGERYTRCVLPAQNFCIKPNWEEASSSQQHPLPAESSSVLGVHGHIADLIDGLMDRLVKLLGFNAKEQFKVVSILGFAGVGKTTLARSLYHKYGGRFECRAFVRVSRNPDLRRIVLSMLSQIKSPRCHVSSDVQYLFDNVKKHLQGKRYLIVIDDLWASTTWDIISHALPRDNSYSTIITTTQTEDVAKACCSYNPNDIFKIRPLNSRQFNGLPSEATIMVANLLECNPTIVEQWMHLQNSLPSRDLGTNSTFEGMNELLSLIYDSLPQHFKTCFLHFNMYPEDYTIRKDDLVKQWVAEGFLDEVGGQDTDMAAKRYFDELINRGLIQPVDTNYNDEVLSCTVHYIVLDFIRYKSKEENFSTITIFKQDQQIADKVRRFSVQFGGAKGAKISGNIIMRQIRSLIYFGFFKCVPSIIEYDVLRVLILHVWADKENKSFDLSSIQKLFRLRYLKVACNVSVKLPSNIGRLQYLETLDLDARVVGNPMDITHLPNLLHLLLPRETNYKPYGIDRMTSLCTLKYFQLNNNPKHNVLKFLRLTNLQDLHLICSGIQTDSVDDNLECLGSIIRDLGKLKSLILDGGASTISLQCDGLSNIFSSPSPHLQKLKFSPQMFIFSSLPEWIGKLSKLSNLKIAVRALSRNNIDILKRLTTLTVLSLSVRTTPTDRIVFDEGFHSIRYFKFTCTAPCLSFGERAMSNVVKLKLVFNASSIEQYDLSSVCFQYLTSLEDISLKFGDASSYLPRGRNAAESAMAALFTKHPSTPIVNVKWAQGIFPGDEVKSISAQKRELQDPEKLGIIFMKDLGEQVVQEKKERSTAAGKGKHKTPEKKDVNEEKDTDEKKGLRENISREDIGKQDASRWPKVDVILRTSKQSESSSRTEAQSVEADIRGLIPMILGEAATADENKEECQRLAQRVSAIRDLLPERQDDLAEVPPSLVRLRDALQEAHKLVMACQKNSRIYRLMARQPGLDLATRFRDVLCHLNDFEKTIYDLRRVIRLLNQNYAKPSTPVEAFYTTASTKSLSQDAAKITWKELHSIVVQEFSNFSSKELLVATNNFAPDREIGEGSFGPVYMGILPNGKHVAIKRIAVNAVYPAWGINDFRSKVKIVSSLHHRNIVRLLGCCTLEKKEQLWFSSSSSWFREDHLLVFEYMENGSLWDHLFGPLSLSSPCSPVIATWETRIKILLGASRAIDFLHSCILTPIVHRNIKSSSILLDSNWEPRLSGFYRRAFGINSVGYMDPEYFQTGSINSAIDVYSFGIVMVEVLTGIRPINRKEDGLR >LPERR11G13510.1 pep chromosome:Lperr_V1.4:11:14532793:14533032:-1 gene:LPERR11G13510 transcript:LPERR11G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLLGDAAEEEFRRQNETGVFEVDLLLSGEVTNYPLVIVRKVGARCALRLQIAPPGPEVVVFHQVNCEPAKPDKMFF >LPERR11G13520.1 pep chromosome:Lperr_V1.4:11:14535805:14543432:1 gene:LPERR11G13520 transcript:LPERR11G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVASGSGGSRRNGLIIRTPLATASTAADAEISSVAATADKVFVAVAADVEHGRSTLQWTLQELASKYDAKVIVVVAHVHCPAQMIPALGAKLHYTKMNPQQVSDYWKRERVKAEEKLDKYLLICRKLKVSCEKLIIEKDDIAKGLEDLIALHGVTKLVMAAAADKHYSREANTNVPAIPPSPSHTIASPFSSTNSISSLVRSVIMHTSESEASNSSGSTPRHYLARSTTEVETPRHHPSQSSGLTAPPQLFEHLVRNVDDWPTAIGSTNSWDEYRRSQYSWHDSSRNGGTVMISGSAIQQPMYEPDDDHFSSPPELENSDDDADIHGRLEELKKKVHEESTKRQNAERNLISALQKVRELENMYQQEIRQRKIVEETLEKQIQETEETKRQCNTIYDMLHDVEEQKLMVECWITEMKAVANEHENELEKMKCLLQVLQEEKEKLQQERDAAASEAEELRRKEEQRINSIPVEEVNIEFSFFELEQATRGFNEELKIGVGGFGSVYKGRIRNTTVAIKLLHPHSLQGQSEFDQEVRTKIICEMCSALIFLHSCKPHPIIHGNLTATNILLDANLVSKLGDFGICHLMTRFNTATRLTTPKGTLAYMDPEFLTTGELTPHSDVYSLGIIILRLLTGRPPQKIAEVVEDAIDNGSWPFVQASQLAHLGLQCAEMSRRRRPDLVGNVWKVVEPLMKAASLTAGRAPSFTILPDDTPSPSYFLCPIFQEEMSDPHMAADGFTYEAEAIRGWLDSGHDTSPMTNLKLQHRELIPNRALRSAILEWQQQRGAPTTASTS >LPERR11G13530.1 pep chromosome:Lperr_V1.4:11:14540615:14544501:-1 gene:LPERR11G13530 transcript:LPERR11G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAVAVRLLSRTLRPLWYSSLDCDSRLFNLSLVACNNMFQMSARRIVLQTCGEDGSKFVRGSVHRSSRRVRGIKLWR >LPERR11G13530.2 pep chromosome:Lperr_V1.4:11:14540732:14544501:-1 gene:LPERR11G13530 transcript:LPERR11G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAVAVRLLSRTLRPLWSTLCYYIIKEKIRTMFQMSARRIVLQTCGEDGSKFVRGSVHRSSRRVR >LPERR11G13530.3 pep chromosome:Lperr_V1.4:11:14540732:14544501:-1 gene:LPERR11G13530 transcript:LPERR11G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAVAVRLLSRTLRPLWYSSLDCDSRLFNLSLVACNNMFQMSARRIVLQTCGEDGSKFVRGSVHRSSRRVR >LPERR11G13540.1 pep chromosome:Lperr_V1.4:11:14546088:14553469:-1 gene:LPERR11G13540 transcript:LPERR11G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSATGVVVHGCVTPFNILLDDNFMSKVSDFSMSRNLTMRNGYDIKSTLPTEVKMQHYSDSGWTRFPTVDGDVYSFGVLLMDLIIRKPIFLHDINFVTEFREYYMGGNIPRAFDMEITTEGDVAILEEIGRLAVRCTDEEHAARPTMEEVAQVLKRMELPESKLRDFIQSDDKAKWRADNNHNIMRFTGDEIKRITNNYSTVIGKGGFGQVYKGVLDDNRVVAVKKYIIEQNSALMVVTEFISKGSLHDILHQSDASISLDTRLRIAIQCAEALGYMHSSMYTLLIHGDIKLANILLDDSFNAKISDFGISRFLAGDDTRYTINVKGSIDYMDPILLRDGRLTPKNDIYSFGAVLLELITRKRIKEEGKFSLIGSFTEDNSKGKWMKDLFYADIACDSNLKIINEIAKLATEWLTLDMNKRPMMNVVAERLWKLREYHNGGHEKTTFWRSFWGTQDLFEQEKQCTSSASHVSSKNPKKKSFAIFKWNMVQLSTIEELEDNTLVTVKKPCHEDEGLKYCFVNEMMILCQISHNNIIKLLGCCLEPDIPILVYEYSAKGSLSDILRRDYGHREYGYFSLALHLKIASETAKALAKVSCFFASRSITKESDQIVPILLRMLSCTDPVYLRTGLATIKSDVYSFGVILMALIIGRMPTYDQEFVAEFTKVYETGDSGGKMFDPFIRGEDDMAVLEEMGRLAVRCVGAEDGRPAMAEVFNILFGLRALSCWRKLEFLENMCAAKEITDNKNIDGIRNFSEDEIETITSGYSTLIGKGGFGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNVVKLIGYCRGENTLVMVTEYISQGNLEDILHNRKVAMPLDTRLGIAIGCAKALNYMHSMHLSTDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLLGGTTRHTVNVKGSIDYMDPMYRRDGCLTSKNDVYSFGIVLMELISRKRVNEGKTSLIYTFEAFFQGIVPLMEVFDGEIVNEGNLEALESIGKLANKCADWNIKNRPKMSMVVEQLLKLWIGLRGRQGFLKMSLSAFKRIALNSTIQTKLQDVKVEVFSAEKLISVTQNYSCLLGKDPIRACYKGTLEDNSLVAVVKHLYGFHSSDGFIYAVMRTPQIVHKNIIKLLGYCLEGYHPIFVFEYAFASKRHLEYILHGSKDHLPLELRLNIAVQTAHALAYIQSPSTEVGHHDSVVPSDILLDDNFTPKVTGYWPLLKRYGMFRDSSEPEPWSGPYDVYMDPKSSLVKSDVYRFGIILMELISRKKPVYDKGSRLIDKFRTENSRREIFDKDILSEDSFVVLEGIGQLAVKCTSKLVDKRPTMQQVAEYLEITRRHWKKHIVESARTATSCSEAEAAIAIGISGDEATEFDRPLSYCP >LPERR11G13540.2 pep chromosome:Lperr_V1.4:11:14546088:14553469:-1 gene:LPERR11G13540 transcript:LPERR11G13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSATGVVVHGCVTPFNILLDDNFMSKVSDFSMSRNLTMRNGYDIKSTLPTEVKMQHYSDSGWTRFPTVDGDVYSFGVLLMDLIIRKPIFLHDINFVTEFREYYMGGNIPRAFDMEITTEGDVAILEEIGRLAVRCTDEEHAARPTMEEVAQVLKRMELPESKLRDFIQSDDKAKWRADNNHNIMRFTGDEIKRITNNYSTVIGKGGFGQVYKGVLDDNRVVATLLKEVIAHSQVNHKNVVRLVGYSIEQNSALMVVTEFISKGSLHDILHQSDASISLDTRLRIAIQCAEALGYMHSSMYTLLIHGDIKLANILLDDSFNAKISDFGISRFLAGDDTRYTINVKGSIDYMDPILLRDGRLTPKNDIYSFGAVLLELITRKRIKEEGKFSLIGSFTEDNSKGKWMKDLFYADIACDSNLKIINEIAKLATEWLTLDMNKRPMMNVVAERLWKLREYHNGGHEKTTFWRSFWGTQDLFEQEKQCTSSASHVSSKNPKKKSFAIFKWNVRNTELLSKLGRGNYINLQRAIPVYFLKMVQLSTIEELEDNTLVTVKKPCHEDEGLKYCFVNEMMILCQISHNNIIKLLGCCLEPDIPILVYEYSAKGSLSDILRRDYGHREYGYFSLALHLKIASETAKALAKVSCFFASRSITKESDQIVPILLRMLSCTDPVYLRTGLATIKSDVYSFGVILMALIIGRMPTYDQEFVAEFTKVYETGDSGGKMFDPFIRGEDDMAVLEEMGRLAVRCVGAEDGRPAMAEVFNILFGLRALSCWRKLEFLENMCAAKEITDNKNIDGIRNFSEDEIETITSGYSTLIGKGGFGEVYRGVLDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNVVKLIGYCRGENTLVMVTEYISQGNLEDILHNRKVAMPLDTRLGIAIGCAKALNYMHSMHLSTDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLLGGTTRHTVNVKGSIDYMDPMYRRDGCLTSKNDVYSFGIVLMELISRKRVNEGKTSLIYTFEAFFQGIVPLMEVFDGEIVNEGNLEALESIGKLANKCADWNIKNRPKMSMVVEQLLKLWIGLRGRQGFLKMSLSAFKRIALNSTIQTKLQDVKVEVFSAEKLISVTQNYSCLLGKDPIRACYKGTLEDNSLVAVVKHLYGFHSSDGFIYAVMRTPQIVHKNIIKLLGYCLEGYHPIFVFEYAFASKRHLEYILHGSKDHLPLELRLNIAVQTAHALAYIQSPSTEVGHHDSVVPSDILLDDNFTPKVTGYWPLLKRYGMFRDSSEPEPWSGPYDVYMDPKSSLVKSDVYRFGIILMELISRKKPVYDKGSRLIDKFRTENSRREIFDKDILSEDSFVVLEGIGQLAVKCTSKLVDKRPTMQQVAEYLEITRRHWKKHIVESARTATSCSEAEAAIAIGISGDEATEFDRPLSYCP >LPERR11G13550.1 pep chromosome:Lperr_V1.4:11:14553491:14574723:-1 gene:LPERR11G13550 transcript:LPERR11G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRTRLDRRSTAPAPAIHRPAPARIRSAARRRREEWREHVISFTEHDIEKLTSNYSTLIGKGGFGEVFRGIIDDEDDVVAVKKYIRGDLREDFMEEVDKSGKAMFDKDITAEEDISALEEIGRLALECVRENINERPTMKEVAERLKMIRRAWIRQIRELTETEATKAVEDNITTLRDLGQGDDNTKLTIGNYGNIRSFTEHNIERITSNYSTPVGKGGFGEVFRGVLDDDHGEVAVKRYIHKDLREEFMEEVRIHSKLNHKNVAKLIGYCLGENTLTMVTEFIPNGNLDEALHNSDLVIPLDTRLGIAIGCAQALTYMHSMHLCFDSLVCHGDIKPANILLDENLIAKVSDFGLSRLLAGGITQYTSIVKGSMNYVDPIYIHTGRLTPRSDVYSFGIVLLELITRRTVKMGRISLVDTFNEAFANGKMLPRELFDAGILKGSNVKILENIAKLATKCLTLDINKRPRINDVRKHLLILWKDLRGDRNLSLRFFRRTENKLEVWNEQAGTNNVSSTSSMLRLLGISKRISSNSETPRFFTKGELREITKNYSYPIGRGWSSTVYKGTLEDNTMVSVMESHEVNEAQRQQYRNASKIQTQTVHKNMIKLLGCCVEEDALVLVYEYAAKGNVSDILHGQEDFPPQLRLTIAAKTAEALAYLHASSNRHGCVMTLNILLDNNFVPKIAGFSVSRRFINDKIHARFDHEIMNYVHPVYSNYGIRSVKSDVYSFGVVLLELISMKKPVYREREHCLVSEFFAAYKLYGSGEALFDERIKSEQDMVVLEKMGRLALECTCSEVDQRPAMEEVAGRRRRRRVQRPRVARASVAAAAHSIRGEVMIELEGTSQKSAVHPQLLGISQPLSVELWRASVTKFPENKCIIDILHGRHSRDNWTVDDNHNIKSFTEDDIERITSKYSTRIGKGGFGEVFRGFLDDEDNVVAVKRYINCELIEQFMKEVGIHSQIDHKNTVKLIGYCVGESTLTMVTEFISNRNLDDALHNNDISIPFITRLDIAIGSAEALSYMHSIHLLTGSLVCHGDIKPANILLDQNLTAKVSDFGLSRFLSCGTTRYTMDVKGSYNYADPIYLQKGCLTLRSDVYSFGVVLLELITRKRGTISLIDTFSKAFAKGRVSLREIFDAQIVNDSNLSALEEIGKLTTDCLTLDIDRRPKMHDVAKQLIIIWKGLRGGQEIGWSKKLGLGIFNRNAISSKVLLQLGNNVRIFTGRELIEVTQNYSCLLHNGQHAAVYKGSLEDNTLVAVKRCHKHSSKNEYLKNSVANEATTISHVPHKNIIRLLGCCLESDIPILVYEYASKGTLAGILYCSYPSKGNPSDVVYSGRYFPLALHLEIAA >LPERR11G13550.2 pep chromosome:Lperr_V1.4:11:14553491:14567162:-1 gene:LPERR11G13550 transcript:LPERR11G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEHVISFTEHDIEKLTSNYSTLIGKGGFGEVFRGIIDDEDDVVAVKKYIRGDLREDFMEEVRIHSQMSHKNIVKLIGCCIGETNLMMVTEFISNGNLEDKLHKSDIPIPLSERLGIAIGCAEALSYMHSMHLSGGSVIFHGDIKPENILLDANLTAKVSDFGISKSLSGGITRCTSIVKGSRPYMDPIYYREGHVTRKSDVYSFGAVLVELISRKSMKEGVISCEAFNQACAKGKGLKKLLDPTIKLDMNILEGIAQLAAECMSCEIDKRPSMNVVAQRLRVLKIKEREKRASSRLSLRIVSALKKGYKQRTSVFSSHTLKKKEIVLGSTPSDFRIFTKKDLIEITYNYSYLLGNGRFFEVYRGSLEDNTMVAVKKFSTIEDLMGDTISNTATITHKYIIKLLGCCFDVACPFLVFEYAAKGSLDDILYSDEDMPLELRLNIAVKTAEALEYLHTSAFCVIRHGDVRPYTILLDNNFIPKIGGIGQLFNRPTLDAKYTYNVRRRRDYSDPFYMETRRLTVKSDVYSFGIVLFELISRKKPVYICGNHNLTSRFIRAYEVDKSGKAMFDKDITAEEDISALEEIGRLALECVRENINERPTMKEVAERLKMIRRAWIRQIRELTETEATKAVEDNITTLRDLGQGDDNTKLTIGNYGNIRSFTEHNIERITSNYSTPVGKGGFGEVFRGVLDDDHGEVAVKRYIHKDLREEFMEEVRIHSKLNHKNVAKLIGYCLGENTLTMVTEFIPNGNLDEALHNSDLVIPLDTRLGIAIGCAQALTYMHSMHLCFDSLVCHGDIKPANILLDENLIAKVSDFGLSRLLAGGITQYTSIVKGSMNYVDPIYIHTGRLTPRSDVYSFGIVLLELITRRTVKMGRISLVDTFNEAFANGKMLPRELFDAGILKGSNVKILENIAKLATKCLTLDINKRPRINDVRKHLLILWKDLRGDRNLSLRFFRRTENKLEVWNEQAGTNNVSSTSSMLRLLGISKRISSNSETPRFFTKGELREITKNYSYPIGRGWSSTVYKGTLEDNTMVSVMESHEVNEAQRQQYRNASKIQTQTVHKNMIKLLGCCVEEDALVLVYEYAAKGNVSDILHGQEDFPPQLRLTIAAKTAEALAYLHASSNRHGCVMTLNILLDNNFVPKIAGFSVSRRFINDKIHARFDHEIMNYVHPVYSNYGIRSVKSDVYSFGVVLLELISMKKPVYREREHCLVSEFFAAYKLYGSGEALFDERIKSEQDMVVLEKMGRLALECTCSEVDQRPAMEEVAGRRRRRRVQRPRVARASVAAAAHSIRGEVMIELEGTSQKSAVHPQLLGISQPLSVELWRASVTKFPENKCIIDILHGRHSRDNWTVDDNHNIKSFTEDDIERITSKYSTRIGKGGFGEVFRGFLDDEDNVVAVKRYINCELIEQFMKEVGIHSQIDHKNTVKLIGYCVGESTLTMVTEFISNRNLDDALHNNDISIPFITRLDIAIGSAEALSYMHSIHLLTGSLVCHGDIKPANILLDQNLTAKVSDFGLSRFLSCGTTRYTMDVKGSYNYADPIYLQKGCLTLRSDVYSFGVVLLELITRKRGTISLIDTFSKAFAKGRVSLREIFDAQIVNDSNLSALEEIGKLTTDCLTLDIDRRPKMHDVAKQLIIIWKGLRGGQEIGWSKKLGLGIFNRNAISSKVLLQLGNNVRIFTGRELIEVTQNYSCLLHNGQHAAVYKGSLEDNTLVAVKRCHKHSSKNEYLKNSVANEATTISHVPHKNIIRLLGCCLESDIPILVYEYASKGTLAGILYCSYPSKGNPSDVVYSGRYFPLALHLEIAA >LPERR11G13560.1 pep chromosome:Lperr_V1.4:11:14575441:14577420:-1 gene:LPERR11G13560 transcript:LPERR11G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLENKIGTRELSQRDDKPKGTVDENNNIRRFTECDIKRITNNYSTMIGKGGFGKVYRGVLDDNHGEVAVKKYNDENLIEEFMEEVNIHSKINHKNVVKLIGYCIGENTLTMVTEFIVNGNLDNTLHNSDIPISLVTRLGIAIGCAEALSYMHSMHLSSDNLVCHGDIKPANILLDANLITKVSDFGLLRLLARGVTRHTRNVIGSRDYMDPSYFLEGRLTRKNDVYSFGAVLLELIARKRVRHGNINLISTFREAYAKGRGLSQLCDAQIPTENNTRILQEMGKLAIECLALNINKRPHINDVAERLRMLWKALRGEGNIGTGGFKRTQSKSHTRDGQGTDSASVNYSRLHLLGISKRNNDNSDTVRNFGKIRIFSMEELSEVTENYSCLLSIGWPYEVYKGTLEDNTMVAVKISREDYDVQKRVFCAAAMIHSQIVHKNIIKLLGCCVDVIPVQVYEYAGKRNLSDVLHGGYDFPVELRLMIAVKIAQALAYLQSPAVGVILHGGVTPSNIYLDDNLVPKIGGFLDSRRLTEDENYANFVLNDMAYIDPNFYLKGILSEKTDVYSFGVVLFELISRKKEVYQEGDNRLIPKFIRAYETYESGTKMFDKTITDKEDIAVLEKIGRLALQCINCNPHQRPTMNNVAGILKTLAVWFVS >LPERR11G13570.1 pep chromosome:Lperr_V1.4:11:14584804:14589055:-1 gene:LPERR11G13570 transcript:LPERR11G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLAPDDPDDGDEPRRPSASASPSSPPRGVREDLTELTDALANRFHGLASFLTPTPGGGGAPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPDEGSFAGAEEADPAGFTEEAVAFARDAAMRPELWLDFPLLSDDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGSFWKIYFVLLHPKLSNDDAHLLSTPQKLSKNRERKRRSMDDKEFLKLSAPTDPYRNDDDDDALPATCLLEFVAYIADCRNATTATCKLGSGVEVQVTICAMAPPLVSHVCVYCPGFDHTIFACEPKVVATEGDLLVLRIALGPDDASGNNYCLYQVQAGRASLRLLLPPSPGLVPDDPYAPLFDDHNVGILRYHPSIDHPASYVIAALTHGSVPGHYDLHLLHSNAEGLICKRGLPCPLPKSCDNHNFTKVITVGGEAGTIGWVDLWKGILFCDVLKDNPVLHYVPLPPPMLEASKLQGCPRNVRDISVIKGIIRYVELQIHIKPGSFTRGSYISNGWTVATWSRISTNPLEEGWHQDYKLDASQFCFENNPVHYNLLPELLDDQGIPQLTMARLHTGHPILSIHDHDTVYLMTKVNYLDDKAWVLAIDMRNNTLQDVAEFNGERAIGFSYSFAQSGISEYLNMLPDISFCCFTWSGTEYKEGKILEARKMLSCNLEYESKLGSNKDTMSVPFSNIDGNVPSPIEVVGISKNEDDSARAYGAPQPVILEMQSNDTLNDAGALRADNITSSISVQLVPVLKDATEFSQVRMEERTQDFAAQESQDTGTNEQPGQLSEITLEYNSEEQKKQPMTNVNEQSRVVIQKSNNDDDNDEEDEWLEEETGGAGSTTIPIADDEDVSFSDLEEDDAT >LPERR11G13580.1 pep chromosome:Lperr_V1.4:11:14592914:14594959:1 gene:LPERR11G13580 transcript:LPERR11G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSAAAAVVVVFLLSGLPVALSQQPYGYQIADCDNNHNDTGLLGYFCSRRGSPPSCQSYLTFHATPRHPDLASIASLLNADASALAAANSANSSSTSPLAPGTKLLVPVTCTCTGGSTSSYHYQRNASYVAVPGDTLFVIANVTFQGLTTCQAAMEQTLGGGGGGGATPARDLLAGQRVAVPLRCACPSPAQAAAGVRYLVTYLVDEFDEVNAVAARFGVDPASLAAANGLDGEMVIYPFTTLLLPMKSPPDASMLRSPLRPPPPPPPVTAAPAKNRKNHAAVYAGIGGAVAVLAAVAVAAAALVVRSRRRRAIAAAIAGKGDAKTVLSPALTGGEVSVSISEAFSGLSLSDIISSLKVFTHAELAAATDGFSVDRHVGGSVYRAVFDGVDSSVEIVDRDVSAEIDIMRRINHVNLIRLVGVSHHAGRWFLVSEFADHGSLREYLAGAGDGEVSPPAMSWTERVQIGLDVAEGLRYLHGYTRPPHVHMDVSSDSVLLAGDVGNGVLRAKIRNLGGARVIRGGSEGDSAAAFTMTSNIAGRRGYMAQEYVEHGVVSPKADVYSLGVVLLELVTGKDVDELDDSFAGVNAVAGALDGGDEEEVIKRMEELLDPAMEGRCPPREAVEMMVRLIERCVRRDGGGRPGMGEVAQRLLMIRGVSGGDDGWHSSLEHYRSSHHPSS >LPERR11G13590.1 pep chromosome:Lperr_V1.4:11:14595541:14595935:-1 gene:LPERR11G13590 transcript:LPERR11G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVMCQNLGFGILLMNTVVMRRIVEESSTDNDLAYEFAKHYKNSGSGRGLFDEEIAVKEEDIAVLDEIGRLAIRCTNSKLGQLPLMAEVAQQLETLMRCQREC >LPERR11G13600.1 pep chromosome:Lperr_V1.4:11:14596101:14597492:-1 gene:LPERR11G13600 transcript:LPERR11G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTAIKLATNGLTHGGYDKMWNVDSNHNIKIFTEDEIKRITSNYSTPIGNGGFGDVFKGVIDDEHDLVAVKRYIHTDVRADFMKEVSSHNQISHKNTVKLIGYCTSENTLTIVTEFMPNGNLEAILHNSDISIPLDTRLGIAIGCAEVLSYMHTMHLSNGNLVYHGDIKPANILLGDNLTAKVSDFGLSRLLAGGVTQYTSIIKGTINYMDPIYLRMGCLTPRSDVYSFGIILLELITRKRVKERNINLIESVSEVRTKKEVLKLVDAEIANEGNLDTLEEIMKLAIECLMMEIDKRPRMKNVTKRLVKLWRGVRGAQDIGWLKKGSRFFKWNNAANSEKLGNVRKFMAQELNEITKNYSSAIFHIDSIGSWYRGTLEDNTLVVLEKTDKDDRHSDYSDYRKASIRNAAMISSHISHKNILNLLGCCLEGEFPALVHEYTLLGGPSMTYSCLGNMMTTTISH >LPERR11G13610.1 pep chromosome:Lperr_V1.4:11:14628842:14635846:1 gene:LPERR11G13610 transcript:LPERR11G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVACRCHGPGSTPPPSLLQRQLLHKQPTSSHRRRRRHLLTPASMADEDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLISPSTSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFERESFDLVVEKGTMDVLFVDSGDPWNPNPDTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEWSTFGDGFHYFFYILKKGKRSLDSIADQHTQPTTPSINMFHEELESEDYIFRTNVDEFSKFVNQCCKCVV >LPERR11G13610.2 pep chromosome:Lperr_V1.4:11:14628842:14636033:1 gene:LPERR11G13610 transcript:LPERR11G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVACRCHGPGSTPPPSLLQRQLLHKQPTSSHRRRRRHLLTPASMADEDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLISPSTSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFERESFDLVVEKGTMDVLFVDSGDPWNPNPDTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEWSTFGDGFHYFFYILKKGKRSLDSIADQHTQPTTPSINMFHEELESEDYIFRTNVDEL >LPERR11G13610.3 pep chromosome:Lperr_V1.4:11:14613767:14636033:1 gene:LPERR11G13610 transcript:LPERR11G13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDIAPCTASTYLDPSYWEKESNAGRPAAEGGRAVREGGVLRVVQGLLPLPPPPRPAPLLSPSTSVFEVGCGNSQLGEELLREGVAGGITCVDLSPVAVQRMRDHFAEQGTNADMLDLPFERESFDLVVEKGTMDVLFVDSGDPWNPNPDTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEWSTFGDGFHYFFYILKKGKRSLDSIADQHTQPTTPSINMFHEELESEDYIFRTNVDEL >LPERR11G13610.4 pep chromosome:Lperr_V1.4:11:14628842:14635776:1 gene:LPERR11G13610 transcript:LPERR11G13610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVACRCHGPGSTPPPSLLQRQLLHKQPTSSHRRRRRHLLTPASMADEDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLISPSTSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGRVFTGLVNVNLKAVVSFMILINDQWSLLFGILGVKCCPLLLAGVEVVVADMLDLPFERESFDLVVEKGTMDVLFVDSGDPWNPNPDTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEWSTFGDGFHYFFYILKKGKRSLDSIADQHTQPTTPSINMFHEELESEDYIFRTNVDEL >LPERR11G13610.5 pep chromosome:Lperr_V1.4:11:14628842:14636033:1 gene:LPERR11G13610 transcript:LPERR11G13610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVACRCHGPGSTPPPSLLQRQLLHKQPTSSHRRRRRHLLTPASMADEDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLISPSTSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGRVFTGLVNVNLKAVVSFMILINDQWSLLFGILGVKCCPLLLAGVEVVVADMLDLPFERESFDLVVEKGTMDVLFVDSGDPWNPNPDTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEWSTFGDGFHYFFYILKKGKRSLDSIADQHTQPTTPSINMFHEELESEDYIFRTNVDEL >LPERR11G13610.6 pep chromosome:Lperr_V1.4:11:14613767:14628694:1 gene:LPERR11G13610 transcript:LPERR11G13610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDIAPCTASTYLDPSYWEKESNAGRPAAEGGRAVREGGVLRVVQGLLPLPPPPRPAPLLSPSTSVFEVGCGNSQLGEELLREGVAGGITCVDLSPVAVQRMRDHFAEQGTNGPNGF >LPERR11G13620.1 pep chromosome:Lperr_V1.4:11:14629887:14630252:-1 gene:LPERR11G13620 transcript:LPERR11G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMMAIMFIMVAQLLPLATTAAAGTSSSWEEEPAITGSSSITSAGDLVWKVGIRRMLLQDGGDCIPHYEVCCSNCGWPFERTNCCDPDNYMCQYWPEMDPAHGQDWCIPRDYWQSSGSS >LPERR11G13630.1 pep chromosome:Lperr_V1.4:11:14636279:14638533:1 gene:LPERR11G13630 transcript:LPERR11G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAYLDADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >LPERR11G13640.1 pep chromosome:Lperr_V1.4:11:14639138:14640800:-1 gene:LPERR11G13640 transcript:LPERR11G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQSQPDHHYSYHNTSTASNAAAVAATSPNYYNPSSSTHLHNNHNLEAMQSMVSYPNTSNEVTTTPKVFQFQTWMKPSPTASAMAMQEFQEEQEPAAAMASSINGCKDHSAVMITSDDKLSSSEMMMAAMSQGDADGRSTATTTFSLLENWLLDDMPGQAAMSAMDSFLEISAGFCCADPIMF >LPERR11G13650.1 pep chromosome:Lperr_V1.4:11:14646003:14651110:1 gene:LPERR11G13650 transcript:LPERR11G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVYTVKVGEATPAGGGKPSAGPVYRSIYAKDGLMELPEDILSPWDFFSGAAKKYPKNKMLGQRQVSDGKAGDYAWLSYEQVYQKVIKIGSAIRSLGVKQGGHCGIYGSNCPEWVMSMQACNSQGLCYVPLYDTLGANAVEFIMDHAEISIAFVQDSKIKSVLSAVQKCRAHIKAIVSFGNVTSELKSEAEQLGLSCFSWEEFSAMGKQDYELPKKRKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKALILNMKVAEDDSYFSYLPLAHIFDQVIGNYCISKGASVGFWQGDVRYLMEDVQVMKPTIFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLSKGLKQHEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHIEEFMRVTSCGVLVQGYGLTESTSGCFTSIANVFSMIGTVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYMQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGDFAKLCNDPKARMYIQDELNKTGKKLGLRGFEMLKAVHLETVPFSIDKDLVTPTFKLKRPQLLKYYKDCIDKLYKDAKEGTKQ >LPERR11G13650.2 pep chromosome:Lperr_V1.4:11:14646003:14651261:1 gene:LPERR11G13650 transcript:LPERR11G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVYTVKVGEATPAGGGKPSAGPVYRSIYAKDGLMELPEDILSPWDFFSGAAKKYPKNKMLGQRQVSDGKAGDYAWLSYEQVYQKVIKIGSAIRSLGVKQGGHCGIYGSNCPEWVMSMQACNSQGLCYVPLYDTLGANAVEFIMDHAEISIAFVQDSKIKSVLSAVQKCRAHIKAIVSFGNVTSELKSEAEQLGLSCFSWEEFSAMGKQDYELPKKRKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKALILNMKVAEDDSYFSYLPLAHIFDQVIGNYCISKGASVGFWQGDVRYLMEDVQVMKPTIFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLSKGLKQHEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHIEEFMRVTSCGVLVQGYGLTESTSGCFTSIANVFSMIGTVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYMQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGDFAKLCNDPKARMYIQDELNKTGKKLGLRGFEMLKAVHLETVPFSIDKDLVTPTFKLKRPQLLKYYKDCIDKLYKDAKEGTKQ >LPERR11G13660.1 pep chromosome:Lperr_V1.4:11:14652193:14655036:-1 gene:LPERR11G13660 transcript:LPERR11G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMILLAAKKISVALGNEAINQATSYFQKYVTQLTELQGSMGRIRRELRLMHEFLSRMDVRNRYNKTYEIWVEEVRVLARQIEDIVDDYLHLVGHKHDDTGWFTYLKKGFKRMKGPNALLSLNRIVSSVKEAEANLVHIFQAKERWVLMVRGEATCERSSYIIETSRHLASISCSLEEEDLMGVDENRKRLREWLTSDELEREVIVLHGMGGLGKTTLAANVYRNERENFECHAWVSISQTYCIRNVLKCLITELFRNAKQNPPTNIEDMNIEGLQNELKIFLKDHKYLVILDDVWAPEAASDLFTALVSNLKGSRVLLTTRIDGVAYLAFPDKRIALEPLSQIESWELFCKTAFAREKKQECPVEVKHVAYQIASKCKGVPLAIVSVGRLLFARDKTEEEFRRIHNQLDWEIINNPSMEHVRNILHLSYTYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRSGSTMEVIAEGYLKELVHMNMLQLVERNSFDRIKSFRMHDIVHELAVDLCQRECFGVAYNDKNKHGEFLEEKDERRMVIHRFNKDIGQSIPSECHLRSFIALDKGIPPSNLLPMLVNKCRYMSVLELSGLPIENVPDAIGDLFNLRHLGLRDSKVRLLPNSIEKLSNLVTLDLCTSKIHELPRGIVKLNKLRHLFAEKANDCSGRQLRCRTGVHIPKGLENLRELQTLQALQLQDQSLSNLRELRQMRSIKLWDVKESFCERLCLSLRQMELLSYLSIAASDEDEILHLSGLIPLPPNIEKLRLRGRIAQASMLLGVVAAEGVQNHLYSIHLSWSQLVEDPLPSLSQWSNLTDLLLNKAYIGDELVFHQGWFPNLKELYLGDMPHLKRLEIQQGSMANLQQLFLVNLRSMMEVPLGIEFLMPTLKSLGFVEITQYFLGVLRQCSRISCGTRWWYTLIGEASQESKGSDDEGIMK >LPERR11G13670.1 pep chromosome:Lperr_V1.4:11:14661351:14662687:1 gene:LPERR11G13670 transcript:LPERR11G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLLLLLLLLRSMARLPQIQPPPDGGRVGSSATPPSSHGGWSLTAVGLDRWLRTRCSKEQAVRSD >LPERR11G13690.1 pep chromosome:Lperr_V1.4:11:14680775:14683900:1 gene:LPERR11G13690 transcript:LPERR11G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQELQEVIKGRIGDDGDLNDARRQPDDDEEDNAPNPYDYRLFFEFYWHFYNCKIDDVTRGPTSRTPASRIYPCVEVYLCRVIDLTAGLAWPIDVFGFIAARDSHDRKRNYIFNRERDNAQTLTAEDSTLVLTGPIRAISCGHIIDFEIELKLRGKTKSDKDKVLSARYIVYESFGAGANVGLVRSEARPGKRCSVEITFAHLAKAVEAAIEVRIVQGSSDFYGRFVARTDGYDEDVVLLDCTGSVPVTNGDGVIRLARSVVVVESTGVLNLHVSTRTGHVENVFAECHAEFAAQCLESSRKILDLGFCKMLATISWSMIPMI >LPERR11G13700.1 pep chromosome:Lperr_V1.4:11:14693764:14696556:1 gene:LPERR11G13700 transcript:LPERR11G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAIVAAASSFLFLIIAISGCEPLERDALLVFKEGIMKDSAGLLSSWQRGGHDQLQDCCQWRGVRCHNRTGHVIKLHLRNDHGDVRTALVGEIGQSLISLEHLKYLDISMNNLTGKTGRLPEFLGSFRSLRYLNLSGTMFSGLVPPQLGNLLNLRYLDLSGMEPFLYTGNVSWLVHLSKLQYLNLNGVNLSTALDWAHALNMVPSLKVLSLSSCSLQSANQSLPLINFRQLEMLDLSNNDFHHPAESSWIWNLTSLKYLNLSSTSMYGDIPNALGNMLSLQVFDFSLNDHKDSMGMSILKSGNMGIMKVNLNNLCNLEVLDLDCRLEYGDITEIFESLPQCSPNKLKEVHLAGNNLTGMLPNWIGKLTSLVTLDLFNNNITGEVPSEIGKLTHLTSLYLHFNNLHGVITEKHFSHLASLKSIYLCYNRLKIVMDPQWLPPFRLEKAYFASIGMGPSFPTWLQSQVDIIALAMNDAGINDTFPDWFSTTFSKAKILEIPDNQIGGELPTNMENMSLENLYMNSNQITGQIPRMPRNLLLLDISKNHITGPVPQSICELQELHGLDLSNNLIEGEFPQCSGMSRASFFRASNNRFSGNFPSFLQSWTELTVLDLSWNKFSGSLPTWIGNFKKLEILRLKHNMFSGNIPVSITKLPNLSHLDLASNSISGDLPLHLSNLTGMVTKQYYTRENEDRLSGCDYKSLVSMKGQELEYNEENVTVVTIDLSSNLLTGPIPEDIVSLVGLINLNLSRNYLSGNIPYRIGAMQSLESLDLSKNKLYGEIPQSLSDLTYLSFLNLSYNNFTGRIPSGTQLCTLYDQNQHLYDGNDGLCGAPLQKSCPRNDVSRNPGGPDIGPFTIGVVLGFMAGLWMVFYALLFKKSWRVVYFCLLDKIYDEACVMAIAGWERLTGRTSTRLRMSQVSWYSDSDQSDE >LPERR11G13710.1 pep chromosome:Lperr_V1.4:11:14702389:14702802:-1 gene:LPERR11G13710 transcript:LPERR11G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQSKVVARVSIDHATMKILTNQKTIANASYLDDYIMNAYIVILRDKRREDDTVTKTEGTMFLVKSIITRVLQRDGKVYIPKDIIGRAVAKSNAKNYEKYYMVILMTKYYEF >LPERR11G13720.1 pep chromosome:Lperr_V1.4:11:14703125:14703793:1 gene:LPERR11G13720 transcript:LPERR11G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDVSIDYTSMKIPTNQKTNTSASYLDDYGQTQGSGAVTKTEGTMFLEKSIITRVLQQDGEVYVPKDIIDRAVPKSKSKHYVKHDMIFLTMIIEDKHWYLAVIHAKLRVIQVLDSGGDPSIQRKELSNVVCNLLIFIPIKTWKYLANRIFSPHS >LPERR11G13730.1 pep chromosome:Lperr_V1.4:11:14708011:14710213:-1 gene:LPERR11G13730 transcript:LPERR11G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEVLDFSGLMNTFDSSYTARGIMVGNMTNLCNLRIVDLTYSLSYGNITKILEGLPWCSSNRLNKLHLGSNNISGKLPDRIGKFAGIVELDLSYNHLTGVVPSEIDFSVCQLGPLFPAWLQSQEDIDKLDISSTGSLPEKIGALSLEYLTLSFNNFKGHIPVSVCELNLNMLSLDNNNFEGELPQCLRVSELIILRNNKFSGMFPSFFQASNGLKVMDLSRNKFTGILPIWLEDLRELQFLRLSYNMFSGTIPPNIGNLRNLNVLDIAGNSFSGGLPLSLINLTGMTTNCTVKQAINLSQGYLLVVTKHQERYYKYGSLPTETSIDLSSNKLTGVIPEEIVSLDALKNLNLSCNYLSGKIPENLGIIKSLESIDLSRNKLSGEIPSSISNLTALSYLDLSYNNLTGVIPLGPQLNSLYSANPNIYGGNSGLCGPPLQKNCSSNHTSPQEEIR >LPERR11G13740.1 pep chromosome:Lperr_V1.4:11:14716117:14720089:1 gene:LPERR11G13740 transcript:LPERR11G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGSEQSEKKRCYGSNFPLNWPFSPFSAYPESLTSPVARRLPTWLLLHSHARIFSLARLLAFLLLMLLFSSSSLLLRPAPSSAAAAVDELALLSFKSTLLSSSSLASWNTSGQHCTWPGVSCSSRRHPGRVVVLRLRSFNLSGTISPSLGNLSFLAKLHLGGNHLSGEIPPELGHLSRLRWLNLSGNSLQGNIPAAFGACSRLIEMDLTFNRLQGRIPPQIGASMKNLAYLYLEENRLSGQIPRSLAELPSIQELSLGSNRLSGEIPSALGNLTSLLELILSENTLSGAIPSSLGELTSLTYLVLSDNTLSGAIPSSLGQSSRLSFLAIDSNNLTGLIPDPIWNISSLTLFSVQYNMLSGMLPENAFSALPHLQEVYMDNNLFHGHIPASVSNSSNISMGVVPVEIGRLKNLDTLVLAETLLEAKGPNDWKFMTALTNCSNLQHVEMGACNFGESLVLFNNSLTGSLPSSFNKLKNLHRLILFNNKLGGSLPLTIGNLTQLTNLELYGNAFSGTIPSTLGNMTRLFELNLAHNNFLGPIPTEIFSIPTLSEILDVSHNNLEGSVPKEIGKLRNIVEFHADSNKLSGEIPSTIGECQLLQHLFLNNNSLNGNIPIALTQLAGLDTLDLSGNNLSGQIPKSLGDMPLLHSLNLSFNNFHGEVPTNGVFANASEIYIQGNANICGGIPELHLLPCSLKSTKKKKHQTLLLVFVICLISTLAIFTLLYTLLTCRKGRKKEVPATTSKQGHPMVTYKQLVKATDGFSPNNLLGSGSLGSVFKGEFDSQDGESTSPVAVKVLKLETPKALKSFTAECEALRNMRHRNIVKIVTICASIDNKGNDFKAIMYDFMPNGSLEDWLHPKINDQAHQTHLNLHQRVAILLDVACALDYLHCHGLEPVVHCDIKSSNVLLDADMVAHVGDFGLARILVEGSSLMQQSTSSMGFGGTIGYTAPEYGVGNVASTHGDIYSYGILVLETVTRK >LPERR11G13750.1 pep chromosome:Lperr_V1.4:11:14720141:14725848:1 gene:LPERR11G13750 transcript:LPERR11G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGTLVDVVDMKLISDSKSWLQTPDVSPCQGINECLVSLFRLGLSCSQELPSNRMQTGDIISELHAIKEYLSIASSMSRDLSCNKEHIKPTNRDREGHVDVEDVAAKPRNVEAWLRRGERGCQSCFGEEERGDDVEKSVERERGQEEVRTAKGMAWAS >LPERR11G13760.1 pep chromosome:Lperr_V1.4:11:14732512:14733444:1 gene:LPERR11G13760 transcript:LPERR11G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTAAKFLLLMATAACTCLSVVVVAADALPERRQNDAGGSIGCWPKERDALLAFKEVITNDTYNSLASWQPAGRQSDCCRWKGVTCSSQTGHVLRLDLWGMGLIGQISSSLLSLEHLESLDLGWNILHGHNGCLPEFLGSLKNLRSLNLADISFTGIMPPHLGNLTKLECLDLSYNVMQFTDLSWLTHLSSLLCLDLSGNNLSMALDWSNAINATPALEILFLSNCSLQSSNQLLTHINLTKIKFLDLSYNYFGHPIATCWLRNITSIQYLHLDETYLYGPFPESLGLMTSLFDLSFTDNDNKATMTVD >LPERR11G13770.1 pep chromosome:Lperr_V1.4:11:14733595:14742500:1 gene:LPERR11G13770 transcript:LPERR11G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNRFCTAFKSVVYLDLSNNRITCVLPNNMSTMQLDALYLSSNQLTGRLPLLPRLLRKLDLSQNSFSGPLPTEFGAPGIFELILSSNYFTGHITESICELHDLLALDLSHNLLEGELPECFHMQNVSFLFLSNNKLSGKFPPSLQTCPSLAFLDLAWNKFFGSLPVWIGDLVYLRFLQLSHNKFSGDIPVNITNLKRLRMLNLASNGITGTIPPSLSNLPAMAQKHPRRSGINMHLWYTGLIGNFREVLSVVMKRQELKYGAGIFDMVSIDLSINHLTGRIPSGRQLDTLYTDNPTMYNGNYDLCGPPLHKNCSINISDIEHGHEKKSVNYSDPMFFYFGLLSGFVVGLWVVLCALLFKQSWRVSYFRLFDKLCLLIKTPRFSDPRIKPID >LPERR11G13780.1 pep chromosome:Lperr_V1.4:11:14742531:14745187:1 gene:LPERR11G13780 transcript:LPERR11G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPMFFSIVVSITTILLLLTLKTTASQLRPYGGCIPHERDALLAFRKGITKDYNDFLASWREEDHDCCRWRGVHCSNRTGHVLKLDLSNTGILVGKISHSLLSLQHLQYHDLSDNSFEGTTCNMPEFLGSLKNLRYLNLWDSFPWFASAISWVTNLSRLWYLNMRDVQLNMVVDLPRVVNMVSSLRILDLCYCSLATANQSLPHLNLTNLEELRLMSNHFYHPLSSCWFWNVKSLKYLDLKSTYLYGEIPDAIGNLTLIEVLDFSFLYDADGLYASAKGIMAANMKSLCNLRILDLTSSLSRGNITEILEGLPQCPSNTLNELHLGSNNITGKLPDRIGKIVGIVELDLSYNHLTGVVPSEIGMLSNLTTISLSGNSLDELITEEHFTSLASLKNIWLSGNSLKITFDPDWLPPFRLTDAEFSACQLGPLFPAWLQSQVDIHTLDISSTGIDDVLPDWFCTTFSKSTSLDIGNNRIRGKLPANMETMSMVYLNMQSNQFSGGISQLPKNIYELDISNNSLSGSLPEKIIAPSLKYLTLSNNNFKGHIPVSICELDLSALSLDHNNFEGELPQCLRGSNSLEVMDLSRNKFTGILPMWFGDLWELKFLRLSYNMFSGNIPPNIGNLSDLSVLDISGISFSGALPLSLINLTAMTTKCTVRQAISVGQGYLPVVTKHQERYYEYGSLPLETSIDLSSNQLSGVIPEWIVSLDALNNLNLSCNYLSGKIPEKLGIIKSLESLDLSRNKLSGEIPSSLSNLTALSYLDLSYSNLTGVIPSGSQLDSLYSENPNIYSGNSGLCGPPLQKNYSSNHTSQQGDLRINDYLIYIRTYTWIMS >LPERR11G13790.1 pep chromosome:Lperr_V1.4:11:14756404:14756905:-1 gene:LPERR11G13790 transcript:LPERR11G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQSLDLSMNNISGEILPSLSETFLSTLDLSYNDLVGRIPQGDQLDTLYPNNPSMYDGNSGFVIGLWVVFCAILFKRSWRVAYFRQLDKFYDITHVFAVVTWGRLTRHATACKFSRVRIYCKKK >LPERR11G13800.1 pep chromosome:Lperr_V1.4:11:14757759:14759357:1 gene:LPERR11G13800 transcript:LPERR11G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHFTSLTNLKSIRLSGNSLKIVFDPEWLPPFRLTNADFSACQLGPLFPAWLQSQGDIDTLDISSTGIDDILPDWFCTTFSKSTNLNIENNRIKGKLPANMETMSVVYLNMNSNQFSGGIPQLPRYISEMDISNNSLSGSLPENIGAPSLEHLKLSFNNFKGHIPVSICELDLSALSLDHNNFEGELPQCLRVSELITLSNNKFSGMFPSFFQASNSHEVMDLSRNKFTGILPMWFGDLTELQFLRLSYNMFSGNIPPNIGNLSDLSVLDIAGNSFSGALPLSLINLTAMTTKCTSRQAINTWSWVGYLNLPVVTKHQERYYGYRDLSLETSIDLSSNQLSGVIPEWIVSLDALNNLNLSFNYLSGKIPEKLGIIKSLESLDLSRNKLSGEIPSSLSNLTALSYLDLSYNNITGVIPSGSQLDSLYSENPNIYSGNGGLCGRPLQKNCSSDHTSQQGDLRINNHQDHELEPFHFGLVLGFILGLWTIFCTLLFKKSWRVAYFHLYDMLYDKAYVLVTVSWARFTRKTDAN >LPERR11G13810.1 pep chromosome:Lperr_V1.4:11:14765282:14768179:-1 gene:LPERR11G13810 transcript:LPERR11G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSAKLIMLITATAAACSFSFSFSPAPASCLPQERDALFAFKKGITGDDESFLESWWEEEDCCWWRGVTCSNRTGNVIELDLADAGLEGQISPLLSLEQLEYIDLSINGLQGINGSVPEFLGSFINLRYLNLSYMYSFTGTLPPQLGNLSKLEYLDLSHTGLLLGEVPPQLGNLSNMRYLDLKYPSNMYMITGISWLTHLHSLEYLDMSYVNLSMAVEWPYVFDTLPSLEALHLSHSSLPRMSLSMTILNLTKFVELDVSSNSFDHPIETSHVPEFLGSLKNTRYLDLSGTSIFSARVPPQLGNLTNLQHLDPSFMPNLNSTDVSWLTNLHMLEYLDMSHVNLRTVTDLALVVNMIPFLKVLILNNCSLPSANQTLTQVNLTKLENLDLSRNYFGHSIASSWFWNVTSIKILKLSETYLDGPFPDALGEMTSLQELQFDQNGNAATMMVDLRNLCELRTLYIDESLSSGNISDFMDKLPRCPSSPLTVLSLRSNNMTGMLPNAMGHLNNLFALDLSNNSISGAIPLGVQNLTGLYSLFLSSNRLTGHIPVLPTSLWSLDVSKNNLSGDLPSNFGSPNLGILILFNNNITGQVPGSVCNHNMFNGDIPTDITNLDKPQLLNLAGNNISGSIPWPLKKLSSMTLKLSVKTEVGQYDVLTNNYLTTDILSLITKHQELKYGAVGSSRLVSIDLSLNHLTGEIPDEITSLDGLMNLNLSWNHLRGKIPDNIGAMKSLQSLDLSRNNIFGEIPPSLSELTFLSTLDFSYNDLVGRIPQGHQLDTLYPNSPSMYDGNSGLCGAPLQRNCSAPKLGSQNRSVNDSEPTMFFYLGLVTGFVIGLWVVFCAILFKRSWRVAYFRQFDKFYDIAYVFAVVTWARLTRHATAS >LPERR11G13820.1 pep chromosome:Lperr_V1.4:11:14770225:14775452:-1 gene:LPERR11G13820 transcript:LPERR11G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEEEVRQEVEAAVSVYGDDCRVMCGFPPHIVVHVRPRTADDSSQQFVELFLGIKTSSQYPKEPPHVYAVESKGLDENRQVYLISSIQNKAKELSCYPMLVILCEEAVEILSNMNHPAGDCPLCLYPLVQEDKDGSALPFMKLMSCYHCFHSDCIMRWWKWLQNDDTDLKKSSAAVTTEDLSSSAKNHSVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLTIDLDKDEKELLHSESEKSRREKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTAAEGDTIDDSCEDTTTSTSGTDPHETNSTATTKPNSNSGNRRRHRPHASRRQPHGQPVRQQWQRKGGDTSQQ >LPERR11G13830.1 pep chromosome:Lperr_V1.4:11:14776899:14777360:1 gene:LPERR11G13830 transcript:LPERR11G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGLCLRNMGANMHYMDNYYTDYDQTALIGGISHKCPLLNLPRLEHLNLMFFSVECFLSLVINLTNLHCLDLSTKVLTMNSTHLSWLPYWCSLTYLDISRTNLSMVYDWTEVMNNIPLSCNM >LPERR11G13840.1 pep chromosome:Lperr_V1.4:11:14778484:14793671:1 gene:LPERR11G13840 transcript:LPERR11G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGLRRRREDCVQMKLADAIAAMELQLQQQIQLLASADDNRSSKATASGQQAAAAAASSSAPKVQFPSFLQRSKSLYMLDLASNNFYRGLPMWIGELSKLQILRLSHNNFSASIPTTITNLGFLVYSQTVLDPATAAAVVLASAPPLLPRRPAAAGGNVTAAGCSTLERDALLAFKKGITADPAGVLASWNHDDCCRWRGVRCSDCTGHVVGLNLRNKHANQQELIDYEEFALVGEISHSLLNLHHLEHLDLSLNAIQGPSARVPKFLGSLKNLRYLNLSGIPFNDTVPPQLGNLTNLHYLDLSSEWIDTLARWFPTQMTSVDLSWLTRLRSLKYLNMHSTNLSMVQDWTHVVNNIPSLKVIRLANCELTYANQHLSHINLTNLEELDLSQNHFDHSIASCWFWNAKGLEYLNLRFTELYGRFPNALGHLKSLQVLDFKRIINIDIMTANMTNLCKLRILNIESSQVHGNIAEFIERLPQCSSTRLNELYLSNNNLTGILPNHLYPLTSLVILDMSLNELSGHVPSEMGMLSNLTYLDLSGNNLDGVITDEHFASLKSLKTLDLSGNSLTILVNITNLDMSFAGITDMFPDWFSTTFANLNRLDVSNNGINGSLPANMKGMASLSRLYLNSNNITGQIPVLPEGLNIMDLSRNSLSGPLPSNFGAFYLSDLRLFSNRITGQIPQSICELQNIDILDLADNLLVGEFPRCFQSDYISMLFLSNNMLSGKFPPCLQSMKVLYILDLSSNNFYGSLPKWIGELSKLEILRASHNNFSGSIPTTITNITGLVHLDFSRNSISGVLPFHLSNLTGMTSRSSPTTFGHYVPILNMSVDTKAHELYYQESVIFEVVTIDLSSNFLTGEIPKEIGFLDGVKNLNLSWNQFNGRIPSSIGLMRSLESLDLSKNNLSGEIPSSLSNLTYLSYLDVSYNHLTGRIPSGGQLDTLYSQNPSMYNGNSGLCGLPLHKDCSDGGNASVGKRSERDKEFLYFGLGSGFVVGLWVVFCTILFKRIAYFRLFDKLYDERRALLRSIKPLFTGEFGRHDSWNEATDCCTYWSGVVCGGDRRVVSLFLDQAGIAGAVDVGVFAPFTELQELDLSWNKITAFVAAACSRAAEANDGSDPEVAGSDPEVAGSDHPGPDLSVMAATTARGGGRDDDDAATMTARGGGFGPEAAGSAGSGRDDGTEQRLRRRGPAAATTTMTAATWLRGTGGLNPEQAAAWRYAAWCRGGPGLARRGRRQRRSDNHGSVIAVDARSPSGWIWLGDGQIRGSAAATTTVTSSGQRAFPKLSKLSLSHNSMTDEGVAALFVNLTTLSELYLGGNQLFTSSWISNLTSLRAVDLSRNSLREFNGICNLHQLEYLQLGVNMLHGAINSCLGNLHQLKYLNMERNFLTGEITPNLLSNLTKLETIHLGLNNLTGTFMLSWLANSSNLAEIVLSHNYDLRIETEIVTWTPLFQLAYLNFSNCIVNRRSDGVVPTFLSTQLSISGIDLSGCSLQGKIPSWLFYNISDFILLNDNDMDLIDMDGLGGNMTSPVQVLDLSDNKISMSIPTNFGSIFQYLEYCDMSSNRVYGGIPPLAEATSLEGPCPPSIGVHRLEHLSLENNRFSGQLSPLLSKSSNLKTLNARSNHLSGIIPDGLLSFQKLGVILLGGNDLHGPIPLDLCFNNYLHFVDLSNNRFSGKIPDCFYNDFWTDLPMYFDDDPFSGIVTERMSVDFTTKGESLTYMGMPLVLMTGIDLSMNQLSGTIPPPVGFLRQLKSLNLSHNQLVGPIPETFMYMEDMESMDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQSQFETFDESAFEGNDNLCGEIINKTCSVLHQNQGGVLSDDAIDTALVFWSFVFGCFALGFWGTVAWLIWDKGRRKRLCSFMDALMYKLGWEFVP >LPERR11G13840.2 pep chromosome:Lperr_V1.4:11:14778484:14793671:1 gene:LPERR11G13840 transcript:LPERR11G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGLRRRREDCVQMKLADAIAAMELQLQQQIQLLASADDNRSSKATASGQQAAAAAASSSAPKVQFPSFLQRSKSLYMLDLASNNFYRGLPMWIGELSKLQILRLSHNNFSASIPTTITNLGFLVYSQTVLDPATAAAVVLASAPPLLPRRPAAAGGNVTAAGCSTLERDALLAFKKGITADPAGVLASWNHDDCCRWRGVRCSDCTGHVVGLNLRNKHANQQELIDYEEFALVGEISHSLLNLHHLEHLDLSLNAIQGPSARVPKFLGSLKNLRYLNLSGIPFNDTVPPQLGNLTNLHYLDLSSEWIDTLARWFPTQMTSVDLSWLTRLRSLKYLNMHSTNLSMVQDWTHVVNNIPSLKVIRLANCELTYANQHLSHINLTNLEELDLSQNHFDHSIASCWFWNAKGLEYLNLRFTELYGRFPNALGHLKSLQVLDFKRIINIDIMTANMTNLCKLRILNIESSQVHGNIAEFIERLPQCSSTRLNELYLSNNNLTGILPNHLYPLTSLVILDMSLNELSGHVPSEMGMLSNLTYLDLSGNNLDGVITDEHFASLKSLKTLDLSGNSLTILVNITNLDMSFAGITDMFPDWFSTTFANLNRLDVSNNGINGSLPANMKGMASLSRLYLNSNNITGQIPVLPEGLNIMDLSRNSLSGPLPSNFGAFYLSDLRLFSNRITGQIPQSICELQNIDILDLADNLLVGEFPRCFQSDYISMLFLSNNMLSGKFPPCLQSMKVLYILDLSSNNFYGSLPKWIGELSKLEILRASHNNFSGSIPTTITNITGLVHLDFSRNSISGVLPFHLSNLTGMTSRSSPTTFGHYVPILNMSVDTKAHELYYQESVIFEVVTIDLSSNFLTGEIPKEIGFLDGVKNLNLSWNQFNGRIPSSIGLMRSLESLDLSKNNLSGEIPSSLSNLTYLSYLDVSYNHLTGRIPSGGQLDTLYSQNPSMYNGNSGLCGLPLHKDCSDGGNASVGKRSERDKEFLYFGLGSGFVVGLWVVFCTILFKRIAYFRLFDKLYDERRALLRSIKPLFTGEFGRHDSWNEATDCCTYWSGVVCGGDRRVVSLFLDQAGIAGAVDVGVFAPFTELQELDLSWNKITALAFPKLSKLSLSHNSMTDEGVAALFVNLTTLSELYLGGNQLFTSSWISNLTSLRAVDLSRNSLREFNGICNLHQLEYLQLGVNMLHGAINSCLGNLHQLKYLNMERNFLTGEITPNLLSNLTKLETIHLGLNNLTGTFMLSWLANSSNLAEIVLSHNYDLRIETEIVTWTPLFQLAYLNFSNCIVNRRSDGVVPTFLSTQLSISGIDLSGCSLQGKIPSWLFYNISDFILLNDNDMDLIDMDGLGGNMTSPVQVLDLSDNKISMSIPTNFGSIFQYLEYCDMSSNRVYGGIPPLAEATSLEGPCPPSIGVHRLEHLSLENNRFSGQLSPLLSKSSNLKTLNARSNHLSGIIPDGLLSFQKLGVILLGGNDLHGPIPLDLCFNNYLHFVDLSNNRFSGKIPDCFYNDFWTDLPMYFDDDPFSGIVTERMSVDFTTKGESLTYMGMPLVLMTGIDLSMNQLSGTIPPPVGFLRQLKSLNLSHNQLVGPIPETFMYMEDMESMDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQSQFETFDESAFEGNDNLCGEIINKTCSVLHQNQGGVLSDDAIDTALVFWSFVFGCFALGFWGTVAWLIWDKGRRKRLCSFMDALMYKLGWEFVP >LPERR11G13840.3 pep chromosome:Lperr_V1.4:11:14778484:14789827:1 gene:LPERR11G13840 transcript:LPERR11G13840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGLRRRREDCVQMKLADAIAAMELQLQQQIQLLASADDNRSSKATASGQQAAAAAASSSAPKVQFPSFLQRSKSLYMLDLASNNFYRGLPMWIGELSKLQILRLSHNNFSASIPTTITNLGFLVYSQTVLDPATAAAVVLASAPPLLPRRPAAAGGNVTAAGCSTLERDALLAFKKGITADPAGVLASWNHDDCCRWRGVRCSDCTGHVVGLNLRNKHANQQELIDYEEFALVGEISHSLLNLHHLEHLDLSLNAIQGPSARVPKFLGSLKNLRYLNLSGIPFNDTVPPQLGNLTNLHYLDLSSEWIDTLARWFPTQMTSVDLSWLTRLRSLKYLNMHSTNLSMVQDWTHVVNNIPSLKVIRLANCELTYANQHLSHINLTNLEELDLSQNHFDHSIASCWFWNAKGLEYLNLRFTELYGRFPNALGHLKSLQVLDFKRIINIDIMTANMTNLCKLRILNIESSQVHGNIAEFIERLPQCSSTRLNELYLSNNNLTGILPNHLYPLTSLVILDMSLNELSGHVPSEMGMLSNLTYLDLSGNNLDGVITDEHFASLKSLKTLDLSGNSLTILVNITNLDMSFAGITDMFPDWFSTTFANLNRLDVSNNGINGSLPANMKGMASLSRLYLNSNNITGQIPVLPEGLNIMDLSRNSLSGPLPSNFGAFYLSDLRLFSNRITGQIPQSICELQNIDILDLADNLLVGEFPRCFQSDYISMLFLSNNMLSGKFPPCLQSMKVLYILDLSSNNFYGSLPKWIGELSKLEILRASHNNFSGSIPTTITNITGLVHLDFSRNSISGVLPFHLSNLTGMTSRSSPTTFGHYVPILNMSVDTKAHELYYQESVIFEVVTIDLSSNFLTGEIPKEIGFLDGVKNLNLSWNQFNGRIPSSIGLMRSLESLDLSKNNLSGEIPSSLSNLTYLSYLDVSYNHLTGRIPSGGQLDTLYSQNPSMYNGNSGLCGLPLHKDCSDGGNASVGKRSERDKEFLYFGLGSGFVVGLWVVFCTILFKRIAYFRLFDKLYDERRALLRSIKPLFTGEFGRHDSWNEATDCCTYWSGVVCGGDRRVVSLFLDQAGIAGAVDVGVFAPFTELQELDLSWNKITAFVAAACSRAAEANDGSDPEVAGSDPEVAGSDHPGPDLSVMAATTARGGGRDDDDAATMTARGGGFGPEAAGSAGSGRDDGTEQRLRRRGPAAATTTMTAATWLRGTGGLNPEQAAAWRYAAWCRGGPGLARPLQI >LPERR11G13840.4 pep chromosome:Lperr_V1.4:11:14789358:14793671:1 gene:LPERR11G13840 transcript:LPERR11G13840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYGVVRRRRQRSRAAVGHCGDDLGGCGGGQRAFPKLSKLSLSHNSMTDEGVAALFVNLTTLSELYLGGNQLFTSSWISNLTSLRAVDLSRNSLREFNGICNLHQLEYLQLGVNMLHGAINSCLGNLHQLKYLNMERNFLTGEITPNLLSNLTKLETIHLGLNNLTGTFMLSWLANSSNLAEIVLSHNYDLRIETEIVTWTPLFQLAYLNFSNCIVNRRSDGVVPTFLSTQLSISGIDLSGCSLQGKIPSWLFYNISDFILLNDNDMDLIDMDGLGGNMTSPVQVLDLSDNKISMSIPTNFGSIFQYLEYCDMSSNRVYGGIPPLAEATSLEGPCPPSIGVHRLEHLSLENNRFSGQLSPLLSKSSNLKTLNARSNHLSGIIPDGLLSFQKLGVILLGGNDLHGPIPLDLCFNNYLHFVDLSNNRFSGKIPDCFYNDFWTDLPMYFDDDPFSGIVTERMSVDFTTKGESLTYMGMPLVLMTGIDLSMNQLSGTIPPPVGFLRQLKSLNLSHNQLVGPIPETFMYMEDMESMDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQSQFETFDESAFEGNDNLCGEIINKTCSVLHQNQGGVLSDDAIDTALVFWSFVFGCFALGFWGTVAWLIWDKGRRKRLCSFMDALMYKLGWEFVP >LPERR11G13850.1 pep chromosome:Lperr_V1.4:11:14795891:14799228:-1 gene:LPERR11G13850 transcript:LPERR11G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGVAVADAAPPETPKRRGRPPKAAAAATPVAAEGYEREREARIRENMERMQKLGLLDLATRFNQSAGGGSGRGRGLGRPRKPVTPGSVAVGVGRSKPASPSPARRSLRLKSIEPVSYCETQAKKGKDVEGGSSVLIEVGSKEEIYTEEHEKLLGTCVEPWTLFVDGYGKDGRRIYDQVRGQTCHQCRQKTLGHHTHCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWLPTGCAYRKVVSLGYKSVAHYLIETQRASGNPEGSSSSDSNKAVEAKPEASSASDNAPVAEESQDDAEMSNQAILDQEADQEVNDNPPGDDGDKDDSGSESVVTSDSQDCEINLGIGCANPSKPSGPKKRKLIEPSPDCVASRLRSRSNKK >LPERR11G13860.1 pep chromosome:Lperr_V1.4:11:14804234:14804677:1 gene:LPERR11G13860 transcript:LPERR11G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGSDDKEAINEQVVANIYGNMRSELSQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMIGGVLVERTIREVLPAVQRNKEGLEELVARMNETLEKKKKEITEFELKYKIRIRKSDTNAEEEEGSKKEGSAQGVLVGPAGQ >LPERR11G13870.1 pep chromosome:Lperr_V1.4:11:14805489:14820418:1 gene:LPERR11G13870 transcript:LPERR11G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAAAGGVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDTFYEDLVDGKGSDDKSKSTLDKPLNKKITFVDSDSSDDEDEDHPGEVADDTNNAEKGETTPSEQQQEVSDTPVVPSKDNEEQADNADESKLKKPRVEDPPISEQKEPKEATDKLTEPTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRVLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIERMKIINAVAKSVPQPHKVDLRNPDKTIIVQIAKTICMIGVVEKYKELAKFNLRQLTSPPEK >LPERR11G13880.1 pep chromosome:Lperr_V1.4:11:14823050:14828548:1 gene:LPERR11G13880 transcript:LPERR11G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRKEIRELRDRMDRTLALPDLADEGLLRSLVKKQILASSLSGSDEGDINLIAEARSREISNFLEMLNTSENERSLKIHEAQHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIQTHGFSRDGIPEAGDTIRIDVVGGFVLQRITKERSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVASCDEDYKKALREPLYVRIREHQASTDMAKVAPMEESAMEANPDNLTLQNLLAATSITSSSEIVEEESEHKVLFKLDHLAAGPSNHPAGQQQLVENKPYISPEVEQALSILDKAIGVVRGKSVGSASAVQKFLSYDTTLDGRTTDSRNSHNLPNGLPAMLPPQDSRETRQANSLPNEKANHREEDALDSDSNRYTTASTVTKTLSMTIRSTTRVHGEESLDTNGLHQNGFHKDKESKRSRKRKTNRWFCCLTPSTTG >LPERR11G13880.2 pep chromosome:Lperr_V1.4:11:14823050:14828548:1 gene:LPERR11G13880 transcript:LPERR11G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRKEIRELRDRMDRTLALPDLADEGLLRSLVKKQILASSLSGSDEGDINLIAEARSREISNFLEMLNTSENERSLKIHEAQHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIQTHGFSRDGIPEAGDTIRIDVVGGFVLQRITKERSFFSTVASCDEDYKKALREPLYVRIREHQASTDMAKVAPMEESAMEANPDNLTLQNLLAATSITSSSEIVEEESEHKVLFKLDHLAAGPSNHPAGQQQLVENKPYISPEVEQALSILDKAIGVVRGKSVGSASAVQKFLSYDTTLDGRTTDSRNSHNLPNGLPAMLPPQDSRETRQANSLPNEKANHREEDALDSDSNRYTTASTVTKTLSMTIRSTTRVHGEESLDTNGLHQNGFHKDKESKRSRKRKTNRWFCCLTPSTTG >LPERR11G13890.1 pep chromosome:Lperr_V1.4:11:14830945:14835764:1 gene:LPERR11G13890 transcript:LPERR11G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSLALSLLLCLLLCPESSDAAAIHKGDELALLSFKSMLSGSDGSLQLASTWKNGSYCSWPGVVCGRRNRVVMLQLQLSNLMGRISPSLGNLSFLRVLNLSKNYLAGEIPPELGRLTRLWYLNLRSNSLQGVIPAAIGGCTNLTSLNLSDNMLQGMIPHEIGTKLKNLVTLYIWSNNLSGTIPGSLATLPRIAVLSMGANRLSGEIPPALGNLSSLQVLNLYLNRLSGSIPLSLGHLPSLSWLNLEDNKLTGEIPTSIWNISSLTLLSVRANMLSGMIPPNAFNTLPHLQKLSLTDNGFQGSIPASLANASGLSVLSLDSNMFSGLVPPEIGRLRNLTTLLLSYNLLETEGPKDWDFITALTNCSRLLILDLANNKFTGALPGSFSNLSSSLINVVLGSNKITGSIPKDIGNLLGLQRLDISNNAFTGSLPSSLGRLKNMVDLIMNTNKISGSIPMSIGNLTELDYFNLGMNTISGRITSTIGNLTKLSKLILSDNNFTGPIPKGLFNIQTLSALFDVSRNNLDGSIPREIGHLKNLVEFHAESNKLSGEIPSTLGECQLLQYLHLQNNFLIGSIPSALVQLKGLEALDLSRSNLSGQIPKSLGNITMLNSLNLSFNSFVGEMPTIGVFANASRISIQGNAKLCGGITDLHLPPCNPLILNKKHKFLVVPTAVSLVAALAILSSLCMLLTWHKRSKEGTPLTTAMKGHLLVSYSQLVKATDAFSPTNLLGSGSFGSVYRGKLDSQHGESTSLVAVKVLKLETPKALKSFTAECEALRNMRHRNLVKIVTVCSSIDNRGNDFKAIVYDFMPNGSLEDWLHPDTNNQDEQLHLNLHHRVTILLDVACALDYLHCHGPAPVVHCDVKSSNVLLDADMVAHVGDFGLARILVEGSSLMQQSTSSMGFRGTIGYAAPEYGVGNTASTHGDIYSYGILVLEILTGKRPTDSTFRLGLSLRHYVEQGLHGRLMDLVDRKLVLDSENWLQTPDVSPCKEITECLVALLRLGLSCSQELSSSRMQTGDSMVLEIWYQQMEIFTASIVGNNKWEEAYWYLTGNDATYKRKVECIVLLLELGMSCFLNLECPALRNYHQADRRLELSSRNPCQVNTECDYGSQSSIVHKPLDLQCMVNPNLCGMIV >LPERR11G13900.1 pep chromosome:Lperr_V1.4:11:14842940:14853801:1 gene:LPERR11G13900 transcript:LPERR11G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPQAQRHHVQESPAVGMTEATDASCRRLLAPMDFGSGFLENHGRGVDAYFIIIDDIWDEKIWRVIDCAFPENNHRNRVITTTRIVNVSEKCCASYHAIYTMKPLSRDDSETLFCKRIFTDGKGCPQYLSKIVEGILKKCGGLRVFSSIKSKKVAYLSLESIASKSKKLEEKHDPVGTLGLWKSGSLEENFVSTLDDVGQSTDFETKVRRLSLQVQDCRARHTSPLATIDLSHKRCDFRKGGDLNLSHIVKLFHLRYLGLRDARIGEIPVGIEKLQLLQTLDLEDSDIEELPSAVFQLGHLMCLRVDLKTKLPKLPDGKGHLTSLQVLSGINMCRNPDFVRELRNMTMLRELFIRWDVRDDNLQEVLVESLCNLNKIQTLLIDIDIKGSLDIYHEGTMGGSSTSRQV >LPERR11G13900.2 pep chromosome:Lperr_V1.4:11:14842940:14853801:1 gene:LPERR11G13900 transcript:LPERR11G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPQAQRHHVQESPAVGMTEATDASCRRLLAPMDFEKCCASYHAIYTMKPLSRDDSETLFCKRIFTDGKGCPQYLSKIVEGILKKCGGLRVFSSIKSKKVAYLSLESIASKSKKLEEKHDPVGTLGLWKSGSLEENFVSTLDDVGQSTDFETKVRRLSLQVQDCRARHTSPLATIDLSHKRCDFRKGGDLNLSHIVKLFHLRYLGLRDARIGEIPVGIEKLQLLQTLDLEDSDIEELPSAVFQLGHLMCLRVDLKTKLPKLPDGKGHLTSLQVLSGINMCRNPDFVRELRNMTMLRELFIRWDVRDDNLQEVLVESLCNLNKIQTLLIDIDIKGSLDIYHEGTMGGSSTSRQV >LPERR11G13900.3 pep chromosome:Lperr_V1.4:11:14844959:14853801:1 gene:LPERR11G13900 transcript:LPERR11G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIAGVMSPLLNKLGDLLMAEFTLNKRTRKGIKSLQRELTMMHIPLHKVAEVPLEQLDPMVKEWARQVRELTYDMEDAVDAYMVRVEDEDKGDKPTNIKNGVKNFVKKTIRLFRKGKDLHQIIAAVDEAQDLAKQLVELRQRYGLELHDGDAAGPPIDPRLMAMYKDVKEFVGIDGRRKELIEKLLGPDEQKHLLKTVSIVGFGGLGKTTLAKAVYDKICRSHFDCGSFVSIGQNPDMKKVFKDMLYDLDMQKYKNIHSTAKDEKLLIDNVRSFLENKRYFIIIDDIWDEKIWRVIDCAFPENNHRNRVITTTRIVNVSEKCCASYHAIYTMKPLSRDDSETLFCKRIFTDGKGCPQYLSKIVEGILKKCGGLVHSDYGRVEACGLHDMVLDLICHLSREENFVSTLDDVGQSTDFETKVRRLSLQVQDCRARHTSPLATIDLSHKRCDFRKGGDLNLSHIVKLFHLRYLGLRDARIGEIPVGIEKLQLLQTLDLEDSDIEELPSAVFQLGHLMCLRVDLKTKLPKLPDGKGHLTSLQVLSGINMCRNPDFVRELRNMTMLRELFIRWDVRDDNLQEVLVESLCNLNKIQTLLIDIDIKGSLDIYHEGTMGGSSTSRQV >LPERR11G13900.4 pep chromosome:Lperr_V1.4:11:14842722:14853801:1 gene:LPERR11G13900 transcript:LPERR11G13900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLTAMWDQRVSSFFLGPSSSSHPPLQPKMGSNPQAQRHHVQESPAVGMTEATDASCRRLLAPMDFGSWRMAMGKTWRMASMLRVFSSIKSKKVAYLSLESIASKSKKLEEKHDPVGTLGLWKSGSLEENFVSTLDDVGQSTDFETKVRRLSLQVQDCRARHTSPLATIDLSHKRCDFRKGGDLNLSHIVKLFHLRYLGLRDARIGEIPVGIEKLQLLQTLDLEDSDIEELPSAVFQLGHLMCLRVDLKTKLPKLPDGKGHLTSLQVLSGINMCRNPDFVRELRNMTMLRELFIRWDVRDDNLQEVLVESLCNLNKIQTLLIDIDIKGSLDIYHEGTMGGSSTSRQV >LPERR11G13910.1 pep chromosome:Lperr_V1.4:11:14860490:14870068:1 gene:LPERR11G13910 transcript:LPERR11G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIILSLFSLLLFCSDALVSPGGSTGNATADDLALLSFKSMFASEDPFASWNKSIHYCSWPGVVCSRRHPERVISLKLGSSKLSGSLSPFLGNLSFLKILDLRDNSLVGQIPPELGRLSRLRLLNLSTNFLQGNIPVALVGCTNLTMLHLSDNRFEGEFPTEIGASLKKLVLLNVEKNGFSGGIPRSLADLPFLEVLNLRVNRFSGEIPPALGNLTNLWILGLDYNMLSGSIPSSLGELSGLSRLTLSSNNLTGLIPSSIWNMSALRAFTVQQNSLSGIIPPYAFNNFPSLQLIGMDHNKFHGSIPASFANASNLWLVQLGANFLSGVIPPEIGDLRNLKGLLLAETLLEAKDPNDWKFVTALTNCSQLKVLSLSSCKFGGVLPDSLSNLSTSLTYLFLDTNKISGSIPKDIDNLINLQAINLDNNYFTGDLPSSIGRLQNLQLLSIANNKIDGPIPLTLGNLTELNILQLKSNAFSSSIPSIFKNLTKLSALSLASNNFTGQIPSEVFSIVSLSDGLDISNNKLEGSIPQQIGNLKNLIMFDASSNKLSGQIPSSLGDCQFLQNIYLKNNMLSGSLPSLMSQLKGLKTLDLSSNNLSGQIPTFLSNLTMLSYLNLSFNDFVGEVPTFGVFSNASAISIQGNGKLCGGMPDLHLPTCTPQAPHRRRKFLVIPIVVSLVATLLLLLFFYKLLDRCKRTKTKIPSTTSMEGHSLISYSQLARATDGFSATNLLGSGSFGSVYKGELDSQDGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIMTACSSIDNSGNDFKAILFDFMPNGSLEGWLHPETNNPEYLNLPQRVSILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILVEGTSLLQQSTSSMGLRGTIGYAPPEYGAGNMVSTHGDVYSYGILVLETVTGKRPTDNKFTQGLSLREYVELGLHGNVMDVVDNQLCLGLENKLHIENDSSCKGRIDCQVSLLRLGLYCSQEMPSNRMSTGDIIKELSAIKQSLFISSNATADELTLLSFKSMLLSSPKGLLASWNESSHFCSWAAVSCSSRHPGRVVSLLMKSFNLSGRISPFLGNLSFLRKLDLGDNLLVGEIPPELGRLRRIRSLNLSHNSLEGTIPATIGKGCTNLTSLDLSSNKLQGMIPFQIGTSMKKLAYLSLWKNSQIPSTLGQLPKLWDLELDFNKLTGAIPISIWNISSLEVFSVQYNMLSGRIPPNAFEIGRLRKLKSLLLYTNLFEAKGPKDWEFITELTNCSQLEELVLSNNEFGGVLPHSVSNLSTSLESLDLGYNKIAGRIPKDIGHLINLHILDFSHNSFTGTLPSSFGRLKNLAVLYATQNNLSGLIPLTIGNLTELNELWLERNAFSGRIPNTLGNLTNLRSLSLSTNNFSGPIPSILFNIQTLSMMFNISYNNFDGTIPQEIGNLKNIIDFHAESNKLSGEIPSALGECQLLQNLYLQNNFLHGRIPLALSQLKGLQTLDLSSNNLSGQIPKFLGDITMLYSLNLSFNNFVGEVPTFGVFTNASRFSVEGNDKLCGGIPNLHLRPCSLQLPKEKHTFLVVPVVVSLIVILAIIAILYKLLTWYKKGKVKIPPTISMHGHPLTICWVLDRLGLFTKESYMRETANLVAVKVLKLQIPRALKSFLVECEALRNIRHRNLVKIVTVCSSIDNNGNDFKAIVYDFMPNGSLDGWLHPSTNDQAEHRHLNLLQRVMVLLDVAHALDYLHCRGPAPVVHCDVESSNVLLDADMVAHVGDFGLARILVEGGSYLEQSTGSMAFRGTIGYAAPEYGAGNMLSTTGDIYSYGILVLETVTGNRPTNSKYRQGLSLRECVELALQNGVIYVVDSRLCLDLENDVQTNNDSSRKRKIDCLILLLRLGMSCSQEMPASRMPAGDIIKELLAIKQSLS >LPERR11G13910.2 pep chromosome:Lperr_V1.4:11:14864568:14870068:1 gene:LPERR11G13910 transcript:LPERR11G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNDKLCGGIPNLHLRPCSLQLPKEKHTFLVVPVVVSLIVILAIIAILYKLLTWYKKGKVKIPPTISMHGHPLTICWVLDRLGLFTKESYMRETANLVAVKVLKLQIPRALKSFLVECEALRNIRHRNLVKIVTVCSSIDNNGNDFKAIVYDFMPNGSLDGWLHPSTNDQAEHRHLNLLQRVMVLLDVAHALDYLHCRGPAPVVHCDVESSNVLLDADMVAHVGDFGLARILVEGGSYLEQSTGSMAFRGTIGYAAPEYGAGNMLSTTGDIYSYGILVLETVTGNRPTNSKYRQGLSLRECVELALQNGVIYVVDSRLCLDLENDVQTNNDSSRKRKIDCLILLLRLGMSCSQEMPASRMPAGDIIKELLAIKQSLS >LPERR11G13910.3 pep chromosome:Lperr_V1.4:11:14860490:14864744:1 gene:LPERR11G13910 transcript:LPERR11G13910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIILSLFSLLLFCSDALVSPGGSTGNATADDLALLSFKSMFASEDPFASWNKSIHYCSWPGVVCSRRHPERVISLKLGSSKLSGSLSPFLGNLSFLKILDLRDNSLVGQIPPELGRLSRLRLLNLSTNFLQGNIPVALVGCTNLTMLHLSDNRFEGEFPTEIGASLKKLVLLNVEKNGFSGGIPRSLADLPFLEVLNLRVNRFSGEIPPALGNLTNLWILGLDYNMLSGSIPSSLGELSGLSRLTLSSNNLTGLIPSSIWNMSALRAFTVQQNSLSGIIPPYAFNNFPSLQLIGMDHNKFHGSIPASFANASNLWLVQLGANFLSGVIPPEIGDLRNLKGLLLAETLLEAKDPNDWKFVTALTNCSQLKVLSLSSCKFGGVLPDSLSNLSTSLTYLFLDTNKISGSIPKDIDNLINLQAINLDNNYFTGDLPSSIGRLQNLQLLSIANNKIDGPIPLTLGNLTELNILQLKSNAFSSSIPSIFKNLTKLSALSLASNNFTGQIPSEVFSIVSLSDGLDISNNKLEGSIPQQIGNLKNLIMFDASSNKLSGQIPSSLGDCQFLQNIYLKNNMLSGSLPSLMSQLKGLKTLDLSSNNLSGQIPTFLSNLTMLSYLNLSFNDFVGEVPTFGVFSNASAISIQGNGKLCGGMPDLHLPTCTPQAPHRRRKFLVIPIVVSLVATLLLLLFFYKLLDRCKRTKTKIPSTTSMEGHSLISYSQLARATDGFSATNLLGSGSFGSVYKGELDSQDGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIMTACSSIDNSGNDFKAILFDFMPNGSLEGWLHPETNNPEYLNLPQRVSILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILVEGTSLLQQSTSSMGLRGTIGYAPPEYGAGNMVSTHGDVYSYGILVLETVTGKRPTDNKFTQGLSLREYVELGLHGNVMDVVDNQLCLGLENKLHIENDSSCKGRIDCQTAEVEWRSECAPSLGERRDLPEIGLAGETPAIAEDGRRRIQQLTDDE >LPERR11G13930.1 pep chromosome:Lperr_V1.4:11:14881227:14884194:1 gene:LPERR11G13930 transcript:LPERR11G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTSLTSANHPRWVILNGWGIPKYDVDDDTSFAAAAAAECRTSWGQPLRVTLSAASPPAISFICFDNHDKFDNFCVRVIAAHGDSVLLKMSVPENQHHRHLRFDHSSDYFVYKAAGDQPSLSLLPPCDIPMKKHDQEWYGAKDHVLSVTREFEQDNTGILRDEEDGLLLPLVAQLQISFDPPFDTAEICVLRPGRHREWELNTAVPIVVQHNSGNKRHRLKRWQETDVAVPVGSRFLCWANYDLSTFLLYDMADAIDKLVYVPLPVKPVPPGGIFFFAFGNLRLLPRPRLLQDQLRLQQVIIDNSSQKCTCHRFVHDIPVEEDDDDEEEQLPWLYYRKIGAAGDDTVRFVSIDNRCCCGTPVIRSRCEHSSSAFMVTMWSLALRTTGESPMVWVKEAVLDCEEIWALLAASSKGLPRVYVVCPVVSFENPDVVCFIARSEDIDDDEDGKIWTIEIDMKRKTLMSVAPCPPHPLRHVNYCGHLPAKLYTS >LPERR11G13940.1 pep chromosome:Lperr_V1.4:11:14885112:14889609:1 gene:LPERR11G13940 transcript:LPERR11G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRHKSHSVAHASSMLSAASEPVRSEGEKDVINEDSSLPLSVAGDGSIPEAQAEKVTSPLAAQEGLVADEADQNAVMQPETVQKCYRAAADTLFLLTCWLLWKERNARVFDHIARTVDNLVEQIKEEIVMWTKAGLFLAPE >LPERR11G13950.1 pep chromosome:Lperr_V1.4:11:14890304:14891482:1 gene:LPERR11G13950 transcript:LPERR11G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECPTSWGGQPMRVAIDRAAPPATSFVRYDESATAGVHVVAAHGDSVLLAVTIPKNKFQLRFPLHDPSNVSNNTNYFVYKAGAAACGAGQPPSLSRLPACEIPMTERHCDYEDMVYPRERHFGQINTGILRLRGCSSLTPLMAQLLPSFDAPFDTADLCVLRPGSQNWELKTEVPIVHHNGGGEGEGEERRHDLERWTPIPSKKNDFDDDIKKQPRWHYNRSIGAGGSAADTVKFVSIDNRCCCGAPVTTSLCAHSSSAFMVTMWTLSLKKTRGEPMVWVKDSVLDCEELWALLAASGKGITQVDVVSPIVSLENPDVVCFMAYHDGQDGEREMWTLEIDMARKRLMSVVPYPPHSNRWVHRGLHLPAKLHG >LPERR11G13960.1 pep chromosome:Lperr_V1.4:11:14896499:14897044:1 gene:LPERR11G13960 transcript:LPERR11G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHVDLTLSQRTISPRLCRELLASVPGDTTPAPGVRGKTNGELRRGRMQESDVEEVNHEQLLVGSPTSNLWKINWILSSETVRRPPFILAHGDAILREKFVTIERIRGLQPPKTDQGRNPLACRRIYPGNFWCIVEIEQREGERGEDGEMENDLPDEGKIDPLFLSHVLPLHIPFSYFSL >LPERR11G13970.1 pep chromosome:Lperr_V1.4:11:14897335:14898833:-1 gene:LPERR11G13970 transcript:LPERR11G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSRQPHLAGEALLSPSPRLTLWEERRCCRPRLTSREEPHRRRRGSPRGSSFFVPDLACRMRSSVAALHLEQGLPPHGS >LPERR11G13980.1 pep chromosome:Lperr_V1.4:11:14924247:14925211:1 gene:LPERR11G13980 transcript:LPERR11G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEDGTIWTLKIDMKWKTLISVVPCPPHPLRGVNFCGHLAAKLERTSVPTDVVPFLTTSQPRDSPRNTASPPPSAVPPAPPPLPLRCLYSPRRHAAPLHPRPSRGDGDGGDAGSDGDRVQIRRHGLGDARI >LPERR11G13990.1 pep chromosome:Lperr_V1.4:11:14930897:14932193:1 gene:LPERR11G13990 transcript:LPERR11G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECPTSWGGQPMRGAIDRAAPRRRRSPSSATTSLPPAASTNVSNNTNYFVYKAGAAACGAGQPPSLSRLPACDIPMKERDCDCDHEYHVSHHTDCDTEREFRQGNTGILQLRGWSSSSLPPLVAQLQPSFDAPFDTAELCVLCPGSQNWELKTEVPIVHGGEGEERRHDLERWTVTNVTVPIGGRYLCWANYDLATFLVCDMVDAIDNDSPKLHYVPLPVKAMPSLRNDFDEDDYHEKQPRWHYNRTIGAGAGADTVWFVSMDNRCCCGVTVTTSLCAHSSSAFTVTMWSLDLRTNSQMAWVKEAVIDCEEIWALLAASCKGFPRSVQVISPIVSLENPDVVCFVAYHDLQDTNIRDVWEMWTLEIDMRRKTLISVVPYPPHPNRWLHHSIHLPAKLHS >LPERR11G14000.1 pep chromosome:Lperr_V1.4:11:14938506:14949650:1 gene:LPERR11G14000 transcript:LPERR11G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLLPLLCLLFSSPLLLAVSTDGAAAAVDVDELALLSFKSSLLSNWGSSLLASWNTSGQHCKWPGVVCGRRNPDRVIRLLLPSSNLSGIISPSIGNLSFLREIDLSGNHLSGKIPPELGHLGRLRSLNLSYNSLQGRIPGAIGACSSLIEMDLSYNQLRGTIPSQIGSSMRNLVDLYLYDNHLSGQIPSSLAELPSIRAISLGPNRLSGEIPVALGNLTSLVFLSLPYNELSGAIPSSLGQLQSLYFLALHYNNLSGLIPDTIWNMSSLEVFSVTFNMLSGMLPTNGFSTLPHLEEVYMGKNMFHGHIPASVANASNISILSFAYNSFSGVVPSEIGRLRNLRSLQLGDTLLQAKEPNDWRFMTALTNCTKLQLIDLGGNMLGGVLPDSVSNLSSSLSYLSIETNKISGNVPRDIGNLINLESLLFNNNSLTGSLPSSLTKLKNLHRLNLYNNKLSGSLPLTIGNLTQLTNLDLSHNAFSGTIPNTLGNLTKLFELNLSHNNFIGPIPTEIFSIFTLSEALDVSYNNLEGSVPKEIGKLKNIVDLHADSNNLSGEIPIALGECQLLQHLFLQNNFLNGEIPIALAQLKGLDTLDISSNNLSGQIPKSLGDMPLLHSLNLSFNNFHGEVPTNGVFANVSEIYIQGNANLCGGIPELRLPLCSLKSTKKKKHQILLLAVVICLISTLAIFSLLYMLLIRHKRTRRKAPATTSMQGHPIITYKQLLKATDGFSSTNLLGSGSFGSVYKGAFDSQDDESTTIVAVKVLKLEAPSALKSFTAECEALRNMRHRNLVKIVTICSSIDNGGNDFKAIVYELMPNGSLEDWLHPATTDQAELRNLNLHQRVTILLDVAYALDYLHCHGPEPVVHCDIKSSNVLLDAHMVAHVGDFGLARILVQGNSLLQQSTSSVGIRGTIGYAAPEYGVGNFASTHGDIYSYGILVLETVTGKRPTDSMLRPGLSLRQYVEPGLHGRVMDVVDIKLVLDFDDWVQTPNDSPCRRISGCLVSLLRLGIKRLIMAGVVPQLCFSLLSICLCCHALASSPPPPVRRNATTTAAADELTLLSFKSMLSTVGHPSSSLLASWNKSIHYCSWPGVLCSRRHPDRVAALRMDSFNLSGHISPFLANLSFLRELDLSNNQLTGELPPELGRLGRLEILKLSANALQGTLPVALGNCTNLTVLALSNNQLHGEIPGGIGANLGNLFMLDLRENGFSGGIPLSLAELQSLELLFLYSNRLSGEIPPALSNLSSLIHLDLDTNMLSGTIPSSLGMLSSLIWLNLANNNLSGTIPASIWNISALWGLNVQQNNLIGVIPPNAFSAFPEIQAVTMDNNQFHGSLPASLANVSYVTMLQLGFNFFSGAVPSELGMLRNLEKLLLAYTLLEAKQPRDWEFITALTNCSQLKILELAAGNFGGVLPDSLSNLSASLQTLSLQYNTISGSIPKDIGNLISLQSLTLDDNSFIGTLPPSLGQLHNLNRLSVAKNKISGSVPLAIGNLTELNSLELQVNTFSGEIPSTVANLTKLSTLNLAGNNFTGRIPIRLFNMIGLSKILDLSHNNLEGSIPQEIGNLINLVEFHAESNILSGEIPPSLGECQLLQNVYLQSNFLNGTIPSVLSQLKGLVNLDLSNNNLSGQIPRFLGNITMLNYLNLSFNNFVGGVPNFGVFANITAFAIQGNDKLCGGIETLHLPPCSSDLPEKRHKFLVIPIVIISLVAIQVVLLLLYKYTRRKKNKTKIPPTTSVQGHPLISFSQLARATEGFSRVNLLGSGTFGSVYKGKLDGQSDESAEYIAVKVLKLQTPGALKSFIAECGTLRNLRHRNLVKIITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPNTVDQIEMRYLGLLQRVSILLDVAYALDYLHCHGSAPVVHCDIKSSNVLLDADMVAHVGDFGLAKILAEETSSLQDSTSSMGFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDNQFGQGMSLREHVEIALHDNTMDIVDRQLTLELENERETLDGSSYKKKIDCLISLLRIGISCSDELPLNRMRSIDIINELHAVRESLLREYRIEEESYANVTLE >LPERR11G14010.1 pep chromosome:Lperr_V1.4:11:14960651:14961094:1 gene:LPERR11G14010 transcript:LPERR11G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFMARTLTFILLLLSSLLLSPATGDEAAAIEELALLSFKSSLLSHGGSLLTSWNKSSHHCTWQGVVCSHHQDKVVKLLLLSSNLSGIISPSLGNLSFLKILDLNGNHLSGEIPPELGRLSRLRWMNLSSNFLQGKIPVAIGAYMY >LPERR11G14020.1 pep chromosome:Lperr_V1.4:11:14962329:14985512:1 gene:LPERR11G14020 transcript:LPERR11G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICHKRRRNKEVPATTSMQGHPMITYKQLLKATDGFSPKNLLGSGSFGSVYKGDLDSQGAESTSLVAVKVLKLETPKALKSFNAECEAIQNMRHRNLVKIVTISSSIDNRGNDFKAIVYDFMPNGSLDDWLHTQTNYQAEHRHLNLHQSVTILLDVACALDYLHCHGHEPVVHRDIKSSNVLLDADMVAHVGDFGLARILVEENSSMQQSTSSMGFRGTIGYAAPVAAVLISSLLLLCVCNGRTGGGDELALLSFKSSLLSSQGDSLLLSSWNTSTQHCTWPGVVCGGRRHPERVVALRLGSSNLSGIISPSIGNLSFLRELDLKDNYLSGKIPPELGRLRRLRWLDLSKNSLQGSIPAAIGVGMKMLEYLYLEENGLSGEIPGSLGELPSLQKLSLRKNSLSGEIPPALGNLTGVTFLLLSHNKLFGEIPSFLCNHTSLSFLSLISNTLSGVIPSCLGNLTSLTELGLSENMLYGTIPSSLGKLTSLTVLVLSDNSLSGTIPSSLGQLSRLSYLGMTNNNLSGVIPEPIWNISSLTAFGVEYNMLSGMLPTNAFSALPHLQEVYMNDNRFHGRIPASVANASNISILCFSSNSFTGVVPPEIGILRNLKILELSETSLEAIEPDDWSFMTKLTNCTNLQLVEMGSCKISGSIPIDIGNLVSLESLVLSDNYLTGSLPSSFSKLKNLHRLILYSNKLSGSLPLTIGNLTQLTSLELCDNAFSGTIPSTLGNLTKLFDLNLSHNNFIGPIPTEIFSIITLSETLDVSYNNLEGSVPKEIGKLKNIVEFHADSNKLSGEIPSTLGDCQLLQHLFLQNNILNGEIPIALTQLKGLDTLDLSCNNLSGQIPKSLGDMPLLHSLNLSFNSFCGEVPINGAFANVSEIHIQGNKNLCGGILDLCLPPCYLNSTKKKKHRILLLAVIICFVSTVAIISLFYMILIIHKQRRKKVLETTSVRGHPMITYKQLVEATDGFSSTNLLGSGSFGSVYKGQLDSQYGENTTLVAVKVLKLETPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNKGNDFKAIVYDFMPNSSLEDWLHPATTDQAEQRHLNLHQRVTILLDVACALDYLHCHGPDPVVHCDIKSSNVLLDADMVSHVGDFGFARILVQGSSLLQQSTSSTGIRGTIGYAAPEYSVGNIASTHGDIYSYGILVLETVTGKRPTDGIFRPGLNIRQYVEPGLHGRVMDVVDMKLVSDSEDWVQTPNDSPCRKIFGCLVSLLRLGLSCSHELPSSRMPTGDIVNELNAIKQNLSRLYPVYGASTTHLALDLATIMARSLALLLVCSSMLLCPVNSNDAAAVPTADELTLLSFKSSMLSQGDSLLLSSWNTSGKHCTWPGVVCAGQRHPERVVALRLASFNLSGIISLSLCNLSFLRELNFSGNYLSGEIPSELSRLQGMIPREIGAGLKHLSYLNLRSNGLSGEIPSALGNLTNLQVLNLGYNRLSGAIPSSLGQLLSLSILSLGTNNWDMIPNSMWNLSSLKKFRVRENMLGGMIHPNAFNTLHLLEGIYIDTNHFHGKIPSSLANASRLSWLQISDNLFGGIFPLGVGRLRNLTGLLLDGNLFQSREPKDWLLSLDLGGNQFGGVLPDSFSNLSTSFSFLALGLNEITGSIPKDIGNLIGLQYLYLVNNSFTGFLPSSLGRLKNIGLLFAYDNNLSGSIPLTIGNLTELNYLLLDANNFSGWIPSTLSNLTKLLALGLSTNNLSGHPPAFFQCEQLAGVAAVRRCAPAAVSRRFPAATASQYGVGNIASTHGDIYSYGILVLETVTGKRPTDRIFRPGLSLH >LPERR11G14020.2 pep chromosome:Lperr_V1.4:11:14962329:14985512:1 gene:LPERR11G14020 transcript:LPERR11G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICHKRRRNKEVPATTSMQGHPMITYKQLLKATDGFSPKNLLGSGSFGSVYKGDLDSQGAESTSLVAVKVLKLETPKALKSFNAECEAIQNMRHRNLVKIVTISSSIDNRGNDFKAIVYDFMPNGSLDDWLHTQTNYQAEHRHLNLHQSVTILLDVACALDYLHCHGHEPVVHRDIKSSNVLLDADMVAHVGDFGLARILVEENSSMQQSTSSMGFRGTIGYAAPVAAVLISSLLLLCVCNGRTGGGDELALLSFKSSLLSSQGDSLLLSSWNTSTQHCTWPGVVCGGRRHPERVVALRLGSSNLSGIISPSIGNLSFLRELDLKDNYLSGKIPPELGRLRRLRWLDLSKNSLQGSIPAAIGVGMKMLEYLYLEENGLSGEIPGSLGELPSLQKLSLRKNSLSDSNKLSGEIPSTLGDCQLLQHLFLQNNILNGEIPIALTQLKGLDTLDLSCNNLSGQIPKSLGDMPLLHSLNLSFNSFCGEVPINGAFANVSEIHIQGNKNLCGGILDLCLPPCYLNSTKKKKHRILLLAVIICFVSTVAIISLFYMILIIHKQRRKKVLETTSVRGHPMITYKQLVEATDGFSSTNLLGSGSFGSVYKGQLDSQYGENTTLVAVKVLKLETPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNKGNDFKAIVYDFMPNSSLEDWLHPATTDQAEQRHLNLHQRVTILLDVACALDYLHCHGPDPVVHCDIKSSNVLLDADMVSHVGDFGFARILVQGSSLLQQSTSSTGIRGTIGYAAPEYSVGNIASTHGDIYSYGILVLETVTGKRPTDGIFRPGLNIRQYVEPGLHGRVMDVVDMKLVSDSEDWVQTPNDSPCRKIFGCLVSLLRLGLSCSHELPSSRMPTGDIVNELNAIKQNLSRLYPVYGASTTHLALDLATIMARSLALLLVCSSMLLCPVNSNDAAAVPTADELTLLSFKSSMLSQGDSLLLSSWNTSGKHCTWPGVVCAGQRHPERVVALRLASFNLSGIISLSLCNLSFLRELNFSGNYLSGEIPSELSRLQGMIPREIGAGLKHLSYLNLRSNGLSGEIPSALGNLTNLQVLNLGYNRLSGAIPSSLGQLLSLSILSLGTNNWDMIPNSMWNLSSLKKFRVRENMLGGMIHPNAFNTLHLLEGIYIDTNHFHGKIPSSLANASRLSWLQISDNLFGGIFPLGVGRLRNLTGLLLDGNLFQSREPKDWLLSLDLGGNQFGGVLPDSFSNLSTSFSFLALGLNEITGSIPKDIGNLIGLQYLYLVNNSFTGFLPSSLGRLKNIGLLFAYDNNLSGSIPLTIGNLTELNYLLLDANNFSGWIPSTLSNLTKLLALGLSTNNLSGHPPAFFQCEQLAGVAAVRRCAPAAVSRRFPAATASQYGVGNIASTHGDIYSYGILVLETVTGKRPTDRIFRPGLSLH >LPERR11G14020.3 pep chromosome:Lperr_V1.4:11:14962329:14985512:1 gene:LPERR11G14020 transcript:LPERR11G14020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICHKRRRNKEVPATTSMQGHPMITYKQLLKATDGFSPKNLLGSGSFGSVYKGDLDSQGAESTSLVAVKVLKLETPKALKSFNAECEAIQNMRHRNLVKIVTISSSIDNRGNDFKAIVYDFMPNGSLDDWLHTQTNYQAEHRHLNLHQSVTILLDVACALDYLHCHGHEPVVHRDIKSSNVLLDADMVAHVGDFGLARILVEENSSMQQSTSSMGFRGTIGYAAPEYSVGNIASTHGDIYSYGILVLETVTGKRPTDGIFRPGLNIRQYVEPGLHGRVMDVVDMKLVSDSEDWVQTPNDSPCRKIFGCLVSLLRLGLSCSHELPSSRMPTGDIVNELNAIKQNLSRLYPVYGASTTHLALDLATIMARSLALLLVCSSMLLCPVNSNDAAAVPTADELTLLSFKSSMLSQGDSLLLSSWNTSGKHCTWPGVVCAGQRHPERVVALRLASFNLSGIISLSLCNLSFLRELNFSGNYLSGEIPSELSRLQGMIPREIGAGLKHLSYLNLRSNGLSGEIPSALGNLTNLQVLNLGYNRLSGAIPSSLGQLLSLSILSLGTNNWDMIPNSMWNLSSLKKFRVRENMLGGMIHPNAFNTLHLLEGIYIDTNHFHGKIPSSLANASRLSWLQISDNLFGGIFPLGVGRLRNLTGLLLDGNLFQSREPKDWLLSLDLGGNQFGGVLPDSFSNLSTSFSFLALGLNEITGSIPKDIGNLIGLQYLYLVNNSFTGFLPSSLGRLKNIGLLFAYDNNLSGSIPLTIGNLTELNYLLLDANNFSGWIPSTLSNLTKLLALGLSTNNLSGHPPAFFQCEQLAGVAAVRRCAPAAVSRRFPAATASRPSFQYGVGNIASTHGDIYSYGILVLETVTGKRPTDRIFRPGLSLH >LPERR11G14020.4 pep chromosome:Lperr_V1.4:11:14962370:14962826:1 gene:LPERR11G14020 transcript:LPERR11G14020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTNYQHLFLQNNFLNGNIPISLTHLKGLDTLDLSGNNICQIPKSLGDMPLLNSLNLSFNSFGREMPTNGAFANASEIYVQGNADLCGGIPELHLPPCSLKSTRKQKTSHSAASYCYLSRTCRLFITLHASNLS >LPERR11G14020.5 pep chromosome:Lperr_V1.4:11:14961105:14962338:1 gene:LPERR11G14020 transcript:LPERR11G14020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSNQLTGKIPIQICASMGNLVHLYLAGNYLSGEIPGSLAELPSIQTLSIVTTLADEIPAALGNLSSLLFLSLNDNMLSGVIPSSLGKLTSLIGLDMSQNMLSGTIPSSLGQLHRLSFLAMHYNNLSGLTPDPIWNISSLTLFFVTSNKLSGMLPTNAFDTLPHMQEVYMAYNMFHGNMPASVANASNISILSFAGNSFSGVVPPEIGRLRNLNRLELLETLLQAKEPNDWRFMTALTNCTNLQIVEMASCKFGGVLPDSVSNLSSLLVYFSIEDNTISGGIPKDIGNLISLESLLLSNNSLTGSLPSSFSMLRILHRLTLDNNNLSGSLPLTIGNLTQLTNLELCDNAFGGTIPSTLGNLTKLFDLKLSHNNFIGPIPAEIFSIPTLSKTLDVSHNNLEGSVPK >LPERR11G14030.1 pep chromosome:Lperr_V1.4:11:14985543:15004476:1 gene:LPERR11G14030 transcript:LPERR11G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDKKLILDYENWLQSTNDSPCNRIIGCLLCLLRLGLSCSQELPSSRTPTGDIINELNDIMQNICGLSFALLFLLFSSSPMFRDAAAVDDELALLSFKSSLLSQGDSLLLASWNTSSQHCTWPCVVCGRRHPDRVVKLLLPSSKLSGTISPSLGNLSFLKKLDLNSNHLSGEIPPELGRLSRLRWLNLSSNFLQGSIPGAIGTCTNLIGMDLSSNLLKGRIPLQFGASMKNLNFLYLYKNTLSGEIPGSLAELPSIKYLAINSNRLSGEILAALGNLTGLLFLYLSHNMLSGEIPSSLGKLTNLIVLLLSENKLSGSIPSSFGQLPRLSFLAMHYNNLSGLIPYPIWNISSLTVFSVSFNMLSGMLPTNAFSNLPHLQEVHMANNLFHGHIPPSVANASNISMLTFGSNSFSGVVPLEIGRLRYLYALEISRTLLEAKEPNDWRFMTALTNCTRLQVVEMSGSKFGRVLPDSISIISSSLRYLSIQANKLLGTIPRDIGSLPSSFSKLKSLHRLTLDNNKLSGSLPLTIGNLTQLTNLELSDNAFSGTIPSTLGNLTKLFDLNLSHNNLIGPIPIEIFSIFTLSEALDVSYNNLEGSVPKEIGKLKNIVEFHANSNNLSGEIPIALGECQLLQHLFLQNNFLNGEIPIALAQLKGLDTLDISSNNLSGQIPKSLGDMPLLHSLSLSFNSFHGEVPINGVFANASEIYIQGNANLCGGIPELHLPLCTLKSTKKKKHRILLLAVKICFVSTVDINSLLYTILIIHKRRRKKVPETTSMQGHPMITYKQLLEATDGFSSTNLLGSGSFGSVYKGQLDNQYGENTSLVAVKVIKLETPKALKSFAAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNKSLKDWLHPATADQSEQRHFNLHQRVTILLDVACALDYLHCHGPDPVVHCDIKSSNVLLDADMVAHVGDFGLARILVEGSSLLQQSTSSMGIRGTIGYAAPEYGVGNIASTHGDIYSYGILVLETVTGKRPSDSIFQPALSLRQYVEPGLHGRVIDVVDMKLLSDSVDYVQNPNDSPSRRISGCLVSLLRLGLSCSNELPSTTTTTMARRSLALLLVYSLLLCPASSDDNAAAVPNADELALLSFKSSLLSQGEYSLPLSSWNTSAHHCTWPGVVCGSGGRRQRHPERVVALRLGFFNLSGIISPSLGNLSFLRELNLSSNHFSGEIPPELGRLSRLRRLDLSNNQLQGMIPHEIGAGLKHLLYLWLYNNGLSGEISPALGNLTNLQELYLGSNRLSGAIPSSLGQLRSLSILSLGTNNLTGVIPNSIWNLSSLRMFDVRENMLGGMMPSNAFNALHLLEMIYMDTNHFHGEIPASLANASHVSELQITDNFFNGILPSGFGRLRNLTHLKLGMNLFQARETKDWAFITELTNCSQLLNLELGLNKFGGVLPNSFSNLSTSLYYLELELNNITGSIPKDIGNLIGLQYLSFSNNNFTGSLPSSLGRLKNLALLHAYKNNLSGSIPLAIGNLTELTSLLLGKNKFSGLIPVTLSNLTKLLELGLSTNNLSGPIPGGLFNIQTLSILFNVSHNNFEGSIPQEIGHLKNLVEFRAESNSLSGEIPSTLGECQLLRNLYLQNNLLTGRIPSALGQLKGLETLDLSSNNLSGQIPTSLADITMLHSLNICFNSFVGEVPAIGVFADASGISIQGNANLCGGIADLHLPPCYLLLQNKRHKFPVVHVVVPLVAALAILSSLCMLLTWHKSSKKGTLSTPFIQGHPFVSYSQLVKATDGFSPTNLLGSGSFGSVYKGKFDEQGGESTSLVAVKVLKLEAPKAVKSFTAECEALRNMRHRNLAKIVTICSSIDNRGNDFKAIVYDFMPNGTLEDWLHPATTDQAEQRNLNLYQRVTILLDVACALDYLHCHGPEPVVHCDIKSSNVLLDADMVAHVGDFGLARILVQGSSLLQHSTSSTGIRGTIGYAAPEYGVGNIASTHGDIYSYGILVLETITGKRPTNGIFRPGLSLRQYVEPGLHGRVMDVVDMKLVLDSEDWLQTPNDSPCIRIFGCLYMKTGDMNSDANQSASFGDELALLSFKSSLLPSQGDSLLLSSWNTSTQHCTWPGVVCGRHRRHPERVVALWLPSSNLSGTISPSIGNLSFLRELNLNGNYLSGEIPPELGRLSRLRWLDLSFNSFQGSIPAAIGECASLTMLDLSNNQLQGIIPHEIGVGLKHLSYLNLGTNGLSGEIPPALSNLTSLKHFDLRSNRLSGAIPS >LPERR11G14040.1 pep chromosome:Lperr_V1.4:11:15004534:15007624:1 gene:LPERR11G14040 transcript:LPERR11G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNSISNLSSLRTFGVRENNLGGTMPPNAFNSLYLLEEIYMDTNHFHGNIPSSLANASRVSLLQISDNLFGGIIPSGFGKLTNLTKLRLVGNLFQSREPKDWAFITELTNCSQLLELNLEVNKFGGVLPNSLSNLSTSLIYLKLGFNNIRGSIPKDIGNLIGLQHLSFSNSNFTGSLPSSLGRLKNLALLHAYKNNLSGSIPLAIGNLTELTSLLLGTNKFSGLIPVTLSNLTKLLELGLSTNKLRGPIPSGLFNIQTLSMLFNISHNNLEGSIPQEIGRLKNLVEFRAESNSLSGEIPSTLGECQLLQNLYLHNNLLTGSIPSALGQLKGLETLDLSSNNFFVREVPEIGAFSDASWISIQGNPKLCGGIPDLHLPQCYLLLQNKNHYPLLVIVVSLVAALAILSSLCTFLTWHKRSRKGTPSTPSMQGHPFVSYPQLVKATDNFSPTNLLGSGSFGSVYKGDFDKQGGENTNLVAVKVLKLETPKAVKSFTAECEALRNMRHRNLVKIVTICSSFDNRGNDFKAIVYDFMPNGTLEDWLHPATNDQAEQRNLNLYQRVTILLDVACALDYLHCHGPEPVVHCDIKSSNVLLDADMVAHVGDFGLARILVQGSSLLQHSTSSTGIRGTIGYAAPVLETITGKRPTNGIFRPGLSLRKYVEPGLHGRVMDVVDMKLVLDSEDWFQTPTDSPCIRIFGCLVSLLRLGLSCSHELPSCRTPTEDIINELNIIKQNLSRLYPVYED >LPERR11G14050.1 pep chromosome:Lperr_V1.4:11:15016746:15021105:1 gene:LPERR11G14050 transcript:LPERR11G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEVKVNGVVEEVVAEDYVVVKAAGEDGGAAAAVEGDLAGGEAAVEVATGGSEAVAAGVAAVKKGGSGGAAAAAARKAKPVVANGKVVSTGAPAAARGKKPVLSQSSSFPARGAVAKKDGAAAAKPVKSEGKVAAAAAVPNGSEKAAAGRAVEKKVNPARVAAARRSLPAKSGSEEAAPNDATSEAQESNENSTNALEQTLPGKMEDDVHSTTSSTNTPRTAQRKSAAAAAAGFSFRLQERAEKRKEESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPNSVTAASADGSVSCESPRSITNLAKVIESAENNKPRVTARKPAQRSVTKTPSQASATGKTETKPLVAKQKSSNTKPKAPRAKVEQLQDNLDDIPPEETTGPEGHTGEHGVEDDATGPDRAATLVASNEVPVQG >LPERR11G14060.1 pep chromosome:Lperr_V1.4:11:15021444:15022873:1 gene:LPERR11G14060 transcript:LPERR11G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGTSSAGAGAAQFRDWSALPEDLLLTAMSAMQVPDLVHSGAVCRSWRSAFAAFRRLRLPSPPHPPCLLYSSSSAGDVTLYSPATGAHFRVPLLTADAEAASGVVGSANGWVFTTDARDANPYLLNPLTGERAALPPITTLRRVRRRRFVFNPTRGVAYDVEFGAGVGVRAGHGADGVAMSGSPSSAGGCVVLLLHNPHGELSFARPGGVNEQWTTINGGGGGRNYLDAVHNPNDGLFYALEDACGDYDEAVVVSDDGDVHGAAGCGQPPDQEFDKQVNDLAAGVGDGLALFLGNATAAAVCVDRAGAAMLRGNCAYLTDDYIDGDDQMMMRMRHTLDHELAVWEFESNFNGGRLTKLRDTWPLHPSLRDDSPLPVWFTPSPN >LPERR11G14070.1 pep chromosome:Lperr_V1.4:11:15025483:15031722:1 gene:LPERR11G14070 transcript:LPERR11G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQACKKRRAVYISSESEDSGTDSEVEGRKLSKKISVSTCNHQPASNNKVGTMNTSKSRQCGHILKKLMDHKGGWLFHTPVDPVLYRIPDYFDVIRNPMDLGTVKKKLTGKQYSNADEFAADVRLTFSNAMKYNPPGNDVHSIADQLNKMFDSEWKLLERKWKDRHPVREQPPVKILKAHASLTPKPVLPMGAAAGTNSVVSKALATALSSKVKIKFSVRGSELTSGKGNELRPCNDASTSPFASSRQEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIIDFVDGIELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSASPSVEVDVEEGEISLCQ >LPERR11G14070.2 pep chromosome:Lperr_V1.4:11:15027540:15031722:1 gene:LPERR11G14070 transcript:LPERR11G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQACKKRRAVYISSESEDSGTDSEVEGRKLSKKISVSTCNHQPASNNKVGTMNTSKSRQCGHILKKLMDHKGGWLFHTPVDPVLYRIPDYFDVIRNPMDLGTVKKKLTGKQYSNADEFAADVRLTFSNAMKYNPPGNDVHSIADQLNKMFDSEWKLLERKWKDRHPVREQPPVKILKAHASLTPKPVLPMGAAAGTNSVVSKALATALSSKVKIKFSVRGSELTSGKGNELRPCNDASTSPFASSRQEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIIDFVDGIELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSASPSVEVDVEEGEISLCQ >LPERR11G14080.1 pep chromosome:Lperr_V1.4:11:15033657:15035266:1 gene:LPERR11G14080 transcript:LPERR11G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARSAFNSQQLGFQSNKSKQSIEIAAGAGNNDVIAGNIRRHRRRIPGLSTPAPSADRGTPPSPNSAASASRRRRTHPACSTPPPPATNSPPSSTPRQPAPASAGSAHGWLFTTDAGDANPYLLNPLTGERASLPPITTLPRVIGRKRRVVDDEYVYDVDFASSPAATPDVRSVDVAFARRTLFRRVAISASPSAAGGGGCVVLLLHGPHGELFYARPGGVDERWTPITAGGDGDYHDAIHNPVDGKFYLLQDVDLCDALKSIDLAAAVAVAGAHLDHSNIDEAEVAEELDGGVGDDHVLFIGNAAGGVCLRRVEDCGGAFRGNCAYMTDDDEEVHPPDIGVWDLNYMGEGGHGGLTMLQATWPLHDLDTSGYSIMAPVWFTPSLD >LPERR11G14090.1 pep chromosome:Lperr_V1.4:11:15036720:15038431:1 gene:LPERR11G14090 transcript:LPERR11G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAIIVGMEIDLGPLITVIKHRDYAGARFHVLSGACEHLAHVRDCVRTRIRVMVGSGLIQEVDIEVAYQKGFSHIATLQELCLLQPAEDGEAVQMQSTMRNFALWLARDLGKNKNKWTIQTKEHWGLAEQILLVGLKIAELPLIPSNQKTLAVLILQHNYLKDGSFSNFPSLLSLKYLDLSFNKFSNIPAEICLQVNLTYLNLSNNRIKMVPVELGSLTKLKHLHLRNNPNLVIPNDMLPKLQNLEVLDVCSFNLLQCSSYEAPINELVHMDKLQSLGSLFIQKLHFKRLTTLPIRSLSIVTYNDEDVHGTQVFSDNSCINPERRTKLFELGIYTRKRTIVLDSLHSVWNLQHVEKAYIHGHFINGIICQEMHPVDVFAKLKRLDIVRCIRLNHISWIMHLPLLEDLLLFTCSSMHRIIGTTEDGVVKTNQNNENSSVNNTFPRLKRMTLIEADALVRICSPIFSFPSLECLQISDCPMLKKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLESSLALYFLGFSTEEVSELYFFSSLQVEWANIVTP >LPERR11G14100.1 pep chromosome:Lperr_V1.4:11:15040446:15041795:-1 gene:LPERR11G14100 transcript:LPERR11G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQEKPWSGVEELIKGWLVALTVMAEALRLTTPELSSSDGGSCSELGAIPARKQISAPAWIAAAVSRPPLGSPDLIDEQSELAESSSGPATKRSFDVATVKEAIIAYSLAEKGRSFSFGQPQRATRPESPGSLLTLFAEASLMKKMCFPDAMASLNRSPEKILSLIDMYAVVSDVSPGLLALLIAGESKRLVSHRITTVLETLSGMVRDILRNLASLIQEEESWRSTVQGNYGIHPVNQYVLNYINLLLDNLDVLNLVLQRGEDEELFPIGEPYQFATEDSSLTGIVTRLVNSLDAMFEDRSKTYAAAGPRYIFLLNNAHFILQQAFMGACWYAQRKRQIERHIKSYLDASWGNIVSCLEPTGGQRRNSLLRRLSVLVEFNALLQATYHTEKLWKINSPQLRSMLRNSVCGKVVSAYRLYLESQVKFETSATYTPEDLEDLLQDLFEG >LPERR11G14110.1 pep chromosome:Lperr_V1.4:11:15049006:15050652:-1 gene:LPERR11G14110 transcript:LPERR11G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVAAMGAQMDPHGTMVAAATVPPMASNQLTLSFQGEVYVYVFDSVSPDKVQAVLLLLGGRELNPEVEFSTPGGIFNAFQRKAERNFGKKIRCSVRKEVALRMQRNRGQFTSSKPKGDEATSELTAADVSPKWGSLEGRPPSAAEGHHCGISAKATPMMRRGPDGPRTLCNACGLIWANKVPDYFEMRITVNILKTGVCIFM >LPERR11G14120.1 pep chromosome:Lperr_V1.4:11:15051386:15052555:-1 gene:LPERR11G14120 transcript:LPERR11G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSETASARDWSTLPEDLILTAMSAMQIPNALHSALVCRSWHVAFATFRRLRLPSPPHPPCLLHSVSVSAAVYSPSTGARLFDLPHLTASAAAGVVGSAHGWLFTSDPRDANPYLVNPLTGERASLPPITTLRCVKGSRRIILSDSGENIAYDVDFRSGNGDDDAVKPVMAGAARRLMYHRVAISGACVVLLVHMPYREISFARPGDERWTSLTGAGGGFLDAVHNPHDGLFYLLQSTITVHHEVLAVHSLNLAVSPPVATSLTRTTTTDAAARVSGGISSSYYLATAPNGDHLVLAERRRLGEAPPTTMEIRLSKISLRRKSKLQAVVEIAGVALFLGHGGAFCLRVEDFSPMFRGNCAYLADDRDMTPSPCKRRLDLGVWDFRRGG >LPERR11G14130.1 pep chromosome:Lperr_V1.4:11:15054298:15064512:1 gene:LPERR11G14130 transcript:LPERR11G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDIRKWFMKAQDKNGAAVKPGGAAAAAAAAKKPVLSVSEKPAPSLAPCDHDCSARRKTSKYFASKTEKEEDASAGKGTGRGLSKRKLQKGSDELEDDMKPLPAPEIYEEDDDFVAPSRRKTPVKPPPSKKLKGASNAEAHGKTVCLDDDSEDKMDEDAKTPSKSAGRGKGRGSGRGRGGRGAGATHGKTIGLDDDGEEDRMYEDAKTPSKAAGRGRGGAGGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGSPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVVKHQSDKNSERQQKSPIKSSPIKVERRDGNQITTGKNISPKSNKDNVSIVNHKVKIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKTWDDQFRHSGQKVKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSDNRTKQPKAVLVMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKLQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLSSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPVTVGKDDSGVKRMNFLARAAESIADADIVNIPTVAVVPSCLFVFIYNTGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLKQLTDPLKTMAKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVEESLPEENGLASAEGDEDDSSDAENNDELLPGDAKPKLDLQSDKKKGIQVQLDLKSNGNGSNSKKIPASRSRASGSAGKASGGSGGKRKR >LPERR11G14140.1 pep chromosome:Lperr_V1.4:11:15076194:15080491:1 gene:LPERR11G14140 transcript:LPERR11G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPSSSLPPPRRPTVVLLLGLALAFCLAVLSIQSSFFTAPRSARRLDLDSDEVRTLSGFQSRVQQCVASRGLGLAADIIDHCKIVLKFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGTDKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMEKILKGSSNEVLIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRAAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSKYNKWEILPFESLRREAQEHHLQMGGVSLYKMDGNKLDDLVCVKHEHSSG >LPERR11G14150.1 pep chromosome:Lperr_V1.4:11:15081768:15086174:-1 gene:LPERR11G14150 transcript:LPERR11G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQREKREEVGGSEEEGFVLLHAFSRGPDRIGLPRRRRRRCPGCDSFAMASGTDEKAKMEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSISSSSVQGEGDSESSPVHTASELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVSAGIASVSRMMERLETRGNNGRTSDDPATSTSEAHPSTESSNERVTENNSTTATSTSNTSAPSAPCVTSGSN >LPERR11G14150.2 pep chromosome:Lperr_V1.4:11:15081770:15086174:-1 gene:LPERR11G14150 transcript:LPERR11G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQREKREEVGGSEEEGFVLLHAFSRGPDRIGLPRRRRRRCPGCDSFAMASGTDEKAKMEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSISSSSVQGEGDSESSPVHTASELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVSAGIASVSRMMERLETRGNNGRTSDDPATSTSEAHPSTESSNERVTENNSTTATSTSNTSAPSAPCVTSGSN >LPERR11G14160.1 pep chromosome:Lperr_V1.4:11:15087944:15089070:-1 gene:LPERR11G14160 transcript:LPERR11G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFASPAGDNLLFMLIFVPAIYKCFEKPWALKTASINSLVNTAVKTYNDGEINSLDEYVQAAAQYFEDRRNGRRFEYEETPCHPKYCTCLHDLFVDLSLPYPHRLGNLKYLEERQGQAHRVMEGRLSMIFDRLYTASMFFRKDSFDRSLLVLLRRGHDVVNDNEAINTTDGQITVFLLTTTYLIENFGAMILLWYLLLQNRSIPKLLLTLARWYRDHFTKWPDHLTQYNLIWFLASSKKHAKVRKTAGIFGCKDLVDQLMCTKNNTESAGREITELVHKHIRNGWINRRITETATYRRFGDNRGQLTLQLEGCTDHLEWSLMRPFDESVLLWHIATDICFFHGGATSLTQHYSSTPLVAAGTCRTT >LPERR11G14170.1 pep chromosome:Lperr_V1.4:11:15090703:15090977:-1 gene:LPERR11G14170 transcript:LPERR11G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRIPSWLRLFIWVVYLGNDGLATHALATLFSRHKKLGSMTPHEGGSALQLGGQYIIITAYNIEDNELWRRNILTALS >LPERR11G14180.1 pep chromosome:Lperr_V1.4:11:15091934:15092632:-1 gene:LPERR11G14180 transcript:LPERR11G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFELNMYKGQNCHVQEVRTAMYYKRFDDNRGQQTLQAIQCTNQLRLKPFDESVLLCHIATDICLFHSDGVPSTQRLTTGRTVLAL >LPERR11G14190.1 pep chromosome:Lperr_V1.4:11:15093222:15093704:-1 gene:LPERR11G14190 transcript:LPERR11G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGIWRGEDSGGWRRRRWWRLVDGGKKTRAADEAKWKCGIMEWPVPVTGGGGASMAVRDWVKWAGDWSGPTTVVTGGPRD >LPERR11G14200.1 pep chromosome:Lperr_V1.4:11:15093760:15099928:1 gene:LPERR11G14200 transcript:LPERR11G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLAAARPCAARILVPSTTGAGAVRPSGARPLGRVPRRGGASAAVASDTTTTPAVKEAEGGGGDGYDAIVVGSGIGGLVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPNDLSVLVHREYDDFITELVNKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFDNKYFYSKGKLLKVDELPEEEKNFQKNYIKAPSFLSIHMGVKASVLPADTDCHHFVLEFTPYNLILSNWQDDWANLEKPYGSIFLSIPTVLDPSLAPKGHHILHIFTTAGIEDWEGLSRKDYEKKKELVANEIIKRLEKKLFPGLQDSIVLMEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQRSPVLDAGLLGLLRWFRTLA >LPERR11G14210.1 pep chromosome:Lperr_V1.4:11:15099104:15101565:-1 gene:LPERR11G14210 transcript:LPERR11G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFHSLLRTTKPRHRRRPSGKRPKKTGRKSTNPLADGDATGESVSFHLKSSTGDEGSGLRWGGGRCLVQPLGNLLLASGSGRGGGGISLRDAGLGALRRLPDDVLLDVLGLLAARDLARLSTSSRALYVVASHDPLWRALVLAELGGDFSFSTSWRATYYIAAASGGRSHPPPRAVEIGEFYYYSDYLFQSWLCANMEMRPEWLHRDTIERRRGMSVEQFVSEFEEPNRPVLLEGCLDSWPALGKWTREHLLEISEGKEFAVGPVSMTLDRYFRYSDNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFGVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKNWEKRPVECICRTGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFHNAIDRTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >LPERR11G14220.1 pep chromosome:Lperr_V1.4:11:15118515:15120539:-1 gene:LPERR11G14220 transcript:LPERR11G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLGGRGEVAGWGFGVSSGSVCSSWHSAYVQIRKLGLWKESQTPYLIYTTESEPDYPEGIVLYSLAERKSYILSLPDPPIYDRLVIGSSHGWIITVDDMCQLHLLNPITGDQIALPSITTIEQFEAIYDDNGVVCCYRLSFFTGDNVNETPRVGTFLSCEELQDTCFQKAFLSDDPSTGNYYVVLIHESFRQISFARGGDDKWTWLPPHFCFSDCVFKDGLLYASIRSGGIHVFDLSGPTITQKIIIDRPMNSYYDDIYLVQDRFGDLLQVWTSGEPPVGHLEPHQDDEGPLHEDEEQLHQDEEVPFEIEDQLYEDEEPLQGDHEDGARRYKVYKVDLDAKKLIDITSLGEDMLFLGHNQSLCLCTEEHPQLKANHVYFTLTYKESVVGVLNLENSITDDVLPHKHWSYWGGPIWTVLNPRKMISASHDN >LPERR11G14230.1 pep chromosome:Lperr_V1.4:11:15122824:15125701:-1 gene:LPERR11G14230 transcript:LPERR11G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHTTRDDLSSAQLQGGVPICSAGKVRALVFAVTGKVPQPTTSERSTSSGSCGDPDGADQEAGGLMCYTQCFFLREAKGVTLPRRPWMELIERYKRWKFL >LPERR11G14230.2 pep chromosome:Lperr_V1.4:11:15122824:15125493:-1 gene:LPERR11G14230 transcript:LPERR11G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSGGGRADNKAGSCGDPDGADQEAGGLMCYTQCFFLREAKGVTLPRRPWMELIERYKRWKFL >LPERR11G14230.3 pep chromosome:Lperr_V1.4:11:15122824:15125701:-1 gene:LPERR11G14230 transcript:LPERR11G14230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHTTRDDLSSAQLQGGVPICSAGKVRALVFAVTGKVSGSCGDPDGADQEAGGLMCYTQCFFLREAKGVTLPRRPWMELIERYKRWKFL >LPERR11G14240.1 pep chromosome:Lperr_V1.4:11:15125189:15131967:1 gene:LPERR11G14240 transcript:LPERR11G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPASDRRVEHRQRRAPRSGRSNRRRRRRRARREKSIPNRRAVMGSQKTSWCSSAAASDGAGGESVDVWAFPELVEEETDQGGGGGARLEWNSRRRRRNTGSETRRGHRPGRRCPVGVEVWNSRRRRRKTGSETRSRGEEQPQRLAGEERRSYGARRRNTSPVKSEISSGGERRWRFAGVLIAAFGCCVAIADPDGDERVI >LPERR11G14250.1 pep chromosome:Lperr_V1.4:11:15140527:15141735:-1 gene:LPERR11G14250 transcript:LPERR11G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPAARGRRRRRKAVVAHHKRLVCGPNFEAHTREAMQPPL >LPERR11G14260.1 pep chromosome:Lperr_V1.4:11:15179997:15181433:-1 gene:LPERR11G14260 transcript:LPERR11G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMPEISIMRDLTRRAHSLTKLFACPRLLPLTNPQKRSTTAILDLPEEMIVSILANLDIPDLIRAGSVCSSWHSAYVQICKLGLWKESQTPCLIYTTESEPDYPEGIVLYSLAERKSYILSLPDPPIYDRLVIGSSHGWIITVDDMCQLHLLNPITGDQIALPSITTIEQFEAIYDDNGVVCRYRLSFFTGFSVDERPIDHMFLSCENFHDSSFQKAFLSGDPSTGNYYVVLMHAPFDQLSFARGGDDKWTWLPPHFYFSDCVFKDGLLYASIRSGGIHVFDLNGPTITQKIIINRPMDVYYDDIYLVQDRFGDLLQVWTSGEPLVGHLEPYQDDEGPLHEDEEPLHQDEEVPFGNEDQLYKDEEPLQRDDEDDTPRYKVYKVDLDAKKLIDITSLGEDMLFLGHNQSLCLCTEEHPQLKANHVYFTLTYKESVVGVFNLENSITDDVLPHKHWSYWGGPIWTILNPRKMISASHNN >LPERR11G14270.1 pep chromosome:Lperr_V1.4:11:15185134:15190023:-1 gene:LPERR11G14270 transcript:LPERR11G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGEADGPRGPPRRGRWPKTTTCDVPQPEEEPPPLADVRLPSSGDGAPSPPSRRAPPRPRGVCGTGKSDREGIDFCAAEIWLHERHSAENAASVAEFGYLKDHRIVPRLPPPPPRVPLQPGAASASLAQTSTDSPRPRPSAAPPPAPSAIERLVAASVKNKRHAKHPLRQLGKRSDTFQCRGNNLRAMNKIPVPEVIIIHIPRSKESIDLQYHSKNDSSG >LPERR11G14280.1 pep chromosome:Lperr_V1.4:11:15192273:15192812:-1 gene:LPERR11G14280 transcript:LPERR11G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALPIEALARLSLLAGVGLVVAVLVAVRPTVVDHVPDEHRVPLPSTSLASSQTANVNLSFLQRKRDVHS >LPERR11G14290.1 pep chromosome:Lperr_V1.4:11:15209754:15211207:-1 gene:LPERR11G14290 transcript:LPERR11G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMPEISIMRDLTRRAHSLTKLFACPRLLPPTKPQQISTTTISDLPKEMIVSILANLEIPDLIRAGSICSSWHSAYVQICELGLCKESQAPYLLYTTEFEPNYKEDIVLYSLAERKSYILSLPDPRLMRSSHVLSTIGMSLDPPTLHLLNPITGDQITRPSVTTIEQFEAVYDDSGVICRYMLSFFTGKTVNERPKAIFLSCEELQDTCFRKAFLSGDPSTGNYFVVLIHKPFSQLSFARGGDDKWTWLPPYFYFSDCIFKDGLLYALICSGGIHKIIIDRPMDVYYDDIYLVQDRFGDLLQVWTNGEPPVGHLEPHQDDEGPLHEDEEPLHEDEEPLFEHEDQLYGDEEPLQKDDAPRYKVYKVDLAAKKLVDGTSLGEDLLFLGCNQSLCLSTEEHPQLKANHVYFALTQEKGSVVGVLNLENRIIEDVLPRQLWSDWRPGLAWTVLNPRKMISASYDN >LPERR11G14300.1 pep chromosome:Lperr_V1.4:11:15217330:15218116:-1 gene:LPERR11G14300 transcript:LPERR11G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMCLMAPRDRRSGLWSLDKFGALVHKAMAQQAALVDGVAPMDLSEQPWLCCR >LPERR11G14310.1 pep chromosome:Lperr_V1.4:11:15237149:15237522:1 gene:LPERR11G14310 transcript:LPERR11G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYDNIYLVQDRFGNLLQVWKNFEHLLGVKNHHHCIHHGRMVKMFRKTLSTKCIKLIRLKRNSSKLLGWVRICYFLDITGHFFYALKNIPTSKRKFCPISFVLTGLALFGQH >LPERR11G14320.1 pep chromosome:Lperr_V1.4:11:15238070:15241036:-1 gene:LPERR11G14320 transcript:LPERR11G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDLDEDEEAPPREQQQRRRRRAARAGTGSGSDEEEEETGNEDLSLEIVARSRSRRRRREEAARGGDVLSLSSGDEVDEDAVVELGEADPSSSSSRKQEKLQKKKRRKEKKKQQRKGPQEGSASTAIEKEPQVAGTQEAQTGMAESVLTENGPDAPLSDNSVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVKCPMEKRKKPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKDCPEKHNKNTQQSTFCLRCGESGHDMFGCANDYSRDDVKEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLSDRVKGESSAHSRKKGKGKKDFGTRSAPYDARKTSKRKSPLFEERRNTPHFKSKSRGGWIADDADDQPYKKYKPNVWASPSTPRKQHSNHHFFSGNDYPTPQSSRWQKQGFASPSTTYSPNARKHSFSSSRFASNTHVRFGRS >LPERR11G14330.1 pep chromosome:Lperr_V1.4:11:15246077:15260037:1 gene:LPERR11G14330 transcript:LPERR11G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPPLEPEEDEMLVPHGPELPPAPAPAAADATVQPMEVVAQTETASTADSQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAHFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKVTDLGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDMYFDLVDHEKVRSFRIQKQLPFSTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPTEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEEILLFFKLYNPEKEEISFVGRLFEIKFEPNVMCEHIDKKITFRGSQLEDGDIICFQKSPVADSDAQVRYPDVPSYLEYVHNRQVVHFRSLEKPKDDDFFLELSKLHTYDDVVERVARQLNVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVISDLKTKVELSSPTAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPLQNQIQNFGDPFYLAIRESETLDKVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLEDSDVVSTRFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHTYEKPQNSTPLNTTTSLASSKF >LPERR11G14330.2 pep chromosome:Lperr_V1.4:11:15246077:15260037:1 gene:LPERR11G14330 transcript:LPERR11G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPPLEPEEDEMLVPHGPELPPAPAPAAADATVQPMEVVAQTETASTADSQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAHFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDMYFDLVDHEKVRSFRIQKQLPFSTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPTEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEEILLFFKLYNPEKEEISFVGRLFEIKFEPNVMCEHIDKKITFRGSQLEDGDIICFQKSPVADSDAQVRYPDVPSYLEYVHNRQVVHFRSLEKPKDDDFFLELSKLHTYDDVVERVARQLNVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVISDLKTKVELSSPTAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPLQNQIQNFGDPFYLAIRESETLDKVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLEDSDVVSTRFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHTYEKPQNSTPLNTTTSLASSKF >LPERR11G14340.1 pep chromosome:Lperr_V1.4:11:15264931:15276593:1 gene:LPERR11G14340 transcript:LPERR11G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRARIDSAASSFSSPSTPPPQPPWRRGDDDYVPGNIVEIELCNFMTYARLTCRPGPRLNLVIGTNGSGKSSLVCAIALALAAEPNFLGRASSVGAFVKRGEESGPARISLRGYTPDQTISITRKIDTKNKSEWQIDGSTVPRKEVVDLIKKFNIQVNNLTLFLPQDRVCEFAKLTHIQLLEETEKAVGDPDLPVQHHQLIDRSKELKNLEVAVKQKEQTLNNLKALNAELEIDVERVRQRDKLLRKAELMRKRLPWLKYEIKNKEYIQAQEQEKTKKRIMEEAAKIWEGSKGPIEPQERENVHYFKCKKDKQPNSGKHEENEQLKATLENIDSLKRRENSRQQRILKAKEALAAAEREHGDLQPYEAHEAEMSRLTEKIVELTCAVKKLKEEGADTQSLLVRERVILRNCSDRLKKMENKNNKLLQKLKESGAEKIYEAYNWVQDNIYKFRNEVYGPVLLEVNIQDKVHASYLEGHVPKYIWKSFITQDSSDRDLLVRQLKRYDIPVLNYMGENGIRREPFNTTMEMQKVGIYARLDRVFEAPPAVKDVLYIGTNETHNRADDVPKLGLSDFWTPNSHYRWSRSRYGGHLSAFVDDVNPSRLFMDNLDVGDIERLQSQKDKHVKNLEEMNVSLEMQVKQQSQLEDEAAQIHKEKEEIIGMMRSEKERQCGIKWRVDMKRSTLENIYKEEDTGSTKTKFVDQVAKLNDEQFQAVLKLKDLLIRAVVLKRSCTQENMASIELDTKIWEAQKDVKKLERDAVLEDMKIMPTTIEELDSAIQDIESEANSMLFLNQNVLLEYQNRQHEIESISEKLEDDKGECQRCYSDIEITKGKWLPTLHTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDYEQYGILIKANRPVAGVECSSPIWRGAISFYYLVSRMDPINERKMFQQLMRAASQPNTPQCFLLTPKLLSDLEFSDACNILGIMFGPCIDELAEAWSTGDNWRKLMADP >LPERR11G14340.2 pep chromosome:Lperr_V1.4:11:15264931:15276693:1 gene:LPERR11G14340 transcript:LPERR11G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRARIDSAASSFSSPSTPPPQPPWRRGDDDYVPGNIVEIELCNFMTYARLTCRPGPRLNLVIGTNGSGKSSLVCAIALALAAEPNFLGRASSVGAFVKRGEESGPARISLRGYTPDQTISITRKIDTKNKSEWQIDGSTVPRKEVVDLIKKFNIQVNNLTLFLPQDRVCEFAKLTHIQLLEETEKAVGDPDLPVQHHQLIDRSKELKNLEVAVKQKEQTLNNLKALNAELEIDVERVRQRDKLLRKAELMRKRLPWLKYEIKNKEYIQAQEQEKTKKRIMEEAAKIWEGSKGPIEPQERENVHYFKCKKDKQPNSGKHEENEQLKATLENIDSLKRRENSRQQRILKAKEALAAAEREHGDLQPYEAHEAEMSRLTEKIVELTCAVKKLKEEGADTQSLLVRERVILRNCSDRLKKMENKNNKLLQKLKESGAEKIYEAYNWVQDNIYKFRNEVYGPVLLEVNIQDKVHASYLEGHVPKYIWKSFITQDSSDRDLLVRQLKRYDIPVLNYMGENGIRREPFNTTMEMQKVGIYARLDRVFEAPPAVKDVLYIGTNETHNRADDVPKLGLSDFWTPNSHYRWSRSRYGGHLSAFVDDVNPSRLFMDNLDVGDIERLQSQKDKHVKNLEEMNVSLEMQVKQQSQLEDEAAQIHKEKEEIIGMMRSEKERQCGIKWRVDMKRSTLENIYKEEDTGSTKTKFVDQVAKLNDEQFQAVLKLKDLLIRAVVLKRSCTQENMASIELDTKIWEAQKDVKKLERDAVLEDMKIMPTTIEELDSAIQDIESEANSMLFLNQNVLLEYQNRQHEIESISEKLEDDKGECQRCYSDIEITKGKWLPTLHTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDYEQYGILIKANRPVAGVECSSPIWRGAISFYYLVSRMDPINERKMFQQLMRAASQPNTPQCFLLTPKLLSDLEFSDACNILGIMFGPCIDELAEAWSTGDNWRKVINAN >LPERR11G14340.3 pep chromosome:Lperr_V1.4:11:15264931:15276593:1 gene:LPERR11G14340 transcript:LPERR11G14340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRARIDSAASSFSSPSTPPPQPPWRRGDDDYVPGNIVEIELCNFMTYARLTCRPGPRLNLVIGTNGSGKSSLVCAIALALAAEPNFLGRASSVGAFVKRGEESGPARISLRGYTPDQTISITRKIDTKNKSEWQIDGSTVPRKEVVDLIKKFNIQVNNLTLFLPQDRVCEFAKLTHIQLLEETEKAVGDPDLPVQHHQLIDRSKELKNLEVAVKQKEQTLNNLKALNAELEIDVERVRQRDKLLRKAELMRKRLPWLKYEIKNKEYIQAQEQEKTKKRIMEEAAKIWEGSKGPIEPQERENVHYFKCKKDKQPNSGKHEENEQLKATLENIDSLKRRENSRQQRILKAKEALAAAEREHGDLQPYEAHEAEMSRLTEKIVELTCAVKKLKEEGADTQSLLVRERVILRNCSDRLKKMENKNNKLLQKLKESGAEKIYEAYNWVQDNIYKFRNEVYGPVLLEVNIQDKVHASYLEGHVPKYIWKSFITQDSSDRDLLVRQLKRYDIPVLNYMGENGIRREPFNTTMEMQKVGIYARLDRVFEAPPAVKDVLYIGTNETHNRADDVPKLGLSDFWTPNSHYRWSRSRYGGHLSAFVDDVNPSRLFMDNLDVGDIERLQSQKDKHVKNLEEMNVSLEMQVKQQSQLEDEAAQIHKEKEEIIGMMRSEKERQCGIKWRVDMKRSTLENIYKEEDTGSTKTKFVDQVAKLNDEQFQAVLKLKDLLIRAVVLKRSCTQENMASIELDTKIWEAQKDVKKLERDAVLEDMKIMPTTIEELDSAIQDIESEANSMLFLNQNVLLEYQNRQHEIESISEKLEDDKGECQRCYSDIEITKGKWLPTLHTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDYEQYGILIKANRPVAGVECSSPIWRGMDPINERKMFQQLMRAASQPNTPQCFLLTPKLLSDLEFSDACNILGIMFGPCIDELAEAWSTGDNWRKLMADP >LPERR11G14340.4 pep chromosome:Lperr_V1.4:11:15264931:15276688:1 gene:LPERR11G14340 transcript:LPERR11G14340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRARIDSAASSFSSPSTPPPQPPWRRGDDDYVPGNIVEIELCNFMTYARLTCRPGPRLNLVIGTNGSGKSSLVCAIALALAAEPNFLGRASSVGAFVKRGEESGPARISLRGYTPDQTISITRKIDTKNKSEWQIDGSTVPRKEVVDLIKKFNIQVNNLTLFLPQDRVCEFAKLTHIQLLEETEKAVGDPDLPVQHHQLIDRSKELKNLEVAVKQKEQTLNNLKALNAELEIDVERVRQRDKLLRKAELMRKRLPWLKYEIKNKEYIQAQEQEKTKKRIMEEAAKIWEGSKGPIEPQERENVHYFKCKKDKQPNSGKHEENEQLKATLENIDSLKRRENSRQQRILKAKEALAAAEREHGDLQPYEAHEAEMSRLTEKIVELTCAVKKLKEEGADTQSLLVRERVILRNCSDRLKKMENKNNKLLQKLKESGAEKIYEAYNWVQDNIYKFRNEVYGPVLLEVNIQDKVHASYLEGHVPKYIWKSFITQDSSDRDLLVRQLKRYDIPVLNYMGENGIRREPFNTTMEMQKVGIYARLDRVFEAPPAVKDVLYIGTNETHNRADDVPKLGLSDFWTPNSHYRWSRSRYGGHLSAFVDDVNPSRLFMDNLDVGDIERLQSQKDKHVKNLEEMNVSLEMQVKQQSQLEDEAAQIHKEKEEIIGMMRSEKERQCGIKWRVDMKRSTLENIYKEEDTGSTKTKFVDQVAKLNDEQFQAVLKLKDLLIRAVVLKRSCTQENMASIELDTKIWEAQKDVKKLERDAVLEDMKIMPTTIEELDSAIQDIESEANSMLFLNQNVLLEYQNRQHEIESISEKLEDDKGECQRCYSDIEITKGKWLPTLHTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDYEQYGILIKANRPVAGVECSSPIWRGAISFYYLVSRMDPINERKMFQQLMRAASQPNTPQCFLLTPKLLSDLEFSDACNILGIMFGPCIDELAEAWSTGDNWRKVINAN >LPERR11G14350.1 pep chromosome:Lperr_V1.4:11:15285559:15288686:1 gene:LPERR11G14350 transcript:LPERR11G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGAVVVRNLRRTEFFLKTITLDKVPGYGTIPYLPNKMPTPLVPYREDELRHLRGDDRPGPYNEHDRVYRYDVYNDLGEPDGGNPRPVLGGSDEYPYPRRCRTGRKPTHSDPDSESRDVGFLLTHHFYVPRDEIFTDRKKEYFDANALKLIVTGLRAMVAGFLDFKHFTPKEFDSFDDVLDLYKGPFNSSSGFRIPMPAVIKEDKTAWQTDEEFARETLAGVNPLIIRRLKKSEFPPKSSLDPTKYYNQNNKITAEDIEKSLGGLTVGEALAYNRLYIVDHHDHFIPFLLVVNQLEDNYIYATRTILFRRDDDTLRPVVIELSLPQLEAGSSTMVISSISEVYTPASDGVEGHIWQLAKAYAAVNDSAWHQLISRSPSPPTGSSVWHTPSTSSSTRTTATPCSSTPLDILINAGGGFELTVFPGKHALTISSEVYRDWNFTEQALPEDLIKRGIAKHLMENWRC >LPERR11G14350.2 pep chromosome:Lperr_V1.4:11:15284422:15285553:1 gene:LPERR11G14350 transcript:LPERR11G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQASSVPAPTMARRRQLQQGPVLTGKMVLVRKALEFNPTDAGDVVCQLVSSPFGDPNNGNRGKLSQEAIVHTLGLFSIDFTLIGT >LPERR11G14360.1 pep chromosome:Lperr_V1.4:11:15288978:15289603:1 gene:LPERR11G14360 transcript:LPERR11G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKEFLLTITKQNPTIIGVSLIELLSSYSSDEVYLGQRDSPDWTSDPKAHTAFKNFNEKLKEVETNITAMNKDGKLKNRIGPVDVPYTLLFPYTSGKAEDNTGVTSKGIPNSVSI >LPERR11G14370.1 pep chromosome:Lperr_V1.4:11:15291665:15296946:1 gene:LPERR11G14370 transcript:LPERR11G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDDACPLCGGPPPPPPSRVTMHKRRMPVEGTALAVVARVGIGDEAAVLREAVARQQAGIAELHAELEAERGAAAGAASEAMSMILRLQREKSECMMEARQFRRYAEERFAHDAGEAAALREEVARRDAAVESLAAQLRACRFRLAHLGFLSPDAAAADDAEGRFDDDDDDDGNHDYFVEHPESSDVGTPRTHHLLNRMSPRASAADKGVICSSSNTLFPDDGGIAMADEFPLIVDREELVSDQEDDGDRVYTVDAVHGVPVAEPNNCCYIGKPVGSETSYGGTIGAWSEEEEIQKLSARLQALEADRESMRHAIMSMGSEKAQVVLLREIAQKLCKEAAPLRAVPVKVHSPPQPVVVAQRKVVKRQGSFVKFFVVAVIKVRAKCATKCEGKHWIASVFCWRRKSNRVKYPIGMCGSNVGLMLLLNRFPKQRHRRASLRPSPKSQQMLSPNHDSSGGGGGVPHRDNHYDRPPSRLCAVRRHDVRLAGDRQSRRFTPQMIIRDSNINDDHDFYYCDWSGTVNPLHPLLRDELVAACYCAFDLDPSSKRYMMERRLPTRKSAARCAAAARKVERHGSRRDG >LPERR11G14370.2 pep chromosome:Lperr_V1.4:11:15291665:15296946:1 gene:LPERR11G14370 transcript:LPERR11G14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDDACPLCGGPPPPPPSRVTMHKRRMPVEGTALAVVARVGIGDEAAVLREAVARQQAGIAELHAELEAERGAAAGAASEAMSMILRLQREKSECMMEARQFRRYAEERFAHDAGEAAALREEVARRDAAVESLAAQLRACRFRLAHLGFLSPDAAAADDAEGRFDDDDDDDGNHDYFVEHPESSDVGTPRTHHLLNRMSPRASAADKGVICSSSNTLFPDDGGIAMADEFPLIVDREELVSDQEDDGDRVYTVDAVHGVPVAEPNNCCYIGKPVGSETSYGGTIGAWSEEEEIQKLSARLQALEADRESMRHAIMSMGSEKAQVVLLREIAQKLCKEAAPLRAVPVKVHSPPQPVVVAQRKVVKRQGSFVKFFVVAVIKWIASVFCWRRKSNRVKYPIGMCGSNVGLMLLLNRFPKQRHRRASLRPSPKSQQMLSPNHDSSGGGGGVPHRDNHYDRPPSRLCAVRRHDVRLAGDRQSRRFTPQMIIRDSNINDDHDFYYCDWSGTVNPLHPLLRDELVAACYCAFDLDPSSKRYMMERRLPTRKSAARCAAAARKVERHGSRRDG >LPERR11G14380.1 pep chromosome:Lperr_V1.4:11:15301441:15303223:-1 gene:LPERR11G14380 transcript:LPERR11G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNRLRDVNAHSLRLRLLPQKEAMSGYSPELPQDVLMGIFALLEVPDLVRAGSVCSSWRASYISLRNIGGYKQAQTPCLLYTSELAGENVACLYSLVEKREYKLTLPDPPIRSRYIIGSSHGWIITADERSELHIVNPITGEQMSLPTVTTIEQVKPLYNDAGVVHGYEYSWYSGRHVYSSPSTFSLGLLRKYLFNKAFLSSDPSSGDYFVVLIHNPRAQLSFARAGDDKWTWLPPHANYTDCLFEDGMLYALTSFGEVHAFDLSAPTVTQKLVVGKVKTYIEEIMYFARAPCGDLLQIWRSSITNLDDDVDKTDGDVVQFELDKDEDDLEEGSHHGYWMAGDGLESGSNKDDDDLETESHCDSLVQYTNMIEVFKVDFYANKLVDIGNLGNNVLFLGHNQSLCLNADAYPQLKSNHVYFTDDDSLYLFGWKQNSRDIGVFNLGGDSIELIVSPELWSNWPAPVWVIPNPRKMILASHN >LPERR11G14390.1 pep chromosome:Lperr_V1.4:11:15309161:15311725:1 gene:LPERR11G14390 transcript:LPERR11G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKRVNGFPGFLPASSSPSFSRAGNKEKGIRIYDVISSLPEIPRRPSGFYLGVDDHGDDVSVVAAPPASPLARARGCDVYVGYGGGASVERFVAWLCAEMEALGVRCVAEDRRRCRDAPSHAAXXXXXXXXXXAAGVVVAVPASLGNPYCLDEIRAFADTGRLVPVLVGLGKGDLLADEVTEREGQIWGRFGGHLWKVFDGGEKEWRSAVESLRRADPAVEVDAAGGDQRLRVVDVVEIVGKRLGRRAVADAVRSWRAAAATQPEIPLPRNENFVGREDELADLEAVLFGEKRVVSLVEVEEVPGGEAFMDGVVCIAGESGAGKTELALEYAHRHAMEYKRVLWVHGEARYLRLVYLKLADHLGLAVGDDLSHVAAVDRNGSNSISDGWVFRGIEAEAIAKIRKELTREIPYLLVIDNLESETDWWDSRDFHDLLPFAAGGGGRCHVIITTSRLRRVRMVRTFSLPEMGEMEATLLMTKGNIDFSFSGGGGAAMAAIRAIGEKVRGVPLALALVGDLVAGGVAPVELRRAMNGAPYRSPTWEESDEPALRDNPGLVHLLDACFELLDGEKDGLAAAALTMAESSGFFAPSPIPVPLLAAMAATGGERKTKIWKQMKRALRLSCVAASAATAAEPEPEPEPLATLLRLGIARRSSPSLTSPPCVTVHRVFALFARKVAVGSSDAVAEAARRAVRAIAAVDTDDEHAWAACMSVFNFTPAVPAHLPSSELPQFVTRVAVPLAEHGVAAYSAYAVAGDLLVEASDAVRGEEDRLVARGLDAASASVYHELAWSRAELLKLRARLMLRGGEFALAENHILSAVNILEVVAGEDHPETEAARAVLDRILLAQPSEE >LPERR11G14400.1 pep chromosome:Lperr_V1.4:11:15314272:15314652:-1 gene:LPERR11G14400 transcript:LPERR11G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVNPITGEQIALPPAITFEQVTPILDGEGVLCEYVYSRHTANTVIDKPMRLSLEELRRHFHRKAFVFYDEPAGSYIVVLIHNPWEQLSFVRVGHDHKWRWLPPHWLFQDCVYKDGILYAVTWSG >LPERR11G14410.1 pep chromosome:Lperr_V1.4:11:15316925:15318433:1 gene:LPERR11G14410 transcript:LPERR11G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVAAAMIGVVAIMATIAAVDGLGVNWGTMATHRLPPKVIARLIKDNGFKKVKIFDADATTMSGLAGTGIETMIAVPNDMLSAVGGDYGRAREWVKENVTRYMFDGGVNIRHVAVGNEPFLKAYGGAFDRHTVPALRNIQRALDEAGHGTKIKATVPVNADVYDSPASNPVPSAGRFRDDVAGLMADMVKFLNRSGAPLTVNIYPFLSLYGNDDFPIDYAFFDGGAASGDPPPKPVFDNGINYTNVFDANFDTLVSSLKKIGFGNLPIVIGEVGWPTDGDKHATVAYAQRFYSGLLKRLAARRGTPLRPRVPIDVYLFGLVDEDAKSVAPGNFERHWGIFTFDGRPKFPVDLRGGDGRSSSSSMPLAAKGVEYMKRRWCVLAPNATDDEAGRVADNVGYACAHADCTALGLGCSCGGLDARGNASYAFNVYYQAQGQAEAACDFQGLAVVTEDERDVPSQGACNFTVQVAAAGKTAAAACAAVAAAAVVGLAAVLVLV >LPERR11G14420.1 pep chromosome:Lperr_V1.4:11:15325119:15327446:1 gene:LPERR11G14420 transcript:LPERR11G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKVHLPAMNQVKYSATTANGYSGGCPIPGPAGPDIVGRQKSGTEGASVAPNDAMNVTGLSKPSSTGVSTDAKSWINVAQSNENTKEGSSMDVEKKANHSMMNQRKSSVQTSNENISLEDASSVVPNSAVPSSLRRSARRKQDADDNRSPISNVKKKQKKNKVLSDIDLNCQRIFNENATGGDKQSVPPHVSSTVDIQDHQKVSDADSKDSTKEGPTEIVDQNEPNYSEKLTLPDTDFYDFEKLREINLFAVGQIWALYDNLDAMPRFYARIKHFDASNFKVHLTWLEYKTANEEEQKWTDEELPVACGKFCLGTTEVSHDRLMFSHIVSWTKGKRRNIYEVYPTKGEVWALYKDWSIQWNSDADSHRCNEYEVVEVMSDFSVNAGVTVVPLVRIKGFVSLFATAKDKSTIVIASSELLRFSHNIPCYRTNGNEKAGVPAGFMELDTASLPKDMHTIFPSVTLDSYISLGKKEGSTIIDLTTDSTSCGTDTGNVQKENFSEAHICHPVATENDECRSSEKNTSLPENGHGADGFGNSYEPSCPSPSICSYPDSEFHNFEEGRACEKFERGQIWALYSDLDKFPKFYGWISKVELHPFRVHLIWLEACPEQDQEKRWLSQDIPVCCGKFKIRNWKAEYETTDTFSHLVHVGQMSSNWQVEILPQLGEIWSIYMNWTSEWTPSSIDTCEFAIGKIIECTEASIKISLLTQVNGYRAVFKPDKQSEVLEIPTRDHLRFSHRIPAFLLTEERGGKLRGFYELDPASVPDVFLYGDTP >LPERR11G14430.1 pep chromosome:Lperr_V1.4:11:15331580:15333536:1 gene:LPERR11G14430 transcript:LPERR11G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASNPGNARTIPCAVKLAKLTEEVQKLLAKCKELRSRLEASERDKVALQSESKATPLLLAAAESLVTVSAERDRLKEKLEKSEKAGEKFRQELEEYRWKTQAQFQSFVEQIRCSMRKTLMQVLERLKATPAELKRQCDEAIATGSHLALAVVKSLNPHIDLKAVKEALADRHQITIKPFAADKQQSSAHAPIGDDDETDPRQPRRKRTKTLYAFSDQPVVESFLGAHSKVMENQRLHAELIKVREEKTALWMKADAKEAIDEEREIQARLTEDEKSNDKVSEPVAVVVESSLTAQQETTIIPPNPEEIALPTVISDTLDPERRRVLCQEIIYKWQLWLSQIEKRRDEIAVRHEKLMDEIAELSKLEEWQAFSKVESAALCSLVSVGTTRRSTSTNPLSKTFRRPTGRINE >LPERR11G14440.1 pep chromosome:Lperr_V1.4:11:15341189:15344848:1 gene:LPERR11G14440 transcript:LPERR11G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKGRKRERTSSTDSVPTYFGGKDGCKEWLLSGEHSQNVNKKRARRCPVPQSSPAGHIPPSKVDSHGEGIAKHETIQQRVNQSEARLSFPLSGEHQNLSSQEKIFLHKNSDSAKRLGDSSGISIPAKIKQDADGIGGLNSNGKRMQKKNDLTSAVQAHCQKKFDDTTSRADRQSIPLHVSSKVAIQEIGKVSDVDDKDNSNAEATETADQIEPSCFEELTLPDTDFYDFEKLRDINLFAVGQIWALYDNLDAMPRLYVRIKHFHASNFKVHLTWLDYNAANEEEQNWTDEELPTACGKFCLGSTEVSHDRLMFSHTISWRKGQRSYIYEVYPTKGEVWALYKDWSIQWNSDADSHRSYEYEVVEVMSDFSVNAGVTVVPFVRIKGFVSLFATTKDKSTIVIASSELLRFSHSIPCCRTNGNEKPGLPAGFMELDTASLPKDMHTIFPSVTLDSYISLGKKEGSAIIDLTTDSTSFGTDTGNAQKENFSEAHICHPVATENDECRSSEKHTSLPENGHGADGFWNSYEPSCPSPSICSYPDSEFHNFEEGRECEKFEHGQIWALYSDLDKFPKFYGWISKVELHPFRVHLIWLEACPEQEQEKQWLSQDIPVCCGKFKIQNWKAEYETTDTFSHLVHTEQISLNWQVEILPQVGEIWCIYMNWTSDWIPFNIHTCEFAIGEIIERTEASIKISLLTQVNGYRVVFKPDMQNGVLEIPTRDHLRFSHQIPSFRLTEERGGNLRGFFELDPGSVPDVFLYCEENSINDCEDLSSIDDHSQDLTKKRAQWYHVPRSSPAGRKVPTKDGIHEARNAKDEAIRQKANHSEACACCLLSAEHHKDLSSQENGHAADGFGNSSEPSCPSPSICSYPHSEFHNFEEDRACERFQRGQIWALYSDLDKFPKFYGWTSKVELHPFRVHLIWLEACPEQEQEKQWLLQDIPVCCGKFKIRNWKAECETTENFSHLVHTGEMSSNWQIEILPQVGVIWAIYMNWTSDWTPSSIDTCEFAIGEIIECTEASIKVSPLTQINGFRAVFKADKRSEVLEIPTRDRLRFSHHIPSFRLTDERGGKLRGFYELDPASVPDIFLYGDTVVGWITNQRRQRGMR >LPERR11G14450.1 pep chromosome:Lperr_V1.4:11:15345005:15351928:1 gene:LPERR11G14450 transcript:LPERR11G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMECNREEAIRAREIAIKKLENNDFLGAKRLANKTDANKTVVARSILPSAFMLAFWTICPHCHKHFLYHQRNLLICCRVCGKNFFAFKLHEQAIPSRFLLPSASNYRSSSEMFSRQYQQAQYYLSSTEEDMMSEPMSNAAQTGECIKWDDRAGVDGQINHLETRRELSHLAQGHHDVNFNGIRNRNEQDTFPVSEAAVSSSPQRLAKRKQDDGAYTCCSLSSSTNKRERKCTPLSSVGSSYMQILNDKSDVSLSAEHHVPSILDRHEERNEKHDGNQHNCRKETSDTASPDSDNPVIAYHYPDFFDFGKVRDVNRIAVDQIWALYDEHDFMPRVYARIDQINTSNLKVQFTWLAHKAMSAQEAKWSHEELPVACGNFCLGETGVLQDPSMYLSHSVSWTKGKDGKSYEIHPNKGEVWALYKGWSMQWSSDADNHRSYGYDIVQILSNDSMDGTVSVFPLVRMEGFVSLFAKAKDKTSFSIPSSELLRFSHSIPFHTTNGNEKVGIVEGLLELDTAALPSDLNSTFPSVILDKKTDTEFISCTYPVSEFHNFGESRSFDNDHDKFPKFYGWISEVKLKPLRLHLTWLEVCPELEQEKQWLEKDIPISCGTFKIGNCKVVCDSTSAFSHLVETSLDLMNRQTKIFPKVGEIWAIYKNWSPDWAPSRIQSCLEYDVGKIIRCTEGSTLFSFLTKVDGYGAVFKPDIGKGILKIPEKENLRFSHRIPSFSLAKQKGTRLSDFYELDPASVPDVFLQKE >LPERR11G14460.1 pep chromosome:Lperr_V1.4:11:15353531:15357559:1 gene:LPERR11G14460 transcript:LPERR11G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVWFPAPGLTRLNRYHTPMFGWVHVGLSLAHPIHEALLGLAPRKRDWDPFLPSQAQVGCTNEMITSLMECNREEALRAREIAVKKLRNRDFVVARKIAIKAQRLFPELENISQLLTTCEVLSSADTKISGDLDWYGILQVNKTADESEIRRQYNRLSYWLHPDNNTLFGAEDAFRFVSEAHSVLSDHVKRSQYDIKRQCASREVDAEATQPPNKTDANTSNDGLIPSSDSVLVFWTICPHCQKQYLYYKRNFMARCDDCGKSFFAFKVREQPVPSRILSIATKKFQVSPEMFSFQQSSVPNQKAQYVNPSVRVDTNFIVRANVEETDESIKQYGWAGDMEGKCLVTRSGTVQLSEKSQIKSGVGHVPDADNNKPGTLVPKSPNLNSISVQNLTRENASAGTNAAESSNLQILGRGRIYCSSDSCHGMKSINRQRKYNCPSGSDSTNELTCNDDGSVPDNQSTGHHVNIEVSSEEEGNARPGGNRQDYKKNVTDTASQKSVNSVIGCPPDFIDFGKSRDAEEVDEYIKCHAMNSNIKRQRKYNSPSNPDLSNEHICSDNVAAPEIQSSLQHVPIEVYSEEKGNEKHGDSQGDIDTVSQNSANSAIAYPSPDFFDFDKSRDVYQIAIDQIWAVYDGHDCMPRAYARINHVDPSNLKAQFTWLVHSTVNEQNAKGTHEKLPFACGNFCLGETDVLQDSSRYLSHNVSWTSKNGTSYDIHPNKGEVWALYKGWSMQFSSDANRYQSYGYDIVQVLSSGPMDAGVTVSPLVRIAGFVSLFVKAKNESSFLISSCEALRFSHSIPFYTTNGNERIGVPEGFLELDTAALPSDLDAAFPPITLDSYMSSDNKTNTEVIIYACPDPEFYNFEHNRSHDKFEPGQIWALYSDTDKFPNFYGWVNKVEMEPFNVHLNWLEACPQNAQEKRWLEHDVPMSCGTFEIQNMVTKYSENYVFSHLVETKRIGAKCQVKIRPKIGEVWAIYKNWSAKWVPSHTTRGTKYAIGQIVECTEAFTLFGFLTKVVGHISVFKPDLGKGILKIPVKESLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDDFLQKG >LPERR11G14470.1 pep chromosome:Lperr_V1.4:11:15358454:15359458:1 gene:LPERR11G14470 transcript:LPERR11G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTLRFDEKIILNKVRGFMCANIYIAQAPCGDILQVWRSYDPLDDEDEDISDLESDYDEESYVCNTTMIKVYKVDLVERKLIEIGNLGENVLILGHNQSLCFRANEYPLLKANHIYFSDDRENYIKGFKNNRRDIGLFDLGNNCVEEIVSPQLWSNWPPPVWMTPNARKINLKTVILEITKILIQHFYSIHPNI >LPERR11G14480.1 pep chromosome:Lperr_V1.4:11:15360889:15366575:-1 gene:LPERR11G14480 transcript:LPERR11G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPPPQYGLESLDRRNVALSDGTVRTYFALPLEPPPQLRQPLPLPLPPFQLGPPVRLPPVERWAPMAPLGAVPPMLPPKRKWEGQANGGAPGESSARQQKPEEKRAAKQVKVESPEIDAKALKSSFLKMVKLINESADQKKNYRANGKISQLKCIVCGRDSIDLHSLLNHSYYAKSADLRADHLGLHKALCVLMGWNYSVDPVHKKAYQSLSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFAGASVRPLSGKDGQKSMLVKFASSLAGLNEAEKLAQSFESQGHGRAEWYRVRSIPSGANGTTNQLLVKVDAKGERTWVLYGYLATAWDLDVLDLESKQSALIKSKKELDL >LPERR11G14480.2 pep chromosome:Lperr_V1.4:11:15360889:15366575:-1 gene:LPERR11G14480 transcript:LPERR11G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPPPQYGLESLDRRNVALSDGTVRTYFALPLEPPPQLRQPLPLPLPPFQLGPPVRLPPVERWAPMAPLGAVPPMLPPKRKWEGQANGGAPGESSARQQKPEEKRAAKQVKVESPEIDAKALKSSFLKMVKLINESADQKKNYRANGKISQLKCIVCGSVRPLSGKDGQKSMLVKFASSLAGLNEAEKLAQSFESQGHGRAEWYRVRSIPSGANGTTNQLLVKVDAKGERTWVLYGYLATAWDLDVLDLESKQSALIKSKKELDL >LPERR11G14490.1 pep chromosome:Lperr_V1.4:11:15370009:15372090:1 gene:LPERR11G14490 transcript:LPERR11G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGRFGGGGGGRFSGGGGRGGGRFGGGGRGRGGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDRFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGGRGAPRGRGSFRGRGAPRGRGGPPRGGGRGFRGRGRF >LPERR11G14500.1 pep chromosome:Lperr_V1.4:11:15372842:15375727:-1 gene:LPERR11G14500 transcript:LPERR11G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHGGQDCSNFRAYVDETCGSSSRSAAEIELQNLSGTSEARTAPLSTGGTLPSEFAPKITSYHRAVGSCQNDVLPDEQLLASAFDMNLTFRNHPIDYSANPCNVVPTNGLYPAGSMKGTPVQSFDPLLLQDESMRPQFGAGHGKAKTDDVTVDQLEQTHMFSPYFGNWPQNYWMNNMGGVASTTYEPPPSHFQQQPFYMDEQYASNFMLQHDMDVQNYSAMQPHYVYPQMQHAAGSNVRSNRQSAVSTSAKGRSTYGHQLALDGAAFQNGNSQLNSFPVTLSTDSSFDSDRFHRLLEAEKFAHPYELNSSLNGILQPSQIPDELSAVKMLMKSAGVNSVRTIKFSPPINGCSGMNRRTNGYGYNYLDVQNDETLHLNGMNSQSISFKSEYDLAIQTAQLNYSSVDDVAGKIYVLAKDQNGCRYLQKLFTEGTKEDVEKVFAGIIDHIGDLMKDPFGNYLVQKLLEECSDDQRMRIICEITRVPGELITVACNMHGTRVVQKLIDTINTPEQVSKVVSAMSPGAMRLMTDTNGNHVAQRCLKKLLPEYKAFLLDVATSCFRELSKDQHGCCIIQKCIEYSNDDQKYNLLCKITSSALSLSEDQYGNYVVQFIVNLRIDWATNKIVNELEGHFGYLSMQKCGSHVVENCLKQAPQLEREKIINELMNDPKLPLIMADPFGNFVIQTALKECKGELHTAFVEVIRPHAPALQNNMYAKRVLSRTYLKHKQYRLGIL >LPERR11G14510.1 pep chromosome:Lperr_V1.4:11:15383925:15386978:1 gene:LPERR11G14510 transcript:LPERR11G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRSAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAAIIASLCKPLCGALMGNQDGAASGAALCLKALVDSSNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSGLQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDVMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGSPGSSSMLASPVQFQSPESHVVDSSIMCDSTITESPVSIGQSSCNFDHNRRTNRRLWSNDSGVDVSLKDGLFFKLCSNGKYLENDLDEVCDSEVTDANFECTNSFTGFVSTSPNVAESRDKTPSPQASERPISIDDVKLYTTPRKLLRSLQNSYDFDSARNEEQSIAKLNSLSSPSEEYKELDESSEENQSHLSDSKIEEGKDENETIDAQSADDMTPIFFNQDNPVLCTAEVEDTSCKASSEGKCQEEDVLVTRSRGKTRTYKKAVFSFLLSMIMIVLAIVVILIRIENYDDYDGLVPT >LPERR11G14520.1 pep chromosome:Lperr_V1.4:11:15387605:15388228:-1 gene:LPERR11G14520 transcript:LPERR11G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCSGYRTSGAYLRPAQSHSLLAASAAAVALTRRLGRTTVTLSTPCSSSSPTSATGFATMVDVITAAPSYLYSVLAKTEEKPVRIKLPKFDIKFTWDDLKTDLCKLGLSLPFSRKMADLRGMYEDDVDSGRPTFLTKVAHTAVVKVNEMGTEAAAFTLAVSGGGGPPPDMVEFVADHPFTFFIMEERSGVIVFVGYVLDPAGQAA >LPERR11G14530.1 pep chromosome:Lperr_V1.4:11:15388253:15415987:-1 gene:LPERR11G14530 transcript:LPERR11G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDASTAAARDGQTALALRLTKHLAPPTATSPDSLGVCANAGAGRNVVFSPVSVHAALALVAAGAGGATLAQLLAFLGAPSAADLAAFGSQLTGSVLADQSGIRGPRVIFGGGVWADASHGALSKEFQDVAAESYKSEVRTVSFSEEVSFVLLTPDFNGVKEKKTDEEKVAELINDWVKKATSNLISSIVTSSDIAAATDLVLANAVYFKGEWLEHFHSNKPNNFYRLDGHTVKAQFMYKFDRFQVSCMHGFKVLKLSYKSRFHGDTKYSMFVFLPDERQGFTTMVDVITAAPGYLYSIFAKMETRPVDVMLPKFEIKFNWVDLENDLCKLGLSLPFSPEIADLRGMYNKDDADKRPTFLSKVAHMAVVKVNEFGTEAAATMASLRGGGGPPPDLVVFVADHPFTFFIVEELSGVIVFVGHTALALRLARHLAPQPTGAGKNVAFSPLSVHASLALVAAGARGATLAQLLAFLGAPSSAGLAAFGRRVTELLLSAPSGGPRVLFGGGLWSDDSRRVLAAEFRDVAAESYKSEATTVSFPKPEAAAKTINDWVKKATDNLINSIISPTDITAITDLILANAVYFKGEWLEPFSTLDTRPHTFHRLDGSDVKAQFMSASIRLRVSCTNGFKVLRLPYDSTAAQHSGLRRHRHGRGVAETDSGDTAYSMFVFLPDERDGLATMVDMITASPSYLYEILAKTETKDVKLKLPKFAINFSWDLESDLKKLGLSLPFSPKVSDLRGMYEDDDDDKRPTFLSKVAHGAVVKVNEVGTEAAAVMLALCGGGFPPPEIVEFVADHPFTFLIMEELSGVIVFAGHTALALRLAKHLAPAKNTAAGAGENVAFSPLSVHAALALAAAGAGGATLAQLLAFLGAPSAADLAAFGRRVSDLVLADRSSSGGPRVLFGGGVWADQSRGALAEEFRDVAVNCYKSETRTVDFRKPEPVAELINNWVKKATDNLIDSVISPSDINVGVTDLILANAFYFKAKWFTPFNYLDTSPGKFHRLDGSCVEAEFMSDLIWLQFACIDGFKVLRLPYWQGLPSGRSDDDTEYSMFVFLPDERDGFAAMVDVITAAPSYLYEILAKTEERPVNIELPKFDIKFSRDDLKTDLCKLGLSLPFSVKTADLRGMYENSGRRPTFLSKVAHTAVIKVNEMGTEAAAVSLFLRGGGGPPSDMVEFVADHPFTFFIMEERSGVIVFAGHAFLTHVAQQGRASKAEIYSAMSHRRCRRARKDNDALNRRRCQDRQPAQRLCDPQPGVVADVPGPVSEHGTMTTTSTRSKSHVELDGLNHKLEPPPPPPFEPRAEGMNRDVAAEDELYVVIAQNNVARRSELGNVAFSPLSVHAALALVAASAGGATLAQLLAFLGAPSTADLAKFGRRVSDLVLADRSASGGPRVLFCGGVWADESRGALAEEFRDVAVNCYKSETRTVDFRKVPETVAEMINNWVKKATDNLIDSIISPSDIIVGITDLILANAVYFKGEWLDPFNWLHTSPGKFHRLDGSRVEAELCQA >LPERR11G14540.1 pep chromosome:Lperr_V1.4:11:15426567:15428131:-1 gene:LPERR11G14540 transcript:LPERR11G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMKSRRNELVIYVMPLLTNGAAAMGFDQMGIKSDGLGRSWKILNVRPTESNTDLKADQTSESHSAAPHGSPPSWARRVAGSPPSWALLRLFIPSACHCGGPDLRWLAPEASARRGRGLLPHPPRRHRRGQQHRSEGDSTFVVLLGLQISSLATPPPLALAVDAMKQAGLIRAGPGSSHVHRPMADTFLRTPGKSEGIKGQQGRRNGGIGAGVTGRPPEPPCIDHPGAQHTASFYPRHP >LPERR11G14550.1 pep chromosome:Lperr_V1.4:11:15436711:15437931:-1 gene:LPERR11G14550 transcript:LPERR11G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAKRRRLSPPELNADVTGEILLRLAPDDPALLVRCSLVCKSWRRLLTADPVFLRRRREFHSRRRPPPLLGFLFNQFFELPDVACFAPTSSLRRLPHPHHHDWSALDARHDGLVLFHAMLSCDAEEAAGEHQLVVWDPMTGRRWGIDFPSSLENFNFSAALLCAADGCDHHRHCNGGVSPFLVAVASTGRYGLTSAAIYSSETGAWGDEIEHEGPDDAVKIGNPGVQVGNAIYFLCIGSARIVELDTSARNLTMFDSPVAGRGWLAEQDNGLLITAEGGGGGVVGFAFARGSMLYLWSREATGGDGAMKWTPRRGINLDPLLLTVPRRRRPHDHFITEKLNLVGFADGIRVIFAEIGGEVFTIEVSSRRGKRVYRREGIHAVIPFMSFYTPSPGTNLPVPIEQL >LPERR11G14560.1 pep chromosome:Lperr_V1.4:11:15439163:15442995:-1 gene:LPERR11G14560 transcript:LPERR11G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAAAAAAATAPADPQLLAVAFESAIASRSPRLGRAAHARALRLLAPALPPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSFTAFISGAAQHGRPIPALSAFAGMLRLGLRPNDFTFPSAFKASATAPRRSTIGPQIHSLALRIGYLPDDAFVSCAALDMYFKTGHLVLARRLFDEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSVCAFFNACAGAMYLSLGEQFHGFVVKCGLEMDVSVLNSMIDFYGKCRCAGKARAVFDGMGFRNSVSWCSMIAAYAQNGAEEEAFSAYLGARRAGQEPTDFMVSSALTTCAGLLGLDLGRGLHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQVFYEIPQRNLVTWNAMIGGYAHIGHAENALLVFDDMIRSGETAPNYITLVNVITACSRGGLTKEGYELFETMRERFGIEPRIEHYACVVDLLGRAGMEERAYKIIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNAVHVFRAKDTKHEMYNEIQVLLSRLKKQMQAAGYMPDTQYSLYDVEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVDREIVVRDNNRTSVHWSVDAYDFGISRRTSAKMKLLPAQDMAVKMENVETNENSQTGQISLLHLSTVSLTVFGENPDSYYLFSVKEQCVLTNQKNRPVSTKFQGFERFC >LPERR11G14570.1 pep chromosome:Lperr_V1.4:11:15443574:15444552:1 gene:LPERR11G14570 transcript:LPERR11G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRFPLLVALAVVAAALACLPSPASAAGGEYCRDSLSGLHNCEDFMYRGASSASAPCCAAYSAAFDADPFCLCYIANGVYGRSTGYHVNVTHALQIPVSCGLVTPPIELCTMQGVVLPPYEPSSTSAKPQAATPPGKPPTASPSLPQAPMPSSPPSFAPPQPPTSHGGRGATMGIGTVAAVVAVTLMALL >LPERR11G14580.1 pep chromosome:Lperr_V1.4:11:15460382:15464657:1 gene:LPERR11G14580 transcript:LPERR11G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNRGRTATAHARIHRQRPMHVFPSIISHHRSYLVVIGVSISKEKERKKRLGWPPTRRRRERRRRGDCCPSAHKSPATRTAARSSTNRRLRRLNFLPPIPWGKSGVWRRSNTLLVLLCCRF >LPERR11G14580.2 pep chromosome:Lperr_V1.4:11:15460382:15467288:1 gene:LPERR11G14580 transcript:LPERR11G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNRGRTATAHARIHRQRPMHVFPSIISHHRSYLVVIGVSISKEKERKKRLGWPPTRRRRERRRRGDCCPSAHKSPATRTAARSSTNRRLRRLNFLPPIPWGKSGVWRRSNTLLEKEAAMTTRAEATAASTTHAEAEAATRTEATAAEATRARTQGHNRD >LPERR11G14580.3 pep chromosome:Lperr_V1.4:11:15461023:15467286:1 gene:LPERR11G14580 transcript:LPERR11G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSIARIMSFGDLAKCPKNLWHLFFRDVKSKLLEFSPIVLNEVQIDEGDQPLSVKETVAANLPELPQDILMEIFALLEIPDLVRAGSVCNSWHSASNELRSLGICKLSQTPCLLYTSESAGDSAVCLYSLVEKREYKITLPDPPIRSRLLIGSSLGWLITVDDLSEMHLVNPITGEQIALPSVITIEHVKPIFNQSGALHKYEYSWHTGSRVHYSEPSVFALSELRDYMHEKAFMFSDTSIGNFLVVLIHNPYNQISFARVGGDKWTWLPPHSHYTDCMYKDGLLYAVNILGEIHAFDLNGPVFTMKMIIGKAQDLAADKMYIVQAPCDGLLQVWRSDEDIEEDYEADLHADPATFVRNTGEIKIFSVDTMEKKRVQMDNLDGNVLFLGLNQSLCLSTAEYPHLKENYTYFTDDNDLWLFGFRDNRRDIGLFDLKHNSREELVSPQLWSNFPAPLWIIPRKRGSYDDSCRGDGSINDSCRGGGGDSHRGDGGRGNAGAHTRTQQRLMHLHSASNSLRLVSDRSGQAMTSNIFHDAMNDEVTGHQPFNSDDDNPVDCMNEEIDPMRHEDNLETI >LPERR11G14580.4 pep chromosome:Lperr_V1.4:11:15461023:15464657:1 gene:LPERR11G14580 transcript:LPERR11G14580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSIARIMSFGDLAKCPKNLWHLFFRDVKSKLLEFSPIVLNEVQIDEGDQPLSVKETVAANLPELPQDILMEIFALLEIPDLVRAGSVCNSWHSASNELRSLGICKLSQTPCLLYTSESAGDSAVCLYSLVEKREYKITLPDPPIRSRLLIGSSLGWLITVDDLSEMHLVNPITGEQIALPSVITIEHVKPIFNQSGALHKYEYSWHTGSRVHYSEPSVFALSELRDYMHEKAFMFSDTSIGNFLVVLIHNPYNQISFARVGGDKWTWLPPHSHYTDCMYKDGLLYAVNILGEIHAFDLNGPVFTMKMIIGKAQDLAADKMYIVQAPCDGLLQVWRSDEDIEEDYEADLHADPATFVRNTGEIKIFSVDTMEKKRVQMDNLDGNVLFLGLNQSLCLSTAEYPHLKENYTYFTDDNDLWLFGFRDNRRDIGLFDLKHNSREELVSPQLWSNFPAPLWIIPRKRGSYDDSCRGDGSINDSCRGGGGDSHRGDGGRGNAGAHTRTQQRLMHLHSASNSLRLVSDRSGQAMTSNIFHDAMNDEVTGHQPFNSDDDNPVDCMNEEIDPMRHEVDDQTPTTDNVPKTGMTFEPEDNAYDFYNAYAKNVGFSIRK >LPERR11G14580.5 pep chromosome:Lperr_V1.4:11:15460382:15464657:1 gene:LPERR11G14580 transcript:LPERR11G14580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNRGRTATAHARIHRQRPMHVFPSIISHHRSYLVVIGVSISKEKERKKRLGWPPTRRRRERRRRGDCCPSAHKSPATRTAARSSTNRRLRRLNFLPPIPWGKSGVWRRSNTLLEKEAAMTTRAEATAASTTHAEAEAATRTEATAAEATRARTQGHNRD >LPERR11G14580.6 pep chromosome:Lperr_V1.4:11:15460382:15460952:1 gene:LPERR11G14580 transcript:LPERR11G14580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNRGRTATAHARIHRQRPMHVFPSIISHHRSYLVVIGVSISKEKERKKRLGWPPTRRRRERRRRGDCCPSAHKSPATRTAARSSTNRRLRRLNFLPPIPWGKSGVWRRSNTLLVLLCCRCTPS >LPERR11G14590.1 pep chromosome:Lperr_V1.4:11:15467494:15468917:-1 gene:LPERR11G14590 transcript:LPERR11G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHVKGGGRGRRCKRPSSTPAASSWWSLTFWRQIFWWSSPPVRVVPPPPSNGGEATTLAPSPERAPPPETTPLAPSPERVSPPPPVPERGHQAWLDRRREKKKKGRRNRENQLIVDGEPVPNDVKDAYIEYCQASSILRHKFVCHICFFQEHDFTKSNKIMGRERIAASMFALIVIFSYTDTSVTFYRPIGGDDQCEKADWWR >LPERR11G14600.1 pep chromosome:Lperr_V1.4:11:15480124:15480612:1 gene:LPERR11G14600 transcript:LPERR11G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSASSPLGLGTRRVVRRTASSYPTRPEWQAERSCRLSGGRRMVAARRDGDGEPRGEKENCSVSILPKEKGLNDFDRELVGDADFRKPRTSNNSDEEMQFDDLSDADATT >LPERR11G14610.1 pep chromosome:Lperr_V1.4:11:15480945:15484377:1 gene:LPERR11G14610 transcript:LPERR11G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAARPTVGSGGRRGDGIGGGRGAGATDVLDGSGGADGMRWGRKGRWAEGEGWRALASEGEGRRRDECGKGMEGRCGDECRETSRVRGKWILYLKSRDVDMQYGWYRYDSSLLDGRASWHYLGTNLDEITDASSRSPCTTRARTARYCRAQLAIDLGEAPRGVVAAHGRLLGLHGKRRVATACGGGGVILTARAGVQERKESAAANIAILEPFLHGGARASCSSSVDFERCAGFQHGDSRGGVGSTAAAADDDDIIETKKIYCFMVQPWRCPHVVTAVDAQQAETISETTQIWHQEEGLQEVQQEGMLLEATCRSTGILRFENQAKSTSSRATVVDLHMSLMSSYDDRRLLLIVPRNTMPDDHRRLHGGMKVVAKPEEEAVGLTEEMAFR >LPERR11G14620.1 pep chromosome:Lperr_V1.4:11:15484731:15484946:1 gene:LPERR11G14620 transcript:LPERR11G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDFDREMVGDADFRKPRTSNNSDEEMQFDDLSDAVKDRGHRCSNCGSGKSFWLRQALRGHMRRHYVPD >LPERR11G14630.1 pep chromosome:Lperr_V1.4:11:15486118:15486372:1 gene:LPERR11G14630 transcript:LPERR11G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDDLSDAVKDRGHRCSNCGSGKSFWLGQALGGHMRRHYVPDSIVMKEQTLKLESDPNLLNLRLPALTEGDCISVGVKPEAKP >LPERR11G14640.1 pep chromosome:Lperr_V1.4:11:15496997:15500618:1 gene:LPERR11G14640 transcript:LPERR11G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHECTMAHPGENRDPVPNFSAHRIAASSLGASPLSGNRLQGRIPITLALLHRATLLDGEIPTSLLRRTPNAAARSPRSACSACVSSSGLSGRRDPALRHIHSDRAGERVDSDGRRWDQTRRARRGQADHRRGELVPYPAGLASREELPPKRGKTYGGGGGVVVVVGVDAIGPRALPKPASLVRASTISLVRRAEQLERTVAGCGLDGLQVRRGGGSVILTARAGVQERKESAAANIAILEPFLDAGAYPSTPPSPRTTSSSSRRFVFTRDVVHGEVAALGLWRVPHPSRIADFDRGVFKGAANIAVVQPGRFPPSLTNLICRSPTHSGPVNPRKQHELQCKDNLDLHTQTEPSGSAQRGTDIFFGFHVTRQKRTAKDQPLPEQHLLIMLRMTLMMIDAPGTPSKPTTSEVKSDPATNVPGDRDPSVMRSSDHEPQTDSFSPIDDLGAIATNSEKQIKTFHGGVGTSIPEHRHPSKMQTRNKPPDLNLLPHNDCFRSMDDNALVPADRYSDKEKKTGNGGVPADTNSDKDEADNDKEKTTDNGGVPADTNSDNDKADNGGVLADTDADKDKADGGGVPADKNSNEKKSDNGVLPADTNSDAKQA >LPERR11G14650.1 pep chromosome:Lperr_V1.4:11:15503192:15505889:-1 gene:LPERR11G14650 transcript:LPERR11G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREILSRQLGELHAHLLRRGHPFSPPPSPAAAAAHRDADRAYISAIRAASAPRLALAAFACLRRAGLPPPGPRALPSLLRAAALARCPDAAGAAHGVAFRVGAEGDGFVGTALVRAYAACGRVADARRVFDGMPDRDIVAWGVMLDGILIGPFTIIIIDLSNTIHSYCQTRNYEEALLHFDVMKRSRVVPDQVILATALSTCAHTRHLRYGKAIHSYMLVSNTLIDGQLSCALINLYASCADMEMAEKLYSRVSEKDLVLSTAMVYGYAKNGKVEVARSIFNGLPEKDVVSWSAMISGYVESNKPIEALNLFHDMQGNGVRPDEITILSVISACANVGALDKARWIHSFVENNGLRKILPIGNALIDMFSKCGSLNLALDVFNAMPQKNVVTWTSMITASAMHGDGRSALTLFENMKSEGIQPNEVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPKLEHYGCMVDLLGRAKLLRQAVELIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAHVLLSNIHAEYGNWGDVKEVRGVMKVQGTWKEKGCSWMEPNGSVHQFTVGGEIKREMIRPF >LPERR11G14660.1 pep chromosome:Lperr_V1.4:11:15507068:15508285:1 gene:LPERR11G14660 transcript:LPERR11G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVGSGHGGRSSTRILHPNGPKAEAECAGTGSGTSSGAYCLHHRCRQHHRREVSSHLAGDWIDLGLGSSADSCALHGGINKALHGLTRSVEADMRLVAAAALGAHSVSDHWGSARSITELCDLVLNLLVTGRSQVGNGCEESTVDGCDFAVFVDRAYMVFQVGRQEVL >LPERR11G14670.1 pep chromosome:Lperr_V1.4:11:15509700:15513420:-1 gene:LPERR11G14670 transcript:LPERR11G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERQAGMALLELPGFWRDFLLGLLKPVASTAVVAMAVALSFSQRLALQGEMLYATARAFLQLSAIGFVLHFIFSTQNYALWISLLFYLFMVTVAGYTAGQRAKKVPRGKYIACVSILVGTAITVLLPVLLSVFPFTPRYVIPVAGMMVGNAMTVTGVTMMKLREDVKMQRNLVETALALGATPRQATLQEVKRSLVIAMSPDIDSAKTVGLITLPGTMTGLIMGGVSPLEAIQLQIVVTYMLMSASAFSSVLSTYLCWPAFFTKAFQLDDKVFAD >LPERR11G14680.1 pep chromosome:Lperr_V1.4:11:15514263:15515282:-1 gene:LPERR11G14680 transcript:LPERR11G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTTAAAVLLLAVASASATGAEAAVSTCVSSLLEVSPCLSFFKDAAATAAPEGCCEGLKSIVEGEAVCLCHIVNHTLERAIGVHIPVDRAFSLLRDICRLSPPADAIATCANNKGGVPPLYSCPAPSCTRIKRTSISLKAKDEVSFIRG >LPERR11G14690.1 pep chromosome:Lperr_V1.4:11:15517604:15518824:1 gene:LPERR11G14690 transcript:LPERR11G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKWKRGGGAALLLLLAAGVLLMAMSVTASEDTSLDSGDRRREDPQEELRWCKKECQWEAGDDRRQRQHCEHQCEQRHQHHHHGDDGEGCRRECRDYRGEPWRRQECMKQCEWQQRRHEHHAGGECRERCEGRQDWWEKQRCLMDCRHGRQEEDDDDVIDHHGRSVEHCRKQCQRHYHDDQWKRQQCMQDCKHWGQEDGVDEEVNPGGQRDQHCRKQCEHHQDQWKRQQCMQQCKHWGQEDGVEEEEEVNGQRDQHCRKQCQRHHDQYQKQQCMQQCMHHRDEEDDAIVDNDENKGGHGDYCRKKCQHQHDQWKRQQCMQDCRQYRQEEEEEEEDEVNGYKKDRCERECQHHRHWYEKQQCIQDCHERHRGRHGGGGAGGRGHEGDDRRGRGWDTVAADAILQAV >LPERR11G14700.1 pep chromosome:Lperr_V1.4:11:15520200:15527101:-1 gene:LPERR11G14700 transcript:LPERR11G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVYVYGGGGEGGGDGERCHAAQVVGADGEMDGEAMARFAAEAGLLGRGLSYAVVSIVGPQGSGKSTLLNHLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSSLFALAVSDIVMINLWCHDIGREHAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPLEYLAQALKDDIQKIWDAVRKPEVYKEAALSEFFNVEVTALPSYEEKEELFKDEVGQLRQRFVHSITPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKRFISDKGWLELETAVNSGLVPGFGKKLNAILDSYLSEYDTEAMYFDEDVRTAKRQQLESEILKHAYPSFKTMLDHLHHVVLNKFKSDLEQSLRSGEGFAASARYCEQSSMTEFDAGLRDALVKHADWDTTKVRSKLEQHIEAHAASVRNTKLAELKATYEKMLLDALAGPVQSILETGERDSWACIRRLYRHETENAILAFSASLSQFELDQTTIRKMVMELREHARCIIEEKAREEAGNVLMRMKERFSTVLSRDKDSIPRTWKGNEDIRAITREARLAALRLLSIMVAIRLDDKPDKIDRALTTALLDGGPLAQKRSIEFTADPLASSTWEEVAPKNTLITPVQCKSIWRQFNAETDYAVAQAISMQEAHRRSNNWLPPAWTILLLAILGYNEFMFLLRNPLYLLGLFVAFVVSYAVWLQYDITAYFRHGTLSGLLTITSGFLPTIIDIMTAVINMSHSKKSSPHPPRHRPPLHAQSFKNQAQQQAQAQYQAPGSMPYSSSVDSNSDEEP >LPERR11G14710.1 pep chromosome:Lperr_V1.4:11:15531676:15534782:1 gene:LPERR11G14710 transcript:LPERR11G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDGGAAAATAAAGERRRRRGEPGGCGAHPTRGEAAGRRRAVVVGSVEANGYTRMRKVCTLFPGWGRRFRERDGSAASRQHNNQREQQSQLPTEPRPAPSPSVTMLEAPSRKMTHRREMRMTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTLVPSVDSLFVT >LPERR11G14710.2 pep chromosome:Lperr_V1.4:11:15531493:15534782:1 gene:LPERR11G14710 transcript:LPERR11G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGQNCNFVPTPNQIARYYSPSSQFPSVATQASPSSSSSSSHPFISPQLYKSPARNFSVLTHSTPHHHQPIELNCLLRSNPSRRKRRRMLPGVELARRRRVHYHGDGGAAAATAAAGEYHGHYSYYSHHQKQRAAAAEVEAGGGGGGGGGGGAVSPAVAARIRLEEKLRGAAAPSSSALSRWGRRFRERDGSAASRQHNNQREQQSQLPTEPRPAPSPSVTMLEAPSRKMTHRREMRMTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTLVPSVDSLFVT >LPERR11G14720.1 pep chromosome:Lperr_V1.4:11:15535941:15539932:1 gene:LPERR11G14720 transcript:LPERR11G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVAETMKLQRLVLVLVSLQAMAAILPNGVAAQNGDRKCSKVNCGMGSCSESTDYVFGFACQCNAGWSRYHLGDLQFPFLPCVIPNCTINYTCQNGSSPPPPPATPSLTNNSIFDPCLLQYCGDGGSCERTSDFTHRCACRDGFRNLLNDTSYPCYQQCSLGSDCSGLGISVYNGSRPGTAPPAPFSFTVKKSGAAASPLPAAASGGVLLQLVLVLLVQGLR >LPERR11G14730.1 pep chromosome:Lperr_V1.4:11:15538160:15542422:-1 gene:LPERR11G14730 transcript:LPERR11G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAEDATKPDPEMGGVVAGVEKAAAAAAAAAVGKKKVAEEEDPRLRWAFVRKVYCILAIQFAVTAAIAVVAWAVRPIPRFFATGSLASWLVYLAILLSPLFVLWPMLKYRERHPVNLILLGLFTLCESLGIAVCSSTFFGKVVLQAAILTAVAVIGLTLFTFWAADKGYDFSFMVPFLAASLLVLLAYLIIQICFPLGRASMTMYGCFATVVFSAFIVFDTHQLIKRHTYNEYVVAAISLYLDVINLFMAQLSEKACRAGDSGPSTRTSWSSTPPEAAAGRGDAAAPDFLTVKEKGAGGAVPGRDPLYTLIPRPEQSDPSEPTVTGEKKIQEVSLRRFRKPSRQAQRWVKSDVLSQLPPSPQYWRRQAASILVP >LPERR11G14740.1 pep chromosome:Lperr_V1.4:11:15555610:15557766:-1 gene:LPERR11G14740 transcript:LPERR11G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCCCRSRISWRRRVAVFSFAVAVVFAAAAAGRPIHRVRRPATAAAHVTMRRLDSSSSPTTSSAWPAAGDVWRRRLIGPGSSPPTCRSRCGRCAPCRPVHVAIQPGVGTQWEYYPEVWRCKCGNKLFMP >LPERR11G14750.1 pep chromosome:Lperr_V1.4:11:15578467:15581541:1 gene:LPERR11G14750 transcript:LPERR11G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDYVRLFQRNLPAVGLNGYSTLLAPSNEVLIPQELLSSKTVWTPDRELGQYEDLVARVTNFHNEDRGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLKVPSHKTKNIVQYIRQMDDTKKVLLVDGGDIDKMLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAINRIVERMHTPINR >LPERR11G14750.2 pep chromosome:Lperr_V1.4:11:15578467:15581541:1 gene:LPERR11G14750 transcript:LPERR11G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDYVRLFQRNLPAVGLNGYSTLLAPSNEVLIPQELLSSKTVWTPDRELGQYEDLVARVTNFHNEDRGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLKVPSHKTKNIVQYIRQMDDTKKVLLVDGGDIDKMLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAINRIVERMHTPINR >LPERR11G14760.1 pep chromosome:Lperr_V1.4:11:15587256:15593240:1 gene:LPERR11G14760 transcript:LPERR11G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFSGQSTSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVLQISTESHGISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISPIGMRAVSKFSLSGRLPYLSAEVILEMLDFANKFCCNGLKDACERKLASFVCSRQDAVDFMECALELGCSILAAACLQVLLNELPDCLNDEEVIRIFSCATKQQRSTMVGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYTEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVTAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSPDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCSKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTSAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >LPERR11G14770.1 pep chromosome:Lperr_V1.4:11:15596298:15600588:1 gene:LPERR11G14770 transcript:LPERR11G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASMAGPMGSLLSKLSNLLVDEYSQLKGIRKDIEFLHRELGAMSATLQRLAADEEDLDAQGKERRLALQDLAYEVEDCIDSFTHHLERGGDSDGGIVRKALRKARKLKSRHQIATQIQQLKSRVVEESERWKRYQIEGVTGAAPKLGFVRVDPRLAALYVEANRLVGIDSPREELIELVKGEKGGDCEEGEGGVKVVSIVGFGGLGKTTLANQVYERIKGWYDCAAFVSVSQNPNLRNVLMSIVRGVGGRCRDSDDEQELIRGLREYLQDKRYFVVVDDLWSTEAWNIIKCAFLENSFSNGVMTTTRIESIAAACCHDYNGQVYRIKPLDDLDAKKLFFRRTFGSEDACPEQLKDNAKYILKKCGGVPLAIISIASLLASQEATCKEKWDYIQNSLRFELEENSSLGWMKHDLNLSYNDLSHDLRACLLYLGMFPEDSIIKKDDLVRQWVAEGFVNDKYGRDLEEVAESYFNDLVNRSMVQLTEFSKAKKCRVHDLMLDFIVSKCIDENFLTIIDGKQSKKGPFKARWVSLQFNNYREYMLKPENMGLLRVRSLAFHQYAESMPDLSTFKLLRVVDLMGNVYNDKLDLTSICSLHELRYLRIKRNSCKLPLQIQSLQHLKTLELRNCVGSIPSDILHLSSLQHLVFPKDICLPDEIGKMTAIRTLKVFNLEENSERNIQDLGSLTNLRELKLYHSSRYLEEEWKRKEVLLVSSLSKLSNL >LPERR11G14770.2 pep chromosome:Lperr_V1.4:11:15598845:15600553:1 gene:LPERR11G14770 transcript:LPERR11G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCPFSTVPGWIAQLSQLTTLELCLVKTPTDSAIEVLTRLHGLIHLFLHVMTVLDRNIIFCGTAFPVLTCFGFMSSAPCLTFKQGALPKLQKLDIFTGVYNAIDAGQYGKMLVGIEHLQSLEQVTVNINCWYLGESEVEKAEDALRSAIKINPRDLNIQIKQFIPSGKLTTNCRGIDMDNFV >LPERR11G14780.1 pep chromosome:Lperr_V1.4:11:15600955:15615331:1 gene:LPERR11G14780 transcript:LPERR11G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCRSGSRRRLLRAEIVVAVSALLLLLAQPPWCAGESATCLAVYREGGAPAVFQSAHCPRWTLLPSGGGGGGGGEEGDGGRRSSSSSPPQPKGCHVAVDRGRRRSQEDRAVCALGIRIPFIGENMRVKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEASILNNVLNLYKEDQSNHGKGSCWTSPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNFNNLDDFALANYDGPFYNVKELTKDHHPDREDERSRVEASGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVISTPELTEWESLSANDTFLIASSDGVFEKMTMQDVCDLMLHVKLGVNQELGSFAITQQNLADYVVDLALEKGTTDNVAAVVVPLGSHYSSEVSLEDWYGLEENSKKSVSPLQTIPYQQKSDEGVSSALIGMEYYKHSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRIPEAYQREGVNDFDHMPAETVLFSDGNLDKYKDRNFCWYLGHQDGEIGRCHSPEAFANFFGLLDSVSHNGSKSHNSHSFGYKITDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFSTILKSDSYNCTSSNSTSPDENHVSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAETFTTVDTQFDPQIFAESNTSFTEEPLKHIARFIESFESELKELWLVYKNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWHWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIVCFEDLETGKCLRDIPSAAKYNKLNMRLIDFGSAIDDFTLKHLYDSGPTRIFGLALFSFVLIITLFDFNHILELKSRYDMWSAGVVMLELIVGSPHVFEISDRTRALMDYRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGNCDQGQFRLASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLVWHPEDRLSVDEALAHPYFQEPP >LPERR11G14790.1 pep chromosome:Lperr_V1.4:11:15614783:15615771:-1 gene:LPERR11G14790 transcript:LPERR11G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLSEQQISDFRDAFSLFDKNNDGCISREELATVLTSLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFLSIMKKKLHENGNDDEEELRKAFRIFDKDDNGFISPNELRMVMASLGDELTEHEIDDMMKAADTNNDGQVDYEEFKRVMMST >LPERR11G14800.1 pep chromosome:Lperr_V1.4:11:15616754:15620449:-1 gene:LPERR11G14800 transcript:LPERR11G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSPHAAAILSPPAPPVPHHLLLLRLHRRFSTAAVTRIRATARGDGGAGGGGSYLEMWKKAVERERRSAEIAHRLQQSSSSAAAAAAVKEDETKVAPGDVERRTARFEEMLRVPREERDRIQRRQVIDRAAAALAAARAVLREPPPPTSPTTTPLTPPLTPPQEEEEKPPAVAKGNIGSGKGAVSRKAPGESDRDSRPPLPPATATAPAPAGDSSPFKQSGSKLGTPGPDFWSWLPPVDDSTKLGEIDTSLKPSMKVDTFSSQPDLLMEKEQSADILSLPFETSFFKKKEDRSLPPFQSFAEPENVESDPNITADAEETFEDQFSKNAAEAARALSASDEKASHGVRPDGSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSVKYTDKWAERSEWGGWSKWGDKWDEHFDPNGHGVKQGETWWEGKYGDRWNRTWGEHHNGTGWIHKYGRSSSGEHWDTHVPQDTWYERYPHFGFEHCFNNSVQLRSVKRQPPRNIKPEKD >LPERR11G14810.1 pep chromosome:Lperr_V1.4:11:15623115:15623504:-1 gene:LPERR11G14810 transcript:LPERR11G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTQLITFCVGSILIAVLAVMIFLNQLAGAAFVYVLIIYLCRFVLLRRRIFSDHSNILFRVKAGIGLMYIFLLAILLYISAAVMKLPPWGAVAMSVMAFAAVELGYALFFPYTCRCIDDLDEVNPPV >LPERR11G14820.1 pep chromosome:Lperr_V1.4:11:15640335:15643631:1 gene:LPERR11G14820 transcript:LPERR11G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRMWFVMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRTRINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >LPERR11G14830.1 pep chromosome:Lperr_V1.4:11:15644903:15645091:1 gene:LPERR11G14830 transcript:LPERR11G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQEAAAAAATTSETAMMTTVTKTTVPAPAPATVYRDNWFDKLAIGYLSRNLQEASGSCL >LPERR11G14840.1 pep chromosome:Lperr_V1.4:11:15647984:15651292:1 gene:LPERR11G14840 transcript:LPERR11G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKNEKDGYESLIDAALAISRIFSLDKQSEIVTQALERAFPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVDGRKEKNMVYIPKCSRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFDDMSCEMIFGQQPPEDDPALKQPCFRTKCIAKQNHGVNCSI >LPERR11G14840.2 pep chromosome:Lperr_V1.4:11:15647984:15651292:1 gene:LPERR11G14840 transcript:LPERR11G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKNEKDGYESLIDAALAISRIFSLDKQSEIVTQALERAFPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVDGRKEKNMVYIPKCSRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFDDMSCEMIFGQQPPEDDPALKQPCFRTKCKEFRTETPLGIQSTLLYVHTFNFIYMQVLQSRIMV >LPERR11G14850.1 pep chromosome:Lperr_V1.4:11:15660827:15663238:-1 gene:LPERR11G14850 transcript:LPERR11G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMARTSNLCYDPGRELLPRRGRGEGDSQSPETKTKMVAMGVDEEDERRMHQGCMAGFLHLFDRPQILSGKRLHHQPRRLLSSSSGSATPSERSMQLDQSPDMTPPAAPRPSLQLPPMEVMKDGGGGAPAMWRLPRLSLDSRAVVDGKGKLRPREIRTSPSPGGAPPSPGGGDERRSPSVVARLMGLDALPHGEEQGGGGGELRRSASERVVGPRDPACFRFVDPSFFERPSPPVTPMQRPSSPATAEVMRRAADPVVCTRGLQRRSRFDAHDVFPEPAKRGGGASPQRRVSPAQSLRYQPPAPVRKSPAGGRIARRAGQIADDDASTTFSDGGSSGSLSSSSRWDFEQRAARPDLLERCGKLLSSIEAFTAAGGGDDQQQPSPVSVLDAAAFLADEDSPPSSSGSKRAIFFGGNAQSGPRAATASSDPEDDEWAHHDTWTLGPDTAAGSGDPDYAYVAQVVKLLDRMARDPSDVYAMLEKTRGEDDTCHHRRLLCGAAAEVLDRRRSACAWDPVTWLRGAELVDHVWAEVSRLREPIATPDSGLVDLNELVRGGVVRDLATDDGRWPVAQQRRVAGPEVADAVLQIERLVFKDLVADTIRELADVDPRLPRRKLVF >LPERR11G14860.1 pep chromosome:Lperr_V1.4:11:15686759:15687358:-1 gene:LPERR11G14860 transcript:LPERR11G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPASGGGKWRVAGHTRESCATAAVNAVCTLLLVALLVAGVLLFVAWLGLRPHRPRFTVESFAVVISGDAAAASEFADQVVFNVSVVNPNRHVGIRYGPTRAGVYYVDDLVAGGGRAAISGGWYQPKGTTAFVAGEIGVRIHVDCHVAVGADGELLPEFVGAACDRYF >LPERR11G14870.1 pep chromosome:Lperr_V1.4:11:15687517:15691849:-1 gene:LPERR11G14870 transcript:LPERR11G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGKGEAADGFYQIRSDCTHKVPETKFKIKIGKTLSVRKWHAAFTREGCLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIRQKRRIQYALWKEECKDMDSHVGSSKIITAPIITEDGMPIKDPLVLLEATSDQRTTQGSSSSSGNDNEVDKSVNCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLTKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSAYEHIGAATGVTPGYKPKVKSMRQFGKYERDNMKNGASDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKLYIIRQGKHILISSAGADT >LPERR11G14870.2 pep chromosome:Lperr_V1.4:11:15687517:15691849:-1 gene:LPERR11G14870 transcript:LPERR11G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGKGEAADGFYQIRSDCTHKVPETKFKIKIGKTLSVRKWHAAFTREGCLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIRQKRSLNIVTDDLCRIQYALWKEECKDMDSHVGSSKIITAPIITEDGMPIKDPLVLLEATSDQRTTQGSSSSSGNDNEVDKSVNCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLTKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSAYEHIGAATGVTPGYKPKVKSMRQFGKYERDNMKNGASDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKLYIIRQGKHILISSAGADT >LPERR11G14870.3 pep chromosome:Lperr_V1.4:11:15687517:15691849:-1 gene:LPERR11G14870 transcript:LPERR11G14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGKGEAADGFYQIRSDCTHKVPETKFKIKIGKTLSVRKWHAAFTREGCLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIRQKRSLNIVTDDLCRIQYALWKEECKDMDSHVGSSKIITAPIITEDGMPIKDPLVLLEATSDQRTTQGSSSSSGNDNEVDKSVNCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLTKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSAYEHIGAATGVTPGYKPKVKSMRQFGKYERDNMKNGASDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKLYIIRQGKHILISSAGADT >LPERR11G14870.4 pep chromosome:Lperr_V1.4:11:15687517:15691849:-1 gene:LPERR11G14870 transcript:LPERR11G14870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGKGEAADGFYQIRSDCTHKVPETKFKIKIGKTLSVRKWHAAFTREGCLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIRQKRRIQYALWKEECKDMDSHVGSSKIITAPIITEDGMPIKDPLVLLEATSDQRTTQGSSSSSGNDNEVDKSVNCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLTKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSAYEHIGAATGVTPGYKPKVKSMRQFGKYERDNMKNGASDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKLYIIRQGKHILISSAGADT >LPERR11G14880.1 pep chromosome:Lperr_V1.4:11:15693658:15693846:1 gene:LPERR11G14880 transcript:LPERR11G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRLLAAVAGGGCGCILVCEACLHLRLLAVVAGGGCGYILPRRARPASSLPSPAAAPPHS >LPERR11G14890.1 pep chromosome:Lperr_V1.4:11:15706388:15716103:-1 gene:LPERR11G14890 transcript:LPERR11G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPEAMMAPSASRRSTSWGSSISHSFRQQVDAGEDPFGRAQSQQGHDDDEENLRWAALEKLPTYDRLRRGVLRSSLINHAGGDVISGGVSAAADEMELVDIQKLAAGNVGRALMERVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQADVFVGSRALPTLTNAATNVIQGIIGRFGSSNKRTINILQQVSGIIKPSRMTLLLGPPSSGKSTLMRALAGKLDKNLKVSGDITYCGHTFSEFYPERTSAYVSQHDLHNAEMTVRETMDFSRRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVEGHKTNITTDVTLKALGLDICADIIVGDEMMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESSGFRCPERKGVADFLQEVTSKKDQQQYWCRDQYQYRYVSVPEFAQRFKSFHVGQKMHKELQIPYDKSKTHPAALTTSKYGLSSRESLKAVMSREWLLMKRNSFIYIFKVTQLIILALMCMTVFLRTKMPTGKISDSAKFLGALTFSLITILFNGFAELQFTIKKLPVFYKHRDFLFFPAWTFGLANIVLKIPISFVEALVWVVLTYYVMGFAPSPARFFRQFLSFFVTHQMAMAMFRFLGAVLKTMVVANTFGMFVLLNVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISVNEFLASRWAVPNTEPTINEPTIGKAILKSKGLFTSDGGYWISIAALLGYLVLFNTLYILALTYLSPSGSSNTMVSDEENEDKAARNEQQMSHIVRNNGTDNTSSTSSIPMSGSRSTNRQTQPRIVLPFHPLSLCFNHVNYYVDMPAEMREQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGEITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESIVYSAWLRLSKDVDKDTRKMFVDEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRQSHKLVEYFEAIPGVPKITEGYNPATWMLEVSSPIAEARLNVNFAEIYANSELYKKNQELIKELSTPPPGYQDLSFPTKYSQNFRTQYIANFWKQYRSYWKNPPYNAMRYLMTTLNGLVFGTVFWQKGTKISSQQDLFTLLGATYAATFFLGASNCITVQPVVAIERAVFYREKAAGMYSPLSYAFAQTCVEVIYNIVQGILYTLIIYSMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVASTPSPMLANILISFILPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVGSQFGKSNHPLSVPGGSPTVVSEFLKENLKIRHDFLGYVVLGHFGYIILFFFIFGYSIKYLNFQKR >LPERR11G14900.1 pep chromosome:Lperr_V1.4:11:15723385:15725501:1 gene:LPERR11G14900 transcript:LPERR11G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSTTVQVLSPPCVCAPLVGAASTPPSAQSRVPAAGEIDSSSSSSSSASCTWEDWVIAAGMIAVQLAGAAYMVVLSPAMSRGLDPLFLVTYGSLANAAFTLPFAVSLERRLLPRRVPTARLLLQFLLLAAGGVTGFQALMLQGMRRTSPAIAAAMPNLTPGFIFLAAAAAGIERVRLRCAFTWAKIVGTAFCLAGAVTMSVLQNTTISPERSPKKGEWVEGCLCLLGAVVVVSCTTVLQAATMVRFPAPITLCTVTSTIGAAMTAAFRAVVVGRWGIDGGGLGIRAVAALVVGGGVVSSACVAFQAWALKKKGPVVVSMFSPTQTVGSAVFSAVFLGRVVKPGSVVGMILLFSGLYVVLWAKKKETTISSSDDSCSSMAVASKDGGDDLEEPLLSRRH >LPERR11G14910.1 pep chromosome:Lperr_V1.4:11:15725831:15726043:1 gene:LPERR11G14910 transcript:LPERR11G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATVVTPERLDLATVIPGRPDLAVLTPLLVATTAKMMATAHRRQRQDDGDDVTTMTGGYGGARGRFFF >LPERR11G14920.1 pep chromosome:Lperr_V1.4:11:15726978:15732091:1 gene:LPERR11G14920 transcript:LPERR11G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPILKVYGDRLSQPTRAVIIFCRVNRIDFEEVVVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVPDHWYSADLFTRAKIEAILDWHHSNLRRGAATFVLNTVLAPSLGLPSSPEAAKEAEKLLFRSLRMIESMWLKGDSKFLLGNPQLSIADLSLVCEIMQLEVLGDSERDRILGPHEKICSWIQNVKKATGPHFEEAHELLFKVKARRAAKMGSDRSKDLKTASKL >LPERR11G14930.1 pep chromosome:Lperr_V1.4:11:15734287:15743239:1 gene:LPERR11G14930 transcript:LPERR11G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKVSRFGWWAMIQDKDDDREKISAYTDNEPGNDAAKETTNQC >LPERR11G14930.2 pep chromosome:Lperr_V1.4:11:15734287:15743239:1 gene:LPERR11G14930 transcript:LPERR11G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKVSRFGWVQLVFVNHD >LPERR11G14930.3 pep chromosome:Lperr_V1.4:11:15734287:15742158:1 gene:LPERR11G14930 transcript:LPERR11G14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKVSRFGWVQLVFVNHD >LPERR11G14930.4 pep chromosome:Lperr_V1.4:11:15733817:15747270:1 gene:LPERR11G14930 transcript:LPERR11G14930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKWAMIQDKDDDREKISAYTDNERGARSGSS >LPERR11G14930.5 pep chromosome:Lperr_V1.4:11:15734199:15747270:1 gene:LPERR11G14930 transcript:LPERR11G14930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKWAMIQDKDDDREKISAYTDNERGARSGSS >LPERR11G14930.6 pep chromosome:Lperr_V1.4:11:15734287:15741612:1 gene:LPERR11G14930 transcript:LPERR11G14930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGAMGMLLPKLGELLKKEYDLQKSVKEGITFLKAELESMQTALEKVSKVPPDQLDKQIKIWARDVRELSYDIEDNIDTFMLHVDGLEPTKKHNFTWLIDKWHKSLSNVKVRHKIANDIKDVKIRVMEVMARRDRYKIEDSVDKLPMIIDPRILALYDNVTKLVGIDKSRDDLIKRLDIGNEASKKLKMVSVVGYGGLGKTTLAKAVFDMLKVQFDCLGFIPVGQNPDIKMILRDILIELDKHKYMAFDATSLSERHLIDELREYLDSRRYLIVVDDVWEISTWKIINYALVDSDCGSRVITTTRISQVAEQVGDIYTMEPLSDDNSKKLFYGRISGANCKGPIDNESVEATEKILKKCGGVPLSIITIASLLVDKPVVDWSTVYDSIGFGPTDQNEVVQNTRKILSFSYYAMPSYLKSSMLYLSIYPEDHLIEKDSLIWKWVAEGFVQEEQGKTLFEVGETYFIELINKSMIQPDRSYDNVDGCRIHDMVLDLIRILAAEENFVKILDRVPEVHSSSSQSSTIRRIALHKRGNQDENDSLAVDLNHLRSFNAIGCHISMMLSPLSFQVLRVLDLESSDVKAGLHLKHLGKLHLLRYLGLRLTNTAELPKEIGNLKNLQTLDVRGTGLKELPLTIGKLSRLMCLRVDGNTRMPIEVGNLMSLQVLRLDLGSIDRSFVEVGKLTELRTLRIVVHKKIDEDVRKALVESLCRLRKIQRLVVMYWSPMENTWEGWDHWEPPQQLRLFSVIGMCLPRLPASMKSMCLPHLFSLELDLATIEAHDLDAISMLPALRILCLYIWQRYSWTVTGGGLFANLRICRINIPLTFLQGAMPMLLELDLWLWASEDGAASDIHLGNLPLLNSGHICINCEGATARQVKEAEASCRRLVDAHPNRPAIKVSRFGWVQLVFVNHD >LPERR11G14930.7 pep chromosome:Lperr_V1.4:11:15747271:15751209:1 gene:LPERR11G14930 transcript:LPERR11G14930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKGAMGTLLPKLSELLKEEFDLQKSVKEGIMFLKAELESMQTALENVSMVPPDQLDKKIKIWARDVRELSYNIEDDIDTFMLHMDGLEPTKKNKFTRVIDKCQKSLFRVKIRHKIANSIRDVKSQVKEVMERRDRYQIDSVAITFQTIVDPRILALYEKATNLIGIGKASDDLIKRMYLIVVDDVWETSTWKIIKCSFIDSKCGSRVITTTRISQVAKEVAEEFGNVYIMEPLSDDNSKKLFYGRIFGANFKSPTSNQSIEVTGKILKKCGGVPLSIITIASLLADKPIGEWSTIYDSIGFGPIDQNEVVQNTRKILSFSYYDMPLYLKSCMLYLSIYPEDHWIEKDSLIWKWVAEGFVHVEQGKTLFEVGERYFIELINKSMIQPTETYGSVDGCRIHDMVIDLIRILAIEDNFVKIFDRVYVEQNSSSHRSTIGRITLHKRWNKDEDNSLAADLTQVRSFNVIGCHISMMPSLVSFRVLCILVLEGCDVNGSLNLRHLGNLCQLRLLRLIDTRLAGLPREIGDLVHLQVPEARKTGLKELPVTITNLSKLMRLCVNGETRVPTGVGNMRSLQELQLWWGAIDRFENFSMEVDRLTELRMLVICVDKMIEEGMAKALVKSLCGLRRIQNLAIHSLSPNETSGWEGFIHWEPSQELRRFSLFSIWLPRLVDCRCG >LPERR11G14940.1 pep chromosome:Lperr_V1.4:11:15765940:15766691:-1 gene:LPERR11G14940 transcript:LPERR11G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYIDVQPAAEEEMPTTTENPVMQRGGGGRIHWAFSKRRRRPTSLSPPQLVHRDDDRARLSLFLVAGFSSSAVGAWDSEAAGGVGKEQGEGGKKWKRE >LPERR11G14950.1 pep chromosome:Lperr_V1.4:11:15769447:15775280:1 gene:LPERR11G14950 transcript:LPERR11G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGAIRPLIGKLYELIKYEYGLEKKVKKGIKSIQTELEMMHAVLEKLARVPRDQLDKQVKIWAGKVRELSYDMEDAVDTFMVRVEGKGPEPAAGTNMKNRVKKFLKKTTGLFRKGKDLHQIVSAIEEAQELTKQYAGLRKRYELDIGSVKASVTVDPRVMALYKDEKELVGVEHPRDELIKKLFQGDDDSNLGLRVISIVGFGGLGKTTLAKAVYDKIKSQFDCNAFISASQSPDIGRIFKKILYGLDEKKYANINEATRDETQLIDELRSFLTHKRYLIVIDDVWETLTWKTIENAMVDVSCGSRVIITTRNFQVAKEAGHVFIMESLSDENSEKLFYSRVLDAECKCVPDNRSTEATQKILKKCASVPLSIITIASLLVDKPVEDWSAAYNSIGFGPEEENEVVQNTRKILSFSYYDLPSHLQPCLLYISIYPEDHWIEKDSLIWKWIAEGFVHIEQGKQLFEVGERYFVELINRSMIQPRKHIQYDSLDVCSVHDMVLDLIRVLAAEENYVKILDQVHEEHNYSLNSSVVRRVALHKRNQVNNDNLTANMTRLRSFNAILCQFSMLPRLLSFQVLRVLALDCCHIKGDRQLKHIGELRQLRYLGLNDTDVLELPTEITHLIHLQALVVRGTELDALPPTVGKLTKLMYLHVDRVPRVLTEVKNLTSMQDLRLGYVDHCPNFSTDLVKLTDLRILQLEFENMDEDLFKNLLQSLRSLRKIQVLEIRCYSGLMMSGWEEWEPPRQLRRFCIYDSCLPRLPAWVNFTCVPRLSHLELNVTVVEAGDLDVLAMMPALRVLDVSIKGSSWTVTGGKQYMELRYCSTNIVFKFWLLKLTNVGLSLPSSSGDAAAAEADVVSNLPLLKSDWVSFFSESATGKEVEEAQASLIRAVIQAYPNDPILFRYDTNQHEDALSTCGEIADILVILLLQIYKALVRILKSYVYNVKLQNQTMMQLERTRYLRFFVRSFMQGMILSEEIQGMMKRKKICGGV >LPERR11G14960.1 pep chromosome:Lperr_V1.4:11:15784122:15784403:1 gene:LPERR11G14960 transcript:LPERR11G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLPKLGMLLKEEYDLQNSVKKGIAFLSTELEYMQVALEKVSNVPLDQLDKQIKIWARDVRELSYNIEDNIDTFMLRVDGLEPTGNIISHG >LPERR11G14970.1 pep chromosome:Lperr_V1.4:11:15784701:15792084:1 gene:LPERR11G14970 transcript:LPERR11G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGNEAPKKLKMVSVVGFRGLGKTTLAKEVFDMLKVQFHHVGFVPVGQNPNVKKVLKDILIELNRHKYMAFDVSELSERHMIDEIKEYLGNRRYLIVVDDVWETSTWKRIKYAFVDNNCGSRVIATTRISQVANEVSEESGDIYIMKPLSDDDSKRLFYNRILGVDGEGPTDNQSVESTKKILKKCGGVPLSIITISSLLVHKPVEEWSKVYDFIGFGPADQNKVVENTRKILSFSYYDLPSHLRTCMLHLSIYPEDHWIKKDSLIWKWIAEGFVHEDQGKALFEVGERYFIELINKSMIQPTEAYGIINGCRIHDMVLDLIRKLASEGNFVNVFDGVHQVCSLHSQSSTLRRIALHVSWNHGKTDDLTTSMKHLRSFNSIDCHISVMPPLVLDVTETWLEVFPVTISKLSKLMRLCIDRVKTRFLTEDLDVLARMPELRILRLYTTSISSWNVAGGRLFPNLKFFGTNIVSTFLQGARVLLLPKEIGYLVHLQVLDVRDTLLKVIPVTIGKLRKLMRMCINSLETRFLTEVWKLTSLQELSLRIEPDYTKDTCANFGLYKLTDLRMLQIRLFIETGKESLNALMDCLQTLLKIQSIELNLYSMLTISPVMTGWEGWEPSRQLHQVSISGARLPRLPAWLNSMRIPHLSILHISVATMEPQDLHVLARMPELRLLSMYTTSRSSWTVAGGKLFPNLRVLRTNIVSTFLQGAMPMLVEVLLDVWVSTDDAAKDVGLGNLTLLNTVRIWLQCEDATARQVEEAEAAWRRFAHAHPNRPAIDVQRMVEWKMKKDEDVGNEEEISATDEVDENDDNEEISVTDQEFQADTCGAKEEEEAEHQS >LPERR11G14970.2 pep chromosome:Lperr_V1.4:11:15784701:15792084:1 gene:LPERR11G14970 transcript:LPERR11G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGNEAPKKLKMVSVVGFRGLGKTTLAKEVFDMLKVQFHHVGFVPVGQNPNVKKVLKDILIELNRHKYMAFDVSELSERHMIDEIKEYLGNRRYLIVVDDVWETSTWKRIKYAFVDNNCGSRVIATTRISQVANEVSEESGDIYIMKPLSDDDSKRLFYNRILGVDGEGPTDNQSVESTKKILKKCGGVPLSIITISSLLVHKPVEEWSKVYDFIGFGPADQNKVVENTRKILSFSYYDLPSHLRTCMLHLSIYPEDHWIKKDSLIWKWIAEGFVHEDQGKALFEVGERYFIELINKSMIQPTEAYGIINGCRIHDMVLDLIRKLASEGNFVNVFDGVHQVCSLHSQSSTLRRIALHVSWNHGKTDDLTTSMKHLRSFNSIDCHISVMPPLVLDVTETWLEVFPVTISKLSKLMRLCIDRVKTRFLTEDLDVLARMPELRILRLYTTSISSWNVAGGRLFPNLKFFGTNIVSTFLQGARVLLLPKEIGYLVHLQVLDVRDTLLKVIPVTIGKLRKLMRMCINSLETRFLTEVWKLTSLQELSLRIEPDYTKDTCANFGLYKLTDLRMLQIRLFIETGKESLNALMDCLQTLLKIQSIELNLYSMLTISPVMTGWEGWEPSRQLHQVSISGARLPRLPAWLNSMRIPHLSILHISVATMEPQDLHVLARMPELRLLSMYTTSRSSWTVAGGKLFPNLRVLRTNIVSTFLQGAMPMLVEVLLDVWVSTDDAAKDVGLGNLTLLNTVRIWLQCEDATARQVEEAEAAWRRFAHAHPNRPAIDVQRMVEWKMKKDEDVGNEEEISATDEVDENDDNEEISVTDQEFQADTCGAKEEEEAEHQS >LPERR11G14970.3 pep chromosome:Lperr_V1.4:11:15785864:15792084:1 gene:LPERR11G14970 transcript:LPERR11G14970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGNEAPKKLKMVSVVGFRGLGKTTLAKEVFDMLKVQFHHVGFVPVGQNPNVKKVLKDILIELNRHKYMAFDVSELSERHMIDEIKEYLGNRRYLIVVDDVWETSTWKRIKYAFVDNNCGSRVIATTRISQVANEVSEESGDIYIMKPLSDDDSKRLFYNRILGVDGEGPTDNQSVESTKKILKKCGGVPLSIITISSLLVHKPVEEWSKVYDFIGFGPADQNKVVENTRKILSFSYYDLPSHLRTCMLHLSIYPEDHWIKKDSLIWKWIAEGFVHEDQGKALFEVGERYFIELINKSMIQPTEAYGIINGCRIHDMVLDLIRKLASEGNFVNVFDGVHQVCSLHSQSSTLRRIALHVSWNHGKTDDLTTSMKHLRSFNSIDCHISVMPPLVLDVTETWLEVFPVTISKLSKLMRLCIDRVKTRFLTEDLDVLARMPELRILRLYTTSISSWNVAGGRLFPNLKFFGTNIVSTFLQGARVLLLPKEIGYLVHLQVLDVRDTLLKVIPVTIGKLRKLMRMCINSLETRFLTEVWKLTSLQELSLRIEPDYTKDTCANFGLYKLTDLRMLQIRLFIETGKESLNALMDCLQTLLKIQSIELNLYSMLTISPVMTGWEGWEPSRQLHQVSISGARLPRLPAWLNSMRIPHLSILHISVATMEPQDLHVLARMPELRLLSMYTTSRSSWTVAGGKLFPNLRVLRTNIVSTFLQGAMPMLVEVLLDVWVSTDDAAKDVGLGNLTLLNTVRIWLQCEDATARQVEEAEAAWRRFAHAHPNRPAIDVQRMVEWKMKKDEDVGNEEEISATDEVDENDDNEEISVTDQEFQADTCGAKEEEEAEHQS >LPERR11G14970.4 pep chromosome:Lperr_V1.4:11:15785864:15792084:1 gene:LPERR11G14970 transcript:LPERR11G14970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGNEAPKKLKMVSVVGFRGLGKTTLAKEVFDMLKVQFHHVGFVPVGQNPNVKKVLKDILIELNRHKYMAFDVSELSERHMIDEIKEYLGNRRYLIVVDDVWETSTWKRIKYAFVDNNCGSRVIATTRISQVANEVSEESGDIYIMKPLSDDDSKRLFYNRILGVDGEGPTDNQSVESTKKILKKCGGVPLSIITISSLLVHKPVEEWSKVYDFIGFGPADQNKVVENTRKILSFSYYDLPSHLRTCMLHLSIYPEDHWIKKDSLIWKWIAEGFVHEDQGKALFEVGERYFIELINKSMIQPTEAYGIINGCRIHDMVLDLIRKLASEGNFVNVFDGVHQVCSLHSQSSTLRRIALHVSWNHGKTDDLTTSMKHLRSFNSIDCHISVMPPLVLDVTETWLEVFPVTISKLSKLMRLCIDRVKTRFLTEDLDVLARMPELRILRLYTTSISSWNVAGGRLFPNLKFFGTNIVSTFLQGARVLLLPKEIGYLVHLQVLDVRDTLLKVIPVTIGKLRKLMRMCINSLETRFLTEVWKLTSLQELSLRIEPDYTKDTCANFGLYKLTDLRMLQIRLFIETGKESLNALMDCLQTLLKIQSIELNLYSMLTISPVMTGWEGWEPSRQLHQVSISGARLPRLPAWLNSMRIPHLSILHISVATMEPQDLHVLARMPELRLLSMYTTSRSSWTVAGGKLFPNLRVLRTNIVSTFLQGAMPMLVEVLLDVWVSTDDAAKDVGLGNLTLLNTVRIWLQCEDATARQVEEAEAAWRRFAHAHPNRPAIDVQRMVEWKMKKDEDVGNEEEISATDEVDENDDNEEISVTDQEFQADTCGAKEEEEAEHQS >LPERR11G14980.1 pep chromosome:Lperr_V1.4:11:15791635:15795867:-1 gene:LPERR11G14980 transcript:LPERR11G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFCEPVNIGSDEMVSMNEMAEIVLSFDNKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSTYGSSKVVQTQAPVQLGSLRAADGKE >LPERR11G14990.1 pep chromosome:Lperr_V1.4:11:15798557:15801293:-1 gene:LPERR11G14990 transcript:LPERR11G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQTPTPSAAGPPRPAKLKLLYHHLVSKSLYLLLAPVAAAAGLRISRLNSGDLAAARDHIVSNLPITITLLGTLLILATIYLIRRPRPVYLLDFSCYKPNPSHTVTRETFMTQSTKAAVFTDENLAFQRKILERSGLGQSTYFPAAVLNSPPNPCMAEARREAEQVMFGAIDGVLKKTGVNAKEIGIVVVNCSLFNPTPSLSAMIVNHYKLRGDVKSYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRSKYQLLHTVRTHHGADDRAYRCVFQEEDDAGTVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARKVFGLAGVRPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLGLSEWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGHRAWQIAFGSGFKCNSAVWKALRTVPAAGDGNPWKEEIDGFPVEVPKVETVAVAAAVSS >LPERR11G15000.1 pep chromosome:Lperr_V1.4:11:15805966:15806166:-1 gene:LPERR11G15000 transcript:LPERR11G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRASPSVAGRRPGTRWGGVAVPWRAPAGVCDLVRRSLVPLVAGASHLRRRCLLQFSQVLARGIY >LPERR11G15010.1 pep chromosome:Lperr_V1.4:11:15826887:15827381:1 gene:LPERR11G15010 transcript:LPERR11G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTRVSMLILATAVARAAAQQASGVVATFNQYNPERIGWDLRAVSAFCSTWDADMPLAWRQRYGWTAFCGPAGAHGEPSCGRCLQVTNTATGVRATARVVDQCSNGGLDLYVAVFRQIDTDGGGMASGHLVVDYEFR >LPERR11G15020.1 pep chromosome:Lperr_V1.4:11:15828562:15828888:1 gene:LPERR11G15020 transcript:LPERR11G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTRVMSMLILAGAAAQEASSAVATFNLYNPDRIGWDLQVGVYACRGATRRERLVMTRWLGCVQVTYEHGDGGACATARVVDLDVAVFRQIDTDSVGMAQLVVDCQD >LPERR11G15030.1 pep chromosome:Lperr_V1.4:11:15838973:15849699:1 gene:LPERR11G15030 transcript:LPERR11G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGLGLAMVCLGFAAMMQAAMAQQGTVRLATYHFYNTSQNNWDLNAMGTYCSMWDADKPLNWRMKYGWTAFCASSGPTDEDMCDTQFAEITARIVDKCGNDGLDLDWDTVFSQIDTDGLGYQNGHLTVNYQFVPCNDDDETLAETTAMATARRMVLKAVVLCAVAAMAMAQEASNVRATYHYYRPAQNNWDLGNPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLLVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVNCGD >LPERR11G15030.2 pep chromosome:Lperr_V1.4:11:15849527:15854545:1 gene:LPERR11G15030 transcript:LPERR11G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMDEVTTLAETMAIRTAVVVTVAAVMSAVTAMAMAQEASNVRATYHYYRPIENNWDLGAPAVSAYCATWDADKPIEWRQKYGWTAFCGPVGPTGQDACGKCLLVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQNGHLTVNYQFVDCGDN >LPERR11G15040.1 pep chromosome:Lperr_V1.4:11:15858066:15859012:1 gene:LPERR11G15040 transcript:LPERR11G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGSRALVLVALLCAMAAMAAAQQASNVRATYHYYNPQQNNWDLNKVKNRGTGAKIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >LPERR11G15050.1 pep chromosome:Lperr_V1.4:11:15860362:15864253:-1 gene:LPERR11G15050 transcript:LPERR11G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGGGGGGGGGREAALLLVLIAAGCGFVVVLLNLPDGHRAIPGVPGAAGGEFTEGTTHVSVKERRMEEVVRLHDVAAQELDGQTDENASEADERISRSPPGTKEKLWMMQDQLIMAKAYLQYASPHGSSHLVRELKLRVKEIERVISHISSSSHVPTSALQKIRAMEMTLSKAQSAYPHCSLMTAKLRAMTRQSEELVRAHRSESSFLEQVAVRTLPKGHHCLAMQLTSEYFQLDPKERDFPQRYTMEMGGFYHYAIFTDNVLASAVVVNSTISASKDPKKITFHILTDALNFPAMMMWFLTNPPNPASIQIKSLDQLKWLPSDFSSRFKQKSIRDPRYTSALNHLRFYLPEVFPSLNKVLLLDHDVVVQKDLSGLWEIDLKGKVNGAVETCTSVDGYHRLENLVNFSDPSILDKFDAKTCICAFGMNIFDLKEWRRQGVTATYNKWFQAGKRRRLWKAGSLPLGQLVFYNQTVPLDHRWHVLGLGHDRSIGREEIERSAVIHYSGKLKPWLEISIPKYRDYWNNYLNYDNPYLQQCNIHR >LPERR11G15060.1 pep chromosome:Lperr_V1.4:11:15869211:15877347:-1 gene:LPERR11G15060 transcript:LPERR11G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAISTLSPSLHLHLHHHRLLILSTHPLSTSPTYPWLSAWSNPRRRIPTLLPRRPASALDLRPEPSPSSDSDDEDSFGTSRSSGRSTMSLILSRLRRAGYSPSPATELAETAAIHHHPPRGSVEDVFRVEDGELPNARGGFGGGGGGELALGDARFPWELPMPPPEAAARAARSRAWMAELTLPEAELRRLRHAGMRIKSRIKVGGAGVTREIVERIREKWRDEEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPASTKGKNTQALGMKSSIKEPPGPSLLPNEKTNDMQDRNGALVSNIEKDTLVEPVPEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPEYKPPFRVLPYGVRPSLGRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVLLSRNNDFMVFYRGKDFLSSELAEALLERERLAKSLQDEEQARLNAAPSFSSSTDAPVEPTVAGTLGETIEANSKYGNKLGENYEDKMTRTVEAARHADLVRKLEWKLALAEKKIEKAERVLGKVETALKPTEGIKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYTRPSKLRPRNLLSKRKALARSIEMQRREALSRHIASLNRRVKQLKAELLQMEGVEEEGDEELYAKLDSAYSSDEEDVEDDDDEAYLRSFDNVVAGRNSDDRTSLDDSNTKFDDEGDYSDEEEDEDADCDEEDGFDYENDDDDEDGVSPITSDGNLYNHTDSSHRENHLSGRSDTDVKSSDAIDRRNSNSDQPTELTNSSTPS >LPERR11G15070.1 pep chromosome:Lperr_V1.4:11:15878803:15882105:-1 gene:LPERR11G15070 transcript:LPERR11G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDFKAPVFTFNYWMKEVRELSYDIDDFADELLFHAAANAASSDKPSLKAFLCKIQQKLSRRRVIVDEVSGFRVRLEELIQMYKNYQLGSLERCPGWQTNGILSLNPSLAEETKSLGMDRDMDKLAGLVANDDDQLECKIISIVGPGGIGKTTLADKLYHKFGCQFDCHAFVRLSRKTDMKRILTSLLLQVRQHESVGAVDVNNLAYEINSYLKDKRYFIVIDDLWSLSTWDTINCALPKSSCSIILVTTEVELLAQICSANNSKYIFEKEPFDEDETNQLLFTRVCESKSVELFKEFSSLIAHLCSGLPLIISVTASILARLPPSIEQWNLVNVSLSYGLEGILNLVYSTLPNRLKACLLYLGIYEEDFIILKDDLLNQWIEEGFIGRADGIDQEITALIYFDELISSGLIQPVATRFDNEVLSCRIHYMILDFIRHKSIENNFGIAIDNCQADVRVASKIRRLSLHFSSAKDATIPVGLRCSQVRTLVYFGPIHYTPSIAEFRVIRVLILQLSNDLDDITYDLTEIAKLFGLKYVHINACNLNIKLPTQIRQLKHLARFNIEARLRDVSSDIINCPRLVQLLLPSDTTLPHCIEQMRSLQTLGHFDLSSSSTDNILSLGKLINLQNLYMTFSKGQLDNLNNKIRCLGLILDKLRNMKSLTLVVAGSHVDSSTMVISCDDFNVTTPPILLKRFEFSWRAFIFSYLPKWIKELANLNILKIAISEMSRADADVLSGLPALISLSLYIQRAPEEKITLVKEDSQACEVLKAQVQYALDEI >LPERR11G15080.1 pep chromosome:Lperr_V1.4:11:15896380:15901703:1 gene:LPERR11G15080 transcript:LPERR11G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACFSFTAAAAAATATATAAASLPLPSTSPRCQLRPASLRCSRPRRRVARALDERLVEAAPPAETEVEDPGVEDGGGEGEVEVEEVEHAGRGEREEEETARAPVRSRRRQQPEEESSPEHDRFKLINGKEIFQEKAYLVGVECKRAGGSLFGIEESLKELEQLADTAGLMAVGSTYQKLSTPNPRTYIGSGKVSEIKSAIHALDVETVIFDDELSPGQLRNLEKAFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLLGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLSKLSITTSQVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKQLDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSMVPIEAIVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVVAAL >LPERR11G15080.2 pep chromosome:Lperr_V1.4:11:15896380:15901703:1 gene:LPERR11G15080 transcript:LPERR11G15080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACFSFTAAAAAATATATAAASLPLPSTSPRCQLRPASLRCSRPRRRVARALDERLVEAAPPAETEVEDPGVEDGGGEGEVEVEEVEHAGRGEREEEETARAPVRSRRRQQPEEESSPEHDRFKLINGKEIFQEKAYLVGVECKRAGGSLFGIEESLKELEQLADTAGLMAVGSTYQKLSTPNPRTYIGSGKVSEIKSAIHALDVETVIFDDELSPGQLRNLEKAFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLLGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKQLDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSMVPIEAIVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVVAAL >LPERR11G15080.3 pep chromosome:Lperr_V1.4:11:15891329:15896479:1 gene:LPERR11G15080 transcript:LPERR11G15080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLRANPGTEEANQQSHREGEENRREERKQETQDVDYISIVYYIFWISMGIEVVPQSYNEELDNIVVSSDQSEVPRNSNVESSLVDVQVEQSDNVYSEPLESAGVNGARENDVPLASEQSADNGSINSEPAPYESSPEIVEKGDVMQHSDENIAGKQENPYLSPMAETAGSDSTSVTSMEDVQEAKNGAPSETDDATGHPPDLSDEKACARNGSVFQNAKSVLTTSTKKMKRSASGTTRKSLPTNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYLKLEEKHQALEEEKNQLEAKLKLPTTRPKSPKLGRRKASTTAATDASNSSEESDSTRPCCRASRDSLDNTCKCCSNSSKPATVASSSSKKQPKPHTHKLSDQSAMNIAVH >LPERR11G15080.4 pep chromosome:Lperr_V1.4:11:15891329:15896479:1 gene:LPERR11G15080 transcript:LPERR11G15080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLRANPYYIFWISMGIEVVPQSYNEELDNIVVSSDQSEVPRNSNVESSLVDVQVEQSDNVYSEPLESAGVNGARENDVPLASEQSADNGSINSEPAPYESSPEIVEKGDVMQHSDENIAGKQENPYLSPMAETAGSDSTSVTSMEDVQEAKNGAPSETDDATGHPPDLSDEKACARNGSVFQNAKSVLTTSTKKMKRSASGTTRKSLPTNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYLKLEEKHQALEEEKNQLEAKLKLPTTRPKSPKLGRRKASTTAATDASNSSEESDSTRPCCRASRDSLDNTCKCCSNSSKPATVASSSSKKQPKPHTHKLSDQSAMNIAVH >LPERR11G15090.1 pep chromosome:Lperr_V1.4:11:15902760:15905966:-1 gene:LPERR11G15090 transcript:LPERR11G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAATAAALRLGRGLGRVLLRRRIYSSAHLAPSTGAAPRCLSDAHAPLSPLPRRLARSGSARGARPLAAAAATAVPDTQADLESGPMTVSKGRIYHETYGCQMNVNDMEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQTGSTSVLERMRRGYTREAYLELVQKIRSIIPDVGLSSDFITGFCGETEEEHAETLSLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPEDVKQRRLSELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFASIPVPHTFQGDEVRKPVVGDFIEVKITKSSTASLFGDVIVRTSLSRFYKNHSSETHAVAA >LPERR11G15100.1 pep chromosome:Lperr_V1.4:11:15906548:15909826:-1 gene:LPERR11G15100 transcript:LPERR11G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAKPPPFVCFKWPWGADPKSPNPNPSPCGELELPWLFRSIRTVAQGLLIAGDLPSSPSSDGGARRRGRRGVSAVADRGEVEQRALAAALATSGREATVLEFYSPRCRLCASLQGLVREIEEGAGGRAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQISVQDGKKSAS >LPERR11G15110.1 pep chromosome:Lperr_V1.4:11:15910841:15914789:1 gene:LPERR11G15110 transcript:LPERR11G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTIVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNHAIAGDATSPKIHFVSGSEYVDPDPGHSFQAIYEQVYGDPYTWGVTSPATKPGVDTPPMSGFAQQADKEIDVAGNKVTARTVMSGFSPNAVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKLLRAGMPQRTIFDALHDAGHTFGIYYQFPPAVLYYRNMRKLKYWGNYHPYDIAFKRDCKHGKLPNYVVIEQRYLDKLKPLHLPGNDDHPSHDVSEGQRLVKEVYESLRSSPQWHETLLVIVYDEHGGFFDHVPTPVTGVPSPDGIVSADPVGFRFDRLGVRVPAILVSPWIEPGTVLHRPVSGPDPTSQFEHSSIPATVKKIFGLGEFLTKRDAWAGTFETVLTREKPREDCPVTMPEPVRMREASTADAGERGISEFQAELVELAAAINGDHEKEGLVEGKGMTVADADAYCREVFERFMEECRRCRDCGMDESHVPMLQPGASGVSSKLCACFPCFK >LPERR11G15120.1 pep chromosome:Lperr_V1.4:11:15916620:15917159:-1 gene:LPERR11G15120 transcript:LPERR11G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLLLAAVFFSFFIRFVQTGICVAHRLVDFFACFYIDTCIDFSPSSSRFVHGRLDHPTKCTTTTRFFTMVIFKHVYSTIKLRVAAASSLQTAASLLVVTHRCIAWSCRCVAPSVHNVAALVVFTQLFVHASTPSSSALVVISHQVLLRLLRASPPRLLHAAAIAYPGRCSSYLYIGY >LPERR11G15130.1 pep chromosome:Lperr_V1.4:11:15981634:15984375:1 gene:LPERR11G15130 transcript:LPERR11G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCKIEVLISPNVLRNLSSLFFTALMPLSYKDMTPLQAAIAVVQKDLRPTNPADIHPMLVGLLQKYWQKDLAPRLTLPEILDILNSTKRVVRSGLVVCLKTEVHTHPGQPHVLAGLRRSPRADAESLMACTYMTQILTGQVARRYKWSFFSDRLANQRYTNIIMTSIDY >LPERR11G15140.1 pep chromosome:Lperr_V1.4:11:15988674:15990132:-1 gene:LPERR11G15140 transcript:LPERR11G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNNSLALLLLLIILIADHCLPTAAVAEHGYGSSTPSWVNPRLRRLLRGTAHGELEVTVAKDGSGDYTTIAAAVAAAPNGKERYTVRIREGKYHEQFVIGRRNVALFGDGMDKTVITASHGTPHGGNTSASATVTAIGGGFMARDLTIENTAGLDGNQSVALRSNSEHAVLYRCRLQGFQDTLYAENKLQFYLDCEISGTVDFIFGDAKAVFQGCRLLVRRPRNGAHNVITAQGRNDLNKSLTGFSFQNCSVIAMPKENLTGVETYLGRPWRNLSHVIFMQSFLSDIVHPSGWVHWNKSSAVAETARTVSYMEYDNWGPGANTSQRVDWEGFSKVTDAKKAEEYTVDRFINGSEWLPKNIVYKHGL >LPERR11G15150.1 pep chromosome:Lperr_V1.4:11:15998354:15998875:-1 gene:LPERR11G15150 transcript:LPERR11G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRVIIFPTLATIPEVEYRFESCEFAVLIPRVIANRTAEAKALVNPLLVMAEKKGGEVPRCLAACAASLDEVSKAMSGLPMDVKEYTKVQSFLHKKFEAGVAPPLCKSGCPDKSCSADETAITISFMPSGS >LPERR11G15160.1 pep chromosome:Lperr_V1.4:11:16016512:16023842:1 gene:LPERR11G15160 transcript:LPERR11G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRTEDLLGFLLQVSSVEPTHISSLKVHPPPPPSREHRCHPPPPSPPPPPPMEKRDEQQLAGSSSSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITSVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVASGGKDRVLKLWMN >LPERR11G15160.2 pep chromosome:Lperr_V1.4:11:16017305:16023842:1 gene:LPERR11G15160 transcript:LPERR11G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDEQQLAGSSSSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITSVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVASGGKDRVLKLWMN >LPERR11G15160.3 pep chromosome:Lperr_V1.4:11:16016334:16023842:1 gene:LPERR11G15160 transcript:LPERR11G15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDEQQLAGSSSSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITSVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVASGGKDRVLKLWMN >LPERR11G15170.1 pep chromosome:Lperr_V1.4:11:16035783:16037147:1 gene:LPERR11G15170 transcript:LPERR11G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVSKKAKGKKKTKTKTKTKGAWPAMAASRDGGWSALPEDVLLTVMQLMDVPDVVRSGAACSAWRAAFRRLRLPTPRQPPCLLYACESYGPSAAALFSPSSTTFRVPFCGGIAGSAAHGWLFTTDDDANPFLLNPLTGARAALPPITTLHRVKTAGGGAYGVDFALESPSKWERIERVTAKRAREWMFRRVAVSAAGAGGGGGGVVLLMHMPYAELYFASPGDARWTSLSVSGAVPHRRNQQWLSVVHDDDHNGLFYLLRHCGSVFSLDLAAAGHSSPVVRTIMDSKRSYPSPHDPKPTKYLAVAPRGVGGELLLLVTRRWRKSMIVSADKTHIEHGVVTTGVEIEEIRIGKYPVRTVSVSLTGIGGDHALFLGHGSAAVCVPTKDLSMLRPNCAYLTDDIDGDLLHSPATRRDVGVWDFESSSLHKLGDVWPLRHPWLYSPPPIWITPSLY >LPERR11G15180.1 pep chromosome:Lperr_V1.4:11:16040009:16041320:1 gene:LPERR11G15180 transcript:LPERR11G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTISGAGAGAGWTSLPGDLLLTVFSELHIPDLLRSAAVCASWHAAYRAFRRLRLPSPKQPPCLLFSSDAGAAAIHCPSTGATFRNPSPCDDFRSLAPIGSAEGWIVAADEIGNLRLLNPLTGAHENLPPITAMYNVAAYDYDDDEGGLVYDIDEGVYGDGEPTRVPAREVLDCMYYRAVLSSAPNHAAAGECIVLLIHMPRGELSYARPGDERWTPVTESDGLQHRAWYCDAAYSKSDGLFYVVRQDDSVHARSLAGGEEGARHGARYLVHTPRGDLLNVWRHRANIGLERPHLPSDDDDEEDNDDMSTPSIDWSDPLAELMTTEIKVFMADLDGRKMERMHGLDEHALFLGYNSSLCLPVKDFPRLKPNCAYVTDDSFEFICMFKYNKREVGVWNIESQSLENLEGDGAAPPWLNWPVPIWITPSLL >LPERR11G15190.1 pep chromosome:Lperr_V1.4:11:16043403:16046216:-1 gene:LPERR11G15190 transcript:LPERR11G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGPGSEPPSPPPLQLPSWSDLPPEIVGAVLRKLPSSADRAAFRSICRSWRAAAAARNRSLMAAPLPLFRCPNFALASVFSDGATATCPHFVLKASGRVREGRTRGFSWSWRTSMATGKGTGSGPPPPPSWSDLSPEIICAVLRKLNSSADRAAVRSVCRSWRGAARDHPPLLAPLPLLLYPNFALASVFSNGAAATGHRVPLLVALLDGAFPGQCIGCFENWLVCTWLRLSIPLYPIVGADGGCVLVNPFSGEKASLPSPTATHSCGDIQRSVPISNGNGQVVCTIHADEYAMALYKAVLSAPPNTGSSSSSELDLGSSCIVAAVSQRMGEYKLAFCTPETQSWCICEGNCIKSHIDIEFYQGKLYMVDTRSGDLFAFELEAHDCLFPVVSSVERCLIEKLPSAEDSGQQIYNLVQSLGKLLLLVRYFRGSWEQFVGVRVFELGFNSNPWKWIEMKSLDGESIFISSSCSRSFAASQYEEIEDDHIYFLDSLCPKFNPKKSDSYSYCSQVYNMRDGTINPILIGNGPMNRELVRGTEKGGDSQT >LPERR11G15200.1 pep chromosome:Lperr_V1.4:11:16047999:16065262:-1 gene:LPERR11G15200 transcript:LPERR11G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIISGDALLWGPWKDIPADMLGLVLRLMPCAIDRARVRSVCRSWRAAAAVQRPPPPFPMLLLSPFRFLSFSPNMMFAASRGIRVQKDVSIRWVGSCDDWLVGTRPSWECEEEEADGHFFLVNAFSRKTICLPKPCAFHFFDYFRKTLPIVNTSDSVDVIIYEREYSMRFRKVVLSAQPASGSMCIVAAISDQTLALWHPVMTSWCLCRTFGLIDRSADITFYQGRIYMASTHYRNSLCIVFFELQRVDGGVMVSYVEQCVTEPLPLVDGCWVVECNIVEWSGKLVLIIRYADRDGANRNIRKIGIYALDFSTNPHSFTEINSLDGDCLFISSCSSKSFPACQYDGAKGDVVYFVSNYPRSASVGPSLDVLAYNVRDATTKSFPVKWLVGTRRIREREKGDGHCFLVNAFSQQTIHLPQPSAFCFFDYFSKTLPIVNTSHSIQIDVHAREHLMCFRKVILSAPPASGSKCIVAAISNLTLALWHPGMASWCVCRSFDINVSSDIAFYQGRIYMASTHYPNILRILFFEIERFNGRVTVSYVEKCVTEPLPLVLNCVVKECYIVEWSGKLVLITIYVNCYLANVIRKIGIYALDFSTSPHSVTEINSLNGDCLFISSCSSKSFPACQYDGAKGDFVYLVSNYRQQTTSAHASFDVVVYNVRDATMTSFPVLVPEDNSGLFMDNLLMQPWSWAYLLRPDQTRLALAECCSGYIPSSKADASKLSESPVPVLKTSTTLSHSISSLVFTPAIFFSFPFSTNAVTSVAYETVCLIFLKGNNDYIYSECSAREAQRRSWAEIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPLQGLPQHEIWARLHSPPPPLPLLVLSNFTFSGFFPDGAMTETRRIPLPVEVTVTAAAGNLRCVGSCEGWLAVVRQKKARYLRDHACFLVNPFSQEVINLPPPFVSTRPVNVYSRSLPIINDSGVVDCTIHAARYVMSFCKMILSSPPGSSSMYTVAAISLHRNEAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKLYILSKFTTNLFVFEITEDDRGMMVSRVERLRIATDRRREAQRRSWADIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPPPLPLLVFPSFTFSGFCPDGAMTETRRIPLPVEVTAAAAAAGDLRCVGSCEGWLAVVRQKRARYLGDGACFLVNPFSQEVINLPPPFVSTHLVDVYNRSLPIINGSGVVDCTIHAAQYAMSFGKVILSSPPGSSSKYMVAAISVHRNGAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKFYILSNLTTNLYAFEITEDDRGMMVSRVEKCVSELPQVKDSYGQRWDLVEWHGKLLLIVRYIGGSEGWHNICKVSVFVMDVSTNPFGFTEINSLDGDCIFISPCSSMSFPACQYDGIEDDLVYFIDGYLYPIKNGPSPPFAKFVYNIRDCTLAPFAADILGDNFRGPDGRLMCPTWFFPSE >LPERR11G15200.2 pep chromosome:Lperr_V1.4:11:16047999:16065262:-1 gene:LPERR11G15200 transcript:LPERR11G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIISGDALLWGPWKDIPADMLGLVLRLMPCAIDRARVRSVCRSWRAAAAVQRPPPPFPMLLLSPFRFLSFSPNMMFAASRGIRVQKDVSIRWVGSCDDWLVGTRPSWECEEEEADGHFFLVNAFSRKTICLPKPCAFHFFDYFRKTLPIVNTSDSVDVIIYEREYSMRFRKVVLSAQPASGSMCIVAAISDQTLALWHPVMTSWCLCRTFGLIDRSADITFYQGRIYMASTHYRNSLCIVFFELQRVDGGVMVSYVEQCVTEPLPLVDGCWVVECNIVEWSGKLVLIIRYADRDGANRNIRKIGIYALDFSTNPHSFTEINSLDGDCLFISSCSSKSFPACQYDGAKGDVVYFVSNYPRSASVGPSLDVLAYNVRDATTKSFPVKWLVGTRRIREREKGDGHCFLVNAFSQQTIHLPQPSAFCFFDYFSKTLPIVNTSHSIQIDVHAREHLMCFRKVILSAPPASGSKCIVAAISNLTLALWHPGMASWCVCRSFDINVSSDIAFYQGRIYMASTHYPNILRILFFEIERFNGRVTVSYVEKCVTEPLPLVLNCVVKECYIVEWSGKLVLITIYVNCYLANVIRKIGIYALDFSTSPHSVTEINSLNGDCLFISSCSSKSFPACQYDGAKGDFVYLVSNYRQQTTSAHASFDVVVYNVRDATMTSFPVLVPEDNSGLFMDNLLMQPWSWAYLLRPDQTRLALAECCSGYIPSSKADASKLSESPVPVLKTSTTLSHSISSLVFTPAIFFSFPFSTNAVTSVAYETVCLIFLKNFNGLLLGCFIALSDFRTSTVALDDLSCHFTREAQRRSWAEIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPLQGLPQHEIWARLHSPPPPLPLLVLSNFTFSGFFPDGAMTETRRIPLPVEVTVTAAAGNLRCVGSCEGWLAVVRQKKARYLRDHACFLVNPFSQEVINLPPPFVSTRPVNVYSRSLPIINDSGVVDCTIHAARYVMSFCKMILSSPPGSSSMYTVAAISLHRNEAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKLYILSKFTTNLFVFEITEDDRGMMVSRVERLRIATDRRREAQRRSWADIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPPPLPLLVFPSFTFSGFCPDGAMTETRRIPLPVEVTAAAAAAGDLRCVGSCEGWLAVVRQKRARYLGDGACFLVNPFSQEVINLPPPFVSTHLVDVYNRSLPIINGSGVVDCTIHAAQYAMSFGKVILSSPPGSSSKYMVAAISVHRNGAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKFYILSNLTTNLYAFEITEDDRGMMVSRVEKCVSELPQVKDSYGQRWDLVEWHGKLLLIVRYIGGSEGWHNICKVSVFVMDVSTNPFGFTEINSLDGDCIFISPCSSMSFPACQYDGIEDDLVYFIDGYLYPIKNGPSPPFAKFVYNIRDCTLAPFAADILGDNFRGPDGRLMCPTWFFPSE >LPERR11G15200.3 pep chromosome:Lperr_V1.4:11:16047999:16063826:-1 gene:LPERR11G15200 transcript:LPERR11G15200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFMKTSDGYIPSSKADASKLSESPVPVLKTSTTLSHSISSLVFTPAIFFSFPFSTNAVTSVAYETVCLIFLKNFNGLLLGCFIALSDFRTSTVALDDLSCHFTREAQRRSWAEIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPLQGLPQHEIWARLHSPPPPLPLLVLSNFTFSGFFPDGAMTETRRIPLPVEVTVTAAAGNLRCVGSCEGWLAVVRQKKARYLRDHACFLVNPFSQEVINLPPPFVSTRPVNVYSRSLPIINDSGVVDCTIHAARYVMSFCKMILSSPPGSSSMYTVAAISLHRNEAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKLYILSKFTTNLFVFEITEDDRGMMVSRVERLRIATDRRREAQRRSWADIPADIIGVVVARLPCVEDRARLRSVCQAWRAAARLHRPPPPLPLLVFPSFTFSGFCPDGAMTETRRIPLPVEVTAAAAAAGDLRCVGSCEGWLAVVRQKRARYLGDGACFLVNPFSQEVINLPPPFVSTHLVDVYNRSLPIINGSGVVDCTIHAAQYAMSFGKVILSSPPGSSSKYMVAAISVHRNGAKLALWRPGMTSWCICSGGCISKFSDVAFYQGKFYILSNLTTNLYAFEITEDDRGMMVSRVEKCVSELPQVKDSYGQRWDLVEWHGKLLLIVRYIGGSEGWHNICKVSVFVMDVSTNPFGFTEINSLDGDCIFISPCSSMSFPACQYDGIEDDLVYFIDGYLYPIKNGPSPPFAKFVYNIRDCTLAPFAADILGDNFRGPDGRLMCPTWFFPSE >LPERR11G15200.4 pep chromosome:Lperr_V1.4:11:16063889:16065262:-1 gene:LPERR11G15200 transcript:LPERR11G15200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIISGDALLWGPWKDIPADMLGLVLRLMPCAIDRARVRSVCRSWRAAAAVQRPPPPFPMLLLSPFRFLSFSPNMMFAASRGIRVQKDVSIRWVGSCDDWLVGTRPSWECEEEEADGHFFLVNAFSRKTICLPKPCAFHFFDYFRKTLPIVNTSDSVDVIIYEREYSMRFRKVVLSAQPASGSMCIVAAISDQTLALWHPVMTSWCLCRTFGLIDRSADITFYQGRIYMASTHYRNSLCIVFFELQRVDGGVMVSYVEQCVTEPLPLVDGCWVVECNIVEWSGKLVLIIRYADRDGANRNIRKIGIYALDFSTNPHSFTEINSLDGDCLFISSCSSKSFPACQYDGAKGDVVYFVSNYPRSASVGPSLDVLAYNVRDATTKSFPVKVTKDDSGPSTNSLLWFFPPK >LPERR11G15210.1 pep chromosome:Lperr_V1.4:11:16056638:16057200:1 gene:LPERR11G15210 transcript:LPERR11G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIIESHRAGAEIAKGDEAAKKKSIEILSEIGLPKGLFPLDNMEEFGYNRANGFIWIVHRKKKDHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESYPGKITFKTGTGLSDSFDASAFELGM >LPERR11G15220.1 pep chromosome:Lperr_V1.4:11:16058292:16058720:1 gene:LPERR11G15220 transcript:LPERR11G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETHRAGAEVINGEATGKKRSSALLQELGLPKGLFPLDDIEEFGYNSASSFMWILHRKKKEHTFKKIKQTVSYATEITAFIEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDVSAFELDM >LPERR11G15230.1 pep chromosome:Lperr_V1.4:11:16065617:16068111:1 gene:LPERR11G15230 transcript:LPERR11G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLLRPTFCRSGNPWWACGGRKKTRPLSISATAAASPSEVDFAGSDTAGADDDAVASLKIKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGPVDLENDMDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSKDFDNIVEVELGAPWPLPPVELTATLAHKFEIVGTSGIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFKVTYLDGDTRITRGDRGELRVFVIS >LPERR11G15240.1 pep chromosome:Lperr_V1.4:11:16078260:16080153:1 gene:LPERR11G15240 transcript:LPERR11G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAAGGGEEEKGKVVVAATTTCFADFISKSNIDGDDGGGDAGRDYRRAEREEELKRRWIFSVLAMAGREVDAGVVDPDNKYYYKSSYVFKESSRDLRHIGNLPWHEFYSMHVTSPTALGPMDHWTHCSHKGHGCKNDPDTMLQTFDIKILPFTLDVTRPVEVYGIIAVRDDVDEYRRNYLFNRSRDNPVTITPAYDSLPLMSPARGMSVAEACLIETDIRIKLHEEDDDDDTRDLTIADACMDIEDHRCGLDCHAKDRIDGEFGTVLTHSMVIQSAVEATIQLDFKHLPSPPGDFRLRMTGYTARGLRRASTYVFAGEGREFDGIVLAAGKLEQRFVAAVKMGDTLELSFMEEGRQPMAFMSAKHDSEVKPYRFENGALVLVQVSWSVMELGP >LPERR11G15260.1 pep chromosome:Lperr_V1.4:11:16102293:16117883:1 gene:LPERR11G15260 transcript:LPERR11G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKPKNPSNKNWGSVSDGFSARVKAVTALLQADQEGDGVKAEGRRSAAELAGDWENSPLAQHVSGQVLAALGDAEAALVPLLRARDLAPGDLGIAVTVANTYAAAGEQIDLAAEECERALRLDDRVLVDPRLHAVVADPGKLLAKDKEERISIAKQQLREFLAGLGINKSWNDARDSFRARVKAVIGDLRAARKGAELKATELSRDAAKLAEVWPDSPLALHVSGHVRAALGEAEAALEHLLRAKDLAAGDLDIAFTLAKTYAGREQFDLAAEECERALRLGDVDLVEPGLHAVVVDPGKLLTKDKEVQISIVKQRLRELLADAWSKMAVPKARERWNDMEEEKRSSFLTMSFEGMKGPYLESAKPSEQCQMRYLTVAVDFVKDTGEWISCLCPQCKMVFLSADFLSHVEDDEISQAQELKESLSFVPDKISLDLGELQMLGTYLGLRIPGSRAVDAEQDTSDAVQYAFLQSLCVDKNVLRIADGSSNQDALFSWLSRPSRQDPVTSWSNMRQACLDKGTHVLNKLDITATALIEKVKLKRDTIEMDKPESYLRTKAKEDVEIMQLHAEVDKLKKKLVEVCTCDYREIILPAMKDYLWAALNGRTPVKVLQEDDKKGANGTPEGVDSTVSEELPGHNKANLESGSELHEADDKTDKVLQSQENLESSPRANENTLGSSENTSVGKDNSTSSPCDYSGSITGSANISSNEVTGTAHPNRDDELTLFATLLSLWHLKLFRDKYKKKAHLYPHFGVSGGDCMLCHVYHTFSAFTDKNAVKATTYLKSLSTSMIKFLKLTNVPSKEETNFALKFTEIIFNMVHASETAIRLSSDGKEGVQYKTTRFSHCPDNVCLSHSLFGMNKNAEEITYFLNLGASELQNIEMKSFAEVIKSVNKQFYCNAESNVHNRPSRFFTTAFVYPSENDVSGLLSSIAVPLDVSPVYEGLHSECNYSMVSAVFRADGRDICFAREDEKWRDIDLSTKDFNSWGEVLEEYSPSHLRPQIIFFERIDKEAGKGATRRTNRDWSDCDDAFREEADMAIVARMERGGEHGLWLATLLAHNYPDSSLAHTILAAWYIDHFNSLEDAEDWARIHLENAARLAPRCPQIAMLLIDVLISRGFFDKAAEVRDRALRVEDPTDPALNCPILSHNVAGIIGSSSIEVRIAHRRSIIRGPRAKIEKGMGAASPPESSFVMKGAPGSALLKRAGDRWNRKSEQERRDFMKVNYGEMVTYCCSRRLPEQHKRRLISMLSDARMFLYKGWSPYWICPFCPGSIYEDFMDFKKHIDKAHEVELLQSFCTSSIYLDVEDSERLMYEDHIKKGKELLTLVPERMSDSEKELLKSWSWEPTDGEDLAERTEILSKIKEVVFELIDLEVISLNLLYIMHKFIMNRVKPVAPSVVFMCGSCGIGQLSSTHLQDLYDLLKRLTLTRRGCKHQKRYHDEQERQQDSPIEITWSQDTLSFDCGKIASRETDGLSQSDELFACLLSQNWLEDPMESCFCMWNNGPDILNRMIRALSKLKLKCSSCEELKGIQGGVYFRHKDIFKREINIKPYFEAAIGSAQVEMLLIDSEVEYWKKRFLETCKVDYLAVISPIAKACLWERLIYTPGDALIACPQNSHELHVPLDAIFLSLWHIRRFRDDLQKIPCICTDVIASVYHIILLRIFHSLDHVKECKTCDPSYSVIPMVDSLRSFVIDEQAGNITADRVVKSILERLHMAQTPLHFEFKGEPSGPQTSIVPSFVGCICPAHNLFGLHIEKKCKCVNEIPTKTESTFFHCINLGAVEGAKLESFSELLKAVNNQLLCDPKIGGCGDRIVQYLSYPPHMFMIVFRGRNNKGSCINMHEVLISLAAKLDISHIYEGLQSESMYNLVSAVCCDGEGQYRCFARDEARWLIYGSKTVKSAESWEKLIDGYSQANLRPEILCFEHEKDK >LPERR11G15260.2 pep chromosome:Lperr_V1.4:11:16102293:16117883:1 gene:LPERR11G15260 transcript:LPERR11G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKPKNPSNKNWGSVSDGFSARVKAVTALLQADQEGDGVKAEGRRSAAELAGDWENSPLAQHVSGQVLAALGDAEAALVPLLRARDLAPGDLGIAVTVANTYAAAGEQIDLAAEECERALRLDDRVLVDPRLHAVVADPGKLLAKDKEERISIAKQQLREFLAGLGINKSWNDARDSFRARVKAVIGDLRAARKGAELKATELSRDAAKLAEVWPDSPLALHVSGHVRAALGEAEAALEHLLRAKDLAAGDLDIAFTLAKTYAGREQFDLAAEECERALRLGDVDLVEPGLHAVVVDPGKLLTKDKEVQISIVKQRLRELLADAWSKMAVPKARERWNDMEEEKRSSFLTMSFEGMKGPYLESAKPSEQCQMRYLTVAVDFVKDTGEWISCLCPQCKMVFLSADFLSHVEDDEISQAQELKESLSFVPDKISLDLGELQMLGTYLGLRIPGSRAVDAEQDTSDAVQYAFLQSLCVDKNVLRIADGSSNQDALFSWLSRPSRQDPVTSWSNMRQACLDKGTHVLNKLDITATALIEKVKLKRDTIEMDKPESYLRTKAKEDVEIMQLHAEVDKLKKKLVEVCTCDYREIILPAMKDYLWAALNGRTPVKVLQEDDKKGANGTPEGVDSTVSEELPGHNKANLESGSELHEADDKTDKVLQSQENLESSPRANENTLGSSENTSVGKDNSTSSPCDYSGSITGSANISSNEVTGTAHPNRDDELTLFATLLSLWHLKLFRDKYKKKAHLYPHFGVSGGDCMLCHVYHTFSAFTDKNAVKATTYLKSLSTSMIKFLKLTNVPSKEETNFALKFTEIIFNMVHASETAIRLSSDGKEGVQYKTTRFSHCPDNVCLSHSLFGMNKNAEEITYFLNLGASELQNIEMKSFAEVIKSVNKQFYCNAESNVHNRPSRFFTTAFVYPSENDVSGLLSSIAVPLDVSPVYEGLHSECNYSMVSADIDLSTKDFNSWGEVLEEYSPSHLRPQIIFFERIDKEAGKGATRRTNRDWSDCDDAFREEADMAIVARMERGGEHGLWLATLLAHNYPDSSLAHTILAAWYIDHFNSLEDAEDWARIHLENAARLAPRCPQIAMLLIDVLISRGFFDKAAEVRDRALRVEDPTDPALNCPILSHNVAGIIGSSSIEVRIAHRRSIIRGPRAKIEKGMGAASPPESSFVMKGAPGSALLKRAGDRWNRKSEQERRDFMKVNYGEMVTYCCSRRLPEQHKRRLISMLSDARMFLYKGWSPYWICPFCPGSIYEDFMDFKKHIDKAHEVELLQSFCTSSIYLDVEDSERLMYEDHIKKGKELLTLVPERMSDSEKELLKSWSWEPTDGEDLAERTEILSKIKEVVFELIDLEVISLNLLYIMHKFIMNRVKPVAPSVVFMCGSCGIGQLSSTHLQDLYDLLKRLTLTRRGCKHQKRYHDEQERQQDSPIEITWSQDTLSFDCGKIASRETDGLSQSDELFACLLSQNWLEDPMESCFCMWNNGPDILNRMIRALSKLKLKCSSCEELKGIQGGVYFRHKDIFKREINIKPYFEAAIGSAQVEMLLIDSEVEYWKKRFLETCKVDYLAVISPIAKACLWERLIYTPGDALIACPQNSHELHVPLDAIFLSLWHIRRFRDDLQKIPCICTDVIASVYHIILLRIFHSLDHVKECKTCDPSYSVIPMVDSLRSFVIDEQAGNITADRVVKSILERLHMAQTPLHFEFKGEPSGPQTSIVPSFVGCICPAHNLFGLHIEKKCKCVNEIPTKTESTFFHCINLGAVEGAKLESFSELLKAVNNQLLCDPKIGGCGDRIVQYLSYPPHMFMIVFRGRNNKGSCINMHEVLISLAAKLDISHIYEGLQSESMYNLVSAVCCDGEGQYRCFARDEARWLIYGSKTVKSAESWEKLIDGYSQANLRPEILCFEHEKDK >LPERR11G15270.1 pep chromosome:Lperr_V1.4:11:16118802:16136924:1 gene:LPERR11G15270 transcript:LPERR11G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGKDKDVRKGATAALMRDLDGNHEDALSRAVKLARANPGSALALRLAGDLHHEAALRARKVAAGTASAAARKAEREASAHLASARDALSKARRLAPDCVDVASAYADVLASSSMLQDAEVEYRSALAIPLPVDPALNNAAYWFHGRVERTTVNARVALARDTADIGYGRLKNRMIDASIAGMLKSAADLAAADEVTIKAERDAMLVIRKNARAIVLEFPGSARAHYFHGYTDLKLTRLLDESIDKRSLLRRSTLAIADRAAEKFPNSAVQASFRAKLLYILGEYDAAESECRRGLAMKNPDDPADDCIPPGAIGGLNHGARLVTLASEFHEVINKILWAADAYWNSMTQEKRREFLSVRFDALQEDYNKVDSSSSFNVSDVPSLGEKHKSYRFWVCPLCDCNSKKHTDTVSLLSHMCSKHQRAVLPRLQSVLDQKLDCSAFEGDETSCDMVTFSKDSDQQDIVCFKERDRMFRWLFDKPSSGVKTSSLAQLIETKCRRGAILLDHIKGKLKNLSTDKHSAEFAEALPGIQELWISFVKESAIDYRGVILAIGRSLLWRKLKKCMSEDPEFGAKRITAADIDEMLAIVGFDSGSSAAEAKTQAHMSSHSDEAQQRNEDRQESDVYAETRSSGTTVNMKSQYPPTNMDENGNNLDEQLEKLEIDPNSARPSSTSQPTKIENGAHNTSGSSGQFSEETTNTNIYQKGVDILNQTSEVCEDIFFLHLIIQAMWNLRPFRNSLLNRPPVNFQSSHDGSCIADIFYDIFSAWEKNDHQRTFYSLTSLKTNLCQIAEDINIFQKLRAGRSFSSEILAIVLKGLHMSEASLHFYFDDKIQGQVIKSFADLLYAMNEQLCDQEECQSCGNLKNIDHFLLNTPDFFSIVLNCTDSSESHVSLSKLLVGCKSPPDITLTTKYTLASMICCSDGQYVCISRDQNKWLIYDIRTLEAEDSWERLVQRFADSELRPEVIFFEMGSTEMLCMMLNTDAGNAAEIMRMHHEGRFTEAINRAVKLGLKNQGSTLALNLIGTLHQIAYTACSVISCREEGGFGRKEGSAEAKHKRSALAAFSNAAWLAPNCVDIAVSHSEMLAEHERCEEAQAELLRALQISDPDDPAEHDVGCDVYDGETKTRAERVLKARVRCHHAMDRLETLIRGQFIPGESVKVLEGIELGGDAAAEARARAKHLAVTFPWSPRAQLLRVYVDLQRVRGFDAAIDKRRFLQRTLGMVHDVAETFHCSLVIALFRARLLFVLDQYDDVERECNGALEIDNPDDPSADDLPPGSVSGAEYGDRVTFVKNQLRTLMKKMIFAAGIYSRILTHEEEDSLMSVRVKSLTEHCNATDKSSAKIISDALRFFKGNNSWSFWICPLSSRCDGRKFVDTSSLWKHLCGKHPEASWEKLQSVLGPKLSDNTPEGDSSLEWLTFGQDSDQHDIFRLIKMDDMFNSLIRLAAGGLEPDFVEMRKQKCREGAEILEGIKKRLRTMPADLSSSQSKLKERMAGDPNIVGHIDASKIDPIFDDAPSAHGRNFSIRHNSIPSNGHKMGTTSRQNLKPSCSNGTLKVDEGHQESEVCVEDGKSGAKAHQKLSCPPMDVEGTEMEIAKVLANMERNLGLEVTYGQSGEEMANTTSYESVDILNKENTDKDLFILHAIIQSLWNLRYLRDEFLMGTPAWILNINDNYCIAELIHGIFSAWSKNEHDGVAVLLASVKASLCEIANENMFQKLQAGKNLASKVVATILQGLHISEASLHFCFNSEIGGRVVSPISCGDCICRTHNLFGIMCGKSFDEKEHTTIFYRLDAGSPQTITIKSFAEVPVLYDDQLCFEDNCEYCGNPKNVDVSLSNTPHFFTIGLDWFGGSENQGQLTELLVGSAHPIDIKLLCKGVHSSINYSLASMISYADGRYVCFARDQDKWLICDAQTIEVVDSWEQLLDRFRDCKLRPEVLFFEVIK >LPERR11G15270.2 pep chromosome:Lperr_V1.4:11:16118802:16136924:1 gene:LPERR11G15270 transcript:LPERR11G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGKDKDVRKGATAALMRDLDGNHEDALSRAVKLARANPGSALALRLAGDLHHEAALRARKVAAGTASAAARKAEREASAHLASARDALSKARRLAPDCVDVASAYADVLASSSMLQDAEVEYRSALAIPLPVDPALNNAAYWFHGRVERTTVNARVALARDTADIGYGRLKNRMIDASIAGMLKSAADLAAADEVTIKAERDAMLVIRKNARAIVLEFPGSARAHYFHGYTDLKLTRLLDESIDKRSLLRRSTLAIADRAAEKFPNSAVQASFRAKLLYILGEYDAAESECRRGLAMKNPDDPADDCIPPGAIGGLNHGARLVTLASEFHEVINKILWAADAYWNSMTQEKRREFLSVRFDALQEDYNKVDSSSSFNVSDVPSLGEKHKSYRFWVCPLCDCNSKKHTDTVSLLSHMCSKHQRAVLPRLQSVLDQKLDCSAFEGDETSCDMVTFSKDSDQQDIVCFKERDRMFRWLFDKPSSGVKTSSLAQLIETKCRRGAILLDHIKGKLKNLSTDKHSAEFAEALPGIQELWISFVKESAIDYRGVILAIGRSLLWRKLKKCMSEDPEFGAKRITAADIDEMLAIVGFDSGSSAAEAKTQAHMSSHSDEAQQRNEDRQESDVYAETRSSGTTVNMKSQYPPTNMDENGNNLDEQLEKLEIDPNSARPSSTSQPTKIENGAHNTSGSSGQFSEETTNTNIYQKGVDILNQTSEVCEDIFFLHLIIQAMWNLRPFRNSLLNRPPVNFQSSHDGSCIADIFYDIFSAWEKNDHQRTFYSLTSLKTNLCQIAEDINIFQKLRAGRSFSSEILAIVLKGLHMSEASLHFYFDDKIQGQVIKSFADLLYAMNEQLCDQEECQSCGNLKNIDHFLLNTPDFFSIVLNCTDSSESHVSLSKLLVGCKSPPDITLTTKYTLASMICCSDGQYVCISRDQNKWLIYDIRTLEAEDSWERLVQRFADSELRPEVIFFEMGSTEMLCMMLNTDAGNAAEIMRMHHEGRFTEAINRAVKLGLKNQGSTLALNLIGTLHQIAYTACSVISCREEGGFGRKEGSAEAKHKRSALAAFSNAAWLAPNCVDIAVSHSEMLAEHERCEEAQAELLRALQISDPDDPAEHDVGCDVYDGETKTRAERVLKARVRCHHAMDRLETLIRGQFIPGESVKVLEGIELGGDAAAEARARAKHLAVTFPWSPRAQLLRVYVDLQRVRGFDAAIDKRRFLQRTLGMVHDVAETFHCSLVIALFRARLLFVLDQYDDVERECNGALEIDNPDDPSADDLPPGSVSGAEYGDRVTFVKNQLRTLMKKMIFAAGIYSRILTHEEEDSLMSVRVKSLTEHCNATDKSSAKIISDALRFFKGNNSWSFWICPLSSRCDGRKFVDTSSLWKHLCGKHPEASWEKLQSVLGPKLSDNTPEGDSSLEWLTFGQDSDQHDIFRLIKMDDMFNSLIRLAAGGLEPDFVEMRKQKCREGAEILEGIKKRLRTMPADLSSSQSKLKERMAGDPNIVGHIDASKIDPIFDDAPSAHGRNFSIRHNSIPSNGHKMGTTSRQNLKPSCSNGTLKVDEGHQESEVCVEDGKSGAKAHQKLSCPPMDVEGTEMEIAKVLANMERNLGLEVTYGQSGEEMANTTSYESVDILNKENTDKDLFILHAIIQSLWNLRYLRDEFLMGTPAWILNINDNYCIAELIHGIFSAWSKNEHDGVAVLLASVKASLCEIANENMFQKLQAGKNLASKVVATILQGLHISEASLHFCFNSEIGGRVIKSFAEVPVLYDDQLCFEDNCEYCGNPKNVDVSLSNTPHFFTIGLDWFGGSENQGQLTELLVGSAHPIDIKLLCKGVHSSINYSLASMISYADGRYVCFARDQDKWLICDAQTIEVVDSWEQLLDRFRDCKLRPEVLFFEVIK >LPERR11G15280.1 pep chromosome:Lperr_V1.4:11:16143413:16154905:1 gene:LPERR11G15280 transcript:LPERR11G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTARRDAASPAVRKQVARIMETHNEGESKEALVHSAALVRRNKRSALVLNLAGLLHQLTYTSYKRLFGKDAFWSYAEISSEKAKHMASALIAFAAAARLAPDCIDTAVSHSEMLVEAERFADAHAELVRAIQIPNPVDPVEHNVGYTYTRESSLGERIRIARARAHESMERFVALIRDQIVPRESVRVLDGIKRGGDDAAAAHAAAKDLATAYPFSARAQLLRAHADLERVRGFDAAIDKRRFLHRTLDMVDDAAKTFHRSLVIALFRAKLFFVLDQFDNAGYECIRALGVEIPDDPKEQDLPPGSVSAAEYDDRVALVRRQLYLLIRKIVSTAEVYSRRLTSEVVDSLLTVNVKALIEHCNTADKSSAKTISDALRFFKGGNSWSFWICPLSSRCDGRKFADTSSFWSHICNKHPEGAWEKLQSVLGPKLSANTSEGDSSMEWLTFSQDSDQHDIFRLRNMHDLFDSLFRRATGRIEPDLVQMRTEKCREGAEILEGIKRRLETLPADISSSQGELKKCMAGDPNIVGHLSAKKIDLIFYDAPSSNISVGHDSSPSNAKKVGTIREHNMKRSLSNKTLKAEENHQKSEVCVQNGISGAKVNRKLPDPPMDVEGREIAIAEIPANMEQNLGLEGGITPATSFPHTVTYGQSAEEMASTTSYQNVDVLNKENTDKSLFTFHSIIQSLWNLRCFRDGILRATPAWTLNNDGSDCSTDLIYRGFSAWEKNDHDSAAFSLAVHCKIAKDDMFQKCYFIFHVYFSDLTRVNPANKFELQSRKNFASEVVETILQGLHISGTSLHFEFNNDIEGRVIKSFVDLPVLYDEQLCFEDNCVHCGGLKSVDVSPLDTSHFFTIGLDWSGGSENQVHLSEVLVDIAHPLDIKLLCKGLHSSSNYSLASMVSYANGRYICFARDQDKWLICDAQTVEKLALIPFTSPRLQLSIYPPPPSRLHFREHLAASVSLLAAAFSRTPRSRPVRAMGRGSRATQRKEASAAELRRIDEARALRHEAVAALRMDHEGLHDEAIAIADELAARNPDSAVAAHLAAQLHHDATSRVAALLDRTTKLDDDHRPVTTANHLDIARGHYVRAARLASNCVEIANRLATVRFACRGDDDDAADLEIRRAITIPFPTDPAENNVAYDLASTTTTTSAKERIANAKARAIERHHQILAFVINKTLPRAVRDVLDLAADRDGAARAIKPAKALAVRYGYFARAHFAHAHVSIQFARGLAAAIDKRPFLNRVLGELNRAASQFATSLVLAMLRAKLLFLLDEYVAVEGECNRALFMDGAADPGDEDVPPGSVTGENSEDRENSVRVELGFLLQKIVIEAKDYCCSLPVEKQGRFLFVGFNSMRQHYVENYEAKHEAAKTISDALSFVKKNRSWRFWICPYCVGKKIPDTDSLLQHMSNKHPEGFVWTTLQLVLDPKSISDTCQIDYDYANFLDDVTVSEDSEEDYIFHFKRMDHLFTFLFRRASGKVDYKTLSEIREIKCREGVEILEKLKLKLKNVPTDISTTEFNAARAEIQELWDNFLVISVLDYRVVIAPLAITFVSDQLLDCMSIDKKAASKSIDAADIDALFPKVDVALDIDAIFPNSLWYLRFFTVEFLREQSVWKIFYALAKSEHDSG >LPERR11G15290.1 pep chromosome:Lperr_V1.4:11:16160158:16161897:1 gene:LPERR11G15290 transcript:LPERR11G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVEMSCKCGKSFDEKKHTTIFYRPDAGSPQTTKIKSFAELPVLYDGHSFFEDNCENCGCPKNIDVSSSDTHHFFTIGLDWSSGCENQVQLFEILVGIAHPLDIKLLYKGVHSLANYSLASMVFCKVFYATSPACLILVPYFQNSADFLCRWALDQDKWLICDAHTVEALDSWEQLHERFRDTLQPEVLFFEVIK >LPERR11G15300.1 pep chromosome:Lperr_V1.4:11:16168973:16170448:-1 gene:LPERR11G15300 transcript:LPERR11G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGACNNGSCNGNGVAARPHVAMLVTPGMGHLIPLAELAKRLARARHGGVTSTLITFASTASPTQREFLASLPSAIESVSLPPVDLSDLPSDAAIETRMSEECARSTPSLTALLSRLATTRRLVAFVADLFGADAFDAARDAGVARRCLFFPTNLHALTLLLHLPDLDATIPGEFRDLAEPVALPGCVPIPGKDILAPLQDKSKACYRWMVHHGEKYCDAETILVNSFDAVEPDAAAALRHPKPGRPPVFPIGPLIQTHEEDASVTTMPPSPRAACMDWLDRQPEKSVVFVSFGSGGALPTEHMRELALGLEMSGQRFLWVVRSPSDEDGEVNANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHKATGGFLTHCGWNSVLESLVHGVPMVAWPLYAEQRQNAVMLTEGVGAAIRVPEAKGKEKIAVVVREVMVGEGRGAAVRDKVAELQKKALAGLRDGGAATSALDEVVAKWIGEEK >LPERR11G15310.1 pep chromosome:Lperr_V1.4:11:16187659:16193378:1 gene:LPERR11G15310 transcript:LPERR11G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRTSWADVADAEPPNPFGNDADAALVGGGGGGETEVFDAHQNTGINFEAYEDIPVETSGREVPPPVATFAEIDLGQALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGTRTACPLALILSPTRELSMQIHDEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADALEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSFGGGGGRSRRSGGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAAHVSCFFYLAMSAEIDGVMVPLISATPEYSSAGLQRGSDLQSGCDVDSFHCVGTDQGPRSRPLHPSLSLCEDGENTQQRNRAAIATTKQRESKEHKSSENSKHRKYKNANHKRHDKAQSRETIGVSILGYVCFKLLHMVEGIANARTGGGEDLQGYKSFKWGTRSGT >LPERR11G15310.2 pep chromosome:Lperr_V1.4:11:16187659:16192305:1 gene:LPERR11G15310 transcript:LPERR11G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRTSWADVADAEPPNPFGNDADAALVGGGGGGETEVFDAHQNTGINFEAYEDIPVETSGREVPPPVATFAEIDLGQALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGTRTACPLALILSPTRELSMQIHDEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADALEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSFGGGGGRSRRSGGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >LPERR11G15310.3 pep chromosome:Lperr_V1.4:11:16192332:16193378:1 gene:LPERR11G15310 transcript:LPERR11G15310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDGVMVPLISATPEYSSAGLQRGSDLQSGCDVDSFHCVGTDQGPRSRPLHPSLSLCEDGENTQQRNRAAIATTKQRESKEHKSSENSKHRKYKNANHKRHDKAQSRETIGVSILGYVCFKLLHMVEGIANARTGGGEDLQGYKSFKWGTRSGT >LPERR11G15320.1 pep chromosome:Lperr_V1.4:11:16249151:16249860:-1 gene:LPERR11G15320 transcript:LPERR11G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRINNAEAQQVCFSKHRPSVFKKASELYTLCGAEVAMLVKSSGGNLFSFGAPSSCKTNCRIKMKKMKSLQEAFKQEADGNVMAWLDAKVEEICKEDPEEFNRILVSLKDMIRGRTNQLFNNYAMYSNIMRLQHCVTTLPNQQVLNSEDVKPVIHHVPISSIGWNLGMDVNPNSSVAHVDEIKRHFP >LPERR11G15330.1 pep chromosome:Lperr_V1.4:11:16260087:16260662:-1 gene:LPERR11G15330 transcript:LPERR11G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPATAGCLFELEFQEPVCLIILTMFTWFISKLQIILPNSCQHFDSPATKAASATVLVDREIPKTLKKHKDDGIEMTHEDVDSVMRKMGLDFDQQITMDYKSIGLNCMSELFGDDEPSLHEVKQAFLVFDEDNDGYIDAFDLYRVLKNLGLREGVEVDECDQMIARYDRNEDRRIDMVEFIRVLEASFC >LPERR11G15340.1 pep chromosome:Lperr_V1.4:11:16262726:16264510:1 gene:LPERR11G15340 transcript:LPERR11G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPAVHLAVVLLAFCCLIHASSAEIPLPPAPRVMQQFEATPVSSRADDQVTVIAGETEAGDINGRMELELTDYPGSGANDRHSPWGQERRN >LPERR11G15340.2 pep chromosome:Lperr_V1.4:11:16263064:16264510:1 gene:LPERR11G15340 transcript:LPERR11G15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPAVHLAVVLLAFCCLIHASSAEIPLPPAPRVMQQFEATPVSSRADDQVTVIAGETEAGDINGRMELELTDYPGSGANDRHSPWGQERRN >LPERR11G15350.1 pep chromosome:Lperr_V1.4:11:16265273:16271442:1 gene:LPERR11G15350 transcript:LPERR11G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGKDEGVAEKPSEAVKSSGVAAAAAAASTDVDTVEEGSGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHQQYKPGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNDGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAALAAAEVAFILQSAQGRALQFTIAPDSPATPQHEPQQQQ >LPERR11G15360.1 pep chromosome:Lperr_V1.4:11:16275748:16280216:1 gene:LPERR11G15360 transcript:LPERR11G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTASSSQIALTLGPLGLNLLIPTPTSTTTTSDDDLDASSSSLPVLRLTGAVQHYDWGRPPSSTSSSLVARLAGAAATDTRPYAEFWMGTHPSAPSSVAASGESLREWLTRRGPSAILGKGVAARWGGDLPFLFKVLSVAKALSIQAHPDEDLAAALHALRPSTYRDANHKPEMALAVSEFRALCGFVSVQELKDVLRTVPEVQELVGKEEAAKLMGAKEHDEGIGVRSYLQSAFTKLMTASKETVSEAVLKLKSRLNIESKVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNFPEILRGVSVQEHVTRYTPPSEEFEVDRCLLPSGKSVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILGSGPGCMQLYRAGVNSRFFS >LPERR11G15370.1 pep chromosome:Lperr_V1.4:11:16326659:16326937:1 gene:LPERR11G15370 transcript:LPERR11G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLASHSCRSTATGLVASIRHASCADGGFESTASERRSTVTGSTQAAGEGGSTVTGSMATAHKRRLTVTRLNVGGSRAEIDGDEAQRQRL >LPERR11G15380.1 pep chromosome:Lperr_V1.4:11:16344563:16351327:1 gene:LPERR11G15380 transcript:LPERR11G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVLLLILSAFRPFAAGQTSSCDSTGGGSYSKNSGYQRNVNLLSASLSKNASSSTMPSHFAMVSVGAAADTAYGIAQCRGDIVDDSACADCLSTAFADAQRLCPYNKRVVIFFDTCRIGFTNQDIVSGDDGGVNLSMMSRANLVMYRNMGNITDNADKVTLVTGFIKQLVQETGKMAAFNSTMMYATGRMDISSTFPASRFPTLYSLAQCTPNLLPRDCWDCLQGINDLMKEYFEGRQGGQVLGLLCSFRYEAYLFYAGQPMRRFGSLPPPVTSNMTPSPEPVAHVVPTPRKHERRMRNVLVVVTTVVAAIVSFVSFMCWFRWMRKHRKGKVSLQKNNMDVHKDEALDWGIEERTSGFTFFDFSQVLNATNDFSEENKLGEGGFGPVYKVRHCPRSCNKVADSLAKFGASSVSSGSHEFVSHVPDFVSNLVSGDLPGGWFPDGSEIAVKRLSSHSGQGFTEFKNEIHLIAKLQHTNLVRLLGCCSQGEEKILIYEYLPNKSLDFFIFGNKLITSTANSRGATLNWIKRLAIVEGIAQGLLYLHKHSRLHIIHRDLKASNVLLDSEMNPKISDFGLARIFNSKDTELNTKRIVGTGYMAPEYASEGLFSVKSDIFSFGVLILEIVSGKRNSGFHRCGNFFTLLGYAWQLWKEERWLELVDDSLATDSHASFQMMRCINIALLCVQENAADRPNTSDVVVMLSSESMTMPEPKHPTYFHISVAKEETAAEPSSVNSVTMSVLRGR >LPERR11G15390.1 pep chromosome:Lperr_V1.4:11:16369485:16374718:1 gene:LPERR11G15390 transcript:LPERR11G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQRSPLAMVLAVGLCCFFYLLGAWHRSGYGKGDSIAMAINHQAACSSSSTTTSLTFDTHHSNDTAAGEEEEYQFPPCPASMADYTPCHDQERAMKFPRANMVYRERHCPDSGDGERRMRCLVPAPAGYRTPFAWPRSRDYVPFANAPYKSLTVEKAVQNWVQREGESLLRFPGGGTQFPGGADKYIDRLSAVVPFADGSVRTVLDTGCGVASLGAYLDGRGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWASNDGMYMMEIDRVLRPGGYWVLSGPPINWKANHKAWERTESDLAAEQQKIEEYAAMLCWEKLAELNDIAIWRKTPPNSSPSSSSCSPQSPPPPTCSNSNSNSNPNDVWYKNMEACVTPAVSGSEGELRPFPERMTAVPPRVAGGEVAGMTVEAYEEENRRWEKHVGGYKKVNYRLRAGRYRNILDMNAGVGGFAAAVFSPKSWVMNVVPTVAELSTLGVVYERGFIGMYHDWCEAFSTYPRSYDLIHGNGVFTLYKDKCKMEDILLEMDRILRPEGTVILRDDVEILLKVKRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTAGDKNSEPESEEKKTGGSSEEKSSEEKTASSSEEKGSEE >LPERR11G15400.1 pep chromosome:Lperr_V1.4:11:16374227:16378543:-1 gene:LPERR11G15400 transcript:LPERR11G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGSVWASPSIRRRRHVSPGSHKCADPALRERFRLCRGGRPALTSVLGSDPRKDLGVQVNPHAQREVGQLLWNQNLSMVADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSSMLEPERQNSGKATVLGDAARVLRDLLSQVESLRKEQSALLTERQYVGSEKNELQEENIMLRAQILELHNELCARMGNNNLNQSNLAMSQPVANNGNNSATRPVPHHIWSNGPNLSNMAMTHQTNTLSPLHNQHHQSTDVSPVHASRPQELQLFPGTSVSTEREQYRVGSTPATSSGLTDSLSGQLRLSLLQSSQEESSGGSKKGRKKG >LPERR11G15410.1 pep chromosome:Lperr_V1.4:11:16382668:16391280:1 gene:LPERR11G15410 transcript:LPERR11G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASVIQWLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLAALDKFIEVNGPEALKKLANKGGKSSKQSDSKSSKDSKSSKGSKDSKSSKESKETKPSKDVKFPKFSKESKPSKDSKEDKKAVKDSKEQKKAQKDSKELKKALKDSKEQGSLKDSDELKQKSNKRVTFAEVEPEKELKASNSDWHPTSDYHSVYPEHNSWSSSFMIFDDENSYWN >LPERR11G15410.2 pep chromosome:Lperr_V1.4:11:16382668:16388600:1 gene:LPERR11G15410 transcript:LPERR11G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASVIQWLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLAALDKFIEVNGPEALKKLANKGGKSSKQSDSKSSKDSKSSKGSKDSKSSKESKETKPSKDVKFPKFSKESKPSKDSKEDKKAVKDSKEQKKAQKDSKELKKALKDSKEQGSLKDSDELKQKSNKRVTFAEVEPEKELKASNSDWHPTSDYHSVYPEHNSWSSSFMIFDDENSQKCSSV >LPERR11G15410.3 pep chromosome:Lperr_V1.4:11:16382668:16391280:1 gene:LPERR11G15410 transcript:LPERR11G15410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASVIQWLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLAALDKFIEVNGPEALKKLANKGGKSSKQSDSKSSKDSKSSKGSKDSKSSKESKETKPSKDVKFPKFSKESKPSKDSKEDKKAVKDSKEQKKAQKDSKELKKALKDSKEQGSLKDSDELKQKSNKRVTFAEVEPEKELKASNSDWHPTSDYHSVYPEHNSWSSSFMIFDDENRFPPHSFRRRRSNQLAAARERRCRGDDPQLRSVPCDRVLRMDLPGPRWKKGKDGQDFSALAAANPMSTIVAELKASFISSKPVAILSGPGRGAILGVEPEQAKILNCAAFGHAIENADAQKHWFQLSPEEVFYICHALNCIRVESQDKKQMCEKQLWDHFRSMSESFPEMYKAYSHLRSKNWVVKSGLQYGADYVSYRHHPALVHSEFTVIVVPEGTAFGNRCGRLEVWSDLLCSLRASGSVAKTLLVLTISSGSSCELSSLDCLEQLVVHERTITRWIPQQCREQQRETSRDEANREELISKEDSA >LPERR11G15420.1 pep chromosome:Lperr_V1.4:11:16391880:16400795:1 gene:LPERR11G15420 transcript:LPERR11G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNFENGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDALSSSTPRRRIRLLPSKNRSFPQSAAAATRERRCAGDDGPHGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >LPERR11G15420.2 pep chromosome:Lperr_V1.4:11:16391880:16400795:1 gene:LPERR11G15420 transcript:LPERR11G15420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDALSSSTPRRRIRLLPSKNRSFPQSAAAATRERRCAGDDGPHGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >LPERR11G15420.3 pep chromosome:Lperr_V1.4:11:16391880:16400311:1 gene:LPERR11G15420 transcript:LPERR11G15420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDG >LPERR11G15420.4 pep chromosome:Lperr_V1.4:11:16391880:16400795:1 gene:LPERR11G15420 transcript:LPERR11G15420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >LPERR11G15430.1 pep chromosome:Lperr_V1.4:11:16408332:16410327:-1 gene:LPERR11G15430 transcript:LPERR11G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAGRREVFEAWLAMLGEQRMKFSLESASSSSSAPASAPSEEVVSRSRKLAVESLAVAAAVVEKGEEVEVEEELLNRPSKKNKVDGSSGNPKTEVWDSEMQDADQNGEANTSELIGVIGRELAITCLLHTPRSYYGIVACLNRSFRNLMRSGQLYRLRREARIVEHMIYCSCNVLEWEGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLKYSLLSNSWTTGEMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAQTWTTLPSMNKARKMCSGFFMDGKFYIIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKQVRRYDKVNNTWITLGSLPEKYTSVHGWGLAFRACGDKLIVIGGMSAAGGGVIEICSWIPNNGQPEWNIIASRRSGSFVYNCAVMGC >LPERR11G15440.1 pep chromosome:Lperr_V1.4:11:16421808:16426650:1 gene:LPERR11G15440 transcript:LPERR11G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGRAVSAAAVAVLLLLAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRSAPMNDEQQKEAEKSVVGEFSTVKHVRGILSMGRHSDPNSASSSFSILLGDAPHLDGQYAVFGRVTKGDDTLRKLEQLPTHREGIFVMPVERIDILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRSKCFA >LPERR11G15440.2 pep chromosome:Lperr_V1.4:11:16421808:16426691:1 gene:LPERR11G15440 transcript:LPERR11G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGRAVSAAAVAVLLLLAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRSAPMNDEQQKEAEKSVVGEFSTVKHVRGILSMGRHSDPNSASSSFSILLGDAPHLDGQYAVFGRVTKGDDTLRKLEQLPTHREGIFVMPVERIDILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRSKCFA >LPERR11G15450.1 pep chromosome:Lperr_V1.4:11:16431604:16434457:1 gene:LPERR11G15450 transcript:LPERR11G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRTSAAATDEQIAELISKLQAVLPTGNDDANGKETSAGDVLQEACRYIRSLHREADALSEKLAELLLQPSDRAINGADASDLIRTLLLM >LPERR11G15460.1 pep chromosome:Lperr_V1.4:11:16435332:16436546:-1 gene:LPERR11G15460 transcript:LPERR11G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKEQGDQQRRRTTVPAFGEWDEMKAAGVMPDYSLDFSKIRALRMQQRNDHGPLAAAAAGEVETAGRRSSSAADVDADSDRRRRRHHHHHRRQHSDGTDLRRPLRHDRAAPKERSKLSYLFCCIAG >LPERR11G15470.1 pep chromosome:Lperr_V1.4:11:16439757:16446855:1 gene:LPERR11G15470 transcript:LPERR11G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMELLSSKLLRSSPFLPSPSPLPSPSSRRLRRLPIHCRLTTTSTTTTTTQESQSAAAAAAVDDLSSLLSTSGDPSSTSTSTKKKRSSSGASSIPSGVRLENISKSYKGVTVLKDVTWEVQKGEKVGLVGVNGAGKTTQLRIIAGLEDADGGEVIKAKDNMKIAFLSQEFEVCASRTVREEFFAAFEEEMEVRTRLERVQAALEAATEDMDLMGRLLDELDLLQRRSQDVDLDMVEVKIQRLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAITVETQYAAWEKQQKEIEQTKELINRLGAGVNSGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLTIRNLEFGFGEKKLFDNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKVARKKQKMQAFQQSKQKSKGLKNAKRWK >LPERR11G15480.1 pep chromosome:Lperr_V1.4:11:16444631:16451158:-1 gene:LPERR11G15480 transcript:LPERR11G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWSPGHQINCRELEACFAATQNKDLFQVVSEMRPVGNGADVGGGNLMKKQSLVVWEQAKELWGEWEIHCLVLTSLFLQVFLFLMADMRRRNASRILRTVLWLAYLLADTVAIFVLGHLAVNISGASHELMFFWAPFMLVHLGGQDTITAFSKQDNELWTRHLLSLVSQVAVAGYVVSKSSWLDGRLKAAMVLMFICGSFKYAERTYCLYSASPESLRAASIHSLSFSLGLMDMAKGVTHFNEDIRRYARVVMEERFSMMLSGTPGKTTGGMILPEDDVVTNIMSAEVPLNSVAVILAVDDLPSMLADFKNSPHQYHMAYGYVAAHLVHSYQQLYTKKPLRDTFYSILSACLRLETFDSYGVVYLISTVFQYLLAPIALVLFTAAEKDGHHSRADITVSYILLVGAIALDLVSIFVSIISYRGRKKKQWSEELAQYSMIKRHTMQDTRGMFSSIQQWIGKQFGAWGIDLLDFTPVTPNLMELVISKLLWFQSNKQEWGFASFYGEQTLRNWMESNQVPESKRDKGSNIEPDDIKKKMSIELSHYIMYLVFKCGVMLTSNSRFVHHKVHFEIIGILSTHVNLKEEEALHEVYGACMDREQVSRMTPNSDINVSSELQKLLQSTMEALYSPVLPRACAVAQELIQITTGEADRWNLISDMWLEMLFYIAPRCGGAFHYEHLSTGGEFITHVLLLMRLLGPFLPLPDASNAAS >LPERR11G15480.2 pep chromosome:Lperr_V1.4:11:16444631:16451158:-1 gene:LPERR11G15480 transcript:LPERR11G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWSPGHQINCRELEACFAATQNKDLFQVVSEMRPVGNGADVGGGNLMKKQSLVVWEQAKELWGEWEIHCLVLTSLFLQVFLFLMADMRRRNASRILRTVLWLAYLLADTVAIFVLGHLAVNISGASHELMFFWAPFMLVHLGGQDTITAFSKQDNELWTRHLLSLVSQVAVAGYVVSKSSWLDGRLKAAMVLMFICGSFKYAERTYCLYSASPESLRAASIHSLSFSLGLMDMAKGVTHFNEDIRRYARVVMEERFSMMLSGTPGKTTGGMILPEDDVVTNIMSAEVPLNSVAVILAVDDLPSMLADFKNSPHQYHMAYGYVAAHLVHSYQQLYTKKPLRDTFYSILSACLRLETFDSYGVVYLISTVFQYLLAPIALVLFTAAEKDGHHSRADITVSYILLVGAIALDLVSIFVSIISYRGRKKKQWSEELAQYSMIKRHTMQDTRGMFSSIQQWIGKQFGAWGIDLLDFTPVTPNLMELVISKLLWFQSNKQEWGFASFYGEQTLRNWMESNQVPESKRSEYELNKSIGGGVDFPTTVLIWNIATDICYFFRDKGSNIEPDDIKKKMSIELSHYIMYLVFKCGVMLTSNSRFVHHKVHFEIIGILSTHVNLKEEEALHEVYGACMDREQVSRMTPNSDINVSSELQKLLQSTMEALYSPVLPRACAVAQELIQITTGEADRWNLISDMWLEMLFYIAPRCGGAFHYEHLSTGGEFITHVLLLMRLLGPFLPLPDASNAAS >LPERR11G15480.3 pep chromosome:Lperr_V1.4:11:16444631:16452771:-1 gene:LPERR11G15480 transcript:LPERR11G15480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKPNRKHRRKTHQSPSREPNPSSSDSDSDSSPPSSPTSIRRLLEPYSKPRLLSLLASAADANPNLLLPRIRAAADASPSHRRLFVHGLPPNADDASLAAAFSRFGPLAEHHVVSRRATGECKGYGFVTFRSSRAAARRALREVSVRRVVVAGRAVSAQFASAGVGAEKKAAAAGEVGRRVYVTNVAPDASAERLRAFFAGFGEIEGGPYGFDGGGGETASRGYALFVYRSAAGAMRAIEEPYRVFEGRTLRCQLAAVDPARKSKPCVAAPAPTALASVALPTVAATPVLQPVIDAVVASGVGDLAMYAKNPAQAAALLGKNPILAAAVLNSALASTKAAGTLSPAAVSSINPAMVVARSPVAAPAIKPSLVAPRSQSPAVAVEAVVPSQVKIDAGISSAVAATAVVISPAKNVVQDCLGHTSHHLRYCWLPPLEERSEAENLASGFQMRPVGNGADVGGGNLMKKQSLVVWEQAKELWGEWEIHCLVLTSLFLQVFLFLMADMRRRNASRILRTVLWLAYLLADTVAIFVLGHLAVNISGASHELMFFWAPFMLVHLGGQDTITAFSKQDNELWTRHLLSLVSQVAVAGYVVSKSSWLDGRLKAAMVLMFICGSFKYAERTYCLYSASPESLRAASIHSLSFSLGLMDMAKGVTHFNEDIRRYARVVMEERFSMMLSGTPGKTTGGMILPEDDVVTNIMSAEVPLNSVAVILAVDDLPSMLADFKNSPHQYHMAYGYVAAHLVHSYQQLYTKKPLRDTFYSILSACLRLETFDSYGVVYLISTVFQYLLAPIALVLFTAAEKDGHHSRADITVSYILLVGAIALDLVSIFVSIISYRGRKKKQWSEELAQYSMIKRHTMQDTRGMFSSIQQWIGKQFGAWGIDLLDFTPVTPNLMELVISKLLWFQSNKQEWGFASFYGEQTLRNWMESNQVPESKRSEYELNKSIGGGVDFPTTVLIWNIATDICYFFRDKGSNIEPDDIKKKMSIELSHYIMYLVFKCGVMLTSNSRFVHHKVHFEIIGILSTHVNLKEEEALHEVYGACMDREQVSRMTPNSDINVSSELQKLLQSTMEALYSPVLPRACAVAQELIQITTGEADRWNLISDMWLEMLFYIAPRCGGAFHYEHLSTGGEFITHVLLLMRLLGPFLPLPDASNAAS >LPERR11G15490.1 pep chromosome:Lperr_V1.4:11:16456818:16457210:-1 gene:LPERR11G15490 transcript:LPERR11G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKTEAVAVGDGDRKPSAAKVRMPQAYVSAILTTKTDPPPSAQELERLSPQERVDAIYQKELFDEFLAFQAEVRSSVLEKGYYLVDESYLEEAAADKARMDEELAKIDYSRIIFGEWDYEDKNSVKIL >LPERR11G15500.1 pep chromosome:Lperr_V1.4:11:16464711:16465592:1 gene:LPERR11G15500 transcript:LPERR11G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEMAAQTPMIEKQEELLKVWEPLLEMISKLLKDDHGRATTDEGLITRHGLAFMEMEVSTIVASLKIPLQRQPSRDSFALSRRMSWLKSRLGGEVEAFMKSLYSRHWHGGEAAPCVLLLLKKAMRCFCPRPLDDSILWMAYKLYREVAKEAQLEYPRSSIPSSPPDQVVEEAGGLHDQLVGIDGQVEELLRWIMAADDKSLRVMAITGPTGVGKTTLAMELHRRLQSQAEQYCFQCCVVAKFYHQSKWPQPSGSYFLLKTMLSQIIDLEAPSTYDDAELARRVSERLKERR >LPERR11G15510.1 pep chromosome:Lperr_V1.4:11:16475387:16477572:1 gene:LPERR11G15510 transcript:LPERR11G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNDKDSKRLLYIKAFGSMDDSLEDNLKLLCDEILTRCKGIPLFIIGMTEWLKQQHDSSAVVRAEQVGLLLKQFREKLLSDYNGELRPSSVYLSMFPQGYLFDKDHLAIKWMEEGLINTNVFGLLMSDVMLTDIENIRNCFFDLVYSNIITPAAHNDDVNLDVDTLCQRQINPFMLKFLASRAEDKGYVFTSSTLTSAPTRIARRLALHYPDPQLPTMLQQMDLSYTRSLLISGEVERRTIHLDRFAYLVLLDLQGWKNLKDEDLLQICKMFMLRYLSVRNTGISKLPPQIKELRMLGTLDVSGTHINELPSEVCEIALLMRLDLGGTRIRHLPEKIKELFFLGRLDISHTKISDIQSVVYNLQYLSTLDLRGAPISQLPDQIVRLKRLRHFLVGGVRSGTINPNETVVTKIPDQVIFRLTQLKTLATIDLSGFSAMSVQSLGDLQSLETLAMIWSFHHCSDKAYQGALPSMQRLEKLKSLTIHCGLGCSMEFLGSLSNSPKFLMKFKVTDGKFASVPQWIRGLNARRCQDPRGLGQIAVPVLGMEFNPEEAIVIESDGFKKLKRFSLVCPVPWLTFEEDSMPNLTYLRLNLHACPASETSVPSGITNLKNLSEIELSYNAWYINRPNVKMTVEAVRKEVVQHCNPIDLFINGILVDTDTIQAGDKVAECATSLNQIDPPEDGLQVANEAEMAPRETQVRSEIEDGGETESHT >LPERR11G15520.1 pep chromosome:Lperr_V1.4:11:16480064:16494476:-1 gene:LPERR11G15520 transcript:LPERR11G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLNKLTRRGADHDEQRQDWMKQVREVAYDIEDCVDDVGHRLGAEPRGSGTLVSLQRAWYLLTTLYQRRCIAVEISNLKLRAQHVSERRTRYGVENLQGNGASSSSGLGATAPKDRLAPLPRLIGTMEPVGMDSAINELKEWFSQEKQSSQQRYLSIVGFGGLGKTTLAMALYRKFGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADTSQDALHGIEGWGDETLKKKLLEQLTCKRYHILIDDIWSVSAWENIRDSLPKSDKGSCVVVTTRFNSVAEACRRQQGHVHKLKQLDLESSYNLFLQIISANDLCPIRPINAGIIMKTCGGLPLAIVVVAGLIASKLKSKIDLTLDHHLVEVQEALSAELGNNLTTEGVAQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIRPINNSSNGKVKSCQIHDMVLQYIVSKSSDENFITVIGGHWQTPFPSYKVRRLSVHRSDRQETEMVERMKLSHVRSLTVLETFSALHSTMPKFQILQVLDLDGCKDLSHNHQLKKICNMYQLKYLGLRRTDIDKIPKKIGRLEYLEVLDIRETNVRKLPTSFAKLQRMTHLLAGNKTNRTALTLTEEITKIAALQTLSGIEINGSSTLEEDREQSPDMVSTDTSTEDKDNTALHGPHKEARKVDLPKQLRPLEALEKLTNLKKLAIYRLVKLQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLASSLSSSQAPPEHLYTFELSGKLSKVPGWIDRLHNLEKLTLSLTSLKTHTLAVLGSLPELFSLTFSLHAENNGSKALNTVSNALKIVRKNTLESGGKIFVLDGGFEKLKLLRFMGPVLPPLDFLQGAMPKLQRLELRFRIVECVYGLENLESLQQVFLTISSQAPEDAKGKVSQIKGLARMIREAKNSPTPKGKCLSFKHFSAPAQPSLSFLEGEMPELQRLGLSFKRGTSACTAWKTLQDCNSSQAPEDAKAKISQIKELASMIQKANNSPSPCVKGQCQISKELSYNSKILEGVNGVENRKSLQQVHIRVKQQTYESTMVKVSYNRSSVTVHIRTHKPQWWLISTMIAEGETILVTTADSRLSCTDRANINQLPI >LPERR11G15530.1 pep chromosome:Lperr_V1.4:11:16505630:16506058:-1 gene:LPERR11G15530 transcript:LPERR11G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSPAPAAATISAGGDSEQQKPPPAKVRMPDDYVFTILALKREDQPSPEYLDRLSPEKREEKLAFAARYKHVYDKLEKLQEEVRNSIKENGCYLVDESYLVEMAANEAKIKEEWAKLDWEGSGIEFGEWDYDDPQCVKYL >LPERR11G15540.1 pep chromosome:Lperr_V1.4:11:16508933:16511395:-1 gene:LPERR11G15540 transcript:LPERR11G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPACPGARRSSLFPSSQARQPQSRRGLHACETTTDVTRRATRSCHWKTGTKFQNAKGFSQNGDTHRADVGPPTRTRDTYSRVATTRCDTHARLDQEQVLMESEFLVQWLLKPIQKKVRAEVEETGVYLVEEGYLNPLAKLEAECKEELAKIDRSGVKFTEWDYSGKDTVCSKLL >LPERR11G15550.1 pep chromosome:Lperr_V1.4:11:16517967:16518281:-1 gene:LPERR11G15550 transcript:LPERR11G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWDVNYILAWKRDPINPYTDSWETLSPEHRKMREQIAAMGNKLHFSFEEFQREVRSEVEKTGRYMIDDSYYSNQDEMQAQLKEGWAEIDWSDVIVAEPGDWD >LPERR11G15570.1 pep chromosome:Lperr_V1.4:11:16529365:16531353:-1 gene:LPERR11G15570 transcript:LPERR11G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSLLLLLFIVGHNKQAHVTGDEKFLYSGFADSKLILNGAAMVMPNGLLDLTNGSVRLKGHAIYPTPLRFRDFSYNTVQSFSVSFVFGIVSPHPSNGFAFFISPGKNFSDALPTQYFGLLNDQNDGMETNHIFAIELDTIQNSEFQDINDNHIAIDINSLHSVQSDSASYYDDMTGVLKNLTLVSGDPMQVWVDYERETRRINVTMAPLNFAKPSRALISTNYNLSAVLTELAYVGFSSAAGKANARHYILGWSFSMNAPAPEIDISKLPKMPRLGSKNWSKIIEIILPLATAGFILAVGGTIFLVTKKYLRYTELREDWELEFGPHRFLYKDLYKATEGFKNKHLIGSGGFGRVYKGLLPRSSMEVAVKRVSHDSKQGIKEFITEVVSIGCLQHRNLVPLLGYCRRDNELLLVYEFMPKGSLDKYLFSEDVKPPLSWAQRLGIIKDIASSLLYLHHECEKVIIHRDIKASNVLLDNDMNGRLGDFGLARLYDHGVNAQTTHVVGTIGYIAPELASAGKPTPLTDVFSFGIFILEVTCGRRPIMKNEEENHMMLADWVLEHWQNGSLTDAVDTNLCGNYDDLEACLVLKLALLCSHPFMHARPSMRQVMQYLNRDIPLPDDHLTPTQMSFEMFSLMQNEGFDPYVMSFPSLSTSYKPSVL >LPERR11G15580.1 pep chromosome:Lperr_V1.4:11:16548833:16549399:-1 gene:LPERR11G15580 transcript:LPERR11G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVDEVQMADALQHMKLMEAEGTTTLKPAAGSAGQLLMLGAEAEEEEEEAAAAKVVANATSADAGGDCCEKPLVKKKKKKMPMPQVFVDYILAWDKAWEKEGLPTRTDDDYRSPEHRRESEELAALLDKEDDEFEVFQRQVRHEVEKHGCYMVDDTYLSEIDEMQALVELEFAKCDRSGILFARD >LPERR11G15590.1 pep chromosome:Lperr_V1.4:11:16568867:16571674:1 gene:LPERR11G15590 transcript:LPERR11G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAAARGSSASASSPLIPPPSEIDIEAGAGEQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGYQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGYWHFLQRLGSNHGRSADLAETLSYSCKTNEYVVEDVDGERSDWCPPPLPSEHVQQLKSLGLL >LPERR11G15590.2 pep chromosome:Lperr_V1.4:11:16568867:16570476:1 gene:LPERR11G15590 transcript:LPERR11G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAAARGSSASASSPLIPPPSEIDIEAGAGEQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGYQNYWLRTAWGFDNEDVS >LPERR11G15600.1 pep chromosome:Lperr_V1.4:11:16572128:16577508:1 gene:LPERR11G15600 transcript:LPERR11G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVLAAAARDYQKEIVAKEKTQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKGLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDSSVNRRVRSSTAQDSDSD >LPERR11G15610.1 pep chromosome:Lperr_V1.4:11:16579860:16584842:1 gene:LPERR11G15610 transcript:LPERR11G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALALCKERMRHIKRAIDSRDGLSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVDSTGSPVHRGSQPTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSTTSNNENGVTLNFSRLKGLRDSRESEAVSLREETVNKSDRMHPQLTTDNAAPKSETQAKKSAMSKPSGLVEVTTEATTSGQVGAKVEEDDIEKELCTETEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSGSPGKPPTTRFVSALRVCCNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTTAIITDDLDDSNSEFVEQFAMVSGSHSSTLDRLHAWERKLYDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKGSTTVHHGEHHRRAAMHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPADELCKSIKDVMQLLRSSFDHQTDHQNKTASESNSRSESQECGMLENNEQEASGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYQTGRSNAHIVV >LPERR11G15610.2 pep chromosome:Lperr_V1.4:11:16581313:16584842:1 gene:LPERR11G15610 transcript:LPERR11G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALALCKERMRHIKRAIDSRDGLSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVDSTGSPVHRGSQPTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSTTSNNENGVTLNFSRLKGLRDSRESEAVSLREETVNKSDRMHPQLTTDNAAPKSETQAKKSAMSKPSGLVEVTTEATTSGQVGAKVEEDDIEKELCTETEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSGSPGKPPTTRFVSALRVCCNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTTAIITDDLDDSNSEFVEQFAMVSGSHSSTLDRLHAWERKLYDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKGSTTVHHGEHHRRAAMHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPADELCKSIKDVMQLLRSSFDHQTDHQNKTASESNSRSESQECGMLENNEQEASGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYQTGRSNAHIVV >LPERR11G15620.1 pep chromosome:Lperr_V1.4:11:16581812:16584273:-1 gene:LPERR11G15620 transcript:LPERR11G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSLQRTKIGGERAWRGGKETLRGNGGGGGTKVETGDSCPTKSAADGSMVIVKGFVPAAFM >LPERR11G15630.1 pep chromosome:Lperr_V1.4:11:16585265:16588917:-1 gene:LPERR11G15630 transcript:LPERR11G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSGSGDGGGDQATAMRRLRMLSLHLQDPSSSEGKEALALAACAAGGRRRATGGADAAAALAAFLRGRHRDAQVRVFEYFQTRPDLQTPVEMSTAAHRELCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGVDISLGVKLGVQYSLWGGSIINLGTKKHRDKFFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFHSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSMSILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATLYLVDKYCEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISLCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLVIRAPIGMQSEAYAQYTQYVGF >LPERR11G15640.1 pep chromosome:Lperr_V1.4:11:16591562:16596730:1 gene:LPERR11G15640 transcript:LPERR11G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWTCDVSRDSSRLITASADQTVKLWDVQSGAELFTFRFDAPARSVEFSIGDGLAVITTDNFMENVPTVQVKRIADDPDDQSEESLLVIVGIRGRITRAVWGPLNKTIITAGEDATIRIWDTETGTCLRQSDPEQGHQKAISSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYTAFEKRPKVLG >LPERR11G15650.1 pep chromosome:Lperr_V1.4:11:16597777:16601899:-1 gene:LPERR11G15650 transcript:LPERR11G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFCRPRSFDYEIQSAAYNLYCVVVGIDPQLELSSSEVVAGAHHDDLVGIDGPAEELLRWLMVADNGDMGVKIKAIVGPAGIGKTTLAMEVHRRLQSQNGDHFQCCVVAKSVPDKSRLLQTILSQIMDQEALSTPDSQTVMMEDTPELLAQLADKISEVLSDKRYFAILDHISYRSDWEMIERAFPKHKDRNRGSRILFTTRDERIAEDVRSEQTKWIAEEYSYSTTVYIMKPLNFINSKMLLRMTAFVGSMDDCPTDNLELLCEEILAECKGMPLLITCMADWLNQQQQQHDSSAVPRAELVGRMFNHFLEKLSSGRNNELCLQSLYLSMFPQGYVFDRDDLIIKWLEQGGKKDYNIAGDLAFFKLYGNPLSGLYLLSRQKLYTSFSKLVDWNIITPVAENPRINHGGDDLCLWQINPLMRRFLASIAAENGYVFTSSTLSSAPRSGGKNTRIARRLALHNPDPRLPEMLQQMDLSHTRSLVISGLVNRTTIPLDKFAYLVLLDLEGWKNLKDEDLLQICKMFMLRYLSIRNTGISKLPPQIKELCILRTLDISQTHITELPLEVCELTFLIMLNLTGTRIRQLPEKIVQLDQLEYFLFGGIGSAMIYIDETVLTKMPETMFSLHTLATIDLSELSAKSVESLGYLSRLRVLEITWSFHQCVDKAYQEALRSSMKGWKNLESLTIHCGLGCSMEFMASLSDPPNELEKFKVTAGRFVSVPRWIKEHQNLAFLQITVCRLVQDDVKILADLVKLKHLVLCLEFIPEKEIVIESEGFRKLQKISFECPVPWLSFKEGTMPWLEYLKLKICSGPANQDIVVPSGLTNLRWIKEVVICYSKWCSNSSSIKRTVEAVKKQVAMHRDPIDLVINGVPQKIEALDGETERATGSGTKGDAEALDEETKSGTKIGTEDCVEILYKVTESQIENEIEDDVQAATQIQSEIEEIEDEIMIQPSSQEQDSVDKFKVKARKFTNVLSFWRY >LPERR11G15660.1 pep chromosome:Lperr_V1.4:11:16601906:16602202:-1 gene:LPERR11G15660 transcript:LPERR11G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEVVDVDVEMMKSLSEKISKLLEAKRFTNDRERHGLKFMEMELSATVASLTKPLHPSSHDVGSHEMLQFCGKYIKDTVKYICKNREGTRHALAVL >LPERR11G15680.1 pep chromosome:Lperr_V1.4:11:16623579:16626888:1 gene:LPERR11G15680 transcript:LPERR11G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASNNAVKSLVTKLGSLLAQEYTLISGVRDDIQYITDELASMQAFLNKVKRDTDNDEQRKDWMKQVREVAYDIEDCVDDVGHHLGGEPRGSGGLVSLRRAWCLFTTLYARRCIAAEIGNLKLRAQHKFHLSTVLRSLVRQFHEKQAGASQDALHGIEELGDEALKKKLADQLKGKKVKPVAVKEVNSMNPYKLFRQIITCVPADPSEIAMTVLNKCGGLPLVIIVVAGLVASKLKSDTDPEVDSHLHQVNKKLSNDLGNNLTTEGVTHILNHCYNQLRPDLKTCLLYLSMFPKGCAISRKSLIRKWIAEGFISEKHGKPIEEVAQDCFNELIGRNLIRPINNSSNGKVKSCQIHDMVLECIVSKSSNENFITVVGGHWQTPFPSYKVRRLSVKKSDQQEKETIERMKLSHVRSLTAMRSLKALHSTLHKFQILQVSDLEGCKDLSHSKQLKKICYMHQLKYLSLRGTDIHELPKKIGRLEYLEVLDIRDTKIITLPVSILYNQQWRGWRQHGFARYPQVRPLAALEKLTKLKKFAIYRLEKFQGEDNDLLLSVIEHLSSCSLKFLAIDDSFTGFLDSSLSSSQAPPEHLYTLELSGMLSKLPGWIERLHNLEKLTLSLTSLTTDTMSVLGRLPQLFSLTFSLDAARSGSNALKTVSNALKIVSKNTLESGGKIFELNGGFEKLKLLRFIAPVLPPLSFLEGAMPKLQRLELRFRMVECLYGLENLAQLQQIFLTVSSQAPEDAKAKISQIKGLASMIQKAKGSSNPSVVTDEYNELSKEQ >LPERR11G15690.1 pep chromosome:Lperr_V1.4:11:16629959:16630810:1 gene:LPERR11G15690 transcript:LPERR11G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSAFMEEKEEVLRLLETLLQRISKLLESGRLADARRRHCFAFMKMELAVVVESLRPSLARDRGVVVEDDWRPWLTELRLLDRDMERLLLHPPDHPLLTRCFGRRMDLHNQIQFEAYRLHLAAEEHPCNHHHEHHIDLPISSGDHQLGLRDDRLVGVAAPAKKLLEWLTVGADQYSDNNSLRVMAIVGPAGVGKTTLAMELYRRLQRRRRTGEDFECRAVASFSRRRDDQPVRRKLLLRSILSQITGMEASTSSRHTSAATAWDEERLAFKIWKYLRYKR >LPERR11G15700.1 pep chromosome:Lperr_V1.4:11:16632877:16640826:1 gene:LPERR11G15700 transcript:LPERR11G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVIDIIVNVARRQHGAWGRRGRCCRRYVRGWSLSTRQEAAGRGSSAASGYWIHRHASVQGYLILVDDICKDSDWETIKGAFPANYCGSRLLFTTRNELKAGWFLSNYDGTMHKMKPLNDSYSERLLRIKAFDSMDYCPPDNLKILCDEILKKCRGIPLFITSMAEWLKQHQNSHNSFAVPTAEQVRLLFKQFDQKLSFNYSDELSPALCLSMFPHGYVFDKDHFAMKWLEEGLAGIRSGIRLDTEQAKMSFTEMVDKNIISPVSESCGLNLDEDELCQWQVNPFMLNFLASKAAEKGFVFTSSTLASATAGGNKIQKARRLALHHPHPQLSEMLKQMDLSHTRSLLISGAIDRLTIPLGKFAYLVVLDLHGWENLKDDDLLQICKMFFLTYLSIRCTSISKLPPQIKQLRILSTLDISHTHIRELPLELCELHCLNMLDLRATKISQLPEQIGRLSYRLRTLLIGGHGIINSNETVVVIKIPHSLMTVLVLNTLATVDLSDCPASFVEVIGRQGSLEVIAITWPFNQCTDEAYQDALRSSIQRWLRLRSLTIHCGFGSSMEFLDSLNNPPKNLHKLKVTAGRFVNVPQWIKWLQHLAFLQIIVCKLEPDDVKILECLRGLKCLVLGLESVPKEEIVIESDGFSWLERFSLDCPVPWLTFKQGTMPRLMYLELKICSGPANQVNTVPSGLTNLPRIKEVVICYSRWCRNSSSVKMTVEAKITEKQNKMLIMERMWPQSVPPSLQSKRAYSLLISCHSPLSFSFEYRTLILINPSQTIPLPLAFGNGKVYWHYHGIISMELAVGASDDALRSLLGKLGGLLAQEYTLISGVRSEIQYMNDELASMRAFLRSLERSTDDHDEQTKDWVEQVRDIAYDIEDCVDDFSHRLGCQPRGEGILARLRRAWYVMETLWARRNIATKVIDLKNRAQDVGERRTRYGVRDPDRDAIKGSRTVHSYDATDRPQPPPQLVGTTEPIGMEDAIAKLGPWLTSGEEEQRPMRILAIVGFGGLGKTTLALALHRRFGEKFDSRASVQASQKLNLPSLLRSILKQVMPQAPEQKHHKNGSSDSHLDNIEELNDNKQLREKLKTHLDKKRYLLLIDDVWSVSAWENIWVSLPKNTNGSTIVVTTRFKSVAEACCRQQVGCIHILERLPADKSRTLFKRIIGGVDPSPNKFEEIKGKILKKCGGFIAEKHGKTVEEVAEDYFNEIISRNIVRPVDHSSNGKVKTCQVHDMILEYILSKSSEENFITVVGGHWVTPTPSNKVRRLSLHSSDPDHAKAAIESMNLSHVRSLTAFDSLDRLPSFSFKFRILQVLDLEGCKGLHASQLDKICKMFHLKYLSLRKAYIKKLPSDIGRLQYLETLDIRETNVRELPASAIRLQHMAHLLCGNKSTGLALRFTEGIAKMVALQTLSGIEITKSSIVALIDMHNLTKLKKLSIYNLTDFNIVSQRYDDLLSAIEYLSGCSLKSLIINDGFTGFVESMVSISTPPKYIRSLELSGKLPQVPGWIKELENLEKLTLSLTSLTTDGLLVLSQLPSLFSLTFSVNAEIQDNSIIKVLQKNVMDSGGKIFVQEGGFDSLKLLRFSAPVMPPLSFLERTMTNLQRVELRFKILEGIYGVENLESLQQVHLRVSQQASESTMVKVSDIRSSVTMHPRKPTMVVDQYYD >LPERR11G15710.1 pep chromosome:Lperr_V1.4:11:16643749:16644573:-1 gene:LPERR11G15710 transcript:LPERR11G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSFHQCSYKAYREALRSSIQKWRKLKSLTIHCGLGCSMEFLSYLSPPPKNLKKFKVTHGKFAGVPQWIEGLENLTFLQITVCKQVADDVKILAGLVKLKCLVLGFEFIPVKEIVIHDKGFKELERFSLDCPVPWLTFKEGAMLKLEYLQLKICLGPANQESAVPSGLINLQSITEVVIRYSKWCSNSSSIKRTVAAVRKQIARHLNPIDLVISGIKEDNEAFDEETETATGSGQRMVFMQLMRRQRQHHRLKARYNKLKVKLRATHSSKGKR >LPERR11G15720.1 pep chromosome:Lperr_V1.4:11:16648672:16651815:-1 gene:LPERR11G15720 transcript:LPERR11G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVGKAEPPSIEEKREEALKVLHNLSEKLEDGGITDDRDKHFLWFMKMEVSTSVKALRMTHGVDSNMERWIDNLLERAREFEEKLDRRRLYSDFSTVLEAYGFYRVTGECWKNVQLPEPELVPQLPHAGHLVGIDGPAEEILKWLKSDDKRLQIKFIAGPSGSGKSTLAMEVLRRLRCCNEAYFHCYAVAKCTFNPLRRAYADESKVLQTIQSQIIDDLEEAPPSPSETKMQLEVDNLELLARNISERLQDKRYFIFIDNNLKYYSSDLEYVMDAFPNNNCGSRILLTSTSERTHTSWPFYKDDCSGYDVKPLNHSDAEKLLCTKAFGPMDSCPPGYLKLLLDEILMKCKRIPLHIIGLAEWFKQQQQTHEVSVFDVAEQARLRLEQLHGLPLQYPSYSIPLELSMFPQDYVVAEDDLMMKISDQYLYLSNYFYGLHSTKILTPVAEDRGLKRDGHDRKHWQINPFIMMSLATEAAKKGFAYTSTTLASLMGSADKTQIAQARRLALHHPVPELQEMLQQMDLFHTRSLHISSEVERAAVSLDKFAYLVVLDAEGWKNLKDEDILQICKMFMLNKLPPQIKELRHLRRMDVSHTHISELPLELCDLKYLWMLDLRGLHIRCFPWEILRLKYGMEHLLVGDAGAGSGIINSDHTALTKIPEEIYKLSTLKTLTTMDLSEFSASSVQSLSNLKYLKVLAITWSFHQSSNKAYRVALLSSIQSWEHLKSLTIHCGLNCSMEFLGSSLSDPPKELEKFKVTAGKFASVPKWIEGLEHLTFLQITVCKEVDVKILAGLEIVIESEGFKELQRFSLGCPLPWLTFQEGAMPKLTYLRLNLHPCPAIKKSKECLPSGITNLKMLSEIVLHYSAWYINSPNVKRTVNAVNKEVVETNRERKEVAETSQEIKEEVAEHRSPIDLFINGILVDVILADDEEAESATRFDQADAPKDGVQPPDEAEAPRTATQAQSEIEVEGEVFRDWYP >LPERR11G15730.1 pep chromosome:Lperr_V1.4:11:16661719:16663089:1 gene:LPERR11G15730 transcript:LPERR11G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSGAVKSLVTKLGSLLAQEYALIGGVGDDIQYINDELASMEAFLSLLKQQDAGHNKQRQDWMKQVREVSYDIEDCIDNVNRRLSGEPRGSDKLVFLRRAWYLFTTLYARRCIAAEIGNLKIRAQHVSERRTRYGVIGVENLSANDGGGNKFGLGADAPGDNLAPLPRLIGTMEPVGVEDDINILQKWFVKPEHNTQQMIQNKTNFIAIVGFGGLGKTTLALALYRRFGHEFDCRAFVLASQKFDLLEVMRKLIMQLHEQQAGADQDALQGIEV >LPERR11G15740.1 pep chromosome:Lperr_V1.4:11:16666479:16671083:1 gene:LPERR11G15740 transcript:LPERR11G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAECEAERAEGVRDGERKPKIGERREAPCPSERRAEADAAGVYHILIDDIWSVSAWENIRDSLPSSNKGSCVVVTTRFISVAEACRRQQGHVHKLKQLDLASSYSLFLQIISANDLCPVRPINAGAILKKCGGLPLAIVVVTGLVASKLNPKIDLTLDHHLVEVAMALSAELGNNLTTQGVAQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKRLIRRWISEGFITERHGKTAEEVAEDSLNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSSEENFITVIGGHWQMPFPSYKVRRLSVHRSDQEEKEMVEKMKLSHIRSLTVLESFKALHSTVLKFKILQVLDLEGCKDLSSNQLKKKICNMRQLKYLGLRCTNIQKIPKKIGRLEYLEVLDIRDTDVTKLPASVERLHRMTHLLAGNKTKHIALRLTEGITKMTSVQTLYGIEIYGRSTSETAGKQTPATPKAKTSTTSNTENGENTGLQGTTKEDLPKQVRPLVALEKLTKLKKLAIFRLESFQLEDNELLLSVIEHLSSCSLKFLSINNNFTCFLENSLSSSQAPPEHLYTLDLFGNLSKVPDWIDRLHNLEKLTLSLTLLTTDTLLILGGLPELFSLIFVPFAANNKDSDALETVSDAMKTFSKSSLGPAGEIFVLDEGFKKLKLLRLAALALPSLSFLEGAMPALQRLELRFRMVECVHGLENLESLKQVCLTISSQAPEDAKEKISHIKRLASMIQMANNSPNPSVVIDEYNELSKEL >LPERR11G15750.1 pep chromosome:Lperr_V1.4:11:16671351:16675143:-1 gene:LPERR11G15750 transcript:LPERR11G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVKTQEEALLKVFEPLPEMISKVLKAGRISDAHERHCLAFMEMELSVIVASLRMPLQPSRGCHGVDRRMSWLRSLDSLGSEVRAFVKSLCNQHGEEAPHRLLRKAMGCFHRRSLYGLLTLLTEYQLYHEASQCPHLELPIPELLPLSPQVAEIKAVWEMIKGAFPNNNCGSRILFTTCNESIARRILCNYDGVLHKMKPLNDSDSKRLLCTKAFSFMDNCPADNLIKLLCDEILAICKGIPLFIIGMAEWLKQHQQQHDSSAVLTAEQVHLLLKQFQQKLSFNYNEELRLTSLYLSMFPQGCVFDKDHLAFKWLEEGLINRHMRFVVSVASLTDSEDMKNYFSELVDRNIVTSVTENCGLNLDEDEWQINPFMMNFLASRAAEKGLIFTSTTLALPPSGGGNNTRVARRLALHHPNPQLPAMLQQMDLSRTRSLFISGAVDRIAIPLDKFAYLVVLDLEGWENLGDEDMLQICGMFVLRYLSIRHTGVSRLPPQIKQLRSLSTLDVSHTYINELPSEVCGLKYLRILDLRGTRIRHLPEKINELSYLERLDVSHTKISDIQSVLCQLHDLKTLDLRGTKIKHLPDQIVWLRQLTHLLVGGSGSEIINFDETGLAKIPEVIYQLFIQTLATIDLSEFSASSIQCLSDLTELVEIIMTWSFHQCSYKAYREALRSSIQKWRKLKSLTIHCGLGCSMEFLSYLSPPPKNLKKFKVTHGKFAGVPQWIEGLENLTFLQITVCKQVADDVKILAGLVKLKCLVLGLEFIHVKEIVIHDKGFKELERFSLDCPVPWQTFKEGAMLEIEYLQLKICSGPANQESVVPSGLINLQSITEVVIRYSKWCRNSSSIKTTVAAARKQIAMHLNPINIVINGIKEYNKTFDEETEAATGSGTKDGVHAADEAATAQPIKSEIQQIEGETDSHHYFFLATQCCL >LPERR11G15760.1 pep chromosome:Lperr_V1.4:11:16674987:16676182:1 gene:LPERR11G15760 transcript:LPERR11G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGSSKDALRLQPCSEAFSTLKQVMPQVPEHKRYKIDASGSHLDSIEELSDNKQLKEKLKTHLDKKEVQFCLLGSLSMGSSVIYNCSNVNCITVPYEFNS >LPERR11G15770.1 pep chromosome:Lperr_V1.4:11:16676976:16684950:1 gene:LPERR11G15770 transcript:LPERR11G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRRRRHGHDLLLLRRLLPNPPPFSTTAAISTPPPPPPPPPSPHRPLPPIPDPAALPPDDAIASLATVADTDGSSAALDLFRRLASRPDTRRLMRLYVTAATAFVARGSIPMAHEVMRGMVSAFGEAGRLPEAADMVMEMRSHGVPLCVETANWLLRVGLETGSFVHARKVFDGMTRCGDVRPDERSFRALVMVCCREGKVEEVDALVTAMWRCGFLLDNATCTVVVRSLCEKGRFKDVSEFFRRMLGMGTPPNVVNYTAWIDGLCKRGYVKQAFHVLEEMVGRGLKPNVYTHTVLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLGRMIEQGLEPNTNTYTTLIGGHCKGGSFGRAFELMNKMKQEGFLPNIYTYNSVIDGFCKKGKIQEAYKVLRMATSQGLQFDKVTYTILISEHCKQGHITYALDLFNRMVENGCQPDIEAYTSLIATYCQQRQMEESQKLFDKCLMIGLVPTKQTYTSMIAGYCKLGRSTLALRVFERMVQNGCLADSITYGALISGLCKESRLEEARALYEGMLDKRLVPCEVTRVTLAFEYCRREKTSIAVSVLDRLDKRQQAHTVDVLVKKLTTLGDVDAASFFLKKVLDEDCAVDHATYIGFINSCYENNRYALASEMSEKQTRNPTLSTTRQLNCFLDIERLLTEAEQPALFPHLILLPAGTEALCICSLDEKYRHFGFKVCIDSWITEE >LPERR11G15770.2 pep chromosome:Lperr_V1.4:11:16676976:16684950:1 gene:LPERR11G15770 transcript:LPERR11G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRRRRHGHDLLLLRRLLPNPPPFSTTAAISTPPPPPPPPPSPHRPLPPIPDPAALPPDDAIASLATVADTDGSSAALDLFRRLASRPDTRRLMRLYVTAATAFVARGSIPMAHEVMRGMVSAFGEAGRLPEAADMVMEMRSHGVPLCVETANWLLRVGLETGSFVHARKVFDGMTRCGDVRPDERSFRALVMVCCREGKVEEVDALVTAMWRCGFLLDNATCTVVVRSLCEKGRFKDVSEFFRRMLGMGTPPNVVNYTAWIDGLCKRGYVKQAFHVLEEMVGRGLKPNVYTHTVLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLGRMIEQGLEPNTNTYTTLIGGHCKGGSFGRAFELMNKMKQEGFLPNIYTYNSVIDGFCKKGKIQEAYKVLRMATSQGLQFDKVTYTILISEHCKQGHITYALDLFNRMVENGCQPDIEAYTSLIATYCQQRQMEESQKLFDKCLMIGLVPTKQTYTSMIAGYCKLGRSTLALRVFERMVQNGCLADSITYGALISGLCKESRLEEARALYEGMLDKRLVPCEVTRVTLAFEYCRREKTSIAVSVLDRLDKRQQAHTVDVLVKKLTTLGDVDAASFFLKKVLDEDCAVDHATYIGFINSCYENNRYALASEMSENSKPRPDFPKWTGRLSTTRQLNCFLDIERLLTEAEQPALFPHLILLPAGTEALCICSLDEKYRHFGFKVCIDSWITEE >LPERR11G15780.1 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYNYIGNHLNCGQHLVSCERSNINTGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.10 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.11 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQVRDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYKYWLSWIYSRNNFIHSGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.12 pep chromosome:Lperr_V1.4:11:16681109:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGGR >LPERR11G15780.2 pep chromosome:Lperr_V1.4:11:16681109:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYNYIGNHLNCGQHLVSCERSNINTGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGGR >LPERR11G15780.3 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYKYWLSWIYSRNNFIHSGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.4 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.5 pep chromosome:Lperr_V1.4:11:16680669:16684222:-1 gene:LPERR11G15780 transcript:LPERR11G15780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYNYIGNHLNCGQHLVSCERSNINTGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.6 pep chromosome:Lperr_V1.4:11:16681109:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGGR >LPERR11G15780.7 pep chromosome:Lperr_V1.4:11:16680669:16684222:-1 gene:LPERR11G15780 transcript:LPERR11G15780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDSRGVLKGWNDNQVSPCYFNSINCNQEGKVTSIILSSSGLSGFLSDSIAKLPYLQQLLLDGNNITGEIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYKYWLSWIYSRNNFIHSGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.8 pep chromosome:Lperr_V1.4:11:16680671:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQSFATPDSQVIILYEIRMMLNDSRGGPEQQFLKRKYTNLFVQSPIIERYVSGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGDKYGSVN >LPERR11G15780.9 pep chromosome:Lperr_V1.4:11:16681109:16686091:-1 gene:LPERR11G15780 transcript:LPERR11G15780.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQVRDLSNNFLSGNIPTSLSNLPSLNDINLADNNLSGEIPKQLLQVSHYNYIGNHLNCGQHLVSCERSNINTGGSRNSILKVILASIGGAVTLLVIIVLFLLWWQRMRYRPEIYIDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQSVLGKGGFGKVYSGVLHGKMVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDVKLNEPALDWPTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPNFLEGAGEIFLNDQVKLKVQVGQLTDIVDPNLDNAYNFDELEKIVQIALLCTNVEPDRRPAMSKVVQMLESNVVPAEQWEEWQVAQLTRRQQDEMREQRRLFSFSEESLNIQEAIQLSGGR >LPERR11G15790.1 pep chromosome:Lperr_V1.4:11:16691825:16692171:-1 gene:LPERR11G15790 transcript:LPERR11G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRMPLDDVAWVLSLRREHIAAGFYDFVDPDDPAMTSPEEIDEERRRLVLDGGFEAGEWLRRAGDGMEKFQAWID >LPERR11G15800.1 pep chromosome:Lperr_V1.4:11:16693859:16699396:1 gene:LPERR11G15800 transcript:LPERR11G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKICAETTARGWDGVGVALAETVARASRRGRRAVRGGDGGGRTEIRPWEVSTLSPEGRLFQVEYASEAIKEFGQAKTNTVLKPTR >LPERR11G15800.2 pep chromosome:Lperr_V1.4:11:16693859:16698824:1 gene:LPERR11G15800 transcript:LPERR11G15800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKICAETTARGWDGVGVALAETVARASRRGRRAVRGGDGGGRTEIRPWEVSTLSPEGRLFQVEYASEAIKLSEALRTRMVVCFGATSSGGLLC >LPERR11G15810.1 pep chromosome:Lperr_V1.4:11:16702397:16706215:-1 gene:LPERR11G15810 transcript:LPERR11G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRRFLQCSNVENPCDFKHWIDSEFAGRPKQVIQDLVSMNGNMVEFMKKLARMDVDMSTEERLLFSVGFKKTIGAMRASWRILESLEQKVTAGEQTGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSNGTEKKAATDQSLMAYQHAMVVASSELPPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLTLWTSELTGGETSKDDDVVMEG >LPERR11G15820.1 pep chromosome:Lperr_V1.4:11:16736123:16741854:1 gene:LPERR11G15820 transcript:LPERR11G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVVGMAKTVVEMTVARAQAAMEEEKKLRQSVQGEGLVFITDEIQMMQSFLAATSEERARNDVVRSWVRQVRDLAYDVEDCIEFVLHLDKRARSPLTSWLPSWWTRMLPSLIAPTLPLDEADAEIKRLRARVEDVSHRNTRYSLVTTKSKPAATTTTVVTVQPPPPFDIVQEAKDTARRWHGTVDLAELVTNKEDTNLQVITLWGTGSQYQLGMETAVLSSLFNDEQVLKSFEFKDWVNLSRPFDIDDLLRELIRDSILTFSSGGQEVQLSTTTTHVQLVTDFARRMERKRYLLVIDGVSTVHEFCAIQDCLPNMANGSRVVVCTGKLEIATLCTSQPWGVSELTHLSPDQSICVFYSKAGRPDIGYSDKDIKAQEQVLMSSQFDIFKACQATKHMTIHKMKLALDASTGLVGREEESKSLDKLFLSNEPSPVKVISLWGIAGAGKSALARDIYYKKIREPGHARCGWVDVPHPFDLRELCRSLLHDLYSESYYTKSTGNFGVLTSDFVLPLEVLSDLHLLESTMPGIDPNEEGTKAKTKRHIMKKVMAEAADKRKHEIAEGIMARKVIALKSAEATLGFIDPIDECRRLLFELPCIFVIDGVRSTEEWDLIKAAFLTAPGAPATAPRDASYSCCSCIVVVTTEESIATHCAVQDEGVLNVFKESSHSTNSLLSGDMKEQVRLLVDKCGGLPQVIVAIGASLAATKEESAAKEWIQELNGNFMPVLEKNPKFGSLQGLFTWMHSYFLTCPDYLKPCIFYLSIFPGEGKCIRRRRLVGRWIAEGYSRDKNGHAAEESGEEFFSDLVDLSIIQQPEETATATHVPQHRTHKMVTFQVNSFFREYIRSRPMEDNLVFELEGRCSIGAARSRKGRHLAARASWDRDDKVYDAVDFSRLRSLTVFGAWRRFLVSDRMKLLRVLDLEDTTGLQDDDLDKALPLVPRLKFLSLRGCKDISRLPNSIGDLTQLETLDARYTSVAKLPSAITQLPKLQYLRAGSVRLDCTAPRSSTTPTKSSVLSKLSSCWQPAAEDQRPRLPRVGVEPPRGGIANWMTKTLHTLGVIDVGGADGKARRHGLAFLTELNKLTQLRKLGVSGINRANSKLLWSSISGHGHLRSLSVQFQDDDADTCDEQQSSHFFSDISPPHKLQSLKLYGLVTNELPPWIDQLPNLTKLHPNQQAWSPMK >LPERR11G15830.1 pep chromosome:Lperr_V1.4:11:16742098:16743043:1 gene:LPERR11G15830 transcript:LPERR11G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQTCNCDRDGRGGEHCLEIGGSSIRQSELAFRRLRDVFTHIIHSHSSRQSRNTVNPANQLGLKSYVKSPLHPSTSLYIDSTAGDGRLIKTRLRKSTPPWVGLPHGAQETHPAWQARSVRRQSGKQKLQSLKLYGLATNELPPWIDQLPNLTKLHPHHKLGHP >LPERR11G15850.1 pep chromosome:Lperr_V1.4:11:16748869:16754962:-1 gene:LPERR11G15850 transcript:LPERR11G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVIAPPLLLDEADAEIKRLRARVEDVSHRNARYSLVTTKSTKPVAAATITTVQPPPPFDIVQEAKDMARRWRGTEDLIELVTNNEDTRFQVISLWGTGRQYKLGMETTIYATLYQNDDVRKSFGFRDWVKLSRPFNIDDVLRQLIRHSSSEQATTTSTSSDVHHEQLVKDFARRMEGKRYLLVLDNVSTVHEFDAIRRCLPDMGNGSRVVVCTGELEIATLCTTQPWGVSELTHLSAHHSICVFYSKEGRPSLGCSENDTKVRNQVSKSSYFETFKSCQATKHIIFDKMKLVLDATTGLVGREEEFKSLDKLFRSDEPSPVKVISLWGIAGAGKPALAREVYYKKIREPGHARCGWVDVPQPFDLRELCRSLLHDLYSDSYYTKSTGSFGMLISDFILPQEVRLELQLLESTTAQLADERKHKIAEEIVARKIMALKSAEATLGFIDPIDECRRLLFELPCIFVIDGVRSTEEWDLIKAAFLTAPGAPATAPRDASYSCCSCIVVVTTEESIATHCAVQDEGVLNVKGLGADESFRLFEKEETSAAKERIQELNGNFMPELEKNPKFGSLEGLFTWMHSYFLTCPDYLKPCIFYLSIFLGERKRIRRRRLVGRWIAKGYSWVKNGQAAEERGEAFFSELVDLSIIQQPPETATAAHLLQHRTHKMVTFQVNSFFREYIRSRPMEDNLVFELEGQCSIGAARSIKGRHLAVRASWDRDDKVYDAVDFSRLRSLTVFGAWRRFLVSDRMKLLRVLDLEDTTGLQDDDLDKALPLVPRLKFLSLRGCKDISRLPNSIADLTQLETLDARYTSVAKLPPAIAQLPKLQYLRAGSIRLDYSTAPPSSTTPTAASFSASSMLPKMLSDTTSISKYKNLLHPLIMHVYLHFRTGSTLQSKLSTCWQLAAEDQRRPRVGVEPPRGGIANWMTKTLHTLGVVDVGGGARRRHGLAFLTELNKLTQLRKLGVSGVNRSNSKLLWSSISCHGHLRSLTVQFEDDDADSCDEQQGSHFFSDISPPQKLQSLKLYGLATNEFPPWIDQLPNLTKLHPHHQAWSPMK >LPERR11G15860.1 pep chromosome:Lperr_V1.4:11:16780835:16784292:-1 gene:LPERR11G15860 transcript:LPERR11G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSWVVQEENPKISEELQQLILKCGGLTKVIVAVARLFISKTNTRDWEQTVQCMNSQFIEELETNPELDNLRGLFMSCILYLASIFPGYYSVRRRRLVMRWVAEGYSKDSHNHTSEDNGEKFFSKLVELDMVKSPPESINTVFTETRMFLCQVNAFLHEYVISRPLEDNITLALEVFTLKGHCHPTIQRRGRHLVIEESWDRNRIVYGCIDFSRLRSLTVFGKWESFFVCDSMKLLRVLDLEDASGVTDDDLEKMMKVLRRLMFLSLRGCRKISRLPRSLGNLRQLQTLDVRHTSIVTLPLATRKLQKLQYLRAGTAGSQWTMTSSRDMPHSTSSVVVPWFLRHQTVAGVKVPKGIKKVITLNTLGVLNANVLYGKAILEELKELTQLRKLGVSGINRRNSENFASVISVLKYLESLAVWLSEDNDQGCLDGISQQTPQKLQSLKLYYSHVDRLPAWVNQLGNLRKLDLEMIMPTQEDMHLLGDLQLCILRLCVNPRQDGEQLHFSVRPEDAENNRPKGLGFPILEVLEIACNSRLSVVQFGPRVMRLLGPLKVRCYGGQQPSLRFSGLKNLNKLKEISLEGTYDGAVVEDLRTQIAMCRKKPALKLKDFARSF >LPERR11G15870.1 pep chromosome:Lperr_V1.4:11:16786290:16788873:-1 gene:LPERR11G15870 transcript:LPERR11G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALGLAKSAVEGTVSKVVSAIKEEADLKERVQHDLVFITDEFQMMRSFLNGVGREQVVNNTVVRTWVSQVRDLAYDVEDCIEFLVHLDSKPAWWHRLFPSFMAPVLSSVLSTVPLPLDEAVADLKQLKARVEDVSQRNMRYNLIAADSGKPRQPAPAAANYGGAAAFDLLVEAKEIARKEIARGDLTQLITKNLKEDSELRVISLWGTDGDLGIVSTIREAYDSRKACKKFGCRGWVKMVHPFNLHALLESLLGQFKTNSGQEGGITTVQGGGGVLLVNEFKRQVSDKRYLIVLEDLSTMVEWDAIRTHLPDMSCGSRIIISTQNFEIASVCAAQPFLIWELKRLSANHSVCVLFNEGVVASKQDADQSEVMHDVGRTREMIAFSNILFRRGHKVVSVWGIWGVGKSNFVRQWQTLEKHADFERFGWVNVPRPFNLRDFSRSLLYDLCRPEFRAEHHNAFLGMSRIRDPVDGCRKLIHDHRCLVVIDGLRSTDEWDLIKANLANGHFSSSIVVTTNEANVARYCAMPSKAVLKLNCIEADQALDLFKQY >LPERR11G15880.1 pep chromosome:Lperr_V1.4:11:16799002:16802867:1 gene:LPERR11G15880 transcript:LPERR11G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQEWLDRFKLDGRTREAVAFSNLLEKSQVISIWGFAGVGKSVFVRRSYYLKIEETANYYKKIEEAADYYNEIQEAVNYEQKIQEATDYYWKIQEVADFDRYGWVDVSHPFDLMDFSRSLLLDLCPELRQAEENADDFCISGVKDPIKECHGFLRDQRCLVVIDGLRSTEEWDLIKDNMANGPLASSIIVVTNEAKVVLKKNPNFNVDIIDKELILKCGGLPKVINAAAGLLANKTIESDWGKIVKSMNSGFMVELETNPGVDSSIHDLFGWMHTYLFQTCPDYLRPCILYLSSIFPGYYSIRRRRLIMRWVAEGYSKDTNNHTADENGEKFFSKLVELDMVQHPPESATTVFSDTRMVLCQVNAFLHEYVISRPLEDNFTLALEVFTLNEDSCRPTTRRRGRHLVIEESWDRDKIVFDSIDFSRLRSLTVFGKWESYFVSENMRLIRVLDLEGITSGLEDDDLEKLMKLLCRLKFLSLRGCKDIHHLPRSCRHLRQLQTLDIRHTSIDMLPSAITKLPKLQYLRAGRAGSQWTPSTRDHKPHPRTTTTTSRHRSAAAGVKVPAGINQLTALHTLGVINASALCGKAILEELKELTQLRKLGVSGINRINSKKFSSAISALKYLESLSVWLSKCNRKGCLDGISCPPRKLQSLKLYYGHVDRLPVWINQLDSLRKLDLDMIMLTQEDMHLLGDLQLCILRLCLNPRVGEQLRFSVRPGDAESNQPRGLGFPNLEIRDCLQFHVITCTF >LPERR11G15890.1 pep chromosome:Lperr_V1.4:11:16809649:16817738:-1 gene:LPERR11G15890 transcript:LPERR11G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAATGRSEAERMSRAIGREFRTHDGNRRPPAPRSRELLLAHYKQTHLRVETKRTMADIVLGLTKSVVEGTVSKVQTAIEEEKQLKERVQHDLVFITDEFQMMQSFLNVVGRGQVNNNVVRTWVTQVRNLAYDVEDCIEFVIHLDKKSAWWHRLFPSFMTPVLPSCLAPVLLLDEAVADIKQIKARVEDVSQRNVRYNLISGSGSKPVGQLQLLVAAGPSAFDILIEARDVTKELSGFRDLTELLSNNDADLQVISVWGTKGDLGKTSIIRNAYNDPEISKKFGCRAWITLAHPFDPLEFIRGLLSQFYANSAQQQQGAIIGSNVLKRIKESTAVAEDDLVNEFVKEVNEKRYLIVLEDLSTMLEWDTIRLYLPNTGNGSRILVSTQQFEIASLCTGHPFQVSQLRQFSSDHGVCILFKEGSCCDRSNSDKTDRGMASCNDILHDALLMKDGVIQGEISSYYAKAGLVLDDMFTHLKRRNEKLIHLITEAIFKSKTFGFGVISVWGIAGIGKSTFVRTVYNLKPQCDMYGWVNVSSPFNLRDFSRSLLLDLHPKGTCFESFSDLTEEKKEIGMFRIKDPIHECHKLLHEHKCLVVIDGLLSTEEWDLIKAALLSGHSESFIIVITNEESVARHCAVEDLFVFNMKGLEADVGLYLFIKEVYGPLLDYQMNEEKARLMVDKCVGPISDVNPQMIEETSLLLKKWLAAKPRINHDGDLTPEMIEEAKLIIKKCGGIPKAWKRFNDRFMQELQTHPGFDSLRGLFAWMQSYFHNSPDSLKPCIFYLSIFPRNKIIRWRRLVRRWIAEGYSRDTASKSAEEYGEMLFAKLVGLSIMQQLPETGGTIVSCKGMVWYEVNIFFHEYIISEPMEENHIFTLEGHCSLTSQRTGRHLTIGSTWERDKIVFKSIDFSRLRSLTVFGKWESFFISDKMRLLRVLDLENASEVTNDVLDHIVNLPRLKFLSLRGCKMISHLPDSLGDLRQLQTLDIRHTSVVRLPTVIIKLQKLQYIRGGTKATLGDEGTSARITTAETKTQTKCRPAHTLVAWLPNLCSGRHAGDPYSGLEVPRGIKELTALHTLGVINVGIAGCKAILKELKNLTQLRKLGVSGINKKNIEELCSAVLCHRYLESLSVQFDKDKQGSCDDALKEDLRQRLAQHPNRLVLKLKLE >LPERR11G15900.1 pep chromosome:Lperr_V1.4:11:16824920:16826050:1 gene:LPERR11G15900 transcript:LPERR11G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPEKPLPKPKPKPPKPKPPKPKPKPNPESKSSAWTPPERDQMGPPDPLSCAADCSPDCLLYKLCPPPPRPPPPAAAAVHLKSSRLPTPLIALSASLLAVSVVLLLALLISRLLRRRRRRRGRNAPPVLTEQLQHHDEEAAPAAAEFGEEDDGGGGGGGMHHIWYIRTVGLDERAIAAITAMVYDAKKSGVAGGGGCAVCLAEFRDGETLRLLPRCRHAFHRGCIDTWLRAHVNCPLCRAPVIVAGGDKSSSAAAADENADADTAVAATNTRAASDTEIEGREEEQGSPERGVRRAASMVTLPRRPWPEVTLRSPASNSGRMGEMGLAKIARLMKFSEVLEMAGIGIGDRSVSFGRGRSGQSAAAGNNADEISR >LPERR11G15910.1 pep chromosome:Lperr_V1.4:11:16828087:16831789:-1 gene:LPERR11G15910 transcript:LPERR11G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLSHILTPPPSSSEEKEKKEDAAAADASDDSPDPPPPPPQMAALGGGGGEGRSGVPNALVVAALDSAGEPALDPEPVLRMGTEEQVPYRMAVHPRGDGVLCAFPNGCRLFRWESPQGERPHNLALRADREALTELTDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSSESVANLPREAGEIFGFCRFSNQTDNSQILFITAMQGDYGKIISWNTTSWTRIGSNKITHEAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRVLVTVKKAHLGIITTLAFSEDSRTLLSTSFDSTARVTSIGSPKRHGISIWPMILVIILAILHKDLLAKLLH >LPERR11G15910.2 pep chromosome:Lperr_V1.4:11:16827943:16831789:-1 gene:LPERR11G15910 transcript:LPERR11G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLSHILTPPPSSSEEKEKKEDAAAADASDDSPDPPPPPPQMAALGGGGGEGRSGVPNALVVAALDSAGEPALDPEPVLRMGTEEQVPYRMAVHPRGDGVLCAFPNGCRLFRWESPQGERPHNLALRADREALTELTDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSSESVANLPREAGEIFGFCRFSNQTDNSQILFITAMQGDYGKIISWNTTSWTRIGSNKITHEAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRVLVTVKKAHLGIITTLAFSEDSRTLLSTSFDSTARVTSIGSPKRHGISIWPMILVIILAILVKKGNLSKFLLSAEHRKLQAFPV >LPERR11G15920.1 pep chromosome:Lperr_V1.4:11:16840507:16844575:1 gene:LPERR11G15920 transcript:LPERR11G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGRFLSFSAAAASTPSLSPFRNLTLSLLRRPHLRLLSSASATAAAAAVEPDTKGGAGDAGGGVAKPQWKAAIDFKWIRENTDAVAANIRSRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKSLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEESSVVRKEVGSQRNFSFTIRDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESNKCHEELITIEEGLYSSLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPTNTKKGKGNSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVLTPKTK >LPERR11G15930.1 pep chromosome:Lperr_V1.4:11:16845721:16851342:1 gene:LPERR11G15930 transcript:LPERR11G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCEEEGSSNRRVVMAAAAAEDEEEEEECAAVAGEGGEVAPVKRKMVPLPMADVKWILAQKREPYTDPDTLSDELPESCRKAIRRSNIIRNKVKAAIESDGCCMVDSDFVARRERCRAKVNDAWAKLLDGISLSDSDCDYEDEEDEEDMARLLGKELDLFDFDALLKYHMVIIRSYVVEKCGFLSREQTTPRADGKPSELWPVAVWLLVAPVVPAPSSAGKPEVEGGEWIWENTGTVSANIRNHNSSANLDLALQLYDEYLALLALQKEVEWLRSERNAAANKMIRKLEPSLCQALFQEEPKEGLIALEEDLVQLTNKLQLETQRILDTHPDLTDNLQLEAESIPNTTHPDVPVGVTEDLLSERIVVTETSGSPSKITFGWAKNLNYLILMQLLRIAVPIGIGRAQASLDSSSSAAFAFLELDLYCCWYHVLALMEGGMRLVLKWTQGGLNKKTSNFGYCNRDLGSPTYRKFDIEAWMPGLDRYGERTDLERVKLDGLSEQAAGHLVSHITYRFATGEHQERKGQLMPNIVCPYVQWGGGCCSSLNHGWT >LPERR11G15930.2 pep chromosome:Lperr_V1.4:11:16845721:16849500:1 gene:LPERR11G15930 transcript:LPERR11G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCEEEGSSNRRVVMAAAAAEDEEEEEECAAVAGEGGEVAPVKRKMVPLPMADVKWILAQKREPYTDPDTLSDELPESCRKAIRRSNIIRNKVKAAIESDGCCMVDSDFVARRERCRAKVNDAWAKLLDGISLSDSDCDYEDEEDEEDMARLLGKELDLFDFDALLKYHMVIIRSYVVEKCGFLSREQTTPRADGKPSELWPVAVWLLVAPVVPAPSSAGKPEVEGGEWIWENTGTVSANIRNHNSSANLDLALQLYDEYLALLALQKEVEWLRSERNAAANKMIRKLEPSLCQALFQEEPKEGLIALEEDLVQLTNKLQLETQRILDTHPDLTDNLQLEAESIPNTTHPDVPVGVTEDLLSERIEGSHGNFRFTLKDHLRLGKELELFDFDAAAEV >LPERR11G15930.3 pep chromosome:Lperr_V1.4:11:16850105:16851280:1 gene:LPERR11G15930 transcript:LPERR11G15930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPAKGPKIAVPIGIGRAQASLDSSSSAAFAFLELDLYCCWYHVLALMEGGMRLVLKWTQGGLNKKTSNFGYCNRDLGSPTYRKFDIEAWMPGLDRYGEILSVSNSTDYQSRQLGTWFRTSPIDSPPVNTKKGKGSSCPT >LPERR11G15940.1 pep chromosome:Lperr_V1.4:11:16853430:16853852:-1 gene:LPERR11G15940 transcript:LPERR11G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSTKGKQIAAGDGDDPPPPPPEAKKKVALSLAEVGRILSWVDTRRPIAMRRRPSPEGIISEGDCRVEYQARIRAAMDSCGKVMVDEDFVEERKFRVWEYRLEEESHDDYVDYDSDDSYFDWDEWFPYYLSSFTWLE >LPERR11G15950.1 pep chromosome:Lperr_V1.4:11:16862181:16863293:-1 gene:LPERR11G15950 transcript:LPERR11G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRDDRGAARWGRWRCGQCHGIAGVDGIDGLHRGSDGGSVNRCSRRALSGHPCTVCTVAAKCLADPASGKLTTTRNAGVHSARSDKALQGSIEGIDRSENAAHCSAVVVAEIYPNLAVNRLQRRDRQTHRRRVDRSEKTTGDEVFVSVRVGRTRGRVLLRGGPTPRGPTWKIGSSTSAYSPHGFSSESNW >LPERR11G15950.2 pep chromosome:Lperr_V1.4:11:16862181:16862524:-1 gene:LPERR11G15950 transcript:LPERR11G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLIDSKGATGRRIGAASIDRRRPPATRCSFPYESVGHVVASCYVGVLRLVAPPGRLEVAHPPTPRTVSSESNW >LPERR11G15960.1 pep chromosome:Lperr_V1.4:11:16867528:16867923:-1 gene:LPERR11G15960 transcript:LPERR11G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAVEESSCKKEGEEQNKKQTMKTVVVAPGVCSDPTSGRLYLTEDAIMEILSRERKPVQWWVRPEDAARFERDQERRMEYQSKVRKEYEATGFFRLPDDYFERMEQAQAFCDAALEDGDQQSPDPEQSV >LPERR11G15970.1 pep chromosome:Lperr_V1.4:11:16870506:16875260:-1 gene:LPERR11G15970 transcript:LPERR11G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDGDLAGGGVEDRLSLLPGDILLQILLLLGTSSAIRSSVLCRRWRLLWRLLPALDFPPRADGNSIRAALAAGHEGLPLRHLRVISMDSRASPVADWLPVAARRLSGGLYLFVPKPERDSNDDEEEEDGQGGTFLEIPCFESATSLKLDLGFLDLALPPSAGVFVRLTKLSLRHVWFRGHCDLGDAVSSPQFPSLKKLIIRNAQGLNNLDIHSDSLLEIVLENLMGLQQLNVVAPRLRVLHVVCCFVDRFARRQPVADIAAPNLKILWWSDAFDPSTVQFSNMSNLQLLSTYHFFVYGGPVYATHNRDCLRLLQRFQFDAIPSLSLMLAYWPDIIDSEYLMEDMTVLPNIAFVYIKVFNENGQCIGPSLFHILKMCTDIRGLKLELGISGYQEGNVSQVNHAKRLFSWAATLKEMTINFCDSITESIAKEMCKKLLSFTRPEIHMKFYIHRGAHNKVLYVPEEPASLRSNNKFPNSHLHGARRRQAQPPETRLGALPDDILIQILLCVVTVDAARTNILSRQWRRLWCLLPKLWLAPPASVSPDLLVLSMNAGHGPIMEWLPVAIRSLSEYLLLFNRQVERDSKEEEDDDDFLELPCFGSAISLSLDLGFLALAVPPSSDVFDVIVYGAYEGKNSPTKRKRKRTETPSSILYTMAC >LPERR11G15970.2 pep chromosome:Lperr_V1.4:11:16870506:16875260:-1 gene:LPERR11G15970 transcript:LPERR11G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDGDLAGGGVEDRLSLLPGDILLQILLLLGTSSAIRSSVLCRRWRLLWRLLPALDFPPRADGNSIRAALAAGHEGLPLRHLRVISMDSRASPVADWLPVAARRLSGGLYLFVPKPERDSNDDEEEEDGQGGTFLEIPCFESATSLKLDLGFLDLALPPSAGVFVRLTKLSLRHVWFRGHCDLGDAVSSPQFPSLKKLIIRNAQGLNNLDIHSDSLLEIVLENLMGLQQLNVVAPRLRVLHVVCCFVDRFARRQPVADIAAPNLKILWWSDAFDPSTVQFSNMSNLQLLSTYHFFVYGGPVYATHNRDCLRLLQRFQFDAIPSLSLMLAYWPDIIDSEYLMEDMTVLPNIAFVYIKVFNENGQCIGPSLFHILKMCTDIRGLKLELGRSLEASCESDCVCVLPPNWTSEKLVLNFLHEVKINNLRGTESEITFVKRLFSWAATLKEMTINFCDSITESIAKEMCKKLLSFTRPEIHMKFYIHRGAHNKVLYVPEEPASLRSNNKFPNSHLHGARRRQAQPPETRLGALPDDILIQILLCVVTVDAARTNILSRQWRRLWCLLPKLWLAPPASVSPDLLVLSMNAGHGPIMEWLPVAIRSLSEYLLLFNRQVERDSKEEEDDDDFLELPCFGSAISLSLDLGFLALAVPPSSDVFDVIVYGAYEGKNSPTKRKRKRTETPSSILYTMAC >LPERR11G15980.1 pep chromosome:Lperr_V1.4:11:16876964:16877296:1 gene:LPERR11G15980 transcript:LPERR11G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTRLKKWTESARARIEAGNYTEGYAGFVRWVRDEYDGAAGLAGGELVGERAAVEAWLMIEWDRRLFRWERDDKLGINAAGFGVWDDEDYQEEKDKEKIVDEGSSKSN >LPERR11G15990.1 pep chromosome:Lperr_V1.4:11:16879822:16880190:1 gene:LPERR11G15990 transcript:LPERR11G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQTVTTAANVAEATPNTVASEKPKPCNPVVGVIDGEEVVIPNGKVLLSKGLVDKILSLDVIRSTLLDEEISDELRESIIENEARKDKFVECQARPTSGRIATRRRGMPLLTMSSRSGWP >LPERR11G16000.1 pep chromosome:Lperr_V1.4:11:16888439:16888951:1 gene:LPERR11G16000 transcript:LPERR11G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRLSQENVDWILARSELCTDDAPDIARYIPFLVQAGDGVEEPLPEAYYGNPKSLMRHIDRLLMEKFPKFRDFQRWVRDEHDRDGFVEVEYDYHQFERTQREREQRRGMMAELLADVLPTFEDGDFGEYEEVYDEERREFILREVRGISGVPVFDKDKKMFVFVNKD >LPERR11G16010.1 pep chromosome:Lperr_V1.4:11:16892616:16896262:1 gene:LPERR11G16010 transcript:LPERR11G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFGELDRGMQVFGEFVALRSHEHRSYLHAGEDGRSVYHRGAVSDALPHNAVWAVQGHLVAAAAAAAGLPPTRYVLLRGAYGRYLGGPDATDHHRPPPEPVRATQRDRDEEEVDALMWLASAGESENPDGLALFLLQDRSGRYLRACSNKGFPRRRGGAVSVDANINDGAGASLRWEVVPVPPAEILGQPELPIATESDFREQLNTCCSRPMLREIQFVMAADADDTSFIGDGDWDSLQHRGRSVQILREKLVEAVQDDFTMCVRAGRHGRLTPLLVNLPRSRETLRVVLVRPNSAAAAQLIFPNPNALTASAAIEELRVEEDLVELGDKKAVVSTIHQAVSEAVVAARALKNAQGARAAKEASELWGRKNQNHSANVFSPSSAKKQQQTPHGKKMMKYVVEDRYLTGLQETDDKYRRLVELYNHMIFLGDTKEGTLSLEDSKDLKREMKSTMDQIKKIRHFIKAMGGTSPRIHHSSVFRDF >LPERR11G16020.1 pep chromosome:Lperr_V1.4:11:16896806:16900104:-1 gene:LPERR11G16020 transcript:LPERR11G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTYRVHGVRRPWWGWPGRSYPLRIWALIATRDGVRAAGIRPLHAGMAPPRAPPPSVSPFPFSSILLAVEHKGRVPARVPVLHCRPSTCSSLVAFWVAEEYRLVPDTLYLTVNCIDRYLSGNEINRQRLQLLGVACFGYGSFCPELPEDPALQLEFLANFVAGLSLLEYNLLSYPPSLVAASAIFLAKFILAHEFLANFVAWNSTLAHYTQHKSSELSDCKGIACRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSVPAKFFAMQRVTVRTYQTFHFQSN >LPERR11G16020.2 pep chromosome:Lperr_V1.4:11:16897929:16900104:-1 gene:LPERR11G16020 transcript:LPERR11G16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTYRVHGVRRPWWGWPGRSYPLRIWALIATRDGVRAAGIRPLHAGMAPPRAPPPSVSPFPFSSILLAVEHKGRVPARVPVLHCRPSTCSSLVAFWVAEEYRLVPDTLYLTVNCIDRYLSGNEINRQRLQLLGVACFGYGSFCPELPEDPALQLEFLANFVAGLSLLEYNLLSYPPSLVAASAIFLAKFILAHEFLANFVAWNSTLAHYTQHKSSELSDCKGIACRLYSVGPGSNLPAIREKYSQHKYKFVAKKSCPSSVPAKFFAMQRAD >LPERR11G16030.1 pep chromosome:Lperr_V1.4:11:16901407:16901937:-1 gene:LPERR11G16030 transcript:LPERR11G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLRFSQENVDWILAWSELFTDDAPDISRYIPFLVQGGDGGGGEEPLPEAYYGNPEALMRHINRLCMEKFPKFRDFERWVRSEHDSNGFVEVEYDYDEFEQTQHEREQRRGFMAELLAEEMADLLPTFEDGEFGDYVEVYDEEKREFIMREVEENIGVPVFDKEKKKFVFVKKN >LPERR11G16040.1 pep chromosome:Lperr_V1.4:11:16905178:16906518:-1 gene:LPERR11G16040 transcript:LPERR11G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRQRQIGDGQTVLGTKMGQIRTNPDLS >LPERR11G16050.1 pep chromosome:Lperr_V1.4:11:16912798:16916669:1 gene:LPERR11G16050 transcript:LPERR11G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSARRMSAMRLSQANIDWILARSELCTDDAPDISRYIPFVSDDDLAAGELLPEIYYDEPEALLMHINSICMAKFAKFRDFQRWIRLELDRNGGLVEIEYDYDEFEEKQRAQEERRLSRADMWEKLFTDNPPEEGEFGEYETCQNDPTADDDLWNLATGCKPRIITSTSESDDVVDPVEVEILEKQGIHEESLDNICTDEIHDESDDSDGEDYEEQVDGDEEDEDEDEDNDGEENGVDSEYDDDDY >LPERR11G16060.1 pep chromosome:Lperr_V1.4:11:16926632:16930914:1 gene:LPERR11G16060 transcript:LPERR11G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGEVTTTASETAAPAPELEPTPPPCKGKLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEVRIADYFDVIAGTSTGALITSMLAAPDKNRRPLFAAGDLTKFYLDNGPKIFPQKKQVVGFLTPVANMIGVVIGPKYDGLFLHDKIKSLTHDVTIADTVTNIVVPAFDVKNLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTSNPASGESREFHLVDGGVAANNPTMVAMSMITKEVLRENEDFKLGKGKPTDYKHYLVISIGTGTAKMAEKYTAPKCAKWGVLRWLYDGGFTPLIDIFTHASADMDDSLVGHTSSVDIATTENMEALIGIGKDLLKKKVSRVNIDTGVYEPVDSEGTNEEALKRFAEKLSEERRARLRQNNSTPAS >LPERR11G16060.2 pep chromosome:Lperr_V1.4:11:16926632:16930914:1 gene:LPERR11G16060 transcript:LPERR11G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGEVTTTASETAAPAPELEPTPPPCKGKLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEVRIADYFDVIAGTSTGALITSMLAAPDKNRRPLFAAGDLTKVGFLTPVANMIGVVIGPKYDGLFLHDKIKSLTHDVTIADTVTNIVVPAFDVKNLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTSNPASGESREFHLVDGGVAANNPTMVAMSMITKEVLRENEDFKLGKGKPTDYKHYLVISIGTGTAKMAEKYTAPKCAKWGVLRWLYDGGFTPLIDIFTHASADMDDSLVGHTSSVDIATTENMEALIGIGKDLLKKKVSRVNIDTGVYEPVDSEGTNEEALKRFAEKLSEERRARLRQNNSTPAS >LPERR11G16070.1 pep chromosome:Lperr_V1.4:11:16936628:16938142:1 gene:LPERR11G16070 transcript:LPERR11G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRSSKQQSPSPAAVAVDASAYSYLTLRSGRRVPAPAPAGGGGGLRRRRRRAGGRRCGRNGECGVKSSPASQQRRCKAVDYSHRGELSSSPSSQRNSVVVDSAGDICGGERNVLDPNSCSGEVMEHDGEHNRVAAGRPSLSAPAQAEIEAFFAAAELADRRRFAETYNYDIVLDCPLQGRFEWEQVSTLTGRG >LPERR11G16080.1 pep chromosome:Lperr_V1.4:11:16944549:16949222:1 gene:LPERR11G16080 transcript:LPERR11G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSGVAGVGGGGEVGGVVVMFAWLSSQERHVRAYVELYAAMGWACLVCHSEFLTLFFPDRAAMLADRVLAELVKELKVRSVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQSSPHVGHYKHHPEEYRAAVTELLIKASTLYMSRRRLNSYAVGTSEHGDMSQSVSNVHKATVTSNGRLRRVPTDPIDNFFLPSSMEYHESSSEEPKTELFNMPSVESINPQGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGSLNPIKCIRRSRL >LPERR11G16090.1 pep chromosome:Lperr_V1.4:11:16956029:16962853:1 gene:LPERR11G16090 transcript:LPERR11G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVGSFISRSVYGSFHPFGGAVDVVVVQQPDGSFRSSPWYVRFGKFQGVLKTREKVVSIAVNGAEAGFHMYLDSNGEAYFLRSAGDSDGPLEEGEFVVSPASSGDEREVVTAQDAAAQLRKSKSTSCTDSSTMEADAGDGKILTRTSSRRVTILERMFGRKSIKENAHGVDRVSSLERAEIAAELLETNWSTTPSRGSRARRSNGKPSKSNEENSIDGNQAENSKTTSPLCSTDQEKDMGSNRGSVDSNSFSSHGDGGTNSLGDENDRYIQTTFVKEEIVEIYTRDSGVLIDGTISTDQTGLDSLSNDPDTDKIISEPIDTKSKVLENFEDDAGSEIHAREVLSHVIFETHANETNITSGTSEVVSHIVTVGASQSCIDANSPVYQTAGLSSKKHDISSIASAQDACQEKEVIISSSDTVESSYDVPGILVDKVCDAVGSPLDDSVQPKEQYGVSIEKIEYVSFQGKPPSHCSASGDNEDKTKFDIQDQQVYDSVQSKQQSGVSIEKIEYASFEDKPPSHYGASSDNEDMTKLDIQDQQAYDSVQPKQQSGVSSDNEDMTKLDIQDQQVSILGDSSSENFQAFVPDKHISVDTVLHDHVMYSAHVSGTDICVDNVANDNSAHTCNDLARQDDLFFPGASCSSVEGISNYVPENDPDDVTKESVVENKTCKEEIGIFLNQISRMGDEVTECISLSANFPNNVELQGSYIISELNSLRKVEVENTILEDTESNHSAHTGNDLACQHDLVFTGAASSVEGISNYVPEDDPDDVTKDSIVENKTCKEEIDISLTQTSRTGDETTECISLLGNLSNNVELQGSHIISDLSSLRKVEAENTIHTESRSSSASGVEIKLEPGTTCEPREEVEAVVSFSEFVEEIQFQFSDSESFTDKKTADNSTSNKEADAVVEHDESEFVTEQQGGDNTGLENNLDNCSDSSRPETIPVPIPGSELHSGDNNLEAKSLPILRSHINDLERSDSFHLSRSLQSNSENNGVEQVSSTNSDLPEQEPEGTGDSKDNCSAPELTNSAVPDNLKVDSFNPFVELSLCRHLLSEGMGVDAACNAFDSEKVTLEKFRAMKQSLVRNNRLVVRIAGQYFPWDAAAPVILGMVSFHEEQSFEPQGMIKVARVEPNAASGGSWRIWPFSFKRTRAINTVQPVSESTVESAVSTSVNELERENKKPRAKRMERKVRSLTPTSEELASLNLREGKNVVTFTFSTAMLGRQQASIHFNTITLSFPYVSNRPYLLLQVDAHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQFSLPIKVYCRCSLDLMEVLAQFRRTTILGITGKCRYLIFEFSGNAAVAMRKGIHVEQQHPEKI >LPERR11G16100.1 pep chromosome:Lperr_V1.4:11:16963661:16967969:1 gene:LPERR11G16100 transcript:LPERR11G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHPAASSSPKKKKKKHSKNRESTDPTVDSSLTAASPEKKHSKKRKSTDAIAESSSFAAAAVADTSSPKKKKKKHSKKGESIDATEDAAAISSPKKKKHSEKGETIDYTENASLTAAGAAAAAEFKKVEEVINVTVDASLTAVTEATAAAPIVAYSPTGYDPLAVAAAAARRGKQPPPSRLFRQTKHPSRIELVVGTPGGGPDFVGRSYAGEAALPQHTGYALGVLDKASGTLKVVPIAANKILRLEPQFGVHQPAQSQQSEAVGEAGPSANDADLKVQETTKLFGTLRDKNKDIKWKLLNEQRNDPSAFLDLDLGTSDTNLGTNETQEQIVRNIPPYDPAADTSERAYIFDEIIPKSIRPDLMDIMEHLESGEFSSKGYGNFVSNRVNKLQELQGKDQERLAWILSYIQHLLSLLARNSSMAKRHRKGDQATSGPKIPHSVFRKLLLMFTEQGSSGLSTEKHELLINYILVLTLYADNFRSDPNDICQDLKMTRQMVKPYYDQLGCKSVSSGAFKSSVMTLPAPLKFPKEVTRRKRRR >LPERR11G16110.1 pep chromosome:Lperr_V1.4:11:16967602:16970920:-1 gene:LPERR11G16110 transcript:LPERR11G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGASPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPSQMLMRPDGRGNKQDAASEPSGTESHKSTGADNEAGGDVAEKS >LPERR11G16110.2 pep chromosome:Lperr_V1.4:11:16967602:16970920:-1 gene:LPERR11G16110 transcript:LPERR11G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGASPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPSQMLMRPGTVNGMQSIQAADPARTADLQQAAPGSIDGRGNKQDAASEPSGTESHKSTGADNEAGGDVAEKS >LPERR11G16120.1 pep chromosome:Lperr_V1.4:11:16975336:16975712:1 gene:LPERR11G16120 transcript:LPERR11G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGMLVPADGEDSILVPHGKLALSRKLVDKILSLERRELPHAADDPTEAAKGLWEGVVQPEEAGQARGVPACQAMIRKLRHGNGYAVLRVAVIKAGGVFVGDADLADLVDEGFSLASK >LPERR11G16130.1 pep chromosome:Lperr_V1.4:11:16994640:16997643:-1 gene:LPERR11G16130 transcript:LPERR11G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFMSAMLKSLLSKMQSLVENESAKLREVNRGIASLRAELEAIHEFLLLKAPAEADDRRTKAWLKELREVSYDIEDYIDKFTAAAGAGDDGLDAGLNKPRHGKGMVAWTKSLLGRASTSRRIARDIQDLKGRVSQVSKQRDRLPGAPAAAAVDPRIHALYGEVSRFVGMDGPRDELVELLLKQEEDESSESAARLKVVSIVGFAGQGKTTLAKEVCSAIGPQFDCQAWVLVSQNYNTRGILTELLHKLQIQDNIEDQVKWDERDLINKIRGYLQIRRYLLVLDDIWSLESWEIIKLALPMNSFCSRIIITTRIQSVAKSCCSHWNDIVYEIRPLSMHDSRKLFHTRIFGSEDSCPPHLVDVSDKILKKCSGMPLAINSISSLLAMKGHSKEQWLEVDNSIGKSPAETSTLDSMRQILYLSYCDLPSHLKACFLYLSVFPEDHVITRDRLVMRWIAEGFIAEKPGRNLKESGESYFNELINRSMIQPVHFGYDGQPQDCRVHDLVHDFIVSMSNEENFAVSLGDQEPASISHHKIRRLALKNTNEYHFPDLVAQSQSHMRSLTIFGSLGSIPSLQNFSLLRVLDLEDCQNLRSQHFREIGGLLQLRYLNIRHTSISELPNEILALQFLETLDLRGTGLKELQANVVQLHKLAFLLCDNRLRLPEGIGNMKTLHLVSQFDVLRNKVAVVEELGNLTNLTELVIWWSPNMDSKNVGIYERFASSLYRLNKLQSLTINGSDSSVDLLHHLYHPIQGLKKFKINEDCYLGRIPKWFRSLPNLSYVCVDVKEIKNKDLRLLASLPSLIHLSLSSRVVPTEHLAICSKGFLVLREFHLHSDWANLTFEPESMPEIERLFLAFHVLAAEKYGFSISIESLKCLKKFDIRINTEGANASQVKAAEDAIKKAADSHPNTPMLSILTLGNLVDNEGRDEAKKLVN >LPERR11G16140.1 pep chromosome:Lperr_V1.4:11:16998101:17001631:1 gene:LPERR11G16140 transcript:LPERR11G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIGLVDLAIKLFNLLDESYQQGKGLTADIDFIKKELRSIKEAIGMRSGSADQDGIRSWMADLRDICRKIENCIELYNLKVTGNKKKHDVIHHIKTKSARTKLSDDIDAIRKLVEQANARVNWYHPQAQQAAAAGASGRQIMTIQNSYINGAAAPRHANEANPDGLDTPKAELVRLLSQGDGKAKQLRVIAIDGLGGSGKTVLAKSSYEQVNGEFDCSAWVSASDDVDDLLTDILREFREQIPQSSEDLGRRIRDFLQNKRYIIVIDNVHTTVWWRDVLYACPDNNMSSRIIVTTANEQIARNCHMNCRIQKMQPLDQISARALLTRKAFGEGGCPTNWEEVLGMILETCECLPLAIVNMADHIKGIRVCHTNECVQACENLRFLLDAKNEAFLGMNQVLNRSYSNLNNNTMACLLSLTMYHKHNFFKRKSLIRRWMAEKLIMVTNGQSAEAVANQCFSDLVDHNIILPVHVSINGQVKRFRVHRIMLEFIMNRAHSDNHVTWIHIPNDGMKDRVGGDNIHRLYLHNESSRPPKIWENIDLSYVRSLTFFGQATKSLMDFRDYKLLTSLDLEGCRDLQDEHLELVCKSSILVYLSIRGNPGVTKIPRKIVKLKRLETMDTRGTSVGTLPIEVIQLPELTNLFGEFQITYSSSSMRAFLSEKICKLQTLAGFYLDEGPCSFVKALPRMTRLNKIKIICREGYAPSKEAISDLLASLEECFERDLSPPNLPLRSLSIDFGPSGDLDFLYELEVALPCSLRSLKLRGNLRRLPKFIESAPNLQELCLSGNNLGWDDVLPRLQRLQNLLYLKLTELDTFRCGAEGLIWRSGGFVSLKRLCFVVPELPNIVIQNKAMPGLESLQLFCFRLGAISGIEHLLRLEEVIVASNFRGVAAEDLKRQVEVHPVRPKFRKEPHPSPPASRPELTRSKRIQRNGDDHD >LPERR11G16150.1 pep chromosome:Lperr_V1.4:11:17006939:17011106:-1 gene:LPERR11G16150 transcript:LPERR11G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYSPAEVEAVIARL >LPERR11G16160.1 pep chromosome:Lperr_V1.4:11:17011464:17016638:1 gene:LPERR11G16160 transcript:LPERR11G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAAAAEQQQEEGEHGPFPIEQLQCCGGILGGKLLVLDSFLAPGQGHTFLGITGTVAVYYSKVMIHLSPKKGILGLAFWGGGFSWVASGIAALDVKKLKDAASKLVPLGFTSASQLHAQRLEIIQVTTGSTELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQVASEGVADVKD >LPERR11G16170.1 pep chromosome:Lperr_V1.4:11:17018246:17022685:1 gene:LPERR11G16170 transcript:LPERR11G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKDRLSLRLNQIQAVYDAVDRQQINEQSDALDKWLWRFRDAVELAEDVLDEIEYYELEEEVRAQNLDLQVRNPAIEIFKENFVHKVAKYTSEGYMIKNLRKAVKRLDEIAADVDTFLHLTSRSNSSVLPDYAEKSIQQIGSTLVATEIFGRDSEKNKVMGITKKILEALIEQKPSVNTLEALQQILKKNLNPKKFLLILDDVWEDNKRDEWEILLAPLQNSQNGSKILLTTRMKSVADMATSVMGSKKNYLHLNGLYEDENFILFKKYAFYDIRREDYEHLLPIAKEIVKKFRGCPLVTKIAGEHLRSNASDHHWNNLYRQLENLEEKIDVIIATVLRSSYCHLPEHLQVCFRYCSIFPIGYEFNKDEIVKMWMGSGLIITDSGTERPEDIGERYLVQLARKSFFTFVQMGDPYSKYYREYYVMHDLLHELARNVSAGECLRLDSGGYRHKKCTLCNLENVENHEEVIEAKLRDKPYLRSLSLNWSETNNVLRNDDDLVLDKLEPHSHLEYLEIAGYNGVRFPTWISHLGLTNMVSLELRNCKNWAYLPALGNLKLLKHLELHKLVELEHIGQSSDNSLPPNLKTLVVEGCIHLGELPLLPPSLTQLEVNHVGLTTLPRIYDHHGNNSGLGTETKLISVIIINCSNLVSLEESFLLQESHIRSLQILSIVDCEKLIRAPLLFSEMDNLVEFHIGECYRLKMMENDNDVLLPSTLKELSIVQCGDLQLPLLGSLFGLTNLTSLSLYCTRAQSLPSADVFRSLKALREMVATGCTSLASLGGLGALSYLTWLEIVGCPKLEVSARCDGDNGMGGDSRRLWNIGILNEFGRIFTGINSILTKFREKPHIAKEDSVLESPQQVYSLWIGSPSMLDMEPLKRLCNTKGLIISLAINSIPREWLRQNSMSLETLEILKPETRLLLQDLRSLKRLEFDTVDGDLEFPVLPSSLESFIVRGCNPGLVERWKRKGSSEWNKLSQIRHISEVQGSGGRMSKRSPLSMLTSYYNTGYMFFS >LPERR11G16180.1 pep chromosome:Lperr_V1.4:11:17041822:17047683:1 gene:LPERR11G16180 transcript:LPERR11G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMLVSSAVATVKAFYSKIKSLLETKDSALELSRDIKSVRTELELTNHQFFNPKRPEIQTRHRIRELKEVAYDMEIAYDMEDYIEKLMIAGDGSFFSNVVKTCGSRQRVDRKIQELKRRVEQVKGNLPVSPVPASASASNLRSDNKIVGMDDKQINELAELLKKDESADSSARLMVVSIVGIEGLGKTTLARQVYCKIGQEFDCKAWLMLSKKPDNRRVLMDLLRRVQIPDTAEEEKNLGERDLIKKIRGSLQNQRYLLVIDDVWSLEEWEIIKLALPMNSLHSRIITTTRVQRVAKSCCSHWNDVVYEIRPLSMHDSRKLFYTRIFESGSCPPDLVEISDKILLRCYGSPLAINYISSLLATKGYTKEQWSEVANFLSASSVGSTTTIKHILSLSYYYLPSHLKGCLLYLVLRWIAEGFIAEESGRNLKELGESYFNELIDRSMIQPVHVGYDGQPEDCQVHTLMHDFIVSLSTEENFVLSLGDQKPECISHKKIRRLSLNNTNEDHHIPAGLVAQSKSRMRSLAIVGSLGSIPSLQSFVLLRVLDLEDCHNLENRHLKEIGNLQYLRYLNIRNSPISKIPDQIMKLKLLQTLDLRGTEVLELQANVVHLVELAYLYCSLSRIPKWFTSLPNLAYACIDVGEVKNKDLQLLSCLPSLIHLSLSSTVVPIEELVIGNEGFSTLREFHLHSAWANLKFKPGSMPKIENLLLLFHVLTVENRGFSVSIESLECLKKFDVILNIEGCNELQFNNLVKVL >LPERR11G16190.1 pep chromosome:Lperr_V1.4:11:17049084:17052177:1 gene:LPERR11G16190 transcript:LPERR11G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLPGGGVDQSEDYSPAATVVRFDPPLPLLRAPVPSSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWKGLFGAPPDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIACEGHLQNTNFTVWNDGSNVKSQYLFNPCPGATNYKGSDLLDSLSSEDSNPKDGD >LPERR11G16200.1 pep chromosome:Lperr_V1.4:11:17056449:17059796:-1 gene:LPERR11G16200 transcript:LPERR11G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSSSHYLQPSATLPSTRRNSFQGVVGVEAGGGGSSNPFGPTFSDPHCNLNLKETSDFVRTSFPMATMARSNSSNGTTNNGGRIHSYNHETSTASSSSSSSASAQRRRAEQQVPATPGRPLMFFNSSSPAHHHQLLVSARRSVPSKWEDAEKWLRQASSETGHHGKGSKLQNGGGQEEKKVSVRRSVDAEVSALAFYTAPAAEVFLKDKFTDNVEPSKESFVFTTKNTAVAAAAAGGGGELDHRRDIGTEMTPLGSSTTSRCHTPIKSTSPARHNTPASRSGPLVPYNAGGGQDISDLADCHLAKLELGEKFDAMLINWSSKEEEEEEVSKSLRHFEATVAVDKRGGGAADCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATSHHHHHHHHLAGNNASCGCFPCNGNSNIISGNLLNYY >LPERR11G16210.1 pep chromosome:Lperr_V1.4:11:17065538:17068387:-1 gene:LPERR11G16210 transcript:LPERR11G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPREVVKSWFITLQEDIYVLIRIESLVSLVTATFLIMFIMDIFLARSRSRGSGAMSMFDKVDRFSDRIVLYLLGAMQVAPFDNSLFPVWSIVLVSLHGSIGYISGYGVSDRIRRVAEMGNVIKFLGVAFLTSTQGSQFQRPLWSMWALLLLRSVHRFGAQRNIEKSLWHGRSSELIPEYMRDDHDSSQFFRGAVLDAATMEGYKYLVHGESKQNIRLLKPRYVLYLDIINQDSMVTLDRIWRSDGPVLQYAVSVHGDNSKDLGLAFALSRFLRCRFEDVTLHPHCFSMSRSVIMKQILNEDQNADANQNQKMQNAERAFRIMELEIFVNKEPPEDDLVHFVHGHNVDVYLTWLFMFFMVLKEIWEITTYVLSEWTKLLLACGYTRFFASEDTCFRWIRNAMTAKMLGCFLKSKISDPWHGRLDQCEFIQSFDYKPSLRRIIMYHATLGLVKLKDHGEKLGTDIKIPACVKLAILDALNSMNINTLGSYLPKQIPLFRGNPMLLEQFQWALLDLQTCSQVVLVWHIATSMCEIKLAKDKGLDLTKPGFLRSTFTYLKSLVCFWLSPQPYLVDENILVGDKLRTSYTIANSLSRYCTYLMCFQSDLLPDSLIVPSVIFDTTISAACEAMDGCNSLESKYDRLNAMLVPETAEEVRMAGILQKAVKLGKQLLDIHDDEQRWKILESVWANLLVHMAPSWNAEAHKNSLEYGGELITFIWGLLWHCGIKKSNLWNDPDEAPGNTNSQPQSGAIEPRGAHNVQDDVMMNNDQNGIQLAHDEADVQNQPSEQAAEADVEIAEEAQEDEPEPGNGDHHAQFAADMEIAAGEAQEEDSDSELGNSIHIHTHFDADVEIAADEAHEEESKTGNNVQTHSAADVEIADEAQEQKSEPSNNVHHSVRCRRGVCR >LPERR11G16220.1 pep chromosome:Lperr_V1.4:11:17088029:17090576:-1 gene:LPERR11G16220 transcript:LPERR11G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPTEPWILSSLYSGSFCIWNYLTQVQYKVHNIVSPTVIFECLTAVPQGREDSGPDPVTTAGNAGQEILRGMDSKGQANGGRMALTDVTNNITALQITPSDGVLRKKEETNRKQREYRARKKAEANNPYHDESVNAQVGKVPDEKTIERNKKRRENCAMKKIDSNTVDNSVIMCTPTQTRTTFLYNQNESDDARQSGMT >LPERR11G16220.2 pep chromosome:Lperr_V1.4:11:17088029:17090576:-1 gene:LPERR11G16220 transcript:LPERR11G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPTEPWILSSLYSGSFCIWNYLTQCLTAVPQGREDSGPDPVTTAGNAGQEILRGMDSKGQANGGRMALTDVTNNITALQITPSDGVLRKKEETNRKQREYRARKKAEANNPYHDESVNAQVGKVPDEKTIERNKKRRENCAMKKIDSNTVDNSVIMCTPTQTRTTFLYNQNESDDARQSGMT >LPERR11G16230.1 pep chromosome:Lperr_V1.4:11:17097920:17100142:1 gene:LPERR11G16230 transcript:LPERR11G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTAVFLFLKNIVMRTILGIFKEDETTHTIGVQFWVVVTTTTLLLKFIIGSIAPLYYTMRSLNDAARIVLVVNYSTVNYTLGLMQLSSGRNDFFQVWAVLLVTLQYSVRIGIPYRKSKDVSVADLLLSLWAANLIRAQTLLKLKIPLWFIWSVSAMRIISFFFSSQRACDIYSENMRLVANYMSHEHEEGNPDDVDPSTMKGYKYLVLGEDKQKKKVEPPAFRLELDTTDSDNDLITVERVWSLHNSRLLGDAADLDNRLKDVCLSFALYKLLRRRFSNLPILEARQPKTKRLVFEYILQGTNDYERAFRITHVELRFLRDFFYSKHSIMFAKGFPFWRMFLSVSLVGAVLYLGYVVHRLSKSPEDMVRITNGSFVTYFIIVLVVTKEVWEIVIYVFSQWTKVLILCKYVKDARLHFRVVESVLRIFLKLMINWKWNQKIRQRNILVSSRYMSGFFHGKVQLRSEVKRALFETFRGLIASYLPNAFGPNQDKTIVNNINQDNTIVSQISWAGGELEADTHRILVWHIATSLCEIKLFDSDQDQAVVLPNLWKRATRPNRPLVNETAIANQQGLWGHYITAISLSNYCAYLVSQALVPDNGLVVNKVYEVVQQEVNSAVCGCKSILDIYTRLTSMARMPDMPDDGGRSLVKMGAQLAEQLRLAYTEDRVRLWRDLGKFWTGFMLHLAASTRAAKHDIHLRGPGELTTHLWALLSHAGFLGNVMHGEQMLDPDDINDSSYI >LPERR11G16240.1 pep chromosome:Lperr_V1.4:11:17117576:17120305:1 gene:LPERR11G16240 transcript:LPERR11G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMAVAPQCVAFRLEHNGSYLRFAHENKETNKSFLDANGEDCISPYTRFYLEPSKQHDGLVHIRCCYNNTYWAVQEQLQDGAGWIIGTANEPEDDLSKASCTLFKLIPASDDSPDSIRFLHAQLGKHACTFSSSDIANKNLTGSYMHVAGQADNNFNTYTVIDLSQQKNLPKYVTFWGNNWKYLSARKIEGYNYLQFASEDIGDPTVINTTFTNNDGTVRIKSNHFGKFWRRSPNWIWADSTDTSNRNRDTLFKVVKAGEFFALRNMGNNNFCKRLTTEGKTSCLNAAVSTISAEAKLKVEEAVISRRIYNVDFDLSKARTYGKRALIMSTASALNLTTVNNKATLTLDYQETDKRTWDSTVSWKLTAEASISAGIPMIGEASVTVTNEFNGEYKWGSTLEKIIKQNVQYEATIPPKTRVTVSLIATQGSCDVPFSYKQEDVLYDGRTVTYTMNDGLYTGVNCYDFKYETSEQKMANL >LPERR11G16250.1 pep chromosome:Lperr_V1.4:11:17122142:17122535:1 gene:LPERR11G16250 transcript:LPERR11G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGLMEPLFLPTKELFTFKSRIPFSFMIIKLLLSLFKNLITIIILLTGHLSLYCSNLSFFSKISNFSSDGFLVKATRWPTSYQDLED >LPERR11G16260.1 pep chromosome:Lperr_V1.4:11:17122911:17125799:-1 gene:LPERR11G16260 transcript:LPERR11G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQQKGRLPAGLHGGGHQNPAQQARRSTIGIQGGGHEKTAPAAVKSYQKQAVLRPNTGDQKLKDKDKREQERREKEKKKVQERLEKERKKAQERPEEERKKAQERREQERKKAQERLKQERKKAQEHLEEERKKVQERLEKERKKVQEQREKKKKKVEQPCGQDRKSSNGKVQFIMYETLDIDAAVSKPDKRLRGTGTVYSVYKSKLGAITIPNEGAVPSMEEFTQAVETFKTIQHPNLANLVGACTQRRVLIYELLPDGTLEDRLTDENQKKSFTWKDRVTVAAGICSALDYLHRNKPKLIIHDDLKPSNIHFGTDSVCKLTNFGVSSLLRSTKHVSFLEQVVEGFVQALKDTDASKIQIQKDVSGLGTILLQLGTGRSNTDGLRDFVAEELGDDRVFQGKSTAQKEKILGKVVDPELKSDSVKAAAARMLFLGLRCTDPAGKQCPNLASEVLPQIKSCIADSGMVRVHRFCF >LPERR11G16270.1 pep chromosome:Lperr_V1.4:11:17137945:17138883:-1 gene:LPERR11G16270 transcript:LPERR11G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVYSLPRDFGVLLVNDPAEQYWSACLEVSRCSHVILVWHIATSLCEVKLAQDLKSSGILKSALSFLRKHSSRLRRYPVHETLGGSLRTNYVTANCMSRYCAYLLVSRPELLPGKIWVSKQTFQDTVQCAREILNGCGSLQKKYDRLITASQRAEIPGGHNIKLSGNILQQGAMLGYMLINDEDQESRWEILAKVWARLLVHIAPSSNAEAHAKHLDSGALFTHCGIEKSELWQEDAVPGSNASPGSPGPSGRQNSPVAATHVQQQVTSDIPAATQPDARVGDLDDDEGIPEIVVTSPTPGT >LPERR11G16280.1 pep chromosome:Lperr_V1.4:11:17149640:17164935:-1 gene:LPERR11G16280 transcript:LPERR11G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVRLRRTAAAAADGWLAGGEGRCGGIGGCGRWMTQGEEAYWRDQTSSGLASDQQGNRWLNYVIVKLPAKNGERNIFTRQHVFLQPFNSRMSEWKLNSCILERYGNASKVRSEGKTAKNVEAAVIQALRSMDLEGNALSRDLPLPRVSDRAEHYWLAYLAEVPSCSRVILVWHIATSLCEIKLAKDHSVNLTAKSRLSSSLVDKRTLTDELQKAYTVSNCLSRYCLYLLVSKPKLLPETILMSKKAFQDAVQCAREMLKGCGSVQSIYEKLMEGEQEALATAPGANVLQQGAILANALINNEDQTCCWEILSEVWAHLIVHIAPSSDAAAHAEDLKSDHEFITDIWALFCHCGIEKSELWQQKKGADSGNFTPEPANQSSNVADTHVQEAVASNPPAARSREIHEASLLLACSKILREGAMLGKKLIDDQSIDEGTRWKILLKVWARLLLHLSSNAQAHAKYLDSGSKSIEFITVLRALFSHCGIDKSELWHNDAAPSSRQAGDLEDSGDIQQEAQSRLRRTAAVAVAEADASGLPVAFPHLLGCSLRSPAGMCGGSEWRRLL >LPERR11G16280.2 pep chromosome:Lperr_V1.4:11:17138906:17149631:-1 gene:LPERR11G16280 transcript:LPERR11G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWVKSTSEGGITAAASEKSMRNSALITAQLSDLGAAFLAQENRSKVAEHKLKQVGATVVGLKPMKILKMSIGAWITHFLIKWNQESYTLLRIRVIMAILILLYYVMFSLSGIFYFYTKTAALSFLDVVTDTILVYILGAMQAAPFKNPLFPVWALLLVSFRSSFSSLSRYGTHFELRNVFKLLAVAYMNVTQGSKEWRVQFWVFWSLVVLKGLYRILASYRASKSLGHGRSSELLQVYMGPDHDHRKFNPDSCNPDTMEGYKYLVYGELNRSRENTGEGLRISDSWLLITLENIWQCNEDVLRSIKWQGIDMKDLCLAFSLSRLLRCRLDGVTLHARTVSMTRKLVCSRIPRHNVDADKILLGILNLDVVFLRDYLYSSYPIFFTGGLLWLFFTVLVSLVKYTLAISIFIVFSTPYLPLQDLGINRPSFHQRHSFNADTILTGTAMMFILSMEMWEVLKYFASNWKRVLVVCRLVNCSNNCMKYFLRRMIFTSLDRAVGLTDSVAATGQYTFLQSFNYSAWKWKLMHLLTMGIVASKVNGTKLSRSIYLRENVMLKV >LPERR11G16280.3 pep chromosome:Lperr_V1.4:11:17138906:17149631:-1 gene:LPERR11G16280 transcript:LPERR11G16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWVKSTSEGGITAAASVAGDRGGTLHGDDGFLGRTPSLNGIQLNPLCCLLNGEVNEELGLDNCTAFRSRCCLLGSRKILKMSIGAWITHFLIKWNQESYTLLRIRVIMAILILLYYVMFSLSGIFYFYTKTAALSFLDVVTDTILVYILGAMQAAPFKNPLFPVWALLLVSFRSSFSSLSRYGTHFELRNVFKLLAVAYMNVTQGSKEWRVQFWVFWSLVVLKGLYRILASYRASKSLGHGRSSELLQVYMGPDHDHRKFNPDSCNPDTMEGYKYLVYGELNRSRENTGEGLRISDSWLLITLENIWQCNEDVLRSIKWQGIDMKDLCLAFSLSRLLRCRLDGVTLHARTVSMTRKLVCSRIPRHNVDADKILLGILNLDVVFLRDYLYSSYPIFFTGGLLWLFFTVLVSLVKYTLAISIFIVFSTPYLPLQDLGINRPSFHQRHSFNADTILTGTAMMFILSMEMWEVLKYFASNWKRVLVVCRLVNCSNNCMKYFLRRMIFTSLDRAVGLTDSVAATGQYTFLQSFNYSAWKWKLMHLLTMGIVASKVNGTKLSRSIYLRENVMLKV >LPERR11G16280.4 pep chromosome:Lperr_V1.4:11:17156701:17163611:-1 gene:LPERR11G16280 transcript:LPERR11G16280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSGGSQAKSRVRAVGADSLFFGSMKRWQQWWIRRARPLAAEVAHSPNPDPEWGGEWREGKVRERKGPVVILTTHFSVGKLKMSPGALIANFLIKWNLESYVLLRIRVIMAILTALYLMLFLSSAFFRRMYRPMLKFLDPVADVILVYILGAMQAAPFNYHLFPAWALILISLRSSLHGRSSSGTLFELRNILKLLAVAYMNLTRGPKLGRLPFWLFWSLMALNFFYKILAQHIASKSLWNGRSSELLQEYMGANDNKNNFNPEICNPETMKGYKYLVYGESQQSRKSAHILNIESLRSLVTLDKIWRCDNGLLLTSINKQGNNMKDMTLAFALSRLLRCRLEGATLHEVPVYMTRKLISKRIHSDNPEKELLGILELDVEFLRDSLHSSYPMIFCRGLHSLFFTLMSSLVKLCMATWLFADFSREHFPKSVQDRGFWARYSYFLHSIGFDSVINMVALYIIMNVECCRMFNYLKSKWAKLIAVCNFVNFRNRWLNYVIVKLPAKNGERNIFTRQHVFLQPFNSRMSEWKLNSCILERYGNASKVRSEGKTAKNVEAAVIQALRSMDLEGNALSRDLPLPRVSDRAEHYWLAYLAEVPSCSRVILVWHIATSLCEIKLAKDHSVNLTAKSRLSSSLVDKRTLTDELQKAYTVSNCLSRYCLYLLVSKPKLLPETILMSKKAFQDAVQCAREMLKGCGSVQSIYEKLMEGEQEALATAPGANVLQQGAILANALINNEDQTCCWEILSEVWAHLIVHIAPSSDAAAHAEDLKSDHEFITDIWALFCHCGIEKSELWQQKKGADSGNFTPEPANQSSNVADTHVQEAVASNPPAARSREIHEASLLLACPET >LPERR11G16280.5 pep chromosome:Lperr_V1.4:11:17156701:17164935:-1 gene:LPERR11G16280 transcript:LPERR11G16280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVRLRRTAAAAADGWLAGGEGRCGGIGGCGRWMTQGEGPVVILTTHFSVGKLKMSPGALIANFLIKWNLESYVLLRIRVIMAILTALYLMLFLSSAFFRRMYRPMLKFLDPVADVILVYILGAMQAAPFNYHLFPAWALILISLRSSLHGRSSSGTLFELRNILKLLAVAYMNLTRGPKLGRLPFWLFWSLMALNFFYKILAQHIASKSLWNGRSSELLQEYMGANDNKNNFNPEICNPETMKGYKYLVYGESQQSRKSAHILNIESLRSLVTLDKIWRCDNGLLLTSINKQGNNMKDMTLAFALSRLLRCRLEGATLHEVPVYMTRKLISKRIHSDNPEKELLGILELDVEFLRDSLHSSYPMIFCRGLHSLFFTLMSSLVKLCMATWLFADFSREHFPKSVQDRGFWARYSYFLHSIGFDSVINMVALYIIMNVECCRMFNYLKSKWAKLIAVCNFVNFRNRWLNYVIVKLPAKNGERNIFTRQHVFLQPFNSRMSEWKLNSCILERYGNASKVRSEGKTAKNVEAAVIQALRSMDLEGNALSRDLPLPRVSDRAEHYWLAYLAEVPSCSRVILVWHIATSLCEIKLAKDHSVNLTAKSRLSSSLVDKRTLTDELQKAYTVSNCLSRYCLYLLVSKPKLLPETILMSKKAFQDAVQCAREMLKGCGSVQSIYEKLMEGEQEALATAPGANVLQQGAILANALINNEDQTCCWEILSEVWAHLIVHIAPSSDAAAHAEDLKSDHEFITDIWALFCHCGIEKSELWQQKKGADSGNFTPEPANQSSNVADTHVQEAVASNPPAARSREIHEASLLLACPET >LPERR11G16290.1 pep chromosome:Lperr_V1.4:11:17163462:17164360:1 gene:LPERR11G16290 transcript:LPERR11G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETRMGSDGEGGRRKKERGKGDSAISIYYYFEEYLEKISSSSISDERNQPRERATGEETANENSTE >LPERR11G16300.1 pep chromosome:Lperr_V1.4:11:17166554:17169042:1 gene:LPERR11G16300 transcript:LPERR11G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERSNFCLPAYLVEDLVSNEASVRHQYLSNRWEVVELAAQQLVEGEREAHVLGPIIWVCAGAEYRPAVVAMLPDLLHGDNALVLHIQHEPEAARLTIPHLLQVFPQNQEDDLSEWRKYVMMRPAFTDQMSQRGILRSKTVCTRRRLAVQKEAMRSKIGSRWDL >LPERR11G16300.2 pep chromosome:Lperr_V1.4:11:17165146:17169042:1 gene:LPERR11G16300 transcript:LPERR11G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLPAKCSRYLDALVFAAKWRRNPDQNLAKSSQSPTLSAAYAVISCFPSLAPIRISLSSFSCSFLPNATLVFLGSSILCMILSYTSCKDLHLWRVNEATFVSRRTSLKTLSATRPVSGTSDLVSR >LPERR11G16300.3 pep chromosome:Lperr_V1.4:11:17166817:17169040:1 gene:LPERR11G16300 transcript:LPERR11G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLLHGDNALVLHIQHEPEAARLTIPHLLQVFPQNQEDDLSEWRKYVMMRPAFTDQMSQRGILRSKTVCTRRRLAVQKEAMRSKIGSRWDL >LPERR11G16300.4 pep chromosome:Lperr_V1.4:11:17166817:17168723:1 gene:LPERR11G16300 transcript:LPERR11G16300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLLHGDNALVLHIQHEPEAARLTIPHLLQVFPQNQEDDLSEWRKYVMMRPAFTDQMSQRGILRSKTVCTRRRLAVQKEAMRSKIGSRWDL >LPERR11G16310.1 pep chromosome:Lperr_V1.4:11:17166119:17168383:-1 gene:LPERR11G16310 transcript:LPERR11G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVVSAARAILAAMRRLLTRDEATQMASVQVWVLLTTLLLLVRFLVDFSGIWYAALLSAGAVHILELLNYSMVVYTLGLMQLSAARVNDFFQVWAVLMVTLEYSVKVGLPYYRSQRLPILDLMASFWTANLLRVQTVLLLKIPLWLIWSVNAGRIITYFLHSDRSSSCNEENVRLVTDFMRYEHTLSATTSTDTMTGYKYLVLGEDLKKMRDREPGSFRLVLDVEHKSIVTVEKIWEHRNDSRSILGTGADPDDRSKDVCLSFALYKLLRRQFYHLPLHEAGLEKTRKLVFDVLLQEKNDFERAFRITGMELSFLRDFFHNKHAQMFTSGFPIQSLVLSLLLIAATGYIAYPVGQIPARMDPKDHNRITHGVLITRIIVALIICKELSEITMYVFSEWTKVQMICMHVKHPRFGRCWLVDKVTRCMFRLINKGRWKHKIRQYNILISSLDVKMTMNRLFPPSIKLETEARKAILVCFKALEHKPELLGAYCSNAFGSKPGNLQWAINLEADTHRILVWHIATCLCEINLTDGEAWAMKTIWLRPRPFVNRSRTLEEDGVWDHYQTATSLSNYCAYLLTRSLVPDTGLVADKVFNEVRRETKVASFTRHRCKSLQDVYDKIMQRIEEPRKTSVAFGKKEHEKEDKLILMGARLGKQLMTAYAADRVGLWEDLAKFWSGFLLHLAANTRASRYRLHFAGNGELITHLWALMSHAGFLTSTNHGHMMLDPKDLADLANVGRPMAEQYGSIITDTD >LPERR11G16320.1 pep chromosome:Lperr_V1.4:11:17177990:17179658:-1 gene:LPERR11G16320 transcript:LPERR11G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGSNWFLKRAACIAPMTYITMESDTLLTAPRMDLTIMSWKWPRNMSVMNITRNNIVVSTTRNSIHAKMYTASDGKIDKFEKHTWIKQLPADRSRFPEPRD >LPERR11G16330.1 pep chromosome:Lperr_V1.4:11:17179396:17184108:1 gene:LPERR11G16330 transcript:LPERR11G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTSTLSILPSEAVYILAWIEFLVVLTTMLFLVMFITDIFRGHFHDIIVKSILGAVNNVSDSMVIYVMGAMQAARFKNQLLPVWAIVLVGFRHSMDFISGHGVPDYQGKRFFEVIIVFKYLGLAFLNRTRVSELAYPLWSLWGLQIVRTLYRFGTRALAFSSAWYGKSSELVSEHMRIDEHRANFIPEECNPETMKGYKYLVYGETRRHIRLQKPRYVLQIDMNPKGRAQAQRQEMIKARNKARGEDKASRGRDRAAHTSGQVENVTEPMNPQGRQPAEREIIVESVSPQTGHPAERGTSTRDPTEREKKYTIGSASPKFPCDQNGSTNTDLESLITLDKIWRCNGALLSSTQGDKFKDLSLAFSLSRLLRSRLEDVKQHKESIPITKKLVVFRIIKEHDPERAFGIMELEMAFINDYFNTRFPMIFWGGLSSLCFSVTLSVATLVVICWLSADISRLYHSHKDEVTHTVHGIHVDRAITWFFMLLMMFKEFWEMVSYLLSDWTRLLLACSYVQKVCRCMRNGLMEKFISSFLTSKIAHHRWHGVIDQHAFLQSYDDSPSVWNLVHTISTGAIRKKEEGTKLEENVKIPESVKHAILKTLRSLDLTHDHLLNVVPTLVAEPRERYRWACSELPTSSHIILVWHIATSLCEIKFASDRGINLSSPGFPLSAMLYLTSFCRHCCCPPQPYLVNENILNGDLKTGYTVANSLSRYCAYLLVAKPDLLPDSFLVPKIVFEDTIKDARRILKGCDSLEKKYKRLMDEASQDIRNSDYNKNVVQKGAKLAKELIDYESEKSCWEILAGVWVDLLVHIAPSWNAEAHKKCLESGGELITHIWTLLWHCGIEKSKLWPVEDVPETQNRNIEINNVQLVKPVWQDAGAWERENKQQMPATTTLDGGHRPLKPGLTNRQGNVVRKMQNLGNTCYLNAALQSLLALDELRVRMLEQDPPPGGSLHLELKKLFMDTSGANYEEGTLVLENLFKLMCSRHEEINQGNMADSNHALHLLLNDLINEEPEGRDFPSTVESLFNGQVVKSVSSKQCGHNSVTTEALVLSLAIPSKKPVSIKECLDLYTTGEVDDWECKDCTATAANASSSQTDTTVDKGQIGQLNSETHQKEQFSCSAEKKTSTPNQDKGKLPFLDGIARRRDQHHNKLEENKIRRVATIKYCINKAPPVLTVQLKRFKFLHDDESGKLEEHVNFQGTLDITEYMDTRYVDNDKYIYCLVAVIVHEGRKLDEGHFFAYVRASRTGGQKRESSDTHSWFLANDEKVEEVSFENVLECEAYILFYERARQ >LPERR11G16340.1 pep chromosome:Lperr_V1.4:11:17184727:17186634:1 gene:LPERR11G16340 transcript:LPERR11G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSMADDLLCTMAHKAKLQGSSLVTVKRLRESEVSVLGKTMEDSKLLQFRAYYLSLFLNVFWLSISMHHISWVEHPYELKICIPDNGSENMHLFRKRE >LPERR11G16350.1 pep chromosome:Lperr_V1.4:11:17185729:17188222:-1 gene:LPERR11G16350 transcript:LPERR11G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPPTTTLEARVASRRRKFVTPWKKATQEGDNDDDGGSSSNAAAPFAQPPRMTLSPSISPAAMQDGSRSRAEERLARLTASAAARGSYTRWIQCSREGKRQEKEVSFPVNAIFQKLGQD >LPERR11G16360.1 pep chromosome:Lperr_V1.4:11:17194417:17196637:-1 gene:LPERR11G16360 transcript:LPERR11G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPSPGMDHPSPMLCIIEILSRIRYPNLVALIGACHEKLALIYEYLPNGTLEDRLSEKHRGSFSWQERIGVAASICSALKFLHETKPNPIAHGDLKPSNILFNAKNVCKLGDFGISRILKSLGTEPHHTTDVPKGSGSYMDPDFIATKRLTPGSDVYALGIILLQLVTGKGAMGLRNYVFNKWSGANFGQLDASKQKLIIGKLDLVDSKLKLDDKRIQDVIQMIYLGLICSNVARKSRPNLALEVFPKIHEMMKGY >LPERR11G16370.1 pep chromosome:Lperr_V1.4:11:17224251:17226751:-1 gene:LPERR11G16370 transcript:LPERR11G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQEIRGLVSSLFVMLNLKKFILFRIEFLVVLVTVLFLVMFIMDIFRRHIRNATMKAIFTLLDAVSDSIVIYLLGAMQTAPFKNELFSVWALVLVNFRYNVDFISGYGVPDRQGRRFTEWRNVVKLLGSAFLNLSRGSKFARPLWSLWALQIGRSWYRFHSRCLAYNSNWHGRSSELVSEYMRDTSNWKPNECDPGSMDGYKYLVYGEIVELQKPPYLLCPNHNIPNPKNIGNTICPCDHIPKPDNSRNTIQQKDLKDLLDLSLAFSLSRLLRCRLEDAGLQRDTFRINKNLIKRRIIEEEDANRAFGIMEQQMAFLNDYFNTRFPMVFWRGLISLYGNFLASTVTFFVVLWLSVDIRKVYKPPKGELVHLKHGVNVDMIITWVFMSFMMFKEIWEMVTYLLSDWTRLLLVCRGGMDKLINVFLDSYDDRPKIWNLAHKISTGIVPKKENGAKPGNAIDVPECVKRAILEILNSIDLTAGHLPKVVISLSDDKRKKYRWACLELQTCSHAILVWHIATSICKMKLAQDKEVDLSKPGWLSWFTSCFCSSMYLVDEKKLDGELQNRYIVANSLSRYCAHLLVFKPDLISDSFFVPKMIFQETVALAYDDILKDCDSLKKRYDKLTEEEMNNAQVPDEEKITKDVLRKGAILANQLLNNENNEDPWEILSEVWAELLVHLAPSWNASAHKNCLESGGEFITHIWALLWHCGITKSELWPVEDVPRNNAQGASQNNSAENNQVQPVRDEMAQVGGDQQMLASTSRQ >LPERR11G16380.1 pep chromosome:Lperr_V1.4:11:17236092:17238647:1 gene:LPERR11G16380 transcript:LPERR11G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTHMASVELWVLMTTLMLVVRFLLDLFGPWHADRSMVATIQVIEVLNYSMVQYTMGLMQLSAAKVNDYFQVWAVLLVTLQFSVKIGRPYSFYKQMPLLDLMSSFWAANIIRLQTFYLHKIPLWIIWSMNAVRIISYFFSTDKAAEFNQENTRLVSDYMRYEHTLEDDKDVAVAVEPVDRSGVGDKERKITQQCRYLVLGEDKELEQAQENSTQADKIRMDIRHHKVVTVNKVWRVARRNPNGLLGRWTSSRDLCLAFALYKLMRRRFYHLPLHEVRQEKTAKLVFDGIMKEKDDATNYERPFRIAALELSFLQDLFYSKHAAMFAGGFPAMSMLLSALLIAATGYIAYPVHYIPRRMDQADRNTITHGVFITRLMVALIVGKELLEIYLYVFSQWTKVLVLCNNVKHSGMRHPKVETATRALCWFITRGKWNQMIRQHNLFISPKWLKVRFLCFKFNRRMGTKSPEKIKLEPEVKRAILDSFKSLQNSPERLESYLGNAFGSNEERMNQLKWAVDDLEADTHRILVWHIATCLCEINLSDEATALKVRLLRPKPFVNRSRTPEDVWEHYYMAATLSNYCVYLLTMSLVPDNGLTVNMIFKEVRRETFYATYTKTNLSLQDMYDRLKEKFEPQESQREKEVPKSTNDTSRTNKQVPEGINTSRTNEQVPEGVDATGRTNEQVPEGTDATSTTNEEVPEGRDAAIMVELPPEEDIIEEEEEEPRAQDHNDELALEEGVDQTTKEKSVLHNEDVFDNSIIWMGTKLAKQLIDSYGIDRAGLWRDLAEFWAGFLLNLAASTRAAKHKTNLVRRGELITMLWALLSHAGFLGKTRHGHTLLDPDDLDDADPLR >LPERR11G16390.1 pep chromosome:Lperr_V1.4:11:17240732:17240980:1 gene:LPERR11G16390 transcript:LPERR11G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAFKAMLQFIYTDTLPKVVDDGGDDVAMTQHLLVAADRYGIERLKAICEERLLPRGGGGGVVVGMVAATLALAEQHGCR >LPERR11G16400.1 pep chromosome:Lperr_V1.4:11:17246754:17260640:1 gene:LPERR11G16400 transcript:LPERR11G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANEEGVAVASNKPAEPSRSTIIVKYESGSHVLKIEGYAGSKGLGGGKWIESGSFDVGGHCWCIHYYPDGHNFSGDDSHISFYLSLRDAGYDELVKAKFTFSLLDHDDEQPVRGHIWTSDIHAFSSNKNYWGFSKFLNRKKLEESKYLRDDSFMLRCDVAVLKEIRVDPAPAPWRRRHVAVPPPDMHRQLGRLLSSGDGSDVTFQVGDKMFLAHRCVLAARSPVFMEEFFGGGPISKKKEETIVHVNDMEPRVFDAMLQFIYNDSLPDQVEDDDDDGDGFEAVPMAQHLLVAADRYGLERLKLICEDKLCGHVDAGMAATMLVFAEQHDCYRLEDACLKFVENPSNLKTVMASDGIEHEIRTEDVDVAPPTPPARIVAVPPSDMGRHIGRLLANGHGADVTARVGDETFVAHWCVLAARSPVFMAEFFDPMGQGRNIVYVHDMEPKVFEIEVDGDEMVAMAQHLLVVADRYDIKRLKLICEDKLCSHVNASTAFFFFFARDATTALTILLTLAKQHHCDWLKEACLKFMENPSNMRVVMASDDFCALGSRTTYKIGNIVGESGSDSLRREGLKDVPWFVRPKDRWLKPFQGFGRKRMEARNVVTGLGFNLLQRRHRHRRQVQLPPETSKSSIVVKYDSASHVFNVEGYSRLKNLGVGKCVKSGGFNVGGHCWCIKYYPNGYRSNTAVNKSPWISVFLSLSDSKVVPGDVNGEFTISLLDNNNRPVAAYSRSSMMAKTLSGRKRTWGWGFERFMEKKTLEELDQCFRDGSFGIRCDITVIKEIGVDPAVDVAVPPLDKRRNLGHLLSSGDGADVTFLVGDETFAAHRCVLATRSPVFMAELFGPKKKQDEDNIVHVRGMDAKVFENMLRFIYTDTLPEVDGDDDEAVAMVQHLLVAAERYGLKRLKLICMDKMRENVDTSTKVTALARAEQKHCHGLKNACFKFLKDHGCNPGFTGSKALGVGKSIRSSSFDVAGHCWCIKYYPNGDNRHAATGKDDGRRRFISVFLALLDTAAVEVKTKFTFSLLDHDRELPVAAHRRSTRAALTFSRGKPWGFYDFMEREKLEKSGYLRDDSFLLRCDITVVEEIVAEPTPRRWGGVAVPPPDMNWDLGRLLSSGECADVAFWVGDETFPAHRCVLAARSPVFMAELFGPMGQKNKEIVHVHDMDPRVFEEMLYFIYNDSLPEVDDDDSDVAVAMAQHLLVAADRYGLERLKLICEDKMCGHVDTSTAATVLVLAEQHHCQGLKKACFKFLEDASNLTAVLQTSNAAAAPEPSSSSIVVKYESGSHILKVEGYAGSKGIGVGKFIESGSFDVGGHGWCIRYYPDGYRSDGDDGNYIAIILALNVKVKYTATFYVKAKFTFSILDHDDDQPVAAHRRSSQMVTFCSTDSPRWGFFRFLDRKKLEESEYLRDDCFKLRCDVTVVKEICVDTAAPRRRVAVPPPDMHRHLGRLLSSGDGADVTFQVGDEMFPAHRCVLAARSPVFMAELLGPMSQKNIDIVHVHDMDPRVFETMLYFIYNDSLPEVEDGGDGDEAVAMAQHLLVATDRYGLERLKLICEDKMCGHVDVDTAATMLVLAEQHHCQGLKDACFKFMENPNNLKAVMASDGIEHLIKSCRSLLKEMSDKS >LPERR11G16410.1 pep chromosome:Lperr_V1.4:11:17260723:17264169:-1 gene:LPERR11G16410 transcript:LPERR11G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLAGFPWREFAADAFSRVFLIAQAVCAVHVVNAHVCSLAVVTGPSMLPSMNLAGDVVAVDRVSARLGRVASGDAVLLISPEDPRKPVVKRVLGMEGDAVTFLVDPGNSDESRTVVVPQGHVWVQGDNIYASRDSRHFGPIPYGLITGKVFCRSSMAMVCLVSLTLNF >LPERR11G16410.2 pep chromosome:Lperr_V1.4:11:17260946:17264169:-1 gene:LPERR11G16410 transcript:LPERR11G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLAGFPWREFAADAFSRVFLIAQAVCAVHVVNAHVCSLAVVTGPSMLPSMNLAGDVVAVDRVSARLGRVASGDAVLLISPEDPRKPVVKRVLGMEGDAVTFLVDPGNSDESRTVVVPQGHVWVQGDNIYASRDSRHFGPIPYGLITGKVFCRLPLTEF >LPERR11G16420.1 pep chromosome:Lperr_V1.4:11:17265352:17269879:-1 gene:LPERR11G16420 transcript:LPERR11G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRMMQQALLMQQQQAAAAAAAAAAAAAGVQQQAPMFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDALLQEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAAFAAFSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINQLNGKWLGNRQIRCNWATKGANAGEEKQSTDSKGLIELTNGSSEGGNANANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPSPFPTGMSATDLLAYERTLALSKMAANPALMGQHAALKQAAVMGMGAGASQAIYDSGYQNANAVFY >LPERR11G16430.1 pep chromosome:Lperr_V1.4:11:17273737:17274060:1 gene:LPERR11G16430 transcript:LPERR11G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMRSSSVLLLLVLLDAAASSAAAGEVIKATAAAPRCNPTLLTPCAGPALFGGPVPPACCAQLRAQAGCLCAYARSPNYGGYVRSPNARRLLAVCRIPIPRCAPRP >LPERR11G16440.1 pep chromosome:Lperr_V1.4:11:17276404:17283934:1 gene:LPERR11G16440 transcript:LPERR11G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFDLNNGPSIDGIILAVSVFGSIATTVFAGSLLDWLGRRAALVYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPDMRGSLGTMPQFMFFVGIVFSYCLIFWMTLMPSPNWRIMIGAIFAPSMVYFALLVLYLPESPRWLVSDGKISEARISLQWLRGKDDVSDTSAGEIALIADGMNMISDTPVGGHAIGTVRSQSFLGTNISQMSRHSTFYWHLSDPLVDFLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSVHQQTREAYSAEEGNNGDNLQASLLSHVATAEVNDINASFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHVAALSSQPTLDMVNTGLHPFRQQMVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEEVGVVSLFSDIGLDSHSTSILISALNALLMIPCITAAVMLMDICGRRALLLVSIPILILSLATISLSNVVEMGSLVHEIFFQLSLTICFCSYVVGLGPIPNILCSEMFPTRARATCASFCSLTFWFGRLLSIYCFPVMLSTIGLSGACGIYALVCCMVLVFVYLRVPETKGLPLELIAEIFKFSKQECL >LPERR11G16440.2 pep chromosome:Lperr_V1.4:11:17279842:17283934:1 gene:LPERR11G16440 transcript:LPERR11G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYFRSSNCLERAIVLDEMMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFDLNNGPSIDGIILAVSVFGSIATTVFAGSLLDWLGRRAALVYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPDMRGSLGTMPQFMFFVGIVFSYCLIFWMTLMPSPNWRIMIGAIFAPSMVYFALLVLYLPESPRWLVSDGKISEARISLQWLRGKDDVSDTSAGEIALIADGMNMISDTPVGGHAIGTVRSQSFLGTNISQMSRHSTFYWHLSDPLVDFLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSVHQQTREAYSAEEGNNGDNLQASLLSHVATAEVNDINASFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHVAALSSQPTLDMVNTGLHPFRQQMVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEEVGVVSLFSDIGLDSHSTSILISALNALLMIPCITAAVMLMDICGRRALLLVSIPILILSLATISLSNVVEMGSLVHEIFFQLSLTICFCSYVVGLGPIPNILCSEMFPTRARATCASFCSLTFWFGRLLSIYCFPVMLSTIGLSGACGIYALVCCMVLVFVYLRVPETKGLPLELIAEIFKFSKQECL >LPERR11G16450.1 pep chromosome:Lperr_V1.4:11:17284091:17287726:1 gene:LPERR11G16450 transcript:LPERR11G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLSFRLSSLSPLLLLAAAVAVIAVDPPNAAVAPPETEAAALLRLKASLIDPTNALSSWSPTSPSLPCNGTNPWPRLQCYNGVLIGLRLANLDLSGDFDFAALSRLQGLHSINLMRNNFSGQLPASLASVRGLRALYLSHNHFTGKLPGEVFASMGWLKKLYLDNNNLSGELPSPAIAAAPRLLELHLDHNRIEGPVPEKLPATLRLFNVSHNRLTGILPVAVAARFDSSAFASNPGLCGAVGSDPGACTAASPSPTVRTAMPPMSPADYFAVQEETSVFVVLGIILLVVLLVSGAMVLMLRQDNERSNSSPSLPPLPQQQLSIPVTMANNNNNNNASQDGSNSSGRRSKVAEFVLMSEVAGEFGLPELMKATAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRVEFEEHLQMLGELRHPNVLAPIGYHFRKEEKLIVSEFMPRGSLLYVLHGDQSPERVVLDWSARLKIAVGVARGMAYLHEKLGIPAMRLVSMDGADFDAPPPPPPHGNLKSGNILLDADLNPRLVDYGFFPLVNTSQAPHAMFAFRSPESSTAAAAAGGGSRGGGAVSARSDVYCLGVVLLEMVTGRFPAQYLVNARGGTDVVQWAAAAVADGVEHELVDPVVVAGGNAAAMAAARLLRVGVQCAVAEPECRPNMAEAARMVEQVAAGAS >LPERR11G16460.1 pep chromosome:Lperr_V1.4:11:17300541:17305014:-1 gene:LPERR11G16460 transcript:LPERR11G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNLSTRNRYFVHGSELVAQFVQDSNERKAGIHPLIHFELYLQDPDAHGAASLKSPVDGGYLLFSNFSVGSKKKQIKGSSYRYAENKDELHQAVGQRQRQPQGRPAHVGGGRGEARSGGGQIAWSKGKPARETTPRVVYKFKEPLTFTVPKHFTENEEELSSSLVSIYGQIAELRHELFIKTMSGCFDIKDLNNIRKQGSDLSSKD >LPERR11G16470.1 pep chromosome:Lperr_V1.4:11:17311013:17311588:1 gene:LPERR11G16470 transcript:LPERR11G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMAALEFQFNQCAISPPPPPPFEEEEAVVAAGDSDEDTDVDEVIAYALEGEEQEATYFRGPPLPVGKVLITPLLVDKILALQRKKLSNLDMLDAERPWWRTPEEHKRWSDTLRRAAEAFDSSQDDFVECQSMIRDMRHPVDGYALVDDTVEVITTINRVLYGRQDGDEDDVAFECEYSSIEDENEEED >LPERR11G16480.1 pep chromosome:Lperr_V1.4:11:17313864:17317642:-1 gene:LPERR11G16480 transcript:LPERR11G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWHVWAAAARFAADPTGFRLRTWNAVEPPAMPSSFVGNKARHLELYVIAGGAFADMCVEVLYSTHLRIFADGGINPAHLNDLEHGGMLLMFFLFGALALISQKTRYLPLPEGALCLVAATAFTAELLLFYFHSTTHQGLEGYYHYLLVVVVFLCVAASVLGAILPSSFPVDAASGAAVALQGLWFYQTAFTLYGPMLPAGCRRDGDGHIDCPSHAAQERAEQLANFQLFGLVFLVSGYVIACFAVAAARFGHPELKTMYDRHVAALEVKLLAGGGEADRFVGSAI >LPERR11G16480.2 pep chromosome:Lperr_V1.4:11:17313864:17317286:-1 gene:LPERR11G16480 transcript:LPERR11G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWHVWAAAARFAADPTGFRLRTWNAVEPPAMPSSFVGNKARHLELYVIAGGAFADMCVEVLYSTHLRIFADGGINPAHLNDLEHGGMLLMFFLFGALALISQKTRYLPLPEGALCLVAATAFTAELLLFYFHSTTHQGLEGYYHYLLVVVVFLCVAASVLGAILPSSFPVDAASGAAVALQGLWFYQTAFTLYGPMLPAGCRRDGDGHIDCPSHAAQERAEQLANFQLFGLVFLVSGYVIACFAVAAARFGHPELKTMYDRHVAALEVKLLAGGGEADRFVGSAI >LPERR11G16490.1 pep chromosome:Lperr_V1.4:11:17322788:17327623:-1 gene:LPERR11G16490 transcript:LPERR11G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQEARWAAAQGIGIGADLVPAALRQLEFLAAVDRRRWLYGEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYIKDCKRLYGRILDNSNVESSIQADSKNQSEEVWAEQYPKEPFDLEYTSPSDNSIYASAGASEDISYDLVSAVKRQSSFFYQVDTPTMHDRRFLEEALARYKGFLYLIKTNQENKLKLFRVPTYDVDVMWHTHQLHPAAYCHDMLKLLGRVLEHDDTDADRSEGKKLDVGFSGTTEQFENAFGIRYWKAGAMYRGNLPSPVTSIPQMFSSEAADEYGVGKAESQLAVVSTTVIELFLKIVDIKNLPPAIPKENVYVCFTKNQSDMFISDGGSLAISATKGKSTAASTQCEPTGELILTVLVDRVSSSKKPKKIGKVSISLQEFARPDAKLSFERWFELKPHDGHAGSPPVSLRVAASSTVPVRARQELSMSRTEPFSLKNFMLPNSIKDQKMSCWTRFVDDCDTELIRLQIRDQKAKNGMIVAWELVGVTKSSKKPFKLAEFMDNKWLLSNSNLCISNDLKPSKDGSILELKYDNKMVKLYHGRKLGFQRTCCNNHAEEDAAAVTAVKFCAKHPYGKAVALLDTKSKTIMVNEDWFLLPWIVMSFLFQDIDVKNITKLANAVPDSMVQKDAISEPETKTAPSVETVAAPAQCGTCGTSAVCGNGNMAIKANNVVTTAVSTKAVTAVGGGNGQTESAGCGSGCGGGCGGGGGCGTIVMEKSKGDVHAKSGGCGSGCGGGCSTIVIESSKVGNAKSSGCGSGCGGSCGGGGGCSAMAVEGSKVGNAAKSGGCGGGGCGGGCSGMAMESSNLGHAAKSGGCGSGCGGGCGGGGGCGSMAMEGSKAGIVKSGGCGSGCGGGCGGSCGGGMAMEGSNLGHAKCGGGCGGGCGGGCGGGGGCGGGMINASS >LPERR11G16490.2 pep chromosome:Lperr_V1.4:11:17322788:17327623:-1 gene:LPERR11G16490 transcript:LPERR11G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQEARWAAAQGIGIGADLVPAALRQLEFLAAVDRRRWLYGEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYIKDCKRLYGRILDNSNVESSIQADSKNQSEEVWAEQYPKEPFDLEYTSPSDNSIYASAGASEDISYDLVSAVKRQSSFFYQVDTPTMHDRRFLEEALARYKGFLYLIKTNQENKLKLFRVPTYDVDVMWHTHQLHPAAYCHDMLKLLGRVLEHDDTDADRSEGKKLDVGFSGTTEQFENAFGIRYWKAGAMYRGNLPSPVTSIPQMFSSEAADEYGVGKAESQLAVVSTTVIELFLKIVDIKNLPPAIPKENVYVCFTKNQSDMFISDGGSLAISATKGKSTAASTQCEPTGELILTVLVDRVSSSKKPKKIGKVSISLQEFARPDAKLSFERWFELKPHDGHAGSPPVSLRVAASSTVPVRARQELSMSRTEPFSLKNFMLPNSIKDQKMSCWTRFVDDCDTELIRLQIRDQKAKNGMIVAWELVGVTKSSKKPFKLAEFMDNKWLLSNSNLCISNDLKPSKDGSILELKYDNKMVKLYHGRKLGFQRTCCNNHAEEDAAAVTAVKFCAKHPYGKAVALLDTKSKTIMVNEDWFLLPWIVMSFLFQDIDVKNITKLANAVPDSMVQKDAISEPETKTAPSVETVAAPAQCGTCGTSAVCGNGNMAIKANNVVTTAVSTKAVTAVGGGNGQTESAGCGSGCGGGCGGGGGCGTIVMEKSKGDVHAKSGGCGSGCGGGCSTIVIESSKVGNAKSSGCGSGCGGSCGGGGGCSAMAVEGSKVGNAAKSGGCGGGGCGGGCSGMAMESSNLGHAAKSGGCGSGCGGGCGGGGGCGSMAMEGSKAGIVKSGGCGSGCGGGCGGSCGGGMAMEGSKGGGIVKSGGCGSGCGGGCGGSCGGGMAMESSNLGHAKCGGGCGGGCGGGCGGGGGCGGGMINASS >LPERR11G16500.1 pep chromosome:Lperr_V1.4:11:17330469:17331794:-1 gene:LPERR11G16500 transcript:LPERR11G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKTTLLQVGHVTDGEMRADGEAQRRRRRRRRRVAGPRRHDRLRHGRRRRGLHRQRHCREFRLGDAGADAGVGGDVDRRRPRRLLHLPGRLTQLK >LPERR11G16510.1 pep chromosome:Lperr_V1.4:11:17336790:17337143:1 gene:LPERR11G16510 transcript:LPERR11G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLNRNVGLIRELNNNIRRVVGLYADLSASFARTMDASSEGDSSGTLRSSDGAGRTGQKRVRPG >LPERR11G16520.1 pep chromosome:Lperr_V1.4:11:17364450:17365148:1 gene:LPERR11G16520 transcript:LPERR11G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASATPVAQWRSGDKMAMQKLIVCENGIVAAIVGREHFAKVALWSLTGRGAATWSVSENDRYALTNDRYVVVFDVLVNAGQPRVTRVERVIAGGDGGNSTLGYVTKRYLVTSRARGTLLMVQRLILFATKTVLFVVFEASPPPARWVEMPHLAGGESLFVGRMCSRAMLAGASRRRKLFGDGAVVPGDRIFFLTDDSAGMSFRAACRDWPLQHAAVYDMRYRRVTELPPA >LPERR11G16530.1 pep chromosome:Lperr_V1.4:11:17459921:17460317:-1 gene:LPERR11G16530 transcript:LPERR11G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEESANSKGNGENLESFTGLRIKEEGNNWGCCHAADLALRRLRNVIDSLK >LPERR11G16540.1 pep chromosome:Lperr_V1.4:11:17463777:17495158:1 gene:LPERR11G16540 transcript:LPERR11G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVEEHYSLSTRRLLPIVLLLIACIFLPKVATKGHSQGCSYDPQSQHPCPPGLFAVDAWR >LPERR11G16550.1 pep chromosome:Lperr_V1.4:11:17472147:17475589:-1 gene:LPERR11G16550 transcript:LPERR11G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLPLLLAYFHFMSICLPLSVSAQFHNDSSADRKALLCLKSQLHDPSEALASWGNESLAVCNWTGVTCSKSNPPRVVALDLESQNLTGQIFPCVAGLSFISRIHMPGNQLNGQISPEVGQLTRLRYLNLSINSLTGEIPETISSCSLLEIVDLFSNSIEGEIPQSLAQCSFLQQIILSNNNIQGSIPPDIALLSNLSVLFIPSNKLIGTIPQLLGSSKSLVWVNLQNNSLSGEIPHSLFNSTTIYYIDLSSNGLSGSIPPFSQASRSLRFLSITRNRISGEIPKSIGNVTSMSTLTLSGNNLEGTIPNSLSKLSSLQILDLSYNNFSGIVPPEIYTISTLTYLNFGANKFVGTIPTNIGYTLPELTYIVLEDNQFEGPIPASLANALNIEKIYFRRNSFTGVIPAMGSLSMLNYLDLGDNKLEAGDWTFMSSLTNCSRLQNLWLDRNNLQGIIPSSMTKLSQGLEVLILIKNQLTGSIPIEIEKLTSLTVLQMDYNLLSGHIPHTLVNLQNLRILSLSYNKLSGEIPQSIGKLVQLTKLYLHENNLSGQIPSSLERCTNLVKLNLSRNNLEGSIPSNLFSISTLSEGLDLSYNQLTSHIPLEIGRLINLNLLNMSHNQLQGEIPSSLGQCILLESVNLEANFLQGSIPKTLSNLRGINEMDLSQNNLSGEIPTYFESFGSLHILNLSFNNLEGPVPEGGVFRNSSDVFIQGNKKLCGSSPMLQLPLCKDMSSRRNKTSYILKVVIPIAAVVIVTLGCVAVILLKKGTETKRITMNHSFKHFNKLSYNDLHKATDGFSSTNLIGSGTFGLVYKGQLKFTERNVAIKVFRLDQNGAPNNFFAECEALKNIRHRNLIRVISLCSTFDPAGNEFKALILDYRNNGNLESWIHPKLYGESSRKQLSLGSRIRIAVDIAAALDYLHNRCTPPLVHCDLKPSNVLLDDEMVACLSDFGLAKFLHNNSMSTSSSTVGLRGSFGYIAPEYGLGCKVSTEGDVYSYGIIILEMITGKRPTDQMFWEGENVHDFVKSAFPHQLNNILEPNLTICHEDEDLDHVVVEIQTCVIQLAKLGLMCTESSPKDRPTMEDIYVEIISIKENYHALIN >LPERR11G16560.1 pep chromosome:Lperr_V1.4:11:17479351:17480747:-1 gene:LPERR11G16560 transcript:LPERR11G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGLSLAEITGRKSPPKHVIKAGEEKWKYKYGEPLVRPDLVRSLPTQMFKLHRWYIEACKECTRFINVKIKDEHYFRGEDLINIDVEELYQLFHKDALDKSLVSCWCLLEMLRHKREGVYDVGFIDPYVVHSTNVVDQAEETEHNILWFLRNVWVRFTSKEPGEWKVPLSVNANKQRPGTNLCAFYVAESIISPRGQRTYSALSNLEYRRYRVAEEDKHKAIQEALAGFLNDEVLDPKGEHYYDGRLEPASVDYNIDLDDPNYD >LPERR11G16570.1 pep chromosome:Lperr_V1.4:11:17495232:17504946:1 gene:LPERR11G16570 transcript:LPERR11G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGNNFSWLLPFSDGGLRQRRGQGDLFRGPGPAHLRLFQEGINLCAFYVAESIMSRGQRTYSALSDLEYTRDRVAQEGKHKAIQEAFAGFLNDEVLDPKGEHYYDGRLEPASVDYNIDLDDPN >LPERR11G16580.1 pep chromosome:Lperr_V1.4:11:17596347:17598700:1 gene:LPERR11G16580 transcript:LPERR11G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTQISTLILKVDLACHKCYNKIRKILCSLQDQERITTISYDTKNNIVVIAGTFDPQRLCCRIRCKGGKVIKDTHIVDSGAALPPPKMADFAPPPMTSSPPPAKNSGKKKKWKKDKHKENIPPSPPPEHFRPPPMTSPPHQPPPPPENMRPPSPPPENMPAPQILTVGPAIVEEEKHHERPAELEPPSPPHKERPPSPVMEKPPPPPPMYKPPPAVRPCYPIDMTTTTMVEIPSWPAAPCYQGCYEGCRCGSCGRVYGYSVPSARPPPLIPPPATATCYGGGGGVPYCGGYSGCRIVNEEDPTACAIM >LPERR11G16590.1 pep chromosome:Lperr_V1.4:11:17615906:17625836:1 gene:LPERR11G16590 transcript:LPERR11G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVSPTPGPTRGLLLLAGKKNTLKKYHRRSRTHQRPEDKSHYYCKWAIPPIELDPDPLLFTPRRLTSPTPAISSPLRRRRPSSSAMNYIIGAFKAPCDVLITFADERTRKQVAIKKDNGKTIMVPVFQSLETIAGEVSVAPVPGKRVEHHGIKIELLGQIELYNERGHFYDFTSLVRELEVAGEIWERKTYPFEFSTVEMPYESYSGTNVRLRYILKVTIGRPYAATVVESRDFCVRNYTPLPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPDEGRDRRKEKIPKSPPPPNSLLLLLLGSSPSPSHAAGEKAQPWTLLFLLIRPSPLPPAASCVGRGAQRPAALVSVRNRAEADYSDHHGHHASSAYGGCMCLRCFTQQLINAYTILFRAGTVHAVSQSIEATPVDSTESSFDTYRSPPRPLPYDDPRFSPPQHDWLVSRHGPSCHSPEESEPLRANEDEEMETPSSTNKSSKTNYDTKMKRSSSTYGEKLPAKDPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >LPERR11G16600.1 pep chromosome:Lperr_V1.4:11:17624095:17625728:-1 gene:LPERR11G16600 transcript:LPERR11G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSGGGQSSLGYLFGGGETAPVKKPAPETVKKEAAAAAPSTVEKMKGIPAGIHSTQSNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGNSVILELLSGGNITRQSRRLRVIAAQTHKTTMWTNFPY >LPERR11G16610.1 pep chromosome:Lperr_V1.4:11:17628162:17631133:-1 gene:LPERR11G16610 transcript:LPERR11G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKGSTNSVVGRRNWIGIDGTCDMAGMINTRAGPRHSLSIAQIVPNRPLQASQLATKCANLSLLSAQLCKTRSVVVEAMEVSKEAPSAGFANRQPSKGVLETWRNAHAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVEDCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAMKADWVVIDFQELIAELP >LPERR11G16620.1 pep chromosome:Lperr_V1.4:11:17635442:17651471:1 gene:LPERR11G16620 transcript:LPERR11G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMVSAAMGVMNSLLSKLTELLGEEYKVQKGVREMTYDIEDCIDEYTRQLRHGQAQRSSGIMGFLHGYVQKVKDLVGRHEIAEQIQELKTRIVEAGHRRKRYKIDSAVDCKCNNVVPIDRRLPALYAELDALVGIGGPRDDIIKLLDDGEKRMKVVSIVGSGGLGKTTLANQVYQKIGKNFDCKAFVSLSQHPDMRMIFQTILYQVNDEAARIRSGDKEQVINELRAFLKNKRYFIVIDDIWNNQAWKTIRYSLLDNNCGSRILVTTRIGTVAKSCSSPCHDLVYELRVLSEEDSKRLFFRRIFGSEDKCPHQLKDISIEIVRKCGGLPLAIISMAGLLTTKSYARAEWFKVCDSIGSGLEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYEINMEYLVRRWVAEGFIKANGGRTLEEEGECCFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIIAKATEENFVTIVTDRKQMLVSKQKVHRLSFDNYSQENVTIYSMVTTHVRSLNIFRYSEQMPPLLNFPALRVLDLDGNRDLESSFLEDIGKLFQLRYLRIRASNVSLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKYLVVHRVELPGGVGNMQSLEYMSLMVVDYSTSISSLQELGTLTKLRTLGLDWRISEFHEDKLRYADNFVSSLRKLSRSNLQYLTFISPWPLDFVLDSWFPPPHLLQRLRITGWSLSKIPVWMASLANLTYLDIEVKVRQETLQILGKFPALQFLKLYSNAADHNERWLTVSRGEFPCLQKFKFVHWINLKFSEGAMPILETLEFQIIAHETWLECGCGPPDIGICHLSSLKNLVIDIFCECARVEEVEALEGAVWSAASMLPNHPKPTLNRFREAEMVKNNQGNQYGKKQLSPAYLCTCNSNSRKTDIRTVLRCKLVLECRRTAEEMDGFMVSFASGAMNSLIDKLSNLLGQEFKLHKAVQHDINFLNGELRCMNALLEKLADMEVLGPQMKDWRNQVREMAYDIEDCIDRYIYQLQHEPQRSGGMMGFFHGYVQKVKDLLAHREVAQQIKVLKDDIVEASHRRKRYKIDPELYSENTNVVPIDPRLPALYVEASDLVGIDIPMDHLINLIDGGDQSFKVISIVGFGGLGKTTLANEVYKKIRGQFNCQAFVSVSQKPDVKKILRSIISQIMEPYHASTNPGDRAAISQIKKGDYSSTEAGDVEWLINILRGFLMDKRVIVTTRISTVAKSCCSPDHGTVYELRPLSEADSMILFFRRIFGSEDICPIDLKNVSAEIIRKCGGLPLAIITMASLLSDKSDSREEWVRIRNSIGSGLEHKYDVEVMRHILSLSYSDLPHHLKTCLLYLSIYPEDYEINMHNLVRRWIAEGFIKVKGGRNLLVEGKCYFNELINRSMIQPVGLGIDGQPKACRVHDMILDLIISKAVDENFSTSIGNQIHILASQFKVRRLSVDYRGQEISVSWPSMTLAHVRSLSIFGYSEQRPPISDFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRIMHLPEQIGELQFLETLDLCRTWIRKLPASIVKLRRLNSFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGSLNNLIALKITWSIRESRKDRLNYTDALASSLCKLELYIPKCCFQRIPDWMASMNNLYRLCIRVNQMTQKILQILGDLPALLDLQLRSESDDPKEILIVNCNRFRCLKIFRLYGSFFGLIFEVGSMKKVREISIGVRAHEAKSAFADHPDLGICNLTSLVDLIVWINCEGARVQEVKALEATIADATTLLPNHPTPCFLKENEEKMVKDEDQMQVKMLEEDPVRSPSSGVGDGLPVVDFKKHMFGEKRLITRKELERSENLLKDDCFTVRCDLTVMDDTVRTEKLDGEKILAPAADAVAVTNAVADDVAVPPSDLHHHLADLL >LPERR11G16620.2 pep chromosome:Lperr_V1.4:11:17641524:17652374:1 gene:LPERR11G16620 transcript:LPERR11G16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGIMVSAATGVMNSLLTKLASLLEEDYQMQKGMKREITFLKDELSSMNALLERLADMEMLDPQTREWRNQVREMTYDIEDCIDEYTRQLRHGQAQRSSGIMGFLHGYVQKVKDLVGRHEIAEQIQELKTRIVEAGHRRKRYKIDSAVDCKCNNVVPIDRRLPALYAELDALVGIGGPRDDIIKLLDDGEKRMKVVSIVGSGGLGKTTLANQVYQKIGKNFDCKAFVSLSQHPDMRMIFQTILYQVNDEAARIRSGDKEQVINELRAFLKNKRYFIVIDDIWNNQAWKTIRYSLLDNNCGSRILVTTRIGTVAKSCSSPCHDLVYELRVLSEEDSKRLFFRRIFGSEDKCPHQLKDISIEIVRKCGGLPLAIISMAGLLTTKSYARAEWFKVCDSIGSGLEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYEINMEYLVRRWVAEGFIKANGGRTLEEEGECCFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIIAKATEENFVTIVTDRKQMLVSKQKVHRLSFDNYSQENVTIYSMVTTHVRSLNIFRYSEQMPPLLNFPALRVLDLDGNRDLESSFLEDIGKLFQLRYLRIRASNVSLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKYLVVHRVELPGGVGNMQSLEYMSLMVVDYSTSISSLQELGTLTKLRTLGLDWRISEFHEDKLRYADNFVSSLRKLSRSNLQYLTFISPWPLDFVLDSWFPPPHLLQRLRITGWSLSKIPVWMASLANLTYLDIEVKVRQETLQILGKFPALQFLKLYSNAADHNERWLTVSRGEFPCLQKFKFVHWINLKFSEGAMPILETLEFQIIAHETWLECGCGPPDIGICHLSSLKNLVIDIFCECARVEEVEALEGAVWSAASMLPNHPKPTLNRFREAEMVKNNQGNQYGKKQLSPAYLCTCNSNSRKTDIRTVLRCKLVLECRRTAEEMDGFMVSFASGAMNSLIDKLSNLLGQEFKLHKAVQHDINFLNGELRCMNALLEKLADMEVLGPQMKDWRNQVREMAYDIEDCIDRYIYQLQHEPQRSGGMMGFFHGYVQKVKDLLAHREVAQQIKVLKDDIVEASHRRKRYKIDPELYSENTNVVPIDPRLPALYVEASDLVGIDIPMDHLINLIDGGDQSFKVISIVGFGGLGKTTLANEVYKKIRGQFNCQAFVSVSQKPDVKKILRSIISQIMEPYHASTNPGDRAAISQIKKGDYSSTEAGDVEWLINILRGFLMDKRYLFVIDDIWSTQAWRTIKCALFENTCGSRVIVTTRISTVAKSCCSPDHGTVYELRPLSEADSMILFFRRIFGSEDICPIDLKNVSAEIIRKCGGLPLAIITMASLLSDKSDSREEWVRIRNSIGSGLEHKYDVEVMRHILSLSYSDLPHHLKTCLLYLSIYPEDYEINMHNLVRRWIAEGFIKVKGGRNLLVEGKCYFNELINRSMIQPVGLGIDGQPKACRVHDMILDLIISKAVDENFSTSIGNQIHILASQFKVRRLSVDYRGQEISVSWPSMTLAHVRSLSIFGYSEQRPPISDFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRIMHLPEQIGELQFLETLDLCRTWIRKLPASIELYIPKCCFQRIPDWMASMNNLYRLCIRVNQMTQKILQILGDLPALLDLQLRSESDDPKEILIVNCNRFRCLKIFRLYGSFFGLIFEVGSMKKVREISIGVRAHEAKSAFADHPDLGICNLTSLVDLIVWINCEGARVQEVKALEATIADATTLLPNHPTPCFLKENEEKMVKDEDQMQVKMLEEDVKMQRDILAANKNK >LPERR11G16620.3 pep chromosome:Lperr_V1.4:11:17634814:17642055:1 gene:LPERR11G16620 transcript:LPERR11G16620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDIEDCIDRYMLQLHDESDKPAGVMGFFSDMIKKVEMLRTHHRMGKQIQELRTRIDEASQRRKRYKLDAVLNSAGTISVKTIDPRLPALYAEVSSLVGIDGPTEELIKLVDDGQRSLKVVSIVGLGGLGKTTLANQVYKKLGQQFDCQAFVSVSQKPDVENIFRNLLSQINNGLVQDKHREEHWFIDELRVFLKDKRYFVVIDDIWSTEAWKFIKCALPENNCESRILLTTRNGNVAKTCCYPQHDTIYEIRPLNEADSKGLFFRRIFGSEEQCPVHLKDVSVEIINKCGGLPLALITIASLLAVKSKNWEEWLGIRNSIGLGLQKNIGIDEMTRILSLSYTDLPHHLKTCLLYLSLYPEDCLINVQQLVRRWRAEGFIKEKYGRNLMEEGESYLNELINRSLIQPVRIRTDGRAETCRMHDIILDFIVSMAVEENFVTFFSDEALEGKGRRLLVDFHGQKILMPMLSMVAANVRTLGIFKYPEEKLHISDFRALRVLDVDISSMMIEICDIGKLFQLRYLRIAGIEHLSEQLGELQFLETLDLTNCYNIKELPTSIIKLRRLKCLLVYSARLPDGIGNMQALEELSKLERLTKLRILDLLWFIPNTCNNGSTHANTLALSLGKLLSYGLRYLEINCRESCTANIPSNFLSSPSQLLQKLSILPCCLHRIPEWLASLTSLTTMDITVQQVTQDTIEVLGSFPALLHRNVSWKECDITKRLSVYNNRFGCLKTLILHCSPINLMFHAGAMPKLEQISIRIKPNSMQSTTFDHQNMGIRHLLALKYIHVDIDYRGAKPCFYTPELPIKFQSIIQWRRPPESIIQVARRRISTPAGMACGSQEFRSASPSSQPRRHMKLEAENSILCQLCEMYEVTTII >LPERR11G16630.1 pep chromosome:Lperr_V1.4:11:17724024:17724734:1 gene:LPERR11G16630 transcript:LPERR11G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLLFAAGGGGRMTRSATSLIARAASAFHVFRIDGYSQTKPSSPATTSNAFLAGNDHHKNWQINFYPNGIDATKISDSISVYLKHVNIYAHTAQAKPVPFQAQYKFSLIDQSGPTNSPPKMAVFGGEGEGGAADGYEEFIKREELERRKDLLVDDCFTVRCDVGVTMTEMSAINDNDVSYGSSDDEDGYSDDYRTSGGHGHRRRRPRRRRDDKEFVKWCAVKKRGGGSR >LPERR11G16640.1 pep chromosome:Lperr_V1.4:11:17729986:17731311:-1 gene:LPERR11G16640 transcript:LPERR11G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSEQQWWASICRRKGDFLKSSIDPAYDADSDVADANQQRVCGVWRVKRTWGSITVGDDARMMSGDGRCREVTNGNTSNIYNKRTSVRTDLGFQIYNPGYQI >LPERR11G16640.2 pep chromosome:Lperr_V1.4:11:17730417:17731311:-1 gene:LPERR11G16640 transcript:LPERR11G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSEQQWWASICRRKGDFLKSSIDPAYDADSDVADANQQRVCGVWRVKRTWGSITVGDDARMMSGDGRCREVTNGNTSNIYNSYRL >LPERR11G16650.1 pep chromosome:Lperr_V1.4:11:17742955:17743757:-1 gene:LPERR11G16650 transcript:LPERR11G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVYEALVSSSVSMNITTGTPKEKTDNYVELYYLLQWANGFQKKRQIICPKSCYCGLLSRNIGG >LPERR11G16660.1 pep chromosome:Lperr_V1.4:11:17744449:17744661:1 gene:LPERR11G16660 transcript:LPERR11G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKGGDTKGIGSTKWTETSGEAKDCSALATGCGASPPGRRRPWRARLLGRLHAERERSGRVVWLADTT >LPERR11G16670.1 pep chromosome:Lperr_V1.4:11:17757777:17761014:1 gene:LPERR11G16670 transcript:LPERR11G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKFLAATCTSSRMVASTVSAFHILHIDNYSLTKSILPGAYISSGKFLAGSKQWWINFYPNVITNTVDDEVTSDTIAFYLNHGASIMPSVQARYRFSILDGYGRPRLELPRRMGVFGRGADGCYGEFIWREDLERRRGELLVGDRLNVRSSGGQILRTASSIICRQVTGSHILRIDGYSHLRRLITNGDHVAS >LPERR11G16680.1 pep chromosome:Lperr_V1.4:11:17761093:17766164:1 gene:LPERR11G16680 transcript:LPERR11G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVHLESNPRGLYIRAHPRFSVIDRLGALAITVDAGLSGVLEKGGVCGMARLIGGDELESSVYLKDDCFVIQCDLVVKTAKKCPDDCSAVSAAAGRIIRTASAIVLRPSTSSQVVRIDGYSHLVKLFKPNEFVSSCDFAASGQTWRLRLYPNGWSSDDIGVFVELASNPATAVCARPSFSLLDRAGKPAATKECVVCNLGCGDTFIAREKLEASEYLHDDCFAIQCDIAVTTVRKCNDHPVFIPRSSSENPTAKPTGHHVLKINGYTLTKHTVAAGDFIASSHFTVGDHSWRIKYYPNGNNATDENPQKISLMLDLVDCIVPDAGTVKAQFVFSLLDDDGEPVSSFTHSTSEFCGFPGTRSSSWGFVSFITHGDLEKYLKDDCFAVRCDVTVMNGVDVRVVPASLDVPESDLHLHLGRLLSTGDGADVTFNVGGETFVAHRCVLAARSPVFKVELIHHGVGRCVDVDDIDGDTFRALLHFIYTDTLLDLACQGDMAVMARRLVAVAERYKMERLKLICEEKLTMMTIKTGDHEKTQTSQGQWFFTRFFNFGLRTNVTATATVKSGNASPSCSTIVLSEESGHHVLRIEGYRRTKIMAATGEHLDSGEFHVGRYTWRLRYYPNGYNQDFSDSISFALVRTGTTDDVHVHARVKISLLDLAGDGEPVARYSHCDEKCTFSKGHDRWAFKGFIKSADLENSGHLVGDGDCFAVRCDITFNVQELHVRDLIAVPLPLLCRHLGDIFASEEAADVEFQVNGETFPAHRCVLAARSPVFKAKLLGSMKEHTERTICVNDIDAAAFRALLHFIYTDKLPPEMNGGGRHVAAMAQHLLVAADRYGMERLKKVCEDVLFRHVNIGTAATSLALAEQHGCPQLKDAILRFFMSPARLKAVMASDGYAHLVTSFPAIATEILAMLAAHLA >LPERR11G16690.1 pep chromosome:Lperr_V1.4:11:17776342:17777248:1 gene:LPERR11G16690 transcript:LPERR11G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTSSVAAAIGSTSTIIAPTKPTGHHILKIDGYSRTKAMFAAACSITTAIDPVPSYTLTSTIRSFHGSSDSSKEWIFPQFISHDDLEKSEHLKGDRFAVRCDVTLLKGIVEVRAEPVVVPSMSVPDSDIHCHLARLLSTGEGVDATLKVGGETFAAHRCVLAARSPVLKNALYIRRLAAGGCVDVDDMDATAFRAFLQFLYTDTLMEMNSADVPAMAQQLIVAADKYKVERLKLVCEDK >LPERR11G16700.1 pep chromosome:Lperr_V1.4:11:17777326:17780347:1 gene:LPERR11G16700 transcript:LPERR11G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGNIFRTSPSCSTIVVSEASGYHILKIDGYTRTKMMVATGEHLNSGEFNVGDYTWRLMYYPNGHDQKFSNFISFGLERTTSVAGSNDGKDDVHVHVRVKISLLDNAGEPVADCSNSDKGIQEIHQEGRSGEVWKSTRDIDPAAFDALLHFIYTDMLQETEEENVTAMAQHLLVAADRYDMERLKKACEEKIARHLDVGTAAMSLALAEQHGCSRLKEAIMRFIVMSPARLKTVMATDGYKHLVICCPSIANEILAMLASHLT >LPERR11G16710.1 pep chromosome:Lperr_V1.4:11:17827119:17828031:-1 gene:LPERR11G16710 transcript:LPERR11G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAETGELDVDHAVSEHGTMTRTSTRLESRVELDGLNRELEPPPPPPPWTGQYAVITQNNDARRFELGVPRPAAARDNQGSIPDPCGEAKLLGPSPNSGGYYITGAPFHRRARPLPPPRVITMAELHLVA >LPERR11G16720.1 pep chromosome:Lperr_V1.4:11:17839339:17840559:-1 gene:LPERR11G16720 transcript:LPERR11G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAFLLYKRYVPRSNLQLIMSADAAAGVVDDASSSTTAAAMMTTGAAAPPPSLVAAAESSSSYPNIIGKLETERYVVKFSHYAKAISSTRIGEFQTSNTFAGAGHNWQIIYYPNGCNDSARGYISVFLKLVGDISDLDDNGLRADVRFTIVAKSATEKPYTCGFRHTFVPPDRCHGIGYPWFITHERMESYIDGDSFLLQCVLAVEMPPFVVDVPPSTLGWHLGDLLGDSESADVTVVVDGENFPAHRFVLAARSLVFKAELFGPMKGEKGVIRVDDMHADVFREFLHFIYTDRLSDQFGSGDCDDGEEEAIMAQHLLVAADKFDLPRLKVICENKLCKHLDVNTAATTLVLAEQHGCHGLKKVVLMFLKVSSNMEAVKCTDGYNHLLESCPSLDEELRSQAPHS >LPERR11G16730.1 pep chromosome:Lperr_V1.4:11:17842959:17843423:1 gene:LPERR11G16730 transcript:LPERR11G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQMEEVITTSTITSTETTGSHMLKINGYKTIRNSHCVSEYIESARFNAAGHTWSILFYPHGKTNKDIFDTTSYVSLKLHDGADIARDDTVHANVKFSMVGSWLPFFVWFKTSFRKGNMEAGHGFICWEDLLLTGCIKNRREGRRARSGGRAG >LPERR11G16740.1 pep chromosome:Lperr_V1.4:11:17846092:17846319:1 gene:LPERR11G16740 transcript:LPERR11G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFKFAGWEHIGMFGYVKDDSIAIRCDITVVENAAVLDPVVVPADLERLGWFASVRTSCVSVIMSWHYHRNTH >LPERR11G16750.1 pep chromosome:Lperr_V1.4:11:17863156:17866620:-1 gene:LPERR11G16750 transcript:LPERR11G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPLNKPFITPQLVLPFILLLNLCLLLSAQFHNESSADRQALLCLKSQLHDPSGALASWRNDSLVAMCDWHGVTCSTGIPSRVDALDLESQNLTGQIFPCVADLSFISRIHMPGNQLNGHLTPEIGRLTRLTYLNLSTNSLSGEVPETISSCSLLEILSLYSNSIEGKIPPSLAQCKFLQQIRLGDNKIQGSIPPEIGLLPNLFALFIPNNELTGPIPQLLGSSKSLAWVNLRNNSLNAEIPPLLFNSTNISYIDLSYNGLSGSIPPFSQSSFSLRYLILTKNHLSGGIPISVGTVFSLSGLMLSGNNLEGTIPESLSKLSNLQILDLSYNNFSGIIPPGIYKISNLSVLNFAENRLTGRIPTNIGYTLPGLTKFILQGNQFEGPIPASLANALGLKEIYLAENSFTGVIPSLGSLSMLTFLDLGVNKLESGDWTFMSSLANCTQLQNLWLDRNNLQGMLPTSIANLSKGLLILSLKQNQLTGSIPSEIENLAGLTAILMDNNMLSGQIPSTITNLPNLMFLSLSHNKLSGEIPQSIGTIEQLLKLYLQENDLTGPIPSSIARCTNLVKLNISRNKLNGSIPPDLFSISTLSEGLDLSYNQFIGQIPLEIGRLINLNSLNISNNRLSGEIPSTLGECLVLESVQLQANFLQGSIPVSLINLKGIVEMDLSQNNLSGEIPKYFESIGSLRVLNLSFNNLEGPVPQGGVFANSRDVFIQGNKKLCASSPMLQLPLCKELSSKRKKTPYILSVAVPVTIIVIVTLACAIILLKKRTGPKKININHSFRNFSKLSYNDLHKATDGFSSRSLVGSGTFGLVYKGQLKFGACDVAIKVFRLDQNGAPNSFCAECEALKSIRHRNLVTVTGLCSTFDPSGNEFKALILEFKANGNLESWIHPKVCSQSPPKLLSLASRIRVAEDIATALDYLHNRCTPPLVHCDLKPSNVLLDDEMVACISDFGLAKFLHNDFISLNNSTSSAGLRGSTGYIAPEYGLGCKVSTEGDVYSYGIIVLEMMTGKRPTDEIFQDGVNLHSFVESAFPDHISDILEPTITEYYEGEESNHLVPEMKACAIQLAKLGLMCTKPSPKDRPTIYDVYYQIISIKENYHGLIN >LPERR11G16760.1 pep chromosome:Lperr_V1.4:11:17887182:17888402:1 gene:LPERR11G16760 transcript:LPERR11G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQCVASCDAQFVMLLLLVMLLLQCVASCVAQSVYICCCHAQFVYICCCHAHFVQRNAKYCHVITTMLYLMLLPCSICCYSHILLPCCEFII >LPERR11G16780.1 pep chromosome:Lperr_V1.4:11:17893790:17894278:1 gene:LPERR11G16780 transcript:LPERR11G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCGYYASVGLKLHGGRNAAAAGDLVVVNVTFSVLRRHRGSSSSSSAGKKPYKRSCSMYFRYCSMESYYHMFIVTTGDLNRSYRRYIKEDSIVIRCDLTVVEKTVVNLGIICKCNEESCRHYHASRTTSCKPATTKNAQRRKFRPRTPRRDFLGASDQIN >LPERR11G16790.1 pep chromosome:Lperr_V1.4:11:17898105:17898692:1 gene:LPERR11G16790 transcript:LPERR11G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMEEITTSTITSTATTGTHMLKIDSYNMIEKSHLVGNYIESPMFDAVGHTWRIHFYPYGKTIAHKGNSTVSIKLHGGATIVANIKFSMVGSWMPYSTCCKARFSSGYMTRSFKFIGSEQMRLSGYVKDDSFAIRCDITIVENAAVLDPVVAPADLERLGMVCKCKDELCKRHHVLGFQEAFCKLFFGCLVPK >LPERR11G16800.1 pep chromosome:Lperr_V1.4:11:17902151:17902778:1 gene:LPERR11G16800 transcript:LPERR11G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPPPPPLPEETMASTVTPAATTGTHIFKIEGYNMIKSSHGTGTRVESPKFQAAGHTWTISLYPNGSTKKNLGLSLHDDDAAVGDAVVQATVCFSFCSADSADSTTASSYPMSFYVGSMHCCTILSNWDMLWSYIEDDSLTIRCDITVVEKTAVNLGIICNCNDDLCKRHHVATTSGNEDHNKKAASSKPRLFGCFRYN >LPERR11G16810.1 pep chromosome:Lperr_V1.4:11:17931901:17933200:1 gene:LPERR11G16810 transcript:LPERR11G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVDISLEIFDRLDKLPDSVIFPQCLRRLLLTAKFIKEDPMPILEKLPCLVFLFLSGYKGHTMLCSAQGFPRLTHLSLDNFYTEEWIIEIGALPRLSHLSIMRFPNMSKLPQGLGQLPFLEELFLQIVPKISDNDITWKYLQGKGCKYTVIYRLLYIHGFSTTLFAFSVAVALFSLYTARVH >LPERR11G16820.1 pep chromosome:Lperr_V1.4:11:17972231:17974268:1 gene:LPERR11G16820 transcript:LPERR11G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEELSLEEKARLDEVVKRSQPSLQSEEVFNQCLAIIAEKECIDTAEEPKVSGTPIMLSGDLITYTNSGPLTVATEHRYAGSVVMDYPDPLGNTYGNFALGGSSDTSIQAAVVYGGKNSYNIDCLWLLAFGAKGDQVHAYVVCGPIDRFSPVAWDKTKEKIEISGDWGYYNDKDTGTSIYASIQNYGNGRYYVSASFYG >LPERR11G16830.1 pep chromosome:Lperr_V1.4:11:17992077:17993376:1 gene:LPERR11G16830 transcript:LPERR11G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDELSLEEKARLDEVIKRSQPSLKSEEVFNKCLAVIAEKECIDKAEEPKLGGTFITLPGDLINYTNGPLTVASEHRYAGYVEIDYPDPLNSGAYGTFTLGGKSDTGIEAAVVYGGKNKNNVDCGWLLAFGAKADQVHVYVVCGPIDRFSPVAWDKTKEKIEISGSWGLYNDKDTGTSIYASIYDYGNGWYYVSASFYG >LPERR11G16840.1 pep chromosome:Lperr_V1.4:11:18012613:18023051:1 gene:LPERR11G16840 transcript:LPERR11G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCDGLLLCTFEWGRRFCVHNPATRRWTTLPLPPPPQASPAMYLVFDPAVSPHYEVFSIPDLPEKPKPPVKPKPMEPPFCGLDELLASLDGAEETWEIEEFIKEELPPPPPSPSDDEIYWKMEWPPSPYRVEVFSSRSGRWEKREFVREEEAETTTVDDMKPWKYFFVGPRQGYGVFFQGALYVHSGGDSVTRFSLSNDKYQVIRTPINIPDRKNERPYLGKSTMGVSLGFIHDCQISIWMLKESAGQMEWVLNYKHDLHAVANQVYGPWILEEDNIHIRENKDHGWDSENDDFLDSEVGDEGHYFPCLGILGFHPYKEIIFLQETFRTAAYHLDCKKVQYLGYSRPKCYYQNHTNGIYESFIYTPCVHGVIINYVEHYRPHLFSRPQSPAATTGGGEIKIDGNLSSVMDIQDKDYMDYWYTARRPQPLRWAMLPEYSAEDIGDDGYASVYLAFDPAVSPAHYEVLLIPFLMIKLEWPPSPYKVEVFSSRTGRWEEREFVREEEGEAAATTVDDMKMKPWDSFIGPRRVLEGSIVCPLWRFSLSSNKYQVIRTSITIPDNKYEKLYLGKSKMGLSLGFIHKWKLSVWILRESAGQMEWILYYQHDLRAVANQVVASIKILGEQINRPWILEEDDVDMGENKDHEWDSDNDDFLAIQVVDKGHDFAYFDILGFHPYKEIIFLELSFITVAYHLDSSKVQYLGNSRPKCYYQSYSNGVVRPSDAVVHNTARRTQEIYTGVTE >LPERR11G16840.2 pep chromosome:Lperr_V1.4:11:17996693:18012613:1 gene:LPERR11G16840 transcript:LPERR11G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPVPDDVAADILRRLPPRSLAAARCIDGNLSSVPTDHMDWWHVMDHCEGLLLCAVQEGRRLCVHNPATRRWAMLPASPSSVADSAGVYLAFDPAVSPHYEVFFIPGLPEKPHPPPPPKPPAKRHRQDEISEPFLLDNLFSSFEDVGDVELGVDDEEEPAMAAAETWEKDVLTPPSPSDDEIYWKKEWPPSPYKVEVFSSRTGRWEEREFVREEEEEGEMVITTVEDMKPENWLHRRGYGVFFQGALYVHSGGTSMTRFSLSNHKYHVIRTLTNNKYERRYLGKSKMGVSLGLIQDYQLSIWVLKESAGRMGWVLNYKHDLRAVANQVDAIGLFGDQINGPWILEEDNIHMRGNKDHVWDSDNDDFLDTEVCDEGHHFANLHILGFHPYKEIIFLGAPFRTAAYHLDSQKVQYLGYSRPKCYYRSPTNGIYESFVAWLALIDGRALLLPHLLPHSVHAVVINYIDHRRPHLFSRPKSAETTGGIDGNLSFMPDSRDGWVWWSVLDHCDGLLLCGVDSGRLLCVCNPATRRREMLPPRMGETCRTRFDGGAYLVFDPAVSLHYEVLLIPNLPEKPPPPPPAEAMWHRRQRPRLPRQEEIAGPFCLDNLFSSLEDDDVVELGVDEEEFQFQEYLVESPPTLPSHMDDDDSYNSMEWPPSPFRVEVFSSRTGQWEVREFVREPGEKVTTVEDMLPLGYAYNGPRRGYSVYWQGAVYVFIVKWHLSVWILHESAGQMVEWVLIYQHDLRPFAKQVRSLDNLTTGPWTIHGDYIDMHEHREFLPDQDFEWDSDNDDFLAIEAGDEDYDYAYFDILGFHPYKEVIFLHQSFRTVAYHLNSSKMQYLGYSRPECFYRNHTNGIYESFLYTPCMVMAAAMPVPDDLAADILRRLPPRTLAAARRVCKPWRDLVDGRARLLPHKAHGVVINYIDHYRPHLLVVVVDGGGSHRRNRREPGTEFRGT >LPERR11G16840.3 pep chromosome:Lperr_V1.4:11:17996693:18008959:1 gene:LPERR11G16840 transcript:LPERR11G16840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPVPDDVAADILRRLPPRSLAAARCIDGNLSSVPTDHMDWWHVMDHCEGLLLCAVQEGRRLCVHNPATRRWAMLPASPSSVADSAGVYLAFDPAVSPHYEVFFIPGLPEKPHPPPPPKPPAKRHRQDEISEPFLLDNLFSSFEDVGDVELGVDDEEEPAMAAAETWEKDVLTPPSPSDDEIYWKKEWPPSPYKVEVFSSRTGRWEEREFVREEEEEGEMVITTVEDMKPENWLHRRGYGVFFQGALYVHSGGTSMTRFSLSNHKYHVIRTLTNNKYERRYLGKSKMGVSLGLIQDYQLSIWVLKESAGRMGWVLNYKHDLRAVANQVDAIGLFGDQINGPWILEEDNIHMRGNKDHVWDSDNDDFLDTEVCDEGHHFANLHILGFHPYKEIIFLGAPFRTAAYHLDSQKVQYLGYSRPKCYYRSPTNGIYESFVAWLALIDGRALLLPHLLPHSVHAVVINYIDHRRPHLFSRPKSAETTGGIDGNLSFMPDSRDGWVWWSVLDHCDGLLLCGVDSGRLLCVCNPATRRREMLPPRMGETCRTRFDGGAYLVFDPAVSLHYEVLLIPNLPEKPPPPPPAEAMWHRRQRPRLPRQEEIAGPFCLDNLFSSLEDDDVVELGVDEEEFQFQEYLVESPPTLPSHMDDDDSYNSMEWPPSPFRVEVFSSRTGQWEVREFVREPGEKVTTVEDMLPLGYAYNGPRRGYSVYWQGAVYVHCRGAFVTRYSLLNGMYQLIRTPINIANYELEKPYLGKSEKGVLFGMIHGCQLSVWILHESAGQMVEWVLNHQQDLRPFAKQFRLFDSMTTGPWTIEEDNIDMHEHREFIPDQDFEWDSENDDFLYIEAGDDDYDYAYFDILGFHPYKEVIFLHQSFHTVAYHLNSSKMQHLGYSRPECYYRNHTNGIYESFLYTPCMIGELHGDYTGQTTDRSPIFPKIPHIMAAAMPVPDDLAADILRRLPPRTLAAARCVCKPWRDLVDGRALLLPHLLPHSVHGVVFIVKWHVPIN >LPERR11G16840.4 pep chromosome:Lperr_V1.4:11:18012613:18022713:1 gene:LPERR11G16840 transcript:LPERR11G16840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCDGLLLCTFEWGRRFCVHNPATRRWTTLPLPPPPQASPAMYLVFDPAVSPHYEVFSIPDLPEKPKPPVKPKPMEPPFCGLDELLASLDGAEETWEIEEFIKEELPPPPPSPSDDEIYWKMEWPPSPYRVEVFSSRSGRWEKREFVREEEAETTTVDDMKPWKYFFVGPRQGYGVFFQGALYVHSGGDSVTRFSLSNDKYQVIRTPINIPDRKNERPYLGKSTMGVSLGFIHDCQISIWMLKESAGQMEWVLNYKHDLHAVANQVYGPWILEEDNIHIRENKDHGWDSENDDFLDSEVGDEGHYFPCLGILGFHPYKEIIFLQETFRTAAYHLDCKKVQYLGYSRPKCYYQNHTNGIYESFIYTPCVHGVIINYVEHYRPHLFSRPQSPAATTGGGEIKIDGNLSSVMDIQDKDYMDYWYVIDRCNGLLLCDTARRPQPLRWAMLPEYSAEDIGDDGYASVYLAFDPAVSPAHYEVLLIPFLMIKLEWPPSPYKVEVFSSRTGRWEEREFVREEEGEAAATTVDDMKMKPWDSFIGPRRVLEGSIVCPLWRFSLSSNKYQVIRTSITIPDNKYEKLYLGKSKMGLSLGFIHKWKLSVWILRESAGQMEWILYYQHDLRAVANQVVASIKILGEQINRPWILEEDDVDMGENKDHEWDSDNDDFLAIQVVDKGHDFAYFDILGFHPYKEIIFLELSFITVAYHLDSSKVQYLGNSRPKCYYQSYSNGIYESFVYTPCMTGELRGGYFTGQNSS >LPERR11G16840.5 pep chromosome:Lperr_V1.4:11:18009836:18012613:1 gene:LPERR11G16840 transcript:LPERR11G16840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFTQKASSSRTHVMAAAMPVPDDLAADILRRLPPRTLAAARRVCKPWRDLVDGRARLLPHKAHGVVINYIDHYRPHLLVVVVDGGGSHRRNRREPGTEFRGT >LPERR11G16850.1 pep chromosome:Lperr_V1.4:11:18028462:18034166:1 gene:LPERR11G16850 transcript:LPERR11G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDDVAADILRRRTLRLQAMAFPHRRPRASPPDLLPHKEHGHGVVINYIDHYRPHLFSRPQSGSGGGDIEIDGNLISEIEIEDEDEDYVVHPGPLRATASSAPPLRRRVGEPRASASTTLRRGGGWATLPESSSSSANNEASVYLPFDPAVSLHYEVLSIPSLPNESTRYKVEVFSSRTGRWEEREFVREEEDDRIRSK >LPERR11G16860.1 pep chromosome:Lperr_V1.4:11:18039574:18040077:1 gene:LPERR11G16860 transcript:LPERR11G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLGFIHKWQLPVWILKESAGQMEWILNYQHDLRPVANQIDSIKILGEQINGHWILEEDDVDTSENKDHDWDSDNDDFLAIQVGDDGHDYAYFDILGFHPYKDVIFLELAFRTAAYHLDSSKIQYLGYSCPKCYYHNHTEIYESFVYTPCIIGELHGGYYYHLSS >LPERR11G16870.1 pep chromosome:Lperr_V1.4:11:18041424:18043238:1 gene:LPERR11G16870 transcript:LPERR11G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVPDDVAADILRRRTPWLSLIDGRALLLPHLLPHSVHGVVINYIDHGRPHLFSRPQSGSGGGEIEIDGNLSSPSVPKGDRDWWHVIDHCDGLLLCAVDWGRKLCVHNPATRRWTTLPPAVPGVAGEYLVFNPAVSPHYEVFLIPGLPKNPPSDDDDDDDDDDDDDDDDEIYWKMEWPPSPYKVEVFSSRTGWWEEREFVREKDGETTTMVDDMKPWKVPFGGPRRGYSVFWKGALYVHCPMSIVEVLLNKYQVIRTPINIPDNSYEKPYLGKSKMGVSLGFIHKWQLSVWILRESAGQMEWTLNYQHDLRPFANQVASIDLFGDQINGPWILEEDNTDMRENKDHEWDSDNDDFLAIEAEDEGRDFSYFDILGFHPYKEVVFLERTFRTAAYHLNSSRIQYLGYSRPKCYYRNYTNGIYESFLYTPCMIGELNGDYRQSSSKHLKK >LPERR11G16880.1 pep chromosome:Lperr_V1.4:11:18047890:18050784:-1 gene:LPERR11G16880 transcript:LPERR11G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDELASDILRRLPPRTLAAARCVCKPWRDLVDGRALLLPHLLPHSVHGVVINYTDYGRPHLFSRPKSSSSSSAAAETSGGIDGNLSFMPNIRDGRWDWWHVMDHCDGLLLCAVDRGTQICVCNPATRRWEMLPPRVGEPSLTGFDGGAYLVFDPAASPHYEVLLIPPPPPLAVRLRQRTRLPQQEEIAGPFCLDNLFSSLEDGGDVELGVDEEEFQFQQYLVESPHMPLMQLLSMDDDDDDDDDDDDDPFGLKMEWPPSPFRVEVFSSRTGRWEEREFVRDAVEKVTTVKDMRPWQYACAEPRRGYGVFFQGALYVHCRGSFVTRYSLPNGKYQLIETPINIADHELEKPYLGKSEKGVLFGIIRRCQLSVWTLRESAGQMVEWVLNYQRDLRPFAELARSLDYMTTGPWTIEEDNIDMHEHRECIPDQDFEWDSDNDDFLAIEAGDQDYAYAYFDILGFHPYKEVIFLAQSFYTVAYHLNSSKIQYLGYSYPECYHRKSYIFESFISVPVEMLTTLSNHDCLVELYLGVLVGLVRPPDSRFFPQKLRSLTRVTGSYSVLEHDPMLTLEKLPNLVELILAVAKYEGPSMCSSKGRFPRLQYLKLWWISSEKLRFE >LPERR11G16890.1 pep chromosome:Lperr_V1.4:11:18051490:18052769:-1 gene:LPERR11G16890 transcript:LPERR11G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPSPPAAAAKAKPTPYLTFDPAVSPHYEVVLIPVLPPPPPPVFCLRKLFELMDDAEQSFDDDEEVYLSMEWPPRSQYWMEVFSSMTGQWEERDFVREGEMVTTVDDMEAYWFVPRQGYGVFWQGALFSLSNRKYQVIRSAVNIYEGGFTAAYLGKSNIGVCARFGFIDGRQLSVWILDKSAGQMEWV >LPERR11G16900.1 pep chromosome:Lperr_V1.4:11:18067378:18079691:-1 gene:LPERR11G16900 transcript:LPERR11G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLPDDLAAAILRRLPPRTLAAARCVCKAWLSLIDGRALLLPHLLPHSVHGVVINYIDHDRPHLFSRPKSSSSSGGEIEIDGNFSSGRPEACAEMWHVMGHCDGLLLCAVGWWGRQLCVHNPATRRWATIPPLPAAAAKERATPYLLFDPAVSPHYEPAGGSPAAATLMGALPLPPPPVYCLGKIFMLEAMDDDSDDESFDVESVDSPPPSPPPRQPSSMDVVDDELSGSMDWPPSPYRVKVFSSRTGRWEEREFVREGEAAMTVEDIKRHIYDFGGPRRGYSVLWQGALFSMSNDKYQVIRTPVNILDGRFATPYLGKSSMGVCFGFINDLQLSVWILNESAGQVEWVLKYQHDLRLVSKQFASINRSNRSWILHEDNANMHENTKSLSDYPVWDSDNDEFLPSEVDVADDEYNYSYIEILGFHPYKEVIFLNESFKTVAYHLNSSKVQILGYSRPKCYYRNPTNGIYESFLYTPCMIGELHGDYSSCIFGPKTGGSDCLSGGHALASDLYTQKILVASDYQNAVRSIQGAGKDRYGHIVQEIQARKSDFISVNFVHEPRSSNLDAHMIARSSVYDVLSXLRRLPPRTLAAARCVCKPWRDLVDGRALLLPHLLPHSVHGVVINYIDHGRPHLFSRPKSSSSGGEIEIDGRLSSVPRGDRLWCPWHVMDHCNGLLLCAVKWESRFCVHNPATRRWTTLPSPQPESSAKYLVFDPAVSPHYEVFSIPDLPEKPKPPPEKPKPPPEKPKFVGLDELLASLDGAEETWEIEEFVEEELPPSPSDDEIYWKMEWPPSPYRVEVFSSTSGRWEKREFVREEEEEGNSPSPYQVNGPWILEEDTILVRENKDHGWDSDNDDFLDTEAGDEGHGYASLNILGFHPYKEIIFLQETFRTAAYHLHSKKIQYLGYSRPKCYYRNHTNGIYESFVYTPCTWRDLVDGRAMLLPHLLPHSVHGVVINYTDYGLPHLFSRPKSSSSSSAAAETSGGIDGNLSFMPNICDGRWDWWQVMDHCDGLLLCAVDRGTQICVCNPATRRWEMLPPRVGEPSLTGFDGGAYLVFDPAVSPHYEVLLIPNSPEKPPPPPLPAALRPRLPRQEEIAGPFCLDNLFSSLEDGGEVELRMDDEEFQFQQLLVEFPHIPVMPPLSMDDDDPYGWKMEWPPSPFRLEVFSSRTGQWEVREFVREAGEKVTTVEDMLPWQYACAEPHQGYGVFFQGALYVHCGGSFVTRYSLPNGKYQLIETPINIADHELEKPYLGKSEKGVLFGIIRRCQLSVWTLRESAGQMVEWVLNYQRDLRPFAELARSLDYMTTGPWTIEEDNTDMHEHREFLSDKDFEWDSDNDDFLAIEAGDLYYDYAYFDILGFHPYKDVVFLAQSFYTVAYHLNSSKIQYLGYSSCPEYYHRERDMFESFLYTPCMIGELHGDYTGQSSS >LPERR11G16900.2 pep chromosome:Lperr_V1.4:11:18067378:18079691:-1 gene:LPERR11G16900 transcript:LPERR11G16900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLPDDLAAAILRRLPPRTLAAARCVCKAWLSLIDGRALLLPHLLPHSVHGVVINYIDHDRPHLFSRPKSSSSSGGEIEIDGNFSSGRPEACAEMWHVMGHCDGLLLCAVGWWGRQLCVHNPATRRWATIPPLPAAAAKERATPYLLFDPAVSPHYEPAGGSPAAATLMGALPLPPPPVYCLGKIFMLEAMDDDSDDESFDVESVDSPPPSPPPRQPSSMDVVDDELSGSMDWPPSPYRVKVFSSRTGRWEEREFVREGEAAMTVEDIKRHIYDFGGPRRGYSVLWQGALFSMSNDKYQVIRTPVNILDGRFATPYLGKSSMGVCFGFINDLQLSVWILNESAGQVEWVLKYQHDLRLVSKQFASINRSNRSWILHEDNANMHENTKSLSDYPVWDSDNDEFLPSEVDVADDEYNYSYIEILGFHPYKEVIFLNESFKTVAYHLNSSKVQILGYSRPKCYYRNPTNGIYESFLYTPCMIGELHGDYSSCIFGPKTGGSDCLSGGHALASDLYTQKILVASDYQNAVRSIQGAGKDRYGHIVQEIQARKSDFISVNFVHEPRSSNLDAHMIARSSVYDVLSXLRRLPPRTLAAARCVCKPWRDLVDGRALLLPHLLPHSVHGVVINYIDHGRPHLFSRPKSSSSGGEIEIDGRLSSVPRGDRLWCPWHVMDHCNGLLLCAVKWESRFCVHNPATRRWTTLPSPQPESSAKYLVFDPAVSPHYEVFSIPDLPEKPKPPPEKPKPPPEKPKFVGLDELLASLDGAEETWEIEEFVEEELPPSPSDDEIYWKMEWPPSPYRVEVFSSTSGRWEKREFVREEEEEGNSPSPYQVNGPWILEEDTILVRENKDHGWDSDNDDFLDTEAGDEGHGYASLNILGFHPYKEIIFLQETFRTAAYHLHSKKIQYLGYSRPKCYYRNHTNGIYESFVYTPCTWRDLVDGRAMLLPHLLPHSVHGVVINYTDYGLPHLFSRPKSSSSSSAAAETSGGIDGNLSFMPNICDGRWDWWQVMDHCDGLLLCAVDRGTQICVCNPATRRWEMLPPRVGEPSLTGFDGGAYLVFDPAVSPHYEVLLIPNSPEKPPPPPLPAALRPRLPRQEEIAGPFCLDNLFSSLEDGGEVELRVDDEEFHGDVESQMDDEEFQFQQLLVEFPHIPVMPPLSMDDDDPYGWKMEWPPSPFRLEVFSSRTGQWEVREFVREAGEKVTTVEDMLPWQYACAEPHQGYGVFFQGALYVHCGGSFVTRYSLPNGKYQLIETPINIADHELEKPYLGKSEKGVLFGIIRRCQLSVWTLRESAGQMVEWVLNYQRDLRPFAELARSLDYMTTGPWTIEEDNTDMHEHREFLSDKDFEWDSDNDDFLAIEAGDLYYDYAYFDILGFHPYKDVVFLAQSFYTVAYHLNSSKIQYLGYSSCPEYYHRERDMFESFLYTPCMIGELHGDYTGQSSS >LPERR11G16910.1 pep chromosome:Lperr_V1.4:11:18081665:18084036:1 gene:LPERR11G16910 transcript:LPERR11G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGFLGFLPQTGEYSEHDEWCVLDHCNGLLLCRNETSTYRLFVCNPATRRWEKIPNYDKDMYRRAHTTYLPFDPALSPSHYEVFMIPKVPEPEMETTRDGIHVKVNVIDDPCRTMEWPPSPWRVDVFSSRTGGLWKERAFVREGEPLGTVEEIRLDPLEPIWYGTQQRYGVYYQGALYVHCRGGFVARFSLSNDTYQIIKTPETNSEKPYFGRSKKGLCFGIITRQNQLQVWILRESYGQMEWILTYKDDLKPVSAEVRSPDFPNKTFGPWIIEDETYDINLEKTETVSKVNSEWDSDNDDFISVAGRPEGSSYGYTGVVSIFGFHPYKEIIFLETSFDVAAYHLNSSKIQFLGFSRPESYYLNYTNGQL >LPERR11G16920.1 pep chromosome:Lperr_V1.4:11:18085900:18088183:1 gene:LPERR11G16920 transcript:LPERR11G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARAAAARLAHLTTARRAAVASAARRRLIDASSPRSYCSIAAVDQPPSPLPLPVRGIFINYIDHGPTHFFAHPSLATPARPTIKDKSGGFLGFLPQTGDYIVHDEWCVLDHSNGLLLCRNETSYRLFIPKHEKGIYRRAHTTYLTFDPAVSPPSSHYYEVFMIPNVPEPEPDPEAETTPDGIHVKVKVIDDPCRTMEWPPSPWRVDVFSSRTGGLWKEREFVWEGEPLGTVEEIRLDPLEPTWYGPQQRYAVYHQGALYVHCRGGFVARFSLSNDTYQIIKTPEINSEKPYFGRSEKGLCFGIITRHKQLQVWILRESCGQLEWILKYKNDLKAVSAEVRSIDFPDKKFGPWIIEDDTDSSNHEKIETVSKVNSEWDSDNDDFISVVDRSEGSSCGYTGVVNIFGFHPYKEIIFLETSFNVAAYHLNSSKIQFLGFSRPESYYLNYTNGIDESFPYTPCFTGELRHPRRRAKKKKLGASSSESSAAELHGSSQIPSDGGSKEDQ >LPERR11G16930.1 pep chromosome:Lperr_V1.4:11:18088858:18089367:1 gene:LPERR11G16930 transcript:LPERR11G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAAAARFTRLITTARRHGGSPRGNCSGAAALLPVRGIFMNYIDHGPTHLLTHPSMAAPAKPTINDGFLSFLPGANPNHDSWDTWSVLDHCNGLLLCHYNESRLCVCNPATRRWEKLPKRLADDGSFTHRASAYLAFDPAVSPSSRYEVFVIPNVPVLEMKMMRSGT >LPERR11G16940.1 pep chromosome:Lperr_V1.4:11:18089404:18090692:1 gene:LPERR11G16940 transcript:LPERR11G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSPSPWKVEVFSSRTSRLWKERTFVRDGEPLGTVEDMRMDPSYPTGDRPRQWYGVYHQGALYVHCRGHFVARFSFSNDKYQIIKTPEENSENYEFAKPFLGRAKNGICYGIIGKKCQPQIWILRESCGQMDWILKHTDDLKHFDEELRTFDYTIRYIRLEVDGSKHEKNETLSKVNSEWDSDNDDFISVTVLMDSTAMHGFKSLDSILIKKLSAWCIHLKVVAYHLNSSKMQYLGYSHPKSYDLSNSNGIYESFPYTPCMTGELRWPRRHAKKKTAT >LPERR11G16950.1 pep chromosome:Lperr_V1.4:11:18091593:18092270:-1 gene:LPERR11G16950 transcript:LPERR11G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQVRMAILKQEQTFRQQVHELHRLYHVQKQLMKQAQITKLNQSHAIAAAAAETKPKFEITFAENPTNHQQFYNFQRTSKISSPPAEAAAEEEKCDLELTLATGNSRKRQQQQQQQQKGKEVRSSNSDSGTAVSSTSTDSELSQFKDHHQHQQFNYATATAPVRFQNDGEMNLQPPWLNQCLSLRMA >LPERR11G16960.1 pep chromosome:Lperr_V1.4:11:18106021:18107733:1 gene:LPERR11G16960 transcript:LPERR11G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTNVWNSHPKSYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >LPERR11G16970.1 pep chromosome:Lperr_V1.4:11:18110049:18111375:1 gene:LPERR11G16970 transcript:LPERR11G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKCATIWSSDDARNEESEMIAHLKSMFWSTDADVNFCSPDISVNSCITTSTIPSSLFLPLMDNEGFGTVPLMVSTGMDMCSGHQQHEVITGKKRILSMDEQQQQQKPKKKTRIARSVSSTLSPNTTEYETSPELVNSSCSSGCSFGEDSICTTDESVLKQNGNSRAQKKTSKDPQSLYAKRRRERINERLRALQQLIPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMSMGLGHTLADNQE >LPERR11G16980.1 pep chromosome:Lperr_V1.4:11:18114958:18119787:1 gene:LPERR11G16980 transcript:LPERR11G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNKSLPQPCSGASADTLRRRASVNCLAGGERRGGGKLVVRMVSRPEASPAPADGKNVLNGSAVSGINKLLTSTVGKSTNILWHDCPIGQPERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNVRHGLNKDLSFKAEDRAENIRRVGEVAKLFADAGLICITSLISPYRSDRSACRELLPNPSFIEVFLNVPLALCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGECPSPKSMADQVVSYLEANGFLQN >LPERR11G16990.1 pep chromosome:Lperr_V1.4:11:18156768:18161318:-1 gene:LPERR11G16990 transcript:LPERR11G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEEELRYEGNGNGGDLAAAADAAGAYAGEYPAPEARPEGSPPADVAAKPTGFSDGAAEGRVRSQHETQPHDGRSSKSRERETEREKDKDREREKDRGRDRRDRDRGDRDRERDRHREHRDRSERRDHRDRERSDDRDRRHGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSKSKRVSGFDQGPQAAIPTLAAPAAPGQLPVVPPAIPGMLPNMFNLAQTQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGHLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQENMLLHVQQQAQMQKLMLQVGGGALPTKVVCLTQVVSADELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVPGVGRVFLEFADVESSAKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >LPERR11G17000.1 pep chromosome:Lperr_V1.4:11:18163348:18168763:-1 gene:LPERR11G17000 transcript:LPERR11G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGGDDDTATTATAPLLASPLPKPGDDKPRCNMYAFGCATLASMTTILMGYNLALMSGAQLFMKEDIGLTDEQIEVLAGSMNVFMLVSILAAGWTADALGRRGTLVLANVLLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPTSARGVLSSLLDQASGIDAIVLYSPLVFKRAGISSNTSVLAATVAVGVVKTCFILAATLLSDRLGRRPLLLASTGGIMPLRLRAQGSSLGMAVNRLTCGVVSMTFISLAGGITMPGCFFLYAGVAAMACVFVYFRLPETKGRSLEDMDVLFAKHPKKTNTSLSRTVATMTHDGDAAAAAAAPLLVSSGDKPCRRGTTFAFGCATLASMTTILMGYNLALMSGAQLFMKEDIGLTDGEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGGSYGELMSARFVTSVGVGLARVVAPVYNAEISPPSIRGVLTSLLDMFVNVGILLSYVSNYALAGLPVHLGWRVMFGIGVVPPVLLAAGVLAMPESPRWLAMRGRHGDARAVLVRVSDTVAEADLRLEEITHAVAAPRDAAAGGGGAGVWRELIFRPTATVQRILAGVIGLQFFQQASGIDAIVLYSPLVFKRAGISSNTSVLATTIAIGVVKTSFILVATLLSDRLGRRPLLLASTGGMVVTLTTLALTLRVSSPSSSTASVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGASIGIAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAATFVFVYVWLPETKGRSLEDMDVIFDK >LPERR11G17000.2 pep chromosome:Lperr_V1.4:11:18163348:18177453:-1 gene:LPERR11G17000 transcript:LPERR11G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAEATAPLLAANNGEPRHRRNTFAFACATLASMTTILMGYNLALMSGAQLFMKEDIGLTDEQIEVLAGSMNVFMLVSILAAGWTADALGRRGTLVLANVLLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPTSARGVLSSLLDQASGIDAIVLYSPLVFKRAGISSNTSVLAATVAVGVVKTCFILAATLLSDRLGRRPLLLASTGGIMPLRLRAQGSSLGMAVNRLTCGVVSMTFISLAGGITMPGCFFLYAGVAAMACVFVYFRLPETKGRSLEDMDVLFAKHPKKTNTSLSRTVATMTHDGDAAAAAAAPLLVSSGDKPCRRGTTFAFGCATLASMTTILMGYNLALMSGAQLFMKEDIGLTDGEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGGSYGELMSARFVTSVGVGLARVVAPVYNAEISPPSIRGVLTSLLDMFVNVGILLSYVSNYALAGLPVHLGWRVMFGIGVVPPVLLAAGVLAMPESPRWLAMRGRHGDARAVLVRVSDTVAEADLRLEEITHAVAAPRDAAAGGGGAGVWRELIFRPTATVQRILAGVIGLQFFQQASGIDAIVLYSPLVFKRAGISSNTSVLATTIAIGVVKTSFILVATLLSDRLGRRPLLLASTGGMVVTLTTLALTLRVSSPSSSTASVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGASIGIAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAATFVFVYVWLPETKGRSLEDMDVIFDK >LPERR11G17000.3 pep chromosome:Lperr_V1.4:11:18163348:18177453:-1 gene:LPERR11G17000 transcript:LPERR11G17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAEATAPLLAANNGEPRHRRNTFAFACATLASMTTILMGYNLALMSGAQLFIREDMGLTDEQIEVLSGSMNVFMLASILAAGWTADALGRRGTLVLANAFLMAGALAMSLGSTYAALMSARFVTSVGVGLAVVVAPVYTVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGVGWRAMFAAGVAPPVFLAAGVLAVMPESPRWLAMSGRLDDARSVLLRVSDTVAEAELRLDEIITHAAGAAARHDGGGVWRQLFVRPSPTVRRIMTKVMSLHFFQQASGIDVIVLYSPLVFKQAGISSNTFVLAATVAVGVVKTSFILAATLLSDRLGRRPLLLASTGAVAFTLASLAVALCVASPAASVASAMAYVAAFSVGLGPLAPAYGAEIMPLRLRAQGASVGTAVNRVTCGVLSMTFISLAGGISMAGCFFLYAGAAVAACVFVYFRLPETKGRSLEDMDVLFAKHPKKTNTSLSRTVATMTHDGDAAAAAAAPLLVSSGDKPCRRGTTFAFGCATLASMTTILMGYNLALMSGAQLFMKEDIGLTDGEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGGSYGELMSARFVTSVGVGLARVVAPVYNAEISPPSIRGVLTSLLDMFVNVGILLSYVSNYALAGLPVHLGWRVMFGIGVVPPVLLAAGVLAMPESPRWLAMRGRHGDARAVLVRVSDTVAEADLRLEEITHAVAAPRDAAAGGGGAGVWRELIFRPTATVQRILAGVIGLQFFQQASGIDAIVLYSPLVFKRAGISSNTSVLATTIAIGVVKTSFILVATLLSDRLGRRPLLLASTGGMVVTLTTLALTLRVSSPSSSTASVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGASIGIAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAATFVFVYVWLPETKGRSLEDMDVIFDK >LPERR11G17000.4 pep chromosome:Lperr_V1.4:11:18168968:18177453:-1 gene:LPERR11G17000 transcript:LPERR11G17000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAEATAPLLAANNGEPRHRRNTFAFACATLASMTTILMGYNLALMSGAQLFIREDMGLTDEQIEVLSGSMNVFMLASILAAGWTADALGRRGTLVLANAFLMAGALAMSLGSTYAALMSARFVTSVGVGLAVVVAPVYTVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGVGWRAMFAAGVAPPVFLAAGVLAVMPESPRWLAMSGRLDDARSVLLRVSDTVAEAELRLDEIITHAAGAAARHDGGGVWRQLFVRPSPTVRRIMTKVMSLHFFQQASGIDVIVLYSPLVFKQAGISSNTFVLAATVAVGVVKTSFILAATLLSDRLGRRPLLLASTGAVAFTLASLAVALCVASPAASVASAMAYVAAFSVGLGPLAPAYGAEIMPLRLRAQGASVGTAVNRVTCGVLSMTFISLAGGISMAGCFFLYAGAAVAACVFVYFSELLHIGGIVGFVATVVQSK >LPERR11G17010.1 pep chromosome:Lperr_V1.4:11:18170882:18171840:1 gene:LPERR11G17010 transcript:LPERR11G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDVQLMQVETRDWSELPADALTVVFAKLGIVGVLMGAGLVCHSWLNAAKMPDLWRSVEMSRLDLDVMTFNENVLCAMAKVAVDRSDGRLEVFKGHDFVSDELLKHIGNRSPYLKVLSLSCYSPTRVTLEGFSYLTKRCRLLEDIFYDCRIVQPLLVLAELQQLRYLTLQGTSISKKG >LPERR11G17020.1 pep chromosome:Lperr_V1.4:11:18173775:18175060:1 gene:LPERR11G17020 transcript:LPERR11G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAVDRSVGQLEVFKGNDFVCDELLVYVADRSPSLKCPLLEEILVFGAVVTLPDYLSKKPLLVLAELQQLRLLTLVAIFISKDELMAILDGCVHLELLDLKTCCFGFHVDNALLDKFSRIRTLELPDYYEHA >LPERR11G17030.1 pep chromosome:Lperr_V1.4:11:18178764:18180726:-1 gene:LPERR11G17030 transcript:LPERR11G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSYNQDSDKRWDGLKT >LPERR11G17040.1 pep chromosome:Lperr_V1.4:11:18182058:18185753:-1 gene:LPERR11G17040 transcript:LPERR11G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPKFRYKNPQEMPHRSQNGHPTVLQTSLTLGNQLQARWLHSDSGMSASGKAVHMELSKSSDPAAERTTQDKEKEVITDYPSNVQQIYMAFQIPAELSVWDVVKGNVNHIANIMKAMQERPLALLKVRVRELVEEGDRKGNCEFQNLHKFIQDRSDLTHTMLLAAHHVQLEILVAIKTGVPAFLHENLTIPKSNLVEIFFYQRCRNISCGNPLPAEGCDCLWCSRRGFCNLCMCLICDKYDFNYNTCRWIGCAGCSHWTHAECAVREGKSRTALAAKNGTPYIQTLYSCMACQETSEMFGFVKDVVQKCGKFWDRDTLLSELECVHKMFSASIDPKGKKFFMKCAELIERLKTVPAEPMSPNILLQALEEKERLQKVKAAFRAWVQREHAEVQERKKAMSLAAAEKERVEQKTKTEAEISGKALAWYTQMQLMKENAKKLDERIIAEQLRSKQEVEEMERAVNMKAAEAEMFRVKAEEAKKEAEQLKTMAMAKKQIHTVAEKTYTDWYLKHRMEEAEAEKRFLFHSLRSYEESINQQLALSLAPPVATTSTPAPPPPSVMVSAAAAAAAAAGPSGSGGCGGGALLSRIMEVLNRMPPRAP >LPERR11G17050.1 pep chromosome:Lperr_V1.4:11:18198857:18204082:1 gene:LPERR11G17050 transcript:LPERR11G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYGVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPGIGGSSSFNNSLPYGRQVNPYYSGNSGRYNSNVSYGGVNDNTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGASAGSGYGSGNFGYGSSENNFGLGTNAYPRNAGSGGVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >LPERR11G17060.1 pep chromosome:Lperr_V1.4:11:18205727:18211626:-1 gene:LPERR11G17060 transcript:LPERR11G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGFIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKAMGNEHALVISNHRSDIDWLIGWMLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPPPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPIKSLLVTLFWSCLLLYGAIKLFLWTQLLSTWKGVGFTVLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >LPERR11G17070.1 pep chromosome:Lperr_V1.4:11:18215199:18215939:-1 gene:LPERR11G17070 transcript:LPERR11G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGRIMLRAKIPSPAPWTSTPGCRCTPCPRLDAEEAVKQLGAEEDDEEGVEEEEAKVDSPAVIRRLQLVVPSSVSEYSAELEVDFTQVLQPINLQPGSNVAVFKFQVLAVLQPVFDVDRRRQ >LPERR11G17080.1 pep chromosome:Lperr_V1.4:11:18218358:18225370:1 gene:LPERR11G17080 transcript:LPERR11G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAMAITSSSSSVLPLPSRTQSTPRRRLPFPPPTAAPPHRPFLVSSPPLAPCAPRLLCRRAAAAGSLYGGAEEDEEEYYDEKEEDDEEGDWEDGDEEEDGEGMDVDAMEEEALGAVADLSAQLKRELRIDDNVRDKRRSIRDKTSTSKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEATVIMFIVDGQAAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDLVCSELRNFEGFDGVEEDENYVPAIAIVGRPNVGKSSILNALVREDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTHYEQDVREKLRILDWAPIVYCSATHDSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFSETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKTDNNNVQSSGIPSRMVLAT >LPERR11G17080.2 pep chromosome:Lperr_V1.4:11:18218358:18225370:1 gene:LPERR11G17080 transcript:LPERR11G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAMAITSSSSSVLPLPSRTQSTPRRRLPFPPPTAAPPHRPFLVSSPPLAPCAPRLLCRRAAAAGSLYGGAEEDEEEYYDEKEEDDEEGDWEDGDEEEDGEGMDVDAMEEEALGAVADLSAQLKRELRIDDNVRDKRRSIRDKTSTSKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEATVIMFIDGLVAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDLVCSELRNFEGFDGVEEDENYVPAIAIVGRPNVGKSSILNALVREDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTHYEQDVREKLRILDWAPIVYCSATHDSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFSETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKTDNNNVQSSGIPSRMVLAT >LPERR11G17090.1 pep chromosome:Lperr_V1.4:11:18232211:18232390:1 gene:LPERR11G17090 transcript:LPERR11G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSMPACWFWQASCACATRQKGEHAPPTRTPRPEASAFTAARHEAARPAVALLAA >LPERR11G17100.1 pep chromosome:Lperr_V1.4:11:18233090:18233333:1 gene:LPERR11G17100 transcript:LPERR11G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLFLYAGVTYGGRRSCSCTYVWLPETKGRSLEKMDMLFDLQKSCRENVAMPPAASTLDSGGGDRSSEMTVHT >LPERR11G17110.1 pep chromosome:Lperr_V1.4:11:18234880:18235985:1 gene:LPERR11G17110 transcript:LPERR11G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGRCGEAAMVPRRGADLNKVAGGPVACAPRSSKTFAAQRCVLVARSPVLKAELCRGRRAVAASWEPPSEGASSTCTATWRPWRTLEALLHFVYTDTLPKMAAPPVIIALELIVEAADRFHLERGLGSSATTSWNGGG >LPERR11G17120.1 pep chromosome:Lperr_V1.4:11:18237889:18241997:1 gene:LPERR11G17120 transcript:LPERR11G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDGEIEVPTGSMNVFMLVSILAAGSWAADVLGWSVVLAKRVPHGRRARHVTRRQLCVDSRVSSSSCTRRDRVSLFVCNLALMSGAELLHPGGRTCTCLTDEQIDEHVHARVHPRHRPARHARGGERAVRSWPAPFAIVVARRALLSNVSNYAFDELPVVGARRVMRDARHLRAPAVLAHVSDTDGEAKITHAVAAARHDAFWRQLLIRASPALFIREDMGLTDEQIEVLSGSKNLFVLASILAAGQRGTLMVANALLMTGTLRQSSPASASDSPSSLRGMLSYLLETFINAGILLSNYALAGLPVHVGRVDVRQCRDTSELRVELCVSGLPVHVADVGWRVFLAAGVLAMPESPRWLAMRGRHGDRRAVLVRVSDTVAKAELRLEEIRHAFDATAGGGGAVWRELLLRPTATVRRIVTCFVGLHFFPQASGIDAIVLYSPLVTPASISSNTSVLAATVGLAVVKSCFILVAMLLSDRLGRRPLLLASKGGVPFTLASLVVALCVASPASCVAFVTAFSVGFGPMTATYTAEIMPLRLRAQGTSLGMAVNRLTCGVVSMTFISLAGGITMSGDATAAPLLDSLSAKPGDDEPRRIMYAFACAILMGYSNSCICVADLALMSGTELFMKGHRADRRDEQIKVLAGSMNVFMLVYVLGRRGTVVVANAFLMAGALAMSLGGTDAALMSARFATRVVAPVYNAEISFAGMLINVVTSAVVVLRSYVSNNYAFPASIGEAKAGGAVVASPSLLVASIY >LPERR11G17130.1 pep chromosome:Lperr_V1.4:11:18243201:18247828:1 gene:LPERR11G17130 transcript:LPERR11G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFPLLYESLADDDMEAAFVDDVEIEPGEEHGEAEGASARGAVGDGEDDFFSIVDDMEAEPDAAFNIEAVGEDDEAEGASARGAVGDGEHDVFSIVDDMEAELDAALNIEAVGEDGEAEGASARGAVCNGEDDFFPIVDDMEAELDADLNIEAVGEDGKAEGADARGVLDIGADAPDDVFDAGAAVAVGVGNAAAGVAVVEDAKPLVKGFSKRSMIKRAAHVPCMLRQLSKQHMPSIVFGSSAEGNKVEKVYDQ >LPERR11G17140.1 pep chromosome:Lperr_V1.4:11:18250932:18251318:1 gene:LPERR11G17140 transcript:LPERR11G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSKGMKPDAKASSLVIENASNAVDSKSDMKQKRRRKVKKIVEYIINRGDVELAISYEEDESYHFNEIMLEQQETFQRQFENFGYAFIPVTRELILTDDEDEEEGDPVSDYEEENDIFSDDDDDQ >LPERR11G17150.1 pep chromosome:Lperr_V1.4:11:18258167:18258735:1 gene:LPERR11G17150 transcript:LPERR11G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPARSTGVRGHRRRWIALWRLAVAGGVQRRGGVAGEDDAGTTDSSSSRTVAARGRRGPPWRGIGSYRGLLVRRWAGTTQAALADAAEAAFPHGRHARRHLHRAHVRPLLTTFGVGFSLVVAPVYNAEIFPASARSILSSILDIPTRVQTRWPVHVG >LPERR11G17150.2 pep chromosome:Lperr_V1.4:11:18258742:18259236:1 gene:LPERR11G17150 transcript:LPERR11G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIGVLSPVFHASLARHARRATTAAEADLRLEEITHAVTATRHDGVWRQLLLRRSPLSASSSSPRSSATSSSAVRSSSPAPGHGVASPFPLMASARGERLVGDGVLRWARGDDGDAQLAGRHGTGSPWPAGSSSTLLGISPHSLGV >LPERR11G17160.1 pep chromosome:Lperr_V1.4:11:18261838:18262095:1 gene:LPERR11G17160 transcript:LPERR11G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFACAHDQHADVLGRRGTFVLANAFLMAGALAMSLGSSYAALRHQRRRRRVGFFLVVAPIYNAETSPTSTRGVLSSLLDVLLP >LPERR11G17170.1 pep chromosome:Lperr_V1.4:11:18262335:18262823:1 gene:LPERR11G17170 transcript:LPERR11G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDRRRAAPCSRPSPTRPPKPTFAHAIAMTASGGNCSSGRRRRVRHRRDSAVQPASFQEGRHLISSNTSVLAVAVVKTCFILVATLLSYRVASTASAAAAVASVMAFVAAFSVGLGPTTRRRTPRRGGDAGMPLWLRVAR >LPERR11G17180.1 pep chromosome:Lperr_V1.4:11:18264406:18268352:1 gene:LPERR11G17180 transcript:LPERR11G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEIGEEDQPATALFAAPSSTAVVVGPVAWIWPPQRRPATPVAASRRLYREDSCRDGAGDQPSSARPPTVAADKTRREGQVMGGTLGWHRLLSPLPMESFSGEDEGEIDMKCADEDVKSGADSPSFTGEKGGAKKALVEGDRGSYEDSFEVPTSLGVREIGIRPVTVEDRFLPSSPSKVMAAADDCCDEGSFFECPMSFCTRENGLLSHLAVEPVNSVVQTEAVGEILVYDDYLAEDVFFFCCEEGSVVESPKAFWLLDDDTSSSTEVEGILMTSTLKDDEIQEVRSNKLEGTLLLREEAELLQPAPHKSHVLNVRLEDKPDCAIYRFPNFGSGYTKPQNRPKSRRPKNHRDKGINMLDRNGKDISPGKLLEQSDFVTGLNLQLITLYKSAILIGKMMTMCDEANNKRDSFSTRLVTNAKRNQDYGLLGLIYQVQKDEKCLSNIISDLKVFRKSILRVGFNLRQAVIDNGGRPVNDPLSKSS >LPERR11G17190.1 pep chromosome:Lperr_V1.4:11:18269183:18273506:-1 gene:LPERR11G17190 transcript:LPERR11G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYCGPALVAERRDLVRLQASLPMRDGFLTKVAAIQGKMGSSSMCVGPAVTSPPNHQSSGSPSAVPCPLQQVAYIHGHAPRVDGGCEECLGVRVGKLTCGPRRLLPLMPL >LPERR11G17200.1 pep chromosome:Lperr_V1.4:11:18278652:18280822:-1 gene:LPERR11G17200 transcript:LPERR11G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPTPTPVTKRAGHEQRVRHHERVAPAGGKDGREYEHVHRSEQHLDLLVGFCERKLRDNISTVPYEEPPKLEELTKEKKTKKILVESVVDGSDLDMVLSYEPDELSYFIESTHEWMLQEQEKYRRQIENFGYAFIHYPKKVILLDDNEEGEYITDDEGRDDDVEKKDATFTEEKNDS >LPERR11G17210.1 pep chromosome:Lperr_V1.4:11:18280619:18280951:1 gene:LPERR11G17210 transcript:LPERR11G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVVMFMLTCACMGLTDEQIEVLFGSVNMFILASILAAGRRDTLMVANALLMAGTLRHRRRRRVHHRRRAVCSPPFPRYSSTPGFSSANMSNYALAGLPMHRSMMDVR >LPERR11G17220.1 pep chromosome:Lperr_V1.4:11:18281006:18281541:1 gene:LPERR11G17220 transcript:LPERR11G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSIYPTWTYGEATLASMTTILMDYNTFGIGLFMKEVIGLTDEQIDRGARLIDERRRARHACRLAQPTPRSCTAARFPTSVGIGVGFSLVVAPVYNAEISPTPSRNILSSLLDIRTDASLAVYPVSNTLCS >LPERR11G17230.1 pep chromosome:Lperr_V1.4:11:18282543:18282959:1 gene:LPERR11G17230 transcript:LPERR11G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTLVEKTMATAVHNEPDIDVKKEEEKLKRKRKKVNMMVEVVVNGGDLDYVLSFEEHEDEFSSLFEKSTELMLERQEMFRRQIENFGYAFVHGKREVILTDDDDEEECEIVTDNDEEDFFTDDEEEESYTDNEEAL >LPERR11G17240.1 pep chromosome:Lperr_V1.4:11:18284341:18284726:1 gene:LPERR11G17240 transcript:LPERR11G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSTWRRCHGECEAATHERWRGWPLQAAAAAAAAGASQRRARVGEHGCHGEFSRAGRDEPVDLNDETSPAAGAHRRSSAERSSKQNIDQSNVVNYQLNLMFMELV >LPERR11G17250.1 pep chromosome:Lperr_V1.4:11:18284755:18285505:1 gene:LPERR11G17250 transcript:LPERR11G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARAAVHERGHRAKQRAHERVHAGVHPRRGLDDRRSGRRGRLVLANAFLMAGALAMSLGATYAALMSARFVTSVGVGFTLVVRPVYNAEIPPASSPPSSTSMFVNVRILLAMPESPCIGVLPSVVHAAGVFAMRGRLNDARAVFSRVSDTDAEADLQLDEITNAVARRATMASGGQLLLRPSPSSRCASSSSPHSSRPLLLATTTATYISLADGITKAG >LPERR11G17260.1 pep chromosome:Lperr_V1.4:11:18285655:18286196:1 gene:LPERR11G17260 transcript:LPERR11G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYVADQVDDLMDAMQNTLLMSGAQLFMKEDIGLSDGEVEVLTGSMNVFMLVSILVDGWAADVPGRRGTVVLANVFLMADTLAMSLSATYAARFVTSVGIGLARVVVPVYNAEISPRRLDLVARSLLTQ >LPERR11G17270.1 pep chromosome:Lperr_V1.4:11:18288108:18290974:1 gene:LPERR11G17270 transcript:LPERR11G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVHPRRRMGCRRSGPARDGRALVLANAFVITRSRRRQHGRGVLTSLLDMFINIGMAWSHPCSSPPGFSSCPSRAMRGDARALPTPSRRQTSVSRRSSRPSWRRTMRLTAMVRRILAGMIGFLYSSSSRRRSGIDAIVLHVRRVPSASLGAAEADLPGRILAAGGKTFAAHRCVLAAWPVAAAAAAASWKSLPELRRVIHVHGDMHGGRGEAKILN >LPERR11G17270.2 pep chromosome:Lperr_V1.4:11:18288108:18290974:1 gene:LPERR11G17270 transcript:LPERR11G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVHPRRRMGCRRSGPARDGRALVLANAFVITRSRRRQHGRGVLTSLLDMFINIGMAWSHPCSSPPGFSSCPSRAMRGDARALPTPSRRQTSVSRRSSRPSWRRTMRLTAMVRRILAGMIGFLYSSSSRRRSGIDAIVLHVRRVPSASLGAAEADLPGRILAAGGKTFAAHRCVLAAWPVAAAAAAASWKSLPELRRVIHVHGDMHGGRGVGGCAALHLGWPDPIVGRPDPPLLTPWIKQGGGERWLAPDAIILLLFVLPVSMRVVFAGRWTRCGYMH >LPERR11G17270.3 pep chromosome:Lperr_V1.4:11:18288108:18290974:1 gene:LPERR11G17270 transcript:LPERR11G17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVHPRRRMGCRRSGPARDGRALVLANAFVITRSRRRQHGRGVLTSLLDMFINIGMAWSHPCSSPPGFSSCPSRAMRGDARALPTPSRRQTSVSRRSSRPSWRRTMRLTAMVRRILAGMIGFLYSSSSRRRSGIDAIVLHVRRVPSASLGAAEADLPGRILAAGGKTFAAHRCVLAAWPVAAAAAAASWKSLPELRRVIHVHGDMHGGRGVGGCAALHAIILLLFVLPVSMRVVFAGRWTRCGYMH >LPERR11G17280.1 pep chromosome:Lperr_V1.4:11:18312225:18312777:-1 gene:LPERR11G17280 transcript:LPERR11G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKGTSEGQILVPAIDPSNQSKDMNDEGKAAIVEKKKKKTKMVRYTREQINYCIANPEELSDAKDYRRLTEPRIRHGKNFGRAEGYKKKLKDDFRHEREVIFGIPDKAEDVLKKFYSKGYAEYEVVVDDDEDNVPARVAAPSGRRRFRNGVAVKKNQSGGSSIRKI >LPERR11G17300.1 pep chromosome:Lperr_V1.4:11:18317937:18323488:1 gene:LPERR11G17300 transcript:LPERR11G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEERIEGQIQAPEPAIDPPVVKKKTKMVRLTQQQIDLCLAYKEVKISDDDKRPKLTESLSKELLARIPQDRLDFGQVEKELMKKFREEREDILKQYYTKGYAEYEVFDDGEDDDIVPARVAPPGRRRARNGVVVKKNKAGEPSIRKINCFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRIFYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTLSQGGRVLIPAFSLGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIEPLNSIENFRDVGPSVVMASPNGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELRPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEVGESVSGLLVKKGFTYQIMAPEDLHVYTQLSTANITQRIDVPYSGSFEVVKYRLKQIYESVESSTEESDVPTLVVHERVTIRLESEGYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPAEEAVRTQEEAERVAQKVVYSLMVSLFGDVKVAEEGKLVISVDGQVAHLDGKSGDVECENATLKERIKTAFCRIQGAVRPIPLKSS >LPERR11G17310.1 pep chromosome:Lperr_V1.4:11:18324379:18324908:1 gene:LPERR11G17310 transcript:LPERR11G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDDGDQGKEAPETEKKTKTKMVRYTREQIAYCMANSVEFSEDRLPKLAEALSKELVDKMEPEIVAKIQAIEKHAEEAREEAAGRGTGRENVFGIRDKPGDVLKQYYAKGYAEYEVVVGEEEDKEDGESSHGAAAGGRIRVPAPGRRRFRDGVVVNKSKAGGATIRARSIV >LPERR11G17320.1 pep chromosome:Lperr_V1.4:11:18326042:18327447:1 gene:LPERR11G17320 transcript:LPERR11G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQQRLAGSACVWVVAAVILAAVLAGGGCLVLYLALPGAEAPHWLSITDLALVAFPWAFWPAPTAAPPPPLPLATAGERGARGAAAVVDEAGDHGAAAEHQHESQERGRFLESHIQAFS >LPERR11G17330.1 pep chromosome:Lperr_V1.4:11:18340529:18341026:-1 gene:LPERR11G17330 transcript:LPERR11G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEENKAMAAACSGEEKKISMAAACSGEEKKKQKEKKKMKKVRMPQDEVDMIMAYKDRPLTMPPGFENYPKKILDCFPVPADQLGDFFAKINEVYDKAGKPLLEEQERVRKEYEEKGYAEYWITDDDEDESAPRSRARAPAPGRRRPRSGVVKKHTGGTKKL >LPERR11G17340.1 pep chromosome:Lperr_V1.4:11:18341371:18341748:1 gene:LPERR11G17340 transcript:LPERR11G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPEKGKELPPPAAAEAAGEGSKKTVTVRMSQSQIDLFMSFNVQPDQEEEEDEADDPQMKEIDAVIAKFEKELLEESRMVKEQYQQKGYVEYEADATLFKSQAPPRARRRRARRHGVIKKKP >LPERR11G17350.1 pep chromosome:Lperr_V1.4:11:18345798:18349024:-1 gene:LPERR11G17350 transcript:LPERR11G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQVVTAAWKELALQALVLLSLMVQVTLLILAEFRRYIDSGMLRAFVWSAYMLADATAIYVLGHLSVTSRSPEHKLLALWAPFLLLHLGGQDRITAYAIEDNRLWLRHLQTLVVQVAAAVYVMYGSLITLTGDIQSLLLLSATILMFVVGAVKYGERVWALKCAGSSSTGKYRSDIGKRRISEGVPESFISRLDPSEKLLLNAHLLLDFAKDRFKGPLPRLFLCGPINEGSRLQGEEELYKMAEIQLSLLHDVFYTKAEITHTWNGLCIRVLSSLATTVAFFLFNILLVLGEKHHRRLNGFNRVDVIITYVLFVGAVVLETMSLLRAMFSSWTCALLVKKGSEGSSVCNFLAHVPACLRRLVCAADWRRRCSWSRSMGQLNLIQLCVHSKASRCSKIARWMGVEDSWNLLAYSGSSIPISAGIKQLLLETMKVKQWGREEFESRGLYGDPTWIADSKMEQRILIWHIATDIYVRWYKDQESKQAEASGGSGLAAEEEQAVASDGSGPAAEEEQAEAIGGLGLVAEEQHGSSSAAEEEQAEITSGSGSDAEEEQSEVADSSGSAAEEEPEEASGDLGLAANKGHSSGSIVEEEQAEATGVSGSAAVEEHIEAVGAVEEEQGSGPAAEEERAEAVSGSGSASEEKQAEAICCSGSAADLLEMAQVLSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYNTAEDVLCLLQSYADALKTNSSKPEFKLTCKNTNKLGDNVLKGGCSLGAFLIDRQDSSVDGTGTLEMICQVWAQMLCCVGDQCSTDSHIKQLSSGGELVTVTALVAKYMRNCCRRELWNGKQNSFVKSISNTELLYCAQDCRFSSSSHGSIQGILVLGNVAWGRIDEEADDILVLCQADHIEPFFVVNFLQTKDNKC >LPERR11G17360.1 pep chromosome:Lperr_V1.4:11:18352327:18353416:-1 gene:LPERR11G17360 transcript:LPERR11G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSKQRVMVLPLTPIKLIKLVNFSSADVNTRSKPSSTGAKSTEFFITCRRKGFFLLVAGSSRCCAPPGTNDAARILISSSSATAASSLPLQPL >LPERR11G17370.1 pep chromosome:Lperr_V1.4:11:18352720:18353268:1 gene:LPERR11G17370 transcript:LPERR11G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADQGKEGNNKKKKTTTAMNKKLMSQEEIDWHIRYQTFEFDVDKITKVGDHRDERLAKIFRDINRIESTTMKGRNNVLKQYYEKGYVEADAYDKGCLGQDVNKKTETSQTEETLAPAEETEISCPSSRDGEESSPAAAGDQQEETFPPAGDEEFRALRPGRRRFRPGVYISGGKVNKLN >LPERR11G17380.1 pep chromosome:Lperr_V1.4:11:18353844:18360360:-1 gene:LPERR11G17380 transcript:LPERR11G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTASGGGGGGAWPESESETAAAASPSPSPSASSPTASYCMGSRPEELTARLAVNAGAGGGGGGGGGGDGERERVRTLREIKNQIIGNRTKKLQYLRLGAVPAVLAAMAEPGASPAALVQAAAAAGSFACGVDDGVRAVLEAGAVAHLTRLLAHPDEKVVDASARALRMIYQSKLAPKFDVNNEKNMEFLLTLLNRENENVTELAANIISHSCNSSTEQLALCAAGVPQRLVNLFGGSMNLRDACLHSMTAIIRNNWEVASRFALMDHGKALCSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVLKLSNHLLANSLESRRAVTILLALAELCSKLEESRYQLMSVQASTLIIDALKHTCADIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSILLHSGAVSQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKGKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDAVDYVIGDDCTILNAISRQLNNAPAPGVCIQGMFVLANVAAGGELNKEAVMNVLVPRRADHIKSSFVLNFLQSKDKQLRVATLWCILNLIYPKCEASSVRVVRLQNTGLISQVKGMINDPCLDCKVNTPRFPPVQLRVRMVLEHCLDNADDGFM >LPERR11G17390.1 pep chromosome:Lperr_V1.4:11:18365099:18370109:1 gene:LPERR11G17390 transcript:LPERR11G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGRLKASIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNSVPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKDYPFWWCIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYFEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKEKEKELSGEKEMDLKERDKRKREHDGSEDTSDDEPTEKYSGRRKDRKRSSTRKMSNNDEGFEEFLEGMFP >LPERR11G17390.2 pep chromosome:Lperr_V1.4:11:18365099:18370109:1 gene:LPERR11G17390 transcript:LPERR11G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGRLKASIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNSVPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKDYPFWWCIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYFEAGEQKQALVKLVACKRQKEKEKEKEKELSGEKEMDLKERDKRKREHDGSEDTSDDEPTEKYSGRRKDRKRSSTRKMSNNDEGFEEFLEGMFP >LPERR11G17390.3 pep chromosome:Lperr_V1.4:11:18365099:18370109:1 gene:LPERR11G17390 transcript:LPERR11G17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGRLKASIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNSVPIKTDKDTLREGYRFILSEEDDMDSTWEKRLIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYFEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKEKEKELSGEKEMDLKERDKRKREHDGSEDTSDDEPTEKYSGRRKDRKRSSTRKMSNNDEGFEEFLEGMFP >LPERR11G17400.1 pep chromosome:Lperr_V1.4:11:18370470:18373508:-1 gene:LPERR11G17400 transcript:LPERR11G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLTESMVGRSEIAEKMTRELLAGAVAVDGEGNVSPPLVMAIVGGPGIGKTRLANSLFNDAMRRVPNIWFSPRKFQDFIEEIIHRSLHGQKEKYLIVLDDVWNVNEAKDWPEWDRLMRALPCNGAVILTTRTPALVKRTAAIVSTTVPYFMQPLEQEHALSFVDQWMKRCRCDRSSELFNIGIRIAIKCDGVPSLFQSAGAIICETAETTFWQKFLEDVDVLSGVNEVYSSEKEYSEVLESAFSSYQHLSSHLQNCFLYCSMFPLGFNFDAEELADLFATAGLMPSTITGAKKIGFLEQLLDECFHPTGSSEHGTRTTYRMHKTLHMLAVYMERGISRIVTAGEDLTELQNSVLLQHMSLIVHPSTAEFPQVEHLKTLILLPDSRMCFSDLQCEIKEINPVLWKSLGHLQVLSLQAAKIRKFPNKIELLPRLRYLNLSQTNIETVPSSVSKLQFLQVLKLSHCEKLRKLHENTSKLVRLQKLDLEGCYHLVTLPTKMSKLESLEYLNVLNCSSLTTMPRAMGQLTHLHTLIGYFVPNNGIGAMSELQSLADLNRLCIINLEKVSDTEDARMAKLQDKEKLESLILQWNMDVKNTSRIDHGVLESLQPNQCLKTLEIVAYEGDTFPSWMTSTEPYLTSLMEIRLVNIRACEKAVPPLGLLPCLKIAEISGVENISCIDDNFCGKNGNFPSLEKLILSYMTSLEVWEQASRMNMFPRLAESTIIHCPKLRALSKGGLRGVEKSLKHLSISFCEELHASSDCEGLQTLGCLKKLEICVCPELSCLPQGLEYLSSLTSLKIDNCNKLEILPEWLENLPFLQFMCLSGCPVLHSIPEGLTHNRIIEHCRNFKEPSERTTKAMLNKGKRLIIEEQQFFTGLVIEGRKSHFRSSIVNIVQEQK >LPERR11G17420.1 pep chromosome:Lperr_V1.4:11:18387761:18393212:1 gene:LPERR11G17420 transcript:LPERR11G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPMPHLDRAASPGRRRRMSRSSNFSVHMSPFPLDQPGSDNETERTCLTDVGLANLARGCRRLEKLSLACYIGDPGLIAIGEGCKLLSNLNLRFVEGTTDEGLIALLKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEILSLESDHTKNEGVISVAKGCRLLKTLKLQCIGAGDEALEAIGSYCSFLESLSLNNFERFTDRSLSSIANGCKHLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGLTVYDLPSCESSGLLELSLIYCPRIRDSAFMEVGRGCSLLRSLHLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLREITLQFCERVSDAGLAAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVFLDISVLRLIGDMALAEIGEGCLQLKDIALSHCPEVTDVGLGHLVRGCLLLQSCQIVYCRRVSSTGVATIVSSCPKLKRLLVEEWKVSERTRRRAGPVLSFLCTGL >LPERR11G17420.2 pep chromosome:Lperr_V1.4:11:18387761:18393212:1 gene:LPERR11G17420 transcript:LPERR11G17420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPMPHLDRAASPGRRRRMSRSSNFSVHMSPFPLDQPGSDNETERTCLTDVGLANLARGCRRLEKLSLACYIGDPGLIAIGEGCKLLSNLNLRFVEGTTDEGLIALLKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEILSLESDHTKNEGVISVAKGCRLLKTLKLQCIGAGDEALEAIGSYCSFLESLSLNNFERFTDRSLSSIANGCKHLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFMEVGRGCSLLRSLHLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLREITLQFCERVSDAGLAAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVFLDISVLRLIGDMALAEIGEGCLQLKDIALSHCPEVTDVGLGHLVRGCLLLQSCQIVYCRRVSSTGVATIVSSCPKLKRLLVEEWKVSERTRRRAGPVLSFLCTGL >LPERR11G17420.3 pep chromosome:Lperr_V1.4:11:18387761:18393212:1 gene:LPERR11G17420 transcript:LPERR11G17420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPMPHLDRAASPGRRRRMSRSSNFSVHMSPFPLDQPGSDNETERTCLTDVGLANLARGCRRLEKLSLACYIGDPGLIAIGEGCKLLSNLNLRFVEGTTDEGLIALLKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEILSLESDHTKNEGVISVAKGCRLLKTLKLQCIGAGDEALEAIGSYCSFLESLSLNNFERFTDRSLSSIANGCKHLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGLTVYDLPSCESSGLLELSLIYCPRIRDSAFMEVGRGCSLLRVSDAGLAAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVFLDISVLRLIGDMALAEIGEGCLQLKDIALSHCPEVTDVGLGHLVRGCLLLQSCQIVYCRRVSSTGVATIVSSCPKLKRLLVEEWKVSERTRRRAGPVLSFLCTGL >LPERR11G17430.1 pep chromosome:Lperr_V1.4:11:18393590:18394297:1 gene:LPERR11G17430 transcript:LPERR11G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSVGDDPVRSIGMCDRVLTFLAKNLSMNRQKNITEGPRNGINNGGHVEEEVKEVKEDEDEFTIEIEKAEFEFFHDDEEDDQKKNITATILDVVTTNAEDENVQKEGEEEEAAHQKDHPPVVAPVPEKKVKKTVTIKEESKEESGGASASASTSSAVKRLLSKKRQASSSQLGGEEEKQKPPAARRSGLRPRMPSILRVPSNINERSSNFIEERKRSFGSGGAGAAGGKPEK >LPERR11G17440.1 pep chromosome:Lperr_V1.4:11:18402806:18405322:1 gene:LPERR11G17440 transcript:LPERR11G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSMVASLFLAVAIAALAMAGAGVEAAVVEHTFVVHEMNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVIHVVNKLPHGLTIHWHGVRQIRSCWADGAGFVTECPISPGSNHTYRFNVTGQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPAKDVPIVIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSGTVEESFILDVKRGETYLLRIINTALFSEYYFRVAGHTFTVVGADGNYLTPYKIDMITVAPGEAIDVLMTADQPPAYYHMIALANQPPEPDPQIPVFTSRGLVRYAGAAANNNNLPIPMPIMPHQHNTMPSYYFHANLTGLAHPDRHRVPMHVDERLFVTLGLGSICRGKNTTCKRRRSPETIVVATMNNVSFAHPTTTSLLERYYDGTGNGTVYTEDFPNRPPKPFNYTNRDLIPPGPLEEALEPTFKATKLKRFKYNTSVEIVFQSTTLMQSDSNPMHLHGYDMFLLAQGLGNFDPKRDVNKYNYHNPQFRNTVQVPRGGWAVVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGANGLTQP >LPERR11G17450.1 pep chromosome:Lperr_V1.4:11:18405653:18408367:-1 gene:LPERR11G17450 transcript:LPERR11G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDRLSDLADDILYRILQILPVREAASTSLLSRRWAPLWRSSGAVNLVERINIKHGDPSPPDTARRRDAFLRFAGAALKAAAGHGGVVTRLAVDVECPDVEKFLNGGKDADLVNAVVSHPAARRVEELRLRAAAAADDDGNGNSIGYYSMDEEEEEARWKRGYFTLRLPFTTTLRSLDIAGCKDLAVAAALAAFTRLQTLRREARPPAAPHRRTVHFESVVFDAGDDDDDDDDDNGMKQARIRLRLPAVTTTLVLESCGSTQYAFGENGSIGVDAPNLRSFRYKGFPRRFFKSLTPDMTAVNLHFLGGSYFRRSYDVDTTRVHFWNFVGKFPNAKTLKLTVRTLDHLAVATKANRAEILPILPNLECLELKASGLHNAKKSAVAIANLLRCCPALRDLTLELHPLWERSYSFLDRSKSNFGKFQSDFDESVNQFERCKLMNPTEVSLIDGNGDRHDDKEEISDVPALSGRSFTCLKSSLRKVSLRFMLRTSNCFGLQLVKFFAQNAKVLEELCIDSGDRKLGDHMNLSVEKWVAANSSTISLIHKNFTDSSWKFARVNNLGTAPELERRTTSFTVLPLKRMKTSLKRLAKFIAENAMVLEEMNIDDGSQNLCRQMNHRVGIWVANQGKRRKLETGCTSSVSLNSKP >LPERR11G17460.1 pep chromosome:Lperr_V1.4:11:18409169:18410108:-1 gene:LPERR11G17460 transcript:LPERR11G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTRCPMCERLNEDCGHLFFKCKKAKEYERRLNLEEISAETIPMPRTGTGGWGLVARDSEGMFLEGGYGAMPRAANSVQCEVLAAQHSLVRIHQLWGCP >LPERR11G17470.1 pep chromosome:Lperr_V1.4:11:18421497:18426951:1 gene:LPERR11G17470 transcript:LPERR11G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTTPHRCCSGRRRRLEPPRHRNRIAAVAPRRRAVAARAMSAEAPLGVTPAAAEGKGAAVAEMVEEAAVWCAVHGLVVGDRAEPDSLSRTRQVDDFTARLLDIHAKMMEVNKKETIRKTLAQVEAEGQVLPDGTLVVFLDNKEDIAKLRKCFAGEEAT >LPERR11G17480.1 pep chromosome:Lperr_V1.4:11:18431421:18431834:1 gene:LPERR11G17480 transcript:LPERR11G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSITMLKPAYGTAPPPHRLVGEMVPLTAFDRAAFDIFVPMVFAYNAPAPSNDAIKNGLAMALAAFPHMAGRLALAADANRRRRRHIHVNDGGALVVEAKFAGADMADVLACGDIARRRCTLRRRRTALGRRCFR >LPERR11G17490.1 pep chromosome:Lperr_V1.4:11:18439896:18440492:-1 gene:LPERR11G17490 transcript:LPERR11G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWSCTESLGSESGDLGGEIDGADVPAPPADVDLSSAAAPAAKESPEKRRRTEEIRPRLPPAMPRAADAFMRAERRGGRLILTEVVRRGDRRRDVFRASRSGGRLRLRFAGDEEEDDDAEEEESAADVGGAGGSEEDAISDVAAAAAEEEKEKESIAAASGGGGGVVVSGCGGGGGYCQVAAGAGRRLEIGAVMGII >LPERR11G17500.1 pep chromosome:Lperr_V1.4:11:18444584:18455312:1 gene:LPERR11G17500 transcript:LPERR11G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPMPNYFDPESSGRREEYRRYRKRLSSSNASPLSGTAVSKFSEARLFCDGNSIQRRPNAGLLLEEIKQEAADISDFDGLDGSKLFGSVKRRASLDASGSGRQAMRSTLKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFPDVILQFERACRNASESIRSAGIGKLRMVEDRFMQQKAQLLMDEAASWSLLWYLYGKGNEEPPAELFVTPTTSHQEACRFVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNNTTIVKHVDFDAPTREGAQLHPDDKKQDELLLEDIWTLLRAGRLEEASEVCRSAGQAWRAATLCPFGGIDLFPSLEAMLKNGMSRMLQAIELESGVGRQWSLWKWASYCASEKIAEQNGGRYEMAVYGLQCSNLKRILPICTDWEYQTSRPEEKQFDDEMNGTQPMSSSAGPESWPHSVLDQQARDIDALLQKLHSSDLVHETVSRACREQHRQIEMTLMRGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMGDEVEEKMVAVGDLIINMYVRYLFSEQHEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMYKLFLSAVEYLPFSTSDEFKASLEEIVERVLSRSRELKPNKYEEDLSDVAERHHLQALQKAMVIQWLCFTPPSSIPEFHMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFERENSSISPEMLSAEEKSQAVAAAKETLELAFLLLCNATSCTALTSALYSTVSEADVLHRQLKVDVNVSSKDPCCIQVSLRCLAVDGDGFGLHEANDGGLLAAIMASGFKGELNRFQHGVSMEISRLDAWYSDGHSSVESTAAYIIRGLCRRCCLPETILRSMQASIALSVAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLFLERECFLCKMELEEEQLPADG >LPERR11G17500.2 pep chromosome:Lperr_V1.4:11:18444584:18454426:1 gene:LPERR11G17500 transcript:LPERR11G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPMPNYFDPESSGRREEYRRYRKRLSSSNASPLSGTAVSKFSEARLFCDGNSIQRRPNAGLLLEEIKQEAADISDFDGLDGSKLFGSVKRRASLDASGSGRQAMRSTLKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFPDVILQFERACRNASESIRSAGIGKLRMVEDRFMQQKAQLLMDEAASWSLLWYLYGKGNEEPPAELFVTPTTSHQEACRFVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNNTTIVKHVDFDAPTREGAQLHPDDKKQDELLLEDIWTLLRAGRLEEASEVCRSAGQAWRAATLCPFGGIDLFPSLEAMLKNGMSRMLQAIELESGVGRQWSLWKWASYCASEKIAEQNGGRYEMAVYGLQCSNLKRILPICTDWEYQTSRPEEKQFDDEMNGTQPMSSSAGPESWPHSVLDQQARDIDALLQKLHSSDLVHETVSRACREQHRQIEMTLMRGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMGDEVEEKMVAVGDLIINMYVRYLFSEQHEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMYKLFLSAVEYLPFSTSDEFKASLEEIVERVLSRSRELKPNKYEEDLSDVAERHHLQALQKAMVIQWLCFTPPSSIPEFHMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFERENSSISPEMLSAEEKSQAVAAAKETLELAFLLLCNATSCTALTSALYSTVSEADVLHRQLKVDVNVSSKDPCCIQVSLRCLAVDGDGFGLHEANDGGLLAAIMASGFKGELNRFQHGVSMEISRLDAWYSDGHSSVESTAAYIIRGLCRRCCLPETILRSMQASIALSVAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLFLERECFLCKMELEEEQLPADG >LPERR11G17500.3 pep chromosome:Lperr_V1.4:11:18444584:18453783:1 gene:LPERR11G17500 transcript:LPERR11G17500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPMPNYFDPESSGRREEYRRYRKRLSSSNASPLSGTAVSKFSEARLFCDGNSIQRRPNAGLLLEEIKQEAADISDFDGLDGSKLFGSVKRRASLDASGSGRQAMRSTLKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFPDVILQFERACRNASESIRSAGIGKLRMVEDRFMQQKAQLLMDEAASWSLLWYLYGKGNEEPPAELFVTPTTSHQEACRFVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNNTTIVKHVDFDAPTREGAQLHPDDKKQDELLLEDIWTLLRAGRLEEASEVCRSAGQAWRAATLCPFGGIDLFPSLEAMLKNGMSRMLQAIELESGVGRQWSLWKWASYCASEKIAEQNGGRYEMAVYGLQCSNLKRILPICTDWEYQTSRPEEKQFDDEMNGTQPMSSSAGPESWPHSVLDQQARDIDALLQKLHSSDLVHETVSRACREQHRQIEMTLMRGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMGDEVEEKMVAVGDLIINMYVRYLFSEQHEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMYKLFLSAVEYLPFSTSDEFKASLEEIVERVLSRSRELKPNKYEEDLSDVAERHHLQALQKAMVIQWLCFTPPSSIPEFHMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFERENSSISPEMLSAEEKSQAVAAAKETLELAFLLLCNATSCTALTSALYSTVSEADVLHRQLKVDVNVSSKDPCCIQVSLRCLAVDGDGFGLHEANDGGLLAAIMASGFKGELNRFQHGVSMEISRLDAWYSDGHSSVESTAAYIIRGLCRRCCLPETILRSMQASIALSVAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLFLERECFLCKMELEEEQLPADG >LPERR11G17500.4 pep chromosome:Lperr_V1.4:11:18444584:18453023:1 gene:LPERR11G17500 transcript:LPERR11G17500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPMPNYFDPESSGRREEYRRYRKRLSSSNASPLSGTAVSKFSEARLFCDGNSIQRRPNAGLLLEEIKQEAADISDFDGLDGSKLFGSVKRRASLDASGSGRQAMRSTLKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFPDVILQFERACRNASESIRSAGIGKLRMVEDRFMQQKAQLLMDEAASWSLLWYLYGKGNEEPPAELFVTPTTSHQEACRFVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNNTTIVKHVDFDAPTREGAQLHPDDKKQDELLLEDIWTLLRAGRLEEASEVCRSAGQAWRAATLCPFGGIDLFPSLEAMLKNGMSRMLQAIELESGVGRQWSLWKWASYCASEKIAEQNGGRYEMAVYGLQCSNLKRILPICTDWEYQTSRPEEKQFDDEMNGTQPMSSSAGPESWPHSVLDQQARDIDALLQKLHSSDLVHETVSRACREQHRQIEMTLMRGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMGDEVEEKMVAVGDLIINMYVRYLFSEQHEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMYKLFLSAVEYLPFSTSDEFKASLEEIVERVLSRSRELKPNKYEEDLSDVAERHHLQALQKAMVIQWLCFTPPSSIPEFHMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFERENSSISPEMLSAEEKSQAVAAAKETLELAFLLLCNATSCTALTSALYSTVSEADVLHRQLKVDVNVSSKDPCCIQVSLRCLAVDGDGFGLHEANDGGLLAAIMASGFKGELNRFQHGVSMEISRLDAWYSDGHSSVESTAAYIIRGLCRRCCLPETILRSMQASIALSVAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLFLERECFLCKMELEEEQLPADG >LPERR11G17510.1 pep chromosome:Lperr_V1.4:11:18453559:18456807:-1 gene:LPERR11G17510 transcript:LPERR11G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGCASESLLPRAGGDGSLVMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADIAGRKGAMRTSALVCIVGWLAIFFAQAKVGRQKDFEIALQCLRGKDADVSIEAAEIKEFIKTIENLPKAGVQDLFNRAYIRPVIVGVGLMVFQQFVGINGILFYASETFVSAGFASGNLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLVGSLLSAISFYLKIHGLFLEQVPIIALTGILVYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFLFALVCAVAILFIVKVVPETKGKTLEEIQASMNSST >LPERR11G17520.1 pep chromosome:Lperr_V1.4:11:18458626:18463052:1 gene:LPERR11G17520 transcript:LPERR11G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSVVSARVNCEISIKKRSGKPSRRSSDEVVSPRSVLDARDSITTTTTAAAAASSSSSYDDDDDDEPSTPPAAARQPAWKGVAEAWRSRTSMRRLPSLSPAMSSTLRRRFSIRSFAASRAPADDGNHACALRPPIRTFSLSELKKATRNFSKELGHVVSLSHPNIARLVGVGVDGGEHLVFPFSSLGCLSGRLHGGGEEAMPWTARFKVAVGTARGLEYLHERCARRIVHRDVKPANILLKDDYEPMVPEITQFNLCKKNLEFYCLQAPVDLFKICDFGLAKWLPANLTHYQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGIVLLELLTGRRAIDAKKLSLLTWARQFLHSDSDDDGEAVRKMVDPALGGRYDGEQLAGVAHAAKLCIHNSPELRPQMSQVTRILQGNEEHGRGEGPSTSATDHAVDRHQTNGQDSATRRQLDDLRRHKALAFDFDWEHTSSAEIEHLSSVHSN >LPERR11G17530.1 pep chromosome:Lperr_V1.4:11:18465993:18467180:1 gene:LPERR11G17530 transcript:LPERR11G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLAVVAAVLLAAAIMPPAAATLHPVDYLALQSVRRSLSDTPGSRFFASWDFTGDPCEFAGVSCSGDGRVVTLALGDPRAGAPGLAGAFPSAALSRLTSLTSLSLVPGRVSGDLPPSVTALPNLRFLAVAGNLLSGDLPATFSPMLRTIDLSKNSFSGRIPPSILLIRSLRTLVLSHNSLSGEIPRMVTSPLVHLDLRNNRLSGGVPPLPETLVYLSLAGNRFSGKVAGVLRRLPRLSFLDLGGNWFSGEVPGEVFSFRIGYLQLRKNGFSGELRPAGNVPAGATVDLSHNSLSGRVPPELAPAAAVYLNGNKFAGDLPREIAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHFNCVAPPPPVVAACPAKGGRGRRRPPAQCGGRRR >LPERR11G17540.1 pep chromosome:Lperr_V1.4:11:18488481:18489281:-1 gene:LPERR11G17540 transcript:LPERR11G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPSGLAVLQQIEIMSSSGNQGKVEEKATAAAAAEKVEKQAMAGGSSSAAAAAMAGDNNKKKKMKKKLMSQREIDCYINYKTVVLPEDMISRVSKERLAHTNLADQGSLPVPMDQMDDYLAGLIRDINRIEAGTMKRREKNLESIL >LPERR11G17550.1 pep chromosome:Lperr_V1.4:11:18504078:18505766:1 gene:LPERR11G17550 transcript:LPERR11G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKRPAVAAVDEESTATAGSSSSAVAAAEEEDDGGGGDVTMSRSVEGDDGGGGEASPETETLPEMKMETAAAAEKLKESNKLLLKEASDERRPVSALAARIDELQSDSGALAAVERDVVGAAAARGDAAVRLEAAAAEKARAVELLAAKEAELGDLTSKLAESEAMVVELEEKRARIWEERGELENQLEGTKVSARHVESQKRKLEEDFEKLKKSSEQIKQELENNIEEKLGEIGLLNSKNAEMAAKIVSLETDISAAMARKSELESEIDESKTELDAARGEAEKLRSEVAAIHEKNRVVEAEANEVRIKIDEAMKAKESAAAEFDSERIKMEETLVTWSNDMKMIQAEKDAAEAMVLQKSEESEKLKSELKSLKSSMLELRVRCNNLTAHSSNLQDEKNSVLKELNKEKAETRNLRLKLRELENIVSKKDSDIGVLRSEVDDNEGIVDGMNRQFENLRVAVAEAHQRGNNGVWSWMSHPATTTVLAAASVVYAASRR >LPERR11G17560.1 pep chromosome:Lperr_V1.4:11:18510582:18511740:1 gene:LPERR11G17560 transcript:LPERR11G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKLTLAVLAVVLVAGTGAAQAVHLHFYMHDVLGDSAMIVVRGTRGLFGNTVVMDDVLTDGPASTSTVIGRAQGTYVVASSGGAMDLMVTMNVVIRSGPYAGSSVTVMGRDDTGAAVRELTVVGGTGQFRMARGYVLWKTIRPDLLELDVYVNP >LPERR11G17570.1 pep chromosome:Lperr_V1.4:11:18512374:18518266:-1 gene:LPERR11G17570 transcript:LPERR11G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLKIHSLISFSVFNQVAAAPLQPEQCNGGAIGGGGCNKVAVRCHPALSTEKKASIRGVVGELMAAAAGGNKEEKKSLISLGVGDASSHACFRKGGEFAGEAVADVVRSGAFDCYAPSSGFPAARRAVADHLSAGARHRTRHADVFLTAGGSGAITAITTVLGGAPGANILLPRPGFAPYEAACEIAGAEPRFYDLLPRRGWEADLAGVRDMADGGTAAIVVINPNNPCGAVYSSQHLFQIAETARELGIPIIADEVYAHMVFGESKFVAMASFAHIAPVITIGALSKRFMLPGWRLGWLAFCDPNGALKNVRTATEMLLNVTAGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADTLYRRVNQIEALQCYSKPRGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPASVILEACDRIEAFCRRRTVEVKLLKRKF >LPERR11G17570.2 pep chromosome:Lperr_V1.4:11:18512374:18518266:-1 gene:LPERR11G17570 transcript:LPERR11G17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLKIHSLISFSVFNQVAAAPLQPEQCNGGAIGGGGCNKVAVRCHPALSTEKKASIRGVVGELMAAAAGGNKEEKKSLISLGVGDASSHACFRKGGEFAGEAVADVVRSGAFDCYAPSSGFPAARRAVADHLSAGARHRTRHADVFLTAGGSGAITAITTVLGGAPGANILLPRPGFAPYEAACEIAGAEPRFYDLLPRRGWEADLAGVRDMADGGTAAIVVINPNNPCGAVYSSQHLFQIAETARELGIPIIADEVYAHMVFGESKFVAMASFAHIAPVITIGALSKRFMLPGWRLGWLAFCDPNGALKNAAVPKILSNEHNEFHRNVVNLLESAADTLYRRVNQIEALQCYSKPRGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPASVILEACDRIEAFCRRRTVEVKLLKRKF >LPERR11G17580.1 pep chromosome:Lperr_V1.4:11:18528129:18528525:1 gene:LPERR11G17580 transcript:LPERR11G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFSSVICMVLLMVVTIVALLFSTGLAAGGPGYEYCFLKCIDECNEFCKRMDYTHGGDCSTGPCCCLE >LPERR11G17590.1 pep chromosome:Lperr_V1.4:11:18533511:18533915:1 gene:LPERR11G17590 transcript:LPERR11G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFSSVICKVLLMVATIATLLFSTGLAAGGPAYDYCFLKCIDECNEFCKRMDYTHGGDCSTGPCCCRW >LPERR11G17600.1 pep chromosome:Lperr_V1.4:11:18535083:18535432:1 gene:LPERR11G17600 transcript:LPERR11G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVVLGVLIFVAIAATLCSAGLTEFGPTYQFCYLKCVDDCDPNLQRCRLPPWRRL >LPERR11G17610.1 pep chromosome:Lperr_V1.4:11:18547200:18550007:1 gene:LPERR11G17610 transcript:LPERR11G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSACAPHALKHFNLLTRAGIKLKHVNPDVFTNRISSRLDQCLPTIANRRSGAAGARGNVGGESPAAEPRDGDDCFLAASDGDDVPETARHATASPVATPARLFFSELDGEEKLAVANHGLLPGLAAVPDSKMAAKFSSIILGVLIFAAMAATLCSTGLAEVGMVYEFCYLKCIDDCTQTCRTGGFPRGGDCNSGPCCCYW >LPERR11G17610.2 pep chromosome:Lperr_V1.4:11:18546347:18547196:1 gene:LPERR11G17610 transcript:LPERR11G17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSPVVLGVLIFVAIAATVCSAASAGLTEFGPTYHVSTIATKPAGVQITPMEAIATQDPAVVCGRLIVSER >LPERR11G17610.3 pep chromosome:Lperr_V1.4:11:18547200:18548151:1 gene:LPERR11G17610 transcript:LPERR11G17610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSACAPHALKHFNLLTRAGIKLKHVNPDVFTNRISSRLDQCLPTIANRRSGAAGARGNVGGESPAAEPRDGDDCFLAASDGDDVPETARHATASPELTGVDGSLDRKSGRCGIAIPISSWYGPTVPTWLTDVTDDRPVAVLEAQV >LPERR11G17610.4 pep chromosome:Lperr_V1.4:11:18549221:18550007:1 gene:LPERR11G17610 transcript:LPERR11G17610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSIILGVLIFAAMAATLCSTGLAEVGMVYEFCYLKCIDDCTQTCRTGGFPRGGDCNSGPCCCYW >LPERR11G17620.1 pep chromosome:Lperr_V1.4:11:18552716:18555611:1 gene:LPERR11G17620 transcript:LPERR11G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLLVPRLCFGTMTMGEQSGPPESLRLLDAAFAAGVNFFDSAEMYPVPQRRETQGRSEELLGRWLRARRVPRDSVVVATKVAGPSGQMTWIRNGPLSLDSQNIAEAIDSSLRRLGVDYIDLYQIHWPDRYVPMFGETEYDPSHQYVSVPLEEQLMALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERINLLAYSPMAMGILSGKYYSDDGGPPDARMNLFRGRYSEGESRYNLQNPKLKAAVKEYTKIGSKHGISPAILAVAFVLRHPLVASAVFGAAKISQLTEVLQATRVHLSEEIIAEINEVHARYPNPCP >LPERR11G17620.2 pep chromosome:Lperr_V1.4:11:18552716:18555611:1 gene:LPERR11G17620 transcript:LPERR11G17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLLVPRLCFGTMTMGEQSGPPESLRLLDAAFAAGVNFFDSAEMYPVPQRRETQGRSEELLGRWLRARRVPRDSVVVATKVAGPSGQMTWIRNGPLSLDSQNIAEAIDSSYVPMFGETEYDPSHQYVSVPLEEQLMALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERINLLAYSPMAMGILSGKYYSDDGGPPDARMNLFRGRYSEGESRYNLQNPKLKAAVKEYTKIGSKHGISPAILAVAFVLRHPLVASAVFGAAKISQLTEVLQATRVHLSEEIIAEINEVHARYPNPCP >LPERR11G17620.3 pep chromosome:Lperr_V1.4:11:18552716:18555611:1 gene:LPERR11G17620 transcript:LPERR11G17620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLLVPRLCFGTMTMGEQSGPPESLRLLDAAFAAGVNFFDSAEMYPVPQRRETQGRSEELLGRWLRARRVPRDSVVVATKVAGPSGQMTWIRNGPLSLDSQNIAEAIDSSLRRLGVDYIDLYQIHWPDRYVPMFGETEYDPSHQINLLAYSPMAMGILSGKYYSDDGGPPDARMNLFRGRYSEGESRYNLQNPKLKAAVKEYTKIGSKHGISPAILAVAFVLRHPLVASAVFGAAKISQLTEVLQATRVHLSEEIIAEINEVHARYPNPCP >LPERR11G17630.1 pep chromosome:Lperr_V1.4:11:18555908:18556378:1 gene:LPERR11G17630 transcript:LPERR11G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLLAGATVFPAAEAAHLHFYMHDVLTGSTPTAVQILNGPRGNFGDTIVIDDRLTETASPSSSAVGRAKGQYVWASRGNPELLVTMEVVLESGPYAGSSVTVVGRDDIGSPTRELSVVGGTGQFRMASGYVLWKTVSLDHPNAVLELDVYVNP >LPERR11G17640.1 pep chromosome:Lperr_V1.4:11:18560700:18564905:1 gene:LPERR11G17640 transcript:LPERR11G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGAVTKLLGLIHNETLLLGRVKDDVWFIKEEMESINSFLSRLTRMGSSTTGMDTKEHEQIRTWMKQVRDLAHDCSNCIDLYLQRGDPMIHRARGGVLGYLWWVPWIPRKVIEHFAASELSKLKERARDVSERRSRYDVKVPEEIGGGVAGLSHAPLSALAGGEDDDDDDDDDIFQNRAVALAGKAGQRRRALEPRFLEDYCAEKLSTWLKLRQEEDQHGAKRWTMPSITIVAPDVDSSGDMAREALDLVPFQFDRQVWINLQQVKASWSLPLLPEELLCYILQECELKDKGEIVQDHLTRSSVYTSNVIYQTMDMIEEYHFYGKIEEINSKIGQLESKWSKYISDKHFAMLYQALNALQIGPDMGMYMSLLSREEIMLDAASMLKYHMESAIPKDKPQILLDIAQYKDILDKVFLASNKEASTSAAPPPPTLGEDVIREIIHIVRDLLPKPQQSEGKSSEKEQPVVDTTGMNDATATEIEEARQKIYMMYMEFKYQLLLKVIADKINTMYLKDKKTLIVLQDDGDYISNWEETRNALSLIGCTLGSAVIVTTKKSQRAKEFCYPPGEPITYSLVGLYYDIALELTREKTKRQDGSYDPKILHDVLDICSPHEFAMKVFVRDLYANPTRSGEDLKKLLDDLDLQRSLEGSSNYNFANMTMLKFSYNSLPKEYKTCLVYLAIFPPAHKINRSTLIGRWVVEGLITKGNWASAVHHGERCFDMLIDKWLVIPKETGATGKIKSCIVGDQVHEFVTRIAKKEHILDPRLSHVWARHFSVFSDLRLGASDSIKKLMQKIHKYSPQLQLLMVLDLEGCKFPIEKIYLSNICSNILRLKYLSLRGTEVANLPREVNNLSELEILDIRQTNVCADTTHILLPKLKRLLASPSHPSPMCTSTAHIPSNIKKMINMEVLSNVMASWGGNELQDIRKLWQLKKLGVVIEDKEVHLEKLLRVVSDLKDSIKSLSITLHTVKREGTSSSTKELLLADKVCRRLEQESKRLESLTINGATNRVKLLSLLTKGGDRLAKVTLNNILLNDDNLKDLADLPKLCCVRLRNEAYIDSSRKLIFKEHDFQHLKYFLVDGLQTTDIIEFENGKTPELRKIALSFTSIKCLCGAGGLPKLKELELKGNKFLVSLLKDEAALDDKQCNEGVLAFRKDEFQHLEHLLVDAELDTNIFFEDGAATVLRKIILFLKNIRSVHGISSLPRLAELELTGDNRSILLSLLDEKIDRIAKVTLRDTLLKQDDLQVLAKKPILRCLELLGNSYVESELIFNKDEFPKLTLLIVNSPTINSIIFADGAAPKMEKITWTFSRMESLTGIKNLPKLNRLEFIGHHVPYQVREDIKAHRMCIVYTHRVTVQHENQAMDQGAEDDLDDDIASFPFSSCIPSKNWCIGSNSP >LPERR11G17650.1 pep chromosome:Lperr_V1.4:11:18564952:18575842:1 gene:LPERR11G17650 transcript:LPERR11G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHPSGTIRVWFPHAMAELAAGAVSSLLGIIRSEALLLDNVQGDVQFIKEEMESINSFLAHLARTAPPDGEHDEQIRTWMKQVRELAHDCSSCIDFYIQRGNPAIHRARAGLVWRYFWWAPWFARKLIAQHKAAIQLSKLKDRARDVGERRLRYGVEIPTKKAEAVASAPLSHGSKKAAASTPPSHAEHAMAADARGDEEDEDGGHNHAAMANAVHDHDRRRALEPRTREDYCREKLNKWLKEPKPKVTVPSIAIEALCKEDATDVAQMVVSCVAADEAGFNPAVWINLPAVHSKFDMPLEPWEILCYILRELKLKSKTQPAKQGTDQHTQEEEGTDDSTEEYDEDNEEEEEEDSDEDDDDEEEEEEEYSDVEDGLQFEAWNEKDEVYDEIWAKITKTNVNDRIEEIKTKIDNKAKNTADEIERWVRIQYSTDIDLPVPFFICRWPTLPGVAASPNDSTDVVTYKKWARSAYLTLKSDEVKIVKKTANKLKDHIEEGKDHLTEEKYINILRRVFQAQEKDRSATTSTGSSILGEDQIKEIAHKAIQDKQLEKPMKFYAIEEAKKKIRDIREEIEDELVLEGIVGKIKEHLNKDKRALIILQDDKGFLSSWEKTRDALELLGCACAGVMAVIITKKSQAAKKFCCPPHEPIYYSLAGLYHDSLLQLTSQQAKGNNNPNSQIFRDILNKCDLDEFCMKMFAHALYAKPNRSNNELHKLHNALISQQSVGSNTTKVKVNPERSNDTTQQVPQQSLRHNAKKMFKFSYHDLPREYKSCLLYLAIFPEGHSIKRSNIEGRWVVEGLINEEDWPSALKRLLAGRVYSDPSRSSNGLSLRNEKTLPSSVLVPHKIEKMENMEALSNVRVPRYSNKLLDHIGKLWQLRKLGVVIDGHEGQLRNLLRVISDLNESLQSLSVTLVGIRNERTPSINEEILPVGSTIEKLVPLPDNNIYSRFEQHPKLLESLSISGVTHGVHLLPLLAKVSGNLAKLTLSRTWLNHKNLKDIAKLSNLCRFRLRHKAYTDDQIIFMENEFPKLKCLIIEGNNMFSIKFEAKATPNLEKIMLSSTSIEYIYVINRLPNLKELELNGVNSWLQLPWIASAHHLSKVTLHGTLLDLSELMKTIAKLTSLRSLMLLEKSCIGSSLNFKEGEFTKLNLLVVKCSDITGISFSEKAASKLNKIVWSFKKIESLSGISNLPQLKELELTGDLVPDQVIQETKAHKSKPLLTHRKSQGQPEEKRSAAEEKRSPFPWLPKINKHWR >LPERR11G17660.1 pep chromosome:Lperr_V1.4:11:18572905:18574994:-1 gene:LPERR11G17660 transcript:LPERR11G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPRFKLKAILHITVLLLLLLLIIIIFIAVLFLLFLIVLIVLLCGIICAFLLLLGFGFKLELPQDVTENFPRF >LPERR11G17670.1 pep chromosome:Lperr_V1.4:11:18591026:18595916:1 gene:LPERR11G17670 transcript:LPERR11G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAVSSLLGLLRDEARLLGRVGTDVQFIKEEMESMNSFLKHLGKTQPRGASGEKEHDEQVRTWMKQVRELAHDCSNCIDLYIQRSDPALHRAQGGLVWRYVWWLPWFVNKRLAQHKAAVQLGDLKDRARDVGERRLRYGVEVPTKNKASTDDDGLNLSGEMVTSVFVHDSAGPGRLPRALEDRTREEYCREKLNKWLLKQHKTKIVISCVAAEEDFNPAVWIDLPEVHSKFDMPLEPWEILCYILLKLNPNSQPQPAEQATDQHRQDEQDTDDCIKEEDRNEDDDEEEDKNEDEEEDNDEEDDDEEVVVDEEGDNDEEDDDEEVVLDEEEEEEEEEDSIREAWDEKYKVYDEIWEKIVKTNVDAKIKEIKTNIDKEVKSTFDEIQKRLRNQNLTNNVDDDVVSTKLRKLFLALLPPVPNDDVDDKKWAREAQKDLKLHNEKIIKKTAKMLQDHMEAGSQDHTEAASDDKIRLSEEQYVNILRMVFPTSTPLQVQKQDKSATKSTGVTATTLGEDQIKEIVHKAMQDKQLEEHRKLEAADLTGEEINKEIESIQYEIEEQLLIEWIVKKIKEHLNKDKKTLIILQDDKGFLSSWKQTRNGLELLGCDGAGAMVVIITKKSQAAKQFCYPLQEPINYSLVGLYHDSLLQLTRQQANENNNSNSQIFRHILDKCDPDEFCMKMFAHALYAKPSRSNNELCKLHNVLVSQKPVGSTSTYRNNDTTKLVSQASRNNAKKMFKFSYHDLPKEYKSCLLYLAIFSKGDNIKRSNLEERWVVEGLITQEDWPSAMRSAGRCFDELIDRWLVCPSAFDGLGKVKTCVVGDLVHEFITKTAKKQHIVQTRLSHHLAHHFSAFSNLPLRRSDKIDKFMQNLRKFSPYLRMLKVLDLQDCQLFDKNNRYLKNICNRIVLLKYLSIRRTNVTRLPTTINNLQELEVLDIRETKVPQSDTEYVLILKLKRLLAGRVYSSPSSTTGLALRNEKTAPCSVLAPHQIEKMESLEVLSNVSAQNSKNLKDIGKLWQLRKLGVVIDDDKGQLKNLVGAIINLNECLRSLSITLVGTTREQTPPPSEEPPLQHDLSRRLDHTKLLLESLSITGVTHRVHLLPLLAQVSDNLAKLTLSKTSLKQGNLNDIAKLPNLCCFRLRHKAYTDTQITFNDQEFSELKYLIIEDTDITRIRFEEGATPKLENIIMSFTSIQYLDGINYLSNLKELELNGITELEFASFAGARHLSKVTLRSTSLDLRELEKTLAELPSLRSLVLLEKSSCIGNRLNFKESEYAKLNLLVVKCSDITSISFSEKAAPILSKIVWSFTTKQNVHLSGIGNLPELKEIQLTCDHVPNQVIHEINAHKNKNLLTFSKQQEQPEEKEKEAEEKSYGMAWQDHRFWNFYIVESWAWKTRRGMARHERVDAIAACVRCSDKSYAA >LPERR11G17680.1 pep chromosome:Lperr_V1.4:11:18599910:18600374:1 gene:LPERR11G17680 transcript:LPERR11G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWAPNNMVSDPLIHGNLSTGKAWEGTGDLSGGLRLGCGIPPGDAHNLFDELSSRYGVSEEDVLCVMNEERLSTDEAIYLLLAEFKLMEAHRRFDEKLDRLLEMFGAKEAKNEAYENKEGELYPDIRMTTTDFKSTSSSSPQPLLLQCSPTVR >LPERR11G17700.1 pep chromosome:Lperr_V1.4:11:18602868:18603047:1 gene:LPERR11G17700 transcript:LPERR11G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGRVVPRTEACSAEQRWAASIGLILIAATWIALACVPTARILPAAGFSSSLGSTTAG >LPERR11G17710.1 pep chromosome:Lperr_V1.4:11:18606507:18607736:1 gene:LPERR11G17710 transcript:LPERR11G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISAGGGRKAVRYLLTASCMRQLRTQDAIAQGRKTKFLIRDSIVLLGAAGEAADYWRLGILLLTQAMMVNREMTRGNIVKKAMAQLGAVMC >LPERR11G17710.2 pep chromosome:Lperr_V1.4:11:18606507:18608054:1 gene:LPERR11G17710 transcript:LPERR11G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISAGGGRKAVRYLLTASCMRQLRTQDAIAQGRKTKFLIRDSIVLLGAAGEAADYWRLGILLLTQARIWTFRVFKHDNGNEASVVIRKGDDEGQYSEESNGQWRRSRHGEVWHSPYLNHAASTPSP >LPERR11G17720.1 pep chromosome:Lperr_V1.4:11:18609600:18610227:-1 gene:LPERR11G17720 transcript:LPERR11G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAAGWIFEGLELAAMANPVGLVVGSAVVGGWILGNLWWEAREEKRKKKEEDMKEKEKEKEKKDDLLRQLGLHMATKDELQRQLDECLKAKPKLKVELELKVKLIWELELKIKYQLEIEIKIRQELEECTVTKDKLQKDLKECMEEKIKLQLKLEARKKKKGGDQYPQQGH >LPERR11G17730.1 pep chromosome:Lperr_V1.4:11:18612397:18613516:1 gene:LPERR11G17730 transcript:LPERR11G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALPFGAGFAVGLATSALLSLGEPVLVRLPRTPLARTWWTPLGRTFTGFLVVPGEAGTTSKTSSQLKRELDEIMATIGELQRQLDECRKEHHELESELEVRVKIISELELKVKVQLEVEIKIRLELEECKVTNDELQKQLEECRKENVILERQLECEGGRGVNYEELYA >LPERR11G17740.1 pep chromosome:Lperr_V1.4:11:18636021:18636837:1 gene:LPERR11G17740 transcript:LPERR11G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVHSLLGLLRNDVQFIKEEMESMSSFLKHLARTAPAGGDHDEQVITWMKQVRELAHDCSNFFDLYLHRRLVGALMVVQRHAAVELRELKERASDAPDTASRSLLRPTHRHPPPKGADDDDVSKAMEDSRRKVLEDRDMEDYGTDKLVEWLKEEEAQDQDKTVRCITFVVARDKYKVNARDIVSKAFDSVVPTHLKPWVCSINLGAVHSPWDLPLFPREILCYILRECNETTTTGEIIKARYWKSRMNHGKLYITDMLCIA >LPERR11G17740.2 pep chromosome:Lperr_V1.4:11:18636840:18637948:1 gene:LPERR11G17740 transcript:LPERR11G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVEIKVYDKIEQVINKIGEVKADIRQVWSKKDSNPLGLRVLYRALLHMQATQPKDDMPMLHYQIIDKTAEMLQEHIEAVEHKPPISLDETQYENILRKVFPATKSQQTQDQATNSNIVPGEDQIRETIRKIAPQLLESYSVNKQNNDALSAAIDEAKQKMYYILSEIEDQLFIKGIVDMIKEAGGLKDPDYLSSEWVESRNALSLLGCNTSNTVVVITKNSESAKKFCDPRQEPITCSLAGLYLDSALQLIRDPENDAQNEEHDYDPQILREILEECDPDEFCMKMFVHALYANPDDLQKLLEALKKSKKKVLGATNEDWSTTPLGKTMFKFSYSNLPRECKTCLLYTWLSSLHVTQSVAQPW >LPERR11G17750.1 pep chromosome:Lperr_V1.4:11:18638006:18661593:1 gene:LPERR11G17750 transcript:LPERR11G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNILILWFVLPGDIGAAGRAKSCIIGTRVHEIITKLAKKQHIVETRLSLQLARHFNIFSDLRLRSSDTIDNFVQKLPKYSSYLPLVRVLDLEGCNCFSKNHICKNIKLLKYMCLRRTNVARLPSKINRLRELEVLDIRQTEVQASNAPPQKSRSNQAFSVRIPKKIGKMEYMEELSNVTPSRAGLELEIGKLWQLRKLGVVINANNQDHLDNLLEAISNLISHLRSVSITLSSDTSKVTCSEKTEEIIRRLKDTSSRKVTSSKKREKITRHLKDTSNFLESLSISGDNKTTLLPLLAKGRLAKLTLNGTLLKQDDLKYLGELPNLCCVRLRHEAYSNGVLNFKENEFKDLKYFLVEDQNMMEIVFDDNALPKLEKIVLSSTSINTITGVDGLSNLKEIELKGSSLSQQWPGCDLPNPHTDSQMLHEDHVSQDDKPPVSPSSCPEMLSGGSVSAPDSIDNELEILFKKKNLSCLVLIEKPYAENQRKLTFNKDEFPMLKQLIVECPAIISTSFMNGAAPKLEKIVWSFKNIESLSGINNLPKLKELELYGVDVPDQEEGDSEPSRRRRRTNKDMMMQGARSPAGCQIASVGTSWGEGFCSPPFQVRKLKEQARDVSERWPRYDVRLPEEAGGSGGYSSIAGPSQLAPVTFEDEGRQYRHTKLCWMAVPVIVDELYSPGFCRTTAPRSSPPDSSFVKKNTKIEQSGGQYHPSPLLHQMQTTRGDITREALDVVPIQFDRKVWINLQQVHRSWDLPLLPEELLCYLLQECKLGLGATTTSWWKPGRAMVELAAGAVSSLLGILRNEALLLGRVGTDVKFIKEEMESMNSFLKHLGKTPPPGPSGEHDEQVQTWMRQVRELAHDCSNFFDLYLHRRLVGALMMVHHHAAIELSELKERARDVGDRRLRYGVEVPAAKAAEVPSSMPSSSSSQPADKYDDDDDHVLYQAVDNSCDPRRTALEDRDMEDFCTEKLVKWLKEEAQSETKTRYIAIAAPDKEKARAIAGEAFDLVSTDLKPWIWINLSAVHDPWDLPLLPTEILCYILRECEMKNPGDQGEVLDKQNEPGQAYYHRWNVYGQIREKIKEIMVDEKIEEIKKKIGEVKTNIGEVSSKKNSNPLDEPLRVLYRARMLMQAEATQQMEMTLSSDKIIEETAKMLQEHMKPVEREPLVQKTEANEAIDGSVQDNKAIDEVQNKEDVVSRFQDNEAIDGVQNNGGVNNNEAVDRIQNNEAIDGVNNKEIVDRVHNNEAVDPVQHDEVIDGSVEDNEAVDGVQINEAVNSVQDNEESVDRVRKNEAFDGVQNNGVKHKPPISLDKTQYKDILRKVFPARKPQQAQDQTTKSDILLGEDHIRETIRKIAPGIIKDLLPKPQLQEGNPDNEPTDNALSAAVDEANDKMDDILSEIENQLCIKGIVEMIKEARDLENTKTLIILEDDTLQDGGDYLSSEWEESRNALSLLGCNTGHTVVVITNNSQSAKKFCDQGQEPITCSLAGLYLDSVLQLIRHRVDDARNEEHDYDPQILRGILEECDPCEFCMKIFVHALYANPNKSNEDLQKLFEALKKSKKKVSKHKQVGLRATKEHWATAPLAKTMFKFSYNNLPRECKTCLLYMAIFPPCHKISRSTLVARWVLEGLITKEDWPTAVRHAEQYFDTLIDHWFVLPADIGAAGRAKSCMVGNRVHEIITKLAKKQHIVETRLSLQLARHFNIFSDLRLRSSDTIVDFVQKLPKFSSYLPLLRVLDLEGCECFDKKHCYLTDICKNITLLKYLCLRRTNVTRLPSAINSLHELEVLDIRQTKVPQCDTRHVLLLKLKRLLAGCGDSGSSDQASSVRVPKKIGKMEYMEELANVTPSRAGLELKEIGNLWQLRKLGVVINADNQDHLDNLLEAISNLIDHLQSVSITLSSDKSKVTCSEKKEEIFCRLKDTISRKVTSSEKTKEIACRLKDTSNILESLSISGSADKVVLLPLLAKGRLTKLTLSGTLLKQADLKYLGELPNLCCLRLRHEAYTNVVLNFKENEFQYLKYFLVEDQNMMEIVFDENALPRLEKIVLSSTRINTITGVGGLSNLKEIKLKGRLLNQGDPVVASAGNGQDCNLPNPHPDCPTLQEDHTSHDDQMPVSPGSCPEMLSGGSVPTPDGIDKFVDSLFGSANQISKVTLQDTLLTRGELEILSKKPNLSCLVLFEKSYAEGQRELTFNKDEFPMLKQLIVDCSTITSISFTNGAVPKLEKIVWSFEKIVSLNDINNLPRLKELQLYGDIVPDQVKKDIKKHKNNAILMYGKKYLRARQKEKAHHKETMMQASQLVTGSRCSRTRMADLAVAAVTSLLGQISNEALFLGRVKNDVRFIKHEMESMRSFLEHLAETSGDHDRQVRTWMEQVRELARDCRCCVDIYLQRGDPAAVLGARGGLLRQYLCWVPWFVQSMVNQHYAGVELSELKERAHDVAQRRERYGVVVAPKIEAVPASSEAEQDYDDGTLADVVSRRSTLVGRSLENYCSEELAHWVKTTTPMGSPSSIPSIAIVSSPIQQQGDTADMVAIHDALASVASTHFERSVCIYLPAVHHNPSQDLIKTEDILCYILHECDREDWHSRWGREYSSMVDKYLMPDPMYAMKCFLYKSMKIRSIGAGDSFKRVLQKILEIYKMVTRHLNSELERGVDSMLHVTNKNPLCILLKALDYLECGIADFSNVQKYHSLRVQRLPFQFQMLLLDEEKLLVAAAEKLKTIIESNIPIHLGQGTYESILRDVFPASSKSLQAQEGTTAPSPNVGTSHDVAAAIATTSTFGKDQIKEIIHKVKQEVLHELQVKQPDPQQPEEGKSLVKAEEDTPKPADTQAPDDEFTSAVEETKQKIKQIWSKISQHFIIKCIVDQIKALLGGRRTLIIIEDDKNYVSQSQWYEIRNALKELSYSGSAMIVTTQDAQRAKEICYPPREPITNSIVGMYHDILLKVTSQRVNDDANQIFRDILNKCCPSEFCMKIFVHALYTNPNRSNEDMCKLLGSLHSQQSLGINAEKMIKFSYDDLRKEYKSCLLYLAIFPHGYSIRRSTLVERWVVEGLITKDDWPSSIRHAERCFNTLIDRWFVCPGDIGAAGKIKSCIVGNLVHEFITKIAKKQHIMEPRLSHHLARHFSIFNELQLRGSDRITRFLKSLSESSQLSMLKVLDLEGCCCFKGKQHYLKDICNNILLLKYLNLRGTDVTQLPEQINNLYDLEVLDIRQTKVPAFATKHVLLLKLKRLLAGSGNGETAMSIRDKEPLFSHVRVPLGIKKISNMEVLFNVKAWTGQELKDIGKLWQLRKLGVVIDDRDILLKNLLVAISDLCECLRSLSLHIFPITKTKGIPPSSGDLPDDIRRCLKYRPKLLENLTIYGATHKGHILPLLAKGLDKLAKVTLSSTLLNQDSLKVLSDLPNLLYVRLRHQGYTDGKLTFKTGEFKNLKYFLIEGRNMISIEFQDGAAPELEKIALSSTSIESLFGVGGLPNLKELELKRNKFLLSLSEEGEALEKYMLTFKKDEFQHLKYLLVEGFSKNFETNITFEDGAAPKLEKIVVYSFANIMTHPGVSNLPKFKELELKGNKPLLSSFENAYKISKATLHSTLLKHVDLQILAKRPSISCLVLLEDSYCESQLTFNKDEFPMLNLLIVKCPTITDISFTEGAVPKLEKIVWSYTKMNSLSGIDNLSKLKELEFTGDLVPDQVRKDINAHKKHPVLTHKQPQHQDQETGKADEKDDDGMFTSCSWLNEYCC >LPERR11G17760.1 pep chromosome:Lperr_V1.4:11:18655172:18662516:-1 gene:LPERR11G17760 transcript:LPERR11G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPGLWRLPELLRRRGGSPTRLFLAARMVAQRLRSPVPRGGVGGSTSSPVAHLGDFSGPDDLLELRHCSFSEADVGDGRALDNKKVREAPNPKKRLYAGRGQCDFLKLWSCPVLKFDADHVATLDKKVLEIFEFARLEGEMRHYLNCAGVNHQESGELPQ >LPERR11G17760.2 pep chromosome:Lperr_V1.4:11:18655172:18662516:-1 gene:LPERR11G17760 transcript:LPERR11G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPGLWRLPELLRRRGGSPTRLFLAARMVAQRLRSPVPRGGVGGSTSSPVAHLGDFSGEMRHYLNCAGVNHQESGELPQ >LPERR11G17770.1 pep chromosome:Lperr_V1.4:11:18664549:18665307:-1 gene:LPERR11G17770 transcript:LPERR11G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLPGGASPNFSGSAAARRPDSFRRREWWHSSFGPPSPAAGSAVVHLLRWRTWVISLERNNRMFNNKFAMAGAVFDQIKEEVLVWKDVGVLWDPG >LPERR11G17780.1 pep chromosome:Lperr_V1.4:11:18670155:18674775:1 gene:LPERR11G17780 transcript:LPERR11G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKQQQQKEQQQSISHQRMHGGGSKEKERQHRKANRHAGWAGVRFFGWDRTPVQKHYRWNYVLKATFLWEITPRPTEGPTNFERQEKELKKKQGIIRLARRIVNSDGIDILHKKKIHGPRILAIVTPPVDLQNLPDNDDDDQDPHVYRRATEIARKAYDDYHSHQSNSLFDFTVWVDAEDHTHKLVRLKDILRQLQDQAKTVTSSSPDDAGKDDGDEEMRLQKKIQKHLTGKKFLIFLANHQDNTPWTQILAALPNDATDKSAIVVTPLVQQPFQYLGCTVSNARNMTSFCYDDMPYHYKSCFQYLSIFPSKYKMRRTSLIRRWAAQGLVVARDGLAATDEAEHCFDQLIDRGLLLPADDTEPPSGKVKLCKVDPHVLSFITRLSRDDSRAAADSIDLPSALALRLSIPSGIQFSNKKRRASEGLKKEMDHHPATIATDEQGQGVAVLQQQDSTDDTMAMVKLLGLFPTSESGWIKVLDLEDCLGLKKKHLKNICNKIFQLKYLSLRNTGIDKLPKEINRLQDLETFDIRGTNIKSFPAKSILLQKLAHLFSGVRIDSASSKSFPAVCIPRAIGSMTNMQILSHVKVSDSEDESALDDLVRLQQLSKLGVVIHANQANNLLKVIGMLTECLSSLSVRISDDIGKVANLNISSTMIFSAPRSLASLTISGKIGGLPTWLKQLEQLSEITLCDTSLNDTDIRILGVLINLRFIRLLRESYNQKQLTFEKGFRNLEFLIIERSGTISAVHFEQKVAPKLEKIVWISTFKIENLGIDKLPGLKEIELTGDCDLNRIKQSIKANPCHPNLTHRPSQDNAAATSTSAANK >LPERR11G17790.1 pep chromosome:Lperr_V1.4:11:18681456:18682121:-1 gene:LPERR11G17790 transcript:LPERR11G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIQWKFTNDGEYSSASAYKMFFLSKAISLCVNFLWKVKLLDLSGILTVFFCGVVISHYIWQNSGVTTKHAFATFSFISETFLFLYVGMHALDMEKWKIKIVGERYRYALLACP >LPERR11G17800.1 pep chromosome:Lperr_V1.4:11:18685545:18688944:-1 gene:LPERR11G17800 transcript:LPERR11G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTVMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEENRWMNESITALLIGLGTGGVILMVSSLKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKHFFRNFMTITLFGAVGTLISFTVISLGALGLISRLNIGSLELGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDMEKWKIVGETYSPMKSVALSSTVLFLVLVSRAAFVFPLSYLANLTKKTEEGKISMNKQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPVRHLNRELSAFSDPPSPKSFLDPLILNGSEVDPELGLGLRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSVPLLQGNEN >LPERR11G17800.2 pep chromosome:Lperr_V1.4:11:18685545:18688944:-1 gene:LPERR11G17800 transcript:LPERR11G17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTVMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEENRWMNESITALLIGLGTGGVILMVSSLKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKHFFRNFMTITLFGAVGTLISFTVISLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDMEKWKIVGETYSPMKSVALSSTVLFLVLVSRAAFVFPLSYLANLTKKTEEGKISMNKQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPVRHLNRELSAFSDPPSPKSFLDPLILNGSEVDPELGLGLRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSVPLLQGNEN >LPERR11G17800.3 pep chromosome:Lperr_V1.4:11:18685545:18688944:-1 gene:LPERR11G17800 transcript:LPERR11G17800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTVMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEENRWMNESITALLIGLGTGGVILMVSSLKHSRILVFSEDLFFIYLLPPIIFNAGLNIGSLELGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDMEKWKIVGETYSPMKSVALSSTVLFLVLVSRAAFVFPLSYLANLTKKTEEGKISMNKQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPVRHLNRELSAFSDPPSPKSFLDPLILNGSEVDPELGLGLRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSVPLLQGNEN >LPERR11G17800.4 pep chromosome:Lperr_V1.4:11:18685545:18688944:-1 gene:LPERR11G17800 transcript:LPERR11G17800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTVMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEENRWMNESITALLIGLGTVKKKHFFRNFMTITLFGAVGTLISFTVISLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDMEKWKIVGETYSPMKSVALSSTVLFLVLVSRAAFVFPLSYLANLTKKTEEGKISMNKQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPVRHLNRELSAFSDPPSPKSFLDPLILNGSEVDPELGLGLRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSVPLLQGNEN >LPERR11G17810.1 pep chromosome:Lperr_V1.4:11:18693719:18697015:-1 gene:LPERR11G17810 transcript:LPERR11G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVIVPLESLELNDGGIMLNYDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNYFMTKSPVTVEQEKIVVRALGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGTNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGSVKDLLAPRQPLFRSTECNTASIISILATKSGSVEVEGLTDVAIQDLKKANQWYCRGKRARLTRITIKRSGGPTEELSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESTKELSEDIKKLKQKRIAELDKEICDAEQELNDLNEQIRRAEISLEERKKLSSSACQALSDDKGSPRSTLVVVGHIDSTESPQATEKTKCRASHSHGSIPHFMSPTVCSRQRHSAASHSATKPRLTKSVNRYPAAELSGNQSFSYSSYKNAAKAKSVAFSSSVPKLKCLPVKSDQITMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >LPERR11G17810.2 pep chromosome:Lperr_V1.4:11:18693719:18697015:-1 gene:LPERR11G17810 transcript:LPERR11G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVIVPLESLELNDGGIMLNYDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNYFMTKSPVTVEQEKIVVRALGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGTNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGSVKDLLAPRQPLFRSTECNTASIISILATKSGSVEVEGLTDVAIQDLKKANQWYCRGKRARSTSWTNVNDVSSRSHWWVDDLFSHKFSFEQYPILCALALFVCSLTRITIKRSGGPTEELSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESTKELSEDIKKLKQKRIAELDKEICDAEQELNDLNEQIRRAEISLEERKKLSSSACQALSDDKGSPRSTLVVVGHIDSTESPQATEKTKCRASHSHGSIPHFMSPTVCSRQRHSAASHSATKPRLTKSVNRYPAAELSGNQSFSYSSYKNAAKAKSVAFSSSVPKLKCLPVKSDQITMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >LPERR11G17810.3 pep chromosome:Lperr_V1.4:11:18693719:18697015:-1 gene:LPERR11G17810 transcript:LPERR11G17810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVIVPLESLELNDGGIMLNYDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNYFMTKSPVTVEQEKIVVRALGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMVYMGSVKDLLAPRQPLFRSTECNTASIISILATKSGSVEVEGLTDVAIQDLKKANQWYCRGKRARLTRITIKRSGGPTEELSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESTKELSEDIKKLKQKRIAELDKEICDAEQELNDLNEQIRRAEISLEERKKLSSSACQALSDDKGSPRSTLVVVGHIDSTESPQATEKTKCRASHSHGSIPHFMSPTVCSRQRHSAASHSATKPRLTKSVNRYPAAELSGNQSFSYSSYKNAAKAKSVAFSSSVPKLKCLPVKSDQITMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >LPERR11G17820.1 pep chromosome:Lperr_V1.4:11:18716237:18723587:-1 gene:LPERR11G17820 transcript:LPERR11G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKQRRRKGTNKDAAAAAQDEEPSVGDDAGEAAGGGDGPTAAAGGGGRPASTAEGEPATAEDAPPAVAQSTPPSPPALITLGVGGNTTEEKAAGVQKVPTKFNSLQQYLSVHSNLLLDEIRRNIKSSLLEVATAQCYHALSVSFAGSSSTYYIDVDLSRLDGCQHVVADGDLFFLSSEPSSDHLSGCFGIATDVGCDNRFHRSFKVFVSENQKESDLESIKYVSFLTNIMEEMNISKALVSIASGDVGIINSILRHNEKCNRTYKCAESCAHGFGDCTYLEKYNEEQQSAMTSIISKVRCRHNNSVELVWGPPGTGKTRIAIGLIKSLLNLKSRMLVCVPRERDIPRFLNYFKKIHPSFKLQQILVLNDLRYNDICDTLSETILANKASKLYVAMFVWKGWVKEMAGLLRLDIYCRKKCMHHDEYSTCSKCEPIEFSFISFREKIENLHVELRKCSVCLINSPMLLSDLCVENINNLLGALSEFESLMQKDAISDSSVKRVFGLIVALDPDLEDCCTTKSLNQLRRECLGLTEIVLSSIELPDLDGWSDLEDFCIKQSHIIISTPVDKAAQITESDLLIPLSIPPRHIVLLGDHLHLLPTGRTKGSKEAGFSRSLFQRLLHLSFERHMLIKQYMMDPSIIRFPNELFYKDKVVDGQSVELCDYNNQPINLQFAAYTFFDIAHMEDFSCKGKKPVEAAAILFLLQKLSKGLTNAKGRVNVGIVCLCTNQVNTIINQLGTEYQNNNRINLEVNSIENMNEDWYDVIILSSHFDDKSELPMDNRINVALTKSRYCLWIIGQANILLQTPGTWQKLVQNAVQRKRAVKLDSKILAMNIETLSEITDRDGPVSAHSTTPKKNMVKNLHGQEDHITLNTFCHVFETKRVLQSQYEHQQSTEIGLEEKSKKGKRRLETVLDMLKVQGVIGSNEVNCEDSIFKISAYNRVNVDVPENIISLLENGNVMIGCFRLSYNYFYLNPGQIYCYDQSKPYIHPKSNIPASHAVVVIGHGKRLMGHDKGANKTIIRRHVAIQNSEGKRFGFDGTGRVYRRSLRQLYQLKL >LPERR11G17830.1 pep chromosome:Lperr_V1.4:11:18734303:18739137:-1 gene:LPERR11G17830 transcript:LPERR11G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDGDGGDGAVRGAVPSADCGLASRRRPGQRIRGGPAADDGLATCRRRPGQRRRVSVSPVASSSGCPVLSPPNYFENLFRPQVHFQELLDLTEDTLRPYVRQSVLYADRPKKSRGRRGQTKSLSKEFTYDAGPKQGSSKCDVDKPRDDSEDDDEHDSTTVGRPARLEKASTSLSEELRELEEYFSKHTFSSFEDANRYVLSVERAAHPEFPIQFQKNEHEVFEPTPPAPIEVPTSEGSTNAIQNANKWMSEEVMVAFEKYIGERDDLKDYKYELDQLCCQCFNVKNYSYIFHHFNFSVKTKAPDSTVWTSALYFAEVKTIFRQKIYFCYPLEPDENGTKSLISYISVIR >LPERR11G17840.1 pep chromosome:Lperr_V1.4:11:18767267:18773350:1 gene:LPERR11G17840 transcript:LPERR11G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDVPAGDAQQHKKPAGVDGEDTNGGGSDGGDTSKPLLEQIVVSWAVKDVLSDDDLSKVRKIPTHFTCVDEYLDVYSHFILEETRSSLKTSLSNLSTAPYYCMREMQRCETPDILFADIHLGEIKLDSNHSYRMAKDGDVFLFSSHPPHTGDLNFSLAYFGIAFDNSQYKSFHKGFKVLVSYTNYIKCSEKIKFATFLINVKDALNTWRALSFKKTEDNCAGLKSVLNLAEMANIDCRTFQNSFDIENVNLCLSQEQLYCLKSVISVVICKCQSQVELIQGPPGSGKTQIVTTLLQVLHHLNLNVMDITILLSMLMNFEELCDDELHNRHVQQEFRVRLDACKLEGDHAAKRLNDLRLQCVDYIERFRNSINLPKFVDRKSLEDFCIHHAKVIISTTQPSFRLHEAAMEPIDLFIIDDADKINECDLIIPLRLPVKNILILGDDFTIHPLIKSTVCENDGFATSLFKRLLNLGFQKHMLMEQYAIHPSIWQFPNEKYYKGSITNGATVVCPEYNRKFEALKFPNYCFIDVTGMEGPGCIEKNTVELVAIKHMLEIISQSLEETDQVLDVGVLCLCDSNIGGIKSSLRKKYATHNRINLRIESVDSFEGETYQVVILSMLFKDKNSTLQIEKINAALTRARYFLWMFGEVDNVSARGGILKELVADVIERKCIFKWDTVATSISKYTLESDNFHGPSSAGLNKTAQKVFKEFTWYGRPKRTKYILAPLRDQGNSDTCTMHSCLAAMESMNKHRCACMEPPQDFNWILSTDNLMEEYKIVVGKKLGSEEIEEKGNRRLDTVLQILKDIGVIGRTNQQPEVISVLDVSCYKSFQYQIPFPASNQGGERQNSRMEYYQEGKILVAHFRMSENFFSLRPGEIYHFDCQKPYLNPLSYLPASHAVMIVGSGATITKGMNEKKDQREFHLNLQNSAGSLFGENGYGYIGLESVRGLYQLDI >LPERR11G17850.1 pep chromosome:Lperr_V1.4:11:18774929:18783362:-1 gene:LPERR11G17850 transcript:LPERR11G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAHGRMRAVAAAFVRGHVVAAVATTTTLALVGRSSGDDGHRDPEEAGSGRSYLEAAGSGRIDPGGSDEWTADVRAAATTAWDNSKRRCRRQQPLVWVATDRTKTGRLSPKPQTKTEPKNPKTEISPLDGGRRRRRHGRAILLPAAELDGEMTRGASMFRFRVDLRLVRKHGRAEAGGKTRIRQSVLYSERKRQSRRGRGRGVAQPPTLPAMVRTGSMNNDFPDSATAELPAVEEALSPRSERQKIHLYLAEHTFDDLREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPRELDTQSSQKSRQVSESISSATEDLSCHKLSTEEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLAMVRTGSMNNDFPDSATAELPAVEEALSPRSERQKIHLYLAEHTFDDLREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPRELDTQSSQKSRQVSESISSATEDLSCHKLSTEEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLFDSVFD >LPERR11G17850.2 pep chromosome:Lperr_V1.4:11:18774929:18783362:-1 gene:LPERR11G17850 transcript:LPERR11G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAHGRMRAVAAAFVRGHVVAAVATTTTLALVGRSSGDDGHRDPEEAGSGRSYLEAAGSGRIDPGGSDEWTADVRAAATTAWDNSKRRCRRQQPLVWVATDKNMGVPKLEVRLVFGKVFFIQNGKDNLDEDEDEEAMVRTGSMNNDFPDSATAELPAVEEALSPRSERQKIHLYLAEHTFDDLREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPRELDTQSSQKSRQVSESISSATEDLSCHKLSTEEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLAMVRTGSMNNDFPDSATAELPAVEEALSPRSERQKIHLYLAEHTFDDLREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPRELDTQSSQKSRQVSESISSATEDLSCHKLSTEEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLFDSVFD >LPERR11G17850.3 pep chromosome:Lperr_V1.4:11:18774929:18783362:-1 gene:LPERR11G17850 transcript:LPERR11G17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAHGRMRAVAAAFVRGHVVAAVATTTTLALVGRSSGDDGHRDPEEAGSGRSYLEAAGSGRIDPGGSDEWTADVRAAATTAWDNSKRRCRRQQPLVWVATDRTKTGRLSPKPQTKTEPKNPKTEISPLDGGRRRRRHGRAILLPAAELDGEMTRGASMFRFRVDLRLVRKHGRAEAGGKTRIRQSVLYSERKRQSRRGRGRGVAQPPTLPAMVRTGSMNNDFPDSATAELPAVEEALSPRSERQKIHLYLAEHTFDDLREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPQEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLFDSVFD >LPERR11G17850.4 pep chromosome:Lperr_V1.4:11:18774929:18783362:-1 gene:LPERR11G17850 transcript:LPERR11G17850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAHGRMRAVAAAFVRGHVVAAVATTTTLALVGRSSGDDGHRDPEEAGSGRSYLEAAGSGRIDPGGSDEWTADVRAAATTAWDNSKRRCRRQQPLVWVATDRTKTGRLSPKPQTKTEPKNPKTEISPLDGGRRRRRHGRAILLPAAELDGEMTRGASMFRFRVDLRLVRKHGRAEAGGKTRIRQSVLYSERKRQSRRGRGRGVAQPPTLPAMVRTGSMNNDFPDSATAELPAVEEALSPREGFTAMLNGFRDPPKDVGQRSAKLAQSSKPCPRELDTQSSQKSRQVSESISSATEDLSCHKLSTEEIIQNGKRWMSEEVMLAFKKYIKGRDEFMDVACTLDELQHQCFSVNAYHEVFHHYNFTVKLKKPTSEDTLTYFAEVKQVYGEKIYLCCPLKPNDNGYCHACVNQGMNALKHPPNDDVGFEIGHFNTGFPFMYLSDDDSDGVWVPAENDMDLFDSVFD >LPERR11G17860.1 pep chromosome:Lperr_V1.4:11:18782534:18783254:1 gene:LPERR11G17860 transcript:LPERR11G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAVEWGNFGFRVLWLGLGLGLWAQSACFGSWYEYENWSDSVLDFPSITDAITVCCNPHERLLSPTSPLAVVPRSRRRCSNVGRPLVTAAGVNATGSSRLEVTTTRSCLLGVTVAVVAA >LPERR11G17870.1 pep chromosome:Lperr_V1.4:11:18786074:18787061:-1 gene:LPERR11G17870 transcript:LPERR11G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVSLFFRIAVLGLSVAAAVVMATANQVFPWNYGGVSYTKYSAFLYFVIATVVSAVCAAAALYLSVFQCTPHWVVGILDVVTMGLLFSAAGAVFAVRRMAPLYFGVAGADNNAAGRWLNGEFCHVAGPFCWRVTMSAIICAFAAGAISIAVLTRDCSRHNRGKH >LPERR11G17880.1 pep chromosome:Lperr_V1.4:11:18792456:18793336:-1 gene:LPERR11G17880 transcript:LPERR11G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAEKKKESSCKGGAFYCVNLALRIVVLGLAVAAAALMATASQCTIFLYYGGPLHTITYKDFGPFVYLVVASSIGAFMEAIAIFLSICKIKKDGKPAKVLLPLIDAAVPALLYTATAAAFAAGNMSYCAVNGHRVGVCTTAAAGNFCNQVHIAMYVSLAAGVALLFAEIVKNWPAGDKKDCGSDGCLSDSDSDKSTPCRHGCHSKH >LPERR11G17890.1 pep chromosome:Lperr_V1.4:11:18794796:18795386:-1 gene:LPERR11G17890 transcript:LPERR11G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCHANDSDHNGSKAASLLLRLSTLALSLTSAVVMVTASECTIVLNPHRDGGGDTATVTYKDFPPLVYLVGFNIIATVLEAAAIYLQIGKIGGDDDDDCGDDDGEGIAARLPRILLVVIDVAVQALVYSATGAVFAAVAAYGPQIDACGGAGAGRFCKQVNLSKVLSLAGGVAAGLAVFFRDVSLPFSLWPTSSD >LPERR11G17900.1 pep chromosome:Lperr_V1.4:11:18800649:18804468:1 gene:LPERR11G17900 transcript:LPERR11G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQTENVVRVAAYAKVDATGVGPVKHRPGIPVLVRVVAAQATSERVPIDLVTVLDVSCCNGLGPVNRIELLKRAMKLIIDKLGNNDHLAIVTVPVQPSTIAEKDDDLMRMDAEGRNKAASRMESLVVTGEKKLSTALKKAVKILDDRNETSKKKAGFILLISDGDDNSVFYEKIYEDMNTPRYSIHAYGFRDAHNARAMHHIAKISKGTYGILNDEHDGITEAFAGSIKNITSIVAVNTVVEISCNHTESTARLTAIDSGRFKQEIDNDQKIGSIIAGTLQAGATRSFIAYIDNVADDDLNEVSRLITVCVRCESVKHAEAAETGSTMERHNGEVVVVKKGDQLNLSRMVAAEIVRVEAIRIVGEIIDKYKDNDKALAAVADELCEKWCRLKESEFGIEADDGEVSLISGLTDEMVAMEESIRRFCTMSYMLSWHTRHSLQHQTSSSSWSSTMSVTEKGKTTLSGSIGAFGGFVAGGGGNGHHHAAAHGAAIAGGGSGHHHAAAHGTTMAGGGSHHHAAAHGAAMAFGGGGIGERKRKNSGQYYYERREMEMIEHRLAYWSRVKCELPPMHHDGDCPDHMTTIFRDASRESIDRAMFHDVFLAVVHASGRKSSKSGGSSGGGKCSCGL >LPERR11G17910.1 pep chromosome:Lperr_V1.4:11:18806262:18807860:-1 gene:LPERR11G17910 transcript:LPERR11G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVGSSSSSRSTNSLLEESIADVAALVDAWRSDENEPFSLFLHGGVVEARRFMSAAVELHRAMLLLASDVDDILERGERLVRAQEVLESAMARLQLELGILLSAISGDDDDDVIDHIRLVAEAMMGAGYGVECVTTFKSHRRAEFAAAVRHLLGYSPSQHATFHKLAWDDVGGKVRTWHTAAGFAFNFAFSGERALCRRVFAAAADVADRVFEGIAVDHAADLLAVAESVVSRARRRNAPERLFHVLDVHATLVEILPAVVSVLGEKSDAAARAAAALRNAGEAARGILVGFEDAIQKATSSSKSTAAVHPLTRYVMNYLVLLADYDETLARIYQQARISGDASPEYSSISRLLSVLLRKLDAMAGRHRSPAMRSLFMANNTHYVGKKVRGSDKLEGVVGGGEDWSTEEETRRHVDAFVHVAWRGVLVVGGEGADVAVREAVAAQRRWVVADDELGDVIRNAAAAAVVPAYRALYRRHGTASWMTPGDVSAAIGRLFGGTRVAVEGATPRRHRLRLPSSSSSLDKLAHE >LPERR11G17920.1 pep chromosome:Lperr_V1.4:11:18818358:18839382:1 gene:LPERR11G17920 transcript:LPERR11G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIELLKRAMKLIIDKLGNNDHLAIVTVPVQASTIAEKDGDLVRMDAEGRNKAAMRMQKDRAKAVQVTAYAKVDAIHGWPKSHQGIPILVRVVAPPLTSDCAPVDIVAVLDVRAGGGLVPVSRMDMVKTAMNLVIDKLTGHDRLAIVPVQSSPVDVEDDLLEMNVKGRTEAAERVRKLAIFGGDNLSTALQKAVMILEARKEEKKNRAGFIILISDGNDDVSSFSHDANAIPLKYSVHTFGFRDAHNPRTMHHIATKSAGTYAVLNDGDSISESFTASINNIASIVAVDAEIIVSVDGESTTATLSGVDSGRFKVEIDDRKKNAFITAGALQAGAARSFLVYVDNVVGGEVSDLGNYVAVRVRYTCLSSQNAEAESRVEELQNANVVVVGNGAGDDVAASRLVAAEIVRVEAMRIVDKILERYIDDGKGLAGAADELCEQWRRLKESEYGREAAAAEDCLAAEMDEMEDALRRCSGISYLLSWQTRHSLQHYHTSSRTAITSPSPAPSPAPAMRDFVACGGAHKAARAAAMAVAAAGANCGGERKRRYEKEREMEMEMIERRLAFWKKVQGELPPMQIDGESSSSSSSTGDLMATVFQKATQDTLDHAMFHDVFLMEMIEHRLAYWSWVKCELRPMHHDSDYSDHMITIFWDASRESIDRVMFHDVFLAPVSAVSSDKVQLSIFPRVDTIPRRECHPRLPVLVRVTAPATAPPSRRAPVDLVALLDISCGGAGAGASTARRLEMLRKAMEHVIGCLGADDRLAIVPFHSSVVDATGLLEMSVEGRCLATRKVMSLAVSGGTKLFPALNAAVDILDARHADERCGGGRVAAVFAACIRRVTSVVAIDAHIELACSGASIVGVESGRHRCHVDESRRSGFVYAGELSAGDVKNFIVYVDVDVHGGGVTELLTAHGTYTSATATVAAARRDTVVHIDERMAVVQRRDVDRVPDVSRDVAAELVRVEAIRMVAAVLERLNAGALAGGGAAAAELRDGWCRVMVSEDALVAAGAASLAVIEREIEEMESSLNRCSVTGMSQIFSWLNRHRLQLNLHVATPPARSPPVASNVAAVEGGRKSKDGGGGGAKRKCVEMDMIEERLAYWSKVKHDLPLMFPDQAATVTAATAAAEGTASSGDHAAAVFRDASLETINRAMFHDIYLVRPISRPI >LPERR11G17930.1 pep chromosome:Lperr_V1.4:11:18842192:18843787:1 gene:LPERR11G17930 transcript:LPERR11G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVGVSSSRSTYAAALEESIADVAALVDTWQSDENDPFSLFLHGGVVEARRFMSSAVELHRAMLLLASNIDDILERGERLVRAQKVLESAMARLQLELGILLSAISAHDDDDDDVIDHVRSVAEAMMGAGYGMECVTTFKSHRRAEFAAAVRRLLGYAPSQHATWHRLAWDDVGGMVRTWHTAAGFAFNFAFSGERALCRRVFDADVADRVFEGIAVDHAADLLAVAESAVARARRRNAPERLFHVLDVHATLAEILPAVVSVLGEKSDAAARATAALRNAGEAARGILVGFEEAIQKTAAATAAGGGAVHPLTRYVMNYLVLLADYHDTLARIYQQARVSGDASPEYSPIGRLLSVLLRKLDAMAGRHRSPAVRSLFMANNTHYVGKKVRGSDKLEGIVVGEDWSTEEETRRHVDAFVHVAWRGVLVVGGEGADVAVREVVAAQRGWVVADDELGDVIRNAAAAAVVPAYRALYRRHGTAAWMTPGDVSAAIGRLFGGARTAVEGATPRGHRLRLTSSSSLDKLVGP >LPERR11G17940.1 pep chromosome:Lperr_V1.4:11:18844950:18851898:-1 gene:LPERR11G17940 transcript:LPERR11G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDYLYTKFDGGLKGMLCRLATISLTCIALTLFVVSRLYQKGRSNYDRADVTISYILLVGGIILEISSVFLWRLVLPCGAGTLAYNIMKHVHLESRVEWSGQLQQYNMIDWCIQERRAGRLKRMMSCMGIERGCTKPVKVSREIKKVLLVKMLEIWFNRTGLDDQLLDLSRFHGQWAQWWVKHYYSSQTASVRDELQPPSPPSPSSKSSVAQRALDISHIQDVGFVTSAFLWHLVTNICLDADSTSVDETSSKLRSSCQELSNYVMYLIVECEAMLGPLEHDLVKYRKDQMKRFVNHKYGPTGSVDRLFIQEIGKTDVHDGRENLYSKALLQMEAAPESTALVDDHWDLIAMVWTQMICYIAKNCGASLHAKQLCPGGEFVTHVFLLFSAIFRKSHRSAVLSVLLWLAYLSADSVAIFILGRLTLLVGDDPRHQLVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQLSLAIYVVSKQWRGDKQLVAPTILMFISGTTKYAERILALRRVQSRALESSSMEFHVSGADIQFSTNSRPYYEKLGSIISHKQEKNFERVMEVATQGFRLSLYFLMDLTLPRPSFRYNLGKDLSNRPLNNLGDMAYKLAEVHLSLIYDYLYTKFGSLTGVFCRLTTLVLTCTAFAMFVSIHGSRSQAWTVTQVRCCTTSSSISVQKAEWSGQGSYNSITWSTGEKSWIWLERTIRCVGIERACTSPVALSENLKKVLLDKMLQVWATSTSADDMDLTKFHGRWAQRWVLGFKRHHQVQRDVAIDDHQQAETAPSSEVITDDDQHQMAPSSEFDIAQRALEISDIQGMDFESSAFLWHLVTDICLVADADKISSIDSEFKTSCQELSNYIIYLVIKCKAMLSRNGRQALNYDRQQMMLHLWQESVDRKGFIQKLHSIKCIGSPSNVFVQAHCVSLELLKMEETAHRWELIATVWVEMICYIAHNCGAGFHAKQLCAGGEFVTHVKIILFILSFPF >LPERR11G17950.1 pep chromosome:Lperr_V1.4:11:18851928:18865111:-1 gene:LPERR11G17950 transcript:LPERR11G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEVCVVCVQQRCHPPTAQHSLVMHAQRLWNDWEIQCLVLVSFSLQVFLLFFAIFRRCHRSTVLSMLLWLAYLSADSVAIYLLGRLMLLVGDDPRHQLVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQVSLAIYVVGKQWQGDKSLVAPTTLMFVAGTTRYVERILALKRALSTTLESSAMEFYVPGDMVQFYIYSEAYYRKLETIISDKQDRNFKRIMEVATKGFMLSLDFLMDVTPPRPSAWYYGGKEFWIDRSSDNMGDMVYKLADIHLSLIYDYLYTKFGGGLMGVLCHLTTLALTCIALALFVVSRLGHKGSIYYDRNDVTISYILLVGAIALEILSALLWLMSSTLPHETRNTILYNILKHLCPESRVKWSGKLQQYNMIDKCIQQTQAGRLEQMMHRVGIERGPCTKPVKVSADVKKLILGKILAELSDTSTIEEELSLTRFHGKWAQRWVKRYYQDQVPRNTHASSSSSSSQSDRAQHALMVSQIQDLGFVSSAFLWHLVTDICLDQSDTTSDHSSNKLKSSSRELSNYVMYLLVECKAMLSGFEHGSLNYIRQQMLRLLVDFHNGQDDRRGFLSNLCNNIVHRVLDEQELDDVFRRAVYASSTLLKMDAASRWELIATVWAEMLCYIACNCGAGFHAKQLCAGGEFVTHVKMLLFILSFPVLVSYAQHLWNDWEIQCMVLVSFALQVFLLFSAVFRRRHRSVVLSVLLWLAYLSADSVAVYLLGRLTLLVGDDTRHQLVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLATQVSLVIYIVGRQWRGDKQLVAPTVLIFVAGTTRYADRILALRRAQSSALGIRHYENCIRGYTGIFEDGIEHQSYTSTNYYQIKLGSIISNKQERNFERVMEVANIGSSLSIYFFMDLIRPRTSYLYEYTKELWSEPAIDLQTTLVI >LPERR11G17960.1 pep chromosome:Lperr_V1.4:11:18858237:18859046:1 gene:LPERR11G17960 transcript:LPERR11G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEIDEVLRKETERRRMAVVEPLALLYLISKASSGHRCHKQPFSTVLCGYYVWHFLKCQGRYYTNPENDHDQNIDDREI >LPERR11G17970.1 pep chromosome:Lperr_V1.4:11:18881368:18882107:-1 gene:LPERR11G17970 transcript:LPERR11G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQETIYPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIS >LPERR11G17980.1 pep chromosome:Lperr_V1.4:11:18883376:18887124:-1 gene:LPERR11G17980 transcript:LPERR11G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILWDNQLSIPDHRRYQLISYPPAVQHSLVTHIQQLWNGWEIQCLAILSFSLQVFLLFSTIFRKRNYSRVLRMLLWLAYLSADAVAIYLLGRLTLLVGDEPQHQLVLFWAPFLLLHLGGQESIITAFSMEDRALWKRHLLNLVIQVSLAIYVIGKQWRGDKQLVAPTAIMFVAGTISYAERIYVLSRAHSAMSVDDRAKALVVDSYADIKFYACLASYDRMIRLIISEKQGRNSERVMEAAREGFLLSLDFLLDLTAARTGDNFTNWQSTSNQDLVDMLYKLAEIHLSMIYDYLYTKFGMGMSCRLATLALTCIALVLFLVSRLDPKGSVSYYRTDAIISYILLVGAIVLEISSTLLWLMSSFLAWNIARFSQFTSALPCADTISLHNIVKIFLPEISRVEWSEELQQHNIIDWCICIKKRKAGDTESHYFLHACFGRLERMMDFVGIERGCTKPVKVSADLKKVILDNLLKIWGIVSRPNELEMSRFHGLWAHQWVQRCYQYEAPISGNFAPRTPSDDRRAAERALGASGIQDLGFVDSVFIWHLVTDICQQLEDDTAVETTSKLRSLSSELSDYAMYLIVKCQTMLGSKYELDKFNFWRTVTMRFTPRGKVDRSAFIQNILTCSIDCDVFVRACCVSRELLKMEVEPAASAGRGRWELITVVWTEMLCYIAKNCGTSFHTKQLCAGGEFVTHVKMLLFVLGFVI >LPERR11G17990.1 pep chromosome:Lperr_V1.4:11:18913315:18914773:-1 gene:LPERR11G17990 transcript:LPERR11G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSIQQRWTLAGKTALVTGGSKGIGRAIVEELAGFGARVHTCARNAAELEACRRQWESNNLVVTVTVCDVSVRADRERLITAVKDTFAGAAAGAKLDILVNNVGRTMFRPAADCSGEEFAVMVNTNLESCFHLSQLAHSLLAVAGGVVVNISSVAGRVGMPALALYSMTKGGMNQLTRSLAAEWAHHGIRVNCVAPGGVKTDICQHETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIS >LPERR11G18000.1 pep chromosome:Lperr_V1.4:11:18920093:18922561:-1 gene:LPERR11G18000 transcript:LPERR11G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVGSLLGYLGKLVKEEGKLLATVESDIEFIKDEMDSMNGFLLHLNKTTTPHDDQVRAWMKQVRDVTYVADDYIKLYMRHLAPTPEQNRWCCSPLLDKFLRCVPDEYIVYCNRNAFIRHDLSKKIHALKDRVHQVGKRRLRYAVELPKWEIVESPPQVSQDRKMKEAREKFLAALEDGLYPFQDAVRMLPRDHHRVVKKCLQLLGDGHVAIIKMLLRSLYAHPYGTKEVENLLEKVQQGDRDDVTKQVMIFCYSKLTLHYKSCLQYLTTFEEEESISRTCLVRRWLAEGLVAKDEQQEGLEEVRSMEEAGERCFDELLFTGFLSRAPGYYYPRNGGLKLKSCVLDGLVKKFILDMSKNENFVAELPTHLRHQLHIRKMSRREPPQEQHKLSSICGITRIKAVASNNNSMAVNAGGDNNSLLLRHPMDEIVALLKGLPKEYRLNVLDLGGCMGLTTSHLKKICEQVPSLKYLSLRKTNISQLPKEMNKLLHLETLDIRDTHMVADAMKGIILQELKHLLAGHIVTDGRGNEAAVSKLSTVVIPPKMGKTTEILRHVQIENGRAAEIQLLHVSTLERLRKLGVVLDGREENITLLLTTIARRSETLRSLSVWITPPPPEHIAIAGGDVFVTLESKGDTSNGAPVLFSHPSKLESLNLKCFKGRNCKIGNIPDWIKRLQNLSKITLRHSLLTREGLSELGKMKSLRCLKLCNESYIEAEVTVKKGEFDELRLLLVDKISHKMTKLVFEEGAAPRLEKIVWNFDTTMTLMGITVDNISGITNLKMLKELKINSLNISIPPQPREWKDIATGMGKLWKHVI >LPERR11G18010.1 pep chromosome:Lperr_V1.4:11:18929011:18929987:-1 gene:LPERR11G18010 transcript:LPERR11G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSIQQRWTLSGKTALVTGGSKGIGRAIVEELAGFGARVHTCARNAAELEACRRQWESSNLAVTVTVCDVSVRADRERLIASVNDTFAGELDILVNNVGRTMFRPAADCSGEEFAVMVATNLESCFHLSRLAHPLLAVAGGVVVNISSVAGSVGMPALALYSMTKGGMNQLTRSLAAEWAHHGIRVNCVAPGGVKTDICQHETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPVASYITGQVVGVDGGRTIS >LPERR11G18020.1 pep chromosome:Lperr_V1.4:11:18937088:18939538:1 gene:LPERR11G18020 transcript:LPERR11G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNSAVDTVLGALKKAVKDEAKLLSSVEGDIQFIRDELDSMNGFLLYLTKNKSTAPHDDQVRAWMKQVRDLAYVADDCVKLYRRDLTPPEPGLLPWLRHFPAWLKTIGTRHRLAKKIHKLKERVREVGERRQRYDVKIPSQSQGDGDTDDTMKSNQLVIGQDGKSDEQRRKLLEDGLRALEDGKADPLKVQDAINKILFPGGDAKDEETCTSEIIHKIVRRCLERNHVTSVKMLLRALYTKPYDITQAELEGLLRKPKEDRSFGPVDVPHQVMVFCYSKLSTHHKSCLQYLTTFDKENSISRTCLVRRWLAEGTVAKQADEGSNLADDNSISMEEAGERYFDELVSRGFISPAPPVLPTDLKIKNCLVDPPVKEFIAKISKADNFIDDLPTHLTHQIQIRELAQREKQPLQYYKPWWRTLMPPTVSGVPTATVDGEPLPPMDEMVKLLKELPEEYRLNVLDLGGCTGLKMYHLKKICELVPSLKYLSLRKTNIYWLPKQMSDLLNLETLDIRDTRVQANAMRNIFLQELKHLLAGRSGVDATLPYTVKIPKKIGKNTEILKHVQIKDGHAQSELGRVASLKRLRKLGVVLSGSQDNMAHLLRAIGTRRESLRSLSVWIMPPPIKNMAAGDGGFMTVDTIAIDGEQLFSFPKKLESLNIKCFKGNNNTRGRIPQWVKGHQYLSKITLRHSLLTEDDLSALGKLISLHCLRLCRESYIDHTVIFKVDEFKELRFLMLDHVSNKTKKLEFQDGAVPKLEKIVWNLDVNMAGAITVDKSRLESLPRLMELKINSDPYYTNRFPSQPPHSDYVEIPTQ >LPERR11G18030.1 pep chromosome:Lperr_V1.4:11:18951667:18952583:1 gene:LPERR11G18030 transcript:LPERR11G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNGLLLHLTEAQYRDHQVRAWMRQVAGITRDSGNNVELYVHYVGGDRSGGGVLGYLWRIPRLVRTVTVRHRIAMRIRELKVRARNVGDRRKRYGVTVPSVLPDHQLHLHGSATDQVLQANEPEEEEHFRRRHLLYGQLPPHTVDGVFEEVLKLVLEEQWEEYDEPNPRHIRIGSEGSAQASSSIARRVFEHP >LPERR11G18040.1 pep chromosome:Lperr_V1.4:11:18953803:18961111:1 gene:LPERR11G18040 transcript:LPERR11G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDEPPRRRNAAARTGEHDRSLRRRNTTKQTEGRRATRRLIPSDGGGDATNEKSNGWPPPGDPNPPRGDGSGTGTRFLLIVLDDLPFVPNCKSELENSLKGLENPGLLIAATAIISTTSSGRWAWEDKPIYVCHHKKNSVAFWHRAFALFHCPDKENVWKVTQVCLNDEFALQMFLYLLYINPYRSSTEIERLYNALDEHRNNTSKIMLMFCYNDMPIKYKTCLQYLSIFPQGHIIRRTNLIRRWLAEGLVTDRRSSSTNMGIMKSGDHNTGINKLAQLDDHAERVFDALVARGFISPGETSAAGKIKTCTIHHIVHDFIATDVSFMDTCLPPDIAHRSDQWQLIKVLDLEGCRGLTKKHLKNICNILLLKYLSLRDTDVIQLPKQINKLRCLETLDIRQTKIMSFAPNSILLPMLKHLLAGSKVPSSNFNNSHCSGELLATVRLPSGIGRMKRLEVLSYVDTSNNVDELIHIGQLLQMKKLGVILDGKKIGGLVLLLQQIEKLNGCLRALSIQINQPAASEGTMPETEQLPALKSPPKLLQSLKISGITSWLPKWIAELDQLTKITLSETCLGEDDIQVLGKLRTLRCLRLWRESYTGTKLTFIAKEFQNLRSLIIEGCNITEINFVNIGATPKLEMIIWSFASIQTLSLSGTDHLPRLKKVELNGNSDIVAVRQSIKAHPNSPVFIHNSSHQHQETVNQVADSAP >LPERR11G18050.1 pep chromosome:Lperr_V1.4:11:18963967:18966822:-1 gene:LPERR11G18050 transcript:LPERR11G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIFSSAHGAVDSVVGRLTSLLVSEAQLLSGVRSEVQFISDEMESMNGFILHVAEAEYPNHQVRAWMKQIRDLACDSQDCVDQYAQCLGEGPQGKGTVWGTIRRLPWLLRTMPARHRLATRIQELKVRVAEVGDRRHRYDVTVPKGTRKPSLPVPAALPVGGGAGDDLRRRSLLDGEPPDTLQKGTELLLEWLNEKVGEQRLKVVAIFGLSGMGKTTLAHNLHAHDQVDHLFKYKAFASVQELDSLAEVLRSILKQMHSPNFNHELLQKKIELSDEKALVTMLRKHLTAIDRFLIVLDDVWSYEAWRGIKSALPKDDDCCAGSAVVLTTPHVPVLNSCLPYRTLNFFNFDSIFKFYFDRAVTLVGKHKSYLKPSLQDIIRRCYPNKVMIRMFLGILYANPSRTDVELGGLLARLDQRFSENNASQILMLCYNELPRHHQNCLLFLSIFPRGYKEIKRTSLVRRWVAEGLIARNDAAPDERDQQVSAMEVAERCFDMLVARGFVSAVTTGASGKVKSCKVEGLVYDFITRIAGDVHFVDAGVPPFLARHLSVRNRIGLQAPAGSNRSFDSILTVLEFLRTSSGLQMLRVLDLEGCKGLEKRHLKNICRIALLKYLSLRNTDVTQLPKKIKRLSCLETLDIRQTNVRELSKEAIRLPMLKHLLAGNLTCTVTTTTTTNKNNSKTDECKNNSSNKTFESFLTVNVPSGVRRMKSLEILSQVRVSNSGSDLKEIAQLMQLRKLGVVLNAKKHSLTDLINQIENLPHLHSLSIRTEGHNGDESRRVGIAETLLSPPRCLGNVITNGLPSSIQNLQKLAKITLWRTKLGKQDLHFLGNLTSLLCLRLRHKSYTESKLIFTEGEFKSLKLLVIEGSDIDCISFSKGAAPNLEKLVWTLDSSMESVSVPGIKDLPKLKELALNGDCNSTDAVQNAIREHRRSPAFRHNGQIVPQEAD >LPERR11G18060.1 pep chromosome:Lperr_V1.4:11:18968162:18969395:-1 gene:LPERR11G18060 transcript:LPERR11G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTATAAVARVELWVGTAQRRHAAAAVRRGTTPRLCRLGDFAATADTTPPWWVFLPFAVVDHRVRGGGRQRNVLEGIGCMVQGFATAAAGILNRDFTGDFFFPQMRGMIYIRATFHDLRFKKNRHGLYKWTIFLIFMHSYGFTAEEEIENITAIWMEGFMQRKSQPLYHHHQL >LPERR11G18070.1 pep chromosome:Lperr_V1.4:11:18978263:18981211:-1 gene:LPERR11G18070 transcript:LPERR11G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSTVHVLLSVIRKEGELLAGVRGDVQFIRDEMESINGLLRHLAGSKERASDHQVRAWIKQVMELAYDSNNCVERYAGTRPRRRKGFVGRLRRVVRVPKSMWIRYRVATRIRQLKIRAREVGERQQRYGVAVPPKAADGVAAIADGRNILLQYSSAPRKIGGGVRDVSRQAAAIESESGTGHMLKEFTKELIEWLDRSIPDGGGRATFRAVAIVAVDGANGTTLANKVYEHYQRASATARADPFDCVVRIGVRQPPIHVEIMADMLRELLKPTTAAEEEELGLGLGEAQLKEKLVDFVRAKKLLVVLADVNYLPISVMIKALLDTFDCSPGSAVVFSTKDSVVAANFPPTKTVFYSHVDFHHKKASLFLPRNNLTGAGEDDVVKKVLSRCDMDDYCTKLFLHALHSSPNRTPEELNGLSESLAPEHCSDAMEKRLRMAAFCYHGLSDPYKNCLWYTAAFIRGSYHVRRASLTRRWIAEGFIVRSGQPTVHEEAERCVEVLLSQRLLLPQIRSAVGGGKVKTCNVNPLVIEMVTGGGRRRTTTTTTTIASTVDDFLDTNHLPLDPDLHFSIRNGIRIRRLDVSTTAGTEPPPPPKKQMESVMEFLWKLPRSSRLCILRVLDLERCEGLTKRHLSNICKIRKLRYLSLRGCTDVAQLPKKLHQLELLETLDIRQTKVQSFEAALPKSLKNLLAGRTDCPGKDAKTIKSRESFSTVRMPGGVPAGEMDKLEILSHITVTDSGRELANIGKKLKELRKLGVVLFGGGRANLKHLFDQINELDGCLRSLSIRMEPIGSWGATEAVLMKPPKNLESLRICGVRDWLPRRIKELDNLAKLTLRDTLLNEDAMGTLGSLKGLRCLRLRYHSFDAGTLTFSSGHFPNLEDLVLEDDLVGTITFAAGAAPKLAKIVWSFQRMESMTGVKNLGSLKTIQLNRPAGGNVDGYLQLQQDIKDHPNKPKLDCEPPFNQTDDDQADHTGAAPS >LPERR11G18080.1 pep chromosome:Lperr_V1.4:11:18994332:18996827:-1 gene:LPERR11G18080 transcript:LPERR11G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIAQASRKYKAGVGRRGCQAGKPKNANRFIGTYHTYQVTSDYTYLHPLAIIKEQKVQKDFIGLVSNINISIFLDCWCLVYLPIAQFAPLGPTKKKVSK >LPERR11G18090.1 pep chromosome:Lperr_V1.4:11:18999653:19006005:-1 gene:LPERR11G18090 transcript:LPERR11G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASVELYVGGSGGGGILGYLRRIPRLVRTIVTRIRELKVRARDVGDRRLRYGVTVPPAPADYHLQTGTTDDRPAAHPLESAAGGPEDLERLELLYGGEPPVLADTVDKCAEMLLEKEKLRRPKIIRIAGRGIIGKTSIARRFYQHPSVNLGGPYEHTQIIVTTRYDGPIKEEVFQTETFRVSKVDFTLPWFFQQRALALYRFEPTTLLPVLEECYPHVFALHMFLHLLYVNPYRSTTQMGNLSKALAEHKNNTSRTMLMLCYNELPIKYKTCLQYLSIFPQGHIIRRTRLIRRWLAQGLVTVRSGDQSKASSSLVLDDHAEHVFDALVTRGFLRPEKTSDTGKVKTCTMHHKVHDFIATDVSFMDACLPPDLVYRLSINSGIALEKAPSPSDGPFDIILSLLDSLPRSDQWQLVKVLDLEGCRGLTKKHLKNVCKMLLLKYLSLRDTDATQLPKQINKLHCLETLDIRQTEIRAFTTNSVFLPMLKHLLAGIKVSNNNTPTSSKNSHVFHETLVTVKLPNSTRKMNSLEILSHVDASNSIDELVDIGQLLQLRKLGVIIDGKKAGSLATLFQQIEKLHRCLRSLSIRVNQPTSEPVIKAEQMAVLASPPKLLQSLKIRGIGLPIWINELDQLTEITLSETYLGEDAIRILGKLRILSCLRLRCESYTGTKLTFNTEEFQHLKSLIIEGGDITNINFVNIGAAPKLRTIIWSFTSIDTISLSGIDHLCKLKKVEINGQSNIVAVRKAIEAHPNRPVFLHSLSCQRQEAGTEIADSAS >LPERR11G18100.1 pep chromosome:Lperr_V1.4:11:19006067:19006819:-1 gene:LPERR11G18100 transcript:LPERR11G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEILLHVDASNNIDDLKEIGHLLQLRKLGVILDGKKHSGLARLFQQIKKLHSCLHSLSIQINQLVPGKGPDPKTMQMLDLVSPPKFLQSLNISGITIGLDWISKLDQLTKLTLSKTYLGEDVIRVLGKLRILCCLRLRRKSYTGTELNFNTEEFLNLNIDFVNIGAAPKLEMIVWSFAFIDHNLPVSRAAHLPKLKKLELNGDGDMDRMRQEMEAHPNRPVFTHNPSHQRQDAGTS >LPERR11G18110.1 pep chromosome:Lperr_V1.4:11:19006841:19007704:-1 gene:LPERR11G18110 transcript:LPERR11G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLYVNPYRSSTQMGNLSKALAEHRNNIFRTMLMFCYNDMPIKYKTCLHYLSIFPRRTRLIRRWLTEGLVTKRRLSAANNDSCRVVQLEDQAERIFTTLIDRGFLHPGETSDAGKMKTCTMHHIVHEFIAMDVSSFMDSCLPLDLAHRLNINSGVILEGVSPSNTILTSASPDATQLPKQIEKLQCLETLDIRQTEIRAFATKSVLLPMLKHFLAGNKDPQSSSNNKSCTSEESLATV >LPERR11G18120.1 pep chromosome:Lperr_V1.4:11:19017816:19019609:-1 gene:LPERR11G18120 transcript:LPERR11G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLESMTRQPTSVYQQHPFLSPVRGSSFLAGRSSVNILCVHCKLPVLYILAVPPLMGHGFFV >LPERR11G18130.1 pep chromosome:Lperr_V1.4:11:19023920:19028156:1 gene:LPERR11G18130 transcript:LPERR11G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDASSSAMSPRRRMAAAVLVLMGLLLSSASPAAAAGRGGELKMKHSDGGYSYNRTLAHILVQYASAVYTSDLTSLFTWTCPRCQGDTKGFEMIEIIVDVENCLQAFVGVAPDPQSILIAFRGTQEHSVSNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRREILESVQWARKTYGRLPINVVGHSMGGALASLCALDLSVKYGSHEVQLMTFGQPRIGNPAFAAYFSEQVPRTIRVTHQNDIVPHLPPYFCYLGQWTYHHFPREVWLHETIIGNVITKNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVMVSTNTAYSSTIEVDRTIILARYPQEWHATYWVLRSSPEDKERCEEPRTDENQKRITRCKGLRLVGIARRYAKQDFRIRVTAWRIPAFLIRSRESSNEHCTTNWAEETDKISLMV >LPERR11G18140.1 pep chromosome:Lperr_V1.4:11:19029598:19029912:1 gene:LPERR11G18140 transcript:LPERR11G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVIMKLASERAVVVFVRSNCGMCHAVTSLLQGELGVNAAVHELDKDPRGRDMERELSRRLGGAAVPAVFVGGSLVGGTNRVMSLHLAGDLVPMLKNAGALWL >LPERR11G18150.1 pep chromosome:Lperr_V1.4:11:19034866:19035189:1 gene:LPERR11G18150 transcript:LPERR11G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERVTKLASERAVVVFTKSGCCMCHTVMTLLVGELAVSAAVHELDRDPLGREMEKELARRLGRGAPAVPAVFIGGRLVGGTSKVMAMHLKGELVPLLKNAGALWL >LPERR11G18160.1 pep chromosome:Lperr_V1.4:11:19044081:19049511:1 gene:LPERR11G18160 transcript:LPERR11G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARITKRSSHPNFVQGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTDGDKPIPRFDHAAAMVGSKMVVFGGDSGGHLLDDTKILSLDKLTWDSVAPKIRVSQGGHTPKFRPSKGHCLVPWGKNVILVGGKTDPPSDRISVWAFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETVAVELYVGPNGISQGVEARKSGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSPPSSKELADHLNNCDPLYSTGPAARHSLATTVESSSVRKSLPDSLLQQPNMGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDADDCSELHSITNQKQRNDIYQSPDAPDADAKAKRVGRSSSDINHPYDTKITNLIRRNMALEEQLSAAMTSKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLSGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >LPERR11G18160.2 pep chromosome:Lperr_V1.4:11:19044301:19049511:1 gene:LPERR11G18160 transcript:LPERR11G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARITKRSSHPNFVQGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTDGDKPIPRFDHAAAMVGSKMVVFGGDSGGHLLDDTKILSLDKLTWDSVAPKIRVSQGGHTPKFRPSKGHCLVPWGKNVILVGGKTDPPSDRISVWAFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETVAVELYVGPNGISQGVEARKSGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSPPSSKELADHLNNCDPLYSTGPAARHSLATTVESSSVRKSLPDSLLQQPNMGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDADDCSELHSITNQKQRNDIYQSPDAPDADAKAKRVGRSSSDINHPYDTKITNLIRRNMALEEQLSAAMTSKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLSGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >LPERR11G18160.3 pep chromosome:Lperr_V1.4:11:19044273:19049511:1 gene:LPERR11G18160 transcript:LPERR11G18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARITKRSSHPNFVQGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTDGDKPIPRFDHAAAMVGSKMVVFGGDSGGHLLDDTKILSLDKLTWDSVAPKIRVSQGGHTPKFRPSKGHCLVPWGKNVILVGGKTDPPSDRISVWAFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETVAVELYVGPNGISQGVEARKSGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSPPSSKELADHLNNCDPLYSTGPAARHSLATTVESSSVRKSLPDSLLQQPNMGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDADDCSELHSITNQKQRNDIYQSPDAPDADAKAKRVGRSSSDINHPYDTKITNLIRRNMALEEQLSAAMTSKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLSGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >LPERR11G18170.1 pep chromosome:Lperr_V1.4:11:19049932:19056499:1 gene:LPERR11G18170 transcript:LPERR11G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLAGFRPPPAVSAAARSLPPNPFFSGGRRRRRLSSSMVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEEAKALQKENEDDPDMAEMINSELESLSNQLEELEENLKLLLLPSDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSCSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTEAMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCASMEQKELLEEMATSVGAAKKAQQPMKEDHQISLPEIEKDKT >LPERR11G18170.2 pep chromosome:Lperr_V1.4:11:19049932:19055359:1 gene:LPERR11G18170 transcript:LPERR11G18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLAGFRPPPAVSAAARSLPPNPFFSGGRRRRRLSSSMVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEEAKALQKENEDDPDMAEMINSELESLSNQLEELEENLKLLLLPSDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSCSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTEAMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCASMEQKELLEEMATSVGAAKFIVSLFQFEYFSNNT >LPERR11G18170.3 pep chromosome:Lperr_V1.4:11:19049932:19056957:1 gene:LPERR11G18170 transcript:LPERR11G18170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLAGFRPPPAVSAAARSLPPNPFFSGGRRRRRLSSSMVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEEAKALQKENEDDPDMAEMINSELESLSNQLEELEENLKLLLLPSDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSCSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTEAMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCASMEQKELLEEMATSVGAAKPQHKTNN >LPERR11G18180.1 pep chromosome:Lperr_V1.4:11:19055676:19057457:-1 gene:LPERR11G18180 transcript:LPERR11G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDDDGDELRRRAALREVFGDSSDGESGDEPVAGAGREQWRWEAVEGAKGLWLCAAFLTADEQSRLLTAVRREGWFSDARNQAMRFGDLPSWAVELSALIHEAICVGDVDVGCGVEFKNEDEDACPLPSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQQVPVCNTLESGQAESAKIPVYLNPGSLVVMSGDARYLWKHEINRKPGAQQWGGRELEQQIRTSITLRKLLASPN >LPERR11G18190.1 pep chromosome:Lperr_V1.4:11:19057673:19065999:1 gene:LPERR11G18190 transcript:LPERR11G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCGGGGAAYPAGGEVFGECLAGPGAGAGAVGDGVGSLGPFGEYSSGGYARTGDGGETLGVPGTGDDGVGPTGTETSGGSSGGIDGFPGVG >LPERR11G18190.2 pep chromosome:Lperr_V1.4:11:19061978:19064038:1 gene:LPERR11G18190 transcript:LPERR11G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHFPLEKYYIYEYARLLVSNVGGASDSIAGGALSSSFGGGEETFGGGVITGAGGGELTGAGGGRETFGGGELTGAGGGELTGAGGGGDFTGGGGELTGAGGGGDLTGGGGELTCAGGGELTGAGGGGDFTGGGGELTGGELTGAGGGGDFTGGGGELTGAGGGELTGVGGGGDLTGGGGELTGAGGGGDLTGGGGELTGAGGGELTGAGGGGDLAGGGGELTGAGGGEDLTIGGGELTGAGGGRDFSAGGGELTGAAGGEALATEGGDDSTGVDGGEDFVGGGSEATGAGGDEDFAGGSTASTGDGGGWDFSIGGGGSSLEPVSVEARLSV >LPERR11G18200.1 pep chromosome:Lperr_V1.4:11:19064062:19065974:-1 gene:LPERR11G18200 transcript:LPERR11G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLHLPSRLAVAAAAALLLAGVCLLSLPNATTAVSNAEASFIAHRQLTAMKESGGGEEGDLPADFEFDDRVGVAVGDFPNPRLRKAYIALQAWRRAFYSDPKNYTANWQGNDVCSYNGVICFAAIDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSKLSILHEFDVSNNRFVGLFPYVCLEMASLKYFDIRFNNFEGELPTELFDKELDAIFVNSNRFVGYIPGNLGNSTASVIVFANNGFIGCIPKSIGEMVTTLDEISFMNNKLDGCVPMEIGYLVNTYVIDVSGNVLVGTLPSTLSNCSKLEQLDVSRNVFTGIVHESICELPVLVNFSFAYNFFNSESAPCMPSESGNVNLDDKDNCLGSLRPAQKTTLQCAPVLARPVDCSKHVCAGYPTPGKPSIPPELPPLVSVPVGPTPSSPVPGTPKVSPPSPVRAYPPDEYSPKGPNEPTPSPTAPAPAPGPAKHSPKTSPPAGYAAPPPPQEGILPGKPERNKTPPPQAEPPIASSPTPTPLTSTDWQVSSYSYTDGIASTSSRGIHTYPAKVSTPGWQVSSLSYTGGITNIATGSRGLHPFPTKVGTTSWEVSSYTYAIVLASTSTGSRGLHTCSSKVITTS >LPERR11G18210.1 pep chromosome:Lperr_V1.4:11:19076201:19077829:1 gene:LPERR11G18210 transcript:LPERR11G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPINLNTTNVNDILDLEIGMGNTTIRHTAIQEDDDEAMSPHITKRTIKKSPQPDTTQSDLRRRLLPSSHK >LPERR11G18220.1 pep chromosome:Lperr_V1.4:11:19080602:19082514:1 gene:LPERR11G18220 transcript:LPERR11G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFALGDTKCLLSSDLSDSFLSFPLIFCILPPESTNSIAAQLWPAQIDKPQRRNRPVMSSELVDRRRTCRRMGVVWGCGSRKGIDSMESDSICRRGGAHSVSTPAGQRI >LPERR11G18230.1 pep chromosome:Lperr_V1.4:11:19089594:19092060:1 gene:LPERR11G18230 transcript:LPERR11G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGRRRVPIRRQPADPARRSTNPPSGRRSPSPRAPLPDPLPTPPIPRTVPLIRRQVASPSPSLTPTPPETSWGRRRRGHLDPLYEINRKIII >LPERR11G18240.1 pep chromosome:Lperr_V1.4:11:19101082:19102871:-1 gene:LPERR11G18240 transcript:LPERR11G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPINLNTTNVNDILDLEIGMGNTTIRHTAIQEEDDEAMSPHIGTALMGLFLYYIYFVYYMVGTCEIWWHAALAIGAATALVLLSFHMAIMQTKRTIKKSPQPDTTQSDLSRRLLPSSHK >LPERR11G18250.1 pep chromosome:Lperr_V1.4:11:19103790:19117892:1 gene:LPERR11G18250 transcript:LPERR11G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDDEDSKGVMDHLAAVTALRTMASPGLVGITQLNLFSAVCVLFLANPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADCDDHALNYAAGFVLGEFVYVFMLGGITLAVMTEAPLVAVATVTALLIAGNVLVWRFTYKYPKCLQSGFDRSLCTPIVSSGFGSMGKNSIAEENNAKVEELVIKYPKLAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQYWQPLLSMAFVSPLFFLPLCSTSILRDAYIKKYTTEPPGSKKRRRAAGDARRRRGGAPTSALSAAFSPSVKMSLLGDGEDCNGVMKHLAAVTALRTMASSGLLGITQLNLFSTVCVLFLAKPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADSDNHVLNQTAGFVLAEFIYVFMLGGITLAVMTEVPVVAVATVTALLIAGNILVWRFTYKYPKCLQSDFNRSLCTPIVSSGYGSMGKKGIVEGNDVKVEELVTKYPEMAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQCWQPLLSMAFVSPLFFLPLYSTSILRDAYIKKYTTEPPGSKK >LPERR11G18250.2 pep chromosome:Lperr_V1.4:11:19103790:19117892:1 gene:LPERR11G18250 transcript:LPERR11G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDDEDSKGVMDHLAAVTALRTMASPGLVGITQLNLFSAVCVLFLANPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADCDDHALNYAAGFVLGEFVYVFMLGGITLAVMTEAPLVAVATVTALLIAGNVLVWRFTYKYPKCLQSGFDRSLCTPIVSSGFGSMGKNSIAEENNAKVEELVIKYPKLAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQYWQPLLSMAFVSPLFFLPLCSTSILRDAYIKKYTTEPPGSKKRRRAAGDARRRRGGAPTSALSAAFSPSVKMSLLGDGEDCNGVMKHLAAVTALRTMASSGLLGITQLNLFSTVCVLFLAKPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADSDNHVLNQTAGFVLAEFIYVFMLGGITLAVMTEVPVVAVATVTALLIAGYGSMGKKGIVEGNDVKVEELVTKYPEMAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQCWQPLLSMAFVSPLFFLPLYSTSILRDAYIKKYTTEPPGSKK >LPERR11G18250.3 pep chromosome:Lperr_V1.4:11:19103790:19118099:1 gene:LPERR11G18250 transcript:LPERR11G18250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDDEDSKGVMDHLAAVTALRTMASPGLVGITQLNLFSAVCVLFLANPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADCDDHALNYAAGFVLGEFVYVFMLGGITLAVMTEAPLVAVATVTALLIAGNVLVWRFTYKYPKCLQSGFDRSLCTPIVSSGFGSMGKNSIAEENNAKVEELVIKYPKLAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQYWQPLLSMAFVSPLFFLPLCSTSILRDAYIKKYTTEPPGSKKRRRAAGDARRRRGGAPTSALSAAFSPSVKMSLLGDGEDCNGVMKHLAAVTALRTMASSGLLGITQLNLFSTVCVLFLAKPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADSDNHVLNQTAGFVLAEFIYVFMLGGITLAVMTEVPVVAVATVTALLIAGYGSMGKKGIVEGNDVKVEELVTKYPEMAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQCWQPLLSMAFVSPLFFLPLYSTSILRDAYIKKYTTEPPGSKK >LPERR11G18250.4 pep chromosome:Lperr_V1.4:11:19103790:19118099:1 gene:LPERR11G18250 transcript:LPERR11G18250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDGEDCNGVMKHLAAVTALRTMASSGLLGITQLNLFSTVCVLFLAKPPVDLWVKFLVVPLPVVFLPFGLSAIMLSKLADSDNHVLNQTAGFVLAEFIYVFMLGGITLAVMTEVPVVAVATVTALLIAGYGSMGKKGIVEGNDVKVEELVTKYPEMAKFINYSFNAFMVIEIAVYTLLVVTFFIVSEQCWQPLLSMAFVSPLFFLPLYSTSILRDAYIKKYTTEPPGSKK >LPERR11G18260.1 pep chromosome:Lperr_V1.4:11:19119636:19121102:-1 gene:LPERR11G18260 transcript:LPERR11G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNIVDLEKGMGNTIRHTAIDDESDDNADEDMNQHTGMALVGLFFYYVFFVYYMIGTCKKWWHAALAIGAASALLLLSIGLVIMQINRNNEKLPHPQDNTQNHLDRRLLASTHQ >LPERR11G18270.1 pep chromosome:Lperr_V1.4:11:19130784:19132089:-1 gene:LPERR11G18270 transcript:LPERR11G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCESLARITTVLKDVIQNKDRIIAWLQQPYSLDCIPVEAEYQKQLSELLLKAPSDYGALTASVEDNSYIVRRLGNFGCRHAMVGCEFLDHDAMGESHIGISVADATDYTKSESDLVLTRPALTPVSSAVQIREICQMMKGYMVSLF >LPERR11G18280.1 pep chromosome:Lperr_V1.4:11:19134533:19135790:-1 gene:LPERR11G18280 transcript:LPERR11G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSYGWKRFRSLFSPCSIRFRFIKCGNFAISDGDLSGVSWQALSALLENVANLAVAGILTLNIYSATYFLNWSNPESICVNANDTTFSKMAKGNIKVVLVCLPFVIFPLTLCVSGQASALKKRIGGVGFVAGLVILEMMYILCLGGLMSVCMSNAPYKVVLAITIGTIFFVLWLWSCFCYHPRCLRTCFFCCCQRIVDEEDVDLEAGE >LPERR11G18280.2 pep chromosome:Lperr_V1.4:11:19134533:19135728:-1 gene:LPERR11G18280 transcript:LPERR11G18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEICQALSALLENVANLAVAGILTLNIYSATYFLNWSNPESICVNANDTTFSKMAKGNIKVVLVCLPFVIFPLTLCVSGQASALKKRIGGVGFVAGLVILEMMYILCLGGLMSVCMSNAPYKVVLAITIGTIFFVLWLWSCFCYHPRCLRTCFFCCCQRIVDEEDVDLEAGE >LPERR11G18280.3 pep chromosome:Lperr_V1.4:11:19134533:19135129:-1 gene:LPERR11G18280 transcript:LPERR11G18280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNIKVVLVCLPFVIFPLTLCVSGQASALKKRIGGVGFVAGLVILEMMYILCLGGLMSVCMSNAPYKVVLAITIGTIFFVLWLWSCFCYHPRCLRTCFFCCCQRIVDEEDVDLEAGE >LPERR11G18290.1 pep chromosome:Lperr_V1.4:11:19139842:19142575:-1 gene:LPERR11G18290 transcript:LPERR11G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCNVGNDLFWEGIPEAGAGDASAAGDDESFDRIRRRVNAALVDDDDSPFSRATATASDVKRLINRRGFSDITVSLPRAGLDGSGRSDSSSPLILRSSAEHQPPPPPPFPPPSLPLRRRLRPTAAEVAVETPLRRASPGRPEGEIRTEEGAASSSSSGQPVRDETTHGHIENSCVVCALYGIFTALSKASEEQGEAVAPNSLRVALSKSYPNSEFFQEAKMNDASEVLGVIFECLHKLYTPHAGCQVKSHEANCVGSWDCASSSCIAHCLFGMGIYERMKCRKCGLESRRHKYTSLFHNINASSLRNAKAKHPDHSFDYLLKIVMNDRLLNNKESVDDISATLGGISTEIDISTFYGGLNQGSKHSLVSVVCYYGLHYLCFAFEDGQWVMYNDQTVKATRL >LPERR11G18300.1 pep chromosome:Lperr_V1.4:11:19192046:19197199:-1 gene:LPERR11G18300 transcript:LPERR11G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPAHVRPDIPGEEKKIVIFGFRLPPPPCSFRRREETALRLTDGDGDLRCLLAETAFACEIKMSLLGDGEDSKGVMNLLPAITALKTMASSGLVGITQLNLFSAVCVLFLAKPPVDLWVKVLVVPLPVVFLPFGLSAIMLSKLADCDDHALNYAAGFILGEFVYVFMLGGITLAVMTEAPLVAVVTVTALLIAGNVLVWRFSFEYPKCLQSGFDRSLCTPIVSSGFGSMGKNGIAEGNNAKVEELIIKYPKLAKIINYSFNAFMVIEITVYTVLVVAFFIVAEQCWQPLLSMAFVSPLFFLPLYCTPILRDAYIKKYTTEPLARLY >LPERR11G18300.2 pep chromosome:Lperr_V1.4:11:19192046:19197199:-1 gene:LPERR11G18300 transcript:LPERR11G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPAHVRPDIPGEEKKIVIFGFRLPPPPCSFRRREETALRLTDGDGDLRCLLADGEDSKGVMNLLPAITALKTMASSGLVGITQLNLFSAVCVLFLAKPPVDLWVKVLVVPLPVVFLPFGLSAIMLSKLADCDDHALNYAAGFILGEFVYVFMLGGITLAVMTEAPLVAVVTVTALLIAGNVLVWRFSFEYPKCLQSGFDRSLCTPIVSSGFGSMGKNGIAEGNNAKVEELIIKYPKLAKIINYSFNAFMVIEITVYTVLVVAFFIVAEQCWQPLLSMAFVSPLFFLPLYCTPILRDAYIKKYTTEPLARLY >LPERR11G18310.1 pep chromosome:Lperr_V1.4:11:19217160:19218740:-1 gene:LPERR11G18310 transcript:LPERR11G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAPQGQACHIGGAMTRCLLGFSTSFAAYLTDALIIVLLLIWDRLFDHSKAFTFFVIEVVEKSVSGLVALNSLGASYFLAWAKKDSVCIKKNTIVWRVM >LPERR11G18320.1 pep chromosome:Lperr_V1.4:11:19222442:19227976:1 gene:LPERR11G18320 transcript:LPERR11G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPTDKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEALKKTFKKLDHDFQNDMQLPLGLTGDPSTSSWFHASSGAEGQQPIMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMSKQSTDNAGGEHHHQHHNLAAAAVQQPEFSQADCLTSLQLGAQFPYQSTFDHTSLLNDRLFIRQDMDQLHDHNAAAAAAAPMDFGCQYDLPRPGDEASFQNWASAACGANMYDHQQQQQQPAQRSDY >LPERR11G18330.1 pep chromosome:Lperr_V1.4:11:19229115:19234478:-1 gene:LPERR11G18330 transcript:LPERR11G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSLIIAYWCQPDYCSLVLAHIAAIEFKHMDYTLTTAPLVTIIGPAALWVHSKLRGLHRRGCCSLSSSRCSGELVSFLFRRCRRPKIRRKNLIFRAVSWPAAAAALLFSCGVSISSVCSSPSVLRRREEKGLMKKIILVVIPFLLLAILESVDGGCDGDEQETPPGVRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEGAVIIGTKDPSQWPIVEPLPSYGQGIDLLGPRHRSLINGYNLSDVVITGNKGVIDGQGSIWWDWLQSHELNHSRPHIVEFLYSEEIIISNLTFLNSPAWSIHPTYCSNVKVHNVTIKTPLDAPLTDGIVPDSCSNMCIEDSTISVGHDAISLKSGWDNYGISFGRPTSDIHINNMNLQASSGAAIAFGSEMSGGIWDIHANYIHVYESSRGISFRTAPGRGSYIVEVVITDVEMDSVYLAIEFNGNWSSHPDDYFDPSMIPLVDQITLKNMKGTNISVAGVLSGIAGAPFNAICLSNLNFTIADSTPPSSWSCSDVYGYSELVFPEPCSELQNPFTNTSTCFSLSSYSALAVA >LPERR11G18340.1 pep chromosome:Lperr_V1.4:11:19240573:19244951:1 gene:LPERR11G18340 transcript:LPERR11G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTAAAGTAALVYLVLSGRLSSSSAGGAESPRRRDDDDEDGESKRKRWPERAPASWREAAAVAARTVGFTYRETLGRWPIGDIAFGIRHYMRVQGNLQHEYTGRNCVPMEGPATRQELIVLLRCLRLCMFFAKKPYEVFLEFGGYGQSDILITKSKARVMKPSFTIVRDGSTKSFILFIRGATSVKDRLTAATAAEVPFHHVLLKEGRVSNVVVGHAHCGMVAAARWIADQAIPCLNRAVEKFPDYRIKIIGHSMGAGIASLLTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKDFVTTVVNRDDLVPSFGKVSAANLHTEVMGSSWAHDLQEQIRNTRILGFVNRSVTFMQSQLPFISNPSSKVADVDMMLSGTSEFQVNMTLSADAHATVKKYSALYFCSSVHSNRKTLESLVNPIQNITALMSTYVGTYKDTQEHKNQKSDTKQIDKQDKGTDEENLQQFLEALRSSPSASQEPLQFYPPGRIMHMVVLPDTKGPSSIDQSSHNEFVSLYQTPRSMYSKIRLARSMIKDHYMPRYIETMEMLVDKLEEEDSHR >LPERR11G18360.1 pep chromosome:Lperr_V1.4:11:19246135:19249559:1 gene:LPERR11G18360 transcript:LPERR11G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRIVLAALSKILLFRSFHKHHATTRKGSCLAAEGSTVVAESLRGSATKPAELPNTEGEDGPRFLRTDHRAYKPGVSRRKRSMGELVSAQGAVNSLLSHAYDALAKEVKLVRGVRGDVQFIKDEMDSMNAFLLKIAECSNRNGNGAGDGDMVVAQPSPLATRIHELKARAQEVGERQQRYGVIALPPPPMSNKHGDSAEIVAGSNGKHEDNDIEERRVFVEDYSDLFKEAVDEIMTWMKEDQAPPPQQQQQQQQWGSWEGGQYLMQKPKVIPILGPRGAGKTTLAREVYDMYCSSSRHHSSRHQNYRAFWISLSEHHSQRQALEAILKTISPNNSIGGISSNISVDTILQRIRRCLNDNRFLVVLDDVPSELLWSKISDAFCCYVLVVTTEPQVAKSCATNSHRIFGFGHFNKRHQQTLVRFFFERAVSLIGNTKEGDGDQLREVLISILTKCSPSLFTMEMFLRSLYVNPHRKIEELTDLCNTLDHSSSSSASSISTDADKMLAFCCRSLPVHYTNCLAYLACFPKDRTVRRTSLVRQWLAEGLISRRDVIDAASEGALDVANRRFDALCAHKFLLLPVAAAADDDEGTITVNDGTSGGGRFKSCTVHGIVLDFISSISAHEDIVQEKLFPNRAGRIEVQNYLLQACGREAPLDDIVSYLESLAKSSRLELLNVLDLEGCSRFGEDERYLKIICNKAIHLKYLSLRNNMNVSKLPKQIQNLQQLETLDIRGTQVKELEVVLPMLKHLHSGHSSPPSTWSVRIPRHIRRMTNIEVLSHVDVSNRADEVMCLGQLLKLRKLGIVLTAKEASLMKYVFSQIDRHKSSLRSLSITIDSSDGGVSSSYNLADSYMLSPPRFLQTLSINGSRGRLPHWVAGLQQHAKITLCETYLTGDAVQVLGKLHGLQYLRFMTGALTERTIKFIGGEFSILLYLLLQQSYIISVIFDHGTAPKLERVVFDVVTMISLHGIQHLPSLKEVRITGELSVDAPTLEAIAKHPNHPRLEYKPTHRDLFTRSSSLCFCF >LPERR11G18370.1 pep chromosome:Lperr_V1.4:11:19257930:19258947:1 gene:LPERR11G18370 transcript:LPERR11G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVLLEKQHAAAATTTTAAVRSAQIISKTTVVLSTTTPSSAAGKIHGYSSSTRAPVATAMAASSSSFLQRCFLCYRMLADGDDIYIYRHILTEDVDDDMNCCAEDSTAAATRGRSVADAGGFFAY >LPERR11G18380.1 pep chromosome:Lperr_V1.4:11:19267542:19271115:-1 gene:LPERR11G18380 transcript:LPERR11G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGSSPAASARSSMNATGELPDNLSVRGIVAKPNPPPASMQVKAQAQTLPKVNGTKVNLKTVNPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGSIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGETVQVDTWVAAAGKNGMRRDWHVRDYYSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDCSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGTDKQASSIQCDHLLQLESGADIVKARTQWRPKRPHTTAGNA >LPERR11G18390.1 pep chromosome:Lperr_V1.4:11:19275898:19279456:-1 gene:LPERR11G18390 transcript:LPERR11G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIGLPYWFSFYDSYLMANITMADTAPSCDTYLFINGETLLPNGVRAFLYTVVLAYCFIGLSAITGRFFKSMESIMKHSREVVTIDPHTNATIIKHEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILEVWTPRVITLWEALLTVLQYGLLLIHAYAQDKRWPYVSIPLARGERPEDWVPAEDALVDYDGIGEALPGQTEDIVDIFSVHSYSNAGYHHIPEKDVEESSASLTVKSNKQEDMHWLSIWWEQFVDAITLESSESRKMDSVCLRFGGIFWNLIITPWKLLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNFFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRLWAWATSVYFMILWVVFVVLSSLRISGVI >LPERR11G18390.2 pep chromosome:Lperr_V1.4:11:19275898:19278803:-1 gene:LPERR11G18390 transcript:LPERR11G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANITMADTAPSCDTYLFINGETLLPNGVRAFLYTVVLAYCFIGLSAITGRFFKSMESIMKHSREVVTIDPHTNATIIKHEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILEVWTPRVITLWEALLTVLQYGLLLIHAYAQDKRWPYVSIPLARGERPEDWVPAEDALVDYDGIGEALPGQTEDIVDIFSVHSYSNAGYHHIPEKDVEESSASLTVKSNKQEDMHWLSIWWEQFVDAITLESSESRKMDSVCLRFGGIFWNLIITPWKLLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNFFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRLWAWATSVYFMILWVVFVVLSSLRISGVI >LPERR11G18390.3 pep chromosome:Lperr_V1.4:11:19275898:19278779:-1 gene:LPERR11G18390 transcript:LPERR11G18390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANITMADTAPSCDTYLFINGETLLPNGVRAFLYTVVLAYCFIGLSAITGRFFKSMESIMKHSREVVTIDPHTNATIIKHEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILEVWTPRVITLWEALLTVLQYGLLLIHAYAQDKRWPYVSIPLARGERPEDWVPAEDALVDYDGIGEALPGQTEDIVDIFSVHSYSNAGYHHIPEKDVEESSASLTVKSNKQEDMHWLSIWWEQFVDAITLESSESRKMDSVCLRFGGIFWNLIITPWKLLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNFFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRLWAWATSVYFMILWVVFVVLSSLRISGVI >LPERR11G18400.1 pep chromosome:Lperr_V1.4:11:19285028:19288332:1 gene:LPERR11G18400 transcript:LPERR11G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHEESIWAAAWVPAAEHRPTALLLTGALDETVRLWAPDDLAPASAAAPSRGHALGVVSLSAHPAGALAAAVSLDSYVRVFDVDSGASVATLEAPPSEVWGVQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRTSVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >LPERR11G18400.2 pep chromosome:Lperr_V1.4:11:19285028:19288824:1 gene:LPERR11G18400 transcript:LPERR11G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHEESIWAAAWVPAAEHRPTALLLTGALDETVRLWAPDDLAPASAAAPSRGHALGVVSLSAHPAGALAAAVSLDSYVRVFDVDSGASVATLEAPPSEVWGVQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRTSVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >LPERR11G18410.1 pep chromosome:Lperr_V1.4:11:19295051:19296951:-1 gene:LPERR11G18410 transcript:LPERR11G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQDLLTGDELLSDSFPYREIENGVLWEVDGKWVVQGAIDVDIGANPSAEGGGEDEGVDDQAVRVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKTLSAKLDAEKAEEFKKNIESATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGASDPTFLYFAHGLKEVKC >LPERR11G18420.1 pep chromosome:Lperr_V1.4:11:19300783:19307617:1 gene:LPERR11G18420 transcript:LPERR11G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPPHTHKHRPDQTRDSQERGRRVTTLPPFPTFLLLPISSREFFFSPLLPRRRRNLRRGRRRRLARGSSSPSMDGIEGILADFGVRQQGKGAPMAASRSRPAAAAARVGSAWSNPRSTPAPSAAPSYDDLFGAPPASAAANSNSTSSFDSLFGSSSTASTARNGAAPAAAAVYDGEDIFSAVPGLKPSSSSARYDGDDVFGGGGAAFDDVFSSNRSTAAATSSYDDILGGFGVKPQVGERKRSVVVEDDDNDLFGGFGRKPHSEVEKVKKPVVVGEVNGDNGFDDLIPGFAGSSPPRSRKIIDDDKDEPALQTSKSTARVVDDPFVVPETNSTSGSTYPSPSGFTDPLEHLDNSSNSRGKNVDNTTDNDSLPDDSSAFAQIPKSDPLFTSELNGDIKDMNPPSKAPDSNPLQTSMNGNSARRSSMGDLGDVMPKSQPARYTDVYVDGTSSERYTNGMGDQSPGSTESEDDIWLTVSEIPLFTQPTSAPPPSRSPPLLKQKPLQEKANGNYGEYVRRSNQNHNHYRDLPDQADVSSLDEMDRFVKDTSQMRSYDNNFFGEAEQSERTSSDHEEKERQARLEQEQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEAQKRAAAEARAKAEREACQRAQRAAVQRAQQEARERAAAQAKEKAERIAAEARDRATSEAKERERAAAERTAAERVQQEARKRAERVAVERAAAEARERQAAAAAARKKQSSADDIESFFGAGARANSEPKQRTPTVDSMFDSQPQSRGTANGSHRSASTSTSTRKPPSATIFGDDLSDLFGGSTAPPSSDVFQEVEGESEERRRARLERHQRTSERAAKALAEKNERDMQVQREQAERDRIADTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYTAEKVFDILKEAWNKFNSEELF >LPERR11G18430.1 pep chromosome:Lperr_V1.4:11:19309062:19312874:1 gene:LPERR11G18430 transcript:LPERR11G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGAAALWRGNQANVIRYFPTQAFNFAFKGYFKSFFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGCKRQYRGLLDVYKKTLKTDGLPGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLKENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPFKYKNAFHAVKQIVSTEGFFTLFRGQHGYNFESKMKGALK >LPERR11G18440.1 pep chromosome:Lperr_V1.4:11:19312538:19322966:-1 gene:LPERR11G18440 transcript:LPERR11G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAPASPTASVPGRIEEDSAATPPAKSAGSGEDAASKRDQGGDKAAVAAAAESSRKKKEQPPQQQQQQAAPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPVSKVLCKLRHLDHGQCELEVFGKKGVVQLNGRSIHAGTKVPLTGGDEVVFSSCGKHAYIFQHPLNDKIPKAVTPPPVTILEPPVAGVKRLRMENRTGDTSAVGGTELLASLSDQLKDLPTAPPASAGENNQRLVRPMASSASDKSKGNGINSDKECENGENANEVNSNVEDSPLDAAAAPVVSPDAVPNDINQHNGFGSDAHLGAEIALEDQRDLIRDLSSSASLPTSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVAKSDKSGDKAGSEKLAILHKHRSSLADTMHFRRPAVPSSVNADIVGTSTLHSASLPKQESSTATSKTYTFKEGDRVKYVGAPQPTSSFSQRGPNYGYRGKVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDITGGEEVERLAMTELIEVISEEHKAGPMIVLLKDIEKSFTGITESLSSLRNKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQEETLLTDWKQQLDRDVETLKAKSNVGSIRTFLNRNGIECGDIEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLTSESLKHGLNMLQSMQSDNKSSKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMESLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKEEGRPDPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMSELLQWNDLYGEGGSRKKKALSYFM >LPERR11G18440.2 pep chromosome:Lperr_V1.4:11:19312538:19322966:-1 gene:LPERR11G18440 transcript:LPERR11G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAPASPTASVPGRIEEDSAATPPAKSAGSGEDAASKRDQGGDKAAVAAAAESSRKKKEQPPQQQQQQAAPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPVSKVLCKLRHLDHGQCELEVFGKKGVVQLNGRSIHAGTKVPLTGGDEVVFSSCGKHAYIFQHPLNDKIPKAVTPPPVTILEPPVAGVKRLRMENRTGDTSAVGGTELLASLSDQLKDLPTAPPASAGENNQRLVRPMASSASDKSKGNGINSDKECENGENANEVNSNVEDSPLDAAAAPVVSPDAVPNDINQHNGFGSDAHLGAEIGKVATFKIGPVLRMIAGTTIPEFDLTGDLCKALEDQRDLIRDLSSSASLPTSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVAKSDKSGDKAGSEKLAILHKHRSSLADTMHFRRPAVPSSVNADIVGTSTLHSASLPKQESSTATSKTYTFKEGDRVKYVGAPQPTSSFSQRGPNYGYRGKVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDITGGEEVERLAMTELIEVISEEHKAGPMIVLLKDIEKSFTGITESLSSLRNKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQEETLLTDWKQQLDRDVETLKAKSNVGSIRTFLNRNGIECGDIEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLTSESLKHGLNMLQSMQSDNKSSKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMESLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKEEGRPDPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMSELLQWNDLYGEGGSRKKKALSYFM >LPERR11G18450.1 pep chromosome:Lperr_V1.4:11:19328133:19332133:-1 gene:LPERR11G18450 transcript:LPERR11G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLLLVTKISTANGAQGDVKKSSTRENISSWNYDKSVPQNGMYSSSNAYSSSRSNAYSSSSNAYRSSSSKSTYYFSRSKSTYCPAFHATTGGSRN >LPERR11G18450.2 pep chromosome:Lperr_V1.4:11:19328838:19332133:-1 gene:LPERR11G18450 transcript:LPERR11G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLLLVTKISTANGAQGDVKKSSTRENISSWNYDKSVPQNGMYSSSNAYSSSRSNAYSSSSNAYRSSSSKSTYYFSRSKSTYCPAFHATTL >LPERR11G18460.1 pep chromosome:Lperr_V1.4:11:19332135:19332488:-1 gene:LPERR11G18460 transcript:LPERR11G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSTLSVSMSAILKLATTIASLAMATRRNKTECQELAERAREVTRILKNGKATSTTKGTLDALNKALQDAHEIVESCCRGDLFIAFQADKFKDINKSIRFWLKRLHRRQRRQLEQ >LPERR11G18470.1 pep chromosome:Lperr_V1.4:11:19353817:19354682:-1 gene:LPERR11G18470 transcript:LPERR11G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVYLALAAIQKIVKAIANAAAKVRRNKADCKELATRVHDVARLLPEYKAVATRDAEAARILRRLKAVLTDGLDLVKSCRRRRRSVAGCLIMLVIDSGGVAAKFKKVNAKIDSCLTELQTANGIRMEKKIRYIARLLLAFLRGGRHHNRRSNNPGNLRREINAGKNGSNKGGRNNGGKQNGGKGGNRSRGKKAADPQGQRPQYHRRRVHSAA >LPERR11G18480.1 pep chromosome:Lperr_V1.4:11:19357032:19358037:-1 gene:LPERR11G18480 transcript:LPERR11G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGSIVSATPKVIAAIIKAVKTARRNKARCQELGRRANEVAAVLTNYKKNTASSATARILRRLNEALGDGLKLVESCGDGAGGEGMVSRMQRIVGSDGVAGKIDDVNGRINNCLVDLQIAISASLEMKIDCQAVNNARIEKKIDHLAVAGASAGGHDHRRAINNSREINACKINGSHNKAGWMNGGAGKNVSNNNKRGGLNNGGGQQNGWKGGKRRRGKKAAGPPPPPPPPPPPPQPQFHHHGDAGGVPFYPDHQYSMEDDPTSCSVM >LPERR11G18490.1 pep chromosome:Lperr_V1.4:11:19362783:19367598:1 gene:LPERR11G18490 transcript:LPERR11G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRWRIFYVSMIEVLKICVKFWERFLTKIGTQSLVMLIDALQGQDFTLVAYKRGLCLTDIRHFLEAMAVVTLRDHAQSNQALRCKFDFQVVFQQTSSACNGLQSGASLGNLPSTSNQSMTPHVYVDDFHRKPTVQFYSNVPQNFRASKFPMSKFLPKEMKAFQFHPCIIKTIPWEGLAHSGSVQHLTGTHSYPVIHPRMIIPKKLEKALYGDKSSSDFDFYEDCWVGSCNAVENSAGLSSVNEAHNIYNGGFSAASEAGSVSYGGLSPAGEVGSRRYIGPIRFGGFSQEL >LPERR11G18490.2 pep chromosome:Lperr_V1.4:11:19362166:19367962:1 gene:LPERR11G18490 transcript:LPERR11G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKSQDAGSDAEVFGEMPHRQFGRDRIETLEEMRRMLQGQNAKIESMHRENQELREKVSFLTADITRLGGYLQQSPVTSNNKYSTHKIEADDGTPLKVAIYDHNSEILACEPFSSMRVHIVAIHGDFDDDHKGQWTEEHFRSKIVTGRPGKEYLLAGSKVVWVISIVPNSKTIPVLFQAKGLSWGSWLLTKESLKEFRKDNKKESQSIPM >LPERR11G18490.3 pep chromosome:Lperr_V1.4:11:19362584:19367963:1 gene:LPERR11G18490 transcript:LPERR11G18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRWRIFYVSMIEVLKICVKFWERFLTKIGTQSLVMLIDALQGQDFTLVAYKRGLCLTDIRHFLEAMAVVTLRDHAQSNQALRCKFDFQVVFQQTSSACNGLQSGASLGNLPSTSNQSMTPHELQSFEVSNEQVSSKGNEGISVPSMHNKNNTLGGSSTQRQCTTSDGNALLPGDSSTDDYTKKYLAELEKALYGDKSSSDFDFYEDCWVGSCNAVENSAGLSSVNEAHNIYNGGFSAASEAGSVSYGGLSPAGEVGSRRYIGPIRFGGFSQEL >LPERR11G18490.4 pep chromosome:Lperr_V1.4:11:19362166:19367962:1 gene:LPERR11G18490 transcript:LPERR11G18490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKSQDAGSDAEVFGEMPHRQFGRDRIETLEEMRRMLQGQNAKIESMHRENQELREKVSFLTADITRLGGYLQQSPVTSNNKYSTHKIEADDGTPLKVAIYDHNSEILACEPFSSMRVHIVAIHGDFDDDHKGQWTEEHFRSKIVTGRPGKEYLLAGSKVVWVISIVPNSKTIPVLFQAKGLSWGSWLLTKESLKEFRKDNKKESQSIPM >LPERR11G18500.1 pep chromosome:Lperr_V1.4:11:19362440:19368271:-1 gene:LPERR11G18500 transcript:LPERR11G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPPVDPFAVDLHRSTAVDFRAGVGLRASAPASIRRRHRPPRVHARRRSAAVSGLDPPPSTSARPRRCRFAAAVVDPPPSVVLCASAPASVRGRHRPLRVRAGLDPPASAFVRPLRPRSARRRRCRRSSSSIDGLVVHYRCSSSSIFLLFFLHSSLMAWSTVVASQEIFVVKIK >LPERR11G18500.2 pep chromosome:Lperr_V1.4:11:19363047:19368271:-1 gene:LPERR11G18500 transcript:LPERR11G18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPPVDPFAVDLHRSTAVDFRAGVGLRASAPASIRRRHRPPRVHARRRSAAVSGLDPPPSTSARPRRCRFAAAVVDPPPSVVLCASAPASVRGRHRPLRVRAGLDPPASAFVRPLRPRSARRRRCRRSSSSIDGLVVHYRCSSSSIFLLFFLHSSLMAWSTVVASQEVIGTIVRPHCHVPPDYDS >LPERR11G18510.1 pep chromosome:Lperr_V1.4:11:19368562:19369453:1 gene:LPERR11G18510 transcript:LPERR11G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQENVTEILFHEFELDARFKLSLRVEVQIYMGLKGPVLFCHESESVLSVSPSPSPGQHWREIKLAATSVVSFPHRSLLTTCLRMPACLICDMREEKRRRGVRRNAERGRPSEHVCIFQYYLNIKY >LPERR11G18510.2 pep chromosome:Lperr_V1.4:11:19368179:19369412:1 gene:LPERR11G18510 transcript:LPERR11G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRGDEDGDESTGKKDIRLHRPPKHGVAGPRLVLPRKRICAFRLAVAVAGAALARDQARRHIRRIVSPPLSAYHLFEDACLPDMRHEGGEAPQRCSEKCRAGKTK >LPERR11G18510.3 pep chromosome:Lperr_V1.4:11:19368562:19369453:1 gene:LPERR11G18510 transcript:LPERR11G18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGPVLFCHESESVLSVSPSPSPGQHWREIKLAATSVVSFPHRSLLTTCLRMPACLICDMREEKRRRGVRRNAERGRPSEHVCIFQYYLNIKY >LPERR11G18520.1 pep chromosome:Lperr_V1.4:11:19370217:19372866:1 gene:LPERR11G18520 transcript:LPERR11G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIELHLHVLLLRMKKCSLPGQHLQHHDAKAVHIDRWLYTLSHEVLWVDVSVRAVYIDRRIILRLIPIERQAEVTQPRHPGGVKKDVG >LPERR11G18530.1 pep chromosome:Lperr_V1.4:11:19370756:19371691:-1 gene:LPERR11G18530 transcript:LPERR11G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAATMNFATDREMGILPDGREVAIKGKRVDNYYSQGLEELHAEAFMAEITMHSPIRHKHIVRLHGCCVSQLPPFREREEECLLVYEYMKNGSLRDHLHNPSFSSSPVSTSWKMRIEFLHDYTVSPVIHRDIKSSNILLDATWVPRLSDFGLSLYWDETKDYSSVDINGSYGYVDPEYFMRQCVKPTIDVYSFGVVMLEVLTGKTALFHPKEEDMQMEFNWPHQEKSNYMRTPINLVDVAVQLIEAGKLRKLLDKRPAAEPTPRQLQAVDLVAQTATRCVRMQGKDRPAISQVVTNLQAALELARCDG >LPERR11G18550.1 pep chromosome:Lperr_V1.4:11:19378030:19385143:-1 gene:LPERR11G18550 transcript:LPERR11G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGVDIGGLISMIMQAAVTAQQNKKECDHAVGFLFSLLESRTSCCQIAMALWGGLGQAATVAQLVGADVGGLISMIIQAAMTAQQNKKECEQLARRVFMIAELLQHLQDPEVLQRPEIRRPLAGLDDTLREAHELVMVCQEKNAVYRLVMAGRQADRFRDVQSKIDSYLFVFPIISHIDVTRRLDRIYNILVPNDTSGTSTSVASIPQLPIPTFQDAAMIDWKKPHEVQEFDFKELAKATSNFAPDRKIGEGSFGRVYVGRLPDGREVAIKQLKQLSATSWWDGKVDFMTEITILSPIRYKHIVPLYGYCMLVREKRQLLPPFRKKKDEEHLIVYEYMANSSLDLHLYGSMSSPSPVTTSWKMRIEILLGVSRAIQYLQSYTERPVIHNDIKPSNILLDASWTPRLTDFGSALPWEGPDHIVDCVYGSIGYLAPDTYVSGALNLTTDIYSLGVVMLVVLTGKKAYYTQEPEETREEQDEEKREECEEEEHKKEKCTEKEEEREECEEEEPKRNEWKEKEEEGEESEEKYNTEESDKKYNSHNKLLVTFALPLIEAGELCKVLDRRPAREPTARQLEAVELVAQMAARCLRMQWQERPAISEVVANLETALELARCDGCACVVPSLSTCAVNFKYDEGGSNSSAEQEGVRAAGSPRHHDRRAAAAPAGSGGDASTGDPTAGLDDTLREAHGLVMACQEKGAMYRLVMAVRQADKFRDVQSRIDSYLLVFPFISHIGITRRVDQIYSILLPNNPTLPSPPSSGLQSEPQDAEEVDWKVPHGVEVFTMAALAAVTNNFDTEIGNGGFGKVYKGCLTGGQKVAIKRMHSPSLQGENPMMEGEEAFRAEITILSSLCQKHIVKLYGCCIAGEERFLVYEYMKNGTLDDHLHGPLPSSSPVAVSWRMRIGILLGVSRAIEYLQSYAKRPVIHRDMTSSHPTYCSMTLGRHV >LPERR11G18560.1 pep chromosome:Lperr_V1.4:11:19389225:19391482:1 gene:LPERR11G18560 transcript:LPERR11G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAAATVAQLVGVDFGGIISTIMKAVMTAQQNKKECEQLGDRVFMIAQLLQQLQDPEVMRRPEVQQPLVGLGDTLREAHELVTSCQEKNAVYRLVMSGRLAERFREVDRRIDSFLLVFPMISHLEMTLRFDRLIRWLLPNDMTEPPPSAGSARSPQRVQFVLPDDIITLTSSSEGSSHSHSHQPGLYEEADFVHLESLIGDVIQEMLPPYGEEEFTFAELEAATNNFAPDKEIGKGGSSTVYMGRLADGREVAIKQFYRNWREYYKDQFQSEHEILSHIRHKHIIRLLGGCLEPEHHKHEIKRSQRLSRRKVVDTLKPLSGPLLVFEYMKNSSLDKHLHGPLWSSSPVVTSWSMRLEILLGVSQAIEYLHTHLQQPVIHHDIKTSNILLDESWVPRLSDFEYSVNWDKLVGCYDEVIVGTYGYLDPEYYTKSIAKPTMDVYSFGIVMLEVLTGFKPIFRQEKREGEDGGVLTSLTSYTLPIIEAGEVGKMLDKRPALEPTPRQLQAMELVAQTATCCVRLEGKDRPAISEVVAKLQAALELISGDE >LPERR11G18570.1 pep chromosome:Lperr_V1.4:11:19393927:19395430:-1 gene:LPERR11G18570 transcript:LPERR11G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGLGQAATVAQLVGGLISMTIKAAMTAQQNKKEGEQLACRVFMIAELLQHLQDPEVLRRPEIQRPLAGLDDTLREAHKLVMECQEKSVVYRFVMAGRTAEKFRDVQSKIDSYLVVFPIISHLDITRRLDRIYNILLPNDSAGPSTSPAFMPQIAVQTSQDAPKIDWKEPLKLHEFTFKELAKATNNFSPDRRIGQAGFGRVYMGLLSVGKVAIKRMDTSTSTGMEDFKTELTILHSINHKHIVRLVGYCSLQEKRQLLPTFLKKEKEGLIAYEYMENRSLDIHLHGKKAYFSQWDEKKREEKREEGDDKREEGEEEDETEESDNERANIDSFGRSGLAGFAVPRIEAGELWKVLDKRPAAEPTPRQLQAVELVAQTAARCVRLQWEERPAISEVVANLEMALELAQCDG >LPERR11G18580.1 pep chromosome:Lperr_V1.4:11:19397585:19398216:1 gene:LPERR11G18580 transcript:LPERR11G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWGGLGQAATVTQLVGADVGGLISMIMQSAVTARQNKKECEQLARHVFMIVELLPHLQDPEVMRRPEVLTLRPVMGGTLNSTFDVYSFGVVMLEVLTGKKPHFVQEKGDEEEETGREDSGFRYQSLVSFMLPLIEDGKLWKVLDKRPTMEPTRRQMEATELVAQTAARCLRLEAKDRPAISEVVGNLETSLELARCDG >LPERR11G18590.1 pep chromosome:Lperr_V1.4:11:19401607:19403562:1 gene:LPERR11G18590 transcript:LPERR11G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEILLGVSRAIEHLHSSVPPVIHRDIKTANILLDAAWVPRLLDFGVSVTFDEAERMNQRLAGTMGYMAPEYLMEGILKPSSDIYNFGVVVLEVLTNKKAFYYRETESDASV >LPERR11G18600.1 pep chromosome:Lperr_V1.4:11:19402414:19403648:-1 gene:LPERR11G18600 transcript:LPERR11G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVKPGGDFNPSHPRSFPASRTGKKTSWPAERNYYSRLCRFDREENEGGGARQRVRTGGAAAVSPPEAVPFGGCHLLSGFTGVT >LPERR11G18610.1 pep chromosome:Lperr_V1.4:11:19405757:19406268:-1 gene:LPERR11G18610 transcript:LPERR11G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRELPRNDAPKKVTTCNAAAARKERKDNASKEGNDAHMPRRRQAGQRHGKAFANASTPPIKAPFTQTTSEQSKPPHKRSKLDPTNSKDKLVAILKTPTTGRQHLQQAKVSSAPEGSPWWKPERRESTWPTTNCGPVWTSCSIPVPSQSELSSS >LPERR11G18630.1 pep chromosome:Lperr_V1.4:11:19410495:19412945:1 gene:LPERR11G18630 transcript:LPERR11G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGLGQAATVAQLVGVDVGGLISMIMQAAATARQNKKECEQLARRILLISQLLSRLQEEEVMQWRHGGLDDALRESHQLVTSCQERSAAYRLVMAGRQADKFREVHRTIDSCLLVFPIVSHIDITRRFDGNYSVQIPSDTTVPSSTSAGPQSQSQDAWNLPFVHRVQEFTLEELEAATNNFDPDKEIGRGSFGIVYIGMLPDLTEVAIKRKSYNYSNSMEYFFAEVTNLSQIRHKHIVGLLGWCNMQEECLIVYEYLRNGSLHEHLHDWQMSSSSPVMSSWKMRIETLVGISRAIEYLHDYAVQPVIHRDIKSHNIVFDATFVPRLIDFDLSLIWEESECSAVPVCGTQGYIDPEYYHTNTVTPASDIYGFGVVMLEVLTGMTSIFRQKEEEEEEEEESVIDWEDQHGGIPTSLTSFALPLIEAGKLWKMLDRRPWQEPTPRQFQAIELIAQTAVCCVRLEGNDRPTISDVVSDLKAALDLIRGDE >LPERR11G18640.1 pep chromosome:Lperr_V1.4:11:19414885:19415076:-1 gene:LPERR11G18640 transcript:LPERR11G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWAFRLICVSPPPPQPTPAAPETTISPRPPPPSAVATSTAGDHRFAAEKPDLDTTQPQIPH >LPERR11G18650.1 pep chromosome:Lperr_V1.4:11:19415921:19423427:1 gene:LPERR11G18650 transcript:LPERR11G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFIKTSMQLIGIIKERVDMFEETKADYRNALEYLNSITGNVEELKKNPMMMQDDGLVNTINNLEKIIREVYKLINSWEGYSNIFCFLYCHDLAKKLHRARNELQECLIAAEFGAKVALFSHITQDREHGLLPPQRRNRSQCAHPQDAGMVGSSSNTRSNHDYDGSDEAEQIGTVPAASNRPDWLNWLRRSTVAAATQILLLITVVAVALQPRLALPCWANVFDPQCSCLDTQNHATKRSKNDNNQMTNKQNPEVKGKTETRQQQEEHHHKNQNEHKPVMSCQEKNVVYKLVMAGRQADKFRDVQSKIDSYIIVFPFISHVDVTRRLDRIYKILLPNDNTTVPSSSEGSSHSHHLEYAEDVAQEMLPHGKEDEEFSFAELEMLPHGKEDGEFSFAELEAATNNFAPDRIIGKGGSSRVYLGRLADGREVAIKRFFAEVNNVTEFQEEYAIVSRIRHKHIIPLLGCCLEHYEKKITRSRWFWKRKVVDEPSNPLLVFDYMKNGSLDKHLHGSLSSSSPVTTSWSMRIGILLGVSQAIGYLHSHAERAPIIHRDIKPSNILLDSAWVPYLSDFGFSVTCGNGDIVDTLCTSIVIGTIGYIDPEYLITGRTNQAIDVYSFGIVMLELLTGLRARFTPEKKEGEEDAFNESLASFALPIIEAGELRKVLDRRPASEPTLRQLEALELVAQTAVCCLRLNQKDRPAILDVAAKLQVALDVIRGGE >LPERR11G18660.1 pep chromosome:Lperr_V1.4:11:19424373:19433144:1 gene:LPERR11G18660 transcript:LPERR11G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCEKTPLIHLEATYGGGEEAFLMKVVTPIYKVIEKEAERCKTMVVRQYPIQPSVYSSKHVKRQAWNRCIWEILIPMWGGVGEAAALVGGAAKLCSLINTIVGLAGKAQQNKEECGELAHRVRTIADLLPHLQDPEVMKQEETRRPLEELDETLQKALELVKSCQESSALNRLFMAGQHVEKFRNVESKIDRYLYVLHCINNIGVTRRLDRICKILIPDDTTLTSSSAESRRTHEPFTLDELKAATNKFDQNKIIGWGGRDYHRVGVYEGVLPDRREVAVKRYYDPDAYQVEEFRAAIAILSPIRHKHIIRLLGSYAVEEKRMLIYEYMKNGSLHHHLHGPSSSSPRTSPPSPVVTSWRMRIEILLGVSRALEHLHSLVPPVIHHDIKPSNILLDADWMPRLSDFGVSLTFDEANCTHENAIGTLGCTAPEHLTEGILKPSSDIYNFGVVILEVLTGKKAYFGRDGESGNHETLVSLALPKIAVRELESLLDKRPPTPATRRQYIALDLAACTAARCLQLREEDRPPISEVVADLELALELIPEELN >LPERR11G18670.1 pep chromosome:Lperr_V1.4:11:19433203:19453345:1 gene:LPERR11G18670 transcript:LPERR11G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKPLCKTLMLSIKSKASDIHDLLRMLQDTEMRIYLPSQKHKIMAAAAAIIAGKLAGTSVANATISFWIGKAFTCLTDYWKADGLDDLKGRVLQSVKKVQVVFDIVDPEYIKQQSSALDLWLWQFRDAVEAAEDVIDELHYDELRDKAKDHKVSDWGSSSAKLKRKFVKSVKHVGVMGKSVKEFTHHGTLKRLRKVLEGLEKAATEIVAILTVTQQLKDIASGSKRQVNFVNRDHDTGSTLTEPYFVGREEEKKKIIRWLTQAPVEASEIVRSTHHVPIFSVVGHGGMGKTTLAQYVCEKDEVVNNFKVIWVHVSTRFNATSVTSKLLESVTRVKPCADHLETLQQMLKQELRSVKFFLVLDDVWEDKNRKEWENIFAPLRKAESGSKILVTTRMQSVADMAANAMGVEREYLELEGLQEDENLKLFNHHVYSGRNPQDFENLKPIGEQLAKQLRGCPLVTKVVSGYLQCNMDPDSWTDFLQEGLVHFNGSEDDVMETLRLSYYCLPAQVQICFRYCSIFPQDYEFKKKDLVLMWMGSGLISQHGNKQRRIEDIGYQILAELTRKSFFEMKFKVVQYSQRREEYYVMHDLIHELAKYVSAGECTTLIDPIMLENESENIRHLRIACIDKFSTEEVKKITCFKNLRTTIIDGPGLIDKDMLGMVENAIQNSKSLRLLRSNLENTFHLPKLAELKHLRYVYLHRISLEGMRGLVKLYHLQLVDCLNDCGEELRQVMYLGNIDHLRYVNYGSRRIGEFPVGRLTSLQELHNYRVQGSKGNKISAIKNLKALRELEVCSIENVESLEEADNAKLKEKPYLNSLALTWSARADAENAKDDLILDHLEPHALIRNLKISGYCGARLPIWIENLRVKNLVSLELARCIFWEQLPSLGELECLKKLWLECLPSLRHIGQPSHLSDSNCIGSYLPPHLDTLIVRRCKELKQLPILPPSLVHMEICKVGLTEFPRIGNLHGESIETRPSKLQFISVEECESLTLPKGSLLLQIHYIRTIHVLHVSDCKELEPAPLFDEMISLRELSIRNCPKLKASNETEGKNLSPSLKMLIIKQCGDLVHLLIKSLHGLVNLSELVLENCPGLLSLPSADVFKSLKSLKFLEITGCEDLSSFGGLSSLRSLIELKIRSCSRLAAPPVLGGAASVPAKDYDVDVIEEENLVLPGSSLQIDYLEVDLACVLNIEPLSSLCHTKGLVIGGGTQLESLPEQWLLQNHKELQSLKVLCASSLESLPLSMRDLRALNFLLLSGAGKLTSLPDMPSSLQWLHVIGCCPELVTQIRVKDSPEWRKISTIHKVHIVAAKTVQSQIFDAVCRAHQGRPRRVLMIAELLPHLQDPEVIAGRAEPEIRWPLVGLDDTLREAHELVMSYQEKNTVYQLVMAGRQADKFRDIQSKINERLDRIYKVLLPSDETVLPFHLQALVFAENVAEEVVTYGEEGEKFTMAELANNNFAPDRLIGHGISKVYVGRLPDGRERTVDVLDQPTILVFEYMINSSLDDHLHGSLWSSSPVMTSWSMRMEILLGVSRAIEYLHINPEQRVIHRDIKTSNILLDEDWVPRLSDFGVSVTDKMECDYMVVGTHGYMDPEYLDGVVHESLTSFTLPIIEAGELHKPQGDRQAPGIGTNTEAARGIGTGGANGSVLSAAERKGPACHFERRGQPSGGTRSHPRR >LPERR11G18680.1 pep chromosome:Lperr_V1.4:11:19461590:19470391:1 gene:LPERR11G18680 transcript:LPERR11G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMTASFIKTTMQLIGIIKERVEMFEETKADYKNALEYLNSITGHVEELKKNPTMMQDPGLVNTISNLEKITCEIYKVINSWEGYSNIFRFLYCHDLAKKLQRVRNELQECLIAAEFGVKVALFLHITQEREHDLLPPQQRNRSQCAPPQDAGMVGSSSDTRSIHDYDDSDEAEQIGAVPAASNRPGWLSWLWRSNVAAAAQILLLITVVAVALQPRLALPCWANGFDQQCSRPHPQEALPMDLDDVLIRFVRVWGWRERADTAPAREATPKREEEGWRERAEATHARRERERAEETNARDAACEAELAWQERERAHSRETARARQERERAEEARAREAARAWQERERAEDARAREAARAWQQRERAEEARAREATRVWQEKERAEAARARETEHARQRARGKEQRRNWQWKWTRGRDQI >LPERR11G18690.1 pep chromosome:Lperr_V1.4:11:19473951:19475722:1 gene:LPERR11G18690 transcript:LPERR11G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVGADVGGLISTIIQAVETSRQNKEECDQLARRVLMIAELLPPHLPEIQRPLVGLDDTLREAHEVVMSCQEKNVVYQSVMAGRQADKFRDVQSKIDSYISHVDVTTVPSSFERYHHLEYAEDVAQAYILLPKDKEDEEFSFAELVAATNNFAPDRMIGKGSSSTVYMGRLADGREVAIKQFYKDKFQSEHERILSHIRHNDIIRLLGCCLEERHEKKITCFPWFWRRQVVEPSSNPLLVFEYMKNGSLDKHLHGSSSSSSSPVITSWRMRIEILLGVSQAIHCLHTQLDRVVIHRDIRPSNILLDEAWVPHLSDFGFSVTGGNGNVDALRETGFGVVMLELLTGLRAKFRPDKKEGEYDGFLDGLVSFTLPIIEAGKLQKVLDRRPEPKPTPRQLEAFELVAQTAVCCLRWNGKDRPAILGVVAKLQAALDLIRADD >LPERR11G18700.1 pep chromosome:Lperr_V1.4:11:19481046:19486434:1 gene:LPERR11G18700 transcript:LPERR11G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQWDGMERVATFAQLTGVDALGLISTILQAAQAVRRNKETCQELVQEIQLIRDLLRMLQDPEMMCREEIVNALSGLEGTLKEAYTLVTSCRDCSAMYRFFMGWKQADQFRRIKKKIAKHLRFYPMISHADLTRRLEKLATSAALSTCSSQDAQEVQASSSTSHPNPELRSDSKKSSNLTSLRNILLLPKSSQFDVQNHFRAAEVPHELEKGQEETQSTDNDEEHHQAGYHDATQTSSDRKSRSWWHDMIPSNKSADAAKAHIVPRAVELFTLAELAMATMNFSLDRKIGTGRFGTVYRGKLPEGHEVAIKRKTEDSGYLGMEEFRAEVTIHSLLHHKHIVHLIGCCVVEKEKRWSSLRIKVEEERMLVFEYMKNGSLSDHLHGPSTSSPSSPVTASWKMRIEILLGASRAIDYLHSYAVPPVIHRNIKPANILLDSSWVPHLSDFSLAVTCDDAEFDDIPIAGTRGYLDPEYLCTGTPKPASDVYSFGAVMLEVLSGRKPLSHWQEEDSDGDSPMDLVSDTLQLIRAGRVLDVLDRRPTEEPTIRQFEAVDLVARTAVHCLQEKGEDRPAMSDIVARLQEALEFIRCDNE >LPERR11G18700.2 pep chromosome:Lperr_V1.4:11:19482336:19486434:1 gene:LPERR11G18700 transcript:LPERR11G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQWDGMERVATFAQLTGVDALGLISTILQAAQAVRRNKETCQELVQEIQLIRDLLRMLQDPEMMCREEIVNALSGLEGTLKEAYTLVTSCRDCSAMYRFFMGWKQADQFRRIKKKIAKHLRFYPMISHADLTRRLEKLATSAALSTCSSQDAQEVQASSSTSHPNPELRSDSKKSSNLTSLRNILLLPKSSQFDVQNHFRAAEVPHELEKGQEETQSTDNDEEHHQAGYHDATQTSSDRKSRSWWHDMIPSNKSADAAKAHIVPRAVELFTLAELAMATMNFSLDRKIGTGRFGTVYRGKLPEGHEVAIKRKTEDSGYLGMEEFRAEVTIHSLLHHKHIVHLIGCCVVEKEKRWSSLRIKVEEERMLVFEYMKNGSLSDHLHGPSTSSPSSPVTASWKMRIEILLGASRAIDYLHSYAVPPVIHRNIKPANILLDSSWVPHLSDFSLAVTCDDAEFDDIPIAGTRGYLDPEYLCTGTPKPASDVYSFGAVMLEVLSGRKPLSHWQEEDSDGDSPMDLVSDTLQLIRAGRVLDVLDRRPTEEPTIRQFEAVDLVARTAVHCLQEKGEDRPAMSDIVARLQEALEFIRCDNE >LPERR11G18710.1 pep chromosome:Lperr_V1.4:11:19488582:19490971:1 gene:LPERR11G18710 transcript:LPERR11G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQWDSMGQVANIAQLTGMDGLGLISMIVQSAQAVRRNKETCQELAQDVQLMCNLLRMLRDPEMMCQEEIMNALSGLEGTLMEAYALVTSCRDCSAMYRFFMGWKQADQFRRVKKKIAKYLQFYPMISHADLTRRLEKLATGAALSVSLSQDAQEALASSSTSHTNPEARAEEVTNEFEIGQRLTQSINDEEHHQSGQPKRVLTSSASKSKSWWHEMISSKKAAAAAKAHILSSSVELFTLADLEMATMNFSLSREVSNDIHGSVYMGLLPGGREVAINRKRLYSYNQGMENFLAEVTILSLLHHRHIISLIGCCVVEIGKRRLLFGRKNKLEERLLVFEHMKNGSLFTHLHGPSTSSFSSPVTISWKTRIEILLGVSQAINYMHSCVMPPVIHCNITSSNIMLDSCWAPRLSGFHSARRYDEAAESIDPEYVYRDTPASDLYSFGIVMLEVLSGRKPCDWDELYLEDEHGDNEPMDFPSAALLFMNAGELWKILDKRPGTEPTLRQLEAADLVARTAVHCLQEKGEDIPAMSDVMARLQAALELISCDDE >LPERR11G18720.1 pep chromosome:Lperr_V1.4:11:19493291:19506104:1 gene:LPERR11G18720 transcript:LPERR11G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGHAATVAQLVGVDVGGLVSMIIQSAVTARQNKEECQLLARRVLLISQLLSQLQEAEVVQWRMAGLDDALRESHELVVSCQDRRMAYRLVMAGRQADKFREVQRRIDSCLLIFPIVSHIDITRRFDGNYNVPIPSGGTTMPSTSAGHGSQFQTAWKVPYAHGIQEFTFKKLAAATKNFAPDTMINEGSFGMVHKGCFSGGKVMAIKRRSKYSMFGEEEFQAEVTILSSIRHKHIVRLLGWCMVEEEKLLLPFMERKEMERILIYEYMENGSLFDHLHSPKWSSSPVRASWKMRIKTLLGVSQAIEYLHVYALPPVIHRDIKASNIMFDSTWAPRLIDFGLSLTWDETECSGICVKATTGYADPEYMTTGNLKPASDVYSFGVLMLEVLIGREPFFYWEDGEENNSTPYCREYLVDFALSLIEAGEVQKLLDKRQATEPTLRELEAVNLVAQTAARCLQLEGKERPAISEVAANLQAALELVERIFKAQAGELNPRDFTEVNRECRVFKLPTSRQLNEDIFYFPERHPPPSPLSIHLLARSKNKIQVNSGLAMALWGGLGQAATVAQLVGADVGSLISIIMQAAVTARQNKKECEQLARRVFMIAELLPHLQDPEVMCRPEIRRPLVGLDDTLREAHELVMSCQEKSVVHRLVMAGRQAEKFREVQSRIDSYLLVFPFISHIDITRRLDRIYKVLLPNDVTPPSQVESSPTHELEFAENLAEEVAAAHGEDGEKFTMAEIEAATNNFAQLIGRGGVSNVYMGRLPDGLEVAIKIFTDCDSSNEEFVAERTILTQIRHKHIIRLIGCCLERQRYKKEMKRSRWFWKKKIMDVVEPVLEPPILVFEHMINSSLDKHIHGSLSSSSPVMTSWSMRMEILLGVSRAIEYLHTHTERPVIHRDIKLSNILLDKAWVPRLSDFGLAFAWDEMECSDLPLSGTPGYCDPEYFATNIAKPAIDLFSFGVVMLEVLTGMKPIFRRKEEEDDDEEEDEDEISVFHLEEGGIPTSLASFAVPLIEAGKLWKLLDRRPTAEPTQRQLQAADLVAHTAARCVHFEGKERPVISEVVASLQEALELVRGDGGLEAKSKSMVRVLLSVK >LPERR11G18720.2 pep chromosome:Lperr_V1.4:11:19493291:19506104:1 gene:LPERR11G18720 transcript:LPERR11G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGHAATVAQLVGVDVGGLVSMIIQSAVTARQNKEECQLLARRVLLISQLLSQLQEAEVVQWRMAGLDDALRESHELVVSCQDRRMAYRLVMAGRQADKFREVQRRIDSCLLIFPIVSHIDITRRFDGNYNVPIPSGGTTMPSTSAGHGSQFQTAWKVPYAHGIQEFTFKKLAAATKNFAPDTMINEGSFGMVHKGCFSGGKVMAIKRRSKYSMFGEEEFQAEVTILSSIRHKHIVRLLGWCMVEEEKLLLPFMERKEMERILIYEYMENGSLFDHLHSPKWSSSPVRASWKMRIKTLLGVSQAIEYLHVYALPPVIHRDIKASNIMFDSTWAPRLIDFGLSLTWDETECSGICVKATTGYADPEYMTTGNLKPASDVYSFGVLMLEVLIGREPFFYWEDGEENNSTPYCREYLVDFALSLIEAGEVQKLLDKRQATEPTLRELEAVNLVAQTAARCLQLEGKERPAISEVAANLQAALELVERIFKAQAGELNPRDFTEVNRECRVFKLPTSRQLNEDIFYFPERHPPPSPLSIHLLARSKNKIQVNSGLAMALWGGLGQAATVAQLVGADVGSLISIIMQAAVTARQNKKECEQLARRVFMIAELLPHLQDPEVMCRPEIRRPLVGLDDTLREAHELVMSCQEKSVVHRLVMAGRQAEKFREVQSRIDSYLLVFPFISHIDITRRLDRIYKVLLPNDVTPPSQEFAENLAEEVAAAHGEDGEKFTMAEIEAATNNFAQLIGRGGVSNVYMGRLPDGLEVAIKIFTDCDSSNEEFVAERTILTQIRHKHIIRLIGCCLERQRYKKEMKRSRWFWKKKIMDVVEPVLEPPILVFEHMINSSLDKHIHGSLSSSSPVMTSWSMRMEILLGVSRAIEYLHTHTERPVIHRDIKLSNILLDKAWVPRLSDFGLAFAWDEMECSDLPLSGTPGYCDPEYFATNIAKPAIDLFSFGVVMLEVLTGMKPIFRRKEEEDDDEEEDEDEISVFHLEEGGIPTSLASFAVPLIEAGKLWKLLDRRPTAEPTQRQLQAADLVAHTAARCVHFEGKERPVISEVVASLQEALELVRGDGGLEAKSKSMVRVLLSVK >LPERR11G18730.1 pep chromosome:Lperr_V1.4:11:19499703:19501365:-1 gene:LPERR11G18730 transcript:LPERR11G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHRLEEEPSCRPAITNRCTTLFSWQDITSSCASRSVSSSPTNGRRISGRHITSGSCRCGSSSAIMNTRRASCSHSFLFCLAVTAACMMMEMRLPTSAPTSCATVAACPSPPHSAMARPELTWILFLLLANKWIDKGEGGGCLQRLEKSLLFAFKPSPARVLRWDPMDDEAAAWARSPPALVDPVVYLEVDDGTVAPFGLFESSPGPSAQPSCSQHPVSCGKLSRMALLVSGLSDPAQFSFSPARSSTA >LPERR11G18730.2 pep chromosome:Lperr_V1.4:11:19499703:19501403:-1 gene:LPERR11G18730 transcript:LPERR11G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLMSRGAFNLRWRCHIVWKKNLVDSIETTSDVNVADEGKDEEDITSSCASRSVSSSPTNGRRISGRHITSGSCRCGSSSAIMNTRRASCSHSFLFCLAVTAACMMMEMRLPTSAPTSCATVAACPSPPHSAMARPELTWILFLLLANKWIDKGEGGGCLQRLEKSLLFAFKPSPARVLRWDPMDDEAAAWARSPPALVDPVVYLEVDDGTVAPFGLFESSPGPSAQPSCSQHPVSCGKLSRMALLVSGLSDPAQFSFSPARSSTA >LPERR11G18740.1 pep chromosome:Lperr_V1.4:11:19517303:19523280:1 gene:LPERR11G18740 transcript:LPERR11G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEATAPAVPSAISPELLMAARGGKWDKLDELLSASTLPVVVNIEGTPPANPRPTVAAGLPLGLDSILHVVASSGDDANFLKSADVIHTKDKELLYALNSNGDTPLQCAARAGNIKMVSHLINHAINHGGNARLKEMLKNQNGKRTATADSPRPVPGDTALHDALRLADQKIRKEMVDKLLTFNVELASIESTDGTSPLYLAVMLEHYDIAKALYQKNKRLSYSGPDRQNVLHAAVLRNHDMTRNLLKWNMDLTKQRDGTMGSTPLHFAATWGKKYGWVVKLLLEKDESSAFQSDDKGSFPIHAAAVNMSPSIVQIFLKRHPSCGKLQDANGRTFLHIAVKEERTFLVKYACWREKPDMTAVLNIQDNAGNTALHLAAKLSNQWIFYFLIQNPHVQLNLVNKKGQTPLDIAWKRRPQGIIYVLDPRVRIHLLLKGAGAKTGSYKRDWFLDKHVRDKVDLSKLDKMITDSIQIIGVGSVLIVTVTMAAAITMPGGFRAAEDRYKGAAMLSDSTVFQLFIVANTVALVCSGLATMNVMFAGVATVDIRTRMSNFLLSILFLYCSSKALVASFLFGLYAVLPPTAMKIAYISSAIAAPFLVLDVLWFIFAVAFGEAMLFRRLGCIKWLRTLSFTRFPNFILEIKDVLEMPLPHKNKAVNSEVQGSGSDKEEKGLTAGRPGN >LPERR11G18750.1 pep chromosome:Lperr_V1.4:11:19528442:19528651:1 gene:LPERR11G18750 transcript:LPERR11G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRGLFHSDSSLLADEFTAGYVRRQATGMYVTEFFRDFAGSMVRMGGVGVLTGGQGEIRKKCYAIN >LPERR11G18760.1 pep chromosome:Lperr_V1.4:11:19541672:19542781:1 gene:LPERR11G18760 transcript:LPERR11G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKRKDCDRLARHVLMIAELLPYLQHEELMERPEVWKPLWAINDTLREAQGLVTSCKGRERSAMVRWLTDSNSSISEIQSRFRDIESKIIKEYLLVFPFLSHIDITRRINRIYLMLIQTDRMKVQSLPASSQSNPLQSQEVSEDVLLSHGGEGEEFTLAELMAVTKNLARHSMHGRVYKGWLPDRRVLAIKKFRNGHAKEFLTELNILSTLHHEHGRE >LPERR11G18770.1 pep chromosome:Lperr_V1.4:11:19542813:19557203:1 gene:LPERR11G18770 transcript:LPERR11G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSMIVYEYTKKGSLKGHLHGPPSSASSPVTMSWKTRIEILVGVSRAIEYLHSSQPPVIHRNIKPCNILLDSNWALHLSGFDLAVRYYGEAMHFTDQVNIKAMAYVDPEDMVWVDLKMDVYSFGVVMLEVLTGKGVLHRPSQEERMVRLVDLTLPLIEAGEIGRFLDRRPAAQSTPRQLKAVNLVARTAVGCVQGNREDRLAMSDVVANLQAALELVSCDGPTGEMELLELAANVAQLTGVDLSRLIRMVVWMVKTVCRNGDECCRQIEERAERLAGELRKLQESEMEDQLEEEWKSLEGKPSLRRAHELVAACQQGSNYLRRFCLRKDDLADQRKELDYYLQQLQLFQRYTVTNDEQTHLLNGTRHHVFNQDGAQLPEELPTSHSDRNASDAMAYTLLKDVTQNRHDWTVLVRLARLWEYADYADKSNVLHLDFVMVDKKGTAMEGTVPKYLLPQFSPLLKEGSVYYISKFEVADAKQKYRAVDAVLMARLTKFSIVEEVTPQPQDLPVYVYTATPFTTLPDRISKTELLTGNQQIAVCLWGSHANAFHVDGTHLTSNEGPTTILFVGMIVTTTQSGRLTLQSTSATKWYINVLIPEVRSLRASVGTQSHQLQWQENPVGRPDPIEASLTELVRVIPNDAIGTYYKVDIFIKDFVPNKPWSYLGCSSCSNRTFRDGDGYKCPSCSVRKAEPMTIPDTSAATLNILFPHFPYRYMITVQAIDRASINMPDAPVANFIFFNEIGQNLVGRPAALFIPDAGGQSTYIPTELKDLIGRRYTVIAKISPSSIQDEYLTFYVREAEEHNANLAMSTHPSVDEASTSVTNAAVSAPAVGGIGAATTMTPALLPNTELAGLTPSTSTLPGTEELYSPIEEAQARLLGTLFLLSKLTRQRIELPNAAFTHSLHLVTTQKRISLLCFVLYLYVLHSTYHPVSVIV >LPERR11G18770.2 pep chromosome:Lperr_V1.4:11:19542813:19557203:1 gene:LPERR11G18770 transcript:LPERR11G18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSMIVYEYTKKGSLKGHLHGPPSSASSPVTMSWKTRIEILVGVSRAIEYLHSSQPPVIHRNIKPCNILLDSNWALHLSGFDLAVRYYGEAMHFTDQVNIKAMAYVDPEDMVWVDLKMDVYSFGVVMLEVLTGKGVLHRPSQEERMVRLVDLTLPLIEAGEIGRFLDRRPAAQSTPRQLKAVNLVARTAVGCVQGNREDRLAMSDVVANLQAALELVSCDGPTGEMELLELAANVAQLTGVDLSRLIRMVVWMVKTVCRNGDECCRQIEERAERLAGELRKLQESEMEDQLEEEWKSLEGKPSLRRAHELVAACQQGSNYLRRFCLRKDDLADQRKELDYYLQQLQLFQRYTVTNDEQTHLLNGTRHHVFNQDGAQLPEELPTSHSDRNASDAMAYTLLKDVTQNRHDWTVLVRLARLWEYADYADKSNVLHLDFVMVDKKGTAMEGTVPKYLLPQFSPLLKEGSVYYISKFEVADAKQKYRAVDAVLMARLTKFSIVEEVTPQPQDLPVYVYTATPFTTLPDRISKTDQQIAVCLWGSHANAFHVDGTHLTSNEGPTTILFVGMIVTTTQSGRLTLQSTSATKWYINVLIPEVRSLRASVGTQSHQLQWQENPVGRPDPIEASLTELVRVIPNDAIGTYYKVDIFIKDFVPNKPWSYLGCSSCSNRTFRDGDGYKCPSCSVRKAEPMTIPDTSAATLNILFPHFPYRYMITVQAIDRASINMPDAPVANFIFFNEIGQNLVGRPAALFIPDAGGQSTYIPTELKDLIGRRYTVIAKISPSSIQDEYLTFYVREAEEHNANLAMSTHPSVDEASTSVTNAAVSAPAVGGIGAATTMTPALLPNTELAGLTPSTSTLPGTEELYSPIEEAQARLLGTLFLLSKLTRQRIELPNAAFTHSLHLVTTQKRISLLCFVLYLYVLHSTYHPVSVIV >LPERR11G18770.3 pep chromosome:Lperr_V1.4:11:19542813:19557203:1 gene:LPERR11G18770 transcript:LPERR11G18770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSMIVYEYTKKGSLKGHLHGPPSSASSPVTMSWKTRIEILVGVSRAIEYLHSSQPPVIHRNIKPCNILLDSNWALHLSGFDLAVRYYGEAMHFTDQVNIKAMAYVDPEDMVWVDLKMDVYSFGVVMLEVLTGKGVLHRPSQEERMVRLVDLTLPLIEAGEIGRFLDRRPAAQSTPRQLKAVNLVARTAVGCVQGNREDRLAMSDVVANLQAALELVSCDGPTGEMELLELAANVAQLTGVDLSRLIRMVVWMVKTVCRNGDECCRQIEERAERLAGELRKLQESEMEDQLEEEWKSLEGKPSLRRAHELVAACQQGSNYLRRFCLRKDDLADQRKELDYYLQQLQLFQRYTVTNDEQTHLLNGTRHHVFNQDGAQLPEELPTSHSDRNASDAMAYTLLKDVTQNRHDWTVLVRLARLWEYADYADKSNVLHLDFVMVDKKGTAMEGTVPKYLLPQFSPLLKEGSVYYISKFEVADAKQKYRAVDAVLMARLTKFSIVEEVTPQPQDLPVYVYTATPFTTLPDRISKTELLTGNQQIAVCLWGSHANAFHVDGTHLTSNEGPTTILFVGMIVTTTQSGRLTLQSTSATKWYINVLIPEVRSLRASVGTQSHQLQWQENPVGRPDPIEASLTELVRVIPNDAIGTYYKVDIFIKDFVPNKPWSYLGCSSCSNRTFRDGDGYKCPSCSVRKAEPMYMITVQAIDRASINMPDAPVANFIFFNEIGQNLVGRPAALFIPDAGGQSTYIPTELKDLIGRRYTVIAKISPSSIQDEYLTFYVREAEEHNANLAMSTHPSVDEASTSVTNAAVSAPAVGGIGAATTMTPALLPNTELAGLTPSTSTLPGTEELYSPIEEAQARLLGTLFLLSKLTRQRIELPNAAFTHSLHLVTTQKRISLLCFVLYLYVLHSTYHPVSVIV >LPERR11G18770.4 pep chromosome:Lperr_V1.4:11:19542813:19557203:1 gene:LPERR11G18770 transcript:LPERR11G18770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSMIVYEYTKKGSLKGHLHGPPSSASSPVTMSWKTRIEILVGVSRAIEYLHSSQPPVIHRNIKPCNILLDSNWALHLSGFDLAVRYYGEAMHFTDQVNIKAMAYVDPEDMVWVDLKMDVYSFGVVMLEVLTGKGVLHRPSQEERMVRLVDLTLPLIEAGEIGRFLDRRPAAQSTPRQLKAVNLVARTAVGCVQGNREDRLAMSDVVANLQAALELVSCDGPTGEMELLELAANVAQLTGVDLSRLIRMVVWMVKTVCRNGDECCRQIEERAERLAGELRKLQESEMEDQLEEEWKSLEGKPSLRRAHELVAACQQGSNYLRRFCLRKDDLADQRKELDYYLQQLQLFQRYTVTNDEQTHLLNGTRHHVFNQDGAQLPEELPTSHSDRNASDAMAYTLLKDVTQNRHDWTVLVRLARLWEYADYADKSNVLHLDFVMVDKKGTAMEGTVPKYLLPQFSPLLKEGSVYYISKFEVADAKQKYRAVDAVLMARLTKFSIVEEVTPQPQDLPVYVYTATPFTTLPDRISKTDQQIAVCLWGSHANAFHVDGTHLTSNEGPTTILFVGMIVTTTQSGRLTLQSTSATKWYINVLIPEVRSLRASVGTQSHQLQWQENPVGRPDPIEASLTELVRVIPNDAIGTYYKVDIFIKDFVPNKPWSYLGCSSCSNRTFRDGDGYKCPSCSVRKAEPMYMITVQAIDRASINMPDAPVANFIFFNEIGQNLVGRPAALFIPDAGGQSTYIPTELKDLIGRRYTVIAKISPSSIQDEYLTFYVREAEEHNANLAMSTHPSVDEASTSVTNAAVSAPAVGGIGAATTMTPALLPNTELAGLTPSTSTLPGTEELYSPIEEAQARLLGTLFLLSKLTRQRIELPNAAFTHSLHLVTTQKRISLLCFVLYLYVLHSTYHPVSVIV >LPERR11G18800.1 pep chromosome:Lperr_V1.4:11:19611164:19616810:-1 gene:LPERR11G18800 transcript:LPERR11G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIMMVEENGMQAPSVTPMANSSCWVLEMEKMIGETTDGLGRPERPSIYRVPEYVKKMTNPEAYRPRLVSLGPFHHGDPTLVSMEVHKRRAMANMVKRSGKPLSEFLATVEEVADQLRGAYENLDEGWRGERFVQLMVTDGCFMLEVMRLCRLEGKVEQYYGPDDPVFSEHGYLYLKKDIISDMLVMENLLPLLLLKKLLHIAGCDKDDQHINKRVLDVLLSCAVTVQSPVDDHLGLHPLDVLHKSVRGARPQHSKPLTEVPHMPSAAEIRESGIHFKKSEATGFEGAVKFEGGILSVPPMLFKDEAERMFLNLMAFERLHPTAGNDVTTFVYFMDHLVKTASDVRLLRSKEIIDYRLGSDEAVANLINNTLSKGVVICKDSNLNDVIREVSAYCKNPWNGWWANLIHTHFSSPWVFISLVAATLLLTAAVMQTIYTAMAFYKNMS >LPERR11G18810.1 pep chromosome:Lperr_V1.4:11:19621188:19623050:-1 gene:LPERR11G18810 transcript:LPERR11G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLSQDDFINPLKPFQDLVHEAVYLLLTGRSGILLDMLQDLVKQIVNRLLKYPMDMFNLGGNHINISRREHLINIGHKHLINDLSEFFNLPLSQEAYDQHQQLLELIQGVNLTVEKDKWSYCARYQLMKADCKRQSNFGVIKARKKVILKHEFA >LPERR11G18820.1 pep chromosome:Lperr_V1.4:11:19642443:19642946:1 gene:LPERR11G18820 transcript:LPERR11G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVDYAGSARGLIKTIMATVQKVKRNKKQCRELEDRVRMVFIVLDRHEKTSFDKMRQLPGAREAMDGLNGVLQEAHKLAVSFQSKKRRCRRVLPWVRWMFNAETDAERLADVLSKIDFYLSLYPPIAHADTARRLDQLLWTTAAGVIVSVVAFSGFVLVSMLSRK >LPERR11G18830.1 pep chromosome:Lperr_V1.4:11:19644487:19644893:-1 gene:LPERR11G18830 transcript:LPERR11G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSSSSSLVAAADAQWSSSCSSRRGAAVGSSRRLRRGALAAAGQICRCGCSRVARPCLHPSSAASSSRGCHRHRHRRRRRFFVQRPQEREESAERGEGACVRERS >LPERR11G18840.1 pep chromosome:Lperr_V1.4:11:19646264:19646653:1 gene:LPERR11G18840 transcript:LPERR11G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVFIVLNRHENTSFDKMRQLPGAKEAMDGLNGVLQEAHDLAVSFQSKKRCHRRVLPWVRWMLSAETDAERLADVLSKIDFYLSLYPPIAHADTAHRLDQLLWTTATGVIVSVVAFSGFVLVSMLSRK >LPERR11G18850.1 pep chromosome:Lperr_V1.4:11:19658399:19658590:1 gene:LPERR11G18850 transcript:LPERR11G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGRGGGNSSTGGDRGGGGEADIVSLLNKAKELDQVSKEQDEVLTEINKMHKKILSCE >LPERR11G18860.1 pep chromosome:Lperr_V1.4:11:19660670:19661724:-1 gene:LPERR11G18860 transcript:LPERR11G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCRECNNILYPKEDREQKILLYACRSCDHQEVADSYCLYRNVVHHAAEEFTQVLEDVASDPTLPRTNSVRCAACGHGEAVFFQAAARGEEGMSLFFVCGNPSCGHRWRE >LPERR11G18860.2 pep chromosome:Lperr_V1.4:11:19660672:19661893:-1 gene:LPERR11G18860 transcript:LPERR11G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCRECNNILYPKEDREQKILLYACRSCDHQEVADSYCLYRNVVHHAAEEFTQVLEDVASDPTLPRTNSVRCAACGHGEAVFFQAAARGEEGMSLFFVCGNPSCGHRWRE >LPERR11G18870.1 pep chromosome:Lperr_V1.4:11:19664237:19667731:1 gene:LPERR11G18870 transcript:LPERR11G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVHKLPVGRRGLAVAASERSDGSVRRRSIAHGSLRRRGAPSTACGLFLFLELNRASHPLSFSSIWDTQPYSHGFRSHHASDKSNTAAWSTEGDAGAIGVG >LPERR11G18870.2 pep chromosome:Lperr_V1.4:11:19664366:19667731:1 gene:LPERR11G18870 transcript:LPERR11G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVDSSWLPSPPRCSIDGVWSLPLPRAQPSIDLLQTMGSSGKAQLSHHASDKSNTAAWSTEGDAGAIGVG >LPERR11G18880.1 pep chromosome:Lperr_V1.4:11:19680143:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDRDEDDVLMSLTNRPHPQARFQKFSFSQLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNVAGSIGFSAPEYMHRGIFSVKTDVYSFGIMAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANDSRL >LPERR11G18880.2 pep chromosome:Lperr_V1.4:11:19680143:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDRDEDDVLMSLTNRPHPQARFQKFSFSQLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGQRLPEYMNENELFKNEIKILPELQHRNIAKLVGFCTEQSERAMVYKCMENGSLENIIFGITFFLISSYFNDKLMVAILQAFAGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNVAGSIGFSAPEYMHRGIFSVKTDVYSFGIMAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANDSRL >LPERR11G18880.3 pep chromosome:Lperr_V1.4:11:19680143:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGQRLPEYMNENELFKNEIKILPELQHRNIAKLVGFCTEQSERAMVYKCMENGSLENIIFGITFFLISSYFNDKLMVAILQAFAGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNVAGSIGFSAPEYMHRGIFSVKTDVYSFGIMAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANDSRL >LPERR11G18880.4 pep chromosome:Lperr_V1.4:11:19680472:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDRDEDDVLMSLTNRPHPQARFQKFSFSQLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGQRLPEYMNENELFKNEIKILPELQHRNIAKLVGFCTEQSERAMVYKCMENGSLENIIFGITFFLISSYFNDKLMVAILQAFAGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLMDSVLQNICIEAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANG >LPERR11G18880.5 pep chromosome:Lperr_V1.4:11:19680472:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDRDEDDVLMSLTNRPHPQARFQKFSFSQLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANG >LPERR11G18880.6 pep chromosome:Lperr_V1.4:11:19680472:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDRDEDDVLMSLTNRPHPQARFQKFSFSQLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGQRLPEYMNENELFKNEIKILPELQHRNIAKLVGFCTEQSERAMVYKCMENGSLENIIFGITFFLISSYFNDKLMVAILQAFAGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANG >LPERR11G18880.7 pep chromosome:Lperr_V1.4:11:19680472:19684169:-1 gene:LPERR11G18880 transcript:LPERR11G18880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVLMAANIAQLTGVGALPLITMIVEAAKAARRNEKTCLELAQLVEQVGELLRALQEQPGETLRRAYELVASCRRGSYPRRFCAGKDQAGSLRDLVHATNDFSLDGQLEQGTLAILYKGKLHGNDVTIKRLSVSTSGQRLPEYMNENELFKNEIKILPELQHRNIAKLVGFCTEQSERAMVYKCMENGSLENIIFGITFFLISSYFNDKLMVAILQAFAGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELAEMLSMGTDEQKTDNAWSCCSLYAGRRLKDLINPSLHGVSSISRALPHCLSFPARRRALSQQREMQRCVRVALLCIQEKPKRRPAMVEVVHMLRQRRKDTPPLPGRSRFTTASTSRAANG >LPERR11G18890.1 pep chromosome:Lperr_V1.4:11:19690949:19705967:1 gene:LPERR11G18890 transcript:LPERR11G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKRPAPDDGVALVPASKRLNADAAAAQEQDGGIVVFSGGGGCVATTTPTVGKRQLRPSMLVLFFVAQVKEELRYNRRLRRVIREENAISQKRTLEVFQKSLDNACQSLVNHINCSLQSLTNRTDILCHEVEQLKRSNFNQRSRSEANQEHAAVIDEVNQEQTGVRFATCESQGQVFQLRFLNKLNPLVYTKDKITAEDGAAIKIAIFQNNQIVKSGPLSSARIEILALEGDFINVVPDNWTECQFDRRIASFPQGPVLGGLCQIKLQNGEASSSEISFNVPSSKTASGKFILAARVHSSDKPGFRIMEALMNPVVVQVYRNKLNRNSDCPKLKDEVHRLKGISRNGCRAKWLKDNQINTVEEFIKALNKDEEKIRNECFKLKKGNKDWKDTVKHARECDLEGNCKLKSFRVEEEHIELFFDCVHNLIGAQFRECYVAKDDFSSVQQDEVSCLKKQAYDRLDKTKFDHEMKDNYPVPLSSAMNTSIGGGASIPFRDITGPNPPHFYVAYQGDVTQMGLQHSQVGISSMGQASEPPLEIPESTPTGVNNTIPTNVPQDVSDEIYAELFAIVNYARLNP >LPERR11G18890.2 pep chromosome:Lperr_V1.4:11:19689933:19705967:1 gene:LPERR11G18890 transcript:LPERR11G18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCLGHERKVFVQMRSTVQAGGNQSDMVIEVCCCLGFAANVAQLTGLDVAGLVMEIKKRLETVHQNKEDCELLAERADLILDLLRRLQKSKIIQDPDMWKPTERLKSTLRKACEVIEFCQEKSCAYHFCKGSYIANELSMVLRDLEFYVVHLTALTAIIKSDQTTRYFLIQQTPDVVTLPDGVQVPVVLGVPAHHFEHNDHNYREETTDKPVEAQLVTRPSNINEFHLPVLHNIAEEISEENQRSGCCWWCPWKSIASASNAGMLIAKKETGLKRFTFSQLEVATDNFSLGNQIGVGAFSIVYRGRLNEGLQIAVKRASYVGKTPFHQLENELDLIPKLQHTNIVKLLGYCIQKRERILVFEYMPLRSLDSFITGERAAREPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDAALKPKICDFGISKALKADADKDCTDTVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIISGKHISPSTLVLSDESRNYGPLNKWAWHLWQDGNLTEFIDSSLHDETHATEIKRWVQIALLCVQQSSEERPSMWDVLLMLSCDSLILPDPQLPAYY >LPERR11G18900.1 pep chromosome:Lperr_V1.4:11:19706859:19714470:1 gene:LPERR11G18900 transcript:LPERR11G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDAAQTAKRNRETCQKLARHHPETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQVHQLREVQGDITFYLQLFPLVSFVDTTRTWERLLTRAHPLCIKDSTNQLHTVHHSEHGISTEIIKATKSGDQGVTQVSRNEKKRTSLNWSKRLKIIEGMSNGLLYLHNHSDMCIVHRDIKASNILLDHEMNAKISDFGLARKLAPNATAEVLVQGTWGYADPEYVATGIISEKTDVYSFGIVLLEIISGKLCLSGSNMKGKSHQAIFPEFALKNRKKLHKLVDPSLIGGKKHERAQIMQCLRVAMLCIRARAEHRPIMSEVVTMLPSSKTPNDDRKREKDEIETTINSLGRPDHLSPNGKAADR >LPERR11G18900.2 pep chromosome:Lperr_V1.4:11:19706859:19714470:1 gene:LPERR11G18900 transcript:LPERR11G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDAAQTAKRNRETCQKLARHHPETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQVHQLREVQGDITFYLQLFPLVSFVDTTRTWERLLTRAHPLCIKGKLPNGLEIAVKRHDNSSHQGAAEFMAEIDVIPKLRRKNIIQLIGFYAQGKECILVYEYISNGCLASIIHEKKRTSLNWSKRLKIIEGMSNGLLYLHNHSDMCIVHRDIKASNILLDHEMNAKISDFGLARKLAPNATAEVLVQGTWGYADPEYVATGIISEKTDVYSFGIVLLEIISGKLCLSGSNMKGKSHQAIFPEFALKNRKKLHKLVDPSLIGGKKHERAQIMQCLRVAMLCIRARAEHRPIMSEVVTMLPSSKTPNDDRKREKDEIETTINSLGRPDHLSPNGKAADR >LPERR11G18900.3 pep chromosome:Lperr_V1.4:11:19706859:19714470:1 gene:LPERR11G18900 transcript:LPERR11G18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDAAQTAKRNRETCQKLARHHPETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQVHQLREVQGDITFYLQLFPLVSFVDTTRTWERLLTRAHPLCIKGKLPNGLEIAVKRHDNSSHQGAAEFMAEIDVIPKLRRKNIIQLIGFYAQGKECILVYEYISNGCLASIIHEKKRTSLNWSKRLKIIEGMSNGLLYLHNHSDMCIVHRDIKASNILLDHEMNAKISDFGLARKLAPNATAEALKNRKKLHKLVDPSLIGGKKHERAQIMQCLRVAMLCIRARAEHRPIMSEVVTMLPSSKTPNDDRKREKDEIETTINSLGRPDHLSPNGKAADR >LPERR11G18910.1 pep chromosome:Lperr_V1.4:11:19731986:19735451:1 gene:LPERR11G18910 transcript:LPERR11G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWNGLGQVASITQLAGVDAYGLIKMIVDAAQTAKRNRDTCQKLARRIKMIGNLLQQLQSTELMQHPETRNPVKQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLHEVQTDITFYLQLFPLVSFIHTTRTWERLLTRAHPLCIKDSTNQLHTVHQSEHGIRDIGVLVDEKKRTSLNWSKRLKIIEGISDGLLYLHTHSDMCIVHRDIKASNILLDHEMNAKISDFGLARKLAPNATAEVLVQGTWGYADPEYVATGIISDKTDVYSFGIVLLEIALKNRKKLQKLVDPSLIGGKKHERAQIMQCLRVAMLCIRARAEHRPTMSEVVTMLPSSKTPNDNRKTYLSGRERTWMHGCLPLYRPV >LPERR11G18910.2 pep chromosome:Lperr_V1.4:11:19731986:19735451:1 gene:LPERR11G18910 transcript:LPERR11G18910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWNGLGQVASITQLAGVDAYGLIKMIVDAAQTAKRNRDTCQKLARRIKMIGNLLQQLQSTELMQHPETRNPVKQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLHEVQTDITFYLQLFPLVSFIHTTRTWERLLTRAHPLCIKDSTNQLHTVHQDIGVLVDEKKRTSLNWSKRLKIIEGISDGLLYLHTHSDMCIVHRDIKASNILLDHEMNAKISDFGLARKLAPNATAEVLVQGTWGYADPEYVATGIISDKTDVYSFGIVLLEIALKNRKKLQKLVDPSLIGGKKHERAQIMQCLRVAMLCIRARAEHRPTMSEVVTMLPSSKTPNDNRKTYLSGRERTWMHGCLPLYRPV >LPERR11G18920.1 pep chromosome:Lperr_V1.4:11:19736333:19744240:1 gene:LPERR11G18920 transcript:LPERR11G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVETMALWVAIMTLGAQIKGMAGTGKREKENVRLLREQADNTRKMLKLLEDKLLEDKQKPMPDPALNGVLTGLRGALNDISSSSEKKTASELHDLDQRISSVLQQYHYYHVTNNIHRQAPQNMMQPPVPQNMMPYHTTNNIDTTGHWAHVVREIVEHARAIMEGAWHANHNMEEVLHVAQLAQQVADLMGDSHAIILQLMRDPGTSWPLLNHDLRNALLDARWIVWYSQWYHLGTMPLPSAPPQAGPSATSFAGGRPPTMALWVSVVALGARIKAMVGASKREREKVRLLKEQAENTRKILKLLEDKLLEDKQRPTSDPEINGLLTGLRGALDDISSSPEKKASELHDLDQQISSVLQQYHYYHVTNNIHRQPPQNMTTQPSAPPNMMPYYTPNNIDTTGHCAHVVREIVEHARAIMEGAWHVSHNMEEVLRVAQLAQLAQQVADLMEDSHAITMQRLIRDPETSWPLLNHDLRNALLDARWIVWCSQWYHLSTMPLPSAPPQAGPSSASFAGGYPPQLQLQPAQILNEVVKKIEFCLQVLPAIG >LPERR11G18930.1 pep chromosome:Lperr_V1.4:11:19746451:19751200:1 gene:LPERR11G18930 transcript:LPERR11G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSSLGQAANIAQLSGLDAFGLTTMIMQAVHKVRRNRRACNQLSQRVQMIADLLQSMQSSEMMQRPETRKPINGLEETLRRAYILITSCQNSSSMYLFFMGEKVTNQFSEVQNNVDFYLQLFPVLSHIDTTRLLVRVLNGVHPPQQENAARLMKSPTSHSNPDSRTEMSGALERVQAVTEPFEVMVRRITGFTKFSFSQLANATNNFAYENRIGLGGFSTVYKGLLHDGRQVAIKKRFKVPAKLDFQDLDFHNEIQSIMKLQHTNIIKLLGCCFHGREKLLVYEYMLNGSLESFIFGSRTRAMVDWPVRYKIIEGIAQGVVYLHKQCGLHIIHRDLKPSNILLDSDMNPKISDFGLSKILNPGVDELFEENVFGTPGFIAPEYREKGIFSAKSDVYSFGALLLQIISGKRFCPISSGPRDYGPLNTWVWDMWTDGRLVEFIDPLLCNEPQIAEIMRCIQIALLCIEENQVDRPTMWDVVLMLSCENVTLPVPRQPAYYKKDAIGTDQSQTADIAMVPQPESS >LPERR11G18940.1 pep chromosome:Lperr_V1.4:11:19756454:19760779:1 gene:LPERR11G18940 transcript:LPERR11G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAASMAAELIMKIVEAAKTARQNKKTCVELAQLVEQVGELLRALQEQPGVTVMERPETRAPLMELEETLRQAYELVESCRRGSYLRRFCAGKDQAGSLRDVQSRISIYLQLFPIRDEDEMLMSLTNRCPRGQARSLSLPLFQKFSFSQLAHATNYFSLDAQLEQGTLAILYKFIWPGVSFNLFNTPFQGKLHGNDVTIKRLSVSTSGPASARSMLDWSRRFRIIEGIAHGVAYLHNYSRVRVVHRDLKPSNILLDSDMNPKISNFELADMLSLGTDEQKTDNVAGSIGFSAPEYMHEGIFSVKTDVYSFGIMVLEIISGKRWTQHNQIRFYRDLLTWIVE >LPERR11G18950.1 pep chromosome:Lperr_V1.4:11:19766690:19771385:-1 gene:LPERR11G18950 transcript:LPERR11G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQQIGADIGDLISMIIEAVAMARRNREECELLACRMLMINDLLLHLQDDPKQPDQVVTEQTLAGLAQTLREVHRLVTYCQRRNAVYRFFMAGSLANKFRDVQSRIDWYLNLFPFISHIDITRRLVVRLVRLRIRGATLVYESDTPSPSAGFPSIVCNIRFHFAHVLDAANIARKESHKVQEFTFQELVEATNNFHRVIGRGDFSRVCKGRLPDGRKVVVKRKNVDSGIEDEFLAEVTLLSQLSHKHIIRLLGWCVDVSEEEEDNGDQGQLLVMEYMKNGSLHDHLHNPQPEWSKSLVRASWKTRIDILLGVSRAIEYLHSYAELPVIHHDIKPSNILLDSNWAPCLSGFGTAIICDGMDRISGLTPKGTAGYVDPEYSRTHVLKPTSDVYNFGIVMLEVLTGKKPIFSLEDVKTNCTMNNPSSLMSFALPIIRAGEVRKLLDERPTQPMPKQLQPLEIVARTAARCVRAKGEYRPAMSDIVAELQQALDLTRYNALLQGAILHAGGKSFRMRVLGPPTSIVLSEEIVECRVGYIVLDQPSSRTEIPEAIHSLAPVAKNEIFNALPLMDSVGALANQAMGSLKEFQIPGVKENVLASESSGTRVPRKKRASKSTRSEGSSDNLQSGDPIPGLKRLCRGRVLGKNSPPLEHQCRSDINGFRFLLPATNL >LPERR11G18960.1 pep chromosome:Lperr_V1.4:11:19773517:19777993:1 gene:LPERR11G18960 transcript:LPERR11G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLSMVNSVVTIVKLANDIAGAVKTVRQNKKSCEKFAERVADIGEILKELGDASSPSTVAAAATKRLVIRLEKALGRALLLVRSCQNLVAGGCLADEINEVNVEIDRCLLDIGVASLVLVSRIDRKVNAAAGDVFQTPPQCSHGHDDDEEKNGVLVCYGGEQSGKYKTAAGDGEVTTVVGVPPPPPYHGHGCYYLHCHCTHGHYCQFAGGGHGHYLSTSYPCYYSDSNVDALSMVSNVTTVIKLSIDITMAVKKVSRNKKSCEKLAERVAVIGETLKKLETSSPSTAAAAATERLVIRLEKALRRALPIVQSCQASSRIYSMVAGGWQADQLDEVNVEIDRCLLDLSLSTLALVTDIDRKLNAEVVDDDGSKFQTAPPSPTRCIHGHDDDDTDDEMASAAADGDVADDEKNGEQDNGKTKMDDDVAIAGEVVTAVGVPAVWRQYYYQPPPPCNGYHQLHCHCTHGHCHCTAGAGYDYYMFSDDNPHSCTIM >LPERR11G18970.1 pep chromosome:Lperr_V1.4:11:19782096:19801230:1 gene:LPERR11G18970 transcript:LPERR11G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPFLGREEGGWAHICAAVTAPKIIARTHRSPSFLPPRDLSSSTPNPSRAGPKEGRKGRAGCGGGGWRRGLERRRRRQVNKAGDLNLFFRVDEYLCPHCVRFLHLQGIVECGVTIDQKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVESVSVQSTSVADHTTEQNVISEAKGSFACAASPHGDSVESSGKPGSSSCRDANTGDNLMLLDGDTSNTGGEKLVKRGTKRTNASQPDMSLCCDGQNNVKEAEDSGLFRLGAKNQAYARRRSKSSRENATVSLGSQPVSPLCSQGKDSKGIIQETKSEDHGASSISNSRPASPNGNNTLKDASLGDRDAMEKNNTNEGKQATTLETTSAKDGLQTLVISPNSVQLIGDDLVVTAANSVESPDTTPKEAALVATSSFPSLCNEVLKEACVAEKAGNGCSDKNLIVHADDIVSKSADAVPSPVVDIASLNVKEADITCANMSKTIDEHHGKSENISGKVSDEDLGDSIPCDNDGNKDGQLEGGDIPTVVDGIYNSVQPEVSSTIYTKDDIDAHNKIGDAQKDAGNLATSGHEEVDKEAGFNLKRNNRCSPDFNAADKLDSVAMASGLLTKDVPSSVSLMDLDNDVKKSGENIPPMEKKECRDSTVVNKEHEDSILRRAQLIEVNIKKAGECSLSNAILEKKQMSHWDFVLEEMAWMANEFMQMCHWIAFSGRATFEEANIKRKQKLIARILAKSIMNFWCSAETLRGTGGRISKEGQPEESIGLEETKLAGVNGEKEQCNESLEQEKPRCSSLQSPVQNYALRFLKDNCNISKCLSLAEAPATPDRLNDFGILKVPDHLSEEKLFYGVVPGAMQAYREFVGIQKTNKKTNNSRHNDDYEPSTTGSVAGSHRGNAYEDDDVEASTYLLPGSYDGGLASKSYKKKHMMQQRMNGTRHYGTSVDMPYDPYVESKSGNHPFLSNGKRPSDLFNIPTKRIRTAARQRVVSPYPANASGATAFTNKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTADFDRQLYDSSEVSTKSKKKKKSKHPGYKTPQTVAESCSLIAGKKDYLKKRSEVHPFDSNGNIVTNGQHGIKKPKLMNQPPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAAGHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQRKCIYRRPHECKDRHKLLTERSSVDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRKKGENQELMQINPLHSSHVQALSQACAPGVVLMPLDLCDAMTPNPDALSIGYSGSHASGLLSNHPSSVGPTLPTANLNTRVPGSPCMVLGNTLPSPSTPNAPRDTQRYGIPRPTSLQGDEQQRIQYSQMLNSRSLQQPVPGALPAGVDRGVRMMSGAHGMGMMTGINRGTVTRPPFPRLSSSGMLNMVSPGSMLPNNGQSMQNTVNVHPGTIPGHGNTMLRPRDPMQMLWPGQNMEEHRQMMMQEFQMQVSQGNSQSIHYTGTPFSNVGASSPGHPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYGMRLAKDRHAQQCVMTQQQHPLSGASTASPVQNGSQMQQQSQGPPSSVQSSQSQHKQQHPTQNSQDNSVLPSQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHHSPPVETTQASGIPTTPKNQVSDKTMVQQGPGYFTGNKGLVSSVVQPGNQHKLYGSQMLHSPIQTPDVGNQSSMQSSPNQTLLTSQQAPLHSSSPLATQQQQQQQRYMNPSHNNIQRLMMQQNRHMNTDGRIESPVDQVQHNQIIPSTSMAKSADSGSPGGVSSINQRRQESSHDPTAVLSTLQLASSPQDTYVGNEMLLSASSQGMLQRQMSGGVPIHGHGIGGQRQQQQSQQQKPSVQGSRNSLWNVGNPKLTYTENVKLLTGSNIISCLSLATGFPNVRTHFETWNAGILGLVTLDGLNEADIQNGPIIRLD >LPERR11G19000.1 pep chromosome:Lperr_V1.4:11:19809181:19811976:-1 gene:LPERR11G19000 transcript:LPERR11G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMAPPGLRAAIAGAHPRRALLGGALNHPPVARTREIHGGDGKSSSARWPYTASMATRWSSPATVALRTLIEFQFEDNRALRLGWIGLVEMPHPVECDINIADEREELEVEGDLTLNFPELIGVPASHEAAVQVTPALAGPDEQIRSLERLLELLHRIPRLRLLHQWLSAAVELPQKVADHLDPPCELLARSPVPPYRLRRLRDHLDQAVGVYSGELRDGGGLRNQSHLAAFVE >LPERR11G19010.1 pep chromosome:Lperr_V1.4:11:19833848:19839649:-1 gene:LPERR11G19010 transcript:LPERR11G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEAAAAAVVEDVLRMHGDGGVVEVVGLGRGIDMEWRKAEEAAIRRYEAANWLRRIVGVVCAKDLAEEPSEEEFRVGLRNGIILCNALNKVQPGSVPKVVEVPTDSAVPADGAALCAYQYFENVRNFLIGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLKSFSETKQLGKSTLFKYGGIVKPSMSGKHFIRKNSEPFMKAMMRSHSAELLRDGLSLEQSLGLDLSLEHAETTSDSIRMLVQTILLDKKPEEIPSLVESLLSRVIHEFEHRTANQNESVKYAVDPNDNKLLSRADTPPEMESTCTSSTEKMDEDDNTSVNMKEEVSIAVAVNGGNVGENIQEKQTDKSFVLQQKHIQDLKSNLFTVKSGMECIKLQYSEDLHKLGKQLHILSHAASGYHKVLEENRKLYNQIQDLREYIVECDLSYLESVAGLEDRTITVMTPSKYGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQVQRKDTFSYEISVQMIEIYNEQKGLAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALARKDGETESIRSTQSSPDIYRMRMGSAPPAFRHAIEEAGNLETRSNGTPRQKKRNFELSDSLVENDASSWLDTSSQKESALGDWVDTSHFGSSNSLPELGPDAAQDVSFYPRNSPEHQWSWAGSVATEDSDDFEATTSCSSEQDVVRPTSAPKAPGLANGSASVARKAQTKSAKSTDIRSTNPAKKTSSLQKKVNGPPSTLIKNGKQLSLSATDGKRTTNGKVSTKK >LPERR11G19010.2 pep chromosome:Lperr_V1.4:11:19833848:19839649:-1 gene:LPERR11G19010 transcript:LPERR11G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEAAAAAVVEDVLRMHGDGGVVEVVGLGRGIDMEWRKAEEAAIRRYEAANWLRRIVGVVCAKDLAEEPSEEEFRVGLRNGIILCNALNKVQPGSVPKVVEVPTDSAVPADGAALCAYQYFENVRNFLIGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLKSFSETKQLGKSTLFKYGGIVKPSMSGKHFIRKNSEPFMKAMMRSHSAELLRDGLSLEQSLGLDLSLEHAETTSDSIRMLVQTILLDKKPEEIPSLVESLLSRVIHEFEHRTANQNESVKYAVDPNDNKLLSRADTPPEMESTCTSSTEKMDEDDNTSVNMKEEVSIAVAVNGGNVGENIQEKQTDKSFVLQQKHIQDLKSNLFTVKSGMECIKLQYSEDLHKLGKLNPGFQGVTNAFFSVLGKQLHILSHAASGYHKGILEYIVECDLSYLESVAGLEDRTITVMTPSKYGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQVQRKDTFSYEISVQMIEIYNEQKGLAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALARKDGETESIRSTQSSPDIYRMRMGSAPPAFRHAIEEAGNLETRSNGTPRQKKRNFELSDSLVENDASSWLDTSSQKESALGDWVDTSHFGSSNSLPELGPDAAQDVSFYPRNSPEHQWSWAGSVATEDSDDFEATTSCSSEQDVVRPTSAPKAPGLANGSASVARKAQTKSAKSTDIRSTNPAKKTSSLQKKVNGPPSTLIKNGKQLSLSATDGKRTTNGKVSTKK >LPERR11G19020.1 pep chromosome:Lperr_V1.4:11:19841940:19842365:-1 gene:LPERR11G19020 transcript:LPERR11G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEYAVYRCQSENLLMMTPQSQPIQFTLQPSSFELFTFAPVTMIVGDVGVRFAPTGLVNMMNCGGSIVDVEFRDGSEVKMKVKGAGRLLVFSSVRPQRCLVDGFDDKFEWGNGGKLMVDVSWKMSLMWCFVTRLLYCR >LPERR11G19030.1 pep chromosome:Lperr_V1.4:11:19850607:19853789:-1 gene:LPERR11G19030 transcript:LPERR11G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEREKKRLLDLAVESGFDRDVAAECLDGLVRLYGEGGQGFITVENCGHDFLGALADATKDTDDWDDLYVIENEACDNLNGMMRNNVLDDKGVEMSTPLFRKAESPAQQTRNNLESFVFPTGDSDIEILDEVETCFDRSASTQNKAQSRKRKLQSSRSSAKSTVTGVTDRYESSTPTSSRERHPSSFHQNTETLSYEELCRLDDINFANVVIFGNKSFRPLQYEVCRAAVDNLDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALTFKFGIPAAFLNSQQTSSQSSAVIQELRSNKPSFKLLYVTPERMAGNNNFIGILVGLHQTGLIARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPGVPVMALTATATESVCKDILSTLRIPNAMVLRRSFDRINLNYEVIGKTKTPQKQLGDLLKERFINMSARQRTTVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAHCIVLYQKKDISKFKSESFKAAMEQAKKMQAYCELKTECRRQTLLGHFGEQYDRQRCKDGCSPCDNCIKISS >LPERR11G19030.2 pep chromosome:Lperr_V1.4:11:19850607:19853789:-1 gene:LPERR11G19030 transcript:LPERR11G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEREKKRLLDLAVESGFDRDVAAECLDGLVRLYGEGGQGFITVENCGHDFLGALADATKDTDDWDDLYVIENEACDNLNGMMRNNVLDDKGVEMSTPLFRKAESPAQQTRNNLESFVFPTGDSDIEILDEVETCFDRSASTQNKAQSRKRKLQSSRSSAKSTVTGVTDRYESSTPTSSRERHPSSFHQNTETLSYEELCRLDDINFANVVIFGNKSFRPLQYEVCRAAVDNLDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALTFKFGIPAAFLNSQQTSSQSSAVIQELRSNKPSFKLLYVTPERMAGNNNFIGILVGLHQTGLIARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPGVPVMALTATATESVCKDILSTLRIPNAMVLRRSFDRINLNYEVIGKTKTPQKQLGDLLKERFINMSGIVYCLSKNECADTAKFLKEKYNLKCAHYHAGLSARQRTTVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAHCIVLYQKKDISKFKSESFKAAMEQAKKMQAYCELKTECRRQTLLGHFGEQYDRQRCKDGCSPCDNCIKISS >LPERR11G19040.1 pep chromosome:Lperr_V1.4:11:19859621:19860613:1 gene:LPERR11G19040 transcript:LPERR11G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAAASGDFSGDPPLAGADSECSTPFVSAPSSPSRDHHFSPHGACFFSAPASPTRGGGKDFSGGCLADFDFDFSSRFPSPSAAAMSSADELFHNGQIRPVRLSAMLLQPQPLAPLAAGKNHILPATTAEDTAAAAAAEEERGRIRSRSVRRKARSMSPFRTPHWRRASSPAPAPESVSVELAATPAASRSSSSSSTASSTSSSSSSRGGGSRRWAFLKDLLHRSKSDGGGNKNDALPPATKRSASPSPSPSMGTANAAAGRRGGNRRRSAHERMYEARRAEAEEMRRRTYLPYRQQGLLLFGCIGIGSRGYGAAVHGLARAAAVSSRS >LPERR11G19050.1 pep chromosome:Lperr_V1.4:11:19859986:19866301:-1 gene:LPERR11G19050 transcript:LPERR11G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAKAGTLWPPCCHKLKGPHWLLLLGIDAPVARCRPAPGVTPVEVQQQLTLLLNRLGDLIGSRRTRLLLLEVFHTALPLHTSTHLTETTSSKNSIHGGRSCRRRRRRRVMSHDLEETAAALARPWTAAPYPREPIPMHPKRRSPCCLYGRYVRRRISSASALLASYILSCADLLLFPPLLPAAAFAVPIDGDGDGEALLFVAGGKASFLFPPPSDLLRWRRSFRKAHRREPPPLDEEEEEVEEAVEEDDDERDAAGVAASSTETDSGAGAGEDALLQCGVRNGDIDRAFRRTDLLRMRPRSSSAAAAAAVSSAVVAGKM >LPERR11G19050.2 pep chromosome:Lperr_V1.4:11:19861610:19866301:-1 gene:LPERR11G19050 transcript:LPERR11G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAKAGTLWPPCCHKLKGPHWLLLLGIDAPVARCRPAPGVTPVEVQQQLTLLLNRLGDLIGSRRTRLLLLEY >LPERR11G19060.1 pep chromosome:Lperr_V1.4:11:19866351:19867856:-1 gene:LPERR11G19060 transcript:LPERR11G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPDGWHPRTAERRLLHLLHHSPPARRRPLELLAFAVRHHLHSSPPSPSHHHSLAALLLLSTPPPPAILLLRLLPPRDSPPLPLLNAAIKSSPSPALAFRVLSSLRRLHSPDRLSFLPLLGSVSSLSLLSALHALLLRLGFLSHHAISLALLKPYPLPYARNLFVEMPQWNRCAVAYNTIITACLRGGDLGAARYLFEEMQRYRRSRRSVVSWNVMIAGCAWCKKDDVAVLFFERMIREGDVAPDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGFLDRKVHVANAVMDMYCKCGDVHSAREVFNGMRQRSVVSWNTLISGFSLNGHGIEGIELYREMKTHGEKPNAVTFLGVLGCCAHAGAVDFGRDIFQIMQLEHGIEPVIEHYGCMVDLLGRAGLLEEAYALIQGMPMRPNAAIWGALLSACHAHSGITIAEVALKQLINLEPWNSGNYVLLANLYAETGRWEEAGDVRRLMRRTSVQKAPGQSLIEEAEFQFTNA >LPERR11G19070.1 pep chromosome:Lperr_V1.4:11:19868370:19870541:-1 gene:LPERR11G19070 transcript:LPERR11G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLGGGASGCSGAGVAAARLAHILCFATAWGAALWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISACSAISVAAFAYLHPWKTASTIERYQLGFLLAALGFDLSNLLVFTPMTIEMMMRRHKMEKDLGIGSEVGFSKNTEVAKKSPALAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >LPERR11G19080.1 pep chromosome:Lperr_V1.4:11:19871654:19877493:-1 gene:LPERR11G19080 transcript:LPERR11G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLLLLLAVAAVSVSISVTAAARAPFACATGGPATSLPFCQRTLAARARARDLVARLTRAEKVRLLVNNAAGVPRLGIAGYEWWSEALHGVSDTGPGVKFGGAFPGATAFPQVIGTAASFNATLWELIGQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPTVAGRYAAAYVRGLQQQQGGNGGRLKVAACCKHFTAYDLDNWSGMDRFHFNAVVSRQDLEDTFNVPFRSCVMDGGAASVMCSYNQVNGVPTCADKEFLRGTIRRRWGLTGYIVSDCDSVDVFYTDQHYTKTKEDAVAATLRAGLDLDCGPFLALYTENAVKQGKIADADIDAAVTNTVTVQMRLGMFDGADTPFAHLGPQHVCTPAHQELAVEAARQSIVLLKNDRKTLPFSPATTHLTVAVVGPHADATVAMIGNYAGKPCRYTTPLQGITRYTTARTILHQSGCADVACAGAGQPIAAAVEAARRADATVIVAGLDQKIEAEGLDRSSLLLPGRQEEMISSVAKAAKGPVVLVILSGGPVDVGFAQNDRKIGGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPSKNYPGRTYRFYTGPTIHPFGHGLSYTSFTHSLAHAPSRLTLLLSSPSSSSSAAAVSGGGVRVSHARCEEMRVPVHVEVANVGGRDGAHTVMVYAASPAATGEEGAVRRLVGFEKVQVAAGGKERVEMGIDVCEGLSVADRNGVRRIHVGEHRLMIGDGDEVVTHSVMIGLEQIGV >LPERR11G19090.1 pep chromosome:Lperr_V1.4:11:19884240:19885757:-1 gene:LPERR11G19090 transcript:LPERR11G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHTTNALPGPPTNTPMTTATTASYSPRRLTRPDQMQARRSGSRRIRRRTDRVGAVQICSFSSQWSSSPHLSDESTLGEDEDVFLKETFLPSVYPHVRSAFAEEEGNGIAHVGSDDHDDDTDKSDHEELKMHM >LPERR11G19090.2 pep chromosome:Lperr_V1.4:11:19884240:19885757:-1 gene:LPERR11G19090 transcript:LPERR11G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHTTNALPGPPTNTPMTTATTASYSPRRLTRPDQMQARRSGSRRIRRRTDRVGAVQICSFSSQWSSSPHLSDESTLGEDEDVFLKETFLPSAFAEEEGNGIAHVGSDDHDDDTDKSDHEELKMHM >LPERR11G19100.1 pep chromosome:Lperr_V1.4:11:19888883:19893078:1 gene:LPERR11G19100 transcript:LPERR11G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILGSLIGSCAQKLQDIITEEEILILGVKEELGELQKRMKQIQCFVSDAERRGMEDSESAINNWISRLKDAMYDADDIIDLASFEGNKLSNDHSSSPRKSNTCTGLPLLSCFSNIRVRREIGDKIRSLNREIDKIIKDKIFTTFENRQSANKGSASELRKRQPIVEPNLVGKEIVYACRKLVSLVLTHKEKANYKLAIVGTGGVGKTTLAQKIYNDQKLKGIFSKQAWICVSQVYSPILIFKQLLRTMKVQYAQDESVEELQSKLSDVWTNILRIPLHAATTRIILVTTRHDTVAREIGVEEPHRVDLMSPAVGWELLWKSMNIEDEKEVRNLQDTGAEIVQKCGGLPLAIKVIARVLASKDKTEKEWRKILTGNVWSMTKLPKEISGALYLSYDDLPERLKQCFLYCIVFPEDWVLRRDELIRMWVAEGFVEVHKDQLLEDTAEEYYQELINRNLQPDILSFSQSRCKMHDLLRQLACHLSREECYIGDPESLVDNTICKLRRILVVTDKVMVAIPSMGKEEIKLRTFTTNHKPLRFESTFFMRSMYLHVLDLSDSLVQKIPYSVGKLIHLRLLDLDGILISCLPESIGALKNLQMLHLQRCEFLHSLPSTITRLCNLRRLGLYKSPVNQVPKGPGRLKFLNDLEGFPVGGGSEYTKMQDGWNLKELAQLSQLRKLDLNNLERATPWSSTDSLLLIDKKHLNDLNLCCTEPTDGAYSEDLLILGFFGRRFPTWLSTSHLSSIIVLKLKDCKSCIHLPSLGQLPNLKFLRIEGATGITKIGPEFVGYGVGNLGSTEVTAFPKLEVLVINNMPNWEEWSFVEEEEEVGEEEGAAAAKEGGEEGAAEPAFAIPSSLPDVISASMTEEALSRRSSWLLPCLKNLQLVDCPKLRALPHQLGQQATSLKELLIRDASCLKTVEDLPFFSGWLVGCEGLERVSDLPQLRELHVSRCPNLRHVQELSSLEQLWLDEGMQDISLLWVPGLQQQRIQLHGDELEINEWPRSNPID >LPERR11G19110.1 pep chromosome:Lperr_V1.4:11:19906860:19914070:1 gene:LPERR11G19110 transcript:LPERR11G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPAAARRRRLEPAPPPTPASPLDRQARSTGKCVTLDPFNVFTTIADLESPAQADWAACITWEEALPLRSSWLLPCLTELQLEDCPKLRALPRQLGQQATSLKELLIGRASCLKTVEDLAFLSSGLQVQRCEVLERVSNLPQVRILLVNDCPNLRRVEELANLEQLLLDKDMQEISELWIPGLQEQRRRVHGDELEMCHRTCKAAATSLPGSNSRSHDAQASWPSIPMPRKVSHSDKLVESIFITACEEGIQHERVVLDICSKGSAHKV >LPERR11G19120.1 pep chromosome:Lperr_V1.4:11:19914765:19915715:1 gene:LPERR11G19120 transcript:LPERR11G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSPARRPSWATDSLVGYGVGNLGSTEATAFPKLEVLVINNMPNWEEWSFVEEEEVAKEEGAAAAKEGGEKGAAGAKQKEEEALPPRSSWLLPCLKDLQLVNCPKLRALPRQLVQQAISLKKLLIARASCLRTVEDLPYLSNRLFVGSCRVLERVSNLPQVRKFLVNSCPNLRRVEELANLEQLLLDKDMEEISELWIPGLQEQRHRVHGDELEVSEWL >LPERR11G19130.1 pep chromosome:Lperr_V1.4:11:19916612:19921688:1 gene:LPERR11G19130 transcript:LPERR11G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSPRHQCRRLESFLTVTSLSMRESKAVKSPNVLAYIVESVMGADVLSTSTPAMTKVTPPMSYRVFPKMEDPLIRLETMGEAPNVGSKTLGATTYAAHQFDGAGHDQVHELVGRDSIDIDIGSSID >LPERR11G19140.1 pep chromosome:Lperr_V1.4:11:19923297:19925252:-1 gene:LPERR11G19140 transcript:LPERR11G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIMTGAQLALALLLIAITTTAPLVSAKCLAKKIPASKEKSSEHESSGSNVAALFSLGVAEKNHESNKPTGGLPTLSVGESIRGIKKEVSDDGLGIVEKKDEKKKHYSSGALTTLSVGESIPEIKKEDSGDGLGVVKKDESNKKYSNGALTTLSVGESIPDTKKDDSDDGAAKKKSKIKSKSSKDEEEEEEKKKSKSKSSDDDDDDEKKSKGKSSDDDDKIEKKLKSKSSEEKDDDGKKKSKSKSSNEDDDDAKKSKGKSSDEDDDNAKKKSKTKSSKEDGDKADKKSKGKSSDEADDEDDKKKSKTKSSEKDDDKVDKKKPKGKSSDDAGNKHDKKKSKTKSSEKDGDKAGKKKSNGKSLDDADKKKSKTKSSDDDEDGEKKKKSNSKKSDDAVAIDEDEEKKSKGKSKFSSSDDDDDKKSKADETTTTKKSSSKTKEEDEATAAATTDDAVPAKEPNEDATTSATDEAAPAPEKKKHKTGTMSLPDMIPQPLTNTLNPEVKTMCAKTDHADLCESSIEQLPEQPPAAVDGVGILQLAMNALRAKVVEAINVATDRMGAPGTDAMSKDAMNDCLQMYDDMKGNLDSAEAALKKGDKDTMHTMLDSARTDVDTCDEGFSEREGLKPLMADLDKILADLSSNAIAIASNI >LPERR11G19150.1 pep chromosome:Lperr_V1.4:11:19925728:19930676:-1 gene:LPERR11G19150 transcript:LPERR11G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPPRQLDVRRFAAARAGELRSLHESVSARLDGRFHQPRSARRRTTGHLRRRRRRRSNDAEAGDGTAEEEEEEEGRQKSRRVRRRRELAGNPSEGFSVAGDGARRLRTHLWHAKRFLMERKWGFVLPIGAQGRGRGSRAVLKWLKNGTVVHDASYFTPVELEGPEDSLLTIVRKVLHPSPGDKSPGSKLLHDQVMRGVCYENATLWHVGSPYSQIVGPVTYMWRPFIRESGNLETVDVDFSNSQTRLDERKCGSLRRQLWIWIHSAALNEGLEALRVACQQQMQESGDTINCCSLEGKMARLEVMGTNATQSLKRILHPVSNPSMSINIVNTNNLTASTDPLDSSTGSHLLKASVIDNADTLQPGAILSMIVRDPRDNSAQITDSSEKVSVNQNNQLMEEYHVPNADEAPSEIGNILSSIWLNPGNHDLFLSDCRELWDSSLKIDPPIKDDILCMDRHYRRIKFFFLDSGNDQGKTAQEKDSINQSFPVILLKHAKGCRLSVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMTCFPYDYPDSQAYSLCLAEEAAVFDKATSCRPSSMRPPRVPVPPLWHCIVASFRRGDGILNSLEVDDLKPVATVLSECLPVNSISGDAESLPAPTSFQLLVPRTIQVLRQYAKDFDAKYMNSSDMESVADKSNLVSGGNVNMVCSINRLCLVRVLLRAFKEGSFEEGAVICAPLPPDLSAWKTRSEEEDKCIEKWELQLPQSHVSSYFSWLDNSTSNLELPNDDSIRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWTHESLQRKEICVLVRNARSAAYRRALATIVLEQQEDDLEFL >LPERR11G19160.1 pep chromosome:Lperr_V1.4:11:19931233:19931520:1 gene:LPERR11G19160 transcript:LPERR11G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEVDDDQLQDTEMTTQLVARVGEKRAFTPTPLGQEMAISKRFRSSCWEDPAYGASMLFASSAAAFNYTDNVSKEIAAYFTACEALRWAN >LPERR11G19170.1 pep chromosome:Lperr_V1.4:11:19942020:19948308:1 gene:LPERR11G19170 transcript:LPERR11G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELVKKIRELEEGQAELKREISKIVPERRGGEAAAAARRPPTAQQKRVLAALRPQVSSRLQRVGRAGLTDRHYVRILHSLGQAVHVISLEGKLMNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFHIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYQNSAKPRVQLNRSKSVLLNKGSCDSQQPLQSAITSKITNLATRVTTTVRSRIRTGQNCDEQYGGVSESHYSEHDTREEQTSSEGSTPSGDILHGAFVREDYYSGKSSKTNSDDLGEGKLGLHKIFSSKAEALLPIKGIPWLWRGHEHDEPGKNQMTPPQFQEIQENGQSHKDVPEPMIIQECQDTESVQDVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADCEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSRYEYSEDMILTFRQEVALMKKLRHPNIILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVILWEIVTQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIERCWDSDPQRRPSFQELLDQLRDLQKQYSLQAQLQRTAAGDALARGGAAKMNVDDC >LPERR11G19170.2 pep chromosome:Lperr_V1.4:11:19942020:19948308:1 gene:LPERR11G19170 transcript:LPERR11G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELVKKIRELEEGQAELKREISKIVPERRGGEAAAAARRPPTAQQKRVLAALRPQVSSRLQRVGRAGLTDRHYVRILHSLGQAVHVISLEGKLMNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFHIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYQNSAKPRVQLNRSKSVLLNKVRSRIRTGQNCDEQYGGVSESHYSEHDTREEQTSSEGSTPSGDILHGAFVREDYYSGKSSKTNSDDLGEGKLGLHKIFSSKAEALLPIKGIPWLWRGHEHDEPGKNQMTPPQFQEIQENGQSHKDVPEPMIIQECQDTESVQDVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADCEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSRYEYSEDMILTFRQEVALMKKLRHPNIILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVILWEIVTQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIERCWDSDPQRRPSFQELLDQLRDLQKQYSLQAQLQRTAAGDALARGGAAKMNVDDC >LPERR11G19170.3 pep chromosome:Lperr_V1.4:11:19941422:19942321:1 gene:LPERR11G19170 transcript:LPERR11G19170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELVKKIRELEEGQAELKREISKIVPERRGGEAAAAARRPPTAQQKRVLAALRPQVSSRLQRVGRAGLTDRHYVRILHSLGQAVHVISLEGKLMYW >LPERR11G19190.1 pep chromosome:Lperr_V1.4:11:19956798:19958720:1 gene:LPERR11G19190 transcript:LPERR11G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKSPIVFSVICLFLLCHGSLAQFLSQSTSQWQSSHRGSPRECRFDQLQAFEPIRTVRSQAGTTEFFDVSNQLFQCTGVSVVRQVIEPRGLLLPHYSNGATLVYIIQGRGITGLTFPGCPETYQQQFQQFGQDQSFEGQIQSHKFRDEHQKIHRFRQGDVVALPAGVAHWCYNDGEVPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKIGQQSYRSEARESSKNIFGGFSVELLSEALGISSGVARQLQCQNDQRGEIVRVERGLELLQPYASLQEQQQEQQQQQVQPSEYGQTQYQQKQFQGGCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNAQKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFDGELRRGQLLIIPQHHVVLKKAQREGCSYIAFKTNPNSIVSHIAGKNSIFRALPNDVVANAYRISREEAKRIKNNRGDESGVFTPSHAYRSYQDIMIGSS >LPERR11G19200.1 pep chromosome:Lperr_V1.4:11:19965309:19965666:-1 gene:LPERR11G19200 transcript:LPERR11G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSRIVSSIPMVFMLLLIVSSTFLSCYAAQETCYTQKALCNRRRCRNICGGQAYYCKPNVILETCCCMNTTTSTTSGVKNHALLN >LPERR11G19210.1 pep chromosome:Lperr_V1.4:11:19969981:19973839:-1 gene:LPERR11G19210 transcript:LPERR11G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPDPTGLSSGPSSTPAVVASRGRRRRQRLEEGGDNGSDEAAKRMVCSISAVFMLLLIMSSTFTSCYAAKRTG >LPERR11G19220.1 pep chromosome:Lperr_V1.4:11:19981721:19985182:1 gene:LPERR11G19220 transcript:LPERR11G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLTQRLFSALVSLLLHGKPISRSSSNTNTTFLHPSLVHKPSSSLPMEKLAAQTLVLDVEGGLLRSPSLFPYFMLVALEAGGFLRGLVLLFLYPLLCCMGSDMALKVMTMVSFCGLRANRFRAGAAVLPKWFLEDVGEEGFDVMRSAMKRVCVTKMPRVMVEGLLKEYLEVEVVVGREMKVMWGFFTGIMEKQEGGDQEEILLEERKMGVDVVGFSTSLEFLQHHLSHCCKEVYMVTREEKSRWSALPRSKYPKPMVFHDGRLAFRPAASDTLAMFTWLPFAVVLATVRLAIALAIPYKFSTPILAATGMSWRLSGAGDHRWQGQLFVCNHRTLIDPVYVSVALNRPVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGRAMASLLAGGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVDTSMFYATTAGGLKCFDPFYYIVNTRTCYTVNFLERVDTSAAREGRMASTEMANFVQRKMGDALGFGCTMFTRKDKYLMLAGNDGVVKSEEKIIEDKHPVAAAAAVEKKMKQ >LPERR11G19230.1 pep chromosome:Lperr_V1.4:11:19988939:19992094:-1 gene:LPERR11G19230 transcript:LPERR11G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFPLPRRGRPPAIHHRRRFRRPPPPPTRTFLAAATTSRRVSTTASTEQCAPPTLALLADHPHPAVAEFPRAGFSRLGEHPLLARAIHGLAVRLALRLSAFHRNTLLAFYFRERNAAAAALHLFDEMPERTPSTWYTAVSGCVRCGEDGTAFELLRGMREYGVPLSGFALASLVTACERRGGWDEGLACGTAIHGLTHRAGLMGNVYIGTALLHLYGSRGIVSDAQRLFLEMPERNVVSWTALMVALSSYGYIKESLGAYRQMRTEGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQNQVSVANSLITMFGNLGRVQDAEKLFDQMEDRDTISWNAMISTYSHEGICSKCFLLFSDMRRRGLRPDATTLCSLMSVCASSDHISLGSGIHSLCLRSGLLSSVTVINALVNMYSAAGKLSDAEFLFWNMNRRDLISWNTMISSYVQNSNSSDALKTLGQLLCTNEIPNHMTFSSALGACSVSGALMDGKMVHSIILQLSLHKNLLVGNSLITMYGKCHSMQDAEKVFQSMLTHDVVSYNALIGGYAGLEDGTKVMQVFSWMRRAGIKPNYITMININGSFTSSNELHSYGTPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKSVVSWNAMIAANVQLGNGEEALKLFIDMQHAGNKLDRVCLAECLSSSASLASLEEGMQLHGLGLKCGLDSDTYVVNAAMDMYGKCGKMDDMLNMLPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVAMGRKPDYVTFVALLSACSHAGLVDKGMHYYDSMSFVFGVSPGIKHCVCIVDLLGRLGRFAEAEKFIEEMPVLPNDLIWRSLLSSSRTHKNLEIGKEAAKRLLELDPFDDSAYVLLSNLYATNARWVDVDKLRSHMKTINVNKRPACSWLKLKNEVNTFGIGDRGHKHAEKIYAKLDEILLKLKEVGYTADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSMIRIFKNLRVCSDCHLVFKLVSLVLNREIVLRDPYRFHHFKGGSCSCLDFW >LPERR11G19240.1 pep chromosome:Lperr_V1.4:11:19994541:19997140:1 gene:LPERR11G19240 transcript:LPERR11G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEDVSNVQPGSSNEVLCYSIIGIHGIPGSGKSTLAQLVCDNEKKDKQEKDGHFDLIMWVHVSQNFSVDTILTEMLEAATGKKCDRFHNRDTLQRNLETALHRKQFLLVLDDIWYHNRDNQHELQKILTPLRVGKAGSKILVTNRTEYALLALGAIRSIPISELDDDVFLKLFMHYALPLGNVDEQDRRKFERIGDDIAKKLRRSPLAARTVGGQLRIRPNIDFWIDARDRDLLDETMGALWWSYQHLDEQVKRCFSYCSIFPRRHKLKRDELVRLWVADGFISTADASEEEVVARKYFDDLVSSSIFIKHTGDDIEVSDNYFTIHDLLHDLAEKVAGSDCFRIHKGWTGVIPRDVHHVFIENYDENMVTERILEMESLRTLIIDDGINIDMIADRTIFESIFMRMRKLRVLRVKSFRSGQKRTNISCPESICNLKHLRYFGFWTGSFSEQVFPSTILKLYHLQVLDLRSGGKSVFSSKEDLCKLTNLRHFFTLQDLDIPNFGRLTLLQKIPRIRVTKEVGHGIQQLAHLNKLRGELHIHGLQNVESKATAVEANLPAKEHLTEPTASSVGQWDNKSVRTDVQEEILEVLCCHWSSLSVE >LPERR11G19250.1 pep chromosome:Lperr_V1.4:11:20016572:20017225:1 gene:LPERR11G19250 transcript:LPERR11G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFDECCTDDTQQIVKPCLVSSIIVIVAFTGIFVTISLAVTPQMKASVEDARLNAFAFIVEGGGNTTASFFNYNLSIALAVRNPNKAIGIKHAKPLLAVIAFHNRRLHSSTVVNKGYRQRPVKVKHIMLPIGGKISSDLLGDGAADDFKKQNATGPFNVELRLSGEITNHPFVIPRKREFGMSCPLSLQLAPPGPEVVVFHRVNCVADNPDKLYF >LPERR11G19260.1 pep chromosome:Lperr_V1.4:11:20022244:20023340:1 gene:LPERR11G19260 transcript:LPERR11G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSRSGYRSTVARIVQHSCEQIISLPQHSVMTKTLNLLECSFSTFFHSAIFSLTPIISWEVVEFVISEGADCGLPVPSIVSSGTFWDVVGFVVAVLEGGSACGLGLAVSWSVWSVVVLLE >LPERR11G19270.1 pep chromosome:Lperr_V1.4:11:20026345:20026752:-1 gene:LPERR11G19270 transcript:LPERR11G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIDLFGVAKTRQDRLEVDRKFCAVEQLSEDTPTEPPATGQEDGQNHPEIGAFRSEDMVRELVQESESLSERKLRVICIVGFGEEPCRLIINDSSQRREEFPRASVSAEDRGANEIAAEILEQLSNPPLSPTR >LPERR11G19280.1 pep chromosome:Lperr_V1.4:11:20029761:20041085:1 gene:LPERR11G19280 transcript:LPERR11G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDGDGGGEAMDAPATVTVSHGVMGRLLLSLSCSTESFPKGVSKTEIPSFKAVLEVLCRNLVDLAEVKKPSFTAQCWMKEVRDLCYDTEDCFDKIDAHGSKGVGKTTLARSLYRKFGDRFECRAFVRVSRNPDIRRFLISLLSQIKGAHTDVSYGVQDLFQNIKKHLERKRYLVVIDDLWASTTWDIISRAFPRDNGYGARIITITQTDDVADACCSYNSADIFRMKLDPPELLPFKTTIMVAKLLQCNFTVEQQNSLLSSLGINPTSEGMKELLNLIYGILPHHLKTCLLYFNMYPEDYTIKKDDLVKQWVAEGFIGEVNEENWFKVAEGYLHELIRRGLIQEVDINYNNEVLSCAVHHIVMDFIRYKSNKENFISIGDYFQTTPENPDKVRRLSAQFGGAKGANIPVGSVRMSQLRSLIYFGFFKCVPSVAEYGLLRVLILHVWADKEKKKFDLSSIHELFRLRYLKVACNVRVKLPSKIGRLQYLETLDLDARVVCFPSDINHSQGLLCLRLPCDSKTNMSSEIDKMASVFNLAYFQLSSNSRKNVLDLRKLTKLQDLRLTCSRVQIDRVDDNNGTVESFVIEKFSIFPSLPQWIGELGRLCILKIAVRDLPRNNIEILKRLTALTVLSLSVQTTPAERVVFDKGFDELRYFKFTCTAPYLSFVEGTMVKVERFKLCFNADCKEQNDQLASVNFQYLTSLKEISVKFRDPSSSNIETAKGVLDYAVSKHPNKLILSKEPKEEIVYIVSAQELNPRVAERQDTTAETQIPVGEVIEVEELWTHRTALSLSLLSGSRGMSGQSSRNVGPCPAKLISNQEKWELEAMKNKIKYLEESLKILESGVADLTYYSRGKSQELKDLKENIKKVLE >LPERR11G19280.2 pep chromosome:Lperr_V1.4:11:20029886:20041085:1 gene:LPERR11G19280 transcript:LPERR11G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDGDGGGEAMDAPATVTVSHGVMGRLLLSLSCSTESFPKGVSKTEIPSFKAVLEVLCRNLVDLAEVKKPSFTAQCWMKEVRDLCYDTEDCFDKIDAHGSKGVGKTTLARSLYRKFGDRFECRAFVRVSRNPDIRRFLISLLSQIKGAHTDVSYGVQDLFQNIKKHLERKRYLVVIDDLWASTTWDIISRAFPRDNGYGARIITITQTDDVADACCSYNSADIFRMKLDPPELLPFKTTIMVAKLLQCNFTVEQQNSLLSSLGINPTSEGMKELLNLIYGILPHHLKTCLLYFNMYPEDYTIKKDDLVKQWVAEGFIGEVNEENWFKVAEGYLHELIRRGLIQEVDINYNNEVLSCAVHHIVMDFIRYKSNKENFISIGDYFQTTPENPDKVRRLSAQFGGAKGANIPVGSVRMSQLRSLIYFGFFKCVPSVAEYGLLRVLILHVWADKEKKKFDLSSIHELFRLRYLKVACNVRVKLPSKIGRLQYLETLDLDARVVCFPSDINHSQGLLCLRLPCDSKTNMSSEIDKMASVFNLAYFQLSSNSRKNVLDLRKLTKLQDLRLTCSRVQIDRVDDNNGTVESFVIEKFSIFPSLPQWIGELGRLCILKIAVRDLPRNNIEILKRLTALTVLSLSVQTTPAERVVFDKGFDELRYFKFTCTAPYLSFVEGTMVKVERFKLCFNADCKEQNDQLASVNFQYLTSLKEISVKFRDPSSSNIETAKGVLDYAVSKHPNKLILSKEPKEEIVYIVSAQELNPRVAERQDTTAETQIPVGEVIEVEELWTHRTALSLSLLSGSRGMSGQSSRNVGPCPAKLISNQEKWELEAMKNKIKYLEESLKILESGVADLTYYSRGKSQELKDLKENIKKVLE >LPERR11G19290.1 pep chromosome:Lperr_V1.4:11:20051389:20054431:1 gene:LPERR11G19290 transcript:LPERR11G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRTFIIAKDETNMIVDEIVFGSIFTKMKKLRVLRIDTFRVWEQKILSFPASIGMLKHLRYFGFPVGYPCKLVFPSTFTKLYHFQVFDFSACGDSVFSSKDDLCKLTNLRHIIGTIMIVPNFGRMTSLLTIPAIRVKKDVGYELQQLAHLNKLRGKLNFFGLDNVESKESAIQANLVAKECLNELELAWDLENCNPVVHAEVIESLCPPTELQILQIRGYQGPRYPSWLVGQQNGPKYLRKFTLIFVHLRLSVAAGTLYQKQGRCCWLPEKMHQTDVPAWHAQAPRPCRRAHTGHTRTTAAGRAFRRTAGARRPFAKVEGEKIVEVKGAEREHEADGEAMDGAGEIEGEVPGGGGGGIGGGRRSAAATSKGLQFVTEIAL >LPERR11G19300.1 pep chromosome:Lperr_V1.4:11:20060875:20066072:1 gene:LPERR11G19300 transcript:LPERR11G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTIGAAVGWSMKAAGWVISPIISNLVKQGSSYLGFDTSEKLRQLEIKVLQLELMLEKETDQMYAHRIRLDPLLQSLKSAFYEAEDILDDVEYHLLKRQIEYYPFNKRNWACKIHSALPSCSCMKNQEPNHSNVDRRKTVNVTTAAPPHVVTGRDEDRDNIIALLHENVDDVEPKSNRSLSDSIIGIHGIPGSGKSTLAKDVCAYEEKNKHDKKDGHFDLIMWVRVSQNFSVDDTFKKMLEEATGNPCPKFYSPNTLEEKLAKALSGTRFLLEEIALEFGKIGQEIYLKMFVILALDFGNSDFEFSSKENMSNLGRLTSLQTAPNIKLKKKAGYEIQQLKHLNKLRGRLYIEGLENIESKEAALEANLSARVHLKELKLEWGNDDSFCAEVLEGLCPPTELQTLDIRYYKDSIYPSWMIGQHNGPEHLNVLRLWGCSRLESIPEESKLFTHLCKLKIRFCSWDSLPDNMERLSSLEELELIGCQKITSLNMLPQSLKKIRVGFCNAEQFTNGHKFLQELELFHCFQLESISEVNKLLTDNLLSLKIESFSWDSLPDNMERLRSLEELELGLSKITTLPTLPQSLKKFVVSCGNDEFISSCQTVDHPNWQKIKHIPYRKM >LPERR11G19300.2 pep chromosome:Lperr_V1.4:11:20062574:20066072:1 gene:LPERR11G19300 transcript:LPERR11G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTIGAAVGWSMKAAGWVISPIISNLVKQGSSYLGFDTSEKLRQLEIKVLQLELMLEKETDQMYAHRIRLDPLLQSLKSAFYEAEDILDDVEYHLLKRQIEYYPFNKRNWACKIHSALPSCSCMKNQEPNHSNVDRRKTVNVTTAAPPHVVTGRDEDRDNIIALLHENVDDVEPKSNRSLSDSIIGIHGIPGSGKSTLAKDVCAYEEKNKHDKKDGHFDLIMWVRVSQNFSVDDTFKKMLEEATGNPCPKFYSPNTLEEKLAKALSGTRFLLEEIALEFGKIGQEIYLKMFVILALDFGNSDFEFSSKENMSNLGRLTSLQTAPNIKLKKKAGYEIQQLKHLNKLRGRLYIEGLENIESKEAALEANLSARVHLKELKLEWGNDDSFCAEVLEGLCPPTELQTLDIRYYKDSIYPSWMIGQHNGPEHLNVLRLWGCSRLESIPEESKLFTHLCKLKIRFCSWDSLPDNMERLSSLEELELIGCQKITSLNMLPQSLKKIRVGFCNAEQFTNGHKFLQELELFHCFQLESISEVNKLLTDNLLSLKIESFSWDSLPDNMERLRSLEELELGLSKITTLPTLPQSLKKFVVSCGNDEFISSCQTVDHPNWQKIKHIPYRKM >LPERR11G19310.1 pep chromosome:Lperr_V1.4:11:20070367:20073253:-1 gene:LPERR11G19310 transcript:LPERR11G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIIQLTLLLGFFFFSMPLPMDVAAQPWQICGTTNYKANSTYQSNLDSLSSSLITQDGNPSSGQFGKASRGAVPDTVYAVALCRGDIASFAACSDCVDAAFKGARQLCGLSKDATVFYDDCLLRYSDMNILNMDVFDQVNTSAVVDGALILMNITSVPMISGWENTNFTQFFQKVMSDMVAHALSTTRLYAAIRVDNMDDTSSSTLPRRLFCLAQCAPDLIEDICFNCLTNFSNLATANFSGRQGGRVLGLRCNLRYDTQKFFAGKMNAQEDEALIYGLQATSNFSEENKLGQGGFGPVYKVRGEKKTQGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALVDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDGEMNPKIADFGLAKIFGTNDNEGNTKRIVGTYGYMAPEYASEGHFSTKSDVFSFGVLIIEIVSGKRTSSFHRYGEFINLLGHAWQMWKDETWFRLVDPSLATESHKLEIMRCINIALLCVQENAADRPTTSEVVAMLSNKTMNLPEPKHPAFFNMRLTNEEASTVVVASSVNGITLSAVDGR >LPERR11G19320.1 pep chromosome:Lperr_V1.4:11:20075820:20076789:-1 gene:LPERR11G19320 transcript:LPERR11G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTSSKSAGIGDDDGRKTTANSAVVSQTVTESGSITMKIASNAQTKGIGVGNSIKSDKFHGTDGYIAYYPDGERYECADWVSVFLYLDKPASPEDVVMADFQFSLLGVSGGGGEECDVTLGANVTRGGDSCHRRQDDVPPSDLHGDFGDLLKSGFGADVKFKVGGQLFAAHSSVLATRSPVFMAELFGTPEEGESKDVATTNCTIRIGDMDASVFWAMLHFIYTETLPCIEEGDRRHMLKCLCAAARRYDIKRLKLICKDMLHKGDI >LPERR11G19330.1 pep chromosome:Lperr_V1.4:11:20078478:20082630:-1 gene:LPERR11G19330 transcript:LPERR11G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPNNTRIGMRISNAYTETSHQINTENNISSSSSINSNIHPAPSSSSMEYQLKKYLLLLATLVATVTYAAGLNPPGGSWPEDDASRRQLAGESILRDTNYMRYIVFYWFNAISFAASLVVSLLLLLLDREGKLLKLTRAVMVVDLLGLMGAYAAGTSHDKFTTISSTVLIAATATYVILIVPRLSATRGESTAATSADELDKHEILLVLAIFVTTIAYVAGLNPPGGFWRSSNGGDHAAGEPVLEGFHPIRYKFFFSNTIAFIASLLAITITVHYEKLDLTSIKKVPLYGLIIASILGLGGAYATGSCRDSRHTTYVLALVVPVLACIFLQSVLKGRGGIHYDDLDKTREFIQLLATLAATVAYQAGVDPPGGVWADNGDGHSVGDPILLTTDPGRYNVFFYFNSASFVASLVIMVMLQNEYLVRRHALDATMILDLFGLIGAYAAGSCRDTSTSIYTIAMAGGVLIYVVIHIVFSTLDPTRNEDKKRDGNRLKEGEQGNNRDEEKLEKMQGKEDKKQKLEKKREVLLLLAILAATLTYQAGLTPPGGFWEKDELGHQAGFPVLLEKYPHRYKAFFYCNAASFMASVALIVLLLNPNLYGPGIKCYALFVCMVAGMFSLIGAYAAGSSLHLRTSIIVLILVIAVFAVVLYMAIIRHHLDGKKDDKPQPTNDIARIGQQAEHQQDNKEADMMAKYLMLVGILAASVAYLTGLKPPGGLWRNDDSNGHSAGNPVLYDIDKRRYNAFFYSNSTSFMASITVITLLLRRMLGDKESDKNKMPLWPMHTAMLLDLLALLGAYAAGSARNWCTSKDVILLLLPILGFIALLFFWKKGGEANPNGTQQNTPA >LPERR11G19340.1 pep chromosome:Lperr_V1.4:11:20085092:20090471:1 gene:LPERR11G19340 transcript:LPERR11G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIRIHVKMACQNRGIKIEKEKKTRTENVIGTETEIEEETEIGTRIRTRRKTGTRTGTGIGTGTRIETGIATLGITVKEENIEIVLMIMIATEAVILKGEETMIGMGVAGITLVRALVLGAGIADLGLALVQKAVSELVGLIWRLQRKLWFLSSLLFQPQFPGTAIPGMFPNMLPMGVGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRMEAGKYGNLVKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASEEYDA >LPERR11G19350.1 pep chromosome:Lperr_V1.4:11:20090872:20094501:-1 gene:LPERR11G19350 transcript:LPERR11G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWRIAAWGSCTTIVGWLLSPIITLLVNRLFSYLLDASLKIQELEIQLVPKLEQMLRKIEEERMHRKAKKDRSAVQDLDVMVKLDIIGDDDDEPHCSSNWHQHIDKAIHYCKTSSLGGWITNLLERAQSLYRSIHSRSAALLPISCSRCCGSASVYLPERLNCFSWHFDFVSGCQSLFTWTVNWIERDWFYDTIGITATGYQLEDGAAVYSFLPAIARWELRKGIEKIENTVTNVEKSPYLVETSSGSWNGIVNDHRRSITSTSTWKVFGRDRERNMVRNMLREGPDDSAASSSSRKCYSVICIHGIAGSGKITLAKYVCESEMEDKDKYFDTIMLIHVSKSYRREDVFRDMLQEITRSRHSEINDCKGLEENLVENLRGKRFLLVLDDLWVNDENHEKLLSPLNAGKAGSKILVTTQSKEAALGSNRLIAISDMEEEQYFSLFMHYALNSSILDDQEYIQIGRKIAKKLNRSPIAAVTVAGHLWRNPDIRYWQTTSNLDVLNKTKGALWWSYNQLLVDVRRCFQYCSIFPRSTRIREGQRWKKEDVEDVGEDYFHDLHSCSFLQLKRKAPSDISTGEYFTVHDMFHELAMTIAGSDCVKIEKSITERLPKHVRHLCIESYSEILFLEKILELKNLRTLIMCYSVEGMNPDDFERVLKKLTKLRVVDLDLGYLRRVPPCIGRLKHLRYLGIMSAPPHSFTLPAEFSKLYHLQELSVPPNTRLHCPSELKIANLINLRYMMTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNKLRGKLFIECIENVQSKEEAFQARLSDKVYLSELTLRWGGTDERCSKKAIEEYRGLRYPSWLTGEGHKKDEKDVHPALQNLMFWSCKGSNDPPKIGEHFTCLHTLSIADCSWNSLPANLYRMKTLKELIVQECPNIMSLPKLPQSLKSIVIADCNPFLAKSCQNPRHQNWGKIAHIDQQIIR >LPERR11G19360.1 pep chromosome:Lperr_V1.4:11:20102830:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDESADPLADFFSLPSSAGGGDAGGSEDDTFFFGLATAPAHVEDRLEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGPQESMNSRDYCNTVLLSMISQSCDWCCQNYMERYNLACESWENYVTSNCDSNWAMEKAVRAYCIIEDGSLASGSRLSPLANLRQVWGREFWS >LPERR11G19360.2 pep chromosome:Lperr_V1.4:11:20107837:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDESADPLADFFSLPSSAGGGDAGGSEDDTFFFGLATAPAHVEDRLEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFDD >LPERR11G19360.3 pep chromosome:Lperr_V1.4:11:20103723:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDELEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGPQESMNSRDYCNTVLLSMISQSCDWCCQNYMERYNLACESWENYVTSNCDSNWAMEKAVRAYW >LPERR11G19360.4 pep chromosome:Lperr_V1.4:11:20103723:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDESADPLADFFSLPSSAGGGDAGGSEDDTFFFGLATAPAHVEDRLEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGPQESMNSRDYCNTVLLSMISQSCDWCCQNYMERYNLACESWENYVTSNCDSNWAMEKAVRAYW >LPERR11G19360.5 pep chromosome:Lperr_V1.4:11:20107985:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDELEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFVELAY >LPERR11G19360.6 pep chromosome:Lperr_V1.4:11:20107985:20112934:-1 gene:LPERR11G19360 transcript:LPERR11G19360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAAKLAVLVAAAATAANAASYARYRRRHLRRIPNPIDESADPLADFFSLPSSAGGGDAGGSEDDTFFFGLATAPAHVEDRLEDAWLQFATETSCDDKEIVRNQKPVDAVMASAAGDGGSQQSSRLTSRDSIGDREQRKPLRVAMEAMLRGFEIFTEGGESADGDSCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGVTVFRMGVDWARIMPKELTEGFKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGLNYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFVITENGVSDETDVIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFTKVVRSGKITRQDRMSVWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFVELAY >LPERR11G19360.7 pep chromosome:Lperr_V1.4:11:20103723:20106417:-1 gene:LPERR11G19360 transcript:LPERR11G19360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCGELRELGPQESMNSRDYCNTVLLSMISQSCDWCCQNYMERYNLACESWENYVTSNCDSNWAMEKAVRAYW >LPERR11G19370.1 pep chromosome:Lperr_V1.4:11:20106869:20107372:1 gene:LPERR11G19370 transcript:LPERR11G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIADELKAKAEVYYDDEISQQCTKLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKINCAIPKPVVLMERAPQVIKNN >LPERR11G19380.1 pep chromosome:Lperr_V1.4:11:20116987:20120454:1 gene:LPERR11G19380 transcript:LPERR11G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLLHGIIDVNAIQTKNTYDSTRLTGWLPFGNLVQAVEESTGLGTGKTRVSVLVSLDKSPLARTADVIHNPSQTRWNETIRAYCAHTAAEVIFNIVIQNVDANAPDKTIGRAYLTVDELVTSPDQKIERWFRVLGTDASRSELPGKPWIYLSIKFNAAAVAGAGGGAVPRTFFPERPGSRVTLYQDAHCLDSFASRVQIPLASGDKYKHGRCWEDVFTAINNARHLVYIAGWSLYTEITLLRDDARPALPGGGKTTNLGELLKRKASEQGVRVLLMVWDDPSSIQMLHDLFGFEWSVMNTSDAHTDTIFRGSGVHCALIPRNTLMIPFAHLPLTDRPTHELPKPLDAHHQKTIVVDQEISSGHRHIVSFVGGIDLCDGRYDTQSHSLFSTLDKEHKWDFHQVSLKGAAAALGGPRMPWHDIHSRIEGPAAWDVLRNFEHRWKKQGQGESLLVDLEDLERRGLIMPALSPVVQNGDKEAWNVQVFRSIDSTSVVGFPTADDNDDVVSVNSRFSAGLFCGRKNIIVERSVQDAYIHAIRRAKNFIYIENQYFIGSSFQWAAGSVNPNDIYPPHNLIPRELSLKIVSKIEAGERFTVYVVLPMWSDGVPESPSVQEMLHWQRKTMEMMYKDVAEALKRNKNGAHPRDYLTFFCLGNREVKPNVDDEYIIVGSANINQRSLAGHRDTEIAMGAYQPYYVNDNSNAIAGARGQIHGFRMSLWYEHLGDADEDFLAPGSLKCVQKVNQMADANWKLYSQDTPVDLPRHLLPYPITITNEGLVKDSMEFFLDTQAPVRGKLAGFPYPGGILTA >LPERR11G19390.1 pep chromosome:Lperr_V1.4:11:20126364:20129156:-1 gene:LPERR11G19390 transcript:LPERR11G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRPNFTIAVIGLLLATISCLPSPTSQSFFDFGSLLGSGSGSGSGAGFGKWVVLNQEEYVEKKALYSMKAAGGIGGKTVDASLSEAEESKIIYTIDPKGKETTTTFTTITAAIAAVPEGNKKRVVMELTPGVEYREKVFINISKPFVSFVSDPMKPAVIAWNDTAATNGKDGKPFGTVGSTTVAVESDYFVASGVVFKNDAPLAKPGAKGGQAVALRLFGTKAALYNCTIDGGQDTLYDHKGLHYFKDCIIKGSVDFIFGFGRSLYEGCTIISVVKEVSVLTAQQRTKSIEGAIDSGFSFKNCSIKGEGQIYLGRAWGDSSRVIYSYTEMGKEVVPVGWDGWNIAKPESSGIYYGEFKCFGPGADAVNHAKRVGWALDLTEEQVKPFIGTHYVFGDSWILPPPKSGEKAGSTATASSNSTGTNTTTSSSAAGPTAEASSSAEGPTAAASSSATGSNKTASSSAAGPTTAVSSGDAGSTNKTASSSTTGSTATASSSAAGYNTTTANKTTSSSAAEATTKTSSTPATPAR >LPERR11G19410.1 pep chromosome:Lperr_V1.4:11:20145754:20151079:-1 gene:LPERR11G19410 transcript:LPERR11G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCWMKEVRELSYDIEDCIDHYEHATAAGSHSVPNISCRKLSRRPWNNTPLVPEKLKQRLWMANKIREFSMRAQDALLRHTMYNNLSGISSTAYTTRGDVCSNTPSHPTQFKVHVDNVGSVGTDPDGMEAILMNELNKLKNLLAGIPTASLMQFREHINKVHVIHAHMEAILNNLENMPPGITTASNSSRQPTKFQEHANYVHHVGIDAAMNKIQNWLTVCGEEKLKVVSIMGVGGIGKTTLANELYRKLRWQFECRAFVRTSQKTDMRRLLVNILSQVCPHQRPDNWKVHSLISSIRTHLQDKRYLIIVEDLWATSTWDIIKCALPESNSSSRILTTTEIEDLALQSCSYDSKYIFKMKPLCEDDSRNLFFSTVFGSRSNCPAELSEASYDIVRKCGGLPLAVVTIAGLLASQLEKQEQWDYINKTLGHSLMANPNLEGMKQLLSLCHNNLPQHLKACMLYLSMYQEDFVIWKDDLVNQWIAEGFICAIGGDDKEEISKAYVDELVGRKLIQPVLINDNDEVLSCVVHRVVLNFITCKSIEENFIIAIDHSLETTRFADKVRRLSIHFGSVENATPPTNMRLSQVRTVAFWGVLNYMPFIMEFRLLKVLALHFLGDEDGIISFDLTKILGLVRLRYLKVSTNVTLKLPTQIQGLQYLETLKIDGKIEAVPSDIIYLPGLLHLSLPAKTNLPNGIAHMALLRTLGYFDLSCNSAENLWSLGGLTNLRDLQLVCSDIHSDNLKNNIKYLGSILGKLRNLKSITLSLGGYSYVNTPHKDNATSMRIFVGGWSNMSSVPAFLERFEFLPCVCIFSSRPNWIGQLGNLCILKIGIREVTSCDVDVLGGLLALTVLSLHVHTKPAESIVFGNIGFSILKYFKFRCCVAWMKFEVGAMPNLQKLKLDFNVHRADRLDTIPVGIEHVSRLEEISAKIRVSCAADDFSKRFAESALTKAIRMHPGRPSVNIRCVDWTFDGEDDDNVGIRKKLPREKRQVRMNCMEDDGFTWMKYGQKDIPGAMCPRTYFRCTHQSMKGCQATKHVQRTNDDLLLVDVVYYGAHTCGDIAHSDNQMSLRSAEIHSSNLQEIQESPDLWLNGPEQSTIYNDDDLPHHNKQPEKTVEKRTTQVRVSSMQDIAPLDDGYSWRKYGQKDILGAKYPISYYRCTHTFYGCGAKKKVQRTDGDPLLFDVEYKGVHTCHDKAHVSPRRPSPPQSTTCRVPHPKDDDNDD >LPERR11G19410.2 pep chromosome:Lperr_V1.4:11:20145756:20151079:-1 gene:LPERR11G19410 transcript:LPERR11G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCWMKEVRELSYDIEDCIDHYEHATAAGSHSVPNISCRKLSRRPWNNTPLVPEKLKQRLWMANKIREFSMRAQDALLRHTMYNNLSGISSTAYTTRGDVCSNTPSHPTQFKVHVDNVGSVGTDPDGMEAILMNELNKLKNLLAGIPTASLMQFREHINKVHVIHAHMEAILNNLENMPPGITTASNSSRQPTKFQEHANYVHHVGIDAAMNKIQNWLTVCGEEKLKVVSIMGVGGIGKTTLANELYRKLRWQFECRAFVRTSQKTDMRRLLVNILSQVCPHQRPDNWKVHSLISSIRTHLQDKRYLIIVEDLWATSTWDIIKCALPESNSSSRILTTTEIEDLALQSCSYDSKYIFKMKPLCEDDSRNLFFSTVFGSRSNCPAELSEASYDIVRKCGGLPLAVVTIAGLLASQLEKQEQWDYINKTLGHSLMANPNLEGMKQLLSLCHNNLPQHLKACMLYLSMYQEDFVIWKDDLVNQWIAEGFICAIGGDDKEEISKAYVDELVGRKLIQPVLINDNDEVLSCVVHRVVLNFITCKSIEENFIIAIDHSLETTRFADKVRRLSIHFGSVENATPPTNMRLSQVRTVAFWGVLNYMPFIMEFRLLKVLALHFLGDEDGIISFDLTKILGLVRLRYLKVSTNVTLKLPTQIQGLQYLETLKIDGKIEAVPSDIIYLPGLLHLSLPAKTNLPNGIAHMALLRTLGYFDLSCNSAENLWSLGGLTNLRDLQLVCSDIHSDNLKNNIKYLGSILGKLRNLKSITLSLGGYSYVNTPHKDNATSMRIFVGGWSNMSSVPAFLERFEFLPCVCIFSSRPNWIGQLGNLCILKIGIREVTSCDVDVLGGLLALTVLSLHVHTKPAESIVFGNIGFSILKYFKFRCCVAWMKFEVGAMPNLQKLKLDFNVHRADRLDTIPVGIEHVSRLEEISAKIRVSCAADDFSKRFAESALTKAIRMHPGRPSVNIRCVDWTFDGEDDDNVGIRKKLPREKRQVRMNCMEDDGFTWMKYGQKDIPGAMCPRTYFRCTHQSMKGCQATKHVQRTNDDLLLVDVVYYGAHTCGDIAHSDNQMSLRSAEIHSSNLQEIQESPDLWLNGPEQSTIYNDDDLPHHNKQPEKTVEKRTTQVRVSSMQDIAPLDDGYSWRKYGQKDILGAKYPISYYRCTHTFYGCGAKKKVQRTDGDPLLFDVEYKGVHTCHDKAHVSPRRPSPPQSTTCRVPHPKDDDNDD >LPERR11G19420.1 pep chromosome:Lperr_V1.4:11:20151143:20152398:-1 gene:LPERR11G19420 transcript:LPERR11G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEALPAVGCVSLNQSTEDTSVDQPDAQTSGVMSSASCKHASSKSLPKIKISMEGSMFNLPARLDRLLRHHGNILPKGADEEIPLIKRDLE >LPERR11G19430.1 pep chromosome:Lperr_V1.4:11:20154730:20155980:-1 gene:LPERR11G19430 transcript:LPERR11G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYETPPQEMYSPRASRNHEIPSNTISELPRGIEGLHCLETLDLRRTKIEVLPIEAIMLPHLVHLFGKFMLHKDDLKNVNKRGQLPKFFSSNKSNLQTLAGFITDERRGFLQLIGHMRKLRKVKIWCKHVAGSSDYIAELSQAIQKFTKAPIDRDSNRSLSLDSEECSENFLSSLDLEPCSVDSKYHLRSLKIHGKLLQLPPFVNSLSGLIELCISSATLTQDHLSALVNLNRLLYLKLVADKLENFEIKHGAFLSLRRLCFVVKSVTSALPKIEQGAVPILVSLQLLCQGLVGLSGIEIRHLKHLKEVTIDSGVAAQTRQDWEQAAKNHPNRPRVLLLGKVDAVESHEPGRPCAIREKRKISLGQASPEDGPDSRFKRTKLSEPSSSSQLQVILHPCPVVVTATEASSQTSMANL >LPERR11G19440.1 pep chromosome:Lperr_V1.4:11:20163553:20164735:1 gene:LPERR11G19440 transcript:LPERR11G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPVGPSTIVRNTELEQLEVQRLKDQIEYLKNEVARLNREYMHDLRRVDANHAFLPECSGLINPTVVFTGEEAAGTNKRM >LPERR11G19450.1 pep chromosome:Lperr_V1.4:11:20169993:20176582:-1 gene:LPERR11G19450 transcript:LPERR11G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMQPPSLPTGQQPTNPIKEATLLICLERRISMEGAMLNLPARMEELLHHHGSLLPKGAEDEIPLIKQDLQQIISILHGNMKPKLEDHAMVVRCWMKEVRELSYDIEDCIDQYEYAAATGYRSGSSKVRHRKFSLRLGKKKTPHLPEKLKQRLWMANKMREFSLRSQEAIQRHAMYNLGDIANTALLSSSSALSWHPEPDRKKSGNKKSVNVGMDTSMNKIIDWLTDGEEKLKVVSIVGVAGVGKTTLANELYRKIRWQFECRAFVRTSEKTDMRRILISMLSQVCPHQPPENWKVHSLISSIRTHLQDKRYLIIIEDLWATSTWDIIKCALPESNSSSRILTMTEIEDLALQSCSYDSKYIFKMKPLCEDDSRNLFFNTVFGSRSNCPAELSEVSYDIVRKCGGLPLAVVTIASLLASQLEKREQWDYINKTLGHSLMANPNLEGMKQLLSLCYNNLPQHLKACMLYLSMYQEDFIIWKDDLVNQWIAEGFICAIERDDKEEISKAYFDELLGRKLIQPVLINDNDEVLSCVVHHVVLNFITFKSIEENFIIAIDHSQAITRFADKCVPFIIEFQLLKVLILHFRGDEDCIISFDLTKISELVRLRYLKIISNVTLKLPTHMEGLKYLETLKIDGKIDGVPSDIIHLPRLFHLSLPAKTVLPSGIAHMTSLHTFGYFDLSCNSTENMQSLGQMTNLRDLELAYSGSIHSDILKENMQCLGSILGKLSNLKSITLSRPGSSYANTLHVSANVLSSLSSPSALLQRLELLTNFCIFYSLPKWTGQLGNLRILKIGVKEVTTNDVDALRELLTLTVLSLYVHTKPAERIIFDKAGFSVLRYFKFRCSVPWLKFETGAMSNLRKLKLGFDVHRADQHDTIPVGIEHLLGLEEISAKIRVSCTSDDLCRRFAESALTNAIRMHPGRPSLNIRCVDWTFGDKDDDNVGTREEELGTLLKRVSEDGSNEVSEVLQKDPREGTHKYVDSSTQKTIETYTKDNISSMTAHRDISSTTVQVVMTLQKRRHVMKDGSNEKTIETYTKDNMSSMTAHRDVSSTTVQQDLEQEPASNRPAAAAAHLGGGGAGESPLPSPHGAKGVLPPAMRKRTRAQTTVLTTDTSNFRTKVQEFTGIHHSLPFTSSPSPPPPPATP >LPERR11G19450.2 pep chromosome:Lperr_V1.4:11:20169991:20176582:-1 gene:LPERR11G19450 transcript:LPERR11G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMQPPSLPTGQQPTNPIKEATLLICLERRISMEGAMLNLPARMEELLHHHGSLLPKGAEDEIPLIKQDLQQIISILHGNMKPKLEDHAMVVRCWMKEVRELSYDIEDCIDQYEYAAATGYRSGSSKVRHRKFSLRLGKKKTPHLPEKLKQRLWMANKMREFSLRSQEAIQRHAMYNLGDIANTALLSSSSALSWHPEPDRKKSGNKKSVNVGMDTSMNKIIDWLTDGEEKLKVVSIVGVAGVGKTTLANELYRKIRWQFECRAFVRTSEKTDMRRILISMLSQVCPHQPPENWKVHSLISSIRTHLQDKRYLIIIEDLWATSTWDIIKCALPESNSSSRILTMTEIEDLALQSCSYDSKYIFKMKPLCEDDSRNLFFNTVFGSRSNCPAELSEVSYDIVRKCGGLPLAVVTIASLLASQLEKREQWDYINKTLGHSLMANPNLEGMKQLLSLCYNNLPQHLKACMLYLSMYQEDFIIWKDDLVNQWIAEGFICAIERDDKEEISKAYFDELLGRKLIQPVLINDNDEVLSCVVHHVVLNFITFKSIEENFIIAIDHSQAITRFADKVRRLSIHFELVRLRYLKIISNVTLKLPTHMEGLKYLETLKIDGKIDGVPSDIIHLPRLFHLSLPAKTVLPSGIAHMTSLHTFGYFDLSCNSTENMQSLGQMTNLRDLELAYSGSIHSDILKENMQCLGSILGKLSNLKSITLSRPGSSYANTLHVSANVLSSLSSPSALLQRLELLTNFCIFYSLPKWTGQLGNLRILKIGVKEVTTNDVDALRELLTLTVLSLYVHTKPAERIIFDKAGFSVLRYFKFRCSVPWLKFETGAMSNLRKLKLGFDVHRADQHDTIPVGIEHLLGLEEISAKIRVSCTSDDLCRRFAESALTNAIRMHPGRPSLNIRCVDWTFGDKDDDNVGTREEELGTLLKRVSEDGSNEVSEVLQKDPREGTHKYVDSSTQKTIETYTKDNISSMTAHRDISSTTVQVVMTLQKRRHVMKDGSNEKTIETYTKDNMSSMTAHRDVSSTTVQQDLEEPASNRPAAAAAHLGGGGAGESPLPSPHGAKGVLPPAMRKRTRAQTTVLTTDTSNFRTKVQEFTGIHHSLPFTSSPSPPPPPATP >LPERR11G19460.1 pep chromosome:Lperr_V1.4:11:20176415:20189932:1 gene:LPERR11G19460 transcript:LPERR11G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASAFLEAVMGKLFMVLDKEYSKHRALEQEIRSLQQDFRMVAAAMDDQLFSMGRSDARRSAFARLNAEVMLDLEHNIEDCVDRFMHLLSCKKSDHAGASSSSMVGRVTHGLKKVWSRSSFGDEIQKLKTRLSEAREHVFAGSQLAVSSLSSTAAAVCRAARSPVGIGEPLEELLSLLDEVEGEPEQMRVISVVGFGGSGKTTLAKAVYDDPRAKGKFQHRAWVAAGGSPETNDGVKGILRDVLQQVCPNDTMDLDCHNLEAALKEYLKDKRYLIVIDDIGADQWRIISSTFEDKGTGSRIILTTDIQSVANECSHGNGYVYQMKTLGKEDSKKLAFPGFRSPELTIDSGVTIDSGVTAQKRQDWEQAAKNHPNRPRILLLGKVDAVESQEPGRPCATREKRKISLGQASPEDEPDSSLKRTRLSEPSSSQLQLYTCARDLPMKAGVLPPAAVITTDERSPDAAKKNALKVLFETCFKKLATNIHGFLVGMHLPEHIMKDPTHFRQSTHGAGISPLLEELLDLVGEAGAAFDFLQLVHDPANKGCAGAGEIVLAGVACCESSELVVHGGGYHAEVLLQRVAFLGKALCWYLFSSSMNSLFFKAFKNALAAVDSMDGRVQRSSSSLGLQPPTPSLQPSQRLTFAPVYARISPSECKIRKSSVALIISENTFMNLVRNTGHGTMVNLPGRLEELLCRRGSMLPKGAEELPLIKQDLEEIISILHGHKDTGKLDYHPNDASMVRKCWMKEVHELSYNIEDCVDQYEHNTAAIRYRSGTIRRRQFNRQHGNKTTRLPVKLKQRLWMANKIREFSLRVQETLQRHSMYKYNNLSCIASTASTATISAASSRLPSHPGLGGKRGNVGINDNMNKLEGWMIDGEEKLKVVSIVGVGGVGKTTLANELYRKLRRQFECRAFVRTSHKPDVRRILISILLQVRPHQPLDNWKVHSLISSIRTHLQDKRLILAVYFIVQKNILEVIFDRYLVIIDDLWATSTWDIIKSALPDGISCSRILITTEIENMALQSCGYDIKYVFKMKPLCEDDSRNLFLSTVFGSHSNCPPELMEVSYDIVRKCGGFPLAVVTVASLLASQLEKQEQWDYLNKSLGYSLMANPNQEGMQQLLNFCYNNLPHHLKACMLYLSMYQEDYIIWKEDLVNQWIADGLICAIEGHDKEEISKAYFDELVGRKIIQPVHIDDNGEVLSCVVHHMLLDFITYKSVQEIFFIAIDHSQATTRFSDKVRRLSIHFGNVEDATPPTNMRLSQLRTFAFFGVLKLLHLMGDEDSIINFDLAKISEFVRLRYLMVTSNVTLKLPTKMQGLQYLETLNIDGKIDAIPSDIIQLPGLLHLSLPAKTTLPNGIVHMISLRTLAYFDLSCNSAENLWSLGELANLRDLRLAYSAIHSDNLNNTMQYLGSILGNLHNVKSITLSPVGSSYADTLHIDCTTRTRISADGWSSVSPLVPTPVLLQRLDLLPCVCIFSSLPNWIGQLANLCILKIGVREVTSNDVDVLGGLQALTVLSLYVHTKPAERIVFGNIGFSILKYFKFRCSLAWIKFEVGTMPNLQKLKLDYNIHRADQHDSIPIGIEHLSRLEEISAKIRVACTTDDLSKRFAESALTNAIRMHSGCPSINIHFVDWTFDGKDVVSVGTREEEHRTLLRQDHIVKEGPTEKSASLQKDPREGSHTSVDRRETQPKQRIQVRVSCTADDGFSWMKNGEKEHGTKHPRRYFRCTHRETKGCLAMKQVQPTDDDPLILDVIYFGEHTCDHRSPAKPLKARLIDLINPPKNALVVKRTMQVRVSSVQDVASLDDGYSWRKGYYRCAHRNTQGCKATKQVQRTDGDPLILDVIYHGDHTCDQTALSDSQLRSSQPTASSNLQELLLPGMVLYRPAITEWPPHLAKSHRETVMKKSKQVTVSSLEDGHSWRMYGQKDIYGSKYPRSKHLKSTVNGIAAYLLHETDHLILIKIGSCRSYYRCNHRNSQDCRATRQVQCNDNDPKLFDVLYHGQHTCKQSRNLQLEGQGSSITCNASTVDEKDATSVANFLSIDDVLDMGDGDDDVLKDNETTNTSMADVMTWISIQ >LPERR11G19470.1 pep chromosome:Lperr_V1.4:11:20182989:20189898:-1 gene:LPERR11G19470 transcript:LPERR11G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVVSLSFNTSSSPSPISSTSSIERKLATEVASFSSTVLALQVILEPCPSSCKFRDCLQVCWPWYRTSKSFGSLSLHCTCLVARQSCEFRPKLLLLLCTRPSMESTAASAFLKALKKRLFMELENNTEPCPGKPLAAARPPHDSHRHGRPALNFRNKQHLCPHGQTAVAWQHTEEMLDLARDIEDCLTCKHNLTSARASLVRRVMHKLKKVKSCSSFADEIQKL >LPERR11G19470.2 pep chromosome:Lperr_V1.4:11:20182989:20184848:-1 gene:LPERR11G19470 transcript:LPERR11G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIELTATNSSTSIPDGSRVVLVLVNAVFDVVGQLVNLLHPALPYHASIIGMVIQFPGVLVAMENGDYFLKILLDEWPKLLLLLCTRPSMESTAASAFLKALKKRLFMELENNTEPCPGKPLAAARPPHDSHRHGRPALNFRNKQHLCPHGQTAVAWQHTEEMLDLARDIEDCLTCKHNLTSARASLVRRVMHKLKKVKSCSSFADEIQKL >LPERR11G19470.3 pep chromosome:Lperr_V1.4:11:20185032:20189487:-1 gene:LPERR11G19470 transcript:LPERR11G19470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWPYILQLWPSSRELTVTCLLFFITAMHLPVIKWLVWANLQKYADENPPYIRLMGCPHKCSALKLPPEFAIQLVGERGLADSSNSHNGHHLELLLSINHPTFKLIHIVVDTNITTLPTQSRMRR >LPERR11G19470.4 pep chromosome:Lperr_V1.4:11:20182989:20183364:-1 gene:LPERR11G19470 transcript:LPERR11G19470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAASAFLKALKKRLFMELENNTEPCPGKPLAAARPPHDSHRHGRPALNFRNKQHLCPHGQTAVAWQHTEEMLDLARDIEDCLTCKHNLTSARASLVRRVMHKLKKVKSCSSFADEIQKL >LPERR11G19470.5 pep chromosome:Lperr_V1.4:11:20189488:20189898:-1 gene:LPERR11G19470 transcript:LPERR11G19470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVVSLSFNTSSSPSPISSTSSIERKLATEVASFSSTVLALQVILEPCPSSCKFRDCLQVCWPWYRTSKSFGSLSLHCTCLVARQSCEFRRYAAMPLTVLFRCFDLGYLEP >LPERR11G19480.1 pep chromosome:Lperr_V1.4:11:20190447:20191272:-1 gene:LPERR11G19480 transcript:LPERR11G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPKGSSHQLGQGLASSAPCRLTSTGTGPAYSSKPGSATRKGKGGARSQQDDKKRAGAVYSKPSTCSKMGKTSSMKLNVDGSFHPCNGTAGTGMVMRDRNGQLEVEIIACRDGLKLALQWTMLPARHPIVAEDDCSTLPFFIHELQQLAVGERSVKIVKIHHDQNKISHVLANSERLGDHLGLWLGHHISPVDQLIHEDYINSYPD >LPERR11G19490.1 pep chromosome:Lperr_V1.4:11:20207500:20219626:1 gene:LPERR11G19490 transcript:LPERR11G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQVTSSVPVKVSTTPIFPMIQRGHAKNKDIQVLLRVEAPPAAYLKGRVPIDLVVVIDVSGSMNDPAAAAAWPDNNKLPSRLDLLKEAMKFIVRKLDDGDRLSIVPFNDRVIKEYSTGLLEISGNGRSIAGKKVDRLEAHGGTALLMPGLDEAVKVLDSRPGNSQKRVGFIVLLTDGDHTRNGFQWSRELIRGVVSKYPVHTFGLGAAHDQEALLYIAQESRGTYSFVDDENLDKIAGALAVCLVGLKTVATVDTRVSLKVTDQLSGARIERIDSGGYESRIACGGIAGEVVIGVLYAGEVKSFVVHMNVGHHCQLNVVCSYTDPDTTGSVSVEAQRPEEAVFVVDGVHLPSPVVLQHMVHFELLEMIAGFVQSEISLQKTMTMTAKQLRGNVLQSKWEEFRRARQFWGGVKLDGVEKEVNAMVISLRSGLAYVCSWVSSHHMQRATAMGSPDKVAAEFTSPEMVTMLEEARKVMTAGAVKVSTAPIFSMIPRDQTNKDFQLLLRVEAPPAVDLKGRVPIDLVAVVDVSGSMNELAATSSQSWLDVLKDAMKFIIRKLDDGDRLSIVAFNDQPVKEYSTGLLDISGDGLSIAGRKVDRLKARRGTALMPGLEEAVKILDGRPADSQSHVGFIVLITDGADTSGFQWSREAIHGGAISKYPVHAFGLGTAHDPEALLCIAQESRGTYSFVDDENLDKIADALAVCLGWLKTVAAVDTRVSLKVAEFSGARIERIDSGGYKSRVACDGASGEVVVGVLYAGEVKSFVVHLHVPAAASLSSSVEQRLITVGGWYAHAPGAGAVCIEEHGVFVKRPDQEAAIVVAPSPIVLKHMVRFKLLEIIAGFVEGEIILEEKTATAMKQLHCLVLQRMWEDSKRAGQFWGGAKLGGIKEEVDALVSSLRKGLAYVCSWVSSHQMQRATAMGSPEPDKVVAAEFMTPAMATMHAEGGAEASFSG >LPERR11G19500.1 pep chromosome:Lperr_V1.4:11:20230320:20233075:1 gene:LPERR11G19500 transcript:LPERR11G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAVKVSTTPIFSIIPRDQTNKDFQLLLRVEAPPAADLKRRVPIDLVAVVDVSGSMRDPAEASWENKLSPPRLDVLKKAMKFIIRKLDDGDRLSIVAFNDRPVKEFSTGLLDISGDGRSIAGKMVDRLEAHGGTALMPGLEAAVKILDGRPGESGHRVGFIVLLTDGADRSGFRWSREAIHGGLSSKYPVHTFGLGAEHDPEPLLYIAQESRGTYSFVDDENLDKITGALAVCLAGLKTVAAVDTRVSLKATELSGARIERIDSGGYESRVACDGASGEVVVGVLYAGEVKSFVVHLHLPAAAQSLEECCRHKQQRLLAVGCSYAGDTTPGDVRGVFVERPEDEEEETLLPSPIVLQHMVRFELLELVESEVILEKKKKKTTAAAKRLQSKWEEFRRARQFWSGVDLDGVEEEVNAMVSSLRRGLAYVCSWVSSHQMQRATVMGSPDNVAAAKFMTPAMATMLEEAQKLPPPAANVDQQPGGCDDDLQRMIGQRLEMWSKVRREVPLMYHPSSEDGDHRHGQAVVHASNQRRCDGHRDQAQGK >LPERR11G19510.1 pep chromosome:Lperr_V1.4:11:20243675:20256666:1 gene:LPERR11G19510 transcript:LPERR11G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMFSLPARLDGLLLPLHGSILPKGAEEEIPLIKRDLDEIISILHGHKEAEEDHANDSRIMWMCWMKEVRELSYDIEDCIDQFEHAAAPRYRSRSLQQDRRRKWLSRQRGKKQMAPWVPEKLKQRLWMANKIREFSLRSQEAIQRYAMCKNLSGIGTDFTTRGDVSITPCHPKRFNFKEHADNVRLADAQADIDAALKKVNNLKNLLPADSAHIVADIEAALKASLKKLKQNMLPGTPTSSTTRGHVGIDSSMSKLENWLAVCGEEKLKVVSIVGVGGVGKTTLANELYCKLRWQFECRAFVRTSKKPDIRRILISMLSQVRPHQPPDNWKVHDLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCTLPDGNSCSRILITTEIEDLALQFCSYDSKNIFKMKPLGEDDSRTLFFSSVFGSHSNCPPELSEASYDIVRKCGGLPLAIVTIAGLLVSKLQKQEQWDCINKSLGYSLMANPNLEGMKQLLNFCYNNLPQHLRACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEVHNKEEILSTYFDELLGRKIVQPVHINDNGEVLSCVVHHMVLNFITHKSIEENFIVAIDHSQATTRLADKVRRLSFHFGHAEDETPTNMRLSQVRTLALFGVLNLMSFITGFRLLKVLILHFWGDEDSISFDLTKVSELALLRYLKVTSNVTLKLPMRMQGLQYLETLKINGKIGAVPSDIVHLPCLLHLSLPAKTNLPNGIVHMTSLRTLGYFDLSCNSTENLWSLGELINLQDLQLAYSAIQFDNLNNNVQYLGSILGKLRNLKFITLSPTSSLYENTLHTNSGISVRISVDGWSSLSSPPALLQTFDLLPCVCIFSSLPNWIGQLRNLCILKIGIREVTNNDVDVLGGLLALTVLSLYVHTKPAERIVFGNVGFSILKYFKFRCSLARIKFDAGTMPCLQKLKLDFNVHRADQHDTIPIGIEHLSRLEEISAKIRVACSADDLSKRFAESALTNAIKMHSGCPRVNIRSVDWTFDGKDDISVGTREEEHRTLVRQDHTVKEGPNEISAGLQKHSVKDHIQLLIEGRRSPRKGSR >LPERR11G19510.2 pep chromosome:Lperr_V1.4:11:20250526:20256171:1 gene:LPERR11G19510 transcript:LPERR11G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMFSLPARLDGLLLPLHGSILPKGAEEEIPLIKRDLDEIISILHGHKEAEEDHANDSRIMWMCWMKEVRELSYDIEDCIDQFEHAAAPRYRSRSLQQDRRRKWLSRQRGKKQMAPWVPEKLKQRLWMANKIREFSLRSQEAIQRYAMCKNLSGIGTDFTTRGDVSITPCHPKRFNFKEHADNVRLADAQADIDAALKKVNNLKNLLPADSAHIVADIEAALKASLKKLKQNMLPGTPTSSTTRGHVGIDSSMSKLENWLAVCGEEKLKVVSIVGVGGVGKTTLANELYCKLRWQFECRAFVRTSKKPDIRRILISMLSQVRPHQPPDNWKVHDLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCTLPDGNSCSRILITTEIEDLALQFCSYDSKNIFKMKPLGEDDSRTLFFSSVFGSHSNCPPELSEASYDIVRKCGGLPLAIVTIAGLLVSKLQKQEQWDCINKSLGYSLMANPNLEGMKQLLNFCYNNLPQHLRACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEVHNKEEILSTYFDELLGRKIVQPVHINDNGEVLSCVVHHMVLNFITHKSIEENFIVAIDHSQATTRLADKVRRLSFHFGHAEDETPTNMRLSQVRTLALFGVLNLMSFITGFRLLKVLILHFWGDEDSISFDLTKVSELALLRYLKVTSNVTLKLPMRMQGLQYLETLKINGKIGAVPSDIVHLPCLLHLSLPAKTNLPNGIVHMTSLRTLGYFDLSCNSTENLWSLGELINLQDLQLAYSAIQFDNLNNNVQYLGSILGKLRNLKFITLSPTSSLYENTLHTNSGISVRISVDGWSSLSSPPALLQTFDLLPCVCIFSSLPNWIGQLRNLCILKIGIREVTNNDVDVLGGLLALTVLSLYVHTKPAERIVFGNVGFSILKYFKFRCSLARIKFDAGTMPCLQKLKLDFNVHRADQHDTIPIGIEHLSRLEEISAKIRVACSADDLSKRFAESALTNAIKMHSGCPRVNIRSVDWTFDGKDDISVGTREEEHRTLVRQDHTVKEGPNEISAGLQKHSVKDHIQLKTQPKERIQVRVSSMVDDGFSWIKYGEKDILGAKYTRSYFQCTHRESKGCLATKQVQPTDGDPLILDTLMKKSTQVRVSSLYDALDDGHSWRKYGQKDIQGSMHPRCTHRNSQGCQATKQVHRNDDDPQLFDVVYHGDHTCHQMASFNLNEQTTWWSPSSASLEQSQNLQSSSQLEEQGSTVTCTVSTVNEEDDLLGGHIPLN >LPERR11G19510.3 pep chromosome:Lperr_V1.4:11:20250526:20256171:1 gene:LPERR11G19510 transcript:LPERR11G19510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMFSLPARLDGLLLPLHGSILPKGAEEEIPLIKRDLDEIISILHGHKEAEEDHANDSRIMWMCWMKEVRELSYDIEDCIDQFEHAAAPRYRSRSLQQDRRRKWLSRQRGKKQMAPWVPEKLKQRLWMANKIREFSLRSQEAIQRYAMCKNLSGIGTDFTTRGDVSITPCHPKRFNFKEHADNVRLADAQADIDAALKKVNNLKNLLPADSAHIVADIEAALKASLKKLKQNMLPGTPTSSTTRGHVGIDSSMSKLENWLAVCGEEKLKVVSIVGVGGVGKTTLANELYCKLRWQFECRAFVRTSKKPDIRRILISMLSQVRPHQPPDNWKVHDLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCTLPDGNSCSRILITTEIEDLALQFCSYDSKNIFKMKPLGEDDSRTLFFSSVFGSHSNCPPELSEASYDIVRKCGGLPLAIVTIAGLLVSKLQKQEQWDCINKSLGYSLMANPNLEGMKQLLNFCYNNLPQHLRACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEVHNKEEILSTYFDELLGRKIVQPVHINDNGEVLSCVVHHMVLNFITHKSIEENFIVAIDHSQATTRLADKVRRLSFHFGHAEDETPTNMRLSQVRTLALFGVLNLMSFITGFRLLKVLILHFWGDEDSISFDLTKVSELALLRYLKVTSNVTLKLPMRMQGLQYLETLKINGKIGAVPSDIVHLPCLLHLSLPAKTNLPNGIVHMTSLRTLGYFDLSCNSTENLWSLGELINLQDLQLAYSAIQFDNLNNNVQYLGSILGKLRNLKFITLSPTSSLYENTLHTNSGISVRISVDGWSSLSSPPALLQTFDLLPCVCIFSSLPNWIGQLRNLCILKIGIREVTNNDVDVLGGLLALTVLSLYVHTKPAERIVFGNVGFSILKYFKFRCSLARIKFDAGTMPCLQKLKLDFNVHRADQHDTIPIGIEHLSRLEEISAKIRVACSADDLSKRFAESALTNAIKMHSGCPRVNIRSVDWTFDGKDDISVGTREEEHRTLVRQDHTVKEGPNEISAGLQKHSVKDHIQLKTQPKERIQVRVSSMVDDGFSWIKYGEKDILGAKYTRSYFQCTHRESKGCLATKQVQPTDGDPLILDVIYCDEHTCDESTLSDTQLRPSKPTESYNLQGLQPGVEQNPAKRRRKTLMKKSTQVRVSSLYDALDDGHSWRKYGQKDIQGSMHPRCTHRNSQGCQATKQVHRNDDDPQLFDVVYHGDHTCHQMASFNLNEQTTWWSPSSASLEQSQNLQSSSQLEEQGSTVTCTVSTVNEEDDLLGGHIPLN >LPERR11G19510.4 pep chromosome:Lperr_V1.4:11:20239069:20243746:1 gene:LPERR11G19510 transcript:LPERR11G19510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVQSLVSNVGPLLGQEFKQLRGVGVEVARLRNELATINTLLRMQSEADESGVDHFVREWMKQLREVAYDAEDCVDLYQFRIRCRSGDRLFAWTKRLLTTLLARRRLAGDIRALRALQVASVRAEVTPAAKNSDDNVDGRFVDNKGKATELAKKVSAVRSEVDDDKKRKVFSIVGFGGLGKTALAREVCRRLEREFQFQAQVAVSQTFSSKDVKGLLKRVLRQITHPIDPATKIVDNAMTSTTAGQLQEDSSQQRAGTEQEANIDTMDVDALVIELKKRLDNKRYLILIDDVWSIAAWDAIRSKLPGSNCGSRIIVTTRIHTVAKACSSSDTNDYYIHHVKKLDDAESKQLFISKAFGSTGSCPDELKDAMESILKKCSGLPLAIVSIASLLASYKPPEGKEMWETIKNSIGSQMENNPTLEGMRQILTLSYNHLPHHLKACMMYLSIFPEDYMIVKDRLLKRWIAEGLIAEKRGLGQMDLVEGYFNELVSRNMIDMVINKDTLHQGAREEQCRVHDMMLEILVSKSLESNFVSLVGGQYEGMSYTDQTIRRLSIHGGVEAVHKDSSSSKNMSAHFGTGNSIKGMMMQHVRSLSIFDPEAHNLLDRLGEFTLLRVLDLEDCNGLTNKHMSCICRMYLLRFLSLKGTDIKEMPPRVGDLEHLQTLDVRKTQLEDLPKTVTKLEKMEHLLFSYKGDSGWMLPQGINKMKALRQLKNATVVSDAKVAEEIGELGQLQELAIYVDTGKEMNMDVVEKLASSLSRMHSLRWLDIGNLNAGKWPFKQIMTFLHDIKSPPQLLRYLRICGHIDKLPNWMDESLTDLVELEIRWTYLDGAQLFNVLCKLPNLKRLFLGSYFIRGDHMVACSSKPFLELKELILGYTASNCRVYEFVEGSMPNLEMLVVYYGDQGKQIVGIEHLKKLKEVQYIGTSEPIEKLKQILRPVEQLNDKLDVSKRIMIKVSYDW >LPERR11G19520.1 pep chromosome:Lperr_V1.4:11:20246463:20249757:-1 gene:LPERR11G19520 transcript:LPERR11G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLKAVMGRLFMALEKEYNKHRSLTQETNSLQQDLRMIAAAMDDQLHSMGTSDARTAVARLHTEEMLDLAHDIEDCVDRFMHRLTCRHNLAGAGAGASSLVRRVTHELKKVKSRSSFADEIHKLKKRLREAHNRIITINPPPPLAIAGGGSSSSSSTAAPAPAARITANPVGIGEAVEEIISMLDEVEGEPEQMRVISIVGFGGMGKTTLAKAVYDDPRAKGKFPHRAWVAAGGSPEMKGILHDVLRQVRPDDEAMDVDGQHLETAIKEYLKDKRYLIVIDDIGMDQWRMISSTFEDNGTGSRIILTTTIHSVANMCSHGNGYVYQMNTLGEEDSRKLAFPVFRSPELEQGSASLLGKCDGLPLALVSVSAYLKSSCEPTGELCAKLCRNLGSHLKEKHGHGSFSELRKVLLDNYDSFSGYALSCLLYLSIFPNNRPIKKKVVIRRWLAEGYARSDTLDSEEDTADDNFRELIYRNIIQPVDTRNNSEEKTCKTHGIMHEFLLNKSLSQRFIATSSHDHPRLSTNARHLSVHAGELTECVASDQDLSRVRSLTIFGHAGDAIYYFRKCKLMRVLDLQDCNGLDDDHLKHIFKLWHLKYLSFGVNISELPRSIEGLHCLETLDLRRTDIKFIPSEAVMLPHLAHLFGKFMLHKDDLKSVNKMSKLRKFFSSNKSNLQTLAGFMTDEEKGFLQLIGNMKKLRKVKIWCKHVAGSSNYRTDLSLAIQEFTKVPIERDSYRSLSLDSEECSENFLSSLDLEPCSADYKYHLRSLKLHGKLIRLPPFVTLMSGLTELCISSATLTEDHLSALLNLNSLLYLKLIADKLENFEIKNGAFLSLRRLCFVVKSMTSALPTIMQGALPNLVSLQLLCRCLAGLSGIEIRNLKHLKEVTIDSAVTAQTRKDWEQAAKNHPNRPKVLLFRKADLIESEEPGRPCAIGEKRKISVAQTTGSDYGLDSSLKKMRLSEHSSSRVQVIVHPVVVTAAEAAPQPSLANL >LPERR11G19530.1 pep chromosome:Lperr_V1.4:11:20259411:20259979:-1 gene:LPERR11G19530 transcript:LPERR11G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKIQGHVLMSLFIEKLSGLYIYIDDNGEVLSCVVHHMVLKFITYKSMEEKFIIAIDHSQATTKLADKDRELSIHFSNVLTLEDGTSILTLDFWGDEDSISFDLTKILELIRLRYLKITSNVSLKLPAHDTGSTIFGDPENRWIFIRHH >LPERR11G19540.1 pep chromosome:Lperr_V1.4:11:20264148:20267843:1 gene:LPERR11G19540 transcript:LPERR11G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVTASLLACLLSVLLFTEVRTVAAATDMKVITTPMFNKIPRTQTSKHFQMLLRVEAPETTDLKRRFPIDLVTVVDIAGDNNLEPVKKAIKFAIRQLNNDDYLTIVATPNSQEVLNSTMLSLGGRRTAKKKVDQLKVRGGGQSSGLDEIFKMLEKQQPSTEGRAKFIVLVTDATTGSLTGTIPDTFNHPPVHAFGLGSTHDALPLRLIANQTHGTYSFLDDANAGDVSVALSLCLGGIKSVAAVDARVVLKPAPGTDVKVTKIMSGSYVNSIDSGSGEIAIGALYAGETKSFVVHLEIPPVKDPLSQDEIACSCDNQRLLIANLNYSGIDTPIQDVLIVQRPPVTVVSNLVPNSIVINQIFYFQWLEIVENEIVVVNEVDLGNILLTKWEEFYLQRQFWIGLEIGSLAGEVTSMARRRPVAANLFSWVSSYRTQRPTAMGSPAMVVGVFLTLEVRMTLHVAIMVSRGVMVAECDYTCVKPPPNLLSRGENGSLLYSEAYQGIFSLNDINDLMSKIYQGLVKASDLKQCPANSD >LPERR11G19550.1 pep chromosome:Lperr_V1.4:11:20280061:20284817:-1 gene:LPERR11G19550 transcript:LPERR11G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVQTLVSNVGPLVGKEFKQLRGVGVDVARLRNELATINALLRMQSEADEGGIDHFVREWMKQLREVAYDAEDCVDLYQFRVRSRSGERLFAWSKRLVTTLISRHRLACDIKALRALASSINEQHSSYGFSLDSLRRGRNDAAVVTTTAHAVALDKNDPDQFVVNKRQAAALAEKIKALKDDDDDDKKLKVFSVVGFGGLGKTTMAVEVCRQLETEFQRQAQVAVSQTFTAKDLQVLLRRLLRQITQPTSKELAEQPQISAEEAAKIDTMDVDELARQLKDRLHNKRYLILIDDVWSTASWDAIRSKLPSSKCGSRIIVTTRIHTVAKACSDANDYYIHHMKKLEDDESEQFIFSEDYVIIKDRLLKRWIAEGLVTEKRGLTQMDLAEGYFNELVSRNMIDRATNQGTLCDGIREERCRVHDMMLEILVSKSLEANFVSLVGGQYEGMSYADHTIRRLSIHGGAVAHRHSSSSKNLSKTKRNSMGNGIKGMMMQHVRSLSIFDPEVHNLLDRLGEFRLLRVLDLEDCKGLTELHMKCICKMYLLRFLSLKGTNINVLPSRIGDLEHLQTLDVRQTQLEDLPETIKRLKKLEHLLFSSIRGNYGWMLPKGVKKMKALRQVNKAAVVYDPDVAEEIGELDQLQELAIYVDTGKKMNLEVVEKLASSLSKMYSLRWLEVGNFDCGKWPFKPIMKFLHKVESPRLLRYLRICGCIDKLPNWVESLTDLVEFDVSWTYLDGVQLFNVLYKLPNLRRLTLGTYFIRKGQHMVARSGQPFPGLKELILSYSAEVPPVYRFEEGSMPKLEMIVAYFGDQWKTIDGIEHLKNLKEVHYNGLREKMEHNVKQVEELNNMRDVSEQITFKVRYE >LPERR11G19560.1 pep chromosome:Lperr_V1.4:11:20293585:20293950:-1 gene:LPERR11G19560 transcript:LPERR11G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTPIYATIAVFKKEAKEHPNRISLWINDKENVFVEECKNKGIVHDLSYASCSKEIGISSVGRIGARTLSLNSSMIIIFLQLIVIEIMMITRMKGFSVTDVLAPDVKRMKSKRKQPKIE >LPERR11G19570.1 pep chromosome:Lperr_V1.4:11:20301742:20307304:-1 gene:LPERR11G19570 transcript:LPERR11G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAGTGALATVVAKLIALLGDDRRYDKITETSRKDVIRLAIAIALLMSVEDYDKTSRVGILSMSQLKRVQKIFLPRIWGAHDANLCKDESMAELRELSYDLDDAIDDLEEELSSDDDMATIDDTTTVLPLSEQRQKIEGCGGAVHQQVEVSAAISNRSKPSTVHTPSSSLLPCGRKHRCFPLAHRRKKRPTQFVKEKVEYDKSTVPSGFQEIKTVCILGLPGAGKTTLAKLLYHYATTENKFQYRAFVSVPVGPTPNPNLKETIAHIIAEAAGGTKTPHGVKGTAPHKYLMGNEPACPVQKKYLIVIDDIWHLEEWEILRKSLPKNSPVAEKCHDDRDDAVIYEIGALDYKTAYLWSKSIVKKYTAGIPVGADGNTDRSEQCSVIAEKCRRMPLALNLKGVVWSGGGFLKVLFQKKTQKVTLISLLTEVGLSGIVVLGEVTEIPLNFFKRLRLLDLEGSCNIENTHLQKMCEQLSPRLRYLCVKGTQINKLPQEISKLKLLEILYANDTQINELPHEIGELKRLRTLQVGNTKISKLPREIGELKHLQTLDLRKGLCEATDMDTEKREDLPANCKGDLSLVLLGCAVGSPHWIFKVAGLHIRIPDHIREHVGDLSSLDIKLYKIQDDDLKFFEEMPNLRTLVLRLEVPLPRKKPVVIAGRGLAKLVIFHVDSRVPRIIFKEEAMPKLKHLEFKFYAGQASNDPMGITNLKSLQKVVFRCSPWYKSESDVPGINTTIAVVEKEAMEHPNRITLLINDGEEKEISTEAQKSDQNIASSSGTSGVETQIAHHDKPRSYSLHFKI >LPERR11G19580.1 pep chromosome:Lperr_V1.4:11:20319441:20322340:1 gene:LPERR11G19580 transcript:LPERR11G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSFQCLKLLRFFAPFVPKISFSDNAMPELEMIEMRLREVHLKVNSGSADDETTKLLVNDLKDNTEIPKRPPVAVVPNLVPISIVINQIFYFEWLEIVEIFITKEFVTDEAELGKRLLIKWDEFYLQRQFWIGLEVGSLAGEVTSMASRRWPVAASLFSWVSSYRTQRPTAMVVGVFMTLEVRMTLHVAIMVSRGVMVDECDYTCVQASPPNLLLTGENDSLFYNDAYQGIISLNDINDLMSKIYQGLVKASDLKQCPPNSD >LPERR11G19580.2 pep chromosome:Lperr_V1.4:11:20310711:20319436:1 gene:LPERR11G19580 transcript:LPERR11G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLGKLGNLLSQEYALIRGIRGDVQYINDDLASMQAFLRDLSAVAEGNSHDHRMKDWMKQIRDMAYDIEDCIDDFTHRLPPDSTSEARCSFIFMRIYELWTWWPRRDIAELKVRTQQIAERRNRYGVNNPEHGSSSTTAGGWAAGSYSLHTLIDDVTTAQFIGIKEPVGVMSDMKKLGDWLTEHEKSENELAVVSIVGFGGGKTTIATAFYRAVSSKFDCQASVTVSQNYDLDAVLRSILKQVKPEISNQKHGGSTSLEKRKNLASGIKRSFMRVVPLIRVHGQKGNDRSSDQTLTRLDTMEHDQLVDELKQLLAVKRYILLIDDIWSAHTWDSIRFCLPEKNKNSIIIVTTRFQAVGATCSPTDETGLLHTVNLLTGDEPKNLFNQSVSKSKIRKVGEHVQDQVPDEIWKICGGLPLALVTMAGVVACNPSKTDSYWSTLSQDMLVVLEYISEGLESWRISRKRLIRRWIAEGFASEKQGLTKEEVAETNFNHLMRRNIIRPLEHSSNGKLKTFQVHDMVLEYIVSKSTEENFITVVGGHWLMTASSNKVRRLSMQSSGSKHGSSTKGLNLAQVRSLTVFGNLNHVPFRSFNNGIIQVLDLEGWKGLKYRHMTEICQMVVLKYLSIRQSEIAKIPSKIEKLECLETLDIRETRVEELPKSVGQLKRISNILGGNKNTRKGLRLPQEKGKKPLENPLSPEKPKEPENKRLSSQEKGKGTMKALRVLSGIEIVEESAAVAAGLHQLTGLRKLAIFKLNITKDGDTFKQLLSSIEYLGSCGLRTLAINDENSEFINSLDDMSAPPRYLIALELSGKLEKLPKWITSITTLNKLTISVTVLRTETFKTLQTLRMLFSLTFTFPFSAAKQDQDIIKNILEDNKL >LPERR11G19590.1 pep chromosome:Lperr_V1.4:11:20323273:20325696:-1 gene:LPERR11G19590 transcript:LPERR11G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLHGENPEKLFKEAVSGRHEEIQCPPRVWEIKIGRKRLTGRWIAEGFVREKQGLSVEEVPEACFNHLVRRKIIRPVEYSSNGKVKNCQVHDMVLEHITFKASEENFVSVVGGHWLMPPPNSKVRRLSLQSRYSKRDNAMDSMNISHVRSLTMFGSLNLLPSNSFKFGVVQVLDLQGCKGFKQQHVKEICKMVLIKYLSLRRTDIKTLPKNMESYKTLDVRETSVTKLPTSVCQLERLVNILGGDKRTRKALKFPYELKKSMNTLQILSGIEIGGVSTAAADFQHLTDLRKLAIYKLNVKRSANLSKDLRSSVEYLGGYSLHNLVIGEDDSSEFPETLNCLSPPPLFLTSLQLYGKLVALPGWITQMDCLTKLALPVTILKTENLECLRRLPSLFSLTFSHPEAKLSPQPSTTMEENRTNPSEDILAPAGGFNKLKLLRIFTPTLSSLRLPEEAMPNLERIELRFNTMEGLSGIQNLKFLKEVHLRVHYTGSVLTMSIVENIAAAAKRQDKGPRIIVEKYYA >LPERR11G19600.1 pep chromosome:Lperr_V1.4:11:20330422:20331188:1 gene:LPERR11G19600 transcript:LPERR11G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRVLTGIEIDGESTAVAGLHQMTALRKLAIYKLSVKKDGDTFKELFSSIEYFGSCGLQTLIINDEDSGFINSLDEMKSPPRYLVALDLTGKLRKLPGWITKMNNLIKLTISITVLQTKTFEILSSLSSLFSLTFSLRAGQLDQDIVEFFEEHGGFKSLKLLRFFAPLVPRLSFSDNAMTALEMIDMRFENFEGLFGIDTLKSLQEVHLCVNGRGDKITKFLVDDLKNNEPKVIVDQVIAA >LPERR11G19610.1 pep chromosome:Lperr_V1.4:11:20338456:20342895:-1 gene:LPERR11G19610 transcript:LPERR11G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEEGGEVHTTEMTTDDSPPSIVEEKEEKVVSTEGEIVKTSDGNAQESGSSSLPEGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLADKTPSKKGQPKSESPRSGTQNPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGPPNIEQKLQETVNKSVELLPEAWKQAGSHQEALASYRCALLSQWNLDEECCTRIQKRFAAFLLYSSVEGSPPNLASQVEGSFVPKNNIEEAILLLMIVLKKWYQGKTHWDPSVMEHLTYALSLCDQPYVLAKHIEEVLPGIYPRTERWYTLALCYYAVGQKEDALNFLRKSLNKHENPNDIMALLLATKICSEERHLSSEGVEYARRAIIHTKSSDAHMKSMGLHFLGNCLGKKSKIVSSDHQRSLLQSETLKSFTESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEFIDATGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKWDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSCKANVEDDSVSEFEIWQGLANLYSGLSYWRDADICLQKARALKSYSAATLHAEGYMLEAREQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGPKYLPAARCFLSDALRIEPTNRMAWLHLGKVHKNDGRIADAADCFQASVMLEESDPVESFKSLS >LPERR11G19610.2 pep chromosome:Lperr_V1.4:11:20338456:20342895:-1 gene:LPERR11G19610 transcript:LPERR11G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEEGGEVHTTEMTTDDSPPSIVEEKEEKVVSTEGEIVKTSDGNAQESGSSSLPEGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLADKTPSKKGQPKSESPRSGTQNPATLVLEAIYLKSLSLQKLGKSTVTNKILVKHAEAANQCKSVLDSVESMFQNGPPNIEQKLQETVNKSVELLPEAWKQAGSHQEALASYRCALLSQWNLDEECCTRIQKRFAAFLLYSSVEGSPPNLASQVEGSFVPKNNIEEAILLLMIVLKKWYQGKTHWDPSVMEHLTYALSLCDQPYVLAKHIEEVLPGIYPRTERWYTLALCYYAVGQKEDALNFLRKSLNKHENPNDIMALLLATKICSEERHLSSEGVEYARRAIIHTKSSDAHMKSMGLHFLGNCLGKKSKIVSSDHQRSLLQSETLKSFTESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEFIDATGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKWDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSCKANVEDDSVSEFEIWQGLANLYSGLSYWRDADICLQKARALKSYSAATLHAEGYMLEAREQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGPKYLPAARCFLSDALRIEPTNRMAWLHLGKVHKNDGRIADAADCFQASVMLEESDPVESFKSLS >LPERR11G19620.1 pep chromosome:Lperr_V1.4:11:20343834:20352908:1 gene:LPERR11G19620 transcript:LPERR11G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPAAAAPPLPTGPPPVTSTPMPTSASGAFAQPSMQLKQVGQVSQQERPGQAAYPQASHLGQQQHQQSTQQPPFQPTAQHQPPFQHSQQAPYQQQQQMSQQPPAHQYPNTHPQHMPYQHGHYMQPQQQQQQQNQIPQGPQYSYQIGQQPHMPQTAYNQGQQPPISQASYNQSQQPTQAAGAYNQGQQPPVSQVAYNQSQQPTQAAGAYNQGQQPPMPQASYNQVQQPQMPHTSYNQGQQPQGMRIPQGQQPQQSLGFHQPAQVSQLLQASQSQGLQMASQQGQLQHGFPFSPPQGKQPYHDHVGPQLSQVPLGQQSSTLKVDETGVTAGGPSYSAKHHLGGSSPGETNNMNFLSPPAQIHQGGMDVNYRQQAASGHAPNHLGPSPVRPPMGFKMGSSEDHFERNELHSSGRMDGTNSIQQQPKLAALPAINRPDMRNGPPYPQPDNLGVFNMRPPHSVPNLHNHGQFPEASMMPPSRMFSAPNFPSITSADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPAMVELGMAQVALLVGMVQQVDLVVGMVQHVDLVVGMALEALLVGRFLLALVVGRVQLAWVVGRVLVALVDGVLVHLKDGKVLLLVALVEEEVVDLVVLVVEVEQVLVDLVVVVAGVILLVLVDVAGVIFLALVAVAGVILLGLVDEVVVISLVDVVGEAMDLEGADDPTEAHMTVLSQMDVEDTITVEVLVAKVGTRATAVAQTEAAREAMTEEVTEQKPKQELVTEQEPQLEPEPEPQSQPQPYRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19620.2 pep chromosome:Lperr_V1.4:11:20343834:20352908:1 gene:LPERR11G19620 transcript:LPERR11G19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPAAAAPPLPTGPPPVTSTPMPTSASGAFAQPSMQLKQVGQVSQQERPGQAAYPQASHLGQQQHQQSTQQPPFQPTAQHQPPFQHSQQAPYQQQQQMSQQPPAHQYPNTHPQHMPYQHGHYMQPQQQQQQQNQIPQGPQYSYQIGQQPHMPQTAYNQGQQPPISQASYNQSQQPTQAAGAYNQGQQPPVSQVAYNQSQQPTQAAGAYNQGQQPPMPQASYNQVQQPQMPHTSYNQGQQPQGMRIPQGQQPQQSLGFHQPAQVSQLLQASQSQGLQMASQQGQLQHGFPFSPPQGKQPYHDHVGPQLSQVPLGQQSSTLKVDETGVTAGIDGNKTGFSLPPSQQRGQDPILKQHLPSNHQLPGSHNQQNIPGAGGPSYSAKHHLGGSSPGETNNMNFLSPPAQIHQGGMDVNYRQQAASGHAPNHLGPSPVRPPMGFKMGSSEDHFERNELHSSGRMDGTNSIQQQPKLAALPAINRPDMRNGPPYPQPDNLGVFNMRPPHSVPNLHNHGQFPEASMMPPSRMFSAPNFPSITSADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPAMVELGMAQVALLVGMVQQVDLVVGMVQHVDLVVGMALEALLVGRFLLALVVGRVQLAWVVGRVLVALVDGVLVHLKDGKVLLLVALVEEEVVDLVVLVVEVEQVLVDLVVVVAGVILLVLVDVAGVIFLALVAVAGVILLGLVDEVVVISLVDVVGEAMDLEGADDPTEAHMTVLSQMDVEDTITVEVLVAKVGTRATAVAQTEAAREAMTEEVTEQKPKQELVTEQEPQLEPEPEPQSQPQPYRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19620.3 pep chromosome:Lperr_V1.4:11:20345405:20352908:1 gene:LPERR11G19620 transcript:LPERR11G19620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPAAAAPPLPTGPPPVTSTPMPTSASGAFAQPSMQLKQVGQVSQQERPGQAAYPQASHLGQQQHQQSTQQPPFQPTAQHQPPFQHSQQAPYQQQQQMSQQPPAHQYPNTHPQHMPYQHGHYMQPQQQQQQQNQIPQGPQYSYQIGQQPHMPQTAYNQGQQPPISQASYNQSQQPTQAAGAYNQGQQPPVSQVAYNQSQQPTQAAGAYNQGQQPPMPQASYNQVQQPQMPHTSYNQGQQPQGMRIPQGQQPQQSLGFHQPAQVSQLLQASQSQGLQMASQQGQLQHGFPFSPPQGKQPYHDHVGPQLSQVPLGQQSSTLKVDETGVTAGIDGNKTGFSLPPSQQRGQDPILKQHLPSNHQLPGSHNQQNIPGAGGPSYSAKHHLGGSSPGETNNMNFLSPPAQIHQGGMDVNYRQQAASGHAPNHLGPSPVRPPMGFKMGSSEDHFERNELHSSGRMDGTNSIQQQPKLAALPAINRPDMRNGPPYPQPDNLGVFNMRPPHSVPNLHNHGQFPEASMMPPSRMFSAPNFPSITSADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPAMVELGMAQVALLVGMVQQVDLVVGMVQHVDLVVGMALEALLVGRFLLALVVGRVQLAWVVGRVLVALVDGVLVHLKDGKVLLLVALVEEEVVDLVVLVVEVEQVLVDLVVVVAGVILLVLVDVAGVIFLALVAVAGVILLGLVDEVVVISLVDVVGEAMDLEGADDPTEAHMTVLSQMDVEDTITVEVLVAKVGTRATAVAQTEAAREAMTEEVTEQKPKQELVTEQEPQLEPEPEPQSQPQPYRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19620.4 pep chromosome:Lperr_V1.4:11:20343683:20353196:1 gene:LPERR11G19620 transcript:LPERR11G19620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPGSYGGIRDGPGGFAGRDGPAGGFGGRDGPACGFGGRDGSGGFIGREVPVGFGSREGPVGMGGRESPGGFGGRGPGSFEGREGAAPGGFGGRGGRGPGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSPGFGGRGRGDFSGGRGGRGHGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDQSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSKSRSWSRSQSRSRSRSLSRSRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19620.5 pep chromosome:Lperr_V1.4:11:20343683:20353196:1 gene:LPERR11G19620 transcript:LPERR11G19620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPAAAAPPLPTGPPPVTSTPMPTSASGAFAQPSMQLKQVGQVSQQERPGQAAYPQASHLGQQQHQQSTQQPPFQPTAQHQPPFQHSQQAPYQQQQQMSQQPPAHQYPNTHPQHMPYQHGHYMQPQQQQQQQNQIPQGPQYSYQIGQQPHMPQTAYNQGQQPPISQASYNQSQQPTQAAGAYNQGQQPPVSQVAYNQSQQPTQAAGAYNQGQQPPMPQASYNQVQQPQMPHTSYNQGQQPQGMRIPQGQQPQQSLGFHQPAQVSQLLQASQSQGLQMASQQGQLQHGFPFSPPQGKQPYHDHVGPQLSQVPLGQQSSTLKVDETGVTAGGPSYSAKHHLGGSSPGETNNMNFLSPPAQIHQGGMDVNYRQQAASGHAPNHLGPSPVRPPMGFKMGSSEDHFERNELHSSGRMDGTNSIQQQPKLAALPAINRPDMRNGPPYPQPDNLGVFNMRPPHSVPNLHNHGQFPEASMMPPSRMFSAPNFPSITSADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPGSYGGIRDGPGGFAGRDGPAGGFGGRDGPACGFGGRDGSGGFIGREVPVGFGSREGPVGMGGRESPGGFGGRGPGSFEGREGAAPGGFGGRGGRGPGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSPGFGGRGRGDFSGGRGGRGHGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDQSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSKSRSWSRSQSRSRSRSLSRSRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19620.6 pep chromosome:Lperr_V1.4:11:20343683:20353196:1 gene:LPERR11G19620 transcript:LPERR11G19620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPAAAAPPLPTGPPPVTSTPMPTSASGAFAQPSMQLKQVGQVSQQERPGQAAYPQASHLGQQQHQQSTQQPPFQPTAQHQPPFQHSQQAPYQQQQQMSQQPPAHQYPNTHPQHMPYQHGHYMQPQQQQQQQNQIPQGPQYSYQIGQQPHMPQTAYNQGQQPPISQASYNQSQQPTQAAGAYNQGQQPPVSQVAYNQSQQPTQAAGAYNQGQQPPMPQASYNQVQQPQMPHTSYNQGQQPQGMRIPQGQQPQQSLGFHQPAQVSQLLQASQSQGLQMASQQGQLQHGFPFSPPQGKQPYHDHVGPQLSQVPLGQQSSTLKVDETGVTAGIDGNKTGFSLPPSQQRGQDPILKQHLPSNHQLPGSHNQQNIPGAGGPSYSAKHHLGGSSPGETNNMNFLSPPAQIHQGGMDVNYRQQAASGHAPNHLGPSPVRPPMGFKMGSSEDHFERNELHSSGRMDGTNSIQQQPKLAALPAINRPQDMRNGPPYPQPDNLGVFNMRPPHSVPNLHNHGQFPEASMMPPSRMFSAPNFPSITSADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLILAPTRELASQIQDEAIKFGRSSRISCTCLYGGTSKGPQLRELERGADIVIATPGRLNDILEMRKISLHQVSLLVLDEADRMLDMGFEPQIRKVVEEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVKVNIGSIDELVANKSITQYVEVIPPFDKQRRLEQILRAQERGSKVIIFCSTKKLCDQLSRDIDRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPLELHEMAARGATGPPRNQAGGMSRWDGPGGGGNRFEPAVGVPGSYGGIRDGPGGFAGRDGPAGGFGGRDGPACGFGGRDGSGGFIGREVPVGFGSREGPVGMGGRESPGGFGGRGPGSFEGREGAAPGGFGGRGGRGPGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSPGFGGRGRGDFSGGRGGRGHGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDQSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSKSRSWSRSQSRSRSRSLSRSRSRSHDQGAGPARRTRPRSGFDVLPPATAAGPSLTIPGPVAVQAPRSIAPVSAQVPAQSLADTSAMSPMSPGGLAQEGAQGEQLPIQGAGAAMQPNFSAAEAFPGQGIQQDAPDV >LPERR11G19630.1 pep chromosome:Lperr_V1.4:11:20353744:20360215:1 gene:LPERR11G19630 transcript:LPERR11G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAAADKRLGASPGEMDGARVLGMIAKPLNLPSERGTATQGDKLMPPASNAWGLPSLMYPKNDGGSDSFSHITDRPSRGSSTTSTIGSDFLDFPSVRGPNLGSSSVARVLPSNHLPTVANRLRSTETRARGLRPRFPDSFTQVLKAPLKTNNRKQGLKMHEKGFKGFILSMDDFPVLGSVNSESNTRGGHNLQGRQTFGSNTQMVQDEQRKSLVTGVTEVVSSSNYEHTHNSRTDFVYEGDAQVPAAILPWGAKHAQQHGTNAPKKSVPPPWFNYWHPPPDHPPDRNEMLHDGAPYSSDKPADSHTICAVEPLAYYDQFLLNQEAVPMQGPGYGGYISDNPSWLSSSTGHSEADMEADAVVIFQPHIPGKVKHGHSEGLKMQPFIIKKDLVLLEKIKCLNIKARKLRATNVICITSSSEAEAAEFSKAGKPGTSDNHHAYGRISTSRYRHDGSAKYMSSNISENGWEEHSSVDSLQVVMTNAQQEKSFSREVSRQVHVVAVDEMLNLPDNETELPSRTRELSAKHAKQVLEEQDWNNQQKANSIEELDQLIRHSAEQSWKTNNAPLEADNLHRRQKDGATRVNRTTDSISSTPVSNTTGISKDPVIHNVISPAMNTEINRMETASESTSQSHDSSVRKHWKMDNRQRHVESWEGITMERSNIAEKAESVRNIAETSADAQSAEAKHYKDLSTGVENSWRDAAGASTASPPVFDNKKNATEVPSVHKTLAGVVISNYMTPVHFSSVSGLTVGSIMLGDNSFISVNQERATAAREIHDTKNSHSRTTPVQQTNKKEQPEGALNNMAVASIAERSEMHNSMDKEHLNQWNLGKILLAENHRASYGNPAKFNFATEHRDKAHHNFTAAKAEVTTELDKWLDGEPSWSQVKTGQQYTDGSASVVQHLIENVDKIDHWQSLELDKQVKRQFEFKTNDGSDNHSEPVHTTPLPVSNWENHRANYNRRQNHVEGQRNVRSNGPRNIYREGRGRTRPFYEVPSLSKAHWVPKSFSHPQSNSQDSVVSEWMQDSYQGTYNMDNSQGFDSAFVDNSCNELIQNVDGDSEMDLYRGQFNEQVKFEDGHLLIWNPKEWDHQLPSPPHHGQYSGSEMEIYSKQIGEMIWEDGHPLIWNPTDWEYQPLNPEPHQHDHGQLGGIEMVLHSEQIEGDVIWEDGHPLVWNPRDWEYQPLNPEPRQHDHGQHGGNEMVVYSEQIEGDVIWEDGHPMIWNPIDWEYEPLTAEPHQHDHSQQRGNEMDLYSEQIEDVILEDGRTLGTSRSIQHRITMTNTVGDITEAATRTQSGIMMLGNQLMSGKKRDERVGVPYIQNTSRSQ >LPERR11G19630.2 pep chromosome:Lperr_V1.4:11:20353744:20360215:1 gene:LPERR11G19630 transcript:LPERR11G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAAADKRLGASPGEMDGARVLGMIAKPLNLPSERGTATQGDKLMPPASNAWGLPSLMYPKNDGGSDSFSHITDRPSRGSSTTSTIGSDFLDFPSVRGPNLGSSSVARVLPSNHLPTVANRLRSTETRARGLRPRFPDSFTQVLKAPLKTNNRKQGLKMHEKGFKGFILSMDDFPVLGSVNSESNTRGGHNLQGRQTFGSNTQMVQDEQRKSLVTGVTEVVSSSNYEHTHNSRTDFVYEGDAQVPAAILPWGAKHAQQHGTNAPKKSVPPPWFNYWHPPPDHPPDRNEMLHDGAPYSSDKPADSHTICAVEPLAYYDQFLLNQEAVPMQGPGYGGYISDNPSWLSSSTGHSEADMEADAVVIFQPHIPGKVKHGHSEGLKMQPFIIKKDLVLLEKIKCLNIKARKLRASKISELSSLKKSMIEHSKITDEKADHVKKDVSLSVVTSDIMSAFDRADSFSESSDFVPSSPANVICITSSSEAEAAEFSKAGKPGTSDNHHAYGRISTSRYRHDGSAKYMSSNISENGWEEHSSVDSLQVVMTNAQQEKSFSREVSRQVHVVAVDEMLNLPDNETELPSRTRELSAKHAKQVLEEQDWNNQQKANSIEELDQLIRHSAEQSWKTNNAPLEADNLHRRQKDGATRVNRTTDSISSTPVSNTTGISKDPVIHNVISPAMNTEINRMETASESTSQSHDSSVRKHWKMDNRQRHVESWEGITMERSNIAEKAESVRNIAETSADAQSAEAKHYKDLSTGVENSWRDAAGASTASPPVFDNKKNATEVPSVHKTLAGVVISNYMTPVHFSSVSGLTVGSIMLGDNSFISVNQERATAAREIHDTKNSHSRTTPVQQTNKKEQPEGALNNMAVASIAERSEMHNSMDKEHLNQWNLGKILLAENHRASYGNPAKFNFATEHRDKAHHNFTAAKAEVTTELDKWLDGEPSWSQVKTGQQYTDGSASVVQHLIENVDKIDHWQSLELDKQVKRQFEFKTNDGSDNHSEPVHTTPLPVSNWENHRANYNRRQNHVEGQRNVRSNGPRNIYREGRGRTRPFYEVPSLSKAHWVPKSFSHPQSNSQDSVVSEWMQDSYQGTYNMDNSQGFDSAFVDNSCNELIQNVDGDSEMDLYRGQFNEQVKFEDGHLLIWNPKEWDHQLPSPPHHGQYSGSEMEIYSKQIGEMIWEDGHPLIWNPTDWEYQPLNPEPHQHDHGQLGGIEMVLHSEQIEGDVIWEDGHPLVWNPRDWEYQPLNPEPRQHDHGQHGGNEMVVYSEQIEGDVIWEDGHPMIWNPIDWEYEPLTAEPHQHDHSQQRGNEMDLYSEQIEDVILEDGRTLGTSRSIQHRITMTNTVGDITEAATRTQSGIMMLGNQLMSGKKRDERVGVPYIQNTSRSQ >LPERR11G19640.1 pep chromosome:Lperr_V1.4:11:20361374:20365554:-1 gene:LPERR11G19640 transcript:LPERR11G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMKLVKHNIATTIMQQVVLLWLVLVVAHAAVGQPQRPGWCPSKCGNVSIPYPFGIGEKCAWSPGFAVQCNHSFSPARPYIGNIEIKDISVEAGEMRVYTSVMYLCYNSSKTTESELFYLQLNITDTPFLVAEERNEFTAIGCDTMALLGGRNDGSFLTGCITTCSAVAAASRDGDPCTGLGCCQVPSIPRNLSIIQLNWGGTNASTNLAWSYSPCNYAFVAEKHCVPTVLDWAIRGNGSCSRAATGQSVAPACASANSSCENAINGEGYLCKCSKGYAGNPYVKGDGGCININECELIRADPAKYEGLYNCSSGSRCHDTIGDYDCKCRFGLHGDGKFGGKGCRPIIPAPYVATIATFCAVVSLVALAWFIRREHKKWKRRGFFDSNGGRLLEHMDIAVFSKEELDTITNNMSNKIGEGAFGKVYKGTHNNKDVAVKCSKLSVAKLNGVRGKDGFTNKIAVRRRSNIGPIDHDDGTLGHDASVNEIKVQLQIRHDNVVRLIGCCMETEIPMLVFEFVPNGNLDDMLHGDGAKDLSLSKRLDIAIGSMEALAYMHSLGLQSIVHGDVKPANILIGDNLVPKVSDFGSSETTLKIKHVCGDMNYIDPVCLKTGKATQKSDVYSFGVVLVELITRKKAQYNGTSAQPDFVKYYTDDDTRRKMYDQDMLSSTGVLQTDHCMEWLDRMAAIAVWCLKDDVDERPTMAEALQELKQLRENMQASLLAATSVQVI >LPERR11G19650.1 pep chromosome:Lperr_V1.4:11:20367832:20370093:1 gene:LPERR11G19650 transcript:LPERR11G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSRARHSVCCQVPSIPPNLSIVQLHWGGSNSVTPQTSLGDTACNYAFVAEKGWYVPTVCAVVALLVLAWFIHREHKRRRQKGYFDSNGGRLLEKMGVTIFNEKKIEEITNKKSTKIGNGAYGEVYKGTYDNQDVAMKYSIAKGATCGKEEFVNEITIQMQVSHNNVVRLIGCWMETKVPMLVFEFIPNGSLEGVLHGKDRRHHLSLQQRLDIAAGSAEALSCMHSRGHRQIVHGDIKPGIFFLVSDFGSSELTLKNKHAKNWTISADMNYIDPIYKDR >LPERR11G19660.1 pep chromosome:Lperr_V1.4:11:20387329:20392198:1 gene:LPERR11G19660 transcript:LPERR11G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQQVVVLLWLVLVAAAAAVAVAAPATQQRPGWCLSKCGDVEIPYPFGIGNECAMPGFTLECNHSFSPPQPYAGNIEIIDISLEAGEMRVYTHVVSDCFTSPNTTEYNVTAFSSLDLSYINSPFLLARGKNEFTGIGCGTLALIGGRDDGSYYAGCVTTCASLDSAAHDLEPCTGLGCCQVPSIPRNLTNLDIWSTGITNLEWNTSAPCNHVFVAEKGWYNFSRQDFGRNGSKSFAMSNGFTSVPTVLDWAIRSSGSCLLVPPGAPACVSAHSYCVNATNGDGYLCNCSSGYAGNPYVVGGCINIDECNPAIYKERYPCQYGTCHDLEGGYECKCSFGRKRDKNNNNVCQPILSKSAIVVIAIILAVAALSVFSVFLYMKHKERKLQDSFNRNGGHILERMGIKIFTKKDLKRITKDYSTIIGEGNFGKVYWGTTDDDQEVAVKCSIKVDEANRMDFTNEVDIQSRISHKNVVRLVGCCLQTDDPMLVFEYIPKGSLHDVLHGNGIICNDVRKQSISLQVRLDIAIESTEALAYLHTSANQKVLHGDIKSSNILIDNEFIPKVADFGISRLLPKTKQHTSLVVGDRSYMDPIYFRTGLLTEKSDVYSFGIVLLELITRKKAKYGENKSLQIDFVTSYKTDSRAREMFDNEIVSPEVIGCLDMISRIVFQCLKDDVDERPNMEQVLEQLHLVRKELMDAKTRALELSN >LPERR11G19660.2 pep chromosome:Lperr_V1.4:11:20387329:20391516:1 gene:LPERR11G19660 transcript:LPERR11G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQQVVVLLWLVLVAAAAAVAVAAPATQQRPGWCLSKCGDVEIPYPFGIGNECAMPGFTLECNHSFSPPQPYAGNIEIIDISLEAGEMRVYTHVVSDCFTSPNTTEYNVTAFSSLDLSYINSPFLLARGKNEFTGIGCGTLALIGGRDDGSYYAGCVTTCASLDSAAHDLEPCTGLGCCQVPSIPRNLTNLDIWSTGITNLEWNTSAPCNHVFVAEKGWYNFSRQDFGRNGSKSFAMSNGFTSVPTVLDWAIRSSGSCLLVPPGAPACVSAHSYCVNATNGDGYLCNCSSGYAGNPYVVGGCINIDECNPAIYKERYPCQYGTCHDLEGGYECKCSFGRKRDKNNNNVCQPILSKSAIVVIAIILAVAALSVFSVFLYMKHKERKLQDSFNRNGGHILERMGIKIFTKKDLKRITKDYSTIIGEGNFGKVYWGTTDDDQEVAVKCSIKVDEANRMDFTNEVDIQSRISHKNVVRLVGCCLQTDDPMLVFEYIPKGSLHDVLHGNGIICNDVRKQSISLQVRLDIAIESTEALAYLHTSANQKVLHGDIKSSNILIDNEFIPKVADFGISRLLPKTKQHTSLVVGDRSYMDPIYFRTGLLTEKSDVYSFGIVLLELITRKKAKYGENKSLQIDFVTSYKTDSRAREMFDNEIVSPEVIGCLDMISRIVFQCLKDDVDERPNMEQVLEQLHLVRKELMDAKTRALELSN >LPERR11G19670.1 pep chromosome:Lperr_V1.4:11:20396218:20415549:1 gene:LPERR11G19670 transcript:LPERR11G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMTPQRMMLWYLPAALIGALSGLMVETIAAANNTSRPVMNCDVDRKCGDVSIPYPFGIGHDCAWPSPGFNISCNHSFSPPKPYLGNIEIIGISLEAGEARIYTAVLLDCFTSYNTTEHDDKQTDNSWQLYLHDSPFLFAPSKNEFTGIGCGTAVYLGGRDDGSYYTGCVTTCASLDDAAHDGEHCTGLGCCQVPSIPHNLTTLDIWSEGIGNPEWNRSAPCSYAFVAEKGWYNFSRQDFGRNGSKSFTMSDGFRSVPTVLDWAIRSNGSCSLVPPGAPAYVSAHSYCFNATNGDGYLCNCSTGYAGNPYVVGGCTNIDECNPSIYKERYPCQYGTCHDLEGGYECKCSFGRKRDHNNSNVCQPILSKSAIVERKLQDSFNRNGGHILERMGIKIFTKKDLKRITKDYSTIIGEGNFGKVYRGTTDDDQEVAVKCFIKVDKANKIDFTHEVDIQPRISHENVVRLVGCCLQTDDPMLVFEYIPKRSLHDVLHGNGINFNDIQKQSISLQVRLCIAIESTEALVYLHTSANQKVLHGDIKSSNILIDNEFIPKVADFGISRLLPKTKHHTSLVVGDRSYMDSIYFRTGHLTEKSDVYSFGIVLLELITRKKAKYGENKSLQIDFVTSYKTDSRAREMFDNEIASPEVIGCLDMISRIAFQCLKDDVDERPRMELVLEQLHLVRKELMDAKTRALELTNQIDGYKFRRQDFGHAGIKRFANRSGEMNVPTVLDWAIRGNGSCALSTGWPLMPVSVKIAIVTTPVMERDISASAPRDTPAIPMSMAMADAQISTNASSGEHAEPAKYEKKYRCSKDSRCRDTEGGYDCKCRFPLIGDGKINGCHIPIHIFAPLVTFCVAISLVAIVCMHKRRKRRRCFNKNGGLLLKGMAIKVFTEEDLKKIIKNKRHWIGEGAFGVVYMGTHENKKVAVKYSKQAKLPSVGQKKKKDPPQVTGQEFVDELRAQSQIGEHENVVNLIGCCIETEEPTLVLEYIPNGNLGKKLHESKQHSLSLLDHLNIATGSAEALSYMHSFGPQSIVHGDFKPANILLDDKLIPKVFDFGSSQLILKIKQLITKKRPKYDKKSLHINERRKMYDQDMLSTDAVQPYSCIECALIRWPTSQSGDNMADIVLLHRVFLLSIQRVITTAYVNLDVRVGNSSDNGCRPIIPSPYVATLVELRSDVIFNYLLEFLLLAWFIPREHNKRKQRVFFDK >LPERR11G19680.1 pep chromosome:Lperr_V1.4:11:20405958:20406381:-1 gene:LPERR11G19680 transcript:LPERR11G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQRTYSALSDSIGGIGSLKKINTKLSKKH >LPERR11G19690.1 pep chromosome:Lperr_V1.4:11:20415572:20416525:1 gene:LPERR11G19690 transcript:LPERR11G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVFTVKELDIITNKKCNKIGEGAFGEVYKGTHNNQDVAVKYCKSSVAKGVARSRGKDGFINRIATKSSASQIDGHKALVANEIMVQLHIRHANVVRLIGCCMETKVPILVFEFIPNCLESMLHGAERQDLSLQKRLDIAIGSMEAIAYMHSRGPQSIVHGDVKPANILISDDLTPKVSDFGSSKLALKIKHVYADMNYIDPVYVKTGNITEKSDAYSFGFVLMELITRKKAQYNGTSVQPDFVKYYTDDDARRNMYDQDMLYTSDLETARCMECLDTMAAIAIWCLKDDVDERPTMAEALEKLKQLRATMQSSF >LPERR11G19700.1 pep chromosome:Lperr_V1.4:11:20420159:20421206:1 gene:LPERR11G19700 transcript:LPERR11G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQQVVVLLWLVLVVAPAAIAVAAPPAQKGQMLFCPRMCGNVRIPYPFGIGDKKCAWSPGFALSCNRSFSPPRPYYSNMEVMNISLERGEMLVYSPVVYACFNSSNTTESEPLYLQLNMTGTPFLVAPERNELTATGCDTMALLGGRDDGSLLTGCITTCASLKEAAHDDEPCTGQGCCQMSSIPHNLSIITMSWDKGRTTTSNVAWTYSPCNYAFVSQRGWYKFSRQDLRRRAASKSFEFTNHTGVPTVLDWAIRTTNGICLSSGARGALAPACVSTNSYCVNATNGDGYLCNCSKGYTGNPYHNSGCTS >LPERR11G19710.1 pep chromosome:Lperr_V1.4:11:20425929:20428350:1 gene:LPERR11G19710 transcript:LPERR11G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVTVVANVKTQREVTNANASFAMVVASAILAYLLLLYIRRELKRRLRKKLFDRNGGNMLRNMLKIRIYTEEELQKITTNYNEKLGDGAFGEVYKGIIDKKQEVAVKRIIPREEAHSTNVIQEITSQAFIQHTNLVRLVGCCLETDAPRLVLEFVPNGTLHDALHGAAHIHIPLLVRMDIAIGSAEALAYMHSNIDHNSIVHGDIKSSNILLGRNMEPKVSDFGSSKLMSVAMYNKWTVFGDLNYIDPVYFSTGDFTDKSDVYSFGVVLLELITRKKVKYDGTKLLVQFDKHYKDDYARRSMYDQDLLCTEAMQNHCMECLDNMAAIAVQGGG >LPERR11G19720.1 pep chromosome:Lperr_V1.4:11:20453247:20454070:-1 gene:LPERR11G19720 transcript:LPERR11G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDGGMQLGFLKRLDIMLDVAMAMEYLHHEHCEVVPHCDLKPSNVLFDNDMMAHVADFGIAKLLLGDDNSMISASMARTVRYMAPEYGVLGKASRKSNVFSYGIILLEVFTRKRPTDDIFGGELNIRQWVREAFPAELVHVVDNHLLQGSPCSNCSIEGFLTPVFELGLLCSSDSPEQRLAMSDVVVKLKMIRKDYIKLTEAEQR >LPERR11G19720.2 pep chromosome:Lperr_V1.4:11:20454071:20456425:-1 gene:LPERR11G19720 transcript:LPERR11G19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNLCQHYQENDFYKRAGKIFASVGAVICCLYVMLRKKIKNQNVSAATVDIISHQLLSYHELAHTTYNFSDDNILGYGSFGKVFKGQLSSGLVVAIKVIHQHLEHAMRSFDTECSVL >LPERR11G19730.1 pep chromosome:Lperr_V1.4:11:20456784:20458910:-1 gene:LPERR11G19730 transcript:LPERR11G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSLLIALSTVAPIPGTSKSNGSNIDLAALLAFKAQLSDPDNILAGNWTAGTPFCQWVGVSCHRQRVTALELPNIPLKGELSPHLGNISFLSVLNLTNTGLTGLVPNGIGRLGRLELLDLGRNALSGGIPATIGNLTRLKLLDLQFNQLSGPIPVELKGLRSLGSMNLRRNYLTGTEGDINYLLSTISNSRKLYNLGIHFNYFTGRLQHNMVGNLSSQLQVFIADGNKLTGELPAMISNLTGLKVLVLSGNQLHGTITESIMTMGNNQYLDFEKNSMSGYIPANIGVLKNVQKLYLASNKFSGSISMAIVNLTKLENQRLYDNQLESTVPPSLFHIDSLIRVDLSQNFLNGALPVDVGNMK >LPERR11G19740.1 pep chromosome:Lperr_V1.4:11:20462553:20463001:1 gene:LPERR11G19740 transcript:LPERR11G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKDNSKAVVFLVVLMVMAIVFSSSHTAQGAYETMDTCAYLKKCTPEKCMSFCQVNHIEGGNCSSDSDQCCCGVRLGHGVGPGPIHK >LPERR11G19750.1 pep chromosome:Lperr_V1.4:11:20467384:20467818:1 gene:LPERR11G19750 transcript:LPERR11G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSKDNNKAVMFLAVLMMMAIVFSSSHTAQGAYGTTDIYSYMERCNLEKCMSFCQINYTDGGTCLNGSDRCCCRIRLGHGVGPGPIHKKD >LPERR11G19760.1 pep chromosome:Lperr_V1.4:11:20472113:20475525:-1 gene:LPERR11G19760 transcript:LPERR11G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLRHINLGGNYLSGTIPSLLFSNSSADVLSYINLGNNSLSGPIPSAISYLPMLQVLVLKVNHISGSLPPTLFNMSRLELLAATRNDLSGPITFPAGNQSSSLPIIQIMELGGNRFTGRIPSGLVACRKLQILDLGENLLVDRVPEWLADLSQLSILSLGGNDLVGSIPAVLSNLTMLTVLDLSICNLTGTIPMELGKMIQLTYLSVGANHLTGPFPTFLGNLTELSQLVLYSNLLTGPVPRTLGNLRSLQLLDISENHLQGKLDVFFAALSNCRQLQVLYFAVNSFSGTIPEGLLANLSSNLVSFDAGSNNLTGSIPPTISNLSNLNVIGLAGNQISGMIPESLVLMENLHALDISTNSMFGSIPAQIGTTKHMVALYLDDNNFSGSIPNSIGIVSTLQHLLLSYNSLSSTIPASLVNLSNLLKLGISHNNLNGALPSDLSPLKAIDLIDISANNLVGSLTTSFGQLEITYLNLSHNTLNDSIPNSFKGLISLATLDLSHNNLSGGIPKYFANLTYLTSLDLSFNNLQGQIPNGGVFSNITRRSLMGNAGLCGAPRLGFSPCLDNSHSTSKKHFLKFVLPAAIVAFGAIVMVLYLMIGKKMKRPDFAASFDIADAISHRALLLQLMPNGSLESFLHTESRPCVGSFLKRTEIMLDVSMAMEYLHHEHYEVVVHCDLKPSNVLFDEEMTAHVADFGIAKLLLGEDNSTVSASMPGTIGYMAPEYAHMGKASRKSDAFSFRIMLFEVFTGKRPTDPMFIDGLTLRQWVSRSFPETLIDVTDKNLLQDEETRLCFDHQNNSLGSSSISRSNSFLTSIFELGLLCSSELPEQRMAMNDVVTKLKHIKKDYSVSLLAMKRPRQH >LPERR11G19770.1 pep chromosome:Lperr_V1.4:11:20504128:20517762:1 gene:LPERR11G19770 transcript:LPERR11G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLQVQSMPPPNCPKMCGDVAIPYPFGITGAQNCSFPGEDEQFAVDCNHDYNPPRPFNGDYEITGVVLEKGELNVSYRNVPHICYSSRYTFQQNWASFELHGFLISQTANKYTAVGCSTLAMIIGNNTMPYLAGCISSCFAEQDVAPDNSSCTGRGCCQSELTPGLSFLNVTWGDGNITNFAYSFKKADLEHNEDYFSSISIPLVLDWAIRSNGTCPLESGKTANPVVPYGACVSEHSYCVNVTTNGHSNGYLCNCSDGYNGNPYLHGHGGCEDINECDPSIYKEHYPCPGGSCHNLQGGYECKCNFGRRKDRNNSNSCQAVLSKPAIAVIVRLAIAIESAEALDYMHSSAGQKILHGDVKPGNILLDDKFTPKVSDFGISRLMSIEKQHTNFIVGDMNYIDPVYMKTGILTEKSDVYSFGVVLLELITRKKARYDGNNSLPINFVRYYMTHSTAKEMFDDEITSPEAIDCLDMIGKIAFQCLKDDVNERPTMKQVLVHLNLEQS >LPERR11G19770.2 pep chromosome:Lperr_V1.4:11:20499646:20504119:1 gene:LPERR11G19770 transcript:LPERR11G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKHIAIMPMQQVVLLLWLVLVAAPAAVAVAATQQRPGWCGDVDIPYPFGMDDECNHSFSPPRPYMGDFEITDISLEMGEMRVYGDVVHACYNSSQGIDSARLNAMSWGNISTTPNLAWEYSPSNYAFVAEKGRAWAALPTSQRPYQQIMSLICYA >LPERR11G19780.1 pep chromosome:Lperr_V1.4:11:20517782:20524462:1 gene:LPERR11G19780 transcript:LPERR11G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRVMLWYLPAALIGALSGLMAVETAAANTSRPVNCNRMCGDVSIPYPFGIGHDCAWPSPGFNISCNHSFSPPKPYLGNIEIIGISLEAGEARIYTAVLLDCFTSYNTTEHDDKQTDNSWQLYLHDSPFLFAPSKNEFTGIGCGTARRHQLGRRCRRRFGISNPEWNRSAPCSYAFVAEKGWYNFSRQDFGRNGSRSFTMSDGFRSVPTVLDWAIRSNGSCSLVPPGAPSCVSAHSYCVNATNGNGYLYNCSTGYAGNPYVVGGCTKQYPCQYGTCHDLEGGYECKCSFGRKRDNNNSNSISLQVRLDIAIESTEALAYLHTSANQKVLHGDIKSSNILIDNEFIPKVADFGISRLLPKTKHHTSLVVGDRSYMDPIYFRTRLLTEKSDFGIVLLELITRKKAKYGENKSLQIDFVTSYKTDSRAREMFDNEIASPEVIGCLDMISRIAFQCLKDDVDERPTMEQVLEQLHLVRKELMGAKTRALELTNQIDWQTCLPRRMP >LPERR11G19790.1 pep chromosome:Lperr_V1.4:11:20536175:20537968:-1 gene:LPERR11G19790 transcript:LPERR11G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVLAEATKDQWGGGLPAGDQRGGDAETNSESVMIWYPRSEPLVLLAVKNNDLEKIQLQPKLLRCFSLDGEKDFKINRS >LPERR11G19800.1 pep chromosome:Lperr_V1.4:11:20537980:20543466:-1 gene:LPERR11G19800 transcript:LPERR11G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDGEEFLPTPASAASLPYPVSLPPLQSPPPPCNPLFSPANSGLWPRRHQDTALGKQSPLLSQIATYAASRNHGAVNSA >LPERR11G19810.1 pep chromosome:Lperr_V1.4:11:20540848:20542883:1 gene:LPERR11G19810 transcript:LPERR11G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAEAAAAALFSLPLEVLLNLISQRFRLPLLPPKPIRRMWPKGTVAAPWPPWATCPSRRTWRRAPRRQGLVAVVNWCLFCRGKRVRLPWWWKDGAPCRASFARFRARGKWLPTPPRAVPLATPSDGVTLPPSKLKDQKLDGDADCKNSGSVSDPQVIPEFKLCDCLC >LPERR11G19820.1 pep chromosome:Lperr_V1.4:11:20556240:20560913:-1 gene:LPERR11G19820 transcript:LPERR11G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRFTGGDGAAGVGVLVVVRQQRRLGEALVLGGAPSARGGGAVGPSAPLAGTARRKTRGGRGAASREGEEEDEGSDGTSNGPGGYDLSALLAFKERLSDPLGVLAGNWTTNVSMCQWVGVSCSRRRPRIIGLNLGDVPLQGEITPHLGNLSFLRVLNLTSTNLTGSILVDFGRLHRLRILDLSHNTLSDTIPSALGNLTKLEILYLYDNHISGHIPTELQNLHRLKYMGLDSNYLTGPIPRYLFNDTLSLTHIDLFSNFLSGSIPDGVGSMPMLRLLELSKNQLSGPVPPTIFNMSRLEIIGVVNNNLTGPIPSNWSFNLPMLQRIGLDANNFTGPIPSGLASCKQLEEIDLGVNHFSDVVPPWLAKLPRLNFLSIGGNELVGPIPGLLGNLSLLSVLSLAFSNLSGHIPVEPGTLTKLTFLHLGDNQLNGPFPVFIGNLSELTILVLGSNQLTGFVPSTLGNIRPLRTVDIGDNRLQGDLSFLSSLCHCRQLENLLIAINTFTGSIPNYVGNRSTDLLIFDGRNNHLTGGLPTTLSNLTNLRQLRFSNNQLSKVIPTSLMMLKNLEDLYLDMNSISGSIPKEIGELTRLAWLSLSNNELSGSIPDGIGNLTMLGNIFLSNNMLSSTVPTSLFHLGGLIELDLSNNTLNGTLPYDLSHIQDMIVIDISNNLLVGTIPKYINNFTYLATLNLSYNKLEGEIPSGGIFSNITLKSLMGNDELCGLPRLGFLPCQDKSHSTNDDHHYLKFILPAIAVAVGSLAICLYQMTRKKIKRKPNITSPIAYNIISYREIVRATDSFNEDNMLGAGSFGKVFKGQLDDGMVVAIKVLNMQAEQAMRSFDVECQVLRMVRHRNLIRIINICSNLEFKALLLQYMSNGSLDAHLHKEDHPPLGFLRRLEIMLDVSMAMEHLHYCHSGVVLHCDLKPSNVLFDETMTAHVADFGISKLMLGDDNSAVSASMPGTIGYMAPEYASMGKASRKSDVFSYGIMLLEVFTEKRPTCPMFVGHMSLRKWVSEAFPARLVDIVDDRLLQGDTLIRQGVLRNNYTSLACSATCLNEGLLLSIFKLGLTCCNSSPADRMEINDVVVKLKNIKKDCSTFIRAM >LPERR11G19830.1 pep chromosome:Lperr_V1.4:11:20566804:20568280:1 gene:LPERR11G19830 transcript:LPERR11G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSPALYIFAALLLIASSTLGQSKGNGTDTDLAALLAFKAQLSDPGKILAGNWTAGMPFCHLVGVSCSRHRQRVTALELLGVHLEGELSPHLGNLSFLSVLNLTITDLTGSVPDDIGSLRRLKILDLGHNALSGGIPTTIGNLTRLQLLNLEFNQFSGPILADQQGLRSLSSMNLRQNYLTGTIPENLFNNTPFLTYLNIGNNSLNDPRLHRLLANAPDSCPEVNNLTGPVPPAIFNMTNLRVISLGGNCLTGSIPGNTSFNLLALQWFSNIYNCFTGRIPLEFLACPYLQTLVLSYNQFEDVLPGNISYAHRLSMHTPCTPTNKFGVHGVCMKYPVCIGYVAVLPSWLGMLTTLMYLAFGGNHLFGPIPAALSNLTNLTILQISLCNLTGPIPMRMAQLG >LPERR11G19840.1 pep chromosome:Lperr_V1.4:11:20578312:20584459:1 gene:LPERR11G19840 transcript:LPERR11G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIKVKHDATTVTQVLLWLVLVAPAAVAVAAPTARPQQRPLGCESKCGDVDIPYPFGIGNECAWPLPGFALQCRDTFSPPRPYGGDFEIMDISLELGEMRVYTSVVSDCFTSYDTTLSQGTARYQLNLTHSPVMLATSRNEFTAIGCGNLAWLWGRDDGSYLTGCVTTCVSLEQAARDGEHCTGLGCCQVPSIPPNLSILNISFATGINNLAWENASCSHAFVAEKDMYNFSRNDFGPAGSKRFANRGGKMSVPTILTNASMRNFILVPSIADAVTQRVVMIANAGFHFKGMQSLSLLDRLNIAIGSAEALSYMHSFEPQSIVHGDVKPANILLDDNLIPKVSDFGSSELILKIKRVCGDLNYIDPICTQTGNFTVKSDVYSYGVVLLELITRKGPKYDGKCLPVEFVKQYKQIDERRKMYDQAMLSKDAMQPYSCIECLDRMADIAVWCLKSKAEKRPTMTEVVEELKKLKASMHTT >LPERR11G19850.1 pep chromosome:Lperr_V1.4:11:20586048:20588865:1 gene:LPERR11G19850 transcript:LPERR11G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAALAPLSPAVSGKPLPTPLPATAQRAGCPSKCGDVDIPYRPFGIGEKCTWSGFTVKCNDSFSPPRPYTGKIDIIDILSLNYIMF >LPERR11G19860.1 pep chromosome:Lperr_V1.4:11:20593525:20594642:-1 gene:LPERR11G19860 transcript:LPERR11G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMARAGSAAAGSSSNNSTDLAALLAFKSQLSDPSAILARNWTSNAPLCHWILLLVVRSFSHPCFYSHFLKFLLLVVTIAFCAITVFLCLMRKMKIKQKLDVTSSVDMADVINHKVVSYYEIVRATDNFNQDNLLGVGSFGKVFKGQLDDGMAIRSFDAECKALRMARHRNLISIFSTCSSLDFKALVLQYMSNGSLEEHLHTASRSGIGFIKRLNILLDVSSAMEYLHHGHYEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDENSMVSASMAGTIRYMAPEYALMGKASRKSDVFSFGIMLLEVFTGKKPTDSMLREA >LPERR11G19870.1 pep chromosome:Lperr_V1.4:11:20598283:20602675:1 gene:LPERR11G19870 transcript:LPERR11G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTHLAMALGILPVWISIVLFIALPTVASASSLAPSKSNGSDKDLAALLAFKAQLHDLDNILARNWITGSPFCQWMGVSCSHRRQRVTALELPNIPLQGELSPHVGNLSFLSILNLTNTGLTGLLPSDIGRLHRLELLDLGHNALSGGIPTTIGNLTRLQLLHLQSNQLSGPIPTELQAVRGVRSIDLVRNYLTGSIPNNLFNNTPLLAYLSIGNNSLSGPIPGCIGSLPMLEVLKLQYNNLTGSVPQDIFNMSRLTVLALGFNSLTGSIPGNTSFSLPALQVFSISHNSFTDQIPPGLAACPDLQVLRVGSNLFEGAFPSWLGKLRNLNDISLSRNNLDAGPIPSALSNLTKLTRLGLEMCNLTGAIPVGIGQLGQLSVLDLSTNQLTGSIPACLGNLSALAILHLGENQLDGSVPATIGNMVSLTTFILAQNSLHGDINYLLSTVSKCKNLSGIYIYLNYFTGSLRGGVGNLSSQLQVFSAFDNRLTGEFPAMISNLTGLQRLDLGGNQLHGKIPESIMMGNVQFLNLQENSLSGSIPLNTGMLKNVEFIYIQSNKFSVLELDPSNLTKLEHLALGDNQIASTIPPSLFHLDRLVLLDLSKNFFSGPLPVDIGNIKQINYMDISMNRFVGSLPDSIGQLQMLAYLNLSVNEFHDSLPDTFGNLSGLEIFDISHNNISGTIPIYLANFTSLAGLNLSFNKLEGQIPNGGIFSNITLQSLVGNSGLCGLGRLGFSPCKTTSLKRNRHILKYLLPGIIIVVGAVTCFLYVINRKKVKHQNISSGMLDIVSHQLLSYHELVRATDDFSDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAMSSFDTECRVLRTARHRNLIKILNTCSNLEFRALVLQYMPKGSLDALLHSEERMQLRFLERLDIMLDVSMAMEYLHHEHCEVVLHCDLKPSNVLFDNDMTAHVADFGIARLLLGNDNSMISASMPGTVGYMAPEYGVLGKASRKSDVFSYGIMLLEVFTRKRPTDSMFVGDLSIRQWVHRAFPTELVHVVDGQLLQEISSSTISIDGFLKPVFELGLLCSADSPEQRMAMSDVVVMLKKIKKDYVKFNELTGSTAQK >LPERR11G19880.1 pep chromosome:Lperr_V1.4:11:20604462:20607764:-1 gene:LPERR11G19880 transcript:LPERR11G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATSLRCLPSKNCSPNPLGVLASNWTTNQSICRWVGVSCSRRPPRVVELNLVDVPLQGEITPHLGNLSFLRVLNLTATNLTGSIPADLGRLHRLRILDLRKNNLSDTIPSALGNLTKLEIISLYDNHISGKIPTELQNLHRLKYMALDSKYMTGPIPRYLFNGTLSLTHIYLYLNFLSGSIPDGVGSLPKLRVIGLAVNQLSGPVPRAIFNMSRLEGIFFRKNNLSGIIPSNGSFNLPMLREVGLGENNFAGPIPSGLASCKQLEHLSLAVNRFSDVVPPWLAKLPRLNFLSIGGNEFVGPIPGLLGNLTLLSVLDLAFSNLSGRIPVGLGTLTKLTFLHLGDNQLNGPFPVFIGNLSKLTVMELGFNQLTGSVPSTLGNIRTLKTLYITDNSLQGDLSFLSSLCNCRQLEHLLIANNTFTGFIPNYVGNLSTGLLEFDGSNNHLLGGLPTTLSNLTNLRDLRFSNNQINNEIPTFLMMLKNLEVLDLSMNSISGPIPKEIGELTRLAWLVLADNELSGSIPDGISNLTMLEYNFLCNNKLSSTIPTSLFHLGGLIELDLHSNTLDGTLPYDLSHIQDMVSIDISDNLLVGQLPNSFANHQTFTYLNLSHNSFIDAIPDSFSHLTNLEILDLSYNNISGTIPKYISNFTYLTTLNLSYNKLEGEIPSGGIFSNITLKSLMGNAGLCGLPRLGFLNCLDNSHSTNGDHHYLKFILPAITVAVGALAVCLYQLTRSKIEKKPNITYPVAYSLVSYHEIVRATDSFSEDNMLGAGSFGKVFKGRLDNGMLVAIKVLNMQLEQATRSFDVECQVLRMVRHRNLIRVLNICSNIEFKALLLQYMTNGSLEEHMHEEDHPPLGLLRRLEIMLDVSMAMEHLHYHHSEVVLHCNLKPSNVLFDEAMTAHVADFGIAKLLLGDDNSIVSASMPGTIGYMAPEYASMGKASWKSDVFSYGIMLLKVFTGKRPTCPMFVGHMSLRKWVSDAFPARLVDIVNGKILHDETLIQQGFLGNNSTSSHSTATWPNESILLSIFELGLTCCSSSPTERMEINDVVVKLKNIRKDYFTFTKAM >LPERR11G19890.1 pep chromosome:Lperr_V1.4:11:20610560:20612772:1 gene:LPERR11G19890 transcript:LPERR11G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDHQQQQEAACTCWMPSVNRVGQLTILEQIQARTGHATGHYIRKPKTSHFSSATFSSLYASSLSSGCLLTLFLPGQSKGNSSDTDLAALLAFKAQISESDKILAGNWTAGMPFCHWVCVSCSRHRQRVTALELPGVHLQGELSPYLGNLSFLSDLNLTNTDLTGAVLDDIGSLCRLKILDLGHNALSGGIPTTIGNLTRLHLLNFEFNQFSGPIPAELQGLHNLRGIDLTRNYLTSSILNSLFNNTPLLAYLIMGNNSLSGPIPGCIGSLPMLQTLVLQVNNLNGLVPPAIFNMSRLTFLSLGFNSLTGYIPGNTSFNLPVLRQFYIAQNSFTGRIPPVFIECPYLSSFHG >LPERR11G19900.1 pep chromosome:Lperr_V1.4:11:20612074:20614242:-1 gene:LPERR11G19900 transcript:LPERR11G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRSPELPPLPLEQSQSQSQSPQTIDEKSPIRSSHRPPSFKFRPRSSSGVGPVDEALQSLRKDFKGIVAKIKDLETTQTSYVIQHCASEVCVGEVEVRKERKVTEVRAELSLQVNTRQLQGGDTLSMTAA >LPERR11G19910.1 pep chromosome:Lperr_V1.4:11:20613937:20621330:1 gene:LPERR11G19910 transcript:LPERR11G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIQRNGLNGSIPSSLFNNTPLLAIINLGNNSLSGQIPGSIGSLPMLQILDLQVNHFSGPVPPSIFNMSTLRVIELDINSLTGPIPGNGSCSLPALQWFAIDGNNFAGPIPQGFVACPHLKVFSILQNFFEGVLPSWLGKLTNLTILNLGENHLDGGSIPAALSNITMLRSLGLSRSNLSGTIPSDIGTLTRLSHLNLGGNQLTGHIPDSIGNLSALSRIDLSTNLLDGSVPATVGGMNSLTFFVIHENSLQGDLKFLSSLSNCRELLVLEFDSNNFTGNVPDYVGNLSSTLKAFIARRNKLSGGLPSTVSNLTSLQYLDLQYNELHGTIPGSFMDLENLQWLDLDGNRLSGPIPSNIGVLKNVQRLFLGSNQFSGSIPMSIGNLTNLEYLTLSDNQLSSTVPPSLFHLSGLIQLVLYQNILSGALPADIGYLKQVYIMDLSSNHFTGSLPNSIGQLQMISYLNLSVNSFENTIPDSFRGLTSLETLDLSHNSFSGTIPKYIANFTNLATLNLSFNKLQGQIPEGGVFSNITLQSLVGNSGLCGVARLGFAQCQTSSPKRNHHILKYLLPPIIVVAGVVACCLYVIRRKKINHKKTSADMVDMVSHQILTYHELVRATDDFNDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAIRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDQRMQLGFLERLDIMLDVSMAMEYLHHEHSEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDNSMISATMPGTIGYMAPEYGALGKASRKSDVFSYGIMLLEVFTRKRPTDEMFVGELNIRKWVHRVFPAELVGIVDGRLLQDGSSSTSSIDGFLVPVIQIGLLCSADSPDERMSMSDVVVKLKKIRKDYVETKGTMGIDDHRSAVLIH >LPERR11G19920.1 pep chromosome:Lperr_V1.4:11:20616331:20616912:-1 gene:LPERR11G19920 transcript:LPERR11G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLQIKDLEHRQGADGTWYLSRKAVVAKVEDGKEREVTEVRAELSLQGNACQLHSSDSLPLLAASDAYPPAELRAGGPVSAKDAERIGEPCFEIQ >LPERR11G19930.1 pep chromosome:Lperr_V1.4:11:20620689:20625091:-1 gene:LPERR11G19930 transcript:LPERR11G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGSSGRSSMFAAYWVADALAADDSLHFSLTKDGVGASPDSLTGAPEAVRERVALRALQELVVSGGGGAAAEGTRMLGVDSSRSCEDLLLGLVRQVGSSGSLEKNMILPFSPDIQKFIGIKRCTLPETSFELLKKVYPEIAPVVLPTPMKQNGNGKHDNISHDLVSAGKTGFATDGAQLQQDDLAILVNQSNKQNLRKNGIANPDSHQPWTSDNRCFDQPQEGSVDTVGVSIRSSKDFLGSNTGKMSECDMVDHATIVQPQSCGIKNPNTFQYNNGDRPPVASIQLPKDSIPERSNDSLPVSTSEKNNLPEFIAAEDTETTLEPSISKTHPNFQQHDTCDKANQDVGCGSAGIQTSSALLSEGFNGAIRGDKSEIKDPSENTTEHTKMFEQENSDKDHLGVDCSDKVNQALYDDGNIMRKNMVYDGLNVQTPESHSCSIALHNKNSEANHLSEQNIGRNTAEVQKDCCNILDANDKRAKQASNKKTMGNTVAETLHVHCKDDSFSGLAAAGLLSMTDKIPFCTQDQDSNGNVEGLSENDFCVKCGKDGQLLKCGSCLLAAHDTCFGSPVTFDDSGQFYCPVCAYTKASQAYQKAKKIYSEARKNLSTFFGRKQSVEQQAASRRQGNQQFEVDNLSHRDEEPDRQRKKQKINAKSDSCPQEVATEKVPIVQKSDVAPMNKHPVVQNNRSQEEIVGAHEQSGSDDSLHKTRHSSQNKCSPAANKNVDAGKENDLASPHQSEDSDEIEATSSNDSRKKSSPPWCKLRRNKARCQDKDTSIPSTSRKARGQHDQHMASPSRKRNYVHPPKRYSNPVASAGRRSKLWWTEQEEAILREAIEKFAPSENGQIPWIKIHEYGRDVFHKTRFPSDLRVKWRNMKKSGL >LPERR11G19940.1 pep chromosome:Lperr_V1.4:11:20626949:20627413:1 gene:LPERR11G19940 transcript:LPERR11G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKDNSKAVVFLAVLMVMAIVFSSSHTAQGAYETMDTCAYLEKCTPEKCMSFCQDIYTEGGNCSSDSDQCCCGVRLGHGVGPGPIHK >LPERR11G19950.1 pep chromosome:Lperr_V1.4:11:20635314:20635776:1 gene:LPERR11G19950 transcript:LPERR11G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKDNSKAVMFLAVLMVMAIVFSSSHTAQGAYGTTDICAYMERCTLEKCMSLCQINYADGGSCLNDSDRCCCRVRLGHGVGPGPIHKKD >LPERR11G19960.1 pep chromosome:Lperr_V1.4:11:20639374:20641655:-1 gene:LPERR11G19960 transcript:LPERR11G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPCNSIIAFFLALAIALLSLLTTTAMAAEDRRSNDTDLAVLLAFKAHVSDPLGILRDGWREDNTNASSFCQWIGVSCSRRRQRVTALMLPDMPLQGSIAPHLGNLSFLSVLNLTNTNLTGSIPAELGKLARLRYLDLSSNILSGSIPSTMGNLTRLQSLIVYRNHLSGQIPPELQNCMHNLRHINLGGNYLSGTIPSILFSNSSTVLSYINLGNNSLSGPIPSTIFSLPMLQVLVLQVNHLSGSLPPTIFNMSRLELLAAAENDLSGPITFPAGNQSFSLPLIQIMGLAGNRFTGRIPSGLVACRKLQILDLGDNLLVDRLPEWLADLSQLNILSLSGNDLVGSIPAVLSNLTMLTVLDLSICNLTGTIPMELGKMIQLTYLSLAVNHLTGPFLTFLGNLTELSLLELNSNLLTGPVPRTVGNLRSIQLLDISKNHLQGIHVFFAALSNCRQLQFLYFEVNSFSGTIPEGLLANLSSNLMYFDAGSNNLTGSIPATISNLSNLNVISLAGNQISGMIPESLVLMENLHALDISTNSMFGSIPAQIGTPKHMVALYLDDNNFSGSIPNSVGNLSSLQQACQVQLDTWRQYAHMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIDGLTLRQWVFQSFPENLADVTDKNLLQDEETRFCFDHQNNSLGSSSISRSNSFLTSIFELGLLCSSELPEQRMAMNDVVTKLKHIKKDYSASLLAMQRPRRH >LPERR11G19970.1 pep chromosome:Lperr_V1.4:11:20648667:20650754:1 gene:LPERR11G19970 transcript:LPERR11G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAQRFERGVPLVLDWAIRNNGTCSSPTPSGGKNGKQQTSACVSVNSHCVNATNGLDIDECKENPCIGGTCHDTEGGFECKCNFGRRRDSEDDNACQPVLTKPAIVAIATLCAISILAIILLFLHMEREKRKLRDFFQKNDGQLLKTMGIKIFKKKEIDRITNNYGKILGKGGFGLVYMGITDSKQQVAVKCPNPISVDPARQKDFTNEVTIQSQISHKNVVRLLGCCLETNIPMLVYEFIPKGSLHDVLHGNSDDSNVVAKSTLSLQVRLGIAIESTEALNYMHSSASQKIIHGDVKSSNILLDENFTPKVSDFGISRLLSIDKHHTKFVIGDANYMDPIYMKTGLLTEKSDVYSFGVVLLELITRKKARYDGNKSLSLTFVKSYMTECRAREMFDEEITCNTEDINCLEMISRIAVECLQEDVDKRPTMKEVLEYLHLARKEWMQRQGKISCDQADEIAIDYSPLTHHSYPA >LPERR11G19980.1 pep chromosome:Lperr_V1.4:11:20657145:20660926:-1 gene:LPERR11G19980 transcript:LPERR11G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPHCHCIIPFFLLTIATVLLLSLSTTTTVVTAAEEDHRSNVDLAALLAFKAQVSDPLGVLRDGWREDNSNSSSLCHWIGVSCSRRRQRVTALVLPDTPLHGSITPHLGNLSFLSVLNLTNTSLTGNIPSELGMLSRLKNLELRRNTLSGSIPSTIGNLTRLEYLMLDRNQLSGQIPSELQNLQNLVHINLQGNYLSGHIPDIMFNGSSSVLSFINLGNNSLSGPIPIVIASLPMLRVLVIQFNQLSGTLPPNIFNMSRLELLYAAGNNLTGPIPFPAGNQSSSLPMIHLIALPQNKFTGRIPPALAACRTLKILDLGMNRIADHVPEWLAGLSQLNFLSLTGNDITGSIPAELSNLTMLTVLQLSNCKLSGTIPMELGKMTQLTVLLAQENRLTGPFPAFIGTNNLTGTIPATISNLTNLNAIRLSDNQISGTIPDSLMLMEKLQGLYLSMNSLFGPIPSQIGNFQSINSLYLNNNNFSGSIPKGDIPKYFANLTYLTSLNLSFNNLQGQIPSGGVFQNIGLQSLMGNARLCGAPLLGFSPCHDNSHSTSKNHFLKYVLPAAIVAFGAIVVFLFLKIVKKMKKPESTASFDIADAISHRLVSYQEIVRATKNFNEDNLLGVGSFGKVFKGRLDDGLLVAIEVLSTQVEHAMRTFDAECHVLRMARHRNLIKIMNTCSNLDFRALLLQLMPNGSLESYLHSESSPCVGSFLKRIEIMLDVSMAMEYLHHDHYEVVVHCDLKPSNVLFDEEMTAHVADFSIAKLLLGEDNLMLSASMAGTIGYMAPEYAHMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIDGLTLRQWVSQSFPENLADVTDKNLLQDEETWLFFDHQHNSLGSSSTSRSNSFLMSIFELGLVCSSGFPEQRMAMNDVVTKLKHIKKDYSASLLAMQRPRQH >LPERR11G19990.1 pep chromosome:Lperr_V1.4:11:20664126:20664563:1 gene:LPERR11G19990 transcript:LPERR11G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTVKAMADSNVVTKSTLSLQVRLGIAIESTETLDYMHYVLVGRLFMVLSLATLILPDENFTPKVSDLGISRLLFIDKHHTKFVIGDANYMDPVYMKTGLLTEKSDIFSFGVVLVELITRKKARYDGNKSLSLTFVKSYMTEE >LPERR11G20000.1 pep chromosome:Lperr_V1.4:11:20664327:20672339:-1 gene:LPERR11G20000 transcript:LPERR11G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAQTSVPAAAGDELRSLLSATLSPDKAAVDAATEGLSMMAAAGDPRFPLSLLAIAAGDLDQGTKVAAATYLKNYTRCNIDWGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINTLTALQSVIRPFQYFLNPKVAKEPVPVQLEQIASEILVPLQVTFHHITDKVLLSRDGINLEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNNQSEDGDTTRLKTAKRCLIILCTLVTRHRKHADEQMPHIVNSATRISSQSIHLHKLNPISDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALQLNGKDIEEWEDDTDEYMRKNLPCELDEISGWAEDLFTSRKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDASSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLVSAANWIIGQLVLCLPEAMSTNIYHSLMKALTMEDFDELTCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISIEDENESALLFQLLGTIIESGQEKAMPHIPELVSNIANTIMKLLPPVPDPWPQVVERGFASLVSMAQAWESSAPDENEEIEMRAWQSGQSAIGQTFSQVLQKAWLLPVEQMGLSVCSVLPPLSCVNDTSILLEFIMRCINSMEETASMKVFELVAIWADIIACWESWEEMEDQGVFNTIKEAVNFHQNFDSTGFFLKILPSRSENGSQSSIISRVSSFITRAIAAYPSATWRACSCIHTLLHTPNFSDGAEDTRRTLAVSFAQAAFSHFRSVSDSPSGIWKPLLLVISSCYICYPDAIEQVLCKEDGNGFAIWASALAQISSSSFNPFLSSESEIKLAAVIERLLVLSMGGTKVLKDCCVSLMESCIHLKEVQEDGDDGDGGEDEDDDEEEEDTDEDDEDSEDDDDVREETEEEFLERYAAAAAGESIEIVEEGDIDDETQDIELGTLDEMDIPQVVLSMMKIHPDLRAQTFPDGLMERVVETFPEYEQLFHESRYSKV >LPERR11G20000.2 pep chromosome:Lperr_V1.4:11:20665153:20672339:-1 gene:LPERR11G20000 transcript:LPERR11G20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAQTSVPAAAGDELRSLLSATLSPDKAAVDAATEGLSMMAAAGDPRFPLSLLAIAAGDLDQGTKVAAATYLKNYTRCNIDWGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINTLTALQSVIRPFQYFLNPKVAKEPVPVQLEQIASEILVPLQVTFHHITDKVLLSRDGINLEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNNQSEDGDTTRLKTAKRCLIILCTLVTRHRKHADEQMPHIVNSATRISSQSIHLHKLNPISDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALQLNGKDIEEWEDDTDEYMRKNLPCELDEISGWAEDLFTSRKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDASSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLVSAANWIIGQLVLCLPEAMSTNIYHSLMKALTMEDFDELTCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISIEDENESALLFQLLGTIIESGQEKAMPHIPELVSNIANTIMKLLPPVPDPWPQVVERGFASLVSMAQAWESSAPDENEEIEMRAWQSGQSAIGQTFSQVLQKAWLLPVEQMGLSVCSVLPPLSCVNDTSILLEFIMRCINSMEETASMKVFELVAIWADIIACWESWEEMEDQGVFNTIKEAVNFHQNFDSTGFFLKILPSRSENGSQSSIISRVSSFITRAIAAYPSATWRACSCIHTLLHTPNFSDGAEDTRRTLAVSFAQAAFSHFRSVSDSPSGIWKPLLLVISSCYICYPDAIEQVLCKEDGNGFAIWASALAQISSSSFNPFLSSESEIKLAAVIERLLVLSMGGTKVLKDCCVSLMESCIHLKEVQEDGDDGDGGEDEDDDEEEEDTDEDDEDSEDDDDVREETEEEFLERYAAAAAGESIEIVEEGDIDDETQDIELGTLDEMDIPQVVLSMMKIHPDLRAQTFPDGLMERVVETFPEYEQLFHVHRQA >LPERR11G20000.3 pep chromosome:Lperr_V1.4:11:20665153:20672339:-1 gene:LPERR11G20000 transcript:LPERR11G20000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAQTSVPAAAGDELRSLLSATLSPDKAAVDAATEGLSMMAAAGDPRFPLSLLAIAAGDLDQGTKVAAATYLKNYTRCNIDWGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINTLTALQSVIRPFQYFLNPKVAKEPVPVQLEQIASEILVPLQVTFHHITDKVLLSRDGINLEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNNQSEDGDTTRLKTAKRCLIILCTLVTRHRKHADEYNTDPPVFSDRCHILSTAQPEYQKLNPISDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALQLNGKDIEEWEDDTDEYMRKNLPCELDEISGWAEDLFTSRKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDASSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLVSAANWIIGQLVLCLPEAMSTNIYHSLMKALTMEDFDELTCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISIEDENESALLFQLLGTIIESGQEKAMPHIPELVSNIANTIMKLLPPVPDPWPQVVERGFASLVSMAQAWESSAPDENEEIEMRAWQSGQSAIGQTFSQVLQKAWLLPVEQMGLSVCSVLPPLSCVNDTSILLEFIMRCINSMEETASMKVFELVAIWADIIACWESWEEMEDQGVFNTIKEAVNFHQNFDSTGFFLKILPSRSENGSQSSIISRVSSFITRAIAAYPSATWRACSCIHTLLHTPNFSDGAEDTRRTLAVSFAQAAFSHFRSVSDSPSGIWKPLLLVISSCYICYPDAIEQVLCKEDGNGFAIWASALAQISSSSFNPFLSSESEIKLAAVIERLLVLSMGGTKVLKDCCVSLMESCIHLKEVQEDGDDGDGGEDEDDDEEEEDTDEDDEDSEDDDDVREETEEEFLERYAAAAAGESIEIVEEGDIDDETQDIELGTLDEMDIPQVVLSMMKIHPDLRAQTFPDGLMERVVETFPEYEQLFHVHRQA >LPERR11G20010.1 pep chromosome:Lperr_V1.4:11:20673364:20677789:1 gene:LPERR11G20010 transcript:LPERR11G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGFDLQILSAQVTQSTDFAELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNVEFPLPFGRVLSSTEGFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKASRMHIYVRRGGPNYQTGLAKMRKLGTELGVPIEVYGPEATMTGICKQAIECIMAAA >LPERR11G20020.1 pep chromosome:Lperr_V1.4:11:20686783:20687490:1 gene:LPERR11G20020 transcript:LPERR11G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLRQVAHDELTLLFGVSGEIANLDDKLRSLRDYLADAERRRITDKSVQGWVRELKDAMYDATDILDLCHLKAMQHRSQLDAGRCLNPLFAHDIGSRIKKLNCRLDAISKSASDVNLLKLDAYKEDTTAVAAVRKTNPVLERSGVIGEKIEEDTRMLVERLTNPAATTITVVAVVGTGGIGKTTLAKKVFNHEAIQGRFAKKIWLSVTQEVNETAAATSLFS >LPERR11G20030.1 pep chromosome:Lperr_V1.4:11:20687534:20712001:1 gene:LPERR11G20030 transcript:LPERR11G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMRHPRRRRDEEGDVSVVTSDGDEDCRKQSVCGKMNCAPVDDNVACRLMWRRTIFGFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20030.2 pep chromosome:Lperr_V1.4:11:20687534:20712001:1 gene:LPERR11G20030 transcript:LPERR11G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMRHPRRRRDEEGDVSVVTSDGDEDCRKQSVCGKMNCAPVDDNVACRLMLKTSAGFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20030.3 pep chromosome:Lperr_V1.4:11:20687534:20710475:1 gene:LPERR11G20030 transcript:LPERR11G20030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMRHPRRRRDEEGDVSVVTSDGDEDCRKQSVCGKMNCAPVDDNVACRLMWRRTIFGFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20030.4 pep chromosome:Lperr_V1.4:11:20687534:20712001:1 gene:LPERR11G20030 transcript:LPERR11G20030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMVVEDKSVCGKMNCAPVDDNVACRLMLKTSAGFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20030.5 pep chromosome:Lperr_V1.4:11:20687534:20712001:1 gene:LPERR11G20030 transcript:LPERR11G20030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMRHPRRRRDEEGDVSVVTSDGDEDCRKQSFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20030.6 pep chromosome:Lperr_V1.4:11:20687534:20712001:1 gene:LPERR11G20030 transcript:LPERR11G20030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMKAAHPFHNVRKLSPQDAWSLLKKQVGQLCSPLPNFVPVALSDLEELQIDDTLKDIGMQIIHKCDGLPLAVKVMGGLLSTRDKRAAHWEQVLHDSIRSVPPHELNDAVYLSYQDLNSCLRQCFPNYIVIGMWISEGFLHGNTDDFEQLGDHCYKELIYRNLIEPDVNYVDQCVCRMHEVVRSFAHHLARDEALVISSTYRTGKAALKSQKFLRLSVETNDDEFEWWKLLQGQKLLRALIAIGELKINPGHSLINFSSLRILHIENANCTSALVVSLHKLKHLRYLHISGTDIHMLPENIGNMVFLQYISIVGAENLMKLPDSIGKLGNLGCLNLMDTSINAIPRKFCGLTNLRTLRWFLAHMEGDWCSLQELGNLSQLRVLGLYVSAYSFAREARLGEKEDLTELVLACSSNRLEQTHLIDELAPPPCLEMLVIKRYSGSRLPSWMWVPAASVALKGLRHMTLYSLSSYCTQLPDGLGQLPLLHSLQIIRAPGIRRVGIEFQHHHHRHHGEEPAAATFPRLHELVFDRMVEWEEWEWEQLQVPSMPELEVLTVRNCKLSTLPPGLAFHAISLKRLVITDARHLQSLENFGSVVELDICFSPELRISNIPKLRKLTIMICPELTVLEGVPQLNSLVLEDYEVDTLPEYLRSVDPMSLLLDCNLLLLKSISMRDDGPEWQKIRHIRDVRAYAEHRGHRRKWYVLYTEDSNNFETNIHDSSLFKVDPHDNLIGIDGPCENIIKVMMNDQDRDRQGLEVVCICGVGGLGKTTIAKAVYGKIVNEFDCRAFVSVSPVPDEEKIMRSIFDQVCCRYPNSEEPSSPPPIDTLKQFLQDKRYLIVLDDIWDLSVWEAIKPALTGNNKRSGIIATTCKVGVAESIGGVYKLPLLSYEDSKREVSKRILKKCGQLPLVIITLSSLLPKGLTEIEEWKKVCNSIGSGIELGGIMKDMRKTLRRSYDDLPEHLQSCLLYISIFPEDYVIRRDNLVQRWIAEELVSVNHGQSLQELGESYLYNLIDTGMIQPVEFDTTGKAVACKVPVMMLDLIVYLLKANKIATTILSGQQWTDLANKQVERLSLQLSTERHFIAKAAKSFRHTSSLSVFCDAELMTLLPRFQKLRVLHIEGCNSLENKHIIKCLRNSQLRYLIVGSGGITEIPRNVGNLRFLQILDLRATEIKELPPTIIHLDRLRCLLVSRSTKVPAGIGNLQALEELADVDICKSPGILEDICTMPELRVLRINLQSWNESYSELLVDSLCKMSTKKLKYLSIVTCCSLDFESGDNNIQPVIQHLEKLEILRSTFYRLPFWIGLLNNLLSLSIEVYLFEDAIKILGKLPALLFLSLTAKGGDKLAVNFRSEGFGCLKTFLLYNRAMGIKFLPGALKSLERLELSFQAALADDLGFGLENLTSLKHAQVEIVCFSATSEVVKRAEDAIRSMIAKNPKQQTPKLSIKRTVEQYMRHPRRRRDEEGDVSVVTSDGDEAHGFISIDCGFTGTPSYTDTNTGITYVGDDDFIEAGINHNVDLNNLQQTDLARRYSTIRFFPNGTRNCYTFKSLTPGSKYLLRAAFGYGNYDRINRLPTFDLYLGVNYWTTVRIVNASTAYVFEIIAVSPADYLQVCLVNIGSGTPFISGLDLRPFQEKFYPGSNTTHALVLLSFFRNTVRFGPNRYHFGADDHQIRFPDDPRDRIWQKYEDISEWTDVPDTVNGIVQNSPNDTYDGPSAVMRSVSTPLNDSRMDLLWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDILLDNNTLVSAFSPISMVTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDGLNCSYTPHGPPRITGLYMSSSGLTGELDASFGQLTLLQHLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTDNNPNLCGTGKCGLILNQSKRKRKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELNLITDGFKTIIGRGGFGPVYLGYLEDGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCKDKKHLALVYEYMDGGSLADHLRGQEDLHTEPLTWLQRLNIALDSANGLEYLHRSCSPPLIHRDVKAHNILLTANLKAKIADFGLTRAFSSETNTHTTTEPAGTPGYLDPEYYGTSHLSEKSDVYSFGVVLLVLITAQPAIIPISDTVKKNIVLWVHERLAEGDIEGVTDPRIRGDCDLNSVWKVADLALHCTRREGRDRPTMTEVAEGIRESLQLETSWRSMRGSSTGTLDDAESVGVPESEHIRETSAR >LPERR11G20050.1 pep chromosome:Lperr_V1.4:11:20710987:20711861:-1 gene:LPERR11G20050 transcript:LPERR11G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPLAVAALLLAIISGHLATADGYVGGLAIYWGRHADADEGTLREACDTGRYTTVIITFYNVFGYHPGVYNLDISGHDVTAVGADITYCQKSRNVTILLAIGGYGGGYSLPSSQSAADVADNLWNAFLGGRRAGVRRPFGPDAALDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDPRLDKALATKLFERIHVRMYGGEQRCIWSSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGDG >LPERR11G20060.1 pep chromosome:Lperr_V1.4:11:20712861:20713784:1 gene:LPERR11G20060 transcript:LPERR11G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQRRRSSPTILAAILLLSFLATANLAGAITRAGRRRNVVVFWGGSKDEGSLRSTCDSGLYNIVIIPFYSLFGHGRYWDDLSGHDLRHIGADITHCQFKAVYILLSIGGGDGKDYSLPPSKSAADVADHLYNSFLGGSRPVVPVNGIDFFIDRGQPDHYYEIAKRIDEDTRNWRDPIGFKLTATVSCAYDDSDPRMKKALDTYLFRRVHVRFYDDPTCSYNHAGLAGVMTQWNRWSASYPYNGKMYLGLAAANLTEKNDMVAVGELHRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSKWA >LPERR11G20070.1 pep chromosome:Lperr_V1.4:11:20715451:20716995:1 gene:LPERR11G20070 transcript:LPERR11G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSLGVHGILLLAAAVLAAQSLLAAAGSTPVVQCSSGTTKCTVTNAFAAFPDGSTCHASAAAFPATEEELVRVVAGAASSGTKMKVATRLGHSFMKLSCPGAGGAAGDGLVISTNALNRVVGVNAARKEITVESGVTLAELIDAAAHAGLALPHAPYWLDITVGGLLSTGAHGSSISGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAVDPELDAVKVSLGVLGVISQVTVALQPMFNRSVSFQRRSDGDLAERVVAFAGEHEFGDVVWYPARGEAVFRIDDRVTATKTGTVSSGDFQNVIQSSRSTEQQQNGDVFRFQAGISVPLSRASAFIRDAQRLRDMNPGALCGENGGILIRYIKASTAYLGKTEDSVEFDTTSCRHDSDADAAMAEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKFGEFLKVKDAYDPKGLFSSEWSDQVLGVGGAGGVNVARNGCAMDGLCVCSEDAHCSPEKGYLCRPGMVYRDARVCRRVAGDTYADA >LPERR11G20080.1 pep chromosome:Lperr_V1.4:11:20718781:20719680:-1 gene:LPERR11G20080 transcript:LPERR11G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERRRSSVAVAVILLAVLLQSAGAYYHPQGKRETVAVFWGRNKDEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRHVGADIRHCQSKGVYMLLSIGGDSYSLPSSKSAADYTMVNGFNFFIDHGQPDHYDDLAKRINDYNRNIRDPIGIMLTATVRCKYPDPRMKKALDTNLFTQIHVRFYDDPMCSYNHAGLAGVMAQWNRWSESYPNSRIFLGLAAANLTGKNDMVAVGELSRKLLPAVQNTETYAGVMLWNSYYDSLTHYGRYVKHWA >LPERR11G20090.1 pep chromosome:Lperr_V1.4:11:20725864:20729810:1 gene:LPERR11G20090 transcript:LPERR11G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPCHCIIPFFFLTLATTVLLTAVVTAAEEDHRSNVDTDLAALLAFKAHVSDPLGVLRDGWREDNNNSSSFCQWIGVSCSRRRQRVTALVLPDTPLHGSIAPHLGNLSFLSVLNLTNTSLTGNIPSELGKLARLRYLDLWSNTLSGSIPPSIGNLTRLGYLYLGVNHLSGQIPPELQKLHNLMHINLGVNYLSGPIPDQMFNSSTISFINLRNNSLSGPIPDAIGSLRMLQTLVLTNNQLSGSLPQTIFNMSRLEVLYLGSNNLSGSIPFPAGNQSFILPMIHEIALAHNRFTGRIPPGFAACRKLRALDLGGNLLVDHVPEWLAGLSQLNYLALAGNDLTGSIPAIIGNLTMLTVLHLSFSKLHGTIPIQLGKLTKLTVLLLQLNQLTGPFPAFVGNMTQLSTLSLGGNLLTGTVPNTLANLRSLNRVNIGENHLQGKLDFLASLSNSRQLQVLAMSSNSFSGTIPASSLANLSSSLVSFYAGDNNLTGSIPATISNLTNLNLIDLSRNQLSGRIPDSLVLMENLQVLDLSVNSMFGPIPAQIGTLKSLYIYLSGNKFSGSIPNGVGNLSKLQYLSLSDNWLSSAIPGSIVNLSNLLILNLSHNNLTGALPSDLSPLKAIDFIDISANNLVGSLPTSFGQLQQLSYLNLSHNTLSDSIPNAFKDLISLGTLDLSHNNLSGDIPNYLGNITYLTSLNLSFNILHGQIPSGGVFQNISMWSLIGNAGLCGAPRLGFSPCLDNSHPASKKHLLKFVLPAAIVAFGAIVALLYLMVGKKMKKPDFTTSSDIADVISHRLVSYQEIVRATENFNEENLLGVGSFGKVFKGRLDDGLLVAIKVLNTQVEQAMRTFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQLMPNGSLESFLHTESRPCVGSFLKRTEIMLDVSMAMEYLHHEHYEVVVHCDLKPSNVLFDEDMTAHVADFGIAKLLLGEDNTTVSASMPGTIGYMAPEYAHLGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIEGLSLRQWVSQSFPENLTDVTDEDVLQDEETHLCFDHQNNSLRSSSTSRSNSFLTSIFELGLLCSSELPEQRMAMNDVVTKLKHIKKDYSASLLAMRQH >LPERR11G20100.1 pep chromosome:Lperr_V1.4:11:20735993:20736937:-1 gene:LPERR11G20100 transcript:LPERR11G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQRRRSSPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSTCDTGLYNVVIIPFYSLFGHGRYWDDLSGHDLRHIGADITHCYIKAVYILLSIGGGDGNDYSLPPSKSAADVADHLYNSFLGGSRPGVYHPFGDDVTLVGIDFFVDRGQPDHYYEIARRIDEDTRNWRDPIGFKLTATVSCSYDDSDPRMKKALETHLFRRVHVRFYDDPSCSYNHAGLAGVMAQWNRWSASYPLGPIYLGLAAANLTGKNDMVAVGDLYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSNWA >LPERR11G20110.1 pep chromosome:Lperr_V1.4:11:20739166:20740035:1 gene:LPERR11G20110 transcript:LPERR11G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRPCIHLALFFFLAAGQSATAFKSNETVVYWGRHSDADEGTLRSTCDTGLYTSVIITFYNAFTHHHRHGHLDISGHDVTAVGADIKHCQSKGISILLAVGGQGGDYSLPSSQSAADVADDIWNAFLGGGGVFRPFGDAVVDGVDLFIDQGGADHYDELARRLFSHYKYEMLLTATTRCSFPDRRLAKALATGLFSHIHVRMFGDDVCTSSVRGSWEKWAAAYTGSLVYIGVVASPELDTKAYMSRKALYDSVIRYIEEKPNYGGMVIWDRFYDKKTGFSVGKLV >LPERR11G20120.1 pep chromosome:Lperr_V1.4:11:20741627:20742532:-1 gene:LPERR11G20120 transcript:LPERR11G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRRWLVPILSLLLAVTFLAGVATAKKTGQLTVFWGRNKNEGTLRETCDTGLYTTVIISFYSVFGHGRYWGDLSGHDLRPIGADIKHCQSKHISVFLSIGGYGNDYSLPSSQSAADVADNIWNAHMDGRRAGVFRPFGDAAVDGIDFFIDNGSPDHYDELAVKLYNYNKMYRGRTPVRLTATVRCMFPDMRMMKALDAKLFERIHVRFYDDAKCSYNHGGLAGVMAQWNKWTARYPNSEVYLGLVAANLPGKNDNVFVKQLYYDLLPNVQKANNYGGIMLWDRFFDKQTGYGKTVKYWA >LPERR11G20130.1 pep chromosome:Lperr_V1.4:11:20749167:20751932:1 gene:LPERR11G20130 transcript:LPERR11G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAIKKIGIALANEVTSKAISQFAKHAVQLSELQGSMGRIMRELRVMHDFLCQMDIRSRNNQVYQGWLEEVRKMVYVMEDMVDEYMYLVGRERDLGFRFFLKRSFRQPRSILPLDRIASMVKETEKNLAHLSQTKERWVLITNNGNSSYNIVHGPQDLASISCSLSEEDLVGIEDNKQKLIDLLEDGDPACFLIVVHGMGGLGKTSLASAVYRKEREKFDCYAWVSISQTYTREDILRRLIVEIFRDKPNAPSNIATMDKTALQDTLKSSLEQKKYLIVLDDVWTPQVYNNDLFGVLVPNLRSKIVITTRNANVGHLTVPERCLELKRLSERNSWELFCRKAFLNQHECPKELKDLSEKIVFKCEGLPLAIVSLGRLLSVRGKTRVEWSRVLDQLSWELINNIEMGHVRNILHLSYMYLPTSLKSCFLYCSLFPEDYLFKRKEFIRYWIAEGFVENRGRSTPEEVAEGYIKELVYMNMLQLVERNTFDRIKSFRMHDIVRELAVDLCRRECFGTAYNDEDKQGESTEKKDGRRMVIHGLTVDPAIILSVCHLRSLIVLDKSTPSSSRILPVIVENIRYMSVLELTGLPIDKVPDAIGDLFNLRHFGLRDSKVKYLPDSIEKLSNLLTLDIFDSEIQGLPSGIVKLKKLRHLFAQKLNDSNWRTLLSCNGVRILKGLKELTELQTLQALELHDDGPLRHLKELKQMRSLRISGVTKSFCEGLCQSLHQMKFLSHLDIIASGEDEVLELNGLSPLPPNLERLGLRGRLAQADMLLGAATTPGGQNNLCSVQLHWSQLEEDPLPSLSRWSLLTQLRFTRAYVGEKLVFQPGWFPHLKELYLGDMPNLNLLDIHQGTMTSLQELLLVNLSGMVQVPRGIEFVVPTLKSLFFWEITRAFRAELRNCPRLDGIRWRYNLKS >LPERR11G20140.1 pep chromosome:Lperr_V1.4:11:20752754:20759975:1 gene:LPERR11G20140 transcript:LPERR11G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLEIKKIGIALANGVTNQASAQFAKHAVQLTELQDSMGRIMRELRVMHDFLCQMDIQSRCNQVYLGWLQEVRKVVYVMEDMVDEYLHLVGCQRDLGCCFYLKKLFSQPRSVLSLDRIASMVSRIEKDLAHLSQTKDRWIPITNIGNSSHNVVQGPQDLASLSRSLDEDDLVGIEDNKQKLLEWLRDGDPARSVIVVHGMGGLGKTTHAATVFRKEREKFDCHAWVSVSQTYTREDILHRLIVEIFRDKPNAPSNITTMDIATLQDTLMSFLEQKMYLIVLDDVWTPQVYNDLSAALVSNLKGSRIIIITTRNAEIGHLTFPGRAMELKRLSEDDSWDLFCKKAFLNHECPKELKDLSEQILSKCEGLPLAIVSIGRLLSVRSKTPAEWKRILDQLSWELINNTEMEHVRNILLLSYIYLPTRLKSCFLYCSLFPEDYLLKRKQFIRVWIAEGLVESRGRSTMERRWQKDISKNWFT >LPERR11G20140.2 pep chromosome:Lperr_V1.4:11:20755522:20758301:1 gene:LPERR11G20140 transcript:LPERR11G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLEIKKIGIALANGVTNQASAQFAKHAVQLTELQDSMGRIMRELRVMHDFLCQMDIQSRCNQVYLGWLQEVRKVVYVMEDMVDEYLHLVGCQRDLGCCFYLKKLFSQPRSVLSLDRIASMVSRIEKDLAHLSQTKDRWIPITNIGNSSHNVVQGPQDLASLSRSLDEDDLVGIEDNKQKLLEWLRDGDPARSVIVVHGMGGLGKTTHAATVFRKEREKFDCHAWVSVSQTYTREDILHRLIVEIFRDKPNAPSNITTMDIATLQDTLMSFLEQKMYLIVLDDVWTPQVYNDLSAALVSNLKGSRIIIITTRNAEIGHLTFPGRAMELKRLSEDDSWDLFCKKAFLNHECPKELKDLSEQILSKCEGLPLAIVSIGRLLSVRSKTPAEWKRILDQLSWELINNTEMEHVRNILLLSYIYLPTRLKSCFLYCSLFPEDYLLKRKQFIRVWIAEGLVESRVDLCQRECFGTAYSDEDRQGDSTDDKDGRRMVIHRLTEDVNLAVILRLHRLWSLIVLDKSTPSSLRTFPVIVENSRYMSVLELTGLPVDKLPDGIGDLFNLQHLGLRRSKVKFLPDSIEKLSNLLTLDIYDSEIQVLPSGIVKLKKLRHLFAQRISDLFVRALVWCNGVSIPKGLENPTELQTLQALELHDDGSVRRLKELRQMRNIRITSVMTSYCEGLCQSLQQMKYLFYLDIIASGEDEVLQLNGLSPFPPNLQKLRLRGRLAQANMLLGAAATPGGHDHLRSVQLYWSQLEDDPLTRLWLTRAYVGEKLVFEPGWFPVLKELDLRDMPNLSLLDIHQGTMTSLQELYLVNLSGMVQVMRGIEFIVPTLKSLWLLEITPAFHAELCNCPRLDGIRWRYDLKSQQRKHAVMSHA >LPERR11G20150.1 pep chromosome:Lperr_V1.4:11:20759471:20759791:-1 gene:LPERR11G20150 transcript:LPERR11G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDESSAAALRSTSRGRRRRRESRWGSSYTADVPPSRSATTAHELPDLGGGGRASRAASARLRAEWARTTLSSSPASGAADQGTDNGASATATSHPLKRALRRP >LPERR11G20160.1 pep chromosome:Lperr_V1.4:11:20762097:20765885:1 gene:LPERR11G20160 transcript:LPERR11G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLLLAIKKISIALANEVTNQASAQFAKHVVQLKELQGSMGLIMMELDVMHDFLCQMDIQSRGDQVYQRWLQKVRRVAYEMEDIVDEYMHLVGRQRDIGCCFYLKRSFRQPRYVLSLDRIASMVKETGKNLAHLPQVKDRWVTNISDTRNSNYSIVQRSQDLASSSRSLSEEDLVGIEDNKQKLVDWLEDGDTAGCIIVVHGMGGLGKTSLASAVYRKEQGNFDCHAWVSVSQTYTLDDILHRLIYDIFRGQQNAPSNIATMDMTALQDILKSSLEQKKYLIVLDDIWTPQLYHDLLGVLDPNLKGSKIVITTRNANIANLTIPERSLELQCLSEGDSWELFCRKAFLKQHEGPEGLKDLSKKIRLTEDNNQAIISHLHRLRCMIVLDKSTASSRILHVIVENSRYMSVLELSGLPIDKVPDGIGDLFNLRHLGLRGSKVKFLPDSIEKLSNLLTLDLAISEIQELPSGIVKLKKLRHLFADKENDGFGRALQWCNGVRLPKGLEQLIELQTLQALETHDDGCVRHLKVLCQMRSLRISGVRTSYCEGLCQSLHQMKFLSNIDIIASGEDEVLQLNGLIPLPPNLQRLALRGRLAQADKLLGAASTPGDQDHLRILYLSWSHLEDDPLPSLSRWSRPDTAKVDKGVRWRETCIPTRMVS >LPERR11G20170.1 pep chromosome:Lperr_V1.4:11:20768330:20774477:-1 gene:LPERR11G20170 transcript:LPERR11G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATNSTNNSTRSPVKLMLVLTLSPASKVIGKGGGGGGNCRRVQLDHGGEFQCRTCGRRFATFQALGGHRTSHKRPRVRADGLDLLLGARPGKIAGAAASSAVAVHRCDMCGKVFATGQALGGHMRRHRPLMGTTTATMSGSSSTTSEEERRRRGATATESKAAAAAFECRTCGRRFTSHQALGGHRTSHLRPTRIKGRPSLSRPVVHECEVCGMGFEMGQALGGHMRRHRPSNVEMGLKKIVVQEIRPSFTHQLLDLFI >LPERR11G20190.1 pep chromosome:Lperr_V1.4:11:20798514:20800791:1 gene:LPERR11G20190 transcript:LPERR11G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTPDRFIKHVNHALQRHRLLVVDRFEVRFALQKQHAEHVDRWVDFASASRIKHFVLDLSPAVRTNRQSEGHKYEFLVNLLNGQNGSPIVSLRLGLVCLKLPSDFLGFTDLKKLELHLANISLKGGSSKMDYIVNELTCSLAHVGGLLIKFSTFNTEATGFTKNQSQFTCLRHLVLKLIINGESENDISVLRLTYIVEASPQLEYFELHMDSDNSGPSTLSINNCICPVVHHHLRRVHMTGLIGLAGQLELASTLF >LPERR11G20200.1 pep chromosome:Lperr_V1.4:11:20801788:20803951:1 gene:LPERR11G20200 transcript:LPERR11G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKKQAIMSLSFLLATLAAATPPSPPPPTPTTNLTLHNLCSHPIWPLITPNAGLPDIVPTTTTTSIRLDGNGDGLVTVAFPAGAWSGRVVARTGCRATNTTSSFRCDTGESPPVTVAQVSVHGPGGLAEYSVSLVDGFNVPVVITPHVFDQGRQCPALGCAADLAADCPIDARSPGGGCDAQAEAFKARCPDTRTTPTDVEVTPQRCLLPGELKVVFCPTTDNQLHRAFDPSIMASMAQIIVILAAVALAVSVPGAASAAWTNTFTMHNLCPYPIWPLVTPNSGVPSIVAAAGDIIRLDSNGLATLAFPPSVAWSGRVVPRTGCDAAATRCATGDAPPFTVAQVSVNNAGGGMMLAEYSVSLVDGFNVPATITPHAFDAGEMCPVLGCAVDLDAACPGSGGSGGGGCRASPEFFKEMCPEARTTATDVEATPQRCFGPGEIKLVFCPTI >LPERR11G20210.1 pep chromosome:Lperr_V1.4:11:20804736:20805275:1 gene:LPERR11G20210 transcript:LPERR11G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSSSTYKSPVFIGLIAVMSVAALLLLHHCLLVTFCHSRRRRRRLLFLRQQHTHHQQQHDDDDDSSVDMATSSPSSSSSTAKLVVVVCPYRKAPEEEWGEAMCPVCLSEFADGEPVRVLPDCLHYFHVDCIGMWLRSNTSCPLCRADATPTPSSGDHHHHLSSVSVSLEEILVRT >LPERR11G20220.1 pep chromosome:Lperr_V1.4:11:20805868:20806374:1 gene:LPERR11G20220 transcript:LPERR11G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNGNSGPYPYYTYRNNAGDDQSNTEVVAILLTVFFVLLLIRLIHFIVNQSNSPATDRVGAGGIAGVRRPESQVAVVSGGVPAGAIPLPRLVNAGAPPPLTAAYRREGWKEATCPVCLSDFADGEVVRLLPECLHYFHAACIDEWLRTRATCPLCRAAGGAVAV >LPERR11G20230.1 pep chromosome:Lperr_V1.4:11:20806849:20808650:-1 gene:LPERR11G20230 transcript:LPERR11G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLITEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNERMEITTETMNDAIDDALEGDEEEEETEELVNQVLDEIGIDINSELVGAPSTAVAAPVAAGKVPAQAEAAGGGDGGIDDDLQARLDNLRKM >LPERR11G20240.1 pep chromosome:Lperr_V1.4:11:20821317:20825276:1 gene:LPERR11G20240 transcript:LPERR11G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFGKLRLLVVATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVDSKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDGAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >LPERR11G20250.1 pep chromosome:Lperr_V1.4:11:20827948:20830554:1 gene:LPERR11G20250 transcript:LPERR11G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPPKRKSPAAAAAVGSPRMTRSMAAGKQPAKKEVAAAAPEQKGRKRTKKAEEEVAEKDSSAVVGDGKRIIVEACTQCRQFKIRATKVKEDLESAVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIRDVANKIS >LPERR11G20260.1 pep chromosome:Lperr_V1.4:11:20830758:20834163:-1 gene:LPERR11G20260 transcript:LPERR11G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDELTLLFSVSGEIANLDDKLRSLRDYLADADKSVQGWVRELKYAMYDATDILDLCHLKAMRRSEQLDVGGCLNPLLFCLRNPVFAHDINARLDAISKSAVAFSFLKLEAYQDMAARRSSSSATSDRKTDPVLDRSGVVGEKIEEDTRALVKRLTNIKPAMNIMVFNHKAIQDRFGGERRGAAEDGHQVRLRPVTLATAAATSHFSCRRWWKPSGARASCWCSTDDVWDDERAWHGLLKAPFSHGAAVESSEMEELEIDDTLKDIGMQIIQRCDGLPLAVKVMGGLLRRREKRAADWEQVLQDFIRSVPPDELNDAIYLSYQDLNPCLRQCFLHYSLLPKNVDLEDDTVVGMWYLEIESENLVKLPDSIGKLVQLRHLDLRGISINGIPRQFRGLTNLRKADGDRCSLQELGTLAQLQNLTLRNLENVPSASLATEARLVQDEKGVSEEEQGRIEVFDELTPLLCLENIYIEGYFGQHLPRWMMSRAAALGAYDRLTIVMMKDLACCTQLPNGLCQLPCLHFIQVNRAPAIKRVGPEFVTIQPSSSQHNHGHAFPRLKKLYLTDMVEWEEWEWDQQLKCKLVVVRCPKMKALEALPKLQRLQLEDEDMEDLPGYLLKDPPTAYISLDGQFQRMMMNTRKQIGLLPQLMATVGIAYSLHLRSHCQETLVNVQAIRCCGHLFVNPVESSTIVYHS >LPERR11G20270.1 pep chromosome:Lperr_V1.4:11:20835707:20836969:-1 gene:LPERR11G20270 transcript:LPERR11G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLNAFTSYVGDLLKQVADDELTLLLGVSGDIASLDDRLRSLNDILADAERRRITDESVQGWVRKLKDAMYEATDILDLCHLKAMQRGGSSGEVGCLGPLLFCLRNPVFAHDIGSRIKALNARLDAISKSASAFSFLKLEAYEDMTPAARRRNAAADRKTDPVLERSAVVGEKIEEDTRTLVGRLTANSNLTMAPAIMVFAVVDAGGIGKTMLAKKVLNHEAIQERFDKKIWLSVTQDVNELYN >LPERR11G20300.1 pep chromosome:Lperr_V1.4:11:20874153:20874926:-1 gene:LPERR11G20300 transcript:LPERR11G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELACCTQLPDGLCQLPCLHFFQVWRAPAIKRIGPEFLNMQPSSIQRHHAHAFPRLKTLKLFGMVEWEEWDWDQQRNNAQAMPALENLLLRNCKLRCLPPGLSSQAKALTIMYLYNIQQLNSVESFASLVELRLFDNPNLERVTSLPSLHRLHIVRCMKMRALVGVPELQKLVFKDLNMEKLPGYLLKDVSPRHLVLYGNLKMLTTIAAIETSQEWIKLSQFEHVNAYSDMKQWHVLYTKDPYSFETNIGNSSSSS >LPERR11G20310.1 pep chromosome:Lperr_V1.4:11:20887358:20897958:-1 gene:LPERR11G20310 transcript:LPERR11G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLNAFASYVGDLLKQVAADELTLLLGVSGDIASLDDRLRSLNDILADAERRRITDESVQGWVRKLKDAMYEATDILDLCHLKAMQRGGSSGEVGCLGPLLFCLRNPVFAHDIGSRIKALNARLDAISKSASAFSFLKLEAYEDMTPAARRRNAAADRKTDPVLERSAVVGEKIEEDTRTLVGRLTANLTFAPANIMVFAVVGAGGIGKTTLAKKVFNDEAIQERFDRKIWLSVTQEVNEVELLRTAIKFAGGAGAGDSCNKSLLVPALVDAIRDKTFLLVLDDVWSDRAWNGLLKAAFSHGAAGSRVLVTTRHDAVARGMQAAQPFHHVDLLSPEDAWSLLKKQIVSSEMDEVEIDETLKDIGMEIIEKCGGLPLAVKVIGGLLCKRGNRRADWEKILHDSIWSVPQIPDELNYAIYLSYQDLHPCLKQCFLHYSLLPKNAQFFNDTVISMWISEGFLHGNTDDLEELGEECYKELIDRNLIEPDVGYAGEWISTMHDVVRSFAQHLSRDEALVISSTDEMGKRAIQSHKFLRLSIETNALQQGGEFGWKILQGQKSVRTLILIGELKISPGDSLITFPNLRTLHIENANCTSALVESLHQLKHLRYISLKCNDITRLPDNIGKMRFLQYLGLVCENLVGLPNSIIKLGDLRYLDLSGTSISTIPRQFCGLTSLRNLYGFPTQVDGEWCSLQELGPLAQLRVLGLSNLENVPVASFATEARLGEKSHLSYLILQCSSRVDEGGFVASEEGVSEEEQRQIEEVLDELTPPPCLENIEIIGYFGERPPRWMMSREAGAYERLMILMMQDLACCTQLPDGLCSLPSLHYFQVSHAPAIKRVGPEFVMMQPLSSQRRHFPRLKTMYLIGMVEWEEWEWEHLNNVQVMAALEDLMLQNCKLRCLPPGLSSQAMALTSMYLYNIQQLNSVVGFASLVKLTLYGNPDLERVLSLPKLQKLDITCCPKMMALEGVPELQRLELQDLDMEELPTYLLKDARPRHLVLDCSLELLTIIAIGESGPEWSKLSHVQNVKAYADEGDNERKWHVLYTRDPYSLETNINDKGKSFLLVLDDERAWHGLLKAPFSHGAAGSRVLVTTRNVDVARGMQAAYPIHHVDKLSPQDAWSLLKKQVSSNDLEELEIDDTLKDIGMKIIEKCDGLPLAVKVMGGLLRRRDKRAADWGQVLHDFIWSVPPHELNDAYQDLDSCLRQCFLHYSLLPKNVDMFVETVVGMWISEGFLHGATDDLQKLGKNCYKELIYRNLIEPDVGYADESVCSMHDVVRSFAHHLARDEARVVSSTDETGNGALKSQKFLRLTVETNNDKFAWWKLLQGQKSLRTLIVIGELKINPGDSFINFSSLRTLHIQDANCTSFMIDSLHQLKHLRYIFLKSSYITRLLQNISKLKLLQYLTIESEILVKLPDSIGKLVQLRHLDLIGISINGIPRQFLGLTNLRILYGFPALADGDWCSLQELGTLAQLQALTLENLGSSHLSQLILSCSSRLGENGLVQDEKGVAEEEQGRIEEVFDKLTPPLCLERITIAGYFGQHLPRWMMSRAALGAYERLMIVTMEDLACCTQLPDGLCQLPCLHNLSGQSCPCYQACWP >LPERR11G20310.2 pep chromosome:Lperr_V1.4:11:20886768:20897958:-1 gene:LPERR11G20310 transcript:LPERR11G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLNAFASYVGDLLKQVAADELTLLLGVSGDIASLDDRLRSLNDILADAERRRITDESVQGWVRKLKDAMYEATDILDLCHLKAMQRGGSSGEVGCLGPLLFCLRNPVFAHDIGSRIKALNARLDAISKSASAFSFLKLEAYEDMTPAARRRNAAADRKTDPVLERSAVVGEKIEEDTRTLVGRLTANLTFAPANIMVFAVVGAGGIGKTTLAKKVFNDEAIQERFDRKIWLSVTQEVNEVELLRTAIKFAGGAGAGDSCNKSLLVPALVDAIRDKTFLLVLDDVWSDRAWNGLLKAAFSHGAAGSRVLVTTRHDAVARGMQAAQPFHHVDLLSPEDAWSLLKKQIVSSEMDEVEIDETLKDIGMEIIEKCGGLPLAVKVIGGLLCKRGNRRADWEKILHDSIWSVPQIPDELNYAIYLSYQDLHPCLKQCFLHYSLLPKNAQFFNDTVISMWISEGFLHGNTDDLEELGEECYKELIDRNLIEPDVGYAGEWISTMHDVVRSFAQHLSRDEALVISSTDEMGKRAIQSHKFLRLSIETNALQQGGEFGWKILQGQKSVRTLILIGELKISPGDSLITFPNLRTLHIENANCTSALVESLHQLKHLRYISLKCNDITRLPDNIGKMRFLQYLGLVCENLVGLPNSIIKLGDLRYLDLSGTSISTIPRQFCGLTSLRNLYGFPTQVDGEWCSLQELGPLAQLRVLGLSNLENVPVASFATEARLGEKSHLSYLILQCSSRVDEGGFVASEEGVSEEEQRQIEEVLDELTPPPCLENIEIIGYFGERPPRWMMSREAGAYERLMILMMQDLACCTQLPDGLCSLPSLHYFQVSHAPAIKRVGPEFVMMQPLSSQRRHFPRLKTMYLIGMVEWEEWEWEHLNNVQVMAALEDLMLQNCKLRCLPPGLSSQAMALTSMYLYNIQQLNSVVGFASLVKLTLYGNPDLERVLSLPKLQKLDITCCPKMMALEGVPELQRLELQDLDMEELPTYLLKDARPRHLVLDCSLELLTIIAIGESGPEWSKLSHVQNVKAYADEGDNERKWHVLYTRDPYSLETNINDKGKSFLLVLDDERAWHGLLKAPFSHGAAGSRVLVTTRNVDVARGMQAAYPIHHVDKLSPQDAWSLLKKQVSSNDLEELEIDDTLKDIGMKIIEKCDGLPLAVKVMGGLLRRRDKRAADWGQKLDVVRCPNMKALEGLPKLQRLELEDEDMEDLPGYLSKDVSPRYLILSCSIELLTSIAAKESGPEWRKLSHVEHANAYANNKQ >LPERR11G20310.3 pep chromosome:Lperr_V1.4:11:20887358:20897958:-1 gene:LPERR11G20310 transcript:LPERR11G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLNAFASYVGDLLKQVAADELTLLLGVSGDIASLDDRLRSLNDILADAERRRITDESVQGWVRKLKDAMYEATDILDLCHLKAMQRGGSSGEVGCLGPLLFCLRNPVFAHDIGSRIKALNARLDAISKSASAFSFLKLEAYEDMTPAARRRNAAADRKTDPVLERSAVVGEKIEEDTRTLVGRLTANLTFAPANIMVFAVVGAGGIGKTTLAKKVFNDEAIQERFDRKIWLSVTQEVNEVELLRTAIKFAGGAGAGDSCNKSLLVPALVDAIRDKTFLLVLDDVWSDRAWNGLLKAAFSHGAAGSRVLVTTRHDAVARGMQAAQPFHHVDLLSPEDAWSLLKKQIVSSEMDEVEIDETLKDIGMEIIEKCGGLPLAVKVIGGLLCKRGNRRADWEKILHDSIWSVPQIPDELNYAIYLSYQDLHPCLKQCFLHYSLLPKNAQFFNDTVISMWISEGFLHGNTDDLEELGEECYKELIDRNLIEPDVGYAGEWISTMHDVVRSFAQHLSRDEALVISSTDEMGKRAIQSHKFLRLSIETNALQQGGEFGWKILQGQKSVRTLILIGELKISPGDSLITFPNLRTLHIENANCTSALVESLHQLKHLRYISLKCNDITRLPDNIGKMRFLQYLGLVCENLVGLPNSIIKLGDLRYLDLSGTSISTIPRQFCGLTSLRNLYGFPTQVDGEWCSLQELGPLAQLRVLGLSNLENVPVASFATEARLGEKSHLSYLILQCSSRVDEGGFVASEEGVSEEEQRQIEEVLDELTPPPCLENIEIIGYFGERPPRWMMSREAGAYERLMILMMQDLACCTQLPDGLCSLPSLHYFQVSHAPAIKRVGPEFVMMQPLSSQRRHFPRLKTMYLIGMVEWEEWEWEHLNNVQVMAALEDLMLQNCKLRCLPPGLSSQAMALTSMYLYNIQQLNSVVGFASLVKLTLYGNPDLERVLSLPKLQKLDITCCPKMMALEGVPELQRLELQDLDMEELPTYLLKDARPRHLVLDCSLELLTIIAIGESGPEWSKLSHVQNVKAYADEGDNERKWHVLYTRDPYSLETNINDKGKSFLLVLDDERAWHGLLKAPFSHGAAGSRVLVTTRNVSSNDLEELEIDDTLKDIGMKIIEKCDGLPLAVKVMGGLLRRRDKRAADWGQVLHDFIWSVPPHELNDAYQDLDSCLRQCFLHYSLLPKNVDMFVETVVGMWISEGFLHGATDDLQKLGKNCYKELIYRNLIEPDVGYADESVCSMHDVVRSFAHHLARDEARVVSSTDETGNGALKSQKFLRLTVETNNDKFAWWKLLQGQKSLRTLIVIGELKINPGDSFINFSSLRTLHIQDANCTSFMIDSLHQLKHLRYIFLKSSYITRLLQNISKLKLLQYLTIESEILVKLPDSIGKLVQLRHLDLIGISINGIPRQFLGLTNLRILYGFPALADGDWCSLQELGTLAQLQALTLENLGSSHLSQLILSCSSRLGENGLVQDEKGVAEEEQGRIEEVFDKLTPPLCLERITIAGYFGQHLPRWMMSRAALGAYERLMIVTMEDLACCTQLPDGLCQLPCLHNLSGQSCPCYQACWP >LPERR11G20310.4 pep chromosome:Lperr_V1.4:11:20876251:20886766:-1 gene:LPERR11G20310 transcript:LPERR11G20310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGEIPTASRRISATAPLPVNLQESRGTKQDYQKRYEHFTTFLTNLQATTAWLRIRRKTMVTTKEANETPKKCHFTVAQDELTLLWGVSGEITNLEDKLRSLRDYLADAERRRITDKSVQGWVRKLKDVMYDATDILDLCHLKAFGRSQQVDVGCLNPLLFCLRNPVFAHDIGSRIKALNARLDAICKSAKAFSFLKLEAYEDMAAPRRSSTADRKTDPVLDRSGVVGEKIEEDTRALVKRLTNIKPAMNITVVAVVGTGGIGKTTLAKKVFNDEAIQERFDKKIWLSVTQEVNDVELLKTAIKSVGGRGAGDSNNKSLLVPALVDAIRGKSFFLVLDDVWEEERAWHGLLKAPFSHGAAGSRVVVTTRHDTVARGMQAVYPFHHVDKLSPQDAWNCPLKMPGSVK >LPERR11G20310.5 pep chromosome:Lperr_V1.4:11:20886768:20887290:-1 gene:LPERR11G20310 transcript:LPERR11G20310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGMLEWEEWEWDQQLNCVQAMPALEKLMLVDCKLRCLPPGLSSKATALTRISTLWRALLLLSSLSYLITRTWIASLPILQKLDVVRCPNMKALEGLPKLQRLELEDEDMEDLPGYLSKDVSPRYLILSCSIELLTSIAAKESGPEWRKLSHVEHANAYANNKQ >LPERR11G20320.1 pep chromosome:Lperr_V1.4:11:20883820:20888756:1 gene:LPERR11G20320 transcript:LPERR11G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAAAVGSPRKTRSMAAGKQRGEAPAKPAKKEEAAAAPAPEQKGRKRAKKAEAEATATAEKDSDDVVRDGKRIVVEACTQCRQFKIRATKVKEDLESAVPGVSVVINPKKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIRDVANKIS >LPERR11G20330.1 pep chromosome:Lperr_V1.4:11:20899665:20903025:1 gene:LPERR11G20330 transcript:LPERR11G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQQALLLRRISPLKSPAAWHASGMGCYYSTEPPEAGGRKAKTAPLQARGMVDRFRLRAKGGDGGNGCISLRRSRSDRQGKPDGGNGGTGGDVILECSRSVWDFSGLQHHTKASRGCNGLSKNQIGTRGSDKIAQVPVGTVIHLVQGEQPSLALNKPSRALDPWDIPDVVEHSPFSSSRIGSKTVKILDSNQFSQQISSKKNAVEHEGQRGNRNHRGKEPYYMTDFVRTEDYEGTIQKVEIDENDQSDYEDEEFWEDDEDELDMEEEVPEEKRDEEEVQYSVAELTKPGQRLIIARGGEGGLGNAYILKEMWLSKTNRQEEMASLSTGQPGTETYLILELKSIADVGLVGLPNAGKSTLLSVLSKARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVMELEYYQEGLTKRPSLIVANKIDEEGADAMYEELKKRVQGVPMFPVCAILEEGVPDLRIGLRDLMDASDLQGVDLSKIVVD >LPERR11G20350.1 pep chromosome:Lperr_V1.4:11:20903600:20908730:-1 gene:LPERR11G20350 transcript:LPERR11G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGTWGNRRGGERSRDLFVTHGLDDLRHAYWAGIGEAHTIGSTAAAVGGLAGLAGHLKLCLLLRRHAEHDGGLAAAALLFQVQFEPQLQLDPQLQDIFVAGCKSSQQIALANLN >LPERR11G20350.2 pep chromosome:Lperr_V1.4:11:20903600:20908730:-1 gene:LPERR11G20350 transcript:LPERR11G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGTWGNRRGGERSRDLFVTHGLDDLRHAYWAGIVGSTAAAVGGLAGLAGHLKLCLLLRRHAEHDGGLAAAALLFQSSQQIALANLN >LPERR11G20350.3 pep chromosome:Lperr_V1.4:11:20903600:20908730:-1 gene:LPERR11G20350 transcript:LPERR11G20350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGTWGNRRGGERSRDLFVTHGLDDLRHAYWAGIGEAHTIGSTAAAVGGLAGLAGHLKLCLLLRRHAEHDGGLAAAALLFQVRSSQQIALANLN >LPERR11G20360.1 pep chromosome:Lperr_V1.4:11:20912759:20914096:-1 gene:LPERR11G20360 transcript:LPERR11G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLQLVERNSFGRIKSFRMHDIVRELTVDLCQTECFGTAYSDEDKQGDSTEEKDGRRMVIHRLTEDVNETIISNLHRLRSLTALDNSTASSSKILPAIVHNSRYMSVLELTGLPIENVPDAIGDLFNLRHLGLRDTKVKLLPNSIEKLSNLMTLDLYNSEIEELPRGIVKLKKLRHLFAEKVSDRHGRQLRCRTGVRIPKGLEKLTELQTFQGLEAQDDPLKRLGELRQMRSIKIWDVKGSYCERLCASLRQMEFLSYLSIVASDENEILNLNSLNPLPPNLGRVWLGGRLAQGDMLLDATMAGGQNINHPLFSVNLCWSQLTEDPLPTLTLWSNLTELGLTRAYVGEQLVFLQGWFPKLKELELRDMPNLKRVEIQQGAMTSLEELYLTNLPAMTNVPSGIEFLQPALKYLAFWEITEESLTVLSQCSRIDSIPCQYTLDSDA >LPERR11G20370.1 pep chromosome:Lperr_V1.4:11:20925776:20928565:-1 gene:LPERR11G20370 transcript:LPERR11G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAIKKIGNALAREVTNQAGAQFAKHAGQLTELQGSMGRIMRELRVMHDFLCQMDIRSRGNQVYQGWLEEVRKLVYVMDDMVDEYIHLVGFQRDLGCCFYLKRSFRQPRYVLSLDRIASKVKETEKDLAHLSQTKERWVLNTNNGDLTSNSTYSILQSPKDLASISRSLDEEDLVGIDDNKQKLVEWLGDGDTTRSIIVVHGMGGLGKTTLVSAVYKKEREKFDCHAWVSVSQTYTREDILRRLIIDIFRGQKNAPDNIATLDMTALQDTLKSFLEQRKYLIVLDDVWSPQVYNDLSGALVPNLMGSRLIITTRNTDICHLTLPEKAMKLKRLSEDDSWELFCRKAFLKHECPKELTDLSKTIVNKCEGLPLAIVSIGSLLFVRDKTCREWKRIHDQLSWELNNNPGLEHIRNVLHLSFIYLPTYLKSCFLYCSMFPEDYLFKRKMFIRLWIAEGFIERRGGSTMEEVAEECMKELVHMSMLQLVEKNSFGRIKSFRMHDIVRELAVHLCWRECFGIAYSNKDKLGESIEEKDERRMVTHTFTEDIIQNNISSLLRLRSLVALDKSTPSLSRVLLVIANNSKYMSVLQLSGLPIDNVPEAIGNLFNLRHLGLRDTKVKLLPNSVEKLSNLMTLDLINSEIQELPRGIVKLKKLRHLFAEKASDRSGREFRCRTGVRIRRGLEKLSELQTLQALEVQDEVSVRRLGELRQMRSIRIWGVKGSYSKGLCESLCQMKFLSYLDINASDENEILQLNGLNPLLLNIQKLRLNGRLAQGGELLGAPATSRGQNTNNSLYSMRLNWSQLAEDPLPSLSRWLNLTDLWLNRAYVGEQLVFLPGWFPRLKKLGLWDMPNLKRLEIQQGAMTSLEELYLTNLPAMTEVPPGIEFLKHTLKYLIFFEISQDFLMVLRQCLRSIIWRYTLASDA >LPERR11G20380.1 pep chromosome:Lperr_V1.4:11:20937669:20941146:-1 gene:LPERR11G20380 transcript:LPERR11G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLLLAAAAEAALPSLPVGVNYGANADNLPPPKDVAAFLSTHTTIDRVKLFDANPTFIAAFANTGISMAVSLPNSALPSLADKSTGLDAARSWVRANLSPFVPATNVTLLLAGNEILLSPDPNLILSLLPAMRRLSQALTLEGLPGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTKSPFMVNPYPYFSYNNQTLDYALFRPNRGVFDPNTKLNYTSMFEAQMDAIYFAMKRLGFGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPAMPGRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSDSGAPNPSPNPSPSPSPKPAPSGGGKWCVVKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGAVTSNDPSYGSCKYVS >LPERR11G20390.1 pep chromosome:Lperr_V1.4:11:20943127:20949894:-1 gene:LPERR11G20390 transcript:LPERR11G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIIRARHNSPQGKVEHRTNSPGKPKGHDEKRRRRSFTPEYNDRQHADIGCDETPPAPDVERSWALGRSPQPSPPGQSHSHSFSCSRSPYLHGHARSWSCSFGRGRQDHQSPSPQRKEKHQTKSSGQAKEHGENRRSYTPEYNDRRDAINGYDETPPAPCGERSWALDRSPQPSPQGPSHCHSYSRSRSPELRGCASSRSCSPALGRQDYQSTSPQTKDEHQKKSSGQAKGCDEKRRSYTPEYNDRQSADNGYDVTPPAPDGE >LPERR11G20400.1 pep chromosome:Lperr_V1.4:11:20951553:20955095:-1 gene:LPERR11G20400 transcript:LPERR11G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPAPPSPATVDVRVEHPPPITNNGRPPRPPAPMQPHPGRLRARPYYRRWTPWIVAAITLACVVVFLVSMYVNNCPTRNSGDCAAGFLGRFAFQPLRENPLLGPSSATLLKMGALDVSKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVLVIVVNLALGILPRVDNFAHIGGLISGFFLGFVFFIRPQFAWINQRRVVPGQQAAPVKRKHKTYQYILWLAAAIMLIIGFTVAIVLLFRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTAMQQANTLNLTCEGTNIHRSYIIADATQDKINQLCNQLCS >LPERR11G20410.1 pep chromosome:Lperr_V1.4:11:20958792:20959745:-1 gene:LPERR11G20410 transcript:LPERR11G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNIWRAAAVSSWSGGTISLLLLPVSASRSSCGLFFRPLLFPKMKAAVSSSTGSGLNPARRVLLVGRNLVASSIPFWNALFSSISMLFLFSPAAASSSGGCWVVASRKAAMTSSSSARVTVSGWESSIGSYGQSPALALAVESSPDELLVLLVVVSSEGEVVSSRIWASRKMEGEKGSTTSCSSCAAAAAMAGRSLTRDRSIGGVKEGGGGGNKAQCCTHQLHCDFFC >LPERR11G20420.1 pep chromosome:Lperr_V1.4:11:20965274:20973604:1 gene:LPERR11G20420 transcript:LPERR11G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMMGSTPEETVQLQWPPPEPVFADDHAASSAFDDDMVLPYISRLLMEDDVHDHFFYQYPDHPALLHAQQPFAQILAAAASSSSSSGGGVSSSSSSSSNAPVSRDLFDDEVAAKTTTTFPTFSVDQAAMSSGDLFFDMDMFNMAFLKGKEEASKLLPTNTTTSSLLVLGSASEEVAVDANGGSVLGARNNSKKVNRGDDLMELGSSRANKLMAEEEEEEGARQMFDEMMLHEQDICMKGVKQQLSLSTANDNKSKSKKGSSGSNNGAAGKKARGRRTVIHADAVDLHSLLLHCAQAVATDDRRSAHELLRQIKQHSSPSGDATQRLAHCFAQGLEARLAGTGSEVYRSLMSQRTSVVDFLKAYRLYMAACCCKKVAFVFSNKTIYDAVAGRKRLHIVDYGLNYGFQWPGLLRELTARQGGPPEVRITGIDLPQPGFRPEHHINETGRRLAAYADELGVPFKFHGIAATKKETVRLRDLQSDDPDEALVVISLCHFRNIMDESLDDGISPRDEVLSNIRKTRPDVFIHGIMNGAYGATYFLTRFREALFYYAAQFDLLDATVARDSHERMLVERDIFGPAALNVIACEGADRVERPEMYKQWQARNQRAGLKQLPLDPEVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAADQED >LPERR11G20420.2 pep chromosome:Lperr_V1.4:11:20959006:20965340:1 gene:LPERR11G20420 transcript:LPERR11G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQEEQLVVEPFSPSIFLDLPPTPNEEDQDLLLPYISRMLMEEDIDDKFFYQFPDHPALLNAQQPYAQILEDTTSPSDDTTTNNTSSSSGDDSTANANAGDWPYDPIELSQLLQSPPHPDTVTLADDDDVIAAFRDATTQQPPEDEAAAGENKNNMEMLLNKAFQKGMEEATKFLPTNNTLLAGFNPDPVLDDTAAFILGNSRGRKNRPHDDLEAETGRSSKLMVPPDQDDTAAARQMFDEIMLNGYEVFLKGMHDLRIAMDSDAEKKARSNAPAAGRRRSKTSSPSAAVVDLRTLLIHCAQAVATGDRRNATDLLSQIKHHSSPRGDATQRLACCFAEGLEARLAGTGSEVYKSLMSKRTSAVDFLKAYKLYTAAICVKKVNFIFFNNTIHDAAAGKPKLHIVDYGVHYGFQWPGLFKLLADRDGGAPEVRITGIDLPQPGFRPAHQIEETGRRLANCAREFGVPFKFRAIAAKWETIRAEHLDIDADDDEVLVVNCLHGLNNLQDESVFAVDDDKPSPRDVVLRNIRDMRPKVFVECVVNGAYGAPFFVTRFREALFYYSAQFDMLDATIPRDSDERLLIERDIIGRCALNVIACEGADRVDRPETYKQWAVRHHRAGLTQLPLDAHLVQVVRDKVKNYYHRDFVIDVDQRWLLQGWKGRILYAMSTWVRDGGGP >LPERR11G20430.1 pep chromosome:Lperr_V1.4:11:20970525:20973946:-1 gene:LPERR11G20430 transcript:LPERR11G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLSPAPAVRPLSGTTLSFGVPYKNKTRIRTMRVCCTADEEGYSNEEEANDLGVNAALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGHGYDPPSCHKRGCLGVVLHVTISRKTSLLTIVLTRAMPRSGSDVKEDGKDGGIDFFEPPDPRILLVIDIMMKRRK >LPERR11G20430.2 pep chromosome:Lperr_V1.4:11:20967890:20973946:-1 gene:LPERR11G20430 transcript:LPERR11G20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLSPAPAVRPLSGTTLSFGVPYKNKTRIRTMRVCCTADEEGYSNEEEANDLGVNAALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGHGYDPPSCHKRGCLGVVLHVTISRKTSLLTIVLTRAMPRSGSDVKEDGKDGGIDFFEPPDPRILLVIDIMMKEGWDEHTCHRHWRAAAGRVKDIRVVLFFLHGR >LPERR11G20430.3 pep chromosome:Lperr_V1.4:11:20970525:20973946:-1 gene:LPERR11G20430 transcript:LPERR11G20430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLSPAPAVRPLSGTTQEEANDLGVNAALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGHGYDPPSCHKRGCLGVVLHVTISRKTSLLTIVLTRAMPRSGSDVKEDGKDGGIDFFEPPDPRILLVIDIMMKRRK >LPERR11G20430.4 pep chromosome:Lperr_V1.4:11:20970837:20973946:-1 gene:LPERR11G20430 transcript:LPERR11G20430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLSPAPAVRPLSGTTLSFGVPYKNKTRIRTMRVCCTADEEGYSNEEEANDLGVNAALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGHGYDPPSCHKRGCLGVVLHVTISRKTSLLTIVLTRAMPRSGSDVKEDGKDGGIDFFEPPDPRILLVIDIMMVF >LPERR11G20430.5 pep chromosome:Lperr_V1.4:11:20970837:20973946:-1 gene:LPERR11G20430 transcript:LPERR11G20430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLSPAPAVRPLSGTTLSFGVPYKNKTRIRTMREKYHPYYPRAAVMCQLAASTLCKHTKDMVLQRVVMDMIPQGGLVRKSYPSNDVCCHKRGCLGVVLHVTISRKTSLLTIVLTRAMPRSGSDVKEDGKDGGIDFFEPPDPRILLVIDIMMVF >LPERR11G20440.1 pep chromosome:Lperr_V1.4:11:20977697:20977969:1 gene:LPERR11G20440 transcript:LPERR11G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRMEEDGGEWCWKKMMVSTTNNKQLLQRSTSMPAKKEEKVGRGRRLKRSVSGRVREQRARLYIMRRCVSMLLSSACAKLPGADHDDY >LPERR11G20450.1 pep chromosome:Lperr_V1.4:11:20980205:20981089:-1 gene:LPERR11G20450 transcript:LPERR11G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFVVHVNVVAILVSISITRVAAAAGGGGVHNGGGIQLIMVNNCGESVWPGILGSAGHPTPQSGGFHLGPGEETAFDVPTHWSGRVWPRRGCSFDAAGRHGSCATGDCGGGLLHCGGAAGSTPATVVEMTLGTATSPVHFYDVSLVDGFNLPVSMSPVGGGVGCGTAACGADLNVCCPAALEVRDGEGRVAGCRSACRAMGGDKYCCTGVYGSPAACRPTIFSHLFKAICPRAYSYAYDDASSLNRCHAKRYLITFCPPQPS >LPERR11G20460.1 pep chromosome:Lperr_V1.4:11:20982554:20984365:-1 gene:LPERR11G20460 transcript:LPERR11G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDTSFSCLLYCDEEPFESLTTPTPSPGQQQGDDGEDEEEVMVELYKAKQRLFAPQRDYCSLLLQQQPHGVSSARLKAVRYIIYAMGRLGLEAATAFNAVNYLDRFLSINSHLRWEEWMVEVVSVACLSLACKLDQVNIPSLHDLQMEEVMGHSFRASTIRDMEITLLKALQWRLACVTPFSFLLLLFSKYIANASRLLLCSLLDPSFLRFDASLLAASALLTCSTTAPLQQIHHLATRVDRLIHPMSQTDHEVKECFNMMKALYLEDLSNNPGRYSGHQYWRTPISVLNPFRTDCTTVNRSAVSRCLFAEFKPESHDNCTADQDKDTGPCTSVQEMK >LPERR11G20470.1 pep chromosome:Lperr_V1.4:11:20987579:20990058:-1 gene:LPERR11G20470 transcript:LPERR11G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVQNPIFSVWPVEDLEADPQGRASTPSTFLGKKLKKQVTSAVNYHGKSSNFNRFKVMAKELDESKQTDEDRWKGLVEDISDDQQDITRGKGLVDSLFQAPSGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPEDDIVKLVDSFPGQSIDFFGALRARVYDDEVRKWVTDTGVENIGKKLVNSREGPPEFEQPKMTIQKLMEYGNLLVQEQENVKRVQLADKYLSEAALGDANQDALKTGSFYGQGAQQGGNLPVPEGCADPAAKNFDPTARSDDGSCLYNF >LPERR11G20480.1 pep chromosome:Lperr_V1.4:11:20991446:20993926:-1 gene:LPERR11G20480 transcript:LPERR11G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTMMAASFSSTVGAPASGLVVKKLRRKPPGVSVYGNGRSSSRFVSKIRAMAAGSKDLDEEKQTDQDRWKGLVTDISDDQQDITRGKGLVDSLFQAPMGDGTHEAVLSSYEYISQGLRQYNLENTMDGLYIAPAFMDKLVVHITKNFLKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFRTDGVPHDAIVKLVDTFPGQSIDFFGALRARVYDDEVRRWVADVGVENVGRRLVNSREGPPEFEQPKMTLEKLMEYGHMLVREQENVKRVQLADKYLSEAALGEANDDAIKSGEFYGKAAQQTPVPVPAGCTDQRAANYDPTARSDDGSCVYN >LPERR11G20490.1 pep chromosome:Lperr_V1.4:11:21002087:21002887:-1 gene:LPERR11G20490 transcript:LPERR11G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGDGMWGAAAAAGRSTRSIAVPSPAAAYEQSWEEQAFARDAGAVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRLRSPSPGPGRHHQQQQEDDQEEAEAASASFSKPKNTNNNCTTSKDDDILLGVSISTCASRSSSSSAAAAIIRESIKKRALVPMRQQEEEEEAPDDEEDDHDQQEFAGRRSKKRRRRLVDQLPSCDHHQVVANKVLITSTSPSSISASALVDQQNQQAAVVGVLDLELRLGTTPKAVLNMHEID >LPERR11G20500.1 pep chromosome:Lperr_V1.4:11:21007952:21011383:-1 gene:LPERR11G20500 transcript:LPERR11G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQSAWEGLTGSFTEFQLATVITFLLHESVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMILSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFILEDFIFYWGHRALHTKWLYKHIHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNVKAMEEKEGKHLLVS >LPERR11G20500.2 pep chromosome:Lperr_V1.4:11:21008321:21011383:-1 gene:LPERR11G20500 transcript:LPERR11G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQSAWEGLTGSFTEFQLATVITFLLHESVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMILSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFILEDFIFYWGHRALHTKWLYKHIHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNVKAMEEKEGKHL >LPERR11G20510.1 pep chromosome:Lperr_V1.4:11:21012210:21014751:-1 gene:LPERR11G20510 transcript:LPERR11G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGEAAGQAHLHKDDDGGEQPSGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETREGEVRFPEISTPILEKICQYFYWSLHYSSGKETSEFQIEPEITLELMMAANYLDT >LPERR11G20520.1 pep chromosome:Lperr_V1.4:11:21015942:21020347:1 gene:LPERR11G20520 transcript:LPERR11G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDAKVDISFAGRFTASAIAACFAEVCTLPLDTAKVRLQLQKKVDVAAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLSKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIVRQEGFAALWTGLGPNVARNAIINAAELASYDQVKQAHSKDILVLQTILKLPGFKDDVVTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVRKPVS >LPERR11G20530.1 pep chromosome:Lperr_V1.4:11:21020754:21021986:-1 gene:LPERR11G20530 transcript:LPERR11G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGDVCALHPGVAVTGFCPSCLRDRLAGLQPPPSSADLRRCKSFSYYARSSSSSFMQQQQQEEPHRRSSCEHPIPMKEHITRHHYQQHTSKQGPFGAIGKRWQEWRRKSKLKTKQQEEESAMPPELGRRSCDVFSDRSRSRINMNMNIDPRACCDGAHHPQMMIPRSDDQIPVEEEDEQESVVGMPGGSAQTRDYYLHSSSSSSRRRRSVDRKSIDGADLLPRMAANARVSPALRDFYPQQHHYQHHPSSFEQPPLPIPLDATTMTGKSNSKPTKNKGIKGWSIWGLLHKKTNHNVQFGGGEARPPPPPELRARGYDGQMLRCNSSVSARSSFSGAAGLGLRRADEVLLERNFSARYSTSSSSCRMTAHSHPHYFHHHNSIANNPGAARPPPPPCSSLPRSSLGLYNS >LPERR11G20540.1 pep chromosome:Lperr_V1.4:11:21027736:21035358:-1 gene:LPERR11G20540 transcript:LPERR11G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLAPCSQRRRTADADADASLPSSHANSGSYWGVSHASDVMLAHWQNALFVPNRTTPSVSIYLRLDI >LPERR11G20540.2 pep chromosome:Lperr_V1.4:11:21027736:21035358:-1 gene:LPERR11G20540 transcript:LPERR11G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLAPCSQRRRTADADADASLPSSHANSGRVGPGNLPLTVRMGLVEQSRNALFVPNRTTPSVSIYLRLDI >LPERR11G20550.1 pep chromosome:Lperr_V1.4:11:21030154:21033087:1 gene:LPERR11G20550 transcript:LPERR11G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPPSRWFTLLLMACCFLLQALSTRSETRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVAHNITIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATIHGAIVILPKLGVSYPFPAPYKEAVVLLGEWWKEDTETIINQAMKLGVGPNISDSHTINGHPGPLSKCASSQDGFKLNVENGKTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFKTDTLLITPGQTTNVLLRANQSAGRYLLSVSPFMDAPLQVDNKTGTATLHYANTVSSATSLTLIKPPPQNATPIVSKFAESLRSLNSKEYPANVPKTIDHSLLLTIGVGVNPCPSCINKTKVVGTINNVTFIMPSTPILQAHYYSIPGVFTEDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGRGIGNYNPRASPSTFNLIDPIERNTVGVPTGGWTAIRFRSDNPGVWFMHCHFEVHTSWGLKMAFVVDNGKRPSETLIPPPKDLPQC >LPERR11G20560.1 pep chromosome:Lperr_V1.4:11:21039957:21043184:1 gene:LPERR11G20560 transcript:LPERR11G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDQVFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIAEHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKREDWAMACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFGEDSPYDLVSSSGQSTVNPSDWTFHRRIQWD >LPERR12G00010.1 pep chromosome:Lperr_V1.4:12:31:1951:-1 gene:LPERR12G00010 transcript:LPERR12G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALFSQLREVHKRSTIKEDKIMKTPPAQAAAAGNKMTTVVQSPMGLRSILTSLVAFFIVVSSVSLLFDRSGQDEAQVQLAAQQHQHQEVQLKTKHHLSSASSVSEQKSVDHQSPLRSRNQEAQVEWTPELLYSGGEEEEECNWSLGRWVYDNASRPLYNGLKCSFIFEEVACDKYGRNDTMYQHWRWQPHGCDLPRFNTTKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEAYIPEGRKARVFNGSLYSFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHASFWRDADVIVFNSYLWWRKQKADMTMKVMYGSFEDGDAKLDEVEMADGFEIAVKKLTEWLGTNINKNKTRMFFAGSSPAHTW >LPERR12G00020.1 pep chromosome:Lperr_V1.4:12:5571:5989:1 gene:LPERR12G00020 transcript:LPERR12G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSGVDDKQTSQAARRARGAREVEGAKDQCARGRAVDKYRDAITTVVEWQSNRGGRAITSGVPNPWMTRDESAQRCCQYRVGKVVDQLETLHHVGGDQQRRPLRQSRRENDVEGEMPEQHAVAGEERSAVEEEPT >LPERR12G00030.1 pep chromosome:Lperr_V1.4:12:11122:11562:-1 gene:LPERR12G00030 transcript:LPERR12G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGTPCASCKLLRRRCTAECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLQEVPAAQRADAVSSLVYEANARVRDPVYGCVAAISFLQQQVSHLQMQLALAHAETSALQLQLQDERQQHILVQNAHHQLMLQEACLKQESMWT >LPERR12G00040.1 pep chromosome:Lperr_V1.4:12:15010:16735:1 gene:LPERR12G00040 transcript:LPERR12G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWAKNPVKMAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLESISQTLPPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNLMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >LPERR12G00050.1 pep chromosome:Lperr_V1.4:12:18477:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLLLENMLSSGTPSELKERGTISEFAPDGSGGSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00050.2 pep chromosome:Lperr_V1.4:12:18723:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTIYGGRFLACSVDWKILLYSKQEEILALPEIEIGTEMEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLLLENMLSSGTPSELKERGTISEFAPDGSGGSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00050.3 pep chromosome:Lperr_V1.4:12:18475:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00050.4 pep chromosome:Lperr_V1.4:12:18477:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLLLENMLSSGTPSELKERGTISEFAPDGSGGSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00050.5 pep chromosome:Lperr_V1.4:12:18723:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTIYGGRFLACSVDWKILLYSKQEEILALPEIEIGTEMEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00050.6 pep chromosome:Lperr_V1.4:12:18477:23481:1 gene:LPERR12G00050 transcript:LPERR12G00050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIRVAYVIVFCFLVSARFGFCAPHSEEGRALLKYTENERDKSTSSLSNWGEMRMVGRTLHLSRTVHNAPPRKLLQARELISNQTDKDHKRKQSPPPVQSSASHLLPYWAIYTLSAVGVLCIAAVATAIYVLFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVKSTQQWSVQSEEQFRSKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMIQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDPNKQDASASSQEIKISSSRGDGQESALVYKFGILLLEVISGRRPFSEDDRLMVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVMGERKIRMGEVARLVRDVARFSPEQAAPRENPLWWAELEICLL >LPERR12G00060.1 pep chromosome:Lperr_V1.4:12:23772:26459:1 gene:LPERR12G00060 transcript:LPERR12G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPAAFVQQDYHHDEDDEFQDDDDLDDEFDGDDDQQEPSDGADEARLQSVLRRLTADEVPIRVHDVQIRGCCRTRRAAVEAAVKADVETQGEFVESLANEVRAAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEYKVSSFTDDSKLPCEEALKKMYSLLEKVEQSVYAVLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGNDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDA >LPERR12G00060.2 pep chromosome:Lperr_V1.4:12:23772:25806:1 gene:LPERR12G00060 transcript:LPERR12G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPAAFVQQDYHHDEDDEFQDDDDLDDEFDGDDDQQEPSDGADEARLQSVLRRLTADEVPIRVHDVQIRGCCRTRRAAVEAAVKADVETQGEFVESLANEVRAAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEYKVSSFTDDSKLPCEEALKKMYSLLEKVEQSVYAVLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGNDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDA >LPERR12G00070.1 pep chromosome:Lperr_V1.4:12:28486:30726:1 gene:LPERR12G00070 transcript:LPERR12G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKASSRIFLAGKKVMQIALGRSPADEANTGLHKLSKFLQGDAGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHVVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSPDDFEIYKEGLAHLRADEADVSS >LPERR12G00070.2 pep chromosome:Lperr_V1.4:12:28486:30924:1 gene:LPERR12G00070 transcript:LPERR12G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKASSRIFLAGKKVMQIALGRSPADEANTGLHKLSKFLQGDAGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHVVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSPDDFEIYKEGLAHLRADEADVSS >LPERR12G00080.1 pep chromosome:Lperr_V1.4:12:31586:32756:1 gene:LPERR12G00080 transcript:LPERR12G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPCWVLWAHNMGFGRRLLPFVGRAGPALTQDYVLTQALLRCSPPPSTSIPTATSRRPRWRRSTRGQPWYSSSGRDRGSRRRRPFGASWITPAATSSAYWVGPPRRKQEESIADGGGNNNLVVMLAGAGILWMGWTGFNGGEHGLVGGGAQHAHLRHHQHPRLDLLRRRRSREAVGDGRRAGDDHRPGVCITPAAGLVQGWAALLMGLASGTLPWHAVSGVVGGVLTGVFEHPALCDMFLPVTGSRGLVYGVRDGGVQVLKQVVAALLVAGWNVGVTSVIMVVVRVFVPLRMTEEELLAGDIAVYGEQAYHHFQSSGGNNFDLSHHDTIEVGNS >LPERR12G00090.1 pep chromosome:Lperr_V1.4:12:41395:43085:-1 gene:LPERR12G00090 transcript:LPERR12G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVALTSREAVEPASGEVAETAQPERTVAADPIGVGAVVSKETTDETPTSAPKDSHVATDVADAAVAAAPGVIPLGYSGGAPTVDPQSELPHVTETEVASAMASVDELMMPTRDDALAESSAADAVRGRLQSALGAIGKLLLQGEGLWSRLGDAFAAIRTKPGGLRRRPSSMLARSRRERPARLRRARLHRSTRPKRLAEAETASRQQREKLREDLDGLRSLLEEEERQRSAVEQRATLLKSEKEREAELVCFFFRTESWELHWSSSVYDRESTLMWADLRYSESERERLVAENYVLLDTNEDLYTTLAERDLRDKSHDLEAKDAGLQQRTRELQAKDGKLQQKTRELQERVHDLNALRKRLEELEAAVATEKREQEEVVLDIQAVCDELEVDAAAVPASRILLIPQRVKEMVVRVVHKVMGIVVAHYPSFDKKVVGQGWPKDLPDESCEADATEVAGKLFSLAADELGIVEEPADSETKDVPAAEEQEQ >LPERR12G00100.1 pep chromosome:Lperr_V1.4:12:46727:49702:1 gene:LPERR12G00100 transcript:LPERR12G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIGEKQFSIIASAVRAPAYTTCRAARRRWWIRTLDAVVFTRNLGVEVDIVSARLFWIGAQHHLPLSENMMISNKGKEITKRRHTFGSYLVPKRGCKLCELICWKKGALTMP >LPERR12G00110.1 pep chromosome:Lperr_V1.4:12:50005:52013:1 gene:LPERR12G00110 transcript:LPERR12G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGVAGEGEGTTTHSTASPPRDPRTCLVWCLSRASSLPRPMERGMVEWILLFIDPSGENSVLTVTWTTSASASRSLRAKVTAYSLPVHQSRAALFHFNLTECAGAWTW >LPERR12G00120.1 pep chromosome:Lperr_V1.4:12:55361:60153:1 gene:LPERR12G00120 transcript:LPERR12G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFDFPQKVTNLAWHLAQRVPGRDPKRWRFDAVGIEVLKPLRSKYLGLASYVFDHKFAPTTEVEKKNPFFRSIKNCQVLSTNVNLAKSDNKLDRKQLMGYRYNLKLTGPLMDLLESDVVAHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSEKISARDLNKTMSPKSRERAKLLMLEYAGSERGQGDTDLESASSAPSSPRSEDFDNVSVDSSSSRYSFLGKRPNLMQKLKKWGRGKDDGSSVSSPTRSLTSDSPRSTSQKPKGPLEALMLRNAGDGMGITTFGKREQDHSDIMDEANVASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAIKAKAEKARVQRYGGVNTSVPLFSTKSALPPKLAQIKEKAPSPTANVESSDQSSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATASGAVNTASGVPPPPTRPPGAPPPPPSPGKLGGPPPPPPPPPGSLPRNLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSVSDVRSNMIGEIENRSAFLLAVKADVETQGEFVESLANEVRAAKFVNIDYVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEYKVSSFTDDSKLPCEEALKKMYSLLEKVEQSVYALLRTRDMAISRYKEYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTDKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQFSDS >LPERR12G00130.1 pep chromosome:Lperr_V1.4:12:61269:63661:1 gene:LPERR12G00130 transcript:LPERR12G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPGCAVTLSKTKKQPGLERKGKVVADIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKASSGIFLAGKKVMQIALGRSPADEANTGLHKLSKFLQGDSGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGLPVRLKKGAVELVADHVVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSPDDFEVYKEGLAHLRADEADVSS >LPERR12G00140.1 pep chromosome:Lperr_V1.4:12:64235:65565:1 gene:LPERR12G00140 transcript:LPERR12G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPTAAVPSWLNKGDNAWQMLSATLVGLQGFPGLSLFYAGAVPHRKWALTAAFMPLYAMAAAMPCWVLWAHNMGFGRRLLPFVGRPGPALTQDYMLAQALLPSTLHLHSNGDVETAAVAPLYPSATMVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPVWTTLSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPPTRKEEAIAGGNNLVVMLAGAGILWMGWTGFNGGEHGRVGGASSPGFAATWPCAGGAGDDHRPGVCITPAAGLVQGWAALVMGVASGTLPWYTINAAAMIRGKVEDTLGILHTHAVSGVVGGVLTGVFAHPTLCDLFLPVTGSRGLVYGVRDGGVQVLKQVVAALFVAGWNVAVTSVILVVVRVFVPLRMTDEELLAGDIAVREQALSHHEAIELGNS >LPERR12G00150.1 pep chromosome:Lperr_V1.4:12:67206:67688:1 gene:LPERR12G00150 transcript:LPERR12G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSSSSSSVLFAAFDKDGDGKVSASELRGCMSAALGEDVSEEEAAAILATADIDGDGLLDHDEFLRLSDSGHHQLQQKDQPDEERLRCLREAFDMYAAAEEETAVITPASLRRMLTRLGSEHERLGMEDCRAMICRFDLDGDGVLSFHEFRVMMLMS >LPERR12G00160.1 pep chromosome:Lperr_V1.4:12:68895:78807:-1 gene:LPERR12G00160 transcript:LPERR12G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQSGQTPPLLQYFGTLLTRGKLNAYESLELSKLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIFIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDNDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >LPERR12G00170.1 pep chromosome:Lperr_V1.4:12:80724:85201:-1 gene:LPERR12G00170 transcript:LPERR12G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEQQQSPPSSSSSSSKLVLDSLTSYARPLLAAARRSPVTTLVTAFFLLALFIYGEDVRTIAELSIDDYLYPDADFYNVSGLPPLVLPHPTCDLSSGHWVFDNTSVPAYREKECTFLTKQVTCLANGRPDDLWQYWRWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGNFNSFYAMEYRATLQFYWAPFLVQSNSDNPNFHHIDERIISPELIEAHGKNWKDVDYLIFNSYIWWMNTQDIKVRKPDARAWKDNDEVPRMDAYGRVFKTWSNWLETNVDPAKTSVFFMTISPIHISPHQWGNPNGIRCVKETLPVLNYTEPLDLNHDMRMKDAHTSLYTIRQGKLLTPEQKAKPQKFADCIHWCLPGVPDVWNQILYTRILSKSSPPSPIPSLPPQREAGV >LPERR12G00170.2 pep chromosome:Lperr_V1.4:12:80724:85201:-1 gene:LPERR12G00170 transcript:LPERR12G00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEQQQSPPSSSSSSSKLVLDSLTSYARPLLAAARRSPVTTLVTAFFLLALFIYGEDVRTIAELSIDDYLYPDADFYNVSGLPPLVLPHPTCDLSSGHWVFDNTSVPAYREKECTFLTKQVTCLANGRPDDLWQYWRWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGNFNSFYAMEYRATLQFYWAPFLVQSNSDNPNFHHIDERIISPELIEAHGKNWKDVDYLIFNSYIWWMNTQDIKVRKPDARAWKDNDEVPRMDAYGRVFKTWSNWLETNVDPAKTSVFFMTISPIHISPHQWGNPNGIRCVKETLPVLNYTEPLDLNHDMRMYDMVINVAKNMKNVPVTVINITRMSDYRKDAHTSLYTIRQGKLLTPEQKAKPQKFADCIHWCLPGVPDVWNQILYTRILSKSSPPSPIPSLPPQREAGV >LPERR12G00180.1 pep chromosome:Lperr_V1.4:12:91970:94714:1 gene:LPERR12G00180 transcript:LPERR12G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILAVLGYGGEAPPVQGKKDNSSRRVRVLELSRRLKHRGPDWSGLHQVGDCFLSHQRLAIIDPASGDQPLYNEDKTVAVTVNGEIYNHQELRRRLSSSHTFRTGSDCEVIVHLYEEHGEEFVDMLDGVFSFVLFDSRDRSFMAARDAIGVTPLYIGWGIDGSVWISSEMKALNDDCEHFDIFPPGHLYSSKNGGAFRRWYKPPWFSEEAAIPSVPYDPLALRAAFEKAVTKRLMTDVPFGVLLSWSPDLKAAREVAEYLGTTHHEFYFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDEIFGGYLYFHMAPNKEEFHWETCRKIEALHQYDCLRANKATSAWGLEARVPFLDKEFIETAMDIDPEWKMIQPDIGRIEKWVLRKAFDDDHKPFLPKHILYRQKEQFSDGVGYSWIDGLKSHAQLNVTDKMMSNAKFIYPHNTPTTKEAYYYRMIFERFFPQKSAILTVPGGKSVACSTAKAVQWDSQWSANLDPSGRAALGVHLSAYDHKQKEQQHLPATVVAGAGNKKPRVFGLPTHAGVTIHS >LPERR12G00190.1 pep chromosome:Lperr_V1.4:12:104671:106793:1 gene:LPERR12G00190 transcript:LPERR12G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTQEADCKASEDAHLFDAAKPPPFRIGDIRAAIPPHCWRKSPLRSLSYVARDLLIVAALAAAATHIDLLFAWTWPLYWAAQGTMFWALFVLGHDCFSDSATLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYRQLEPRTKKLRFTVPFPLLAFPVYL >LPERR12G00200.1 pep chromosome:Lperr_V1.4:12:106303:109804:-1 gene:LPERR12G00200 transcript:LPERR12G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVTALDAAVVSARRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSASASSSTTDEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQAPAAVKVDLSHLVLAILDDPVVSRVFADAGFRSGEIKLAILRPAPPMPLLGRLPTRARPPPLFLCSFAAADDADVPSPAAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAAAAADDFAGASPYRILHVDPSSIERSDIGVAAAMASATTSGLIISIGDLKELVSDEVEVQERGRRVVAEVTRVLETHTKVGRVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVHAAAAGPAAGGLMPPATTVAAFSKPPASLMESPVPFGGFLCDNYEMNSLTANSCPQALRCHVPSLPSICN >LPERR12G00210.1 pep chromosome:Lperr_V1.4:12:124782:133555:1 gene:LPERR12G00210 transcript:LPERR12G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPADDPILADCKYKLNHFRIKELKDVLHQLELPKQGRKQELLDRILALLSDQQDQDSKMNGLSNKKLVEKATVATIVGDTFIKMHGSTNVVPVSRNQTDSGHIAKPKRKSDDYAQLDVKVRCPCGYSMANDSLIKFGVIIHNLSTPFQCEDSQCNTQQHVGCVIISEKPADIVPPELPPHFYCDICRISRADPFWVTINHPVLPVSITPSTIAPDGSYAVQYFEKTFPISRAFWEMLQKDEYDLQVWCILFNDNVPFRMHWPLHSDIQINGIPIRVVNRPPTQQLGVNGRDDGPILTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQEQDGENFNDALARVRRCVGGGTEADNADIDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNQITSLIQSCGDDVSEIDVKPDGSWRVKGGSELKGLAQWHLPDGTLCMPTDIGSKPNIGIVKHEIKEEPLSEDTGGRLKLGIRRNNNGQWEINKRGDSSGMPPSDNGQNGHFEGENCVGSTSNTDDENGKSGIYNQETGQFDQFTNNVHDLDFSPMDAHFPPAPTGQDIIVLSDSDDDNVMVLSPGVVNFSSAHDNGNEFPSNPPEASGICGEQPRGGPYEASLLEGLDDLELPCWQFSLSPQDAAGTHVADNQCEVQDYPDNRQSLHEPISGVNLGATAAANPLECELDGLQACRSSERDGDQNQSCRDSHSEDWTNHSISRTRGSLTTNAKNVSQKRANCGGELTDLDVSVVRSGNNGLRGEMPTFGQEQDRSVRQKIIVTIESDSD >LPERR12G00210.2 pep chromosome:Lperr_V1.4:12:124782:132748:1 gene:LPERR12G00210 transcript:LPERR12G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPADDPILADCKYKLNHFRIKELKDVLHQLELPKQGRKQELLDRILALLSDQQDQDSKMNGLSNKKLVEKATVATIVGDTFIKMHGSTNVVPVSRNQTDSGHIAKPKRKSDDYAQLDVKVRCPCGYSMANDSLIKFGVIIHNLSTPFQCEDSQCNTQQHVGCVIISEKPADIVPPELPPHFYCDICRISRADPFWVTINHPVLPVSITPSTIAPDGSYAVQYFEKTFPISRAFWEMLQKDEYDLQVWCILFNDNVPFRMHWPLHSDIQINGIPIRVVNRPPTQQLGVNGRDDGPILTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQEQDGENFNDALARVRRCVGGGTEADNADIDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNQITSLIQSCGDDVSEIDVKPDGSWRVKGGSELKGLAQWHLPDGTLCMPTDIGSKPNIGIVKHEIKEEPLSEDTGGRLKLGIRRNNNGQWEINKRGDSSGMPPSDNGQNGHFEGENCVGSTSNTDDENGKSGIYNQETGQFDQFTNNVHDLDFSPMDAHFPPAPTGQDIIVLSDSDDDNVMVLSPGVVNFSSAHDNGNEFPSNPPEASGICGEQPRGGPYEASLLEGLDDLELPCWQFSLSPQDAAGTHVADNQCEVQDYPDNRQSLHEPISGVNLGATAAANPLECELDGLQACRSSERDGDQNQSCRDSHSEDWTNHSISRTRGSLTTNAKNVSQKRANCGGELTDLDVSVVRSGNNGLRGEMPTFGQEQDRSVRQKIIVTIESDSD >LPERR12G00220.1 pep chromosome:Lperr_V1.4:12:132174:134202:-1 gene:LPERR12G00220 transcript:LPERR12G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVCSFTAMKEKNVNKQNMDKRFAKKHKIPVQVLQQGIPQALIHAEDDLLLPGRIMRVSSDTLLATALSEAGPGGELITIYVTRYLPLACAPGIRKMVAPQTVEVAKPTPFSFTMKLGSREKAEKTLMELTNSKKEESDTRKLKQGKEADESQLEKAKGDNSVSTISKPNEEAKMKKPVKITNKNKMASNSNKSDGQVQDEHHAEESQLEKAKGDNKLSAMPKPNEEAKMIEHHTSMKHKEKVSDVEKTKDKAAGLVIGNIDLLEAKEKAMKAISEEISVFEGVLKNLESLPNSVQANELIKSLTAPDAAGLQEMLNVKERYLLAHLKEAGEDGYRTTMKILKTVDRDLYKALQGHTQEHVTAALAGLKINLERKHSGAQGSSNGTERGPVVTWLIEKHITKLKKLCNEHTLLGSSLQAGTAPDGSLTGVGAAGAPLAGSDSARGEITASTSVHPVDSAPGETTASTSTTRHSTRERRPSVRLSVEEWYL >LPERR12G00230.1 pep chromosome:Lperr_V1.4:12:140005:142261:1 gene:LPERR12G00230 transcript:LPERR12G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYVFVQTNYQDIVSRIISQLTLKEAVRLSTTSSKLRRAWIYHPNLYFDTSIVFGSSDRHKKVPSTKSFIDKVNFILSKHSGFGVNKLAVIFELRKKHAHDIDGWVSFAVTSKARAVTLNFSPYQGSHESGYNFPCHLFSDKNGSNLQVLQLNTVTLGPTPNFCGFANLTMLTLENVLVLGDLQLLPKCPILECLTIRMCSHLCNLHSPEPLARLKFLCVQDSAVDLIDLHAPNLTTFNYRGRFKVVIALHECLKLKTASIVSPIEKDLNYIFTGLPNGLPRVERLHVEVLVETQIFGFTHPLHKYINLRHLTMRIAYGTARRFGKNAVLQLAYILEAAPFLVDLHLAMSCIDLSEGRPARDVIMDRPHYNLKRACITGFHGNGGQIALVRFILRNAVTLEKMTIDPKGGIMDHMVGEDGGRRMIKKKIIPKDKNGVLVIL >LPERR12G00240.1 pep chromosome:Lperr_V1.4:12:148965:149282:1 gene:LPERR12G00240 transcript:LPERR12G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSQSQSSVGAGAATARPSTVGPRGTPAAAAGMRRRRTSTSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASSASA >LPERR12G00250.1 pep chromosome:Lperr_V1.4:12:165132:166035:-1 gene:LPERR12G00250 transcript:LPERR12G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRNNTAGWLTFKLKDKERLLPSFMNSGSHTISYGYGYRNITPCTVGAGSFRDIYHCLKRFTPENATERNDQRGRVLQVSFLVFAETQRFVQMQKEALQNIQEGTDKGIYHLDTLIHDWVNVSRARACKADQAAAPEAAVVAAAPESSSKIVDSGLRLVKYRDDYILPLIKRQQMQAKEEEEQTQLQQGQQQQHKEEKKKGQRKKLRQKQQALDDEKKTPRGQRKELQRQLLQLRRRQLKQEYQQQQARNSSSSGGSIIPLK >LPERR12G00260.1 pep chromosome:Lperr_V1.4:12:168439:173577:-1 gene:LPERR12G00260 transcript:LPERR12G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTDPSHPLEVLLVAARGALVHLHLPFPGSKPNKHKQPDFRSLTTCSSSKPLQAADPPRQLDFLLCIAFDTFTHNLHLLVLEHKRKQFQPHLIHRLHTLIHGKKAAFLSNLGFAKTRLSPSPSPWNLQQLMIIHTDYLFACSASHCLMTNVERLRSTLSTLSLAELIELVLQLVARSTTSHDAHPDKKKLFSVHDFFRYAEFEGKRLFEELDRGGDGQVTLEDLEVAMRKRRLPRMYARDFLRRTKSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERIEDDPRHVSKHLRFHFQISYQHFHKLGSEDSIGVLSPQFLVSFQGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIGFFRGTGATPYREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWENIAMGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGSMNFAGYELTKKAMIQAESDTTDSVHGKRTTVSSRG >LPERR12G00260.2 pep chromosome:Lperr_V1.4:12:169842:173577:-1 gene:LPERR12G00260 transcript:LPERR12G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTDPSHPLEVLLVAARGALVHLHLPFPGSKPNKHKQPDFRSLTTCSSSKPLQAADPPRQLDFLLCIAFDTFTHNLHLLVLEHKRKQFQPHLIHRLHTLIHGKKAAFLSNLGFAKTRLSPSPSPWNLQQLMIIHTDYLFACSASHCLMTNVERLRSTLSTLSLAELIELVLQLVARSTTSHDAHPDKKKLFSVHDFFRYAEFEGKRLFEELDRGGDGQVTLEDLEVAMRKRRLPRMYARDFLRRTKSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERIEDDPRHVSKHLRFHFQISYQHFHKLGSEDSIGVLSPQFLVSFQGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVWYHANRWPKAVADAGLQNWGYKLS >LPERR12G00260.3 pep chromosome:Lperr_V1.4:12:168439:169611:-1 gene:LPERR12G00260 transcript:LPERR12G00260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALSAHGPKGFFRGTGATPYREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWENIAMGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGSMNFAGYELTKKAMIQAESDTTDSVHGKRTTVSSRG >LPERR12G00270.1 pep chromosome:Lperr_V1.4:12:173353:173583:1 gene:LPERR12G00270 transcript:LPERR12G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIEGNAEEEVQLPRGVGGLEGFAAAACCQGSEIGLLMLVGFGSGKGKVEMDEGAAGGDEEDLQGVAGIRGWHR >LPERR12G00280.1 pep chromosome:Lperr_V1.4:12:176712:181402:1 gene:LPERR12G00280 transcript:LPERR12G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEERAVTVAGQETRKPARRGTRCWYELLPCKRWRRRRKSKSGQRGERRVGGGGGKKSMETASMIVSNIKVALEEMRFVEPSSAGGEGKKKKGKKKMRQVSKPRQRSGMVFERKEEPEEEEVFLDPEEADRREIRSFRTNWESRFPHASFHDTTTVCPMRYTEGDIPTYASPDDALQIFSLQVIEVKDGLDWPLHVYGLVATRDSVDQKRNLLFKRTRDNCQILTPQDPYLMLTGPSRAIVLLDPVTFEVDLKAKGETEAEDRVLSLSVFIHHMAPDYISHSPVIQSDISSKHCEIELKYAALAYTVEATIVSVQVIDGSWPGYLRGRVVCRTASVDEVECVLLDSRDGRMSINSSGGIELSRRVVSVELRGKLIFCVAASHRDKNSDIVSMGRVVFKPDKAGRSTGMCDLGFCKVEAIVCWSLLATD >LPERR12G00280.2 pep chromosome:Lperr_V1.4:12:176759:181402:1 gene:LPERR12G00280 transcript:LPERR12G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding METASMIVSNIKVALEEMRFVEPSSAGGEGKKKKGKKKMRQVSKPRQRSGMVFERKEEPEEEEVFLDPEEADRREIRSFRTNWESRFPHASFHDTTTVCPMRYTEGDIPTYASPDDALQIFSLQVIEVKDGLDWPLHVYGLVATRDSVDQKRNLLFKRTRDNCQILTPQDPYLMLTGPSRAIVLLDPVTFEVDLKAKGETEAEDRVLSLSVFIHHMAPDYISHSPVIQSDISSKHCEIELKYAALAYTVEATIVSVQVIDGSWPGYLRGRVVCRTASVDEVECVLLDSRDGRMSINSSGGIELSRRVVSVELRGKLIFCVAASHRDKNSDIVSMGRVVFKPDKAGRSTGMCDLGFCKVEAIVCWSLLATD >LPERR12G00280.3 pep chromosome:Lperr_V1.4:12:180918:185952:1 gene:LPERR12G00280 transcript:LPERR12G00280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEPTILRAYTTLCLSKSGTLHKNQILASLRSAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPWYLHCWSARRFSTSRGVAPSSLTGEGGPPPPHLIMSSDHPLLAAARAALAPLHGCNQQPHCLHALVANFRSCPKPDPPHPQQLDLLLCVAFDAFTHNLHLLEKQFHPHLHHLDRLIHGKKADFDGFLSHLGFAKVGVPPPPPHPQAGITATPPPPPPQPAISEEQEEPTDVNEDKAEIDSPHPPHRLPVRLLNIPLSNVERLRSTLSTVSLTELIDLVPQLVGRSPDAHPDKKKLFSVHDFFRYTXRV >LPERR12G00290.1 pep chromosome:Lperr_V1.4:12:187223:190789:-1 gene:LPERR12G00290 transcript:LPERR12G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSYFLAKVVFSSDLKAKDGLLSRGITCSDDLGVSLASSAAISASDHDFAFQLQLSEAIQASLLLQPSSSNANVPAAALPEVPSDASCALSVHDADLARAEQDRRDAQDYHARAAASLRLAAHDALFARDLAAIPDDRWAHDGDHFERPLHDAGCHGMIGIWSPRQKKLADIINEALSAKHKFQQCEILCVPRNQIDYVTKLAANSLHTQIAKAVAVNAGKEKRENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCATKLTVEGSKIFLSPRLLAIMVQRIREAQIPPTQKIYCPYPKCSALMSMSELIHPMQASSSKYTAVDAVTLRKCVKCTGSFCISCKVPWHDHMTCYEYKRRYPHARLEDAYLQNLAQQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAVHDDPEDEDDDYYDDDDNNYYVGEGLQYNVDYHRQYDGGDRHRDHFYQYNR >LPERR12G00290.2 pep chromosome:Lperr_V1.4:12:187223:190465:-1 gene:LPERR12G00290 transcript:LPERR12G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVYKAKVVFSSDLKAKDGLLSRGITCSDDLGVSLASSAAISASDHDFAFQLQLSEAIQASLLLQPSSSNANVPAAALPEVPSDASCALSVHDADLARAEQDRRDAQDYHARAAASLRLAAHDALFARDLAAIPDDRWAHDGDHFERPLHDAGCHGMIGIWSPRQKKLADIINEALSAKHKFQQCEILCVPRNQIDYVTKLAANSLHTQIAKAVAVNAGKEKRENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCATKLTVEGSKIFLSPRLLAIMVQRIREAQIPPTQKIYCPYPKCSALMSMSELIHPMQASSSKYTAVDAVTLRKCVKCTGSFCISCKVPWHDHMTCYEYKRRYPHARLEDAYLQNLAQQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAVHDDPEDEDDDYYDDDDNNYYVGEGLQYNVDYHRQYDGGDRHRDHFYQYNR >LPERR12G00290.3 pep chromosome:Lperr_V1.4:12:187223:190357:-1 gene:LPERR12G00290 transcript:LPERR12G00290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCQGVSLASSAAISASDHDFAFQLQLSEAIQASLLLQPSSSNANVPAAALPEVPSDASCALSVHDADLARAEQDRRDAQDYHARAAASLRLAAHDALFARDLAAIPDDRWAHDGDHFERPLHDAGCHGMIGIWSPRQKKLADIINEALSAKHKFQQCEILCVPRNQIDYVTKLAANSLHTQIAKAVAVNAGKEKRENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCATKLTVEGSKIFLSPRLLAIMVQRIREAQIPPTQKIYCPYPKCSALMSMSELIHPMQASSSKYTAVDAVTLRKCVKCTGSFCISCKVPWHDHMTCYEYKRRYPHARLEDAYLQNLAQQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAVHDDPEDEDDDYYDDDDNNYYVGEGLQYNVDYHRQYDGGDRHRDHFYQYNR >LPERR12G00300.1 pep chromosome:Lperr_V1.4:12:190835:192997:-1 gene:LPERR12G00300 transcript:LPERR12G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTQLVHHQFIFLSSTTNPPPHPAFSSWHLVRCQCSHSAALSPTSTHASCKHREGEDDAALVALLRQGHTDAAYHLFASNPSRLPESPVSASRLLAQLSYSYNFSRAASLLQRLRQRGALHLLDANSLSLAASAAARSNNPHLSYSLLLSMLRRGGQFLPDRRAYTAALARLPPARALRLFHAVLHHLKRAPTNCPSSSMPDTAAFNAALSACADAGDCRRFRHLLDLMPAWNAPPDALTYNVLIKMCARAGRKDLVPLVLERGILSSGLLPCATTFHSLVAAYVGFRDIPAAETIVQAMRDRRLDICLLLRQLAAQTDDDQQHKQQQMPSSHLLDDILLSVSSSQEEDDTPVPLLPRAYPPNSRVYTTLMKGYMNAGRVDDVLTMLRAMRREGETSAASRPDHVTYTTVISTLAASGDMDRARAVLHEMARDGVRPSRVTYNVLIKGYCNQLQVAKARVLVAVDMADAGIKPDVVTYNTLIDGCVLTDDSAGAVALFNDMRAQGIAPSAASYTTLMKAFAASGQPKLAHKVFDEMEKDPRVAVDRAAWNMLVEAYCRLGLLDPAKAVVERMKARGVQPDVATYGSLAKGIAVARKPGEALLLWEEMRNKSAEVDGEVLEALADVCVRAALFRKALEMVAMMEEKGIEPNKGKYKRMYVELHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWIGLQQHTQQDDE >LPERR12G00310.1 pep chromosome:Lperr_V1.4:12:198400:204636:1 gene:LPERR12G00310 transcript:LPERR12G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAAALLQLLLLFSLSTAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVFGGQKASLLVENEVLQQQQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGATPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVKRANYLVDVAPGTERISTTKPIFVGTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLAPNETRKFKLVIPGKPEFSKPTVDVEENAQGKYRLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYVQITAGSQDENTMASLVSRYPQAGWAQEGGDPCLPASWSWVQCSSEAAPKIFSITLSGKNITGGIPVELTELSGLVELRLDGNSFSGQIPDFSGCRDLQYIHLENNQLTGALPPSLGELPNLKELYIQNNKLSGEVPQALFKRSIIFNFSGNSDLRMGHSNIGRTIVIVCVVVGAVLFLVAVIGCYFFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILLTEKSDMYSFGVILLELISGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDEGYDLQSVWKIAEVATMCVKPKGVQRPSISEVLKEIQDAIAIERGPELQRELPSSIQQLMSKTSPSVNTDSVDLEQNVSFDELLMRPGLR >LPERR12G00320.1 pep chromosome:Lperr_V1.4:12:203421:210323:-1 gene:LPERR12G00320 transcript:LPERR12G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWAQKGSQEEEIQVSAFSSSSSLVPLSLSLPSASTPHTTNTSSPPPPPSAQEREKAKAIVAHDSSQPPSSIDPSIHRRPDSISSKPRPHHIHTTPRVSNLSPARAIGMMTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIMDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTLVDKNVDKKQKTQKVKPKTATVATVEAKKHLASVRVIQRNLVYIVGLPANLCNESILERREYFGQYGKVLKVSISRPTGAPSQQASTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTSYLLHGMMIQAQFQKRWKDEIPYKPGIAKETQAVTSLESSLDIDFSTLPSAWNDDDVVSDGVSKGSDEKHVVNDNGKLICSVSSMPAESGHLASKPSTSPKKDVAVNSTRQSSPNCISSQVVAKSELKDGDGDRQVTNTASITPTLVDINDQSNQAAIDTAIENIRSEDTDIDRLSVRVEENQQLDAILNASVVVPLSQSLMLADNKDSTCQPSPDKHRDWCSDLPSSVSPLLNGIENSAVATDKSHVRVLDVTAQASSSPYVHFPNTSSISLWNGKESSHASTSSTMMQPGLSFSIDSTSNMFNGHPEGLGTIYAPSKLPEHLRVKNHQPGAVGAVRIDKAVSVNKDESSIISDILSLEFDPWDESYSTANNFAKMLSASEKNNVLFDAPSWKTKTSSTESRFSFARQDNQGSYSSMRNYRSEQNFSSSSQNSHGNIHQSGIAFQPPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSQEGLNPPPGFSSHNGPKPPPGFSSQGGSNQMSLQQPRSSHLTNGHWDGWGDLRPGNNAPMPEMSRMLYPTEANNFHMMGSNDLYNRAFGLRIWGQDSETT >LPERR12G00320.2 pep chromosome:Lperr_V1.4:12:203421:210323:-1 gene:LPERR12G00320 transcript:LPERR12G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWAQKGSQEEEIQVSAFSSSSSLVPLSLSLPSASTPHTTNTSSPPPPPSAQEREKAKAIVAHDSSQPPSSIDPSIHRRPDSISSKPRPHHIHTTPRVSNLSPARAIGMMTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIMDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTLVDKNVDKKQKTQKVKPKTATVATVEAKKHLASVRVIQRNLVYIVGLPANLCNESILERREYFGQYGKVLKVSISRPTGAPSQQASTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRSGTVLPPPADDFSYSAVVAAKHPIKNGITLPSSWNDDTSTVPKTMEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTLPSAWNDDDVVSDGVSKGSDEKHVVNDNGKLICSVSSMPAESGHLASKPSTSPKKDVAVNSTRQSSPNCISSQVVAKSELKDGDGDRQVTNTASITPTLVDINDQSNQAAIDTAIENIRSEDTDIDRLSVRVEENQQLDAILNASVVVPLSQSLMLADNKDSTCQPSPDKHRDWCSDLPSSVSPLLNGIENSAVATDKSHVRVLDVTAQASSSPYVHFPNTSSISLWNGKESSHASTSSTMMQPGLSFSIDSTSNMFNGHPEGLGTIYAPSKLPEHLRVKNHQPGAVGAVRIDKAVSVNKDESSIISDILSLEFDPWDESYSTANNFAKMLSASEKNNVLFDAPSWKTKTSSTESRFSFARQDNQGSYSSMRNYRSEQNFSSSSQNSHGNIHQSGIAFQPPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSQEGLNPPPGFSSHNGPKPPPGFSSQGGSNQMSLQQPRSSHLTNGHWDGWGDLRPGNNAPMPEMSRMLYPTEANNFHMMGSNDLYNRAFGLRIWGQDSETT >LPERR12G00330.1 pep chromosome:Lperr_V1.4:12:211601:216677:1 gene:LPERR12G00330 transcript:LPERR12G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRGGDKEEEERVLSHGDVVLLRCDLKILGGPHLLNDRIIAFYLAHLQSQSHGDLLLLLPPSIPYLLSNLPDPASVAAVAEPLRLPSRRLVLLPVNDNPDVSHAEGGTHWTLLLLDNTNPISGPRFVHHDSLPPTNLPSARRLAAVLRPLLPKRTIPLVEGPTPRQTNGYDCGVFVLAVARAICHWWTTASHQLEPDWFEAVKREVNADSVKAMRTQLLHLIDTLIQANNNTTNNQHPSTQLPCPPSIASTSLCLSQHTRLWCHMQSHKKVAAVKPVASRPSSRLRTFSMLPKDSTATDSPWVTSEEEAIILRRPKASRFTPPMNNSSTDVAATRLEDSGTHRTYDKKKADAGQVACRDNLTVPQRVRKPIISVKNSLSYDGYNWRKYGQKQVKGSKFPRSYYKCTHPTCPVKRKVETTLDGQIAEIVYNGEHNHPKPHPAKKPSLSSIVETVDATNDGGLETRLEGCDQAIGSDAIVEAFEGGFNCLDGFGNGNEISNCKKKD >LPERR12G00340.1 pep chromosome:Lperr_V1.4:12:216225:219189:-1 gene:LPERR12G00340 transcript:LPERR12G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPPVIEWAQQRGESSPLLPCASFSLSPFLPPSHALLRFHLRLRPGEAPLSSPPTKRERERMDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVDNDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMTGRRIINSESDPTLNAEHRNMRRPPSSSSVTGLPPMGRPMPSSQTSDRRGGSSASNTRKDDYNWRYDSDDISEEVLRASSALENVQLDRKARNLTTSWRSELLSFFFAPSFFAWPYVSDHNSIYLSRHPGDSAE >LPERR12G00340.2 pep chromosome:Lperr_V1.4:12:216225:219189:-1 gene:LPERR12G00340 transcript:LPERR12G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPPVIEWAQQRGESSPLLPCASFSLSPFLPPSHALLRFHLRLRPGEAPLSSPPTKRERERMDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVDNDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMTGRRIINSESDPTLNAEHRNMRRPPSPMPSSQTSDRRGGSSASNTRKDDYNWRYDSDDISEEVLRASSALENVQLDRKARNLTTSWRSELLSFFFAPSFFAWPYVSDHNSIYLSRHPGDSAE >LPERR12G00350.1 pep chromosome:Lperr_V1.4:12:244295:245125:-1 gene:LPERR12G00350 transcript:LPERR12G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDALPEPGSVLPKSTRGGLSSHAVFRDYQSWRISPLQERPRPAHMYTNFNDSMRTHVGAPFDWSENDLAILVRRTLGVTVMELTLLPPG >LPERR12G00360.1 pep chromosome:Lperr_V1.4:12:246181:249598:1 gene:LPERR12G00360 transcript:LPERR12G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAFFSTSRNMATGAGLPGAEGGRGRDDPVAGGQIDIAGAEGVDLGEALVAGDGGGEGRADGVDALDAVEVGGVDGGGQHPHAHIPLPNLHRRHLRHPEDFVGRAMVVVEDGLGGRRQLPRHRREPPRRQRQQAHTHPSLSLPSRRRMPGTRKKRGMGPRAHQGRQKPISRAVEAQSQAQILFTPSINHHLIAAQL >LPERR12G00370.1 pep chromosome:Lperr_V1.4:12:247234:249418:-1 gene:LPERR12G00370 transcript:LPERR12G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLLPLAARRLSTMTRQLSPPAKAVLYDDHGPPDKVLRVAEVAPVEIGERDVCVRMLAAPINPSDLNRIEGVYPIRPPLPAAVAGYEGLAQVHALGSGDVDLSPGDWVIPSPPSFGTWQTYIVKPATAWHKNGATSIVGQCVIQLAKLHAIHTINIIRDRPGSQQAKDKLIQLGADQVFTESQLNIKNIKSLLGALPEPALGLNCVGGSAASAVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMNSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSEFHLALDKALGKHGSRPKQVLKF >LPERR12G00370.2 pep chromosome:Lperr_V1.4:12:247234:249418:-1 gene:LPERR12G00370 transcript:LPERR12G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLLPLAARRLSTMTRQLSPPAKAVLYDDHGPPDKVLRVAEVAPVEIGERDVCVRMLAAPINPSDLNRIEGVYPIRPPLPAAVAGYEGLAQVHALGSGDVDLSPGDWVIPSPPSFGTWQTYIVKPATAWHKNGATSIVGQCVIQLAKLHAIHTINIIRDRYSPSPFSTQPILDDHMPYSRPGSQQAKDKLIQLGADQVFTESQLNIKNIKSLLGALPEPALGLNCVGGSAASAVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMNSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSEFHLALDKALGKHGSRPKQVLKF >LPERR12G00370.3 pep chromosome:Lperr_V1.4:12:247234:249418:-1 gene:LPERR12G00370 transcript:LPERR12G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLLPLAARRLSTMTRQLSPPAKAVLYDDHGPPDKVLRVAEVAPVEIGERDVCVRMLAAPINPSDLNRIEGVYPIRPPLPAAVAGYEGLAQVHALGSGDVDLSPGDWVIPSPPSFGTWQTYIVKPATAWHKNGATSIVGQCVIQLAKLHAIHTINIIRDRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMNSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSEFHLALDKALGKHGSRPKQVLKF >LPERR12G00380.1 pep chromosome:Lperr_V1.4:12:249800:253773:-1 gene:LPERR12G00380 transcript:LPERR12G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKGDVQYFIGVQLDGTERVRDDAAKEGAMLVKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDTASWRAIQKVLESGEKIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRASAELQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDRQPLKILHEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPDDADEKKRRKGRSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPGRQLMYRLLHRDPCNRLGSYEGANEIKGHPFFCGINWPLIRATAPPKLEVPLFWGEAMEKKGGLSTDSHTDMF >LPERR12G00390.1 pep chromosome:Lperr_V1.4:12:266655:267256:-1 gene:LPERR12G00390 transcript:LPERR12G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRQLCARHQQQPSPAGAAAPAAPPAVMQLHHHHPYAAAGATANNIIMPPHLQQQQQHPAAAGGEWAHYCSIASASGASTAADHHETMAAVPPCCRPLKTLELFPTKSTAGGLKEDCCSSSKSSSCSTSTN >LPERR12G00400.1 pep chromosome:Lperr_V1.4:12:283596:284152:-1 gene:LPERR12G00400 transcript:LPERR12G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQSWSTSCSSSSEAAAAAATRVAAVGSRYSAEERRERIDKYRTKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSDDGGPAASAPADESSFEVSPHAPLTTSTANVVPEWWPAVQEALATQEQDDAAADELLAAYLGVSSLDLYSPPRH >LPERR12G00410.1 pep chromosome:Lperr_V1.4:12:294357:298246:1 gene:LPERR12G00410 transcript:LPERR12G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPATSGVHVSIMPPPPPQEEQVVDVLVQRWRWSVASGFRAALACTIVGVASVYSPMAIRRHLTFPAFSYVVTVIVMTTGEGDATLGSALRATLAALHATAMGAVPSVLPLWLAHRTGAGESVVATTAVVTLSTFAVTVAGSAGAVAKRIALGQIIILYVARFRQESMPVLLHPANVVACTALGVAAALLGVSLPWPRLARREARDKRAAYLEVAAERVRLLAHAFQLHLHEAERPACCCRRRRLSACIMSQANRAASAGAVLLRRISSVQGDLQWERMPAVLRRWCIWGDSEDDQQVGARLHELIEMPLRGMEMACTQMMQQNVPSTSISRSSIWPTSTATWVQHATDQVRLALLTKRNSTSTGINIEMSTKLAATAMETPSALGEHDDDVNVEQQLAPSVFLLCMDLLHGLAAGSSPPPPKLKLPDAVASQAGKVATIKDQDVDGEQSPQPEQMMKKGTITSRVVVAGKCGFSLGLAVLLGLLFSSDHGFWSGLIVATTMSTARDWTWALAIARAHGTAIGSVYGALACLLIDQRRLMELRFVALLPWLILTAGFLKRSRAYGTAGGVAAAVSGIIIVGRRYDEPPMAFTMARLVETFIGLACTVVADLVFQPAARPSAKAKAQLARCLKALKGCFDSTSKVKVNAVQQQVGLLEKCVAEAAGEPHFLPFPANSYHKVVASMGRMAQLLYLYTHARAAAAALDEHDATQRFHSLVSASLDRCSIRLSNCKVKDEEEQQQQEEDLEAGFRVSSCCCNCDDEETPETVVHSFLSHALLQQKQEEEQEAAAATATKTRYLMASIGFCMGEMAKEAQNLEACLLDLILRSH >LPERR12G00420.1 pep chromosome:Lperr_V1.4:12:298500:301489:-1 gene:LPERR12G00420 transcript:LPERR12G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLALSSARSEGLPKVNSSLKGFISLSSPLLARAPPPVAYGYGANVDTPTKLYISNLDYAVSNEDIKELFSELGDIKRYSINYDKSGRSKGTAEVVFSTRSNALAAVKKYNNVHLDGKPMKIELIGTNIETPLPLPPPAIFGFAAPAAYFRVEEDGFGAGVDLVGMVGGMWVAGEGRETLEVGRENAK >LPERR12G00420.2 pep chromosome:Lperr_V1.4:12:298500:301489:-1 gene:LPERR12G00420 transcript:LPERR12G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLALSSARSEGLPKVNSSLKGFISLSSPLLARAPPPVAYGYGANVDTPTKLYISNLDYAVSNEDIKELFSELGDIKRYSINYDKSGRSKGTAEVVFSTRSNALAAVKKYNNVHLDGKPMKIELIGTNIETPLPLPPPAIFGFAAPAAYFSSPTKRENAK >LPERR12G00420.3 pep chromosome:Lperr_V1.4:12:298898:301489:-1 gene:LPERR12G00420 transcript:LPERR12G00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLALSSARSEGLPKVNSSLKGFISLSSPLLARAPPPVAYGYGANVDTPTKLYISNLDYAVSNEDIKELFSELGDIKRYSINYDKSGRSKGTAEVVFSTRSNALAAVKKYNNVHLDGKPMKIELIGTNIETPLPLPPPAIFGFAAPAAYFSSPTKSGVSRGGRGWVRGRGGFGWHGRGHVGRGRGKRDLGSRKVSAEELDADLDKYHAEGMQIS >LPERR12G00430.1 pep chromosome:Lperr_V1.4:12:300996:316192:1 gene:LPERR12G00430 transcript:LPERR12G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSREMSREAAAIFSFVSWCGSRSDRSPSPFLFNPKKQPTLTLARRGEDREMKPFKEEFTLEERAKESAAMIASYPDRIPVIVERFSSSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFINNTLPQTAQLMGSMYESYKDEDDGFLYMCYSSEKTQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKGQRLSSSQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKVAASVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVWRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKEAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICANIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEKDQQQYWSRTEEIYSFVTVDHFCEKFKASQVCQNLAEELAIPFEKSEGHNNRKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLAESITWTSISYYLIGYSPEASRFFRQLLILFLIHTRALSLFQCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWLHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALFGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDKKMPKLQVGNALAPKKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVLLHKLQWKTWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGVSGLSTEQRKRLTVAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGTSDLHFKTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVFIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYSMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFITQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRL >LPERR12G00430.2 pep chromosome:Lperr_V1.4:12:300996:316192:1 gene:LPERR12G00430 transcript:LPERR12G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSREMSREAAAIFSFVSWCGSRSDRSPSPFLFNPKKQPTLTLARRGEDREMKPFKEEFTLEERAKESAAMIASYPDRIPVIVERFSSSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFINNTLPQTAQLMGSMYESYKDEDDGFLYMCYSSEKTQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKVAASVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVWRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKEAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICANIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEKDQQQYWSRTEEIYSFVTVDHFCEKFKASQVCQNLAEELAIPFEKSEGHNNRKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLAESITWTSISYYLIGYSPEASRFFRQLLILFLIHTRALSLFQCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWLHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALFGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDKKMPKLQVGNALAPKKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVLLHKLQWKTWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGVSGLSTEQRKRLTVAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGTSDLHFKTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVFIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYSMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFITQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRL >LPERR12G00430.3 pep chromosome:Lperr_V1.4:12:300996:316192:1 gene:LPERR12G00430 transcript:LPERR12G00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSREMSREAAAIFSFVSWCGSRSDRSPSPFLFNPKKQPTLTLARRGEDREMKPFKEEFTLEERAKESAAMIASYPDRIPVIVERFSSSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFINNTLPQTAQLMGSMYESYKDEDDGFLYMCYSSEKTQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKGQRLSSSQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKVAASVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVWRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKEAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICANIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEKDQQQYWSRTEEIYSFVTVDHFCEKFKASQVCQNLAEELAIPFEKSEGHNNRKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLAESITWTSISYYLIGYSPEASRFFRQLLILFLIHTRALSLFQCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWLHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALFGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDKKMPKLQVGNALAPKKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVLLHKLQWKTWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGVSGLSTEQRKRLTVAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQDTLVKSLSKPALGTSDLHFKTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVFIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYSMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFITQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRL >LPERR12G00430.4 pep chromosome:Lperr_V1.4:12:300996:316192:1 gene:LPERR12G00430 transcript:LPERR12G00430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSREMSREAAAIFSFVIVERFSSSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFINNTLPQTAQLMGSMYESYKDEDDGFLYMCYSSEKTQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKGQRLSSSQKLRMVDEEVEMEVKKQQQHDDEQEEEEEEKVAASVRALSSSFRAAATRSLSSLSSSLRWDRGADDAAAEEEAELTWAAIERLPTFDRLRTSVLSSETVDVWRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNLCVEADCHVVSGKPLPTLLNTLLSLQQLLTTALGFSRRHAKIPILNDVTGILKPSRLTLLLGPPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRSEIMKEVIRREKEAGITPDLDIDTYMKAISMEGLERSMQTDYIMRIMGLDICANIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMSFFESCGFTCPERKGAADFLQEKDQQQYWSRTEEIYSFVTVDHFCEKFKASQVCQNLAEELAIPFEKSEGHNNRKWDLLKACFAREILLMRRNAFIYITKAVQLGLLAIITGTVFLHTHMGVNRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYALPAFILKIPVSLAESITWTSISYYLIGYSPEASRFFRQLLILFLIHTRALSLFQCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWLHITMSGVTLGRRVLMDRGLDFSSYFYWISVGALFGFILLLNVGSAIGLTIKKRTSRAIISRDKLATFDQRGRDTSKDKDKKMPKLQVGNALAPKKSGTMVLPFTPLTISFQDVNYFVDTPAEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVLLHKLQWKTWLRLPTEIDSKTRKEFVNEVLQTIELDDIRDALVGLPGVSGLSTEQRKRLTVAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIHYFQTIDGVPKIKDNYNPSTWMLEVTCASMESQLGVDFAQIYRESTMHKDKDTLVKSLSKPALGTSDLHFKTRFPQKFAEQLKACLWKQCLSYWRSPSYNLVRIVFIAISCLVFGALFWQQGDINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVFIERTVVYRERFAGMYSPWAYSLAQVAMEVPYVLLQIVLVMFIAYSMIGYAWTATKFFWFMYTMVCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFITQFGDEHDKEILVFGETKSVAAFIKDYFGFRRDLLPLAAIVLAMFPALFAILFGLSISKLNFQRL >LPERR12G00440.1 pep chromosome:Lperr_V1.4:12:326189:328551:-1 gene:LPERR12G00440 transcript:LPERR12G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGEPVGAPTPAKAGASAAVATPIGADVPSVMATPAVAGGTPVMNAPSAEAEPARRWGPAVALLWEQSPRALAQQAPPMAPQRRSRPSLGSHGTSTLPGGEVQGGGSHRVWVAPHGFRLGVGAAWRGGPPRGRGVGHAPRETRGPSAVSRFGASRHRCGDRGDRGQRGAISGVPSTATINTTASTVATISPTVACTTPSIVVAPPIDASDIGVGLPRSTDAVSGHTGALGDAGVGGSGGKLRARGTLLSPPYTSGGPWEVAVARQEIEVAEGRTELATTARVEGFIRKWELVDIERRRGELNETLEDTINERHTIDLRILLAAATEEGVRTTAGAFTCELDDRAQELSWRDHVLRDAEATAANADVELQREELERDVATRKQAVGDVEARARELEQRERALPPQPAPHFGEAAPDLEQARQRIADLERVLNLGTRIMAASIARRCAGRGDQGGARGGRRGGEGLVVRPGPLGCDLDPGELPGPGPRLQPVTPTEDFPEGIEEGARCRVADAVESIMVGFDGTPAAFQLAYREDPPTKDGTEDAPNDPPAA >LPERR12G00450.1 pep chromosome:Lperr_V1.4:12:333716:336329:1 gene:LPERR12G00450 transcript:LPERR12G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWAPFFFILLSCILSRALPCCSGKQTVHDDMNPTMPITVPSTNPAPTIITVPSTNPTITIPSLNPLPTPIIAPAPSMVNPSTLPAPVTYPLPTPSSTSTPMAPVSTPSTPLTNPTSNPMTPPVLTTTPPTTPVVSGQQVWCVAKAGSMGTALQNALDYACGIGGADCSAIQPSGNCYYPNTLDAHASYAFNSYYKRTPAPSSCDFGGTAMLVDVNPSNDDSPLVLYQLRKLCFGIVNVISNIKLCGWVQSCVDNSSYIIQ >LPERR12G00450.2 pep chromosome:Lperr_V1.4:12:333716:336329:1 gene:LPERR12G00450 transcript:LPERR12G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWAPFFFILLSCILSRALPCCSGKQTVHDDMNPTMPITVPSTNPAPTIITVPSTNPTITIPSLNPLPTPIIAPAPSMVNPSTLPAPVTYPLPTPSSTSTPMAPVSTPSTPLTNPTSNPMTPPVLTTTPPTTPVVSGQQVWCVAKAGSMGTALQNALDYACGIGGADCSAIQPSGNCYYPNTLDAHASYAFNSYYKRTPAPSSCDFGGTAMLVDVNPSSGNCVLASSMSSATSSSVVGYNPALTTPPTSSSSPFTPTTLSGNYGSGSSLLNPGGSGSSSSEFGSETSNSGCSSSSPICWSLSTLFAMLALACIKE >LPERR12G00460.1 pep chromosome:Lperr_V1.4:12:337084:340391:1 gene:LPERR12G00460 transcript:LPERR12G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQALSGGDASVPAQPMRASRSTTAAMPPAKARPVAASTRRAVRVSGNSKEKTPELELEALRREVERLLRRNKELEQQLTLSHRTVAQLRQQQQVAEACSSIPRPPSPPPPPPPRGIPQGQGVPVPPPPPPKPNSNSSRRPPRLHPYSSKATALVDMYKSLSLTNTTNSNTATSGIVGELQNRSTHLLAIKADVESKAGLINHLIAKVHQTTYSDVEQSDETAVLKHFSWPERKADALREAAFEYRHLNSLLTQISKSDDITTLASCEATLTTTSALQHKLEKSMARLVNLRSSAMPSYKEFRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGETLLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRARLASSPP >LPERR12G00460.2 pep chromosome:Lperr_V1.4:12:338002:340391:1 gene:LPERR12G00460 transcript:LPERR12G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQALSGGDASVPAQPMRASRSTTAAMPPAKARPVAASTRRAVRVSGNSKEKTPELELEALRREVERLLRRNKELEQQLTLSHRTVAQLRQQQQVAEACSSIPRPPSPPPPPPPRGIPQGQGVPVPPPPPPKPNSNSSRRPPRLHPYSSKATALVDMYKSLSLTNTTNSNTATSGIVGELQNRSTHLLAIKADVESKAGLINHLIAKVHQTTYSDVEQSDETAVLKHFSWPERKADALREAAFEYRHLNSLLTQISKSDDITTLASCEATLTTTSALQHKLEKSMARLVNLRSSAMPSYKEFRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGETLLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRARLASSPP >LPERR12G00460.3 pep chromosome:Lperr_V1.4:12:338057:340391:1 gene:LPERR12G00460 transcript:LPERR12G00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQALSGGDASVPAQPMRASRSTTAAMPPAKARPVAASTRRAVRVSGNSKEKTPELELEALRREVERLLRRNKELEQQLTLSHRTVAQLRQQQQVAEACSSIPRPPSPPPPPPPRGIPQGQGVPVPPPPPPKPNSNSSRRPPRLHPYSSKATALVDMYKSLSLTNTTNSNTATSGIVGELQNRSTHLLAVRLLGSTQIKADVESKAGLINHLIAKVHQTTYSDVEQSDETAVLKHFSWPERKADALREAAFEYRHLNSLLTQISKSDDITTLASCEATLTTTSALQHKLEKSMARLVNLRSSAMPSYKEFRIPTDWMLDSGIASKMRLASLKLAKVYMKRSLKELDRETGGETLLAQSVRFAYRVHQFAGGLDCEAMRLFEDLMQRARLASSPP >LPERR12G00470.1 pep chromosome:Lperr_V1.4:12:342862:346764:-1 gene:LPERR12G00470 transcript:LPERR12G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHLELLPLLLILVAAAPQPQAARAAKPIPNPQLMIKEGDSSRIIQDDIIKTITNHPNAGWMAAHNPYFANYTITQFKHILGVKPTPHSVLSGVHTKTYPSSLMLPKEFDARSAWSHCSTIGTILDQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDAGRLDDMEKILSAMLHSTTSKPDVWTMNIILSLFGNRGQLELMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNIIDAFAEAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFSNAGLFHKVVGMVKLAERLDVPMDTSFHNAVLAACVKAEDLMEMERVFRHMKQMQCVPDATTYSILVQAYHKEGMTDKIYGLQQENPTLVPTDIVHV >LPERR12G00480.1 pep chromosome:Lperr_V1.4:12:348947:351130:-1 gene:LPERR12G00480 transcript:LPERR12G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIATVLNEAEVPFEDVVEVAVKGLLRLDFSMATQNCWVRKGDAFTGKIRSEVNVKNDTMSLFEKSSMVSPYAVQQQQLSFMTAQ >LPERR12G00490.1 pep chromosome:Lperr_V1.4:12:362468:368482:1 gene:LPERR12G00490 transcript:LPERR12G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSMAEQQQLGGRSGRGRGRGHGGGRWAVLVGTVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALLYLPLPGVLLLSAAMGLAAYALQYALILHLLHLPYPLVFLICLLAGCSICWFNTVCFVLCIRNFSSKNRPLALSLSISFNGLSAAFYTLFANALSPFSPAVYLLLNAIVPLVVSLVALPAILLCHPHEGHLQIVPKYDKRVFLGLYILAFITGIYLVIFGSFPTTNSTAWVVLTGATVLLALPLIIPASSSCFHGDTHAPDPVVPLNHDDAQRPLLLNNDHHTESNAMMQKTVEHQMQDRCLGAILEKGRMLVLSEEHSAKKLIQCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSFHRQSQLSMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDIKILVAGTALIGLSSGFIFSAAVSVTSELFGPNSIGMNHNILITNIPLGSLIYGQIAALVYDANGIKMSVIDKHNGMIDTMVVCMGPKCYSITFFVWGCITVLGLVSSIILFLRTRMAYSSNASQPSCKHLSQVSS >LPERR12G00500.1 pep chromosome:Lperr_V1.4:12:374188:374592:-1 gene:LPERR12G00500 transcript:LPERR12G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWYLKIAGGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQEKR >LPERR12G00510.1 pep chromosome:Lperr_V1.4:12:375510:381735:1 gene:LPERR12G00510 transcript:LPERR12G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETEGDRSSRRKTTTRLERNRRRKRKKMPCLNVSTNVNLDGVDTSAVLADASKTVADIIGKPENYVMVVLKGSVPMAFGGTQDPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSVPKKRFYLKFYDSKVCSSHFLLTVHSWVFFAM >LPERR12G00510.10 pep chromosome:Lperr_V1.4:12:375510:379861:1 gene:LPERR12G00510 transcript:LPERR12G00510.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.11 pep chromosome:Lperr_V1.4:12:375510:381735:1 gene:LPERR12G00510 transcript:LPERR12G00510.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETEGDRSSRRKTTTRLERNRRRKRKKMPCLNVSTNVNLDGVDTSAVLADASKTVADIIGKPENYVMVVLKGSVPMAFGGTQDPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSVPKKRFYLKFYDSKVCSSHFLLTVHSWVFFAM >LPERR12G00510.12 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.13 pep chromosome:Lperr_V1.4:12:379929:381735:1 gene:LPERR12G00510 transcript:LPERR12G00510.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAVLADASKTVADIIGKPENYVMVVLKGSVPMAFGGTQDPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSVPKKRFYLKFYDSKVCSSHFLLTVHSWVFFAM >LPERR12G00510.2 pep chromosome:Lperr_V1.4:12:375510:381735:1 gene:LPERR12G00510 transcript:LPERR12G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETEGDRSSRRKTTTRLERNRRRKRKKMPCLNVSTNVNLDGVDTSAVLADASKTVADIIGKPENYVMVVLKGSVPMAFGGTQDPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSVPKKRFYLKFYDSKVCSSHFLLTVHSWVFFAM >LPERR12G00510.3 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTVQISLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.4 pep chromosome:Lperr_V1.4:12:375510:379861:1 gene:LPERR12G00510 transcript:LPERR12G00510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.5 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVGKTMYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTVQISLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.6 pep chromosome:Lperr_V1.4:12:375510:381735:1 gene:LPERR12G00510 transcript:LPERR12G00510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETEGDRSSRRKTTTRLERNRRRKRKKMPCLNVSTNVNLDGVDTSAVLADASKTVADIIGKPENYVMVVLKGSVPMAFGGTQDPAAYGELVSIGGLNPDVNKKLSAAIASILESKLSVPKKRFYLKFYDSKVCSSHFLLTVHSWVFFAM >LPERR12G00510.7 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVGKTMYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.8 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVASEYLTAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00510.9 pep chromosome:Lperr_V1.4:12:375510:379639:1 gene:LPERR12G00510 transcript:LPERR12G00510.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRQIAIPSHHTLAIATGMAATSPPMRSVAVAAAAALLLIPSPTLNRISFPSSRRRCPSASALRWRPARCRGKPAVTDVVDEEDEERETSPDAKLDDVRGWFVLDEIGMDIVSIALPAALALAADPITALIDTAFVGHIGSAQLAAVGVSLSIFNLVSKLLNVPLLNVTTSFVAEQQALDADYTSAAERDGISSPQEKSGDQRKFLPAVSTSLALAAGIGLMEMMALILGSGTLIDIVGIPTDSPMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFKDTRTPLFAVAFILLWKLNSQIVLFSWNIVAGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGVALATTLFLGFGYLSLLFTDDPAVLDVAQTGIWFVTISQPINAVAFVADGLYYGVSDFAFAAYSTLFAGTVSSAVLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIGSKGGPWKTIWSETE >LPERR12G00520.1 pep chromosome:Lperr_V1.4:12:383011:383616:1 gene:LPERR12G00520 transcript:LPERR12G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPISSADANPAATRAFLGRLLDSIKRALSGARPWPELIDRSALSRPESLSDATARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPADAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAALVCAHGAFRVPEDLFLDEPASDAGASLNLLSFITTATGGRV >LPERR12G00530.1 pep chromosome:Lperr_V1.4:12:385633:388881:1 gene:LPERR12G00530 transcript:LPERR12G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRASSLLLIFLLPTLATAAHHHGHVHAAGDGVVISQADYQGLQAIKHDLSDPYGYLRSWNDSGLGAWSGIKCVNGNVVAITLPWRGLSGTLSHRIGQLTKLRRLSLHDNAIGGLIPSSLGFLPDLRGLYLFNNRFSGAVPASIGNCAALQAFDASNNLLTGGVPPSLANSTKLMRLNLSHNAISGDVPPEIVASPSLVFLALSHNKLSGQIPDAFAGSRRAPSSSSLKESITGSYHLAVLDLSHNSLDGPIPASLAGLQKLQVVDLAGNRLNGTIPDKLGALAELKTLDLSGNDISGEIPASLSNLTANLQSFNVSNNNLSGEVPVSLAQKFGPSSFAGNIQLCGYSASAPCPASPSPSPSVPASPAQEESKGVRRRFSTKELAFIIAGIVVGILILLALCCLLLCFLTKKRSSSSTGARSGKQTTSKAAGGAAAAGGGGRGEKPGTEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFEAEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPINWETRMTIAKGTARGLAFLHDDMSIVHGNLTASNVLLDDHTNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSASVRPDAREVLRQLEQIRPGTEGGAGTSDEGHVAAASSAGNE >LPERR12G00540.1 pep chromosome:Lperr_V1.4:12:393740:397581:1 gene:LPERR12G00540 transcript:LPERR12G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMQIHWRNRGVKKAATVTMVDLSFFHKQVPHDNIVEHVSSILKRSGIACSGEGIDTEKLECYQHHEVMSIGGEAIEADKSSSYKGKIIKRFLGKQPPKGLAIKDVRNMFFPYWKSVLSRRLKVKMLPPCQPSRKDLLSAKASTKATKSIIDRPCLREFNETGAYMRTGVFCCVEPVFHGCYGYLIACNIKI >LPERR12G00550.1 pep chromosome:Lperr_V1.4:12:402584:410945:-1 gene:LPERR12G00550 transcript:LPERR12G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSVAEVELVQFGILSPDEIRQMSVLQIEHAETMERGKPKPGGLSDPRLGTTDRKIKCETCMAGMAECPGHFGHLELAMPMFHIGFIKTVLSIMRCVCFNCSKILADEVNPKFKQALKIRNPKNRLKRIYDACKSKKFCALDVQELQNTDEPVKRRDVCGAQQPNISVDGMKMVAEFKALKKSDDQEQLPEPVERKQILSAERVLNVLKRISDDDCHLLGLNPKFARPEWMILQVLPIPPPPDDLTHQLASIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNNGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFENRAEVSELMMVPKCIVSPQSNKPVMGIVQDTLLGCRKITTRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFSLIIPKPINLIRFSAWHSETETGFITPTDTMVRIEKGELLSGTLCKRHLEHQLEALFMLFGKEEVGPDAACKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATIEKINDTISKAKSDVKELIKQAHDKQLEAEPGRTMMESFENKVNEVLNKARDAAGTSAEKSLPESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIIVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEPQQLDSLKMKKAEFDNVFRYELDDENWRPNYMLPKHVDDLKTIHEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLEERLKVVPGDDAMSIEAQKNATLFFNIMLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNERELAKNVQCALEYTTLRSVTHATEIWYDPDPMETIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFSDDNADKLILRVRITNDEAPKGVIQDEFAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKVNKFKDDDGFKSDEEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRAVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLNAAVYAESDYLRGVTENIMLGQLAPIGTGGCTLYLNDQMLQQAIELQLPSSVEGLDFGTTPALSPISRTPYHEGMMSPNSLQSPDIRASPITDAQFSPYAGGMAPFSPVASPACYYTPSSGGDNNPCSPVYIPTSPIYRPVSPIYTPISPIWTPASPIYTPTSPSSYTTPDSSTYSPNTSSSYLPTRGSSYSPTSPIYSPTSPIYSPTSPIYSPTSPVYSPTSVSYSPTSPVYSPTSHAYSPTSPAYCPTSPSYSLAPLSYSPTSPSFNCPSYSPTSPSYSPTSPLYSPTSLSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYNLTSPNYSPTSPAYSPTSPSNSQPSPSYSPTSPYTTSGGPSPDYCPTSPNYSISVEEKTEEEASKDEAEI >LPERR12G00560.1 pep chromosome:Lperr_V1.4:12:416937:421161:1 gene:LPERR12G00560 transcript:LPERR12G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPACWLLSLSLALLCSIAAAKEHYHEFVIRETTVKRLCKTQNVMTVNGQFPGPTLEINEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEFVTQCPVRPGQSYRYRFTVAGQEGTLWWHAHSSWLRATVYGALVIRPRDGNKYPFDVQPARELAPIMLGEWWEMNPVDVVRAATLTGAAPNISDAITVNGQPGDLYICSSNQNTTAVFPVNSGETTLVRFINAALNTELFVSLAGHNMTVVATDASYTKPHVTSVLLLAPGQTTDVILTFDQPPARYYLAARAYASAQGVPFDNTTTTAIFDYGLAGDAMMPTLPAYNDTATATAFTTSLRGLRKAEIPARVDESLFFTVGVGLINCSSSTKCGGPNGTRFAASVNNVSFVLPSSSSILQAHYHGDGDGGVVFTDDFPASPPVVFDYTAQNVSRGLWQPAPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSIEAPPPDLPLC >LPERR12G00570.1 pep chromosome:Lperr_V1.4:12:422777:427434:-1 gene:LPERR12G00570 transcript:LPERR12G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSDVNGGRRLEQESTVFFNLARLEYLVRRGQWDKVTRYVMWFMPPSLEHESPEATAFNNCIDLYRILGRVACGGQEADDVWQSAAKKLKEMALRCPELKRKLHRPPDYAPKSWHINLSGVRPVPRPYKKKRLTKSQLNGIVTFFAQKRHEIGINLARQEKEEKNTSFPDAHPRPATLFVPVLSIRPADSATNAHVSSEAINQVGEILREVDKLTSVAAIKMEVSEAQDVKIGQLADAIIADASGTDAGASYEWTSA >LPERR12G00580.1 pep chromosome:Lperr_V1.4:12:428572:432990:-1 gene:LPERR12G00580 transcript:LPERR12G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPIIQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKTRQREDNDPSVPLHYVDNYDGIQPVSTCEQSTSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQIHISNNSETEPLRGKNSSGRSDAPENEMRPPRHKNRPFSPK >LPERR12G00580.2 pep chromosome:Lperr_V1.4:12:428572:432990:-1 gene:LPERR12G00580 transcript:LPERR12G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPIIQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKTRQREDNDPSVPLHYVDNYDGIQPVSTCEQSTSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQIHISNNSETEPLRGKNSSGRSDAPENEMRPPRHKNRPFSPK >LPERR12G00580.3 pep chromosome:Lperr_V1.4:12:428574:432209:-1 gene:LPERR12G00580 transcript:LPERR12G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRSATFTAVPDDLNMSSNSTLTESLHEKTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQSWIKRSRRTFKEIPIIQIPPASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFPQEDKTRQREDNDPSVPLHYVDNYDGIQPVSTCEQSTSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGVVYRGRLSNGTPVAIKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQIHISNNSETEPLRGKNSSGRSDAPENEMRPPRHKNRPFSPK >LPERR12G00590.1 pep chromosome:Lperr_V1.4:12:449538:453092:1 gene:LPERR12G00590 transcript:LPERR12G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPTHQATKRRRLSISSSPLNDLTDELLFLILDRLAADDPRALKSFSLLSRACHAAESRHRRILRPFRPDLLPADLRLSLSRCKRITDMGLGCIAVGCPEMRDISLRWCIGVTHLGLDLLALKCKKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSCNVTHVGVQSVVKAMPNLLELNLSYCSPITPSMWQVTPCMSSSFEMIHKLQTLKLDGCQFMADGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVMSRLKNLLKLDVTCCRKITDASLAAITTSCPSLISLRMESCSLLSGEGLQLIGKHCSHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLSHISKSCPDLRDIDLYRSGAITDEGVSHIAQGCPMLESINMSYCTKITDRSLSSLSKCTKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDLGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVTLEPCDVWKQQSQDLLAQ >LPERR12G00590.2 pep chromosome:Lperr_V1.4:12:449538:453092:1 gene:LPERR12G00590 transcript:LPERR12G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPTHQATKRRRLSISSSPLNDLTDELLFLILDRLAADDPRALKSFSLLSRACHAAESRHRRILRPFRPDLLPADLRLSLSRCKRITDMGLGCIAVGCPEMRDISLRWCIGVTHLGLDLLALKCKKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSCNVTHVGVQSVVKAMPNLLELNLSYCSPVTPCMSSSFEMIHKLQTLKLDGCQFMADGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVMSRLKNLLKLDVTCCRKITDASLAAITTSCPSLISLRMESCSLLSGEGLQLIGKHCSHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLSHISKSCPDLRDIDLYRSGAITDEGVSHIAQGCPMLESINMSYCTKITDRSLSSLSKCTKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDLGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVTLEPCDVWKQQSQDLLAQ >LPERR12G00600.1 pep chromosome:Lperr_V1.4:12:453935:457247:1 gene:LPERR12G00600 transcript:LPERR12G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKGKEELEDEGFPWQHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGRKGQIFCGVFDGHGPLGREVARHVRDTLPMKLSSSLALKSEQEPSSNADKDSLDKSSDYASFSDTSDEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVSIVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPDLPSETERILNCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKLLVERAVRGWRRKFPTSMVDDCAVVCLFLKPSPSEESSHGGAKPQAVSFTGSFRKVLAGGGGEAEEGTNVWKALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDETTRID >LPERR12G00600.2 pep chromosome:Lperr_V1.4:12:453935:457248:1 gene:LPERR12G00600 transcript:LPERR12G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKGKEELEDEGFPWQHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGRKGQIFCGVFDGHGPLGREVARHVRDTLPMKLSSSLALKSEQEPSSNADKDSLDKSSDYASFSDTSDEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVSIVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPDLPSETERILNCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKLLVERAVRGWRRKFPTSMVDDCAVVCLFLKPSPSEESSHGGAKPQAVSFTGSFRKVLAGGGGEAEEGTNVWKALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDETTRID >LPERR12G00610.1 pep chromosome:Lperr_V1.4:12:457622:458263:1 gene:LPERR12G00610 transcript:LPERR12G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARKLSILPLPSLFSLSLLFLVPIPKDTAAEDSTRRSQSGSRSDEPEEPIVNVNSLFTLAAFIGLAWRPFASPTAPTAFACFHVLAFACFLFSSIVALCLKQIVRTYPSQHYPRRSFGSAATSRTARINRAALRVGIVASAVGSMCGCGFLMMALVNVVQVKLSRLRCGAGGGAAWGAVVPLVTLVPTAMLLIYIGIVFYAFTR >LPERR12G00620.1 pep chromosome:Lperr_V1.4:12:458939:461242:1 gene:LPERR12G00620 transcript:LPERR12G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAANMSAAATVKPVLAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSLILILSGAAHHLLRRLGQCRFVTHMLVGILLGPSVLGRNATLRGALFSERGTYILESVSLVALILFLFSMAVKTDLSLLRRPSARALSVGLAGSLVPLAVTLPVFHALRPSLPADLRGSSLISELAVRLSLSSFPVVADALAELDLLNSELGRVALTASLITDYMIGPMMLGLALPGGMPIGATMTERLDSSFIALFLPVYMALAGYRTDLAEIGLIGGEHKEKFCALELFVALCVAGKMVGCIAAGLFFSMPIREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVLITAVATPLIKLLYDPSGRFARAKRRTLESARPNSELRVVACLFSEDHAAPLLDRVVPRRARLAHRAPPHRARGPRGIRAQTPQEIPSGGNPTASDRIVNAFRYYEQQQESAVTVSPYVASSPYSSMHHDVCLLAHSRKANLILLPFHKSSSDGARIGTANNAINRSVLQYAPCSVAILVDHGLATGSACATASNSTLQRVALYFLGGADDREALAYVARMAEGGGVTVTVVRLKLRNWVGMGGRDEEVLHEFWQRYSSNGDNGVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRRGGNDDVEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVVQQQQKAATRNEAADH >LPERR12G00630.1 pep chromosome:Lperr_V1.4:12:462133:470375:1 gene:LPERR12G00630 transcript:LPERR12G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDGGDHYPYYQPTSRPHYQPQPQYHGQPVAAPARPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSRVPDDLSQHVVSSRKAQVKWYQKMLEAYKSTAPPPKTPADATQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNQPSSLTEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARRHRDYQSADALLSSLDEAGYKIISCSDDEVLAKKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNVFIQEQMLKNGHVWHFKTYDKRPEFARWEREARAANRGLWASGNPEKPWDWRRDQRNARQDAIQVY >LPERR12G00630.2 pep chromosome:Lperr_V1.4:12:462133:470375:1 gene:LPERR12G00630 transcript:LPERR12G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSCSLPLESKSGKKRRRTLDEEQEDNVLEKLKHRDGSIYRGVDYSSVYYRLADTNETRLEPMMLSPRTESCTANPTNSCLVHQGCSMLQIFSLKLSSIVAADFSISPVQVYGFMAIRDNIDHLRNYVFKRTRDDPFVVSRNNPFILLTGPKRGISMESGNALIEYDMRIKKDDNKEDDDLQLIDGAAILSELTLLPHIIKQATYAQIYMRRIAGNYGAVDMTVGRLVCAVEATIHVEVTEMRCSGGFNLSMACHLDRIPREFKLFEGAIVNPCELNKRKFVIALIKRSMLVLDFKAKTIGSPSEATIRVRQAIMTKLHGQSARAMVFPCVSMLVNVYWSTLPPYPMLI >LPERR12G00630.3 pep chromosome:Lperr_V1.4:12:462133:470375:1 gene:LPERR12G00630 transcript:LPERR12G00630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSCSLPLESKSGKKRRRTLDEEQEDNVLEKLKHRDGSIYRGVDYSSVYYRLADTNETRLEPMMLSPRTESCTANPTNSCLVHQGCSMLQIFSLKLSSIVAADFSISPVQVYGFMAIRDNIDHLRNYVFKRTRDDPFVVSRNNPFILLTGPKRGISMESGNALIEYDMRIKKDDNKEDDDLQLIDGAAILSELTLLPHIIKQATYAQIYMRRIAGNYGAVDMTVGRLVCAVEATIHVEVTEMRCSGGFNLSMACHLDRIPREFKLFEGAIVNPCELNKRKFVIALIKRSMLVLDFKAKTIGSPSEATIRVRQAIMTKLHGQSARAMVFPCVSMLVNVYWSTLPPYPMLI >LPERR12G00630.4 pep chromosome:Lperr_V1.4:12:462133:470375:1 gene:LPERR12G00630 transcript:LPERR12G00630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSCSLPLESKSGKKRRRTLDEEQEDNVLEKLKHRDGSIYRGVDYSSVYYRLADTNETRLEPMMLSPRTESCTANPTNSCLVHQGCSMLQIFSLKLSSIVAADFSISPVQVYGFMAIRDNIDHLRNYVFKRTRDDPFVVSRNNPFILLTGPKRGISMESGNALIEYDMRIKKDDNKEDDDLQLIDGAAILSELTLLPHIIKQATYAQIYMRRIAGNYGAVDMTVGRLVCAVEATIHVEVTEMRCSGGFNLSMACHLDRIPREFKLFEGAIVNPCELNKRKFVIALIKRSMLVLDFKAKTIGSPSEATIRVRQAIMTKLHGQSARAMVFPCVSMLVNVYWSTLPPYPMLI >LPERR12G00640.1 pep chromosome:Lperr_V1.4:12:468605:470533:-1 gene:LPERR12G00640 transcript:LPERR12G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAIRRLLVGSTNRLPPLAVKLLHARLLRLDLLAALSPLLLRALSSSALHLHALRLHSLLPTPSHLTFSIAFKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLNLYSKCGLLPHAQRVFDEMPHPNTVSWTALITAYMDAGELKEAVRVARNAFADGMRPDSFTAVRVLTACARAADLATGEMVWRAAEQEGIARSVFVATAAVDLYVKCGEMAKARQVFDKMQDKDAVAWGAMVGGYASNGHPREALELFLAMQAQGVRPDCYAVVGALSACTRLGALDMGRQTITKLDWDQFLDNPVLGTALIDMYAKCGSTAEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALIGQMEKSAMILNDNTFIGLLCSCTHTGLIQDGRRYFNNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLIVGMPMQANAVIWGALLGGCKIHRNPELAEHVLKQLIRLEPSNSGNYIMLSNIYSNRGRWEDAAKLRLDMKAKGVEKVPAYSWVEFDGKIHEFRVGDKTHPLSDQIYEKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTEPGGTIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRYGSCSCNDYW >LPERR12G00650.1 pep chromosome:Lperr_V1.4:12:477135:479387:1 gene:LPERR12G00650 transcript:LPERR12G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATTNKATSHLHSQALKLGMSADTFTMNHLLIYYSRCGHLQSALKVFDEMPHRNLVSWTAMVSASTRNGAPDLGFRLFVSMIRSGFCPSEFALATMLTACRSMAHAFKLLIGLSLHAIAVKDGLDGNPFVGTSLLLMYAKHGRIAAAECAFVHISSKDLTCWNAMLEGYILNGFGYHATRTVLLMHRSGLAPDHYTYISAVKACSISREWHLGRQLHALVIHNMFESDTSVMNSLVDMYFRAGHKETAVGVFHKIRQKDTISWNSVISGFAHDEDEGAVFGYLIDMSRTGCKPNEVTFSVLLRLSGAKENASSGLQIFALVYRNGYTGNVLVANAVINMLSRFGLLDRAYGFFCSLTFRNIVTWNEMIAGYGLFSRSEDALRLFRSLVCFGERPDEFTYSAVLSAFQEAQGVRDHEQVHAIILKQGFASCQFVSTSLIKANAAAFRSVQSSLKIIEDADMMDVVSSGVIMSAFLKHGLNDEVLFLFNLFRRDSTNKPDEFILATVLNACANDALIRHCRCIHSLVLKTGHEKHFCVASAVVDAYAKCGEITSAENAFSAVSSATDDAILYNTMLTAYANHGLIHEALSLYEEMAKAQLNPTPATFVAVLSACSHLGLVEQGNLLFSSMLSAYGIHPARANYTCLVDLLARKGLLDEAKCVIDTMPFQPWPAVWRSLVNGCRIHGNKQLGVVAAEQILRMAPGSDGAYVSLSNAYADDGEWQSAEETRNRMVQNQVQKVQGYSRIEI >LPERR12G00660.1 pep chromosome:Lperr_V1.4:12:480328:490021:-1 gene:LPERR12G00660 transcript:LPERR12G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSTSSSAAPSAELPNHNHHHRRTSSVDELPVENGPEPRRDAADEEPPAPVAYLPQVVVLCEQRHEGFDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNVSVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSGASMKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRDSMERNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHGVENCYVFKSRLQEYAQKANLPTPEYHTLKEGPSHEPVFKSTVVFNNTKYESLPGFFNRKAAEQSAAEVALMDIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTRQASGLFPYICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCVNGATKYIVVPGKRQGKEVEKRPIETLKPLKVKKGGLKKKWNKRKFMKKNGQNADLEKDEAMVAGDAYGSDVLMQPTVITQEPFSDALFLQTYEEAKRVEPEPPKDIEMAQPNKEMQPSEAAMGQLDEEARSVEQEPSRDTSVMQLSSIKQDRSVKQELDSDTAMPQPDKDTRIVKEAPRTQPNGEATSIEEPPRNAAIMQPEEAITAKQEPRSNAPLLQTDLKLENSECLYEHKNQSSGATSPETNKAFGDMTGIDSYAPTSNAREE >LPERR12G00660.2 pep chromosome:Lperr_V1.4:12:486425:490021:-1 gene:LPERR12G00660 transcript:LPERR12G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSTSSSAAPSAELPNHNHHHRRTSSVDELPVENGPEPRRDAADEEPPAPVAYLPQVVVLCEQRHEGFDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNVSVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSGASMKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRDSMERNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHGSFGC >LPERR12G00660.3 pep chromosome:Lperr_V1.4:12:480328:484258:-1 gene:LPERR12G00660 transcript:LPERR12G00660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGMAMAPEPLPQEPANTSTAPAASGIRVENCYVFKSRLQEYAQKANLPTPEYHTLKEGPSHEPVFKSTVVFNNTKYESLPGFFNRKAAEQSAAEVALMDIVKSIPANANIPAVQETGLCNTGRKQLNLTEMCREADHHHLLATLARHRRLAAAATLFSSTLRTVHALNSLLAAICSSPAFLRIAPKVLLLAAPSVSPDATTFRILTSTLCQARSPAAAADLLCCMPSLLLDPEPASCHTVLSSLCQYAPAQDAAAFLDKMCHWGISPSRSDYHGVFIALLQEELVAEAYEFMKNKMGSDGVAPTLVDFKLILQAFSEIAEFDSVEEVFDEMLLRGLVPDVGAYTAYIGALCRKGDLAGARRMMACMERAGCPPDVRTFGVVVGGCMSAGDMGTMREVVQEAIRRGLRWDQLALSELQETGLCKNLLQEYAQKMNYAIPSYICTRQASGLFPYICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCVNGATKYIVVPGKRQGKEVEKRPIETLKPLKVKKGGLKKKWNKRKFMKKNGQNADLEKDEAMVAGDAYGSDVLMQPTVITQEPFSDALFLQTYEEAKRVEPEPPKDIEMAQPNKEMQPSEAAMGQLDEEARSVEQEPSRDTSVMQLSSIKQDRSVKQELDSDTAMPQPDKDTRIVKEAPRTQPNGEATSIEEPPRNAAIMQPEEAITAKQEPRSNAPLLQTDLKLENSECLYEHKNQSSGATSPETNKAFGDMTGIDSYAPTSNAREE >LPERR12G00660.4 pep chromosome:Lperr_V1.4:12:480328:484258:-1 gene:LPERR12G00660 transcript:LPERR12G00660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGMAMAPEPLPQEPANTSTAPAASGIRVENCYVFKSRLQEYAQKANLPTPEYHTLKEGPSHEPVFKSTVVFNNTKYESLPGFFNRKAAEQSAAEVALMDIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTRQASGLFPYICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCVNGATKYIVVPGKRQGKEVEKRPIETLKPLKVKKGGLKKKWNKRKFMKKNGQNADLEKDEAMVAGDAYGSDVLMQPTVITQEPFSDALFLQTYEEAKRVEPEPPKDIEMAQPNKEMQPSEAAMGQLDEEARSVEQEPSRDTSVMQLSSIKQDRSVKQELDSDTAMPQPDKDTRIVKEAPRTQPNGEATSIEEPPRNAAIMQPEEAITAKQEPRSNAPLLQTDLKLENSECLYEHKNQSSGATSPETNKAFGDMTGIDSYAPTSNAREE >LPERR12G00670.1 pep chromosome:Lperr_V1.4:12:492352:499990:-1 gene:LPERR12G00670 transcript:LPERR12G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHGHLAISRITFEEGAILCNFLPLIQEHLPSAAEEIESDIVSLAQSEAEDNPLYDYPEELTEDEGDDSNSENRFSDLDGSDPEYEKEPVSAHETAAKLLALMLQQVFAKNEKITWLADRTEDSQLFPSIPALNQAASYLAQTASYLTQCLPVSGYTRNGPTVFRKAPLCPMGKPPFWRLSVVRACQHATTSPITVLIKASLLAFVTCEYSSMLIADTVTHRSTRLRAKKEEKSRSTCAKSAPHPLVLTTLIQTKVENRHYIDEPAQQFSR >LPERR12G00680.1 pep chromosome:Lperr_V1.4:12:500423:510782:1 gene:LPERR12G00680 transcript:LPERR12G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCNYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARGETSEVVEGWFRSEKAAMAGEAEWDACKVKDAIVANGGGCHWLGWLGAGPWTVPRVRVEGDGQCGGCGCRLASVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLKEHGEYEAIVDAANIALYQQNFADGGFSLTQRIAKLMENQSNRHLIETWRANSALYTSPIGYWPYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRRLEKAFTVHGPIPYPSFTLPARRRSASLAQIFASPSRAAAAPRPEVSSPLFLAPPLLLRPITWASTPLALHSHLPYTHRPSEMSIVFLSASGLGFPYPFPSSSSRLSKTLLNPSRLSISSRAAAFPFLLLRQRRRRDVSAAYGDGDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKPPPRSREPDADQEGDIAMVAAHSFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREYMRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDKRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >LPERR12G00680.2 pep chromosome:Lperr_V1.4:12:500422:510782:1 gene:LPERR12G00680 transcript:LPERR12G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCSMELSGTPIVNTCPHQSTVVPDYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARGETSEVVEGWFRSEKAAMAGEAEWDACKVKDAIVANGGGCHWLGWLGAGPWTVPRVRVEGDGQCGGCGCRLASVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLKEHGEYEAIVDAANIALYQQNFADGGFSLTQRIAKLMENQSNRHLIETWRANSALYTSPIGYWPYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRRLEKAFTVHGPIPYPSFTLPARRRSASLAQIFASPSRAAAAPRPEVSSPLFLAPPLLLRPITWASTPLALHSHLPYTHRPSEMSIVFLSASGLGFPYPFPSSSSRLSKTLLNPSRLSISSRAAAFPFLLLRQRRRRDVSAAYGDGDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKPPPRSREPDADQEGDIAMVAAHSFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREYMRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDKRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >LPERR12G00680.3 pep chromosome:Lperr_V1.4:12:500422:507033:1 gene:LPERR12G00680 transcript:LPERR12G00680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCSMELSGTPIVNTCPHQSTVVPDYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARGETSEVVEGWFRSEKAAMAGEAEWDACKVKDAIVANGGGCHWLGWLGAGPWTVPRVRVEGDGQCGGCGCRLASVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLKEHGEYEAIVDAANIALYQQNFADGGFSLTQRIAKLMENQSNRHLIETWRANSALYTSPIGYWPYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRS >LPERR12G00680.4 pep chromosome:Lperr_V1.4:12:500423:507012:1 gene:LPERR12G00680 transcript:LPERR12G00680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCNYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARGETSEVVEGWFRSEKAAMAGEAEWDACKVKDAIVANGGGCHWLGWLGAGPWTVPRVRVEGDGQCGGCGCRLASVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLKEHGEYEAIVDAANIALYQQNFADGGFSLTQRIAKLMENQSNRHLIETWRANSALYTSPIGYWPYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRS >LPERR12G00680.5 pep chromosome:Lperr_V1.4:12:500423:507012:1 gene:LPERR12G00680 transcript:LPERR12G00680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCSMELSGTPIVNTCPHQSTVVPDYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARGETSEVVEGWFRSEKAAMAGEAEWDACKVKDAIVANGGGCHWLGWLGAGPWTVPRVRVEGDGQCGGCGCRLASVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLKEHGEYEAIVDAANIALYQQNFADGGFSLTQQLDAVVTELRDIMVNGRLPYYKQYWPYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRS >LPERR12G00680.6 pep chromosome:Lperr_V1.4:12:500422:507305:1 gene:LPERR12G00680 transcript:LPERR12G00680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLALQRGLHFEIAYSPLIADAASIRQAMPEAKLLVEWTKGKNLIISSAAHAASQIRGPYDAINLCAYLLRLSTQRAKAAISLNCRSLISKALRKKHFYKETIRIDRLLPNEQFNSANFKLAHWIGSDLMPHKVHPISLDKDELPAYKGDLQSLHVNPEPSSNKDDLPHLPINGLTKVSCHVPDDGDQPLFVAQQEQSSHDMKILYPLETQEGHVQVDRDETLKTCGLSNLPASFEHDSIATDLGSPVNDAHHVQTDTFSSVDPEGIEKHVEFLHDSVEIDGTESCKMSLIVESSDPLSSYDKLACSVIPCSMELSGTPIVNTCPHQSTVVPDYAQATQDCGILSGSSVCPKNKGLYSYSDIAVFSETPKDHAEPLELPPCGVDDEAPSDIAVHLHSDLCRDVMMPQRVIRDEVEPVDRRTATLMEEHTPYGPETDSTASLYDKGSSDTTCKTHELAKQNSNSLEGDVAKTNDELLQYSYASSNLKVPLTRAGKRTKKLRLQCPVYLPFLGFLKSVSFKKKASKYTCRKTLADSRPRVKSRFAPNSDDGPAAEATSAPADESFEVSPPQLANAVPEARATREQDDAVALARLIALVERHQRLWRVAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKAGDESVGIWLCIDRAGPCSRAHEACATNGVVQDVSRTESSKRCDRKQSEDIGVSITGKRRDRS >LPERR12G00680.7 pep chromosome:Lperr_V1.4:12:506731:510782:1 gene:LPERR12G00680 transcript:LPERR12G00680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQTLTGEGLLAFTVHGPIPYPSFTLPARRRSASLAQIFASPSRAAAAPRPEVSSPLFLAPPLLLRPITWASTPLALHSHLPYTHRPSEMSIVFLSASGLGFPYPFPSSSSRLSKTLLNPSRLSISSRAAAFPFLLLRQRRRRDVSAAYGDGDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKPPPRSREPDADQEGDIAMVAAHSFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREYMRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDKRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >LPERR12G00690.1 pep chromosome:Lperr_V1.4:12:518281:520830:-1 gene:LPERR12G00690 transcript:LPERR12G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVDDERRQHRHGLRMSDGAGACDGRSHREHPLPTGKRHRRQQVQITRSQGVFFIKDMPRLGDPDADLVSRVMSEIGYLYCVFLNRNRASSISLNEAWHCTLSGGLFMTLNTIFLVLDKIDSPNSRPWSRERL >LPERR12G00690.2 pep chromosome:Lperr_V1.4:12:518281:520830:-1 gene:LPERR12G00690 transcript:LPERR12G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVFFIKDMPRLGDPDADLVSRVMSEIGYLYCVFLNRNRASSISLNEAWHCTLSGGLFMTLNTIFLVLDKIDSPNSRPWSRERL >LPERR12G00700.1 pep chromosome:Lperr_V1.4:12:527760:532466:1 gene:LPERR12G00700 transcript:LPERR12G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYRISLSKSTEKKRDKNPQFWSPSHSLSSGGCFGGKGGLVAGGEKRAGDLDAEACIPYGEILVLGREDHLLRVLHHRPHRPLHQRGARAQLRHLQRPQRVPGVHPRRQPTLARSPEVRHVVLVAGDQQRVQLPLLLRARRQRARVEEGEEVGELVVAQLREGDRLAGGGLVFVVAGEDVTEHLRPGRLL >LPERR12G00710.1 pep chromosome:Lperr_V1.4:12:530571:532549:-1 gene:LPERR12G00710 transcript:LPERR12G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMASMYRPPRNTICAACYEGAKSIIAFFNDDEHADADQGSVKSRGLAKLNTATKRLRDAWEEVKQMRCREEETNQRVSFLQEGFAAAWKEGIHTDIAVKPGTGPPIQAHKAILAARSEVFRHILAGDDEYKAAAGESISLPELSHDELSHLLAFLYTGSLPPCTEEERQLHALLVAGDKYDVAYLRRACEGRLAARVDAGNALRTLEVAELSSSAALMERAMGTVVEHAEEVVFSPEYQDFAVRNASLCVQITRALLAAGNKSTFPAKTSA >LPERR12G00720.1 pep chromosome:Lperr_V1.4:12:535427:536514:-1 gene:LPERR12G00720 transcript:LPERR12G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASRSSAPSCHSPAAAGVGHIDVVVSARKCSECQ >LPERR12G00730.1 pep chromosome:Lperr_V1.4:12:538418:538869:-1 gene:LPERR12G00730 transcript:LPERR12G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEDHKLATIGGLWATAVGASVAYGRRKSPLQMRLIHARLHAQALTLAVLGGAALAHHYYTPSTNKSSSSSCLDYEFYSQLPPATTADGEENERWSW >LPERR12G00760.1 pep chromosome:Lperr_V1.4:12:547756:548562:-1 gene:LPERR12G00760 transcript:LPERR12G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAETPGGHLEVALLQIMQRHHHESLRQRKQTERAKMDAVKSAARVADLLVATVDSGVQELYINERRIEMEARALLATIARYRKQTDQWLATTNEINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >LPERR12G00770.1 pep chromosome:Lperr_V1.4:12:566219:579770:1 gene:LPERR12G00770 transcript:LPERR12G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMEFAALSSKGIHIDTHGSTELSSTIAEQSQNIEAEDETSLLVTSQGSPSQVTQSQKAKPEIFVMFEGFWLIARSPYLMYISLFLWLGAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILVGQLTLTGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVALTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFLWLLTAFHLGRLQTNLAKIQVASFAS >LPERR12G00770.2 pep chromosome:Lperr_V1.4:12:566219:575544:1 gene:LPERR12G00770 transcript:LPERR12G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVASFLLLLLIVTCGAAQGQGSDFVVLDLQATEAGDVSPMYKEQIALTKIPVTLGRSRHSPLCSACENITKEAVDFLSEKQIQDKIMAILEDTCSQTFSFKQQCLEMVDSYATLFFAKIGEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIMDEVMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAIIQACDAGKKTTFSISSRKLRASLLLSIQSSISGVWAYSDNQNATAVKICNIIAIDLLTASPKKKLCGEVTLDLAASPKKFGAEVALFSSSRFAGTGRTSTESTLRFVAAMTRRLRLRVRVEAAVASVVAVLPHEISPLISAASTFFFILSSYFAVLPLRDEGAISLGLGTLPGLFAGSLLLTLLAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSASISQVSLLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLGAVVSSFFYFQGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVALTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKMVNGEKGWGVGAVASDSIVVITVASCLAIVAMDVLLVGRGNNGGPFIEIRTGGVLVGSDDTTSLFVGGAAPRIPSPCLAFIGSPSSVFGFSSCAMYRSRFMEISISRARSSLEEMAA >LPERR12G00780.1 pep chromosome:Lperr_V1.4:12:580756:583311:1 gene:LPERR12G00780 transcript:LPERR12G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDSDLLHDDADGSESPRAAVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKTQ >LPERR12G00790.1 pep chromosome:Lperr_V1.4:12:584513:586418:-1 gene:LPERR12G00790 transcript:LPERR12G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGGRRFLNLVVDRNLSGLYSLSRIPVSRLFYPSTEEATVVESQETLGKTTKQHLDMLEELPQPMFTFKATPMASYSRGFLEFASLLGDDENKIIVGDHKGHTLVFDADDSSSIAFPNLTCAKFHDAIPISIKKACSSNSEAVDGLYVMPQSPSPQCLQHCFEVLDYSTSSFTSSSSRGNGKGSFSWRSPYWRSLPPPPFANFRQAHIDSYTVVDGSKIYISRSEMTDATYEFDTVGNWTMPFHGKAEYVPDLKLWFGLSPDHPYTLCAFDLLSINDHGDDAVKLKPKPPTVHHTWVDLAIPKSCLPCKFNLINLGSGRLCVVKMLCSTAGDPMYESFYEDDGDDTIDSDSPIPGGHFAVFTGLHMVRSQVHGMYVSSNKSGTHDFDTVAHQWRSHVGRNPLMWMWTMPFSGRAEYVPELKLWFGLSGKYRLGNIPTACVLGGNLYTWLDLDIPKCWSPVYLRLISLGSGRFCVAKIFGITRDDLFDSQFAVLTGLHMVAVKAIISNGMFP >LPERR12G00800.1 pep chromosome:Lperr_V1.4:12:588272:589582:-1 gene:LPERR12G00800 transcript:LPERR12G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQALKVGLSEQIRREIRTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVEKRGKLTEGVAHKYFQQLISAVDYCHSRGVYHRDLKLENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFRGPNLMEMYRKIQHGEFRCPSWFSRKLQKLLYKIMDPNPNTRISIQKIKESTWFRKGPEENRILKERDLNENTVKNVAPTLGARRQKNTHEDAKPMSVTNLNAFEIISFSTGFDLSGMFIEKDRRNEARFTSDKSSSTIISKLEDVAKVLNLRVRKKDNGVVKMQGRKEGRNGLIQFDTEIFEVTNSYHIIEMKQTNGDPLEYRQLLEEGIRPALKDIVLSWH >LPERR12G00810.1 pep chromosome:Lperr_V1.4:12:598447:599268:-1 gene:LPERR12G00810 transcript:LPERR12G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFVEQSIWHAFGLALVSGAFAGSALYALCSLLLCCLPPRHAAAPPADPRKAKLCLPDHAHRRSSSSECSICLGELEEGERCCTLPSCRHEFHKDCIYRWVAKHNTCPLCRHQAVVDPSASSPAPPSNHRHAASNLLADDMV >LPERR12G00820.1 pep chromosome:Lperr_V1.4:12:600187:603586:1 gene:LPERR12G00820 transcript:LPERR12G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYWWAVILLILLACGGDGVCLLVFNEPARMESRTPRSAAARSTCSVPGLVLGFSKLCKITKVCAAPDFSDTKTEFGDNYGGYDQSLVITRLFEEIRALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSITALQSLCSSNGRSIGSLINDRWSLVQELEAETRKKDSDILLLRRELDGLKSANSRLNKQMNSKPSVKHQKDFSFVLKQLTTPDAVLELFKVASTSVHDFAELIFSLMPSSDHWQCDNNAGEQSYKRYSLEAYLSRTMLALTHDDAEDDEKQLDLARFDRIMRCCDPLESLMELPNSSFGRFCRTKYLAAVSSEMESAMFRNLDVRAFVSRGGHPRTWFYRAFATMARSAWAMQVAVAARRRCCGRGSVRVFYARRGSRYSAEYMESIVPAADDERGDEDEVGVGFTVTPGINVGETMVKCRVFLCV >LPERR12G00830.1 pep chromosome:Lperr_V1.4:12:605085:605342:1 gene:LPERR12G00830 transcript:LPERR12G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVLSSKKASLLLLMLVVVFFVQPGSCSRPLPLPSSSMSEAAGMPSTMATQPPQLGQQQQLWWLRSMKPRAMPRPSAPSKRTN >LPERR12G00840.1 pep chromosome:Lperr_V1.4:12:606479:610937:-1 gene:LPERR12G00840 transcript:LPERR12G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRRCGGGGGGRRSERSAVVGENRNGYVETDPTGRYGRLDEVLGKGAMKTVYRGFDELRGIEVAWNQATISDVVRTPDALHRMYGEVSLLAALRHDSLISFHASWVSPSRLTFNFITDLFSSGTLRSYRRRYPRVSRRAIAGWARQILRGLAYLHGRHPPVIHRDLKCDNIFVNGNLGQVKIGDLGLAAVLRECGYACSVIGTPEFMAPEMYDEQYGVGVDVYSFGMCMVEMLTNEYPDADARRFIGRCLVNAGQRPSADELLLDPFLLQSHHQDDDHRNIMASPPPPLPMMNISSGDDEADEEAAAPVERSTARDMTITGKLNKEHDTIFLKVQIGGDESSSGDNVRNIYFPFDMVNDTAMEVATEMVKELDIADREPSEIAAMIEEEIIRLMPGYKQHEYSYADDEDDNDNGQLSNPFYYLSSSPTTSSQGSLCGVGPLSLTEGVPSPHGKDDWSRDYCYYPPSRVSVSDDDDGSTMISSSPTSATTTSAMISQQQQCSAASTTRFGHHHHHHAGRPRQRGEGDDPEERRRRRMTRNRSMVDMRSQLLHKTLVEELNKRLFFNTVGTVHDIGFRDPTLVASSSSSSSSHSQHRRRSSSKDHKKHQYMF >LPERR12G00840.2 pep chromosome:Lperr_V1.4:12:606479:610937:-1 gene:LPERR12G00840 transcript:LPERR12G00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRRCGGGGGGRRSERSAVVGENRNGYVETDPTGRYGRLDEVLGKGAMKTVYRGFDELRGIEVAWNQATISDVVRTPDALHRMYGEVSLLAALRHDSLISFHASWVSPSRLTFNFITDLFSSGTLRSYRRRYPRVSRRAIAGWARQILRGLAYLHGRHPPVIHRDLKCDNIFVNGNLGQVKIGDLGLAAVLRECGYACSVIGTPEFMAPEMYDEQYGVGVDVYSFGMCMVEMLTNEYPYGECDNPAQIYKKVTAGKLPDAFYRISDADARRFIGRCLVNAGQRPSADELLLDPFLLQSHHQDDDHRNIMASPPPPLPMMNISSGDDEADEEAAAPVERSTARDMTITGKLNKEHDTIFLKVQIGGDESSSGDNVRNIYFPFDMVNDTAMEVATEMVKELDIADREPSEIAAMIEEEIIRLMPGYKQHEYSYADDEDDNDNGQLSNPFYYLSSSPTTSSQGSLCGVGPLSLTEGVPSPHGKDDWSRDYCYYPPSRVSVSDDDDGSTMISSSPTSATTTSAMISQQQQCSAASTTRFGHHHHHHAGRPRQRGEGDDPEERRRRRMTRNRSMVDMRSQLLHKTLVEELNKRLFFNTVGTVHDIGFRDPTLVASSSSSSSSHSQHRRRSSSKDHKKHQYMF >LPERR12G00850.1 pep chromosome:Lperr_V1.4:12:614900:620882:-1 gene:LPERR12G00850 transcript:LPERR12G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLGCSPALEPVPNPDFAPPPNPDPASISPDAWDPLEAAAGAVVGRIQPNRPSENRRAAVITYVQGLIRCTVGCQVFPFGSVPLKTYLPDGDIDLTAFGHPNDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEQVDRKFEKNHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHETLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVSLSSLPDLVTDSPDTVDDDFTMREEFFKECAQLFTVTPRNSERNTRSFSRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKILEVPVSSTVDEVNQFFRNTLRRHCTRIRPDVQEIILDFNAETERADNDCSPLYNNNSFGDLSDQFNNISISDSSNHGSLKQNGWNYMVEYKESKTTNPETSISTGMMNGSDSCEPVPPLITGACSLPSEEGHDAPDLFNESESGMKAGIKHDTYPSHNGTSTTGYTGRSHQSFEEVDDDDEGSNWSDLTGDYETNLNNLLYAQGFHQDYPMNPYYPIGPVYYQMPSPPPARYQNRRSSNGYSRNNAYGYAGTNGIGPAPCPPGYIMMRPSYGQIDDPNRVRGTGTYFPNPSLCKDRSPTGRGGRGKTHFLPHNHQRSQQYSRSDMSADLSSTPSEELRQIYVSGANDLGIPSSLNIPVPSPSSESPREIAHGNGYIEPPDKKLEFGTLGALPLEVTSEDHGIDNRLNYASNSQPSASASPISMAHNPRTGSDRMRNAQPYHLKDNGDFPPLSS >LPERR12G00860.1 pep chromosome:Lperr_V1.4:12:621212:622999:1 gene:LPERR12G00860 transcript:LPERR12G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVRACVFDGLELELDCWLICSAAEGRKEGRRSGGDDGFKPRFPLPLFPIRRAHGLHSTIRSSFSWPNSAHHASPCVPHPPSPTSDSSCAASLAGAARPPATRSKLGLLHHPDFLSALLSRLPPSPSALSLLLDAPPVVLSPSLVCPVIVTFSSSPTPSSAIILFNHASSLSLPTPLPAFPALLKSCARAFKQSSRGPAASAFVSKGMELHCCVFKLGCGTDRYVQNGLVSMYGKFGRLGDARKVFDEMPTKNAVSWNALVGAHCAAANWLGAECVSRTMPERNLSWWNSEIMRNVRIGHIDEAARIFRGMPQRDAVSWNSLIGGFAKVKRYSQALEIFQEMQDHGIEPTELTLVSALGACAEVGKMELGKDIHRNLESKGIVADGYVGNALIDMYAKCGRLDLAKKVFDRMSMRNITCWNAMIDGFSVHGCSLEALELFDSMKIEPDHVTFLGVLTACSHGGLLNEGRKYFNSMIEDFSIVPDIKHYGCMIDMLCRYGKIKEACQIIKHNSAKENPVLWKMLLAACRVHGHISLAYKLFHELHELILSDNVGVITISNLYAEAKRWDDIEHLRTTVKCNSALKHAAHTQIDTT >LPERR12G00870.1 pep chromosome:Lperr_V1.4:12:626777:627884:1 gene:LPERR12G00870 transcript:LPERR12G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGNNHVAAAVMVVALLVTAAPAASAVTCGQVVSMIAPCIMYATGRVSAPTGGCCSGVRSLNAAAATTADRQTTCACLKQQTSGMGGLRPDLIAGIPSKCGVNIPYAISPSTDCSRVH >LPERR12G00880.1 pep chromosome:Lperr_V1.4:12:630294:630774:1 gene:LPERR12G00880 transcript:LPERR12G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMIALFAVVALVLVAAERSSAAVSCGDVSSAIAPCLSYVTGRMSSPSSSCCSGVRTLNGKASSSADRRTACSCLKSMAGSIRSLNMGNAASIPSKCGVSVAFPISTSVDCSTIN >LPERR12G00890.1 pep chromosome:Lperr_V1.4:12:635295:637074:1 gene:LPERR12G00890 transcript:LPERR12G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLAVALVAALLLAAPRASVAAISCSQVNSAVGPCLSYARGSGTAPSSSCCSGVRSLNSMASSSADRRTACSCLKSAAGSISGLNAGNAASIPSKCGVSVPYTISTSIDCSRVS >LPERR12G00900.1 pep chromosome:Lperr_V1.4:12:640750:642092:1 gene:LPERR12G00900 transcript:LPERR12G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAQLVLVAALVAALLIAAPHATVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLNSAARTTADRRTACNCLKNAARGIRGLNAGNAASIPSKCGVSIPYTISASIDCSRVS >LPERR12G00910.1 pep chromosome:Lperr_V1.4:12:645418:648960:1 gene:LPERR12G00910 transcript:LPERR12G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTMKGKMVVVAAVLVAMMVVQGPASAAITCGQVGSAIAPCISYVTGRSGLSSGCCNGVKGLNSAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPAKCGVNVGFPISLSTDCNKVR >LPERR12G00920.1 pep chromosome:Lperr_V1.4:12:650821:651354:-1 gene:LPERR12G00920 transcript:LPERR12G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSAEITESRHVGHEECAASHVSTQRTWKPWWHLGNTRTLSPAAKSPRQMAHSSSATPASLVPYTSTGILLSSFLFSPAVADGVAVAAAGERLRAQWRAQRMRELRPRAQMRKQSSAARMITMLASKPEFPDCCRDEEAIAPAPVVAAHAGVVTSAGAASTATSRSRRMALGSL >LPERR12G00930.1 pep chromosome:Lperr_V1.4:12:650840:651391:1 gene:LPERR12G00930 transcript:LPERR12G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRDVAVEAAPALVTTPAWAATTGAGAIASSSLQQSGNSGFDANMVIILAALLCFLICALGLSSLIRCALHCARSLSPAAATATPSATAGLKRKELRRIPVEVYGTKEAGVADDECAICLGDFAAGDKVRVLPRCHHGFHVRCVDTWLAAHSSCPTCRDSVISAEDGVAIDDVTPTAGGQR >LPERR12G00940.1 pep chromosome:Lperr_V1.4:12:661982:663088:-1 gene:LPERR12G00940 transcript:LPERR12G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVEGILFPQEIAANKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKENVLEHLESWKGKKAEELAQDDGFFQALISAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLLSVDKYEEDEEEALEKVTEFFQFKYFKPNSVLTFHFPTTPGIAEISFATEGKSEAKLTVENTNVPEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >LPERR12G00950.1 pep chromosome:Lperr_V1.4:12:664045:667760:1 gene:LPERR12G00950 transcript:LPERR12G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGAAAAHHRVHYCSGQLRRPPRPLRLAPLRSRLIRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYISGNTVEKTFRAGSTLPEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVIDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >LPERR12G00960.1 pep chromosome:Lperr_V1.4:12:667396:669703:-1 gene:LPERR12G00960 transcript:LPERR12G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPAASSYEMVDLGHLMAYDPSHHLSAAASREELREECLRKGTELAQAVADALFALPATQHRDGPMLPKPKPPTKWEQFAKMKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPILEAKTTDEPGVDPFAQRREEKKKRVDKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKAPKNPGKHRKFLPVVEGKGMGNQERQQNYKILSALLAKSSEDQMDVGRAITMYKVKKEKQRRNDKEKSSASNKLKPQKKPLKKSSKKKA >LPERR12G00970.1 pep chromosome:Lperr_V1.4:12:674934:679876:-1 gene:LPERR12G00970 transcript:LPERR12G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFPHAMLWLVLVCAALLCSGCHGKFVVEKNSLKVTAPSDIKGTYECAIGNFGVPQYGGTMVGVVAYPKANRKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQILEKKGYTQFTPHYITWYCPGSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACVYKVAKENRKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDVQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVQFVGDGYNHCEASGSGRCEINNGGCWKETRHGRSYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACKCKECKCKNTWGSFECGCSGGLLYMKEHDTCISKNTATEAGWNFLWVIFFGLVAAGIAGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQGEVQNHSHHIELKIGLISTTTAIANSQLH >LPERR12G00970.2 pep chromosome:Lperr_V1.4:12:675381:679876:-1 gene:LPERR12G00970 transcript:LPERR12G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFPHAMLWLVLVCAALLCSGCHGKFVVEKNSLKVTAPSDIKGTYECAIGNFGVPQYGGTMVGVVAYPKANRKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQILEKKGYTQFTPHYITWYCPGSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACVYKVAKENRKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDVQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVQFVGDGYNHCEASGSGRCEINNGGCWKETRHGRSYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACKCKECKCKNTWGSFECGCSGGLLYMKEHDTCISKNTATEAGWNFLWVIFFGLVAAGIAGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQGEVQNHSHHIEL >LPERR12G00970.3 pep chromosome:Lperr_V1.4:12:675379:679059:-1 gene:LPERR12G00970 transcript:LPERR12G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQILEKKGYTQFTPHYITWYCPGSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACVYKVAKENRKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDVQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVQFVGDGYNHCEASGSGRCEINNGGCWKETRHGRSYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACKCKECKCKNTWGSFECGCSGGLLYMKEHDTCISKNTATEAGWNFLWVIFFGLVAAGIAGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQGEVQNHSHHIEL >LPERR12G00970.4 pep chromosome:Lperr_V1.4:12:670946:674927:-1 gene:LPERR12G00970 transcript:LPERR12G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWFNSGSRRPQPAAAAAVSSETTSGTNGRGLDWDPHGLASTAPPLPLSRLDGKKRYKVSDLTFLDRRSRTRTKDADPMFDEMPTLRPGGVYTTSQLRGELNALASSGLFDHVTLQTKPNPDGTVGLVFSYAESEWPAAQRFNCINVAGLIAPPPPPPDDMTAREKLDYHRRQEREYRRRQLDGGSGGGAKNCILPETLRREVEGMVRKEGKVSSRMPKGMAKLVERWYHGEGFDCAQVVGYGNLDNSGEVVCEVVEGDITSVEYHFLDKLGNVVEGDTNISVIDRELPEQLRPGHIYNNGAGKQALNNIHSLGLFSSVELQPRPDETNQGGVVVAIKLKEQEPKSAQLATGWSIVPGCQGRPTLASIQPGGTLSFEHRNISGLNRSLVGSVTSGNLLNPEFNLHDILAGIRMIFCSSWSMSILTWMVLRNVVETAPSKFSFNTRKLSPTFVAGPKMYEAPPIWVDRVGLKANITESLTRQSKFTYGLVVEEITTRDHNNNICTHGSRELPDGTLSMTGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQVDQGLGIGSKNPFFNRHQLTATKFINLNKQKKGSGKSPPAVLALHGLWVNWVLPEIFLRRVATEVSMPITVKNKHAQLYAIAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGVGVKLGAVRAEFAVDHNAGTGSFSLRFGERF >LPERR12G00980.1 pep chromosome:Lperr_V1.4:12:679913:680207:-1 gene:LPERR12G00980 transcript:LPERR12G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSVTRTRGNPVPSQTLSLTNESSSNSFQKFPHLAPTTFPRRQNPIFPLHPPFSAASINPRSILFPPPATAGCHQSDPICS >LPERR12G00990.1 pep chromosome:Lperr_V1.4:12:685097:686619:-1 gene:LPERR12G00990 transcript:LPERR12G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVGHHSSVVCVATSAFGEEHHQAEAGDQVASVKEVAQVYELIKTHQPLLLLHQNSQHLAYSLLTKAMRALNVALSVMKHLPAPAAAAADQMNPVASMIKVKAEATTPANGSATPEADVADNHVVGKATKRSGAKRRRTTNGEDKPSWFQLTTAAPHEDGYQWRKYGEKKIQGTHFTSNDHTCNSSCTTRITDQNNLGLPLANNSQLGCPEDDAVCSKMIKQEPQAAPWLPPPPLPTISNNPDETLALHLCQEMLPGTKIYCTYELDHHQMMQQMETTVVEEALGLEADLDYPYFIDPQLLLLYEDLMNC >LPERR12G01000.1 pep chromosome:Lperr_V1.4:12:691007:693113:-1 gene:LPERR12G01000 transcript:LPERR12G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLFKPQGAQEDDGLIRELLVDFYTSTGKHKPDQVIIFGDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVEQKNHHTKIFVPASQNNGTTRPTHYHILHDEIGFSADDLQELLPEEHYSHINRCTHLLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSMFSRAAASLLCMG >LPERR12G01000.2 pep chromosome:Lperr_V1.4:12:691009:693113:-1 gene:LPERR12G01000 transcript:LPERR12G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLFKPQGAQEDDGLIRELLVDFYTSTGKHKPDQVIIFGDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVEQKNHHTKIFVPASQNNGTTRPTHYHILHDEIGFSADDLQELLPEEHYSHINRCTHLLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSMFSRAAASLLCMG >LPERR12G01010.1 pep chromosome:Lperr_V1.4:12:712169:714512:-1 gene:LPERR12G01010 transcript:LPERR12G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYKQNKKEGTTSLTDMQQSQLTTEDELIFNSAKLSCQQRNVHVTHGYQSNQDKDECGNEQTLQSENMADDMKKGCSAAVHNSYPREDN >LPERR12G01020.1 pep chromosome:Lperr_V1.4:12:719628:726963:-1 gene:LPERR12G01020 transcript:LPERR12G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKADNRIDKKIHEEAKELMKLTNSSVDQAVVRARRLTAKYSHSAKAFNVAGMILSWVSDTSDKHKGLLQESCDTYQTAMHLAPSCVFTRLMYCKRLIRLHKFEETWKEFICIKTDISADPLDYTPFPALPSDIRDEDTPQKRCLVVARRCQIFKPMACHQLLLWLANEGAPLRARKLKQFTIASPEVVALHHANVVSSLLFACDSAQKCIEINGSGDDYYRRFLQSALQIAATAVNCHQASLVLSLYHARILFLLGQYDTAEKECIRGIRMEHPTDPINDKILYPGDENFHDRFQREIEVKGKTKDERVKCVKNELELLLGFICCRLKEHWSAIQTKHWAEILTSDLEALLSYYQDTNTSVAILLNEALDFFETNKQWDYWAELLIHATKVGCDQNIMLQDIESILWATPKGGEIQEETKEVKYTSDNYRWKHWHWLEKTNRGYKWFSDVPLPYSKDYKYTWLSGVDDDSDILSLAPANWKLAAYTHPNEFKVQTNDRRLVITDDEVPGSKSRLKYAWTTGRKLSSRMLWRVVTRKKSMIEAYREFNLLVGCQHRNILKPLGVWPCKDDPTSGYIMFPHVDGAISEVPKDTLYVEDNNVIHGFTKNGYTILRDILSVVQHVNIYYEHVEASRSLDGDEPSLDDDEPSLDDDEPSLDDDPLQLIPLDVSLDCIYYIRNAVGEYHVYLGNFSAESLPPQLVKACRRQRRGRRRKFIKANVLVRSNWNAVGTYLDMLCCGRSTDQEIAHLIDSLKSPSANYADLIWEPGLWTVSEKMMLLREVAWVLESDPEKVTELAGKPCLGIELFAIKVVLTEWKGKNMYNDIMTVRNKIVGHQSSVYHKYSGPKEEIGIDKATIMKLLWKEAPEFMLKLVEEIHALNWSIISPYRRSATSLKPSGKKPDRRFDRKKRNKPSSRLGLSDTV >LPERR12G01030.1 pep chromosome:Lperr_V1.4:12:727007:727593:-1 gene:LPERR12G01030 transcript:LPERR12G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPPSLTSALPLPPATIGGASAVQTPASYPFASPPPTWRPAAGGAQRALHLAASRRLPSSLPTVASPWVPPPTTNARLLEDRISSEDRDRILAAARPSSRAPCRCLIGTIAQSRSAGGAPAPPAASTPKQHLAASIPILPPQQIVQE >LPERR12G01040.1 pep chromosome:Lperr_V1.4:12:742705:744046:1 gene:LPERR12G01040 transcript:LPERR12G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSYVDALVSASLKMVSKGLPVEVQCLGVRMLQHLVYFRKKELSSTQYANLRKLLVGSLDDLVLKDSTVVSDDPMGSTANPKENNKHKRCLLLVLAVHINSRSLAAMETNTVIGKVTGEIRLRFCSILKC >LPERR12G01050.1 pep chromosome:Lperr_V1.4:12:745253:755791:1 gene:LPERR12G01050 transcript:LPERR12G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNIEMMSSHQKMGSLLLMEHNAISQAFLVVISYSRIHQSVELIPCLLSLLNKIWTLPEWRSRFLQHGSGLNCLFSDDQFLKMVHYMVRLCEVKIRRDCTEVFSVSKPYTVTFVRLILPLIVELLQCIHWLWDPPIAYTLSEVLERAKYPDPYFQFQHSTKLFGISDREGSQEKDTRELIEGIRESGHLRKLIKLTVIPLVKNCPRKFWKELVDNILRKLLRHCEGILHFAWFELLYDVRAGASYYFGTLSGVKGRIKTLERDILLEFTREVSGLLEVVALTEQSRELSLEDKEAILFQDSMPSTSLLRYLVINDCFGNLRMGLFGHFVDDTATEKAIPFCRALVRLACGSNDARLRIFILDNLLPCLIQRLDNKLPCAIQSLKSELSSSGSDNASKGLLALIEGDKNSDNFQNCFEVWLEWQKEDFRAKAYSSAVREVSFGDPWKWENICVDKACLFQKLRPEFRAKYAINSPVHPYMDTILSIQHRKFCSMAPVVRKRKICNLVHQLIKLKPYIKGSDPPYDVIDRLRQTSEIPAEFSKYVAPSVQLLRSVLFFWEPRFHPMIREAQINELSAIVDRLTATECLEPLVPTARDFPFHLKPYARDFIGTKLTESKDTFMEFSVNEVVPSKFRALECSLIKLSFERRAEVVYMQTDICTYADCLLRLLVNEPLKVQAQCLISQLEAEGFFDVDSNRVDWGKASFSELVGKFNSELLSRNSLPKHYAIRGLIVVVDVRNSLTGCLEKFWKDTRSIGA >LPERR12G01060.1 pep chromosome:Lperr_V1.4:12:767405:770260:-1 gene:LPERR12G01060 transcript:LPERR12G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVSSYPSDLGSSKSTRTPQQRVRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTKDPPMFSVTYFNHHTCNSSPKIVGTTPDTVAYSSSRKAMSICFNSHGTTSEQPMFLSSSASLLSPSIQSYSSNQQPDMNTYARQFQWADTSSSTSNLPVKMEVDDYAEARASPNTTGALSRTLLPIGQSRCIEYFHFL >LPERR12G01070.1 pep chromosome:Lperr_V1.4:12:778577:779627:-1 gene:LPERR12G01070 transcript:LPERR12G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFVHSDFQVVINMIEHQKALMVELHGIVMPILPSGNEQTKLAVQLLGDILSCSDKAISMLELSGDTNKLTNIVGGKRRSDKHSMENQNLEEEPKESGNKRRKNAEHTGSTVAQAPHSDGHQWRKYGQKSISRSTHSRSYFRCANSKVQGCPATKTVQQMNSSGNGASKLFNVDYYGQHTCRGDGIANPYVVDTADHSMEPINQTKCNSPMPEHEVHGVQDERFQNLCMVPNTPDYLIEFEMERAFEFTMNSPLDSEHWMFNESMRCEQSPICIWGS >LPERR12G01080.1 pep chromosome:Lperr_V1.4:12:783337:784531:-1 gene:LPERR12G01080 transcript:LPERR12G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRSLVADQSRVSSVNFRAALSEIAREQSLVTQLRAIVLPALQSDERCDIAAHMLEGILDCSRKAISQLQLQLQLQLSSDSPHNDDDDHVDDERRVRKIVSSSDDDGCSSKAADENQNAKPIRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNAKHPRSYYRCTYRQEEKCKATKTVQQREELHYANSNNGDHPIMYTVVYYGQHTCCKVGPASADDHAVVEASQISNESHCQSPSSSSSDLQPAQAGDSSQGSNITVTCSTSVVVEDCNKLLDMLPAADELTTDVLLFDMTAYAPLDLDINWEMDTNALWV >LPERR12G01090.1 pep chromosome:Lperr_V1.4:12:787362:790337:1 gene:LPERR12G01090 transcript:LPERR12G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDHIANRQWIKSSKRWTYDDLCAHGLSARSNYVQNECISRGRPGRFPAKSLFSRSRGGRATSATPRLPANGAGIDDSPTLLRAPSTPVGPRGCRRPLTTAPPADNPLHRRRDDGDSRQVAQQRRPRPVAGRPRAPPRSRTTPRRPARHVDAGNHAPAVVAGGLKRRRPGRAASPLYNGFSPLFIFLRFSMLLLLFCLCVD >LPERR12G01100.1 pep chromosome:Lperr_V1.4:12:794043:796061:-1 gene:LPERR12G01100 transcript:LPERR12G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAYWRMSRELEADELQHLLFFRPSLTQQATSSGECLPPAGVTKTVSGGGRRRGRKRLRPADNNNVKLRRRLQEEDDHLQEVADGAANSKPLPRPCTKTSRRKQQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAKYPRSYYRCTNSTEQGCQAKKTVQRNDDDGGAAATRYTVSYISEHTCKSINESVAPVILETTVRTSTNQPIATVGSCSAESPAISSTTSDIITASTWSSGETSRSDCSWDSTSTITPAMATNYSGNIINGGVDVEEMDLIRGPIRSPVHIMADGNYWMDDLLLNNGFMDDTILFNGSICQLF >LPERR12G01110.1 pep chromosome:Lperr_V1.4:12:805691:808481:-1 gene:LPERR12G01110 transcript:LPERR12G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPSIVVYQCGGCGTVLRAKNRVAPAVNTNSESGEHNKVSSSSTGHLQSNKLISTDGQNISPSSDAQPGVVQDKITFASEERTMSTSNVIDSSEHPNTECLLVDGDVSNSDSRTEDIQDEDKGSVSNSNLDSVRKVENAGIGGNINSEKGSFTDDGSISNEVATTQIMVHMDGAGSSDNLTGTKSSAEGKCTVRHTNMDSQEIVASCQSDEETESKLNNFSAGTKDEVQPYEGFHVESHEELIEELVRSLSLSDDEEDFVDMEENSELNDALRSQMGSCRFSSGNKMNDGPRTDPHGRLIEELEMSFSDGEEPLDQNVMVEHNDIIEKATLDEAGKENHILHEAGKENLILDKDGKENHILYEDGKENLILDEGGEENHILNDGKENLILDKGGKENQILNDDGKENFNLDKDCNENNILGMDGKENHIFYAGGANSHEERLSPSDDEHLKSEQSFQQSELVAVNMEEKDEGHLEETNVANHAEANNEIVAVLSNLSNDKLCGILPSICDGRKEEKTNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSHKGLENRYKNRGIGLLQEQSSYKHVERLPCGFDADSTLGSDIIDSYYDHGNPPRYPPPDPFSPTHSHCHFGHRQPHIPYNCSAWEFNSYYQSSYAGSAVLEHESLSSTYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYLSKKKTAKFQCGRCSKTLVLSFPATHSEDAKFSKEVNKKPNKPADSFSAECSRGDPVSIITEECGASLSRSFSRRTRPAVDVSESGKKVSDSALHRLMGYDSASQLLRHSRVFDDGYDSFESMVPVSNRVSRRKNM >LPERR12G01120.1 pep chromosome:Lperr_V1.4:12:815945:819813:1 gene:LPERR12G01120 transcript:LPERR12G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKDHLVLVHGEGHGAWCWFKLRWLLESSGYRVTCIDLAGGGVDPTDPNTVLSFEQYDKPLLDLISAMPEGEKVILIGHGTGGLSLIHAMHQFVDRIKQAIFVAATMLPFGLQTDEDKKDGLPTLPEDETDLTFGTGADNPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKIERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >LPERR12G01120.2 pep chromosome:Lperr_V1.4:12:816002:817472:1 gene:LPERR12G01120 transcript:LPERR12G01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSDISERSPEHLVLVHGAGHGAWCWFRLLCLLQNSGHRVSTVDLAGAAGSLVDPNDVRSFDDYNAPLLNLRLPCRTARSAGGLGMTQAMHLFGDRIKQAIFIAAAMLQFGFQTEQDIKDGVPDLSEHGDVYDLTFSLGADHPPTSVAIRKEFQRIILYQQSPQEDSALASILLRPWPTALTTARFGSADEGARSAIDRVRRVYIKTANDRMVKPEQQEAMIRRWPPSEVMTIDTDHSPFFSAPEYLFALILKSL >LPERR12G01130.1 pep chromosome:Lperr_V1.4:12:820108:822062:-1 gene:LPERR12G01130 transcript:LPERR12G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPHPVELELDDNASLSPSLPTPSIASDMEDEWPMVERRGTKLFASDLPFIIHGFNTYWLMSFAADEATRPRVTAAIAEAADAGLNVCRTWAFSDGGYRALQTAPFHYDEEVFQALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKDAGLDLTSEDDFFTDPTIRNYYKAFVEAVVTRINTITNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASCVKSMDPVHLLEIGIEGFYGPSTPELLPVNPDEYSGNAGTDFIRNHQAAGIDLASIHVYSDTWLPHSIEENHHKFVNAWMQQHIDDAANLLGMPIVIGEFGVSVKDGKFGNEFREAFMETVYRIFLSSWKGGVIGGGCLIWQLFPEGAEHMDDGYAVILAKSPSTLNLLANHSRNLNVAF >LPERR12G01140.1 pep chromosome:Lperr_V1.4:12:823562:825403:-1 gene:LPERR12G01140 transcript:LPERR12G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNALLLMQAASASNEGDVISSLPPVSDSASASMDRTSQWVSSPDIPADLIIRIADDVFPLHKSVMAPKCGYIRKAVAAARGGATATVEIDLSDVPGGADAFDKAARYCYGDNFEISVRNAAALLCAAAFLDMHPSDGAGGLARRVDEFLAKVALRTLPGAVAVLRSCEAATVSSAADEIGVARRAADAVALRICNEATASDLLAIALDAAGERVQNTDTIRRIITAFVDRDAASQNSRRNRRSSLSGADRDIDGESAMQAVAKTVDELAAEMATEETLPISKFVGVAGAVPKEARATHDCLYRAVDIYLKAHPWLDEIEREKVCSVMDPLKLSYQGRLHASQNKRLPLQAVLTALYYDQLNLRSNEINGVTSLRSEAGSVRKQAKAEASLARENEALRSELARMRAYVSGMQQSKRSSSAAAGKKGMSLWSRTLSMLNPFKAGGMWASKDTSSIVDRKTTIAKPKRRRFSIS >LPERR12G01150.1 pep chromosome:Lperr_V1.4:12:834816:838934:-1 gene:LPERR12G01150 transcript:LPERR12G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPAFPFSTAKLSAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSKSGRIRRMIAESKDPDLSKLELVNVPGGALAFDLAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIIRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEEIGLVDMCVDAIALNASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIVAMRRTGVRPESIGISIVHYAQTALKGIERRHVWDSGPLVGDDQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEADVSLDYRIEVEKRIGLQLEMASLDDLLIPARQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQNFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSSSSHLQYTPSGGDCAGSLSQRMFGGGGSGVPSSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRSGEHGRALLASISRGFGRIAMIGAQERSKTKTKKKSSHSQNWSSDGGGKISSRRRHKPPSVSYAAS >LPERR12G01160.1 pep chromosome:Lperr_V1.4:12:843493:844434:-1 gene:LPERR12G01160 transcript:LPERR12G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLFVCLLQLLFLGSSRVAAQSPARALDAMLQDYAYRAFVRPHTGIVYNATLLPANLTGVSVSGVRLRSGSLRRKGFSDYFEFAVPTGVVVQPYVERVVLVYHNLGNWSDYYYPLAGYTYLSPVLGLLVYDAANLSAVGLQELSFVASGSPILINFSNVRSVPAGSAAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSEIAPGPVPSGNIMPPIPTPGGPSKAKGSSKGWKIAVGVVGGVVALVLLGSLVVCLARYKRDKKLAVMERNAEAGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >LPERR12G01170.1 pep chromosome:Lperr_V1.4:12:848818:851872:-1 gene:LPERR12G01170 transcript:LPERR12G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPVAADDRRTSYPQPQGSSPSYSYTSIKSMNEPKLGLWETLARKAKGILDDDGAAHKSDEYKRENAPRKFDSSSGAQESRSRWSFENHRRTGDTGSLKRSEALAASVNQLGGRIKDAFEEGLIIVDNKTSNIIEETKKIQIRRKEANSNSHMATPAFNTFRPPNLSNDKAETAVQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLYSEDMFPVVEAYLSREELSPVPSRPESPTVRSHESSPPNSNNSESSAATPSNVSKPNALVPDTD >LPERR12G01170.2 pep chromosome:Lperr_V1.4:12:848818:851872:-1 gene:LPERR12G01170 transcript:LPERR12G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPVAADDRRTSYPQPQGSSPSYSYTSIKSMNEPKLGLWETLARKAKGILDDDGAAHKSDEYKRENAPRKFDSSSGAQESRSRWSFENHRRTGDTGSLKRSEALAASVNQLGGRIKDAFEEGLIIVDNKTSNIIEETKKIQIRRKEANSNSHMATPAFNTFRPPNLSNDKAETAVQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLENSMYARENRFLREIVDFHQFTTHDVAPLDVSDMEDSNQKYSEDMFPVVEAYLSREELSPVPSRPESPTVRSHESSPPNSNNSESSAATPSNVSKPNALVPDTD >LPERR12G01180.1 pep chromosome:Lperr_V1.4:12:852131:856270:1 gene:LPERR12G01180 transcript:LPERR12G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSANLLVAFKAGNSEDWNWNLEVGLHDHVPNLDWTGPNSGTPWPPQPLVFRWMQPYYKQLGPTQFVRRDNVNFLLRVDQMLRLPFFIQSSPLAPQPLRSATFTQSLHARRLIGPPPAFASAEFPGSVPDSAQMPPRRRRRSVAGIDQDDLLDPDALADPDSNFYEINGVRVHHKVCSHEDSGDQSLDSAISDAEQNQVGLPIVLLHGFGSSVFSWNHIMRSLARIAGGKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFINQLGANKAVLVGHSSGCLVAVETYFEAPERVAALVLVAPAIFVPVFSRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIAGFLMNMIMAIGGMVRSLYCKAVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHIIQGYTKPLRSRGWEMALLEYTISMIMDSTSTSKVPVSERLSEISCPVLVVSGETDRLVPRWNTERVARAIPGAEFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNEQEQMFQAAV >LPERR12G01180.2 pep chromosome:Lperr_V1.4:12:852131:856270:1 gene:LPERR12G01180 transcript:LPERR12G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSANLLVAFKAGNSEDWNWNLEVGLHDHVPNLDWTGPNSGTPWPPQPLVFRWMQPYYKQLGPTQFVRRDNVNFLLRVDQMLRLPFFIQSSPLAPQPLRSATFTQSLHARRLIGPPPAFASAEFPGSVPDSAQMPPRRRRRSVAGIDQDDLLDPDALADPDSNFYEINGVRVHHKVCSHEDSGDQSLDSAISDAEQNQVGLPIVLLHGFGSSVFSWNHIMRSLARIAGGKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFINQLGANKAVLVGYGCSTTCIVLSVCITLSDELHVCDGLDFRHSSGCLVAVETYFEAPERVAALVLVAPAIFVPVFSRKGVKEYGAGEEWQEKKNSNGSNLPTNPFNRIWEGFLELCMRIAGFLMNMIMAIGGMVRSLYCKAVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHIIQGYTKPLRSRGWEMALLEYTISMIMDSTSTSKVPVSERLSEISCPVLVVSGETDRLVPRWNTERVARAIPGAEFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNEQEQMFQAAV >LPERR12G01190.1 pep chromosome:Lperr_V1.4:12:856626:862517:1 gene:LPERR12G01190 transcript:LPERR12G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFLEIGFFSIGFRSSKFPMFFYEWRYNRHVSSQPDFQCLVCTRPFTLDAEVADTFEALAICRECKATVLNDDGRDDITSSSWQTTRIGRRSRTASIDSLEDTFSQEFSQLINLARRGRGADVDSSSVFPQHASYDSTPNRSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGGDSDTSLDEHSMTARRISIPIDNDTYMNTDTDIDPMNAGLDQWDSDDQEDVQESGFDEAVNTIPQNQQQSHDIQLSGLSEDESEDAVWNWSVTIRHRANMTNLIEDMEAPEMRTALVGNPDDYVDARQFEMLLEQFAEESNSRRGSPPAATSFIENLPSVIISAIHQIEGDVICPICKDPIPTRTRAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQAITNVEVTHQFAIGAMEETNTSEHDAHVAEQPNSARRSRGWFFIAAAPVLCSAVLVQHPMDEDHEDKEEVEEARHKGSQTYFAVWQHQGDENHPARTQVLAEARQQQLHVHPLPASPSLEGNLWKYLPTKSNQEIRTLDEQVRLLILDVAKEQHHHQDAHNSLLNAIIDGAQDGRSAEETEDFIIGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAMEVCRGRTPLDIDALRRLKIVRMVIQETLRLYPPASLMMREALSDVKLGNIDVPRGTIVQVPRLLLHLDKNAWGADADEFRPERFANGVAAACGAAHMYVPFGHGPRTCVGQNLAMAELKVVLVRLLTNFAFSPSPMYRHSPAFRLTIEPGFGLPLMVTRLP >LPERR12G01200.1 pep chromosome:Lperr_V1.4:12:869585:883885:1 gene:LPERR12G01200 transcript:LPERR12G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVDKGVTENLLVSNYDFSVLEKCVGHEASVQASLENKEDMKSLGMVHDHENNKIGVVEAITPPEKEAIESSISVKVANEDPSYRCQTPRENIFDPFAPGPKEVACAPKKKVIRVAEVPSRRQQGFDSGDYPVKRLNYEFDDCEEDDQFLDRICKMFIDLIISKQALETTGEGLIDSSSPVSCETPSSAPLLTGIADVCPDAPLRRSVKVVHVSPRKTGMKVAGEAEATTVQPHLDLARGIVPGGGSLIPFGAQKPNLAPCEVCQEGTLIPFAPPLHLVLIFQVTSRVDSQILMDQGVDKGVTENLLVSNYDFSVVQKCVGDEASMQAPLENKEDMKSLGTVHDHENNKIGDAGAITPPEKETIESSISVKVANEYPSYGCQTPRENIFDPFAPGPEEAACAPKKVIKVPEVPSRRQLSFDSGEYPVKRLNYEFDDCEEDDQFLERIWKMFIDLIISKQALERTGEGLIDSSSPVRCETPSSAPLLTGMADVCPDAPLRQPVKAVQLSPSICRKLNFDSISPRSLFIKENK >LPERR12G01200.2 pep chromosome:Lperr_V1.4:12:869585:883885:1 gene:LPERR12G01200 transcript:LPERR12G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVDKGVTENLLVSNYDFSVVQKCVGDEASMQAPLENKEDMKSLGTVHDHENNKIGDAGAITPPEKETIESSISVKVANEYPSYGCQTPRENIFDPFAPGPEEAACAPKKVIKVPEVPSRRQLSFDSGEYPVKRLNYEFDDCEEDDQFLERIWKMFIDLIISKQALERTGEGLIDSSSPVRCETPSSAPLLTGMADVCPDAPLRQPVKAVQLSPSICRKLNFDSISPRSLFIKENK >LPERR12G01210.1 pep chromosome:Lperr_V1.4:12:879929:880600:-1 gene:LPERR12G01210 transcript:LPERR12G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAGNGSSSILPTTIPKDAAAADLEHRRRRRCLCCCLLVTILILLILAITLLVLFLTVLRVRDPTTRLVATRLAGVAPRLTFPTASIQLNVTLLLTVSVHNPNPASFTYATGGHTDLLYRGAHVGDAEIDPGRIPSKGDANVTMALTLQADRFAGDLGQLVNDFLTGSVELDASTRIPGRVAIFGVFKRHAVAYSDCHFVFGVAEMRVRSQQCSDRTKL >LPERR12G01220.1 pep chromosome:Lperr_V1.4:12:881469:885872:-1 gene:LPERR12G01220 transcript:LPERR12G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPPNGGFLLQLSDGLHSTYVQLDAHDADALVAERPHLVGHLLHLDRLRFARPVPRAVGIRPVPSSSRAVPFVGTPEPLVARPAACSRGYVIQPASHSDAAPPLMPSNSGNDAADAAVKRAVLAPKNVSEAAPPPAISTAKRRFSSPAPSKQRDPSPSVKGASRPSSPSVKGVSRASSPAVRGTSRATSPAPSKCVVPSLAAAKEENRRTAKEPAIVVPSRYRQPSPVGARRGAASPAPGGRRASLSPSSRRLSGEGSSKKKGVIVAGISKMADLTNGSAVKPGRKSWDNTSTAAAAGSVMKSKVKVDNDTILRTQEAMARRLSDVTIEQSNNDDESSVDEKPKPRKKVESTPVKMKNVAPKIILHDPKWTDGSISLDAVSDKLSKMGKEATERRDAAAIAAADALQEALITESVIRNLTKFSELSSASKASNPLPTVDIFLAVYEDILKWKKIAESICNNGTETTLWENSTSHWVEAALATDLEVLKLMNRAPESLSRKRGADKPMVPSVEPPRTTQSKRQSHGTSAKVQSKVSPSPTVSYTWNKTQGVNETAELATTLCREMHLWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEETTKDKVEQLKRKIYGFVISHMGSAFESSGMLLADAMDWIV >LPERR12G01230.1 pep chromosome:Lperr_V1.4:12:890681:891337:-1 gene:LPERR12G01230 transcript:LPERR12G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAVQEQPSPSSSTIIASGEEEEVLTVWRKSLLFNCHGFTVFDSKGNLAFRLDSYSSTWSRSRHAELLLMDAAGNPLLTIRRKRLSLSDQWIIYHGDSSTPLLSVRRRRRASKGAIAHVTPMSSSLAMPEEGYVVEGSYGRRSCAVRDARGEAVAAVSRKDSVGDDVFRLVVRSQLGAALGMGILIAIDVMFRGGNSTTSASSLLRRTCSA >LPERR12G01240.1 pep chromosome:Lperr_V1.4:12:897125:899968:1 gene:LPERR12G01240 transcript:LPERR12G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPDSFAFPLSPTRRQMLFPGLAAVLRRVPPLALALAPLPLPTLRRGSLLPPRAIFSSSSSPPPTEMEAAYKFGPYKIDAREVFHSTQLSYAMVNLRPLLPVKRFADLSSDETSDLWVTAKDVGVRLEQYHKASSLTFAIQDGPEAGQTVPHVHIHVIPRRKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRTLFC >LPERR12G01250.1 pep chromosome:Lperr_V1.4:12:901763:903812:-1 gene:LPERR12G01250 transcript:LPERR12G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTQIHPSNSRGGSGGRGGGQAEQAVVYTVWKRSSMGFQGTDGFSVYDSTGKLAFRVDNYSRRRKAFAGELLLMDGHGTPLLSLRPQMLSLRNRWNCYRAQEESLDITNSPSVPQQVFSMRKTSALQGSDEAEVFMSTRTSDDCQPDASPSPSFRIDGSFRMRSCKIRRSNGEEAARISKKNAGVMSRPVSLGDDVFSLVVRPGVDFAVVMAIVVVMDRICRKPYTPMVCSSGASVVQSGDIIIKSKETCPLKRSSSSFL >LPERR12G01260.1 pep chromosome:Lperr_V1.4:12:904374:909360:1 gene:LPERR12G01260 transcript:LPERR12G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSKYRFGPHEIDERQVFRTTPLSFAIVNLRPTRPGVFQNPRHVKRFADLTPDETCDLCITAKDIGVRIEQYHKASSLTFTIQDGPHSGQTVPHVHIHIVPRRKEDFENNDDKNGMISAKSEILNLDIERKDRTMEEMAQEANEYRALFF >LPERR12G01260.2 pep chromosome:Lperr_V1.4:12:904374:910259:1 gene:LPERR12G01260 transcript:LPERR12G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSKYRFGPHEIDERQVFRTTPLSFAIVNLRPTRPGVFQNPRHVKRFADLTPDETCDLCITAKDIGVRIEQYHKASSLTFTIQDGPHSGQTVPHVHIHIVPRRKEDFENNDDKNGMISAKSEILNLDIERKDRTMEEMAQEANEYRALFF >LPERR12G01260.3 pep chromosome:Lperr_V1.4:12:904374:910259:1 gene:LPERR12G01260 transcript:LPERR12G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSKYRFGPHEIDERQVFRTTPLSFAIVNLRPTRPGVFQNPRHVKRFADLTPDETCDLCITAKDIGVRIEQYHKASSLTFTIQDGPHSGQTVPHVHIHIVPRRKEDFENNDDKNGMISAKSEILNLDIERKDRTMEEMAQEANEYRALFF >LPERR12G01260.4 pep chromosome:Lperr_V1.4:12:904374:907867:1 gene:LPERR12G01260 transcript:LPERR12G01260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSKYRFGPHEIDERQVFRTTPLSFAIVNLRPTRPGVFQNPRHVKRFADLTPDETCDLCITAKDIGVRIEQYHKASSLTFTIQDGPHSGQTVPHVHIHIVPRRKEDFENNDDKNGMISAKSEILNLDIERKDRTMEEMAQEANEYRALFF >LPERR12G01270.1 pep chromosome:Lperr_V1.4:12:908115:910135:-1 gene:LPERR12G01270 transcript:LPERR12G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSKRESARARQDVAAAASRTVYTVWKRSSMGFQGTDGFSVYDGDGSLAFRLDNYSRRRKLFAGDLLLMDGHGSPLLALTPQIISLQDQWNCYRASEECQGKRTRSQQLFSMRKCSIMQSSSREAEVYMSGCTTASDRTGHVPGFWIEGSFWRRSCKIRNSDGEEVARITRKKVGATTLSLTLGEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPLMCSS >LPERR12G01280.1 pep chromosome:Lperr_V1.4:12:917588:918021:-1 gene:LPERR12G01280 transcript:LPERR12G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRDVSISLSMIFLLVLINSSSLQATQGAEKKVEYNYDVPVRRVVYRAAAVMSTEAAYEPFGLCMGCRCCSSSNTSSCVDTNCCYTIDCNIPGKPFGVCAFSPRTCDCGGANNCTNQNP >LPERR12G01290.1 pep chromosome:Lperr_V1.4:12:920770:924820:-1 gene:LPERR12G01290 transcript:LPERR12G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQLQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLPWATRMSIAFGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRIMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSL >LPERR12G01300.1 pep chromosome:Lperr_V1.4:12:927551:927950:1 gene:LPERR12G01300 transcript:LPERR12G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRKEISQQSVEIFYLGISKSYHHRIHKLKLCAIAGTLSDDRNVVKVVNGTPVDHQGPGVCLELVVFLVTQEPYINFLGIQKFIVFQRSPSTYGFSLYYKWSPI >LPERR12G01310.1 pep chromosome:Lperr_V1.4:12:929874:936063:-1 gene:LPERR12G01310 transcript:LPERR12G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGAGSAKLVAACVIGGIVLGASVLALHLAGPVAIPALPPADSLRRRFSRRRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYDSKSFVNGEGAENVQLCLPPRGWNN >LPERR12G01310.2 pep chromosome:Lperr_V1.4:12:931077:936063:-1 gene:LPERR12G01310 transcript:LPERR12G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTGAGSAKLVAACVIGGIVLGASVLALHLAGPVAIPALPPADSLRRRFSRRRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYDSKSFVNGE >LPERR12G01320.1 pep chromosome:Lperr_V1.4:12:937397:939885:-1 gene:LPERR12G01320 transcript:LPERR12G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKSKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIHCLKKKKLYETQIEQLANFQLRVHDQIITLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPVGASADFDEDELEAELEDLEEDELENELPEPPQRTSMVPSARATNSSQPANDMAELTKLQAEMAL >LPERR12G01330.1 pep chromosome:Lperr_V1.4:12:939910:941580:-1 gene:LPERR12G01330 transcript:LPERR12G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKSARCGACNRGLYMGCLFQGKLGQAHSHMVESPEGFLLLDQTTVSRHSIHSHRPSGLVPPLRQCGHGELPALRILTPAGSAGRGLRLRAAVRLGAQAKVKAIITCD >LPERR12G01330.2 pep chromosome:Lperr_V1.4:12:940185:941580:-1 gene:LPERR12G01330 transcript:LPERR12G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKSARCGACNRGLYMGCLFQGKLGQAHSHMVESPEGFLLLDQTTVSRHSIHSHRPSGLVPPLRQCGHGELPALRILTPAGSAGRGLRLRAAVRLGAQAKVKS >LPERR12G01340.1 pep chromosome:Lperr_V1.4:12:960835:963620:1 gene:LPERR12G01340 transcript:LPERR12G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLVSSCLGLYAPVPNSSPAATRLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFGVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASVR >LPERR12G01350.1 pep chromosome:Lperr_V1.4:12:964907:966083:-1 gene:LPERR12G01350 transcript:LPERR12G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRHRGGRFSMAARDEECRSSNGDRINDLVQLAFDRHFIKAMKLQPNTQVPGPQCSQEQRTN >LPERR12G01370.1 pep chromosome:Lperr_V1.4:12:972760:974769:1 gene:LPERR12G01370 transcript:LPERR12G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLALSPDNDSRKEELKKLQEKKEQIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLYLSRHRKLCGKHSFDLEKYLELQKHCKCPLEGHYSHGPKFHEL >LPERR12G01380.1 pep chromosome:Lperr_V1.4:12:981452:982470:-1 gene:LPERR12G01380 transcript:LPERR12G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDGNAMRGASPTGLLHHLRAVVQAKAVRDGFRHILNPRYLNPSRTACALAWMCQHIHMRSMPTASVWPSIKAQNAFFLNR >LPERR12G01390.1 pep chromosome:Lperr_V1.4:12:989566:989916:1 gene:LPERR12G01390 transcript:LPERR12G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSKGGRPELKRCCKSAEAEKEAKNKMKEEAARGEDLEMKRRRLLMEEVERMLSVVPRALFPVPEYEPSDDPFIDELQHLLIDSALSINASTDLVKKMQAEVREELRTKGFIEA >LPERR12G01400.1 pep chromosome:Lperr_V1.4:12:991493:995527:-1 gene:LPERR12G01400 transcript:LPERR12G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHYSSSPLPSPPAKRRCTALAAAVPALVVCSILLPLFFLLGLHRPAAAGYGSEQRAAVVISTELGFSKHKHLDGRMKHKHLRDISIKKIYESDGILGDKSGSRSKFKNLSTKSKEKLKGVFSLVRLKNETRKNKGLHTHGKYQLKDISWRSVDTTIDKKYDQDQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARALYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADNDLPPFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHMDQSNKQKLDDPTFHHFIIFSKNVLAVSTTINSTVMNSKDSGNIIFHLFTDAQNFYAMKHWFDRNSYLEATVHVTDIEDHWKLSKGVDSHDIKLLWPAEEFRVTIRNHSQSFQKQMKTEYISSFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLRNLNMGGKVIGAIQFCEVRLGQLKAYTEEHNSDDNSCVWLSGLNIVELEKWRELDITSRYDQLLQKFQKDSATSFPLKVLLVSLLIFQDLIYPLEDSLMQSGLGHDYGISQTDIKRSATLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFTTECNIH >LPERR12G01410.1 pep chromosome:Lperr_V1.4:12:999548:999745:-1 gene:LPERR12G01410 transcript:LPERR12G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEDSDKSNSGREAAVFRARGGIDEDSDQEAGEGGGGRDARSCSTAPWFLPATGRYCSEASSR >LPERR12G01420.1 pep chromosome:Lperr_V1.4:12:1000292:1002114:-1 gene:LPERR12G01420 transcript:LPERR12G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVVECWCKFLTKLLFAAFWVKNDSFTYLTVLHCAGSRVHILRNFSANTNNKVSNERGYHQRELKPNLVKDDDAIIERIQNSTRELRQGPIGKNLSSVERRKFLISTLLALEDSKEVVYRTLDAWVAFEQAFPLASIKQALVVLEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRVWDNKIAHDLQSVPWRFCRLMLGIYYRNNRLDRLVQLFKNLEAHGRKPPSKDIVRKVEDAYEMLGLTKEKEELLEKYKDLYNEPSSNDRKKGPRFRKAEKNRKAG >LPERR12G01430.1 pep chromosome:Lperr_V1.4:12:1002817:1010987:1 gene:LPERR12G01430 transcript:LPERR12G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHLVIAAAMILLLASSPAVADTAMLGRKGGSVDKKAAGPGRYAVILDAGSTGTRVHVFKFNKKLDLLEIGDDIEVFAKVDPGLSSYAGRPQAAANSILPLLEKANTVVPARLMNKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKYEYKPNWINVLEGSQEGSYMWVAVNYLLDKLGGDYSKTATAGLRLIGDEKANQILEAVRDVVHTKSKFEYNPNWINVLTGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAIPSGTAANAPQVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARAARVEILKRKNGPFSNCMLRGFKGNFVYNGESYDATATAQGADYNKCRKDVMVALNLHAPCKTKNCTFNGVWNGGGGAGQDELYVASSFYYMASDIGFIDREAHSAKSTPAAYKDAAEKVCRLSVQEAKAAYPRARDHAYLCMDLIYQYTLLVDGFGLEARKEITLVEKMKHGEYYIDAAWPLGTAIEAVSPKKKHQEA >LPERR12G01440.1 pep chromosome:Lperr_V1.4:12:1014668:1017132:1 gene:LPERR12G01440 transcript:LPERR12G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAMPALPPGFRFHPTDEELIVHYLMNQSASISCPVPIIAEVNIYKCNPWDLPGKALFGEKEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSDHIGVKKALVFYKGKPPKGTKTDWIMHEYRLTGTSTNNNSSTKRRASSMTMRDCTLLIVYCIFDIVHQLDDWVLCRIHKKSNDFSDQQEQEPEGSTVDQLEEIHENNSSQTPAPADMNNEHSAFHPMMMSMSKSCSLTDLLNTIDCAALSQFLLDGSSESAEPTAPPSPLIYNDPCQSLNYNVNNNMPHAFDARQDHHADGHVKNYNGLRRKRMMACSSGVTSFDDGSSEFLQVTKKPLLPSDSRGSSGFGGGYCNQQLAETTTGFQFQNSSLLSHPFPLNQQLLLNNHLQMQ >LPERR12G01450.1 pep chromosome:Lperr_V1.4:12:1025804:1027267:1 gene:LPERR12G01450 transcript:LPERR12G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETSTAVVKLERDGGLFLPPGFRFSPTGEEVILSYLLKKCLNPSFTSLAIGEVNLNKFEPWELPSKAKMGEKEWYYFCHKGMKYHTGMRANRATKEGYWKATGRDREIFKQPAATNNKNDKQLVGMKKTLVFYMGKAPKGNKTNWVMHEFRLHANLHNDYPNLRLNPKDEWVVCKVFHKKHGDEANKQQQHPSTAVEYSVGTHKNGSSILEPSDDDIFFDPNIFISDPTDSLSAPPSNNNVVYSVSTASATTTTTSTSSQLPNYSLINKSSSAPLQQHVSSWNNMPYQPHVSEGFHGIESSYSLQHQAAMEEALGDVIGDPDFGTVPSYKGLSRSAMAGVSQQRSLGVPPYKLDEDPFLWDWC >LPERR12G01460.1 pep chromosome:Lperr_V1.4:12:1032363:1033463:1 gene:LPERR12G01460 transcript:LPERR12G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKREACTTDANPATPWKGRLRSRHATPPTLPSSYSTRNHKREQDAAQSFNKRAASNKAGKCRSRSVEKRPQQSAPPRRSPRLAGNHPAFVDRVCKSSAVY >LPERR12G01470.1 pep chromosome:Lperr_V1.4:12:1033782:1036145:-1 gene:LPERR12G01470 transcript:LPERR12G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIILSLNIVQKPKSIMFRCKIAQKIKSINARFAAIVKQRSNVNIVVNSLQMHEVVRNERRTFGELSLLGSVEVSKISTRDKEKDSIVSKLLEFNEGDKVLTVSIIGLGGSGKTTLAKHICHDDRIKTHFRATYWVHVSREFNMEKLIGKLFEAITGKKFDLHSQQHIIDVVSDKLRGERFLLVLDDAWHDSQHDWEQFMVYVYNGGSGSKILLTTRDKQVADAVKSRFLFNLAFLSEVDSWNLFQQSSGLVEKDMIAEFIQVGKEIVSKCGGVPLAVKTLAGILSEKKEIHTWRAIRGSNLWNVKDIEDRVFASLKLSYLHLQDHLKPCFTYCSIFPKGSVINKDTLIAQWITHGFINTSNGKRPEDTGSDYFDSLVKDKMVTTLPKNMTRNHTRRCIYLSLINCTEKVNWGLFKNIHAIHVSGGNPSFGKEIKKHRRVCSVILEDIIVSSFPLFILKFEYLGYLRIFETFQKLFQAARICRHFMLQIALLPESIGMLKKLRTLELWRAHDLKNLPQSIGDCRNLQSLHLSSCKRLSEIPNSIGKLEDLRSLVIIYNANLQHIPLESVGKLRNLRMIELECCGNIQDVPSSFAFQQLHTLKLSQSNITMLPQCITLMENLDYIDLGYCLRLEELPKGIVNLKRLEVLHLMGCDKLRCLPSGFGRLTRLKRLGLFVIGCGGDDARISELRNLGQISGDMVIMNIKHSKDADDAENTHLKQNSNIESLALSWGREVEEHTEDYCGN >LPERR12G01480.1 pep chromosome:Lperr_V1.4:12:1036221:1037349:-1 gene:LPERR12G01480 transcript:LPERR12G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGVLKVEGNKLVQLRVCLHNRSDKRFMNSKTYLERLQAGYLQFGIEQWRMTHHFVGFKT >LPERR12G01490.1 pep chromosome:Lperr_V1.4:12:1038887:1039336:-1 gene:LPERR12G01490 transcript:LPERR12G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPAGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFSRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >LPERR12G01500.1 pep chromosome:Lperr_V1.4:12:1039620:1041023:1 gene:LPERR12G01500 transcript:LPERR12G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGRARLPVRRRRGGRSRLSGIRAATAVLLGLVGRWRACWGKRRHWFPWLPPAVEARMTQRQSCCWKQEPLKLLKF >LPERR12G01510.1 pep chromosome:Lperr_V1.4:12:1043002:1045172:-1 gene:LPERR12G01510 transcript:LPERR12G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARTLLLPLAAATLLVASTIFLFAAAGTRWRPADTSFPVPAAAFPSSIPVTADSTRELSFTDENGHPDDPSSISRCDPHHAAIRVFMYDLPPEFHFGLLGWSPPPPDGSSVWPDVSSGAAAAPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADAREADVVFVPFFASLSYNRHSRVVPPEKMSRDKELQEKLVRYLMARPEWKRSGGADHVIVAHHPNSLLYARSSLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHLAKTFVNDSAGFDDRPTLLYFRGAIYRKELPYEDAFDYSKFSIFVRSSDAVKKGYLMRLIRGISEHQWTRMWNRLKEVDKHFEYQHPSQKDDAVQMIWQTLARKVPAIRLKAHRSRRFSRYDRKGK >LPERR12G01520.1 pep chromosome:Lperr_V1.4:12:1057577:1058561:-1 gene:LPERR12G01520 transcript:LPERR12G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGMIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAVANSTNSISSANNRHPIFATGSSNNQPEITQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTTSPSPPPPPLQHYDVGYDDVKPAVENPLMSFDCMSGTGGGEMDCFDVYHHHQHQPASSFMDQYGGYGGYGEESKQMMNHQAPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >LPERR12G01530.1 pep chromosome:Lperr_V1.4:12:1066254:1068852:1 gene:LPERR12G01530 transcript:LPERR12G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSINSTGNPFPCVFRKSRKSRNLNLGGFSLPTATTSTHTEAFRIFAATWNVAGQTPDMELNLNDLLPSDDNSDIYVLGFQEVVPLNAGNVLVIEDNAPAARWLALINQALNRPPSPSDASAATSQASESFSFSHKSPLREVRRGRRLKSCTCPAPAAKTERRRRKPPPSCLMMSCSSNNIRHAVDGDTTTSDSDDEEEEKNGSRLAWADDNAATAASRQRRRWWLVACKQMVGLFVTVWARRELLPHIGHVRFSCVGRGAMGYLANKGCISVSMTVHETRVCLVCSHLASGEKEGDELRRNSDVMEIIKNTRFRRLCKSSGRRTPATILDHDRVIWLGDLNYRIALGYSETKKLVEANDWDALFDKDQLRIERESGVFRGWNEGKILFPPTYKYSCNSDIYAGEFDTSKKKRRTPAWCDRILWYGEGIEQVSYIRGESKFSDHRPVCAVFNVEVSVLDDNRIVKAANMKVGAEELLPGCTM >LPERR12G01540.1 pep chromosome:Lperr_V1.4:12:1069458:1072498:1 gene:LPERR12G01540 transcript:LPERR12G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAYPIQLLHRQPIDCGGDGQWRNLGAAHAAVRLLRPQGTSLVLYSGPDQRRIVFAYPILAGDVFERLDGETLYWEDPDCGDQVALCFADEAACAAVSGAVSPATTNALPSGLDGLAERLAGMRVAREDAPPTEDDDIAVRLAGLSIGRRT >LPERR12G01550.1 pep chromosome:Lperr_V1.4:12:1070627:1073563:-1 gene:LPERR12G01550 transcript:LPERR12G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQPCSRSAGGGEEEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKLEAALPPAMQRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRAAFYMAYALYYEKHKMFEDAEKMYCLGTHNLAEPIGELQKAHEQFIHRMELYKRRKQRVQQERMANNVKSIATSTNQVEGQSRSCTELKSNPVQRSGNGCNPHLGFQHPLGRTLSRGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPRFDKEPSAFEIFVDEDEPNRSDPSILQHNMKQENPKLSQQASTFEIFVDEDDPNYNNQKIAQHKNVSKENTKVNQKASGFEIFIDENEPHGNGGNTMCHKSTRCPPKPFSDSRQRANFDFQKPFVGGFAILHDDEDEQFEENDNGVKINSGTVQLSRDKGTPQYSRQSGDSHPAISGLREDTVVHRFVGSAVVGEPKVENACHHGLIEPTVNLKEAMDDINSMFGRPLNFKGDRPKNKKTTALSEKKAAPPSGFSILADDDPEENPAAQVKQSDSCKSECQSDLFEPTITTRDVMTEINDMFGMPLDF >LPERR12G01560.1 pep chromosome:Lperr_V1.4:12:1074010:1091332:-1 gene:LPERR12G01560 transcript:LPERR12G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPGEDERTAPLLEPKPVDGAGVSSNKQENEDAGEEEVGSLGRRLVEENKKLWVVAAPSICARFATFGVTVISQAFIGHIGPTELAGYAIVSTVLMRLSTGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFCCAVLLTPIYLFTTPLLIALGQDPKISAMAGTISLWYIPVMFSLVWSFTLQMYLQSQSKNMIVTYLAFLNLGLHLFMSWLLTVKFHFGLVGVLSSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIIKLSLSSGVMLCVEMWYNTVLVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLSATGVRVANELGAGSARRAKFAIFNVVASSFLIGFVLFVLFLIFRGSLAYIFTESKAVADAVADLSPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNLASYYLIGIPIGATLGYVIGFQVKGIWIGMLLGTLVQTLVLIFITFRTDWEKQVEIAQARWWLACGYKGREKKRCLSTSKMERPVGDDERTAPLLEPKPVATNGAGDSSKQEENAEEIGSLGRRLLEENKKLWVVAAPSICARFASFGVTVISLAFIGHIGPTELAGFAIVSTVLMRFSTGIILGMASALETLCGQSYGAKQYHMLGIYLQSSWIVLLCCAILLTPIYLFTTSLLITLGQDPKVSAMAGTISLWYIPVMFSQVWSFTLQMYLQAQSKNMIVTYLALLNLGLHLFLSWLLTIKFHFGLVGVLSSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSTALTNLGAIVKLSLCSGVMLCVEIWYNTVLVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLCATGVRVANELGAGSARRAKFAIFNVVTTSFSIGFVLFVLFLIFRGSLAYIFTESKAVADAVADLSPLLAFSILLNSVQPVLSGVAVGSGWQSVVAYVNVTSYYLIGIPIGAILGYVLGFQVKGIWIGMLIGTLVQTLVLLFITLRTDWEKQVEIARERLNQWMCRRGGNDRGPETVKQNSATAPSAAVQMAAPAVRSVLPVVLLGCGGVGRQLLRHIVSCRPLHANQGVAIRVVGAADSSSLLVAEDLHSNGLDDALLSDLCAAKSAGSPLSSLLARGQCQLFSNPEARRKVLDTASVLGKTTGLVLVDCSATYDTVSMLKDAVDCGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQRISMEDINIESLYPNELGPDAMSTKEFLESGLIQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLQELPKNSALGRLRGSDNAVEIYSRCYENAPLVIQGAGAGNDTTADGVLADIVDLQDLFLKTA >LPERR12G01570.1 pep chromosome:Lperr_V1.4:12:1100271:1103614:-1 gene:LPERR12G01570 transcript:LPERR12G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDDNDDDRTVPLLEPTHGNKQENEDAEEEEIGSLGRRLLEENKKLWVVAGPSICARFSTFGVTVVSQAFIGHIGATELAAYAIVSTVLMRFSCGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFICAILLTPIYLFTTPLLIALGQDPKISAMAGFISLWYIPVMMSYVWGFTLQMYLQAQSKNMIVTYLALLNLGLHLFLSWLLTVKFHLGLAGVLGSMVIAYWIPILGQLAFVFFGGCPQTWTGFSSAAFTDLGAIIKLSLSSGVMLCVELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISLGFLAATGVRVANELGAGSARRAKFSIFNVVTTSFLIGCVLFVIFLICRGSLAYIFTESKAVADAVADLSPLLAFSILLNSVQPVLSGVAVGSGWQSLVAKVNVTSYYLIGIPIGAILGYVLGFEVKGIWIGMLLGTLVQTLVLLFITFRTDWDKQVEIARERLNRWYMDENGRQRNSRENP >LPERR12G01580.1 pep chromosome:Lperr_V1.4:12:1105703:1118067:-1 gene:LPERR12G01580 transcript:LPERR12G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQGVTTTNRFCQTNKFRGLDCWGHNILERNYKPMLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLVSTSYLQPLLLWTGATLVCRALDPLVLPSAASQAVKTRLVTFVRSLSTVLATAYILTSLIQQLQKFLVDMRNPNDSRSMGFDFTVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGTIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREEHKVKTVTSAEAKSASPDDASLSNNSGKHEQKKSVPEDDHMKNSKNDHVTPTSPSSPWSENMDPVASTPKTGKGKTQGAEATEREGEGSFSVANSKKESRPVFEDNIVLGLALDGSKRTLPIDDGMNPHLSLSETEQETFEAASSLKDKKASSLKDKKDTCI >LPERR12G01580.2 pep chromosome:Lperr_V1.4:12:1110364:1118067:-1 gene:LPERR12G01580 transcript:LPERR12G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQGVTTTNRFCQTNKFRGLDCWGHNILERNYKPMLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLVSTSYLQPLLLWTGATLVCRALDPLVLPSAASQAVKTRLVTFVRSLSTVLATAYILTSLIQQLQKFLVDMRNPNDSRSMGFDFTVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGTIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREEHKVKTVTSAEAKSASPDDASLSNNSGKHEQKKSVPEDDHMKNSKNDHVTPTSPSSPWSENMDPVASTPKTGKGKTQGAEATEREGEGSFSVANSKKESRPVFEDNIVLGLALDGSKRTLPIDDGMNPHLSLSETEQETFEAASSLKDKKASSLKDKKGEEKAD >LPERR12G01590.1 pep chromosome:Lperr_V1.4:12:1119950:1127386:-1 gene:LPERR12G01590 transcript:LPERR12G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLPLVASASAAGSTPATALFVLGDSTASCAATTLPLNLSLTSSSGKCLFPSTHRLLPDLLAAKMGLPPPPLISTLNGTAAEAAKGVNFAGEDDGRGAGGGMIFRMGAAVGQQLRLATETLQLLRLENAATPRDAEAAAASAVFVVSFGTDAYARLLSRGADADASAPKHGRRGFGRLLADRVARAVAELYEAGARRMAVMGVTPVGCTPRVMWEGLHLVDGRSCVDEANELVEGYNARLTARLNMLRTRLSSADIVFCDMYKGMMEIINHPARYGFDEVRKACCGLGPFGGTIGCLTKEMVCPTPERHVWWDLYSPTETATNLLANWSWCELEPAAAATSSLIPPRILSHRVGRWVGSLRGDS >LPERR12G01600.1 pep chromosome:Lperr_V1.4:12:1127496:1132429:1 gene:LPERR12G01600 transcript:LPERR12G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSERYEPDMQPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQDALKELWRLDYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFISLENLIYFARNYADSFHRLLHKADGKRAEWEYPFAVAGNYSLYLFHFFVGKMTTKASSQFVQQLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKSYTGAAFK >LPERR12G01600.2 pep chromosome:Lperr_V1.4:12:1127516:1132429:1 gene:LPERR12G01600 transcript:LPERR12G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSEPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQYVSLNHEELLPMNVVGLLHKADGKRAEWEYPFAVAGNYSLYLFHFFVGKMTTKASSQFVQQLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKSYTGAAFK >LPERR12G01600.3 pep chromosome:Lperr_V1.4:12:1127496:1130969:1 gene:LPERR12G01600 transcript:LPERR12G01600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSERYEPDMQPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQDALKELWRLDYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFISLENLIYFARNYADSFHRLLHKADGKRAEWEYPFAVAGNYSLYLFHFFVGKMTTKASSQFVQQLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKRVTI >LPERR12G01600.4 pep chromosome:Lperr_V1.4:12:1127496:1132429:1 gene:LPERR12G01600 transcript:LPERR12G01600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSERYEPDMQPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQYVSLNHEELLPMNVVGLLHKADGKRAEWEYPFAVAGNYSLYLFHFFVGKMTTKASSQFVQQLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKSYTGAAFK >LPERR12G01600.5 pep chromosome:Lperr_V1.4:12:1127496:1130969:1 gene:LPERR12G01600 transcript:LPERR12G01600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSERYEPDMQPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQDALKELWRLDYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFISLENLIYFARNYADSFHRLLHKADGKRAEWEYPFAVAGNYSLYLFHFFVGKMTTKASSQFVQQLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKR >LPERR12G01600.6 pep chromosome:Lperr_V1.4:12:1127496:1130969:1 gene:LPERR12G01600 transcript:LPERR12G01600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVPHTADIDRSEKQMETIIPDSVREPLLGNSVHESKSERYEPDMQPDLWDGKRQEHLGWMHLISSFICRSVRRIGNAISQIGSLLARFFSWSFASHGSNNGQAVLVDLSPLQEGRLRFLRQRLSVPFDSSSVTHQDALKELWRLDYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFISLENLIYFARNYADSFHRLLHKADGKRAEWEYPFAVAGLTEDEMAFDNLFCVAFQMLDAQWLTRQASYMEFNDVLKSTRIQLEQELSIGRISTVKEMPSFRLLKR >LPERR12G01600.7 pep chromosome:Lperr_V1.4:12:1127496:1128272:1 gene:LPERR12G01600 transcript:LPERR12G01600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFREGPLSNQNYWLGLGGSKRRLCRSLLFSDLNPSPCAVDGEGKEQGGNRIGSRSPRERLASTTTRKVNIFVVVTIY >LPERR12G01610.1 pep chromosome:Lperr_V1.4:12:1131552:1135918:-1 gene:LPERR12G01610 transcript:LPERR12G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKISSPDLSQPSSPSSPSSSSSSEDTSSPIPMACKRARRTQKNTTKAKRPKKESAAIKESSDPSANGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGAETQLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQESQVVPALHEEPQDDDQTENAAQELSSSEANTCSDNSEPLAVDDSAECMNEPLLVDGIEESLWSSCLDYELDTMTGDYFSNSMNFSEWFNDEAFEGSMDHLFEGCSSITEGSKDSSGLAEYNLFEECNMLEKDISDREGISPQPNNISCPQKMISVCN >LPERR12G01620.1 pep chromosome:Lperr_V1.4:12:1140435:1143559:-1 gene:LPERR12G01620 transcript:LPERR12G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRNLHPAADDDQRSRKASATAPCPRRSRSVSVVPRARSRAGGIGDYAAGNTRTNPLFDRGAGGDSPSPSPPQLTTKPAAVTRERGREAARKGPCAGGGSGSGRARSVSVAPRRRRPSRENDDGNGGRSASRASSAAGHPQAYRESEAVCQSNNSNDPVQDVIEIPPEFDPDSAEFISDISDYTTEFRKEEIMHIPFEFDPDNADLAADIEHHSSKLHWEQIEIPLEFDPDSAELSPDITEYTTKLKQSHERAQKLRADLAVEEQREQELSRVLKDIVTTPNFTEAPKRRPRRKGSIERLKVSKHLAEEAMNYFEECVSISTLDSTDLSSLEDPHINSVVSVPQKSSNTFFRKGGLSISEPRYRTDRRWHNEESDNQTQCSISLAGSDVSGNHTFDHTMMALISRPRNDSSDDLDGFDTPRSRSSCFSFTHEGTKTVEGHDVQQYLRSFGRGISKELRETRSSYCDDDYVFQKINADLLKDTVTFKNMVDLGGLLICNIRRI >LPERR12G01630.1 pep chromosome:Lperr_V1.4:12:1150257:1151332:1 gene:LPERR12G01630 transcript:LPERR12G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDSTRLCTRRRVLSFLKTKLQLDGDSSFNPEHMEFLIRTTQWKALNAYLLRFNLISPEAASLNRFIAVYRNLDMIGRGGRCADAIIDAFPLLDEAAAAANPIKAMQNLFLDKFRRFTPKDPNLWHQLWESAARKLTEMALRCPELAPKTNLFGMRTVPRLYKRKRAAKSQVQDMASFFEQKR >LPERR12G01640.1 pep chromosome:Lperr_V1.4:12:1153105:1153344:1 gene:LPERR12G01640 transcript:LPERR12G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKALKVMVAAIFVLYLLLTSAAAAAAASPMSGLSHRDAAAGSSRRLLLQSKTAATANTLCAHGGRRPPGCLLNPMHN >LPERR12G01650.1 pep chromosome:Lperr_V1.4:12:1156475:1156714:1 gene:LPERR12G01650 transcript:LPERR12G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKALQRMVAAIFLLHFILTAATATSPSPTAAATATKTFYVNGGGSVSHHQAPANQGPIVNFSSMRRAPQGNPNPSHS >LPERR12G01660.1 pep chromosome:Lperr_V1.4:12:1159781:1160083:1 gene:LPERR12G01660 transcript:LPERR12G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNALQSMVAAIFLLHLLTAATAAEASPTTAGLSRDDNGNVVADAGRKERLFLLPRSRATAMATNTFFHVHQAPAKEGPIVNFSGMKKMPKSSSNPSHS >LPERR12G01670.1 pep chromosome:Lperr_V1.4:12:1163382:1163699:1 gene:LPERR12G01670 transcript:LPERR12G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKALHSMLLAIFLLQILLTEAAASASPTTSLLHDGNNNNGVASSRIRSSRRLLLEKQPRAAMDTNTFRVNGVHQTAPANGKPNVEFDASKKPKPGSGYNPRQN >LPERR12G01680.1 pep chromosome:Lperr_V1.4:12:1164046:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRRGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPVRKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSVLDRMDKDVDDACTQGIQHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQAVMLQERIAAGSDR >LPERR12G01680.2 pep chromosome:Lperr_V1.4:12:1164886:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRRGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSVLDRMDKDVDDACTQGIQHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQVQQSPSVQRQ >LPERR12G01680.3 pep chromosome:Lperr_V1.4:12:1164046:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRRGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPVRKDSGTITKHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQAVMLQERIAAGSDR >LPERR12G01680.4 pep chromosome:Lperr_V1.4:12:1164886:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRRGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPVRKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSVLDRMDKDVDDACTQGIQHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQVQQSPSVQRQ >LPERR12G01680.5 pep chromosome:Lperr_V1.4:12:1164886:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPVRKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSVLDRMDKDVDDACTQGIQHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQVQQSPSVQRQ >LPERR12G01680.6 pep chromosome:Lperr_V1.4:12:1164886:1170819:-1 gene:LPERR12G01680 transcript:LPERR12G01680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAETKAESSGGGSGSFSEQSFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSADKDKKVSFLYLSNDILQNSKRRGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLINIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSASKPVRKDSGTITKHGSSLISDLQGQEAVLKQCIENLESVNMARLTLINKLREALAEQEAKSELLRNQLHVARAKAEHAMRLRQRLAGALSNGAGSSSSPLLVTLPPGQTAAMMQNSSAMPIFPQFQPLHPATSLRAMSSAIGDEPKKTAAAMADKLASMPASAELLSIFSSFAAEQAKDGGSQPGELSAGPPGFESNKKPRLENPILASDMGASPFLGGTQPLIQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGLGPFGMVSGSVPPPPPLPNIISAGFPRPSGPLPPPLLTQSQNQSQPQQQSPQAPQQSPTSTGFFQPPGTAFFPPVQVQQSPSVQRQ >LPERR12G01690.1 pep chromosome:Lperr_V1.4:12:1172940:1173791:-1 gene:LPERR12G01690 transcript:LPERR12G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLLLPRRPHATAPRPPSPAPAAVLFRPHPLLRRHSSRPDLRCRRRLLTARGDHQAPPPDDELGSGGGFDTAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLRLQDDDSSRPFCRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQQLYRLQTLTGSHNGDGVPTIVFSASSDSDSRVKLPTLSATEQHLAALQNTREYI >LPERR12G01700.1 pep chromosome:Lperr_V1.4:12:1174362:1176544:1 gene:LPERR12G01700 transcript:LPERR12G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPQSERDFHALFAAISGGKNPATNQQLFNDVLLKINKELSYLQFELRACMNQYDGTVYYGVVNNIADEESKLGSKYSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIESQVVIADSSQDTQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWFRSNDIPSCEVCNEVGIKATTCPNEGCNVRIHDYCLKKKFSQRKASRACHGCGAEWPLLEGEDDGTEDVNEPEEDQVPSANHHSRTRRRGIKSELLEENERTGSSTRTTRRSLRSAKAEAVEIAQVAASAGPSQSTRASKRRKN >LPERR12G01710.1 pep chromosome:Lperr_V1.4:12:1178425:1179225:-1 gene:LPERR12G01710 transcript:LPERR12G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPTSPPPPPEHAVAAATETTPLHPSFRRPPPPSPGTYIVQIPKDQVLRVPPPDRARLYKKLAARPLRRRRLRRACCAAFCVFLLLATLAAAFATAVYLIFRPRSPSFSISSLSIRGLDATTQIDAAVRADNGGNKKAGIEYLDGGEIAVSYAGDRLAAGPWPAFRQEARNVTVFTAALVGEGVSFTDEQRKRLAAGAVAMTVEAIVPVRVRFGKVLRTWTVDVKARCDVTVDKLAGNATAASAGNRGCSVKVRPFWWWW >LPERR12G01720.1 pep chromosome:Lperr_V1.4:12:1180419:1183463:1 gene:LPERR12G01720 transcript:LPERR12G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTLKEAARLSVLSSNWRQAWMFHPNLYFDIKTILGTNAKRKGTSSNVNCTISNVKKFIKRVDAILQKHRGTMVNKFSVKFGLKNEHANHVNGWVAFAMASKAKVITLDFSPDWESHENNYDFPCHIFNKHNGSYLEALRLDSVTLNPPLDFCGFANLKMLSLDHVRLQHLQHLISKCHVLEWLSIQSCGQLHNLQVSEALCRLQYLSILGCHLEKMELHAPNLTTFEYEGSLAFVTLNECSNLKTSTIKLHVEKTLKSILTGIPSVLPHVETLHVKVYVETQMSGFTKSPLKFSQLKHLTMDIYFESGPFGGNTVFQLAYLLEAAPFLEDLCLDMYCGLCCPLDLNDIVDHPHHHLKMVCISGFCGSTGQVELAKYILKNAIMLEKMVINIKGKYSSDGYFGREEAEEKLVPEDRNGVLRIM >LPERR12G01730.1 pep chromosome:Lperr_V1.4:12:1184917:1186665:1 gene:LPERR12G01730 transcript:LPERR12G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLGRAESEPETATGIVRPGPVCEDGVKRAFILDGSNHSDGSIYRGDDFIRSPIPQREAMMLSNPTNCQPDMWDCHMHSGQFMMQIVSLKLSNITAAVDGPVHLYGYFAVRDSLDLLRNYIFNRTRDDPFIMGQGNGIDSDNSLIPMSGPKRGIGNQACALIEFDMKIKNGETQEDDFQLIDGAIISGEFAMPNRVFTQRIEGDCGAVNIRRVLLHSAVEATIQVSISQVHGNGFSLSLYSCIGGISERIQLFDGVISKPCDLNRFVVAIVPNSPLFLFFKGDQRDGSDHFCSYRLFKARKHGYDMEELKLGCAYILVKVSWSTLN >LPERR12G01740.1 pep chromosome:Lperr_V1.4:12:1187179:1187918:-1 gene:LPERR12G01740 transcript:LPERR12G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVIHSKRQICKYTCLSVKIVNLPIHVSTASGRRKKALCSALLLPLPLCLRAAGASPAKSENTHPRRPVHSTTERIPRQASGAYGGRRGLSMLHSLKAEHTETIVGVSFPRPRGIPFKKRCPPLERNPRRRIVSATLSRRELQGG >LPERR12G01750.1 pep chromosome:Lperr_V1.4:12:1189609:1193774:-1 gene:LPERR12G01750 transcript:LPERR12G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRILHSSSGTKISWMATQTVPDQATQGFQFSVTCRRPAFYLREKLKKIYMAFGENDFMLHIKRLMYSSIRIGYQSASDYPIVFGAGILLLLLHRVCPSLFNFLLSSSPVLLLTALLLGALLSYGEPTALVVEENQQTLSLKSKISVDERSINKVETVAVEQHLDDTTSSNEVYVRETTSKGSVHAIHCEEKNGTYMTVDTVLCEEVYVKDGTSDYDLQDTHREGKNITSIEADTVPCVEPSNFVNRSVTVETEEHVGEYSKKKVERQGPGSIDPETDSNKVQYQYQLGEFMSSCWEPVMRQEPQETCSDSESDLTESSPDASMTDIIPMLEELHPLIDLQIGHPSFASRDNLDTSSDDEDDLEEEDASTDENGSEEKKDDKNSWNNVIELNFLDMDNTSKMESMMDLQRAKNILKFELDKRLMNLKAAETVQKMEEASRFRVHVPSISTSRQNPFDSSNGSDEIIELPYVPDSAPSSLLPRKNLFDVPLDQNVVHDSQLQETWTPHSYFSLRRHRKHANLYERHLTTLHRNRFILEKDEICEKDALDSQLDSEGYSDKLFGSLEAHIGEEIKILGAEISDVGVLEVNYGMDDGNQNVDFTDDISSSPIQSTFKAKDPVHAGIEQLILCSPYKENNSEPHIVEADSIGDLNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGRHAIDGNSDEDLDQQFVQLNDKELSSATSYPACHHEPIQEKSIEALPAGNGHYSGLHHEESLLEVTLESPELSVKNPTTDSLSLHTEQHGSFYIVHDLEKNSVENITVEFDEVHDQVH >LPERR12G01760.1 pep chromosome:Lperr_V1.4:12:1206335:1206907:1 gene:LPERR12G01760 transcript:LPERR12G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHMLDSSSSSPSPWHGQGLPPHRHHLLIPYPSPPPPAPPPPHHLRAAGRRIAKRRPRPSRRLPTTHISADPANFRRMVHQVTGADDLLPPLPPSHSSPQAPELLRQLPATSAGCSAPLNLMLPTLDTSAFLLGFKVRAVAAVAPPSDGSPALVGGGGSANFSNNNSSSSSSGGNCGAGFPTLDSWDLL >LPERR12G01770.1 pep chromosome:Lperr_V1.4:12:1210212:1212333:-1 gene:LPERR12G01770 transcript:LPERR12G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLHVHCSTPPARPTAPLLHSRRLALPPRPASLLPATTAVVHPRKGARLSKLHAAASACCDSASAAAVTTGGGGGGGASGAKDWRLLLAWYLMSLDKHPIATKAVTSAVLTLAGDLICQLAIDKVPELNLKRTFVFTLLGLVLVGPTLHVWYLYLSKLVMINGASGAVARLLLDQVLAANFVALAWNVILSFKAHKEVTVE >LPERR12G01780.1 pep chromosome:Lperr_V1.4:12:1213063:1216356:1 gene:LPERR12G01780 transcript:LPERR12G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVSSLLESDDRLAISNSHTGSSKVDLWVLLDYTRMVWVRKYQIELPVIQIRRFEEGDCWCSHIVSQKGDVLVDGLDWQFHYDLKGNDVAAGPSCRWAHHQQQPPPPTRSRRFARSSASAATRSRCRRACSLSSTLSSSHPPTTFLANSLLLSSPFSLPSAALSLYALLFLGSSPFLRPNAFTYPPLFRAAPPPVALALATHSVKFLGADAASSDRVLGAALLGVFARCGRIVSCRKVFDRIVNPDLPAWNALMSSYARLRDASSSAASTAAEADAILELFGRMLALSVRPNEITLVAVVGACGELGALGHGVWAHTYAVRRRLAVNRIVATALVEMYAGCGRVDLAEQVFDAASDMDTRCYNAMLHGLAVHGHGRDALDLFDRMRGVGVPVDGVTLLSVMCACAHAGLVDEGMEYFHIMETEFRIEPRIEHYGCVVDMLSRAGRLDDAEKLVREMAIAPNAAIYRSLIRACGIHGKLDLGERMVAELRRLEPDDSGNHVLIANFYARMDRWEDAKKARKEMKSMGIDKNAGSSLVDIDGVLHEFLVGDKTHPASKEIYAMVEEIETRLNEFGHRSSTMSVLFDVEEEDKAVTLSYHSERLAIAFALIASTPGAPIRIIKNLRVCTDCHESAKLVSQVYDREIVMRDRTRFHHFRGGECSCGDFW >LPERR12G01790.1 pep chromosome:Lperr_V1.4:12:1218051:1223528:-1 gene:LPERR12G01790 transcript:LPERR12G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSSSSPLQPPRRGRRKFAKEKIFLFLASESARQSKRRGEGLKRRGAMDASALSNLRLQAMLEEEKKKAMVNEIVAKLTDVCWDKCITGSIGSSFSSSEASCLSKCAKRFLEVKAATMQRFGGSSSHTPQQQGDTLKNFSKRNMENLEDEAENGKLPLELKPLRSLAPKFPTVLGYDVETQSTNPLLVYATPCRPCSSTEKPQEDPASFSQPLPNSPVPIKATPISAAFPAVQHKDEYSDEDYKPFCKQKKPTSSKRAKRLQQAEKSNDANVKRRSIRRNLDNEFNLCSSSSGNPKESVEGIMMMFDSLRRRILQLDEKEEASKRADLKAGTLMMKNNIRINNHKMIGHVPGVEVGDIFFFRIEMCIVGLHAPSMGGIDYISSKHKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSHHKEKHDQKLERGNLALMNSKNMENQIRVVRSAQDPFCNSAKIYVYDGLYCIEDSWTDTAKNGFNVFKYRLRRDPGQPDGISLWKMTEKWKANPATREGVILLDLSSKIEDLPVCLVNDVDDVNGPSHFNYVAGVKHLRPLRKTKPLQCCKCPSVCLPGDPNCLCAQQNGGDLPYSSSGLLVKHSPMVYECSSNCQCSHNCRNRVTQRGIRLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMGIDVEEEKYTFRALCPGDKALSWNVGAELLEEKSTSVTYENFKQLPIIIRANNEGNVARFLNHGCSPNLLWQAVQYDHGDDRYPHIMFFAMKHIPPMTELTYDYGTRGAPPGFEGKLFKDCKLRSCLCGSKNCRGLF >LPERR12G01800.1 pep chromosome:Lperr_V1.4:12:1224993:1233134:1 gene:LPERR12G01800 transcript:LPERR12G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPSMGSREASLSTILCFLLLFSLGCKCIASELHATQTAVLKVDASPPLARQIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPEDVELAVSLTSSDGLQNLASVTIPVSGASNWTKLEQKLIAQGTNRTSKLQITTNKKGVVWFDQVSLMPSDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVNTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSTPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMIHPITITSSYSSSLAASAITWQDSENSFLRVKIVNFGSDPVSLTISLTALQAHVNAVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLPNAAEQTQVTLAPHSFSSFDLALTQSKLVAEM >LPERR12G01800.2 pep chromosome:Lperr_V1.4:12:1225040:1233134:1 gene:LPERR12G01800 transcript:LPERR12G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPSMGSREASLSTILCFLLLFSLGCKCIASELHATQTAVLKVDASPPLARQIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPEDVELAVSLTSSDGLQNLASVTIPVSGASNWTKLEQKLIAQGTNRTSKLQITTNKKGVVWFDQVSLMPSDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVNTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSTPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMIHPITITSSYSSSLAASAITWQDSENSFLRVKIVNFGSDPVSLTISLTALQAHVNAVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLPNAAEQTQVTLAPHSFSSFDLALTQSKLVAEM >LPERR12G01810.1 pep chromosome:Lperr_V1.4:12:1234184:1234660:-1 gene:LPERR12G01810 transcript:LPERR12G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSNSNSNNGAVLLAAAASSSQLAAGIDSDLWGARKTVAGNSGVVSVTFCIEALSSDNRSHGSTGFKDYGAITIDLLTANATTTKSKIDTLLGQNATEKKKQCLVSCQAAYAGCCKLSQASSPTCRATSSPMRYRRWRNRPAQCRNARMGLGRAM >LPERR12G01820.1 pep chromosome:Lperr_V1.4:12:1235130:1235637:1 gene:LPERR12G01820 transcript:LPERR12G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSKNGVSGAFLLVAVLLAAASQPQLVTSINSFLYGACKTITGGSGLIGVTFCIDALSSDSRSSNVSNYKEFAIIAVDLLTTNAALPPVMPDGQAAVGDAVKGGRFQEAISSLEKSASAVKECQNGFGKSNVASPLSAENDDAFQLAELIVLLIRDEP >LPERR12G01830.1 pep chromosome:Lperr_V1.4:12:1236059:1242833:-1 gene:LPERR12G01830 transcript:LPERR12G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRTIFCSLFLLSSICCNGLSAEVSLAQTAQIEVDASHSRKIPETLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPQTPSNIDPWSTIGDESSIYITTDSSSCFRQNSVALRIEVLCENCPAGGVGIYNPGFWGMNVEEGKSYNLIMHIRSLEFVELTASLTCSNGSQNLASSSLLAQGTCRTSRLEPTTRKRGVIWLDQVLLMPSETYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGDYLKNAFKWKETIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPVWVVNVAMNCSELLSCNLGMSHHDFVNGTMLAPFVKDAVDSLEFARGSEESTWGSVRAMMGHPEPFPLKYVALGNEDCNIFMPIYRENYPKFYNDIQEAYPDIQIISNCDGSSGPPDHPADLYDYHIYKNASTVFLKKNEFDRTSRNGPKVFVSEYAVSGELDAGNGSLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTPSYWMQTYFRESSGALIHPITINSSYSDLLAASAITWQDTENTFLRVKIVNFGPNAVNLTISSNGLQAGVDTAKSTVTVLTSSNLLDENSFNEPNKVVPVTSELPKAAEEMQTLLRPYSITSFDLALV >LPERR12G01840.1 pep chromosome:Lperr_V1.4:12:1243519:1245833:-1 gene:LPERR12G01840 transcript:LPERR12G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKYMDIRNMGANWDPEGRVVLLSFSNSTTLGLIHFSSKPPSLDAHLLPVELPEISSLIASRGIEKLAWDSSGVRLAFSFKDGNEMYHGLVAVYDVRKSPLVSVSLVGFIRGPGGGAKPLAFAFHNKFNQGPVLSVV >LPERR12G01850.1 pep chromosome:Lperr_V1.4:12:1247019:1247585:-1 gene:LPERR12G01850 transcript:LPERR12G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNGVLFALFLFAVNLAAPHLAATIGSSSPAFLSGACNTVAGDSGGVITAAFCTNSLSSDGRSLNASSYSDLTIVAIDLLTSNATSTKSKIDTLLQNATTATKQCLQSCQAAYAGVLQAQTGVFYNVQAGRFPEAMSALEKSAGSVEKCEDGFGKSNVKPLLTAEDDDAFRLAKLAALLLHQEH >LPERR12G01860.1 pep chromosome:Lperr_V1.4:12:1249446:1256534:1 gene:LPERR12G01860 transcript:LPERR12G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDAYFPTFCSLLLFCFSCLSAELGLAQTALVEVDASWNLSRKIPDTLFGLFFEEINHARAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYITTDSSSCFSQNSVALRMEVLCENCPAAGVGVYNPGFLGMNVEEGKTYNLVMYIRSLESMELTASLTCSDGLQNLASVSIQDIDLSNWTKIEMQLLAQGTCRTSRLELTSMEKGVIWFDQVSLMPSETYKGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLAEDLGASPIWVFNVGINHHDEVDTSIIEPFVKDVLDSLEFARGSTESTWGAVRATMGHPERFPVKYVAIGNEDCGKEFYRGNYIKFYDAIRKAYPDIQMISNCDGSSRPLDHPAGLYDFHVYTSAAKLFIMKNKFDRTSRIGPKVFVSEYAVTEKRDAGKGSLLASLAEAAFLIGLEKNSDIVQMASYAPLFVNYNDRTWNPDAIVFNSWQQYGTPSYWMRTYFRESSGSVIHPITISSSCSDSLAASAITWQDTEDIFLRVKIVNFGPNAANLTISSRGLQAGVNTAKSTVTVLTSGNLLDENSFSEPNKVVPVTSKLPDAAEEMEALLAPYSFTSFDLALDQYKLVAEM >LPERR12G01870.1 pep chromosome:Lperr_V1.4:12:1257027:1260661:-1 gene:LPERR12G01870 transcript:LPERR12G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEMNRDLADALSDDRAKEAYGDVLGMVFSPIPFQPDALVANQEPPAVNEAEPAETVPKTSFVSTIADSFKQLLFPSCDPNLLGEFDTQKVSWNRHKHCLAFVSGKDQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASIKSGVASSSFGAFPRVSGGQWILVDVLHGLGTPIRRGFSTISLVRWSPTGDYLLTAKIWNFSLMGNKYMDIRNMGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDGHLLPVELPEISSLIVSRGIEKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVLVSLVAGAVAGVVHIPLYFVLIEVSLFS >LPERR12G01870.2 pep chromosome:Lperr_V1.4:12:1257184:1260661:-1 gene:LPERR12G01870 transcript:LPERR12G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEMNRDLADALSDDRAKEAYGDVLGMVFSPIPFQPDALVANQEPPAVNEAEPAETVPKTSFVSTIADSFKQLLFPSCDPNLLGEFDTQKVSWNRHKHCLAFVSGKDQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASIKSGVASSSFGAFPRVSGGQWILVDVLHGLGTPIRRGFSTISLVRWSPTGDYLLTAKIWNFSLMGNKYMDIRNMGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDGHLLPVELPEISSLIVSRGIEKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVLVSLVGFIRGPGDGAKPLAFAFHNKFKQGPLLSVV >LPERR12G01880.1 pep chromosome:Lperr_V1.4:12:1264070:1264813:1 gene:LPERR12G01880 transcript:LPERR12G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTCTYSLLSYGMQNDGGVKSKCFNLECLFVQTSNKIALGAFCYPFSTVGGTFYFIPVAIYRDDGPAVWWVSIQEEPIGYFHESAFTVPFIESFHNEMGGHVLNRRQGGKHTLTPMGSGMYPLDGLHNAASISFYLAIAYTGADQVDIPANNIVTHPKCYNVKYYGRDLYRPGIDVTFGGPGGYNCDVN >LPERR12G01890.1 pep chromosome:Lperr_V1.4:12:1272078:1274571:1 gene:LPERR12G01890 transcript:LPERR12G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMSQGTCLDIYWPDHDIEVQHSDRSRYNSSRLGALDDFGNFYSSDFTNQQPLAASDEGVGIKRRLTLDPDGNFRIYSLNSLERTWSISWIAMSQPCRIHGLCGPYGICHYSPTTKCSCPPGYEMINTTNWTEGCRAIVDIKCGVTQRMEFLKLPYTDFWGFDQQRLHGVPYEVCHNICMSDCTCKGFQY >LPERR12G01900.1 pep chromosome:Lperr_V1.4:12:1274647:1281420:1 gene:LPERR12G01900 transcript:LPERR12G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKLPKGSVDMSYAWTSVPQSNLLKSIHDLNCIKRGLKPEEVYAIQEGLKVMTNHFQRYSYKDQGNYKDVLGRGRSGIVYNGVLDDGWKVAIKRLETVWQGEQEFQAMLCVIGRINYMNLVRIWGFCSESSHRMLVSEYIENGSLASVLFNNNILLQWAQRFKIALGVAKGLAYLHHECLEWVIHCDVTPKNIFLDQNLEPKISDFGLAKLLDRGGSNPEMSWVRETIGYIAPEWVSGLPIIAKVDVYSNGVVLFELVSGTRISDLARDEDELMPMVLGNLVLTLVDRLDREDLEWIARLLIKLAISCLEEDTKRRPTMESIAQRRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLRLALDLHGLISQQRNGYASLQLLSRWRHEVGLNIEIGAFLRKYPHIFDVYVHPIKRNECCKLTPKMADLIAEEDAVIRENEPAIVKRLKKLLMLSTDRTLNMHALWLIRRELGLPDDYRCSILQNHQSDFSLCSPDTLTLVTKDENLAVADTKYAFPINFPTGFRIEKGFREKLGNWQRLPYTKAYEKNELHPVRNVDRLEKHIVGILHELLSLTVQKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTPTVLLRESYSKGCLVEPNPVYNVRRKMLGLILAGCRGIDEMDSAIQFSREYNQESSNKVSSGDDLRRLYKLRKPAFVSNGSAPRKKELVTESFIKEQSLSSALYIKKEKWLIRREPLERLSHFRRPFNMEVNLRELILKHPGIFYISTKGSTPTVLLRESYSKGCLVDPNPVYNVRRKMLGLILAGCRGIDEMDSAIQFSGEYNQESSNESLNHMCHTNTLRI >LPERR12G01910.1 pep chromosome:Lperr_V1.4:12:1283725:1285895:1 gene:LPERR12G01910 transcript:LPERR12G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVALCTAATIVIVFLSLSRPSAARDSLLRGASIAVEDHATDLLLSPDGTFAAGFYAVSPTVFTFSVWFARAADRAVVWTATPRLLNSGNLAVEDAVGNVLWQSFDHPTDTLLPMQRLAAGKAMVSSDKLLAGGGFYSFRFNDYSIISLVYDNHEISSVYWPNPFYSYWQNNRNIYYNSTRRQAFIDESGHFLASDNATFDAADHGENVRRRLKLDTDGNLRLYSLELDDVTTSTWTWSVTWMAFANPAGVRLRADTTDWSRGCRPTFTFNSTDRIMKLLPLPHTDFWGFDINKGALLSLRECAARCKSEPSCVAFEYKVGIGECYPKSLMFNGRTFPGLLGTAYVKVPADLDVSNLHVHQWQKNGLAIEEDIVRCGATIDSPEFLLNVSGVSSSSSSNSIWFYFYGFLSAFFVIEVIVIAFGCWFFSSKGVFRPSKIWALDEGYRMVTNHFRAYSYSELQRGTRKFRSEIGRGKHRILVYEYIENGSLAQVLFDRRDYSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGYGSQMSRIRGTRGYLAPEWVSSLPITDKVDVYSYGVVLLELVKGRRISD >LPERR12G01920.1 pep chromosome:Lperr_V1.4:12:1289412:1292712:-1 gene:LPERR12G01920 transcript:LPERR12G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSALREQPDPDAALRLLNSALARDDDFAPGAEVYEEIIRKLGASGADAVELMKVLVSEMRREGHQVKLGVVHSFLDSYARRQLFDDAVDLVLNQLDSLFGIQHDTVMYNHLLNVLVEGSRMKLLETVYSEMGARGIKPDVVTFNTLIKALCRAHQVRTAVLMLEEMSSSGVPPDETTFTTLMQGFVEEGSIEAALRVKTRMLETGCSPTRVTVNVLVNGYCKLGRVDDALGYIQKEIADGFEPDQITYNTFVNGLCQNGHVNHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKEILNQMVDKGCLPDITTFNTLIAALCTGNRLEEALDLARRVTLRGVSPDVYTFNILINALCKVGDPQLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKEMESAGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGIARNAITFNILIDGLCKDKRIDDATELVNQMVSEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGKAGRTQVALKLLRGMRIKGMKPTPKAYNPVIQSLFRRNNTRDALSLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFITAIEIIIEKADLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >LPERR12G01930.1 pep chromosome:Lperr_V1.4:12:1298869:1299352:-1 gene:LPERR12G01930 transcript:LPERR12G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKVVGLLCLLFFVAMSSSHSLLQAEGSQAEKNIVMHKDGCYHNIERNLGDQLPKTHSRCCQTVAGTDVMCICNTFTEADKAKIALSKWVNVAQACGNPLAQGTNCAGYRVPMSTN >LPERR12G01940.1 pep chromosome:Lperr_V1.4:12:1300032:1301637:-1 gene:LPERR12G01940 transcript:LPERR12G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVVGLFYALAFLAMSSSHNHLQAEGCLAAKNIVMHKDGCYNNIEKNLGDQLPKEHSYCCQTVAGADVRCICSTFTEADKAKISLSKWVNVARACDNPLPHGTNCAGRGGSGIVYKGILDDERVVAVKVLRNVSRQSEKEFRSELSVIGRIYHMNLVRMWGCCSQGKHKILVSEYIENGSLAQKLFDKDGSMDMLDWNQRFRIALGVAKWLAYLHSECSESIVHCDMKREIILLDKDLEPKITDFGLSKLLNRDGSDATLTRISGTRGYMALEWVSNLPVTEKLNIYSYGVMLLELLKGIRISEWVIHGIKNCKIDTRMVVRATREKMEPNHIWHLHVFIQ >LPERR12G01950.1 pep chromosome:Lperr_V1.4:12:1302640:1305751:-1 gene:LPERR12G01950 transcript:LPERR12G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDDCARKCLENCACVVFQYKEHMECYLKSFLFNGKTFPGLPGTVYIKVPADFAVPEFHLHQWRRDGLAIDEHVAGCAAVDDVSRKVLLNVSSSLSSRDSGKPVWSYLYGFLSALLVVEIIVIGIGCWLFSSKGLFRHSRVFAIEEGYKLITSNFQRYNYGEIKKATANFTDVIGRGGSGVVYKGILEDERVVAVKVLENVSRQSEDEFQAELSVIGRIYHMNLVRMWGCCSQGKHRILVCEYIENGSLAQKLFDKDGSDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVSNLPVTEKVDVYSYGVILLELMKGIRISEWVINGIKNSEMDTRVVVRVAREKMEHNEGRSIENLVDHRLNGDFNHIQVKIMFGIANSCLEEDRRKRPNMCSVVQALISVDD >LPERR12G01960.1 pep chromosome:Lperr_V1.4:12:1313907:1315782:1 gene:LPERR12G01960 transcript:LPERR12G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASSQEEVAEPQQLHAKPRRPYQVWKGNNKFLCGGRLIFGPDAASLLLSTLLVLGPAIIFCYQMETTIHHSQQQQMRRAAQLIVIITTTADLFFLFMTSARDPGIVPRNTRAPPEADEFLGSNTPSMEWSSGRTPRMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLVNI >LPERR12G01970.1 pep chromosome:Lperr_V1.4:12:1315265:1316574:-1 gene:LPERR12G01970 transcript:LPERR12G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAASVYRRVLKAVHNHVGRGDSKNHFREFVASEFRRPTGTDADARARLRLAGDYAYLLTSVHHHKMEQCDDLGGRYLKQVSQNFTFTVKPLTVTSFARRNLIRGVLPELHSIDGVFEPRNSSASGGALVFLGTIPGSLADVMKRKKRSCI >LPERR12G01980.1 pep chromosome:Lperr_V1.4:12:1324273:1329616:-1 gene:LPERR12G01980 transcript:LPERR12G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTTAKKRATLLLLLLVTAASLPPQIATAAESSPAAAPQGLRQPGHGGGVTLQVDRRQVMVDNGIVQVTVSKPGGHITGVRFNGERNLLHYDGDANSAGYWDVVWNFPGSNHPRGMIDMLDSTEFKVVSSSEDQVELSFRSSYDPSRQNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTGKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEAQFKGEMFLGTHYIGNDIVLNIEEGEYWKKVMGPVFIYLNSSPKRGDLQALWDDAKVHAQAEASKWPYSFLESPDFHKAGQRGSVIGRLLVRDRRRNESGGFPLDLEVHSMIWRERSRFWPGCTDLAGRQGRFRIGARMEGEAAGQMHAHRIGTKKYVSNDDMAAGMAYVGLASPGQPGSWATESKNYQFWTRATPCGRFSINNVREGVYNLYAWVPGFLGDYMYTSQVTVTPGGSIDLGDLVFEPPRSGPTLWEMGVPDRSATEFFIPDPNPKYLNKIFLNKDKYRQYGLWERYAELYPENDPIFNISQSDVSKDWFFAHVPRKQGDVYVPTTRHIWFRLDRVVADGTYTLRIALAAAHMSRMQVQVNGGVVLTTGAAFGDGNAIARHGIHGVQWSLDFAIKGYMLVEGGENVVSITQTRALSPFFGVMYDYIRLEGPSPSWRDPMTRGQ >LPERR12G01990.1 pep chromosome:Lperr_V1.4:12:1332535:1339000:1 gene:LPERR12G01990 transcript:LPERR12G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGKLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTERKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNQPS >LPERR12G01990.2 pep chromosome:Lperr_V1.4:12:1332535:1338716:1 gene:LPERR12G01990 transcript:LPERR12G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGKLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTERKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNQPS >LPERR12G02000.1 pep chromosome:Lperr_V1.4:12:1338441:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPICIQYFVWSEYTLLDGDNYTISYRFIEWLTWTKKSTAIKLSKFMFAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVVASASTSNCKWLSHHFNVLLQGICSGRSWHCANFRGHKNANMIYSFQGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASYRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPRSRADNLDYQETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPKGQRKKLATLVGCNYAGTPNELKGCINDVAAMRDTIIAHFGFSPADITVLTDDHASPLLPTGANIKRVLSDMVARASPGDARTMTDDQTSELMDELSKEQIAEFREAFSLFDKDGDGSITSKELGTVMRSLGQDPTEEELRDMVEDVDADGSGSIDFEEFLSLLARKLRDTEAEDDLREAFRVFDKDQNGFITPDELRHVMTNLGDHVSDEELAEMLREADADGDGQINYNEFVKVMMAKRRQNMMEEHGSGHRSNHSNRGSGCCGPSSSCTIL >LPERR12G02000.2 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPICIQYFVWSEYTLLDGDNYTISYRFIEWLTWTKKSTAIKLSKFMFAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVVASASTSNCKWLSHHFNVLLQGICSGRSWHCANFRGHKNANMIYSFQGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.3 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPVIIFGIFSAFVFNTLFGVSTHYWMAITTRFLIGSLNGLLGPRRAYAIEVCRPEHQAIGLSLPTEKYPSLFPVNSLFGRYPYFLPCLCISVFCFLVLISCIWLPETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEVRVCLCINFSSIDRFINGLDRSIHLALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.4 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPVIIFGIFSAFVFNTLFGVSTHYWMAITTRFLIGSLNGLLGPRRAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEVRVCLCINFSSIDRFINGLDRSIHLALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.5 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPICIQYFVWSEYTLLDGDNYTISYRFIEWLTWTKKSTAIKLSKFMFAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVVASASTSNCKWLSHHFNVLLQGICSGRSWHCANFRGHKNANMIYSFQGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.6 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPICIQYFVWSEYTLLDGDNYTISYRFIEWLTWTKKSTAIKLSKFMFAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIVQGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.7 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPVIIFGIFSAFVFNTLFGVSTHYWMAITTRFLIGSLNGLLGPRRAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIVQGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02000.8 pep chromosome:Lperr_V1.4:12:1341420:1364762:-1 gene:LPERR12G02000 transcript:LPERR12G02000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAASPSLDMAYHESCPGCAVDRAKALNPGVPYLRFFHIWIIILVSCLPISSLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMVADRFGRKPICIQYFVWSEYTLLDGDNYTISYRFIEWLTWTKKSTAIKLSKFMFAYAIEVCRPEHQAIGLSLVSTSWAIGLIIGPAIANRKISKSISCEFFIRKETLHKHAIEKKGCCASESLSTRLVDLEEVDEQRITSSTNKNLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKKFGGLNFSSEDVGQVLAITGASILLYQTFIYPQAVKVLGIINTSRVAIILSMALLISYPPMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVGRQREVVESAAAAPLLEKKDITTGDGYCIEGCPGCAEERRRQAAAPLLEKKGTTGAGDGYNCIEGCPGCAVDRRKAANAGIPYGSFLFVWIDSGAETPLLKGEGEFHPGCPGCVHDRKKGLYRGLPYKEFLYLWMICLTAESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSSSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVDLYTAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGADSLGHKRDNMQRSFQLMGEEAPPSPPAMRPVFHDGCPGCAIERKLESSQGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGNRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVLGPAIGGYFAQPAKQYPKMFSEKSIFARFPYFLPCLCISLIALVVLISCIWLPETLHKHKNIEGEIEMIDNSRSTMEDSHKQKSLYKNWPLMSSIIAYCVFTLHDTAYSEVRVCLCINFSSIDRFINGLDRSIHLALSIPILAVYPFMPHLSGFRLWIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQKRQNAAFFPGDQMIFFILNVIELVGLVLTFKPFLAIPK >LPERR12G02010.1 pep chromosome:Lperr_V1.4:12:1365144:1367026:1 gene:LPERR12G02010 transcript:LPERR12G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEEEEKQRSSVGRWRWLVDVARWRPSPAEFRAAAALLPPHDRPAIDRFVKEDDRKRALVSRLLQYSLVHHALGIPPHRIRINRTLEGKPYLENKIVAFPSFNFNTSHQGEYVGIASEPLCLVGLDIVSVSKPPGETVLEFIKNFTSYLTDHEWNCIVSSGSHDEMLTEFYRYWCLKEAFVKAIGAGVGFGLQRLEFHHKNWTNISLCIDGEEAKKWRFWLCKIDEMHLASIAKGHPDDAIESLKRTFSDVVIQEEELHTSLEIPEEAFTLWTVEQLIQIVQD >LPERR12G02010.2 pep chromosome:Lperr_V1.4:12:1365144:1367093:1 gene:LPERR12G02010 transcript:LPERR12G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEEEEKQRSSVGRWRWLVDVARWRPSPAEFRAAAALLPPHDRPAIDRFVKEDDRKRALVSRLLQYSLVHHALGIPPHRIRINRTLEGKPYLENKIVAFPSFNFNTSHQGEYVGIASEPLCLVGLDIVSVSKPPGETVLEFIKNFTSYLTDHEWNCIVSSGSHDEMLTEFYRYWCLKEAFVKAIGAGVGFGLQRLEFHHKNWTNISLCIDGEEAKKWRFWLCKIDEMHLASIAKGHPDDAIESLKRTFSDVVIQEEELHTSLEIPEEAFTLWTVEQLIQIVQD >LPERR12G02020.1 pep chromosome:Lperr_V1.4:12:1367547:1372008:1 gene:LPERR12G02020 transcript:LPERR12G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGGQLGAARSGGAGPDPPPTLAAATLLSLAPSRAAAVLSSVAVAVAVASFPNPLPLPFPLTASAAVCGIRSRPASSTRIRRRRLGATTTPRRTQRRVAGVGGGGGGQRLPLPPPPPAALAAPLGQRRARCTRLFLPSLSLRPPVPTAPEATTSTAGSGCSDDERDPRPAGNRDARDAHFVRVARPII >LPERR12G02030.1 pep chromosome:Lperr_V1.4:12:1372198:1376282:1 gene:LPERR12G02030 transcript:LPERR12G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKGAGKTVAGDDTDPSPSKSKPPSRGASSNNATSSAAAKQPRSPASKKPSTGPIGDVLGRPMEEVRTTYSIGKELGRGQFGITHLCTHKSTGEKLACKTIAKRKLTTKEDVDDIRREVEIMHHLTGHANIVALHGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAASLLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASDPWPNISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGPKLSDSEIEQLMEAADADGNGMIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKDVITEADSNNDGRIDYSEFVAMMRKGSGCAEASNQKKRRDLVL >LPERR12G02030.2 pep chromosome:Lperr_V1.4:12:1372198:1376282:1 gene:LPERR12G02030 transcript:LPERR12G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKGAGKTVAGDDTDPSPSKSKPPSRGASSNNATSSAAAKQPRSPASKKPSTGPIGDVLGRPMEEVRTTYSIGKELGRGQFGITHLCTHKSTGEKLACKTIAKRKLTTKEDVDDIRREVEIMHHLTGHANIVALHGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAASLLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASDPWPNISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGPKLSDSEIEQLMEAADADGNGMIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKDVITEADSNNDGRIDYSEFVAMMRKGSGCAEASNQKKRRDLVL >LPERR12G02030.3 pep chromosome:Lperr_V1.4:12:1372198:1376282:1 gene:LPERR12G02030 transcript:LPERR12G02030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKGAGKTVAGDDTDPSPSKSKPPSRGASSNNATSSAAAKQPRSPASKKPSTGPIGDVLGRPMEEVRTTYSIGKELGRGQFGITHLCTHKSTGEKLACKTIAKRKLTTKEDVDDIRREVEIMHHLTGHANIVALHGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAASLLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASDPWPNISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGPKLSDSEIEQLMEAADADGNGMIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKDVITEADSNNDGRIDYSEFVAMMRKGSGCAEASNQKKRRDLVL >LPERR12G02040.1 pep chromosome:Lperr_V1.4:12:1376827:1379237:-1 gene:LPERR12G02040 transcript:LPERR12G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTSTASTDGFTHLLSVVSSASISFITDSRPGGISIPTEDVSRVVAGEDGAPPVSAVPPGRLMRVVERAGVGLRVTRMALPLRDTEEENLLDHLEPCLDFIDEGRKVGNVLVHCFAGVSRSATVITAYLMRTEQKSLEEALESLKEVNESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIESYVFEDDPGLPGQPNSSTQNLPSKETQQTAYRCKKCRRVVAVQDNVVSHTPGEGESRFQWHNKRKGGHSYNKEQGCSSLFIEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >LPERR12G02050.1 pep chromosome:Lperr_V1.4:12:1381332:1384124:-1 gene:LPERR12G02050 transcript:LPERR12G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFSMNLRFLAADTLQKLIVLLLLSLFCRFLSSRHASLDWLITLFSLSTLPNTLVMGIPLLRGMYGAGGVDSGGLMVQIVVLQCIIWYTLMLFLFEFRGARMLVMEQFPDGAAASIVSFRVDSDVVSLAGGGAGEVEAEAEVGEDGRMRVTVRKSTSSRSEAAACSHSHQSQSMVMQPPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGGGKQGDEEKGGGGGHSPSPQPVVAGKRKDLHMFVWSSSASPVSDRAAGNVFGGGGGADHGDVKGAQAYDEYSFGNKNDKDGPTLSKLGSNSTAQLRPKDGGEERPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGIIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASLAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >LPERR12G02060.1 pep chromosome:Lperr_V1.4:12:1386324:1390601:-1 gene:LPERR12G02060 transcript:LPERR12G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDSPFDAKAAAEKGDAALRRLRDADPALYHSPSADLAAAAGEASRHLYASLVPFSPAQPPPLSNLLVGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQKQSPSSQPIPRSDSKSADAEEEEEEEEEDGEGEDGEGDELEELEDVDDEEESEEEEEEEKDRLKGKAGNGVEDEFLKMNELEEFLRKGEEEEKLEDFDDEEEEEGAVKDIMYKHFFEEVNDKKVKKGGSKKVQFEDEPHVSEVDDKNDDANVNPDEQELSTHEKAHLKMRAKIEEMEKASLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLSFKAPKEQKELDESKSKKGLAELYEDDYAQKTGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEDAELTQSERKRRRANKKRRYAGSHKERPAKLQKD >LPERR12G02070.1 pep chromosome:Lperr_V1.4:12:1393696:1396958:1 gene:LPERR12G02070 transcript:LPERR12G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSPSNYKHRNGGNNQTIFHSSAHSWHMQGPYTDSSRNGVDMEFRALPRKVICDLPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNINCTIKESLVRYRDVVSSAKKHGIRIRGYVSCVIGCPVEGAIDPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQVSNTLHILPTTLLKTTTEQSEMLCLA >LPERR12G02080.1 pep chromosome:Lperr_V1.4:12:1397822:1399885:-1 gene:LPERR12G02080 transcript:LPERR12G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIKPYVATLLRVLYSAVLEEKSSAAKRAFASSCAAVIKYASPSQAQKLIEDTASLHLGEKNAQLCAAVLVKAYLSNAADVLGGYNAVVIPVIFASRFDDDKDISALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILKSLLKELPGRFWEVITAFKDPGFFNIVFPMLYEVSNRSVICKTRGSSSLAASSSTGQLSISTPHCIPTNAYVG >LPERR12G02090.1 pep chromosome:Lperr_V1.4:12:1400741:1402812:-1 gene:LPERR12G02090 transcript:LPERR12G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSHINKRVKQRPEISLPMLDLWRIYTESTSSTIVRNFCVVYIEMAFERLLREEKGSIAPDLLINISNVPEQHQGIILRLVVKVIGECNAHKVDDAAASKYQSISGSNDGLVFSDFCFQTILYQTPPQGIGCPAGLSVVQSERVTGKLPLKGDTLVSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKALAVNLEDSNLVKRLFTLFNGTASAENIPAEQKVDPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYGTFS >LPERR12G02090.2 pep chromosome:Lperr_V1.4:12:1400741:1402812:-1 gene:LPERR12G02090 transcript:LPERR12G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSHINKRVKQRPEISLPMLDLWRIYTESTSSTIVRNFCVVYIEMAFERLLREEKGSIAPDLLINISNVPEQHQGIILRLVVKVIGECNAHKVDDAAASKYQSISGSNDGLVFSDFCFQTILYQTPPQGIGCPAGLSVVQSERVTGKLPLKGDTLVSRKLGILNVIEAMQLAPEIVYPLYLAAASDSAENIPAEQKVDPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYGTFS >LPERR12G02100.1 pep chromosome:Lperr_V1.4:12:1407906:1411577:1 gene:LPERR12G02100 transcript:LPERR12G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPGGVGPVYDVVRYRINRMRKKLKDELDDRDTIQHYICPNCKRRYSAFDALQLLSYRDDCFHCEHCNRELLESEEFVGDNAKKHSHDKLKDMQKRMEQLKTLIELLDRVKDISFPYFGSLQHWERATINTSTNDAFGSSLNSVTPMPFLGGLEVSVQLERQLGAKSKRDDSVESDDDRIKLKVKQPTGNIGEVYKLDDLNMETQQSSNDNDGIEWQEG >LPERR12G02110.1 pep chromosome:Lperr_V1.4:12:1427655:1429016:-1 gene:LPERR12G02110 transcript:LPERR12G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSLVVPPPQCDVEEVPFTVFDLVAPSYHVTVLFAFSPPNPTIDSLLDALSTTLPHFPILTARIEHEHKGHRRGPCFVTGRGGAGALVVETKVTSSLSDLLPLVPSPDLVGLHPPNNPGGWPPMEHVLMIQINRFACGGLVIAASCNHQAADGFSMNVFFNAWTDAVRHGGTSTEIDRPVPYGPGELSPRHPLRCEFEHHDKEFLPRDGATVARSHDATDAAVRVVVDPSEIDNLVLHYPSEFVAELKRRVHEKYTTFETVTAHVWRKITAVRGLAADTRTSVNVAVSGRGRLGDTGAVPKEGFFGNLILSASSGATVRELMTGTLADATALLRAGIRAVDRQYFLSFIDFGVLHGDDDEPLETATTEYDELGMLSPNIDSDSWLHLELHKLDLGCGGRLAGILPGKIPQDGAVVVMPSLKKDGGVDVFVVLWEKHAKEFANIAYTMD >LPERR12G02120.1 pep chromosome:Lperr_V1.4:12:1430685:1432247:-1 gene:LPERR12G02120 transcript:LPERR12G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSYYSSMIILLPPILYMSYHLTKILTDKKKKPTTHGLKSHPLLGHLPAFVNNSHRFLEWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRNNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYQFSSRSLRKFVVDVVQAELADRFLPLLRRAAGDDDVVDLQEVLQRFGFDTICMVAFGHDPRCLVDGEEEDARSEFMDAFGEAQDLVVGRFLDPIEVSWKIKKWLNVGTERRLKKAIADVHAFAMDIVRARRRQSATPSSCVEHHMDDVLSRFVASDDAHSDEVLRDIVLSFLIAGRETTASALAWFFWLLSSRPHVASRIADEVSAVRKSTGTRRGEAFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDTLPDGTFVGAGWSVTYSAYAMGRLASIWGEDCMEYRPERWLDDGGVFRPESPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFVVDVVKDDVAAGGVPEHVLSITLRMKGGLPVKIRRKPEA >LPERR12G02130.1 pep chromosome:Lperr_V1.4:12:1433079:1435136:1 gene:LPERR12G02130 transcript:LPERR12G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRINGGATVQVKKTFVVPPPQRDTEEVPLTVFDLVAPAYHVTVLFAFSPPNPPTSDLVDALSATLPQFPLLTARLDHHHHAGRLRPCFVTGRGGAGALVVEAEVTSSSSLSDHLPLVPSPELAGLQPPVVETQHVLLVQINRFACGGLVVASSTQRQVADRFSMGIFYNAWADPRVATSQGHGIRVVDPCEIANLLLHFPTEFVAELKRRAQGKYTTFETVTAHVWKSITTVRGLDAGTRTSVSGRGRLGGTAVLPKEGFFGNLVLTASSGTTARELTISTLADVAALVRKGIRAVDRRYFRSFIDFGALHNDDDEEPLEPANMDVPGPNHLA >LPERR12G02140.1 pep chromosome:Lperr_V1.4:12:1437113:1441360:-1 gene:LPERR12G02140 transcript:LPERR12G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAGTGTHAVPRREPEGERFVELVVVRHGETSWNASRIVQGQMDPELNEIGRRQAVVVALRLSREARPAAVYSSDLKRAAETAEIIAKACGVPNVLLNEALRERHMGHLQGLKWDDAVNKNPGVFKGFDIFEIKKELDFDERNYELPGGGESLNQLSERCVSYLNKVAQYHIGERVIAVSHGAAIMELCRHTDPLNNSVRRKIPNTSLNIFRISGATGQWILEKLLPRITPLRRHRRRNRNHSPNQLITPAVAASLADVLATRSTNPTWARSLAALLPTPLSDARLAAAVSSLPDPDLALALLSWSQSPDHHHHHGALHPGATPLAHSALLRLLARSRRFDAVDDTLRSMSLAGAAPTPASLGALVAAYADSGVLGKATEMCERVREMYGLLPEVTHCNQLLRLLVEQRRWDDARKLYDEMLGEENGADNYSTCVLVRGLCLEGRVEEGLRLIEARWGSGCIPHIVFYNVLIDGYCRRGDIGRGLLLLGEMETKGFLPTDVTYGSLINWLGKKGDLEKIGSLFSEMRKRGLPPNVQIYNCVIDALCKCRSAKQALMVLKQMFASGCDPDIVTFNTLISGLCHEGHVRVAEHFLREAIRRELNPNQLSYTPLIHGFCMRKELMAASDLLVEMMGRGHTPDVVTFGALIHGLVVNGKVSEALVVREKMTERQVLPDVNIYNVLISGLCKKHMLPAAKNILEEMLGQNVQPDEFVYATLIDGFIRSDNLVNGLTNSTPCVINSICCNTGEVHDKSAFLVVFKKFVLHIGDPRNSAYNAIIFSLCRHHMLREALDFSNRMSKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFRQEDFEMIFRYKILFDQYVAESVGFEVSRVLQQYLEGKSLQQMEQKFDNS >LPERR12G02150.1 pep chromosome:Lperr_V1.4:12:1441365:1441622:1 gene:LPERR12G02150 transcript:LPERR12G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPQARGTGRYLIDAGNGEGRRRVLDSRGRKHLGAAAAAGELGKTVACICPPDEYCTTSSPNTQQCNISRHQIISEQSVSDQ >LPERR12G02160.1 pep chromosome:Lperr_V1.4:12:1443343:1444251:-1 gene:LPERR12G02160 transcript:LPERR12G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRATHMIPPPASSPSSHGKDFVEVVVVRHGETSANTLRIIQGQMDVELNETGRQQAVMLVLNPALRERHMGDLHGLKFDDAIRSKPDAWKAFSSDDRSQEIPVIL >LPERR12G02170.1 pep chromosome:Lperr_V1.4:12:1447772:1448308:1 gene:LPERR12G02170 transcript:LPERR12G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASISLAPASSPAATFAPGAADVGGAVCLGYGIAIAVGVLVFISTVMLSSYICVRAKAGATAAVLLVDNDGDTAASSAVVVLGLDSHAIDALYPKFVHAIDVDDGECAVAQCAICLGEFADGDALRRGPECGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >LPERR12G02180.1 pep chromosome:Lperr_V1.4:12:1449929:1458026:1 gene:LPERR12G02180 transcript:LPERR12G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVDAVLSSCTFMAADSASPLDVAGIVSVFLPLAPEHRLPAAIDAAHAALLWLRDVTACSKDGNNGDLVDLAVGRLRDEADFSRVFLIGDSSGGNLVHLVAASAAKAGTALHPVRIAGGVLLNPGFAKEEKSRSELDNPPSLFLTEEMVDKLLALGLPVGVNKDSQYTSPVVAAQAVACLKMPPMLLMVAEKDLLHDPQVEYGEAMVRIGKTVETVVSKGSVAHIFYLNFFAVDEMASITTSKADPTKTVIIEEVTGWLRLYSDGTVERLTPPGAEPFNAIIQPYTEPRNGVTVHDITTTKGVDVRLYLHEPAASTPQQRRPVLLHLHGGGFCLSPLYHNFYAPLDSKLDVAGIVSVFLPLAPEHCLPAAINAGHAALLWLRDVACGNSNAHVDPAVGRLRDETDFSRVFLIGDSAGGNLVQLIAASSAKAGTTALHPLRIAGGVLLHPGFAKEEKTRSELENPPSLFLTEEMVDKLLTLGLPVGINKDSQYTSPVLAAEAVAYLKMPPMLLMVAEKDLLHDRQVEYGEAMVRVGKTVETLVSRGSVVHIFYLNFFAVESDPLTAERTRELIDAIKTFINRH >LPERR12G02190.1 pep chromosome:Lperr_V1.4:12:1460214:1461323:1 gene:LPERR12G02190 transcript:LPERR12G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPQTAVTNEQQDATKPARTLVESVTNWIHVYSDGSVDRLGPPEAAPFMSIVPPYDEPRDGITVHDVATDRGVDVRLYLTATATATSPSGRRRRPVLLHFHGGAFCVSHAAWALYHNFYARLAVELDAAAVVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASSSGDCDSVARHPAALEHLRDAADFSRVFLIGDSAGGVLVHNVAARAFAAGDGAETLDPIRLAGGVLLHPGFITPEKTRSELENPPTPFMTQETVDKFVMLALPAGSTSRDHPYTSPAAAVRAVEGVKLPPMLVMVAEEDLLHDAQVEYGEAMARAGKEVETVVSRGRGIGHVFYLNWFAVESDPVAAARARELVDAVKSFVDRH >LPERR12G02200.1 pep chromosome:Lperr_V1.4:12:1461412:1462010:1 gene:LPERR12G02200 transcript:LPERR12G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVFDRVPPKDTENLIHHADLYGGEPNDLAGMFAPVERCDNGDRFFFTSCKQHNESERKAGDGTWVRLSNMEVKNDEGVKVGETQSFRFKKDGMYTEWLMEEHHCAVQQAVASEEEPVICRMYML >LPERR12G02210.1 pep chromosome:Lperr_V1.4:12:1463180:1465435:-1 gene:LPERR12G02210 transcript:LPERR12G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLISHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKIGYKYLEDGTKVRFARGMNASAGPKDTPIELALEKTYDAKAGIGMPDL >LPERR12G02220.1 pep chromosome:Lperr_V1.4:12:1466211:1467539:1 gene:LPERR12G02220 transcript:LPERR12G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKRTSPQQPPTLLPTNCSTQFLYARSPTTGGVITPRKPHQSSHSSYLAQMGGGTGDNNVAKPPSTTATSMDVTSPSPPSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCATLAVALVRVLGVVAVPTSPPMTPHLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDTFRRASMLNMLGISAGVAVAAYGEARFDAFGVALQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAAAGGGAGVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTAVNLVGYGIAFLGVAYYNHAKLQGLKAREAERKAAASSMAMAKEGDAEASARLLPEKDGGGDQKN >LPERR12G02230.1 pep chromosome:Lperr_V1.4:12:1469414:1472818:1 gene:LPERR12G02230 transcript:LPERR12G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSIEPAKSEEHLEFDDDEEEVEEEEIEYEEIEEEVEEEEEVEEDDDVMEEVEEVDDEEEEESDENEDKSNTKGAHQKDDTEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPIGEVVEVRMMRGKDDSRGYAFVAFRTRGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPNSWTEDDFRKAVEEVGLGVVKADLMKVKSVYVKNLPKNVTQAQLKKLFEHHGKITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGEMSSCLVTFHYTLFGFFSAFRVTRISLTGQVLDCSLAKPPAADKKDEKVALPTSTGGPLLPSYPPLGYGIMSAPSAYGAVATSTVQPMLYAPAAPPGAAMVPMMLPDGRLVYVVQQSGGQLPLASPPPQQVGRHSGSFSGRRHGDSGSGGCHGGGSGGSSSSRPGAKRQRGDDHSSSRNKGRRRPY >LPERR12G02230.2 pep chromosome:Lperr_V1.4:12:1469422:1472818:1 gene:LPERR12G02230 transcript:LPERR12G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSIEPAKSEEHLEFDDDEEEVEEEEIEYEEIEEEVEEEEEVEEDDDVMEEVEEVDDEEEEESDENEDKSNTKGAHQKDDTEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPIGEVVEVRMMRGKDDSRGYAFVAFRTRGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPNSWTEDDFRKAVEEVGLGVVKADLMKVKSVYVKNLPKNVTQAQLKKLFEHHGKITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGEMSSCLVTFHYTLFGFFSAFRVTRISLTGQVLDCSLAKPPAADKKDEKVALPTSTGGPLLPSYPPLGYGIMSAPSAYGAVATSTVQPMLYAPAAPPGAAMVPMMLPDGRLVYVVQQSGGQLPLASPPPQQVGRHSGSFSGRRHGDSGSGGCHGGGSGGSSSSRPGAKRQRGDDHSSSRNKGRRRPY >LPERR12G02240.1 pep chromosome:Lperr_V1.4:12:1474123:1474803:1 gene:LPERR12G02240 transcript:LPERR12G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEIELSSQMMFSNPEPHISLDDFLASIRTTCTHTHTCNPPGPSATAHTHTCYHTHTRVFSSDDDSCGGNKAKPKKTRKPLGNREAVRKYRQKKKAHTAHLEEKVKKLHAINQQLVKKLQGQAALEAEVTRLRTLLVDVRAKIDGALGSYPFQSQCGDANVSSCDGMSQCFAGKPVNCHISPDSGQNLVVPHALSPSDAVGSFMVSSNTRAE >LPERR12G02250.1 pep chromosome:Lperr_V1.4:12:1475193:1481143:-1 gene:LPERR12G02250 transcript:LPERR12G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSAILLPFSPPLPQARGSNLVGRDGALFSQAGHCARAARRLLPQPSRDCQFATAQYGGSSSNGAAATGPMAAGGSGSLAVAAAVLSPSLQSVCAAHQFITS >LPERR12G02250.2 pep chromosome:Lperr_V1.4:12:1475488:1480787:-1 gene:LPERR12G02250 transcript:LPERR12G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGRDGALFSQAGHCARAARRLLPQPSRDCQFATAQYGGSSSNGAAATGPMAAGGSGSLAVAAAVLSPSLRLSGTETELSWLS >LPERR12G02250.3 pep chromosome:Lperr_V1.4:12:1475488:1481143:-1 gene:LPERR12G02250 transcript:LPERR12G02250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSAILLPFSPPLPQVDGRDGALFSQAGHCARAARRLLPQPSRDCQFATAQYGGSSSNGAAATGPMAAGGSGSLAVAAAVLSPSLRLSGTETELSWLS >LPERR12G02260.1 pep chromosome:Lperr_V1.4:12:1475535:1475798:1 gene:LPERR12G02260 transcript:LPERR12G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQQQQRQESRSHRRPWDRSPPRRCWMSRRIGRWQTGNLEMAEEEDDEQHERSDQLGRRALHHVLLGCFLLPVQSSDLRAEMMIH >LPERR12G02270.1 pep chromosome:Lperr_V1.4:12:1481950:1483710:-1 gene:LPERR12G02270 transcript:LPERR12G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLATSAFRRGANDGGVLAAVRAEIAHELSTSSPSPSSSSPSLESQDIPDFSTVSDAPCGQEVILRRRDASEEVLLSALLAPLQFQGEEEPLPRDALMKVFVSKPGVKPVLQFDCRAFAGEDDNDAAADYDVTAVRYHSFAGDAGRDKYEGPEFRVLDPQLQVALKGYLLARGVNSKLASSLLHHLVEKERWQYVNWLKTLEEIFRKDH >LPERR12G02280.1 pep chromosome:Lperr_V1.4:12:1484898:1491481:1 gene:LPERR12G02280 transcript:LPERR12G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLEKYLDEHFYLPPKNPSEEAQRRWRRAVGKIVKNPSRRFRMVPNLDRRSEDKAKVRSTQEKIRVTLYVQQAAFNFIDGLKKKEFHLTEDVIKAGFSISPDELALITSKHDSKVLKMHGGVDGISKKIRSTFDRGICASDLDTRQNIYGVNRYEEKPSRSFWMFVWDALQDMTLIILMVCALLSIAVGLATEGWPKGMYDGLGIILSILLVVMVTAVSDYRQSLQFKELDNEKKKIFIHVTRDGYRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSFLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFAVLTFLVLLVRFLVDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIADVSKSVTGNNNLEDLSSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEYGLSLEGDRGAENRSCAKVKVEPFNSDKKKMAVLVSLPNGTSRWFSKGASEIVLQMCDMVVDGDGNGIPLSEAQRKNILDTINSFASDALRTLCLAYREVDEIDDNADSPTSGFTLVAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMWRNIMGQSLYQLVVLGALMFGGERLLNIKGPDSKTIINTLIFNSFVFCQVFNEINSREMQKINVFRGILSNWLFIAIIALTVAFQVVIIVFLGTFASTVPLNWHHWLVSVGLGSISLIVGVILKCIPVGSGENSATPNGYRPLANGPDDI >LPERR12G02280.2 pep chromosome:Lperr_V1.4:12:1484898:1491207:1 gene:LPERR12G02280 transcript:LPERR12G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLEKYLDEHFYLPPKNPSEEAQRRWRRAVGKIVKNPSRRFRMVPNLDRRSEDKAKVRSTQEKIRVTLYVQQAAFNFIDGLKKKEFHLTEDVIKAGFSISPDELALITSKHDSKVLKMHGGVDGISKKIRSTFDRGICASDLDTRQNIYGVNRYEEKPSRSFWMFVWDALQDMTLIILMVCALLSIAVGLATEGWPKGMYDGLGIILSILLVVMVTAVSDYRQSLQFKELDNEKKKIFIHVTRDGYRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSFLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFAVLTFLVLLVRFLVDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWIADVSKSVTGNNNLEDLSSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEYGLSLEGDRGAENRSCAKVKVEPFNSDKKKMAVLVSLPNGTSRWFSKGASEIVLQMCDMVVDGDGNGIPLSEAQRKNILDTINSFASDALRTLCLAYREVDEIDDNADSPTSGFTLVAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMWRNIMGQSLYQLVVLGALMFGGERLLNIKGPDSKTIINTLIFNSFVFCQVFNEINSREMQKINVFRGILSNWLFIAIIALTVAFQVVIIVFLGTFASTVPLNWHHWLVSVGLGSISLIVGVILKCIPVGSGENSATPNGYRPLANGPDDI >LPERR12G02290.1 pep chromosome:Lperr_V1.4:12:1491509:1492732:-1 gene:LPERR12G02290 transcript:LPERR12G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVPPGFRFEPTHEELISDYLNHWITGRPIEELHGIVVKADVYGTDPATLTQAHEEYAHVGKTTKAWYFLSVAKRKGNKRGNAGRNNRCIDGDLGNWHNSQRRKAVHGFGERQAFEYRTGEHNKDKTEWLMEELMSNLPAAITDEGTMVICKVYKSPRKNKGDENVVGKKRLRLGQQHESAADETSDQAATASTEFYCPTTTYTSGHASNAVNYNDYSADFATIKPEDYDNNNYDNFAINTQAADYDAGCYNDFLINTDMAEPAYDAGYYGDFPMNTGATEPGYDAGYYGEAGIGVGAEMVPLAMQSSNGEMTYFVANQMYGQGNGVTSIEEARQESEMPLAIQDSNGENTNFAPMYGHDHGVGGSNEMRRESRVEDDPSMDAFLDSLFADVGKDDPNPNPDGHP >LPERR12G02300.1 pep chromosome:Lperr_V1.4:12:1500028:1502263:-1 gene:LPERR12G02300 transcript:LPERR12G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFISVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYETFMLTVLPFLIA >LPERR12G02310.1 pep chromosome:Lperr_V1.4:12:1502969:1503946:1 gene:LPERR12G02310 transcript:LPERR12G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKMDDPSVSTYPAQRPRDISTTPPHAPRRPEQSTDTTPLGYLPPKRAAHRSPPRNGRRGEGVHAAPNPSYCRRRFLSRRSIHGRAEVLRRARARARRHRPRRRVHRHRALQAPPRPQHPHRRKEPGERSIFGI >LPERR12G02320.1 pep chromosome:Lperr_V1.4:12:1503220:1504780:-1 gene:LPERR12G02320 transcript:LPERR12G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKATALSDRSYSLQEAKERGRERTVACVLLDLLGFTLTCGPDPSNALFPRVVVLLGRVAFLSPLTLVLRNPPLHFIHSSMLSIANAAMAARTVSSASTCRRCLPAQAAAASSKPSTSSYPGTDVLVVAPSKRGSLVSKGAIRGAKLEAAARCSLLRGRPLLLATVSVGSLVAAGAANATEIGDSLVRKHYVPLIFYFFLADHKCTGARGTNRFLPAMRMLRSGRSLESAVAVDPPTRPVPPSTSTRAPEDLCASVDGAAAEEATAAVGRVGSGVHALTTAAIARWTTVRCSLRRQIAEWCGVSALLWSPWCVRWGRGDVAWPLCWVRGNRWVVHFWSHIRLG >LPERR12G02330.1 pep chromosome:Lperr_V1.4:12:1512225:1516851:1 gene:LPERR12G02330 transcript:LPERR12G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEVGNKMQAQMRLQPSVAEEDADLPLPALFEKASHLHSLASSSSLDQEGVRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEKAAQEDRIPVLKASQDHLKEFISICEALEVIPEDELELSRQKQPDTMANRRAQKVARFKRQKAAETKLSEIKERKERRCRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKSFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERRKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHDHKHQPLIFGPASLVGGGLTSERERMSAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSQPAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >LPERR12G02340.1 pep chromosome:Lperr_V1.4:12:1517332:1523846:-1 gene:LPERR12G02340 transcript:LPERR12G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIDEINESKFKGSGLLLHPHVLCEFLMAPSPLSAPEVADEATAHKKIYDQLRQVAETFPTASNGTVIPYRRHPDGWYMITEGLVSAMVVKNHLTALPTDVFLVTFPKAGTTWLKALLYSVFRRGADDLAAHGPHQLVPFLETQLFVNDRIPDLSSLPTPRLLNTHIPSQSLPESIAASGSKVVYLCRDPKDCFVSLWYFQNKFQKRDINESHRQFCDGISLFGPYWEHVLGYWKWHVEKPNQVLFLTYEELTADTLGQLRRLGEFLGRPFTVEEQEAGVDMKIVEACAIKNLSGLEVNRSGTTDIADRAVPNNVFFRRGVVGDWRNHLTPEMAKRIDDISHSKIWLAFASTIFTRERRIKGRLKIIGVLRGLFFMASSLTAEEVDGATTHKEIYDQLRQVVETFPTTLSCMGLYCRHPDGWYQSRKVVLSAMVIKRHLTAHATDIFLVTFPKSGTTWIKALLYSALHHGTDELAVRNPHQLVPFLESQLFINDQIPNLSSLPVPRLLMTHIPSQSLPDSVAASGSKVVYLCRDPKDCFVSLWHFWNRFVQWDINVALQEFCDGVTLFGSYWEHVLGYWKWHIERPSQMLFLTYEELAADTLGQLRRLAEFVGQPFTVEEQEAGVDRKIVEACTIQNLSGLEVNRSGTTNFTEKGVSNNIFFRRGVVGDWRNHLTPEMAKKIDVITESKFQESGLLLHPQFLQAKAE >LPERR12G02350.1 pep chromosome:Lperr_V1.4:12:1523868:1524761:-1 gene:LPERR12G02350 transcript:LPERR12G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLSSAPEVAEAAHKKIYDQLRQVVETFPTVPSGIGIPYSRHPDGWYMTTPGVVSSMVIKNHLMTRATDIFLVTFPKSGTAWIKALLYAALHRGADELVAHSPHQLVPFLESQLFIKDRIPDLSSLPAPRPLNTHIPRQSLPDSVAASGSKVVLMPWDIDEAHRQFCDEHVLGYWRWHVEKPNQVLFMTYEELAADTLGQLRRLAQFVGHPFTAEEQEAGVDRKIVKACAMKNLSGLEVNQSGTTDMIDSTVANNIFFRRGVVGD >LPERR12G02360.1 pep chromosome:Lperr_V1.4:12:1530830:1531381:1 gene:LPERR12G02360 transcript:LPERR12G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVHLFSSPSKREDKVKIKKKISGRNSCSFGSTTSSSSSSDESATTPRSVLPTSMASSSGTKKPAAVTREDLEVALRRIVSSEEELAAMLAVAKEDQDGLVLEEIATAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFSRMMMQGRA >LPERR12G02370.1 pep chromosome:Lperr_V1.4:12:1533186:1539884:-1 gene:LPERR12G02370 transcript:LPERR12G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGFAGVPLSYDAIRQGNDMVRRSGAEAISAWRPTASRGLGSDSERNEYMSKIMYGPKTRDQGMAHHLAQLGPILYECAAHVTEGSFEKTDCSLQKIRKLTSIADGPLQRLSTIIVDSLAHRLLSPIQGFADALIKPSDYFEQSCLRAAWYNFANLSPYLSTGFVTINQAIMEAVEDEKVVHIVDLSCSTTHPWQWIKLLHDFRRRPEGPPELYLTVIHDDGEFLANMQALLSKEAESLEVSFHFISVIGRLETLDFSDIRSTFQIKYGAAVAISCALQMHRLLVIDDNLRSTGIAQLQQMSNFTQIKQMASSVCSPSSTLNYIHYIQTPSPPCHVPKLLARLLSAIRSLKPNIMVIMEQDASHNTLLFHDRFVEVLNYYAALFDSFHAAAAANPRSTDERLRVERMILGEEIKNILVCEGVHRHERHERLDQWAMYMGGSGFDNVPLSFSAIREGKEKLLSFGLKNCQSKEDRCCLLLCWGSTNLYSISAWRPNMGSPSLIREHMLQLRLCCTNRSMFQDDMLSSATSSPASSSVFSPSFSPSNGSWVQELSHDHQSVRLISLLYQCSAEVAAGSFDRANLCLEHITQLSSLDAPHALQRLAAVFADALARKLLNLVPGLSRALLSSSAANSAESHLVTAARRHMFDALPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHESKDFLANMAAVLSKEAEAFDIAFQFNAVEASLDEMDDFDALHRVLGVRSGEALAVSVVLQLHRLLATADDNNGGARRHALTPLQIIARSSPRSFGELLERELNTRLQLSPDTPPHSPLATSTTAPKLGSFLSSVRSLSPKIMVVTEQEASHNGVAFNERFDEALNYYASLFDCLQRSSALSPAERGRVERVLLGEEIRGVVAREGKERRERHERARQWAARMEAAGMERVGISYSAALEAGKLLQSCGWAGPYEVRHDAAGHGFFFFCWHKKPLYAVSSWRPAASMSCCRHTRS >LPERR12G02380.1 pep chromosome:Lperr_V1.4:12:1534207:1536860:1 gene:LPERR12G02380 transcript:LPERR12G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMAWFVVRYASFRNGSASNMWRRAAVTRCDSAEFAADDDSSARERPGTRLSSLRASASANTAARRCSACGASRDESCVMCSRQRLARSNEPAATSAEHCSCTHEPFDGENDGENTDDDAGDDVADDSMSSWNMLRCMSKTNVARSGVPVR >LPERR12G02390.1 pep chromosome:Lperr_V1.4:12:1539903:1540541:-1 gene:LPERR12G02390 transcript:LPERR12G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALLECAAHVDWAHGEGHTLPRARHGPRHRHRRRATEANRLQTQGRLFPFPKPWHALAFVSPPPSTTAPHYHEAPAPLARFLSVVRSLSPKIVVVAEQDADHNGVSFREAIHYYGAVFDSLDAAAVAAASHSHVWAADELAQVERVVVGEEMKGVLLREGARRRERHER >LPERR12G02400.1 pep chromosome:Lperr_V1.4:12:1544256:1550728:1 gene:LPERR12G02400 transcript:LPERR12G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALHKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAAAATNAPRSPFQLTHHYLPINAASSAADRDNKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAGQEIAAAMAAGEARKGDNGRASPSAMYFPNGGFA >LPERR12G02410.1 pep chromosome:Lperr_V1.4:12:1556904:1561618:1 gene:LPERR12G02410 transcript:LPERR12G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRLVVLAAAVAVAAAFCLSSTSPEYDDVRVLEIGERDVELITLDGGAVGPESIVFDANGEGPYTGVSDGRVLKWLPQENRWVEHSSAANEPQLIFGHRRV >LPERR12G02420.1 pep chromosome:Lperr_V1.4:12:1576010:1578597:1 gene:LPERR12G02420 transcript:LPERR12G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLAFAVFAAAAILSLDSRSDVRLLEIRDGDVELIPLLDGAAGPESIVFDDGGEGPYTSVSDGRILKWLPPPERRWVEHSSSVPELLDSCRGSKDTKREIECGRPLGLRFNSMTGELYVADAYLGLRVVSPGGNVSRPLVPERQSGQFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWLKTAKASTIEEVVQLTGFPDNIKMSPRGGFWVGLHAKRGKIVEWSISYPWLRKLILMLPAQRIQRISSFLTGFGRQVIALRLSDDGKTIESMSVHGAARKVFKSISEVEERDGNLWIGSVLSPFLGLYRL >LPERR12G02430.1 pep chromosome:Lperr_V1.4:12:1581207:1584996:1 gene:LPERR12G02430 transcript:LPERR12G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLSSPKPCSSSSATHTSTPSHPPLPSSFRVAPAAPRFSHGLAAFAAATNPSASSRRHRAVALARPVRASMAGPARRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLSRLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDIEFSPEDAGRSNREFLYQILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQP >LPERR12G02440.1 pep chromosome:Lperr_V1.4:12:1601481:1604317:-1 gene:LPERR12G02440 transcript:LPERR12G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPLLLAAVLVAAVALRWLIGGRHLEKRARMPPGSTGLPLIGETLRLIAAYKTPNPEPFIDERVARHGGGGGVFTTHVFGERTVFSADAAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHRRLHSLTLTRLGRPASPPLLDHIDRLVLATMRQWEPAASVRLLDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPFAYLLPFTTYGQALKARKKVAGALREVIKKRIEEKAENGGADAEDEGKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRNMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFDPWRWQINNKLQNVVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEASEEDSLVFFPTTRTLKGYPINIRQLSESIC >LPERR12G02450.1 pep chromosome:Lperr_V1.4:12:1612089:1612397:1 gene:LPERR12G02450 transcript:LPERR12G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLSDRNDNEECSLVEDEALAMLEEKHASWEELEEAFSVFDSNSDGFISPVELQSVMRRLGLQDGSSHEECERMLKVFDKDGDGMINFDEFKVMMEGAV >LPERR12G02460.1 pep chromosome:Lperr_V1.4:12:1613049:1613454:1 gene:LPERR12G02460 transcript:LPERR12G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDAAGPGCDGLKKIPAWMLTMTMTEAIKAAILRAIGRRDNGGAVVDWWCTLCLEDFEEGDEPKTMPCSHAFHPLCISGVLRIDPFCPKCQSALPSLQEKPSTP >LPERR12G02470.1 pep chromosome:Lperr_V1.4:12:1614525:1616048:-1 gene:LPERR12G02470 transcript:LPERR12G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLLYGLVDLRMAASFLAAVVAFYILVEQLSYHRKKGFLPGPTLAVPFVGSAAHMIRDPTVFWDVQAARASKSGTGLAADSLFGRFMVFIRDTELSRRVLTNVRPDAFHTIAHPFGKKLFGEHNLFYTFGDEHKDLRRRIAPNFTRRALSTYAIVQQRVILAHLRRWLATDNDMAIPLRVPCRDMNLETSQAVFVGPYLTDEARERFDRDYNLFNVGLMTLPVDLPGFAFRRARQAVTRLTRILGDCARQSKERMRGGGEPECLVDYWMQETVIEIDEAAAAGMPPPPHTSDAEIGGILFDFLFAAQDASTSSLCWAVCALDSHPDVLARVRAEVASLWSPNSGKPITADMMTEMKYTQAVSREVVRYRPPATLVPHIAGEAFQLTENYTVPKGALVFPSVYESSFQGFTDAEAFDPERFFSEERRKDVVYKRNFLAFGAGPHLCVGQRYALNHLLLFVALFASLVDFRRHRTDGCDVPVYTSTIAPRDGCAVYLKPRCGKLPSF >LPERR12G02480.1 pep chromosome:Lperr_V1.4:12:1621127:1621633:1 gene:LPERR12G02480 transcript:LPERR12G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKRSERDQDEVEREYPRLKFLRQREAFLANKEERQRRRREEYAAMVARQELEDLALEPILRAMAAPERTSVSSAEATVALHQPQVGETREKRCVVCMEDFVAGGDRLRMMPCSHSFHQTCIFDWLYVSLHCPICRFAMPPSDEQRALEERLARAGAGSPEHAVVD >LPERR12G02490.1 pep chromosome:Lperr_V1.4:12:1622475:1623578:1 gene:LPERR12G02490 transcript:LPERR12G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVKPVYLVAAREVEQKAQYSVLKIDADAGDVRTVAELPGDERGMSFVAAHSNHGSWIVGVGGGLRANTIIFDPSTLKTFHGPRLGYPKHKPVLISHGTEVYAISGRPKVKPYMDCEPWFECLSFKDGVPSKECGRLVSWRHLPPPPFFPCLIDPYEFRHPPEISVSSYAAVGSYIVLSPEPALVAGTYAFHVVNKTWDKVHDKNLPFLGQAVPLGGSLFVACPISNNSIAASTSASVFHMDINFSVVSTPSLSIQEFKVVTSEDNVPWPLFCPMGKGSFCCIRLGHSRRRHRHKSNYLKDVKVISTAFRIKNIETIMTNCQSHGAKAKDLLPAVQVKERSHTCESKGLLGLLDSVIPVVAVLSM >LPERR12G02500.1 pep chromosome:Lperr_V1.4:12:1628458:1629628:-1 gene:LPERR12G02500 transcript:LPERR12G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSSAPVIRMRAGVAGPPTLWRATGSLGLVQREKRKRLRRIARLPTAAGGKTFTSVRSIHRAWIVGVGGDSGDTIIFDTKTKEPWGTYAFDTNSFDPYEWHKVDDKRLPFIGRATPHGSLFLGLSEDNGPINAYRINVTTSEVVGPYLSITMLPDGLFCSFSFSLDISSVTRHLENLELFATKAYVDLKIYQTENTSPLEAPEETLLAVKPKVAVCSQWEQAFKISCSSHGFSPFAFTLLSI >LPERR12G02510.1 pep chromosome:Lperr_V1.4:12:1632908:1634364:1 gene:LPERR12G02510 transcript:LPERR12G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDAEQRAPAAEEAEFLWELRKYVLLLAMLGATVTYTAGLDPPGGFWTDNDVEHHHLAGDPILQATYPHRYKAFFYCNATSFVASVVIVNLLLVRYLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREAAMSAYIVVLVILASLTYEAGMNPPGGFWQEGKSGHVGDPVLNNGYYRRRYLAFFFCNGTAFVASLAIIMLLVNRKLSTGGIRSYALRVCVILDLVGLMGAFAAGSCRKVKTSIYVFVLVFAVLLCIAFQVALVVSKSLRNLTNKLLSKLGAAIEDDAAGDRLPHTAGYGEVRDLWDEKLPKYLLLIAALAAAVTYQAAMNPPGGLWDDGQTAHIAGDPVLLSSYPRRYKVFFYCNATSFMASRVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMNKVGEKLAHCFSFEDL >LPERR12G02520.1 pep chromosome:Lperr_V1.4:12:1635089:1638939:-1 gene:LPERR12G02520 transcript:LPERR12G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKKVNQLPAPASRLWEASIRKLKYSTILRGGSVVPTSVTIDGATAATVNDSVTPGKLSGDLRGDLPHGAGKYLWTDGSMYEGSWRRGRASGRGKFSWPSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYDNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGCGTVIWADGDRYDGAWENAKPMGQGTFRWADGGMYIGLWCQECGETHAKGVYYPPSGGPAVPVPREPKEAITKLLEELDVCEGKTVSLLPSHKILTWPGVEPVTKKPVWRPPEVGADQGRRSSVNRRSSVSSDLEGCLGEAEEGEETRSDRSWLRTPSCMRASAPPRPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMISICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYVIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWFKDFCRQVDKDCEFLEQERIMDYNSSSADDETPQTAPEDEDKRKAPIKLGISMPSRVENVVKNPDGESQLIGEPTGEFREVVLFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFTEDVH >LPERR12G02520.2 pep chromosome:Lperr_V1.4:12:1635089:1638679:-1 gene:LPERR12G02520 transcript:LPERR12G02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKKVNQLPAPASRLWEASIRKLKYSTILRGGSVVPTSVTIDGATAATVNDSVTPGKLSGDLRGDLPHGAGKYLWTDGSMYEGSWRRGRASGRGKFSWPSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYDNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGCGTVIWADGDRYDGAWENAKPMGQGTFRWADGGMYIGLWCQECGETHAKGVYYPPSGGPAVPVPREPKEAITKLLEELDVCEGKTVSLLPSHKILTWPGVEPVTKKPVWRPPEVGADQGRRSSVNRRSSVSSDLEGCLGEAEEGEETRSDRSWLRTPSCMRASAPPRPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMISICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYVIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWFKDFCRQVDKDCEFLEQERIMDYNSSSADDETPQTAPEDEDKRKAPIKLGISMPSRVENVVKNPDGESQLIGEPTGEFREVVLFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFTEDVH >LPERR12G02530.1 pep chromosome:Lperr_V1.4:12:1645005:1646416:1 gene:LPERR12G02530 transcript:LPERR12G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLLYGLVDLRMAASFLAAVVAFYILVEQLSYHRKKGFLPGPPLAVPFLSRRVLTNVRPDAFHTIAHPFGKKLFGEHNLFYTFGDEHKDLRRRIAPNFTRRALSTYAIVQQRVILAHLRRWLATDNDMAIPLRVPCRDMNLETSQAVFVGPYLTDEARERFDRDYNLFNVGLMTLPVDLPGFAFRRARQAVTRLTRILGDCARQSKERMRGGGEPECLVDYWMQETVIEIDEAAAAGMPPPPHTSDAEIGGILFDFLFAAQDASTSSLCWAVCALDSHPDVLARVRAEVASLWSPNSGKPITADMMTEMKYTQAVSREVVRYRPPATLVPHIAGEAFQLTENYTVPKGALVFPSVYESSFQGFTDAEAFDPERFFSEERREDVVYKRNFLAFGVGPHLCVGQRYALNHLVLFVALFATLVDFRRHRTDGCDVLLYTPTIAPRDGCAVYLKPRCAKLPSF >LPERR12G02540.1 pep chromosome:Lperr_V1.4:12:1649097:1649450:-1 gene:LPERR12G02540 transcript:LPERR12G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASLPFLSSLAVVPVSLPVDCGVDGDSMFESELVVRKEPHKGCVSTMEAVARALRLLEPEGRGMEIEETMVGVLRAMVAFQAEHLQHREMKPRVKMRKKKEIRREEEMKRDAGLE >LPERR12G02550.1 pep chromosome:Lperr_V1.4:12:1650416:1650828:-1 gene:LPERR12G02550 transcript:LPERR12G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILLSSVAGRRMDASAVARALPSDFPPINNIFGFPFPQYTWMIGNQKHISSQKIGTIDVLSSTIADLQEVVKSGARDHQCVVCLEDFEEGEILTRIPCSHCFHENCIMDGLRSAISVRSAGSQCQSNCSSQ >LPERR12G02560.1 pep chromosome:Lperr_V1.4:12:1652339:1653784:-1 gene:LPERR12G02560 transcript:LPERR12G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAANIQNTSHGPLSSSPAHFLVVAYGIQSHINPAQDLAHRLARINTTTSVMCTLCTHVAAHRRMFPSLASPDEETTNGIISYAPFSDGFGDRISKRAMLSDEERECSRRASFESLSSVISRLAARGRPVTCIVCTMAMPPVLDVARKLGIPLVVFWNQPATVLAAYYHYFHGYKELFASHASDPSYEVILPGMQPLCIGSLPSFLVDVTNSRLSSMVIEGFQELFEFMDREKPKVLVNTMNELEAATLTALQPYLQEVFAVGHLAAMSTKARIHLFRHDKKSYMEWLDTHSERSVVYISFGSILTYSRRQVEEILHGMQECGRPYLWVVRKDGCDEDLSYLVGNINDNQGMVIEWCDQLDVLSHPSIGCFVTHCGWNSTLESLALGVPMVAIPNWSDQPTIAYLVEEKWRVGTRAHRNEEGVMAWKELTKGVGFVMGDNTVAAEIRERANALKQKIHQESITAEISENLQTFTKSMIDN >LPERR12G02570.1 pep chromosome:Lperr_V1.4:12:1657873:1658094:1 gene:LPERR12G02570 transcript:LPERR12G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >LPERR12G02580.1 pep chromosome:Lperr_V1.4:12:1660809:1663524:1 gene:LPERR12G02580 transcript:LPERR12G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATRGVAAGAWYFELKVLHLGSTGHTRIGWATNNADLHAPVGFDVFGFGYRDIDGSKVHKSWRSKYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAETPKVAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTMPDEPNCEVRFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPYELANEGPTENGTAEKKHLTAV >LPERR12G02590.1 pep chromosome:Lperr_V1.4:12:1664726:1666527:-1 gene:LPERR12G02590 transcript:LPERR12G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAFRSAKIPCALPPKSGEAAGAGVLSSCSAGRPSAEAAKGKGASGWCVYLIASSRIPRTYVGVSTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMSRKRTEPSMASLLQHRDMSLSKVKTYLDCSHLKIEWHSS >LPERR12G02600.1 pep chromosome:Lperr_V1.4:12:1668214:1669362:1 gene:LPERR12G02600 transcript:LPERR12G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSGARTCYPVAPRGSRRRAAASVSVRSSLATSSCKPSSSGMRIIGRQWTEIQGARDWDGLLSPLHGALRGELVRYGEFVRAAYASFDFDGDAYGSCRFPVGSLLRRSGLPETGYRVTRLLHAASNYIGYVAVCDDEDEIERLGRRDVVVAFRGTATCSEWVHNFKSSLTHIPSSDGEEEAMVESGFWRLFTTPGKAHASLQRQVRGEVGRIVGEYGGEGMPPLSVTVTGHSLGAALAVLAAYEITTAAASSDEAAAAAAAPIMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSDDIVTKVPGFATADDGDVPEAAKRRRRRKARVARWLVSKMGWAYSDVGCELRLCNEDTARNVVASHDLDLYLKLVAACTD >LPERR12G02610.1 pep chromosome:Lperr_V1.4:12:1670050:1675339:-1 gene:LPERR12G02610 transcript:LPERR12G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAQKLSIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQSRATKEDQSVSFRTATAKSVYQWMIKPQSTMKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNGLVSGEYDEVARPGQTNGSALKQKLQKDMPPPPPPRNNNFSGNEKQSAPIARADEDDIFVGDGVDYSVPNKEMSRSPVSEDMDESPHNHQKQSYFTEPLYGPVPPSEPAQAWPQPNGYDAIQAQMVAAGYQGDWSGYQYGEQQMAYPEQYVQQGTQDYDVLPDPNISQDPRLMTQADKDRGLGSVFKRDDGRLKQLREKDAREKDPNFISDSYSECYPGYQVYNHEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDEKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >LPERR12G02620.1 pep chromosome:Lperr_V1.4:12:1682988:1687440:-1 gene:LPERR12G02620 transcript:LPERR12G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAADRIEEEGECFDNLARDKLWRCEEAAGCWYLHLQWPHDAYKKESGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQLRQNKDSITDMVTANSKAG >LPERR12G02620.2 pep chromosome:Lperr_V1.4:12:1682988:1687440:-1 gene:LPERR12G02620 transcript:LPERR12G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAADRIEEEGECFESIDKLTRLRLISIRPSPLPPSLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQLRQNKDSITDMVTANSKAG >LPERR12G02620.3 pep chromosome:Lperr_V1.4:12:1682988:1687440:-1 gene:LPERR12G02620 transcript:LPERR12G02620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAADRIEEEGECFESIDKLTRLRLISIRPSPLPPSLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQEVISLLRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQLRQNKDSITDMVTANSKAG >LPERR12G02630.1 pep chromosome:Lperr_V1.4:12:1687710:1687916:1 gene:LPERR12G02630 transcript:LPERR12G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGDGPAARPPPATGRSRKHKMVPQLTFAPGYHLAPTDEELVDIYLRSKIEGRRPPLHFINAFRS >LPERR12G02640.1 pep chromosome:Lperr_V1.4:12:1689663:1690589:1 gene:LPERR12G02640 transcript:LPERR12G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYVLCAIQLKQTYEADQKKAEKEGKSSNKCNRRAPSKQRRDKEVTSQGQEEIIKDSTYQLNGELMQMMLEKEEQEHANTSGFSQFDNGLVQEAHTEDPVICYNHQQPLYSADQLMMTTPACTRNLYCPQVENSNVAFGEGLDQSHTVNSDNINLDGRNMVDHGPYRTDNMLPVPNGNIEDNMFQVQAVQTKEPVVLWNQEQQPFFQADQLMITSGYTGNLPYPQVEDSNFAFGDGLDQLHTVYSDNNFLDENVVNYGHQYQTDNMLLDGRDQLYNQDYGGVLVGGLEDGIDDYDDDAWTSYTLPPK >LPERR12G02650.1 pep chromosome:Lperr_V1.4:12:1692390:1700630:-1 gene:LPERR12G02650 transcript:LPERR12G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEEERSPMGAAQRRLRAVSAHLLPPPESSAAGSVDLAANPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSAEAPLKLIHRFPDSPNIGTLHDNFLYAVETFRDCKYLGTRVCADGTVGDYKWMTYGEASTERTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYISVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVIVGGENANMPSTPPTTGVEIITYSRLLSQGKMSSQSFRPPKPEDVATICYTSGTTGTPKGVALSHGNLIANVAGTSLVIKYISYLPLAHIYERVNQVALLHYGGAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDKLVFNKIKARLGGRVRIMTSGASPLSAAVMEFLRICFGGEVLEGYGMTETSCIITAMNSGDRSIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPTIFCGYYKDEVQTREAIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLQAWAASEGIQYEDLRKLCADPRARASVLADMDSIGKEAQLRGFEFAKAVTLVADPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAELRESEPIKPKL >LPERR12G02660.1 pep chromosome:Lperr_V1.4:12:1702121:1705597:1 gene:LPERR12G02660 transcript:LPERR12G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYASLFDSAKGDGDAKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKNSSTKQKNHGDRDELIALNSWHRIDRQTREAIKRNFLPDLLEIYEVWLSISLVLAEERVRTFIEDTSGKDTLVLNVQDPFQRLLLHGVCEFYNVSSTTATTVRDGKVWKTTTIKKRSGTGAPSRITLVSFLRMKKNGSQ >LPERR12G02670.1 pep chromosome:Lperr_V1.4:12:1711712:1714137:1 gene:LPERR12G02670 transcript:LPERR12G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVMVSGRVDPTTIIKKLNKAGKPAELWGSKVDVAAMNHQFQKLHLDGGGKGGQPKDAGGKVQPKDVGGKGQKGGGGGKMMMPPQPTPQQLQQLQQQLQMKGLKLPQFMDGKMPSFAAAPIKDPKSVKFNIPEDDFVDDDSEFDDEFDDFDDEEDFDDDGLDDDYYDDPKMTKQMAMPPPNASGGGDKKGGKKGGGNEIPVQIKGNAAGGGKKDAGGKQNQGGGKNGGGGQPNNDKVGGGAAANGGGNYSAAHGKKGGGGGPVGGMPGQQQSIMRPNMMGTAGAGFHGMGHVGGGPMTIPQMAHHHHPQMGNGAVQGMPPPAFYQGGGGGGMPEMLQAAAAAGNPMAQQQYMAMMQQQQHLQQQQMMMNGNHGHYGHGHSGGAPAGYPAMGYGYGRPAMPYPMSYPMQPPPHTDPYNYFSDENPNSCSVM >LPERR12G02680.1 pep chromosome:Lperr_V1.4:12:1732667:1737800:1 gene:LPERR12G02680 transcript:LPERR12G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSVDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKGGSNEIPVQIKGNAAGGGGGGGKKDSGGKQHQGGGGGNVKNSSGVQQNNGKGGGGAANSGGNHPAAQGKRGGGGVGLVGSAGGPMGGMPAQQQAMMRPNMMGGGSAGFPGIGQMGGGPITMPQMAHHHPQMGNGAGAGAVQGMPSAAFFQGGGGGGGGMPSAPEVLQAAAAAGNPMAQQQYMAMMQQQQQQHQQMMMNGHHGHGQHGHHHGYGSGAPAGYPAMGYGYGRPAMPYPMSYPMQPHPHADPYNYFSDENPSSCSTCSGKYASTSYRGSLYSKELVDTSVYFCRSYVVPVKYTCAVEEFPWSALMEGLPLMALKDALMVTPGSSLAELQKLQPFSVSWSDEEKLALSKILCGTSLHGWNIQVPDLAAVFMPLLKYLDPYFRLIIGDAVLKRLLCTQYSHVLSCAFFARGNFTHPLWSMHYQNMEPLFVQSSVWTLSCYYEIIVLL >LPERR12G02680.2 pep chromosome:Lperr_V1.4:12:1732978:1737800:1 gene:LPERR12G02680 transcript:LPERR12G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSVDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKGGSNEIPVQIKGNAAGGGGGGGKKDSGGKQHQGGGGGNVKNSSGVQQNNGKGGGGAANSGGNHPAAQGKRGGGGVGLVGSAGGPMGGMPAQQQAMMRPNMMGGGSAGFPGIGQMGGGPITMPQMAHHHPQMGNGAGAGAVQGMPSAAFFQGGGGGGGGMPSAPEVLQAAAAAGNPMAQQQYMAMMQQQQQQHQQMMMNGHHGHGQHGHHHGYGSGAPAGYPAMGYGYGRPAMPYPMSYPMQPHPHADPYNYFSDENPSSCSTCSGKYASTSYRGSLYSKELVDTSVYFCRSYVVPVKYTCAVEEFPWSALMEGLPLMALKDALMVTPGSSLAELQKLQPFSVSWSDEEKLALSKILCGTSLHGWNIQVPDLAAVFMPLLKYLDPYFRLIIGDAVLKRLLCTQYSHVLSCAFFARGNFTHPLWSMHYQNMEPLFVQSSVWTLSCYYEIIVLL >LPERR12G02690.1 pep chromosome:Lperr_V1.4:12:1753803:1755532:1 gene:LPERR12G02690 transcript:LPERR12G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTNLDAEQGKVMVFGHVDPTTIIKKLNKAGKPAELWGSKVGVAAVHNQLQKLHLNGSSKGGQPNDASGKGQPKDANGKGQKGRGGRNETKMMMPPQPTPQQLQQLQQQLQMKGLKLPRFMDSKMPPFSTAATAAAPINIPEDDFVDDGSEFDDEKDFDDDGHYCEDPMMMKQMAMPPPNAGGGGDKKGGGNEIPVQIKGNSTGGGSSSKKDVGGKNSGGGQPNNDKEGGSGAANNSGNHPGAHGKKGGGGLVGGPMGGMPTQQQAMTRPSIMGPGGPGFTGMGQMGNGSMTMPQMAHHHPQMGNSAMQGMPPPAFYQGGGGGGKPEMPQVAAAASNPMAQQQYMAMMQQQQRLQQQQMMMNGNQGYNGHGHGGGAPAGYPAMGYGYGRPVVPYPMSYPMQPLPHVDPYNYFCDENPNGCSVM >LPERR12G02700.1 pep chromosome:Lperr_V1.4:12:1772707:1781774:1 gene:LPERR12G02700 transcript:LPERR12G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSVDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAANNQFQKLHLDGGGKGGQPKDGSGKGEPKDAGGKGQKAGGGGGGGSKEAKMMLPPHMPQPTPQQLQQLQQQLQMKGLKLPQFMDGKIPPFAAAAPIKDPKSVKFNIPEDDFGDDGSEFDDEFDDYDDDDDFDDDGLDDDYFHDPKMMKQMAMPLPNTGGGGDKKGGKKGGGNEIPVQIKGNAASGCSKKDAGGKQNQGGRGGGNGKNGGGQQNISKGGGGGGAANGGSNHPTAQGKKGGGPVGGVGGPMGGIPAQQQAMMRPNMMGQMGGGPITMPQMAHHHPQMGSGAGAGAVQGMPSAAFFQGSGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQMMMNGHHHGHGGGAPAGYPAMGYGYGRPAMPYPMSYPVQPHPHADPYNYFSDENPSSCSVM >LPERR12G02700.2 pep chromosome:Lperr_V1.4:12:1772707:1781774:1 gene:LPERR12G02700 transcript:LPERR12G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSVDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAANNQFQKLHLDGGGKGGQPKDGSGKGEPKDAGGKGQKAGGGGGGGSKEAKMMLPPHMPQPTPQQLQQLQQQLQMKGLKLPQFMDGKIPPFAAAAPIKDPKSVKFNIPEDDFGDDGSEFDDEFDDYDDDDDFDDDGLDDDYFHDPKMMKQMAMPLPNTGGGGDKKGGKKGGGNEIPVQIKGNAASGCSKKDAGGKQNQGGRGGGNGKNGGGQQNISKGGGGGGAANGGSNHPTAQGKKGGGPVGGVGGPMGGIPAQQQAMMRPNMMGQMGGGPITMPQMAHHHPQMGSGAGAGAVQGMPSAAFFQGSGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQMMMNGHHHGHGGGAPAGYPAMGYGYGRPAMPYPMSYPVQPHPHADPYNYFSDENPSSCSVM >LPERR12G02700.3 pep chromosome:Lperr_V1.4:12:1772600:1775738:1 gene:LPERR12G02700 transcript:LPERR12G02700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPANFDAGGLDSCRRSRLGIRGVRGTTHVTPNPQWAPLLRWSGMSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSVDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAANNQFQKLHLDGGGKGGQPKDGSGKGEPKDAGGKGQKAGGGGGGGSKEAKMMLPPHMPQPTPQQLQQLQQQLQMKGLKLPHGCSKKDAGGKQNQGGRGGGNGKNGGGQQNISKGGGGGGAANGGSNHPTAQGKKGGGPVGGVGGPMGGIPAQQQAMMRPNMMGQMGGGPITMPQMAHHHPQMGSGAGAGAVQGMPSAAFFQGSGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQMMMNGHHHGHGGGAPAGYPAMGYGYGRPAMPYPMSYPVQPHPHADPYNYFSDENPSSCSVM >LPERR12G02710.1 pep chromosome:Lperr_V1.4:12:1783175:1786353:-1 gene:LPERR12G02710 transcript:LPERR12G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLSGEVVEVPAELVAAGSRTPSPKTKASELVSRFLNGGEPAVSVQLGDLGHLAYSHANQALLRPRSFAAKDDIFCLFEGVLDNLGNLRQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLDGSYAFVLFDKSTSTLLVASDPEGKVSLFWGITADASVAFSDDIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKNKVTAVPANEEEICGATFKVEGSTILTALH >LPERR12G02720.1 pep chromosome:Lperr_V1.4:12:1795542:1799356:1 gene:LPERR12G02720 transcript:LPERR12G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSAAAKRVTARGLVMRRSPLEKAGTAAGLVAMVAAAAALAMIVCAASLRCSAGAVGSVMIAAPKKLLSGGVSIAAAARAAAGGDEECDLFEGDWIWDDGYPLYESKDCPFMDVGFRCSENGRPDDSFSKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLASAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKNTIKVDAMDWMSGRGKWRDADVLIFNTGHWWNYEKTIKSGAYFQEGKKVKMEMTVRDAYQRSMQTLFHWLRKEVNTNKTQIIFRTYAPVHFRGGDWRSGGTCHLETLPDVTPVKSLEPWADLLDPIDHVLGNSIRTKLVGLDILNVTKMTALRKDGHLSIYLSPSGPGPLNRQDCSHWCLPGVPDAWNELLYALFLRRQMVINHNVSNIGAKSLNTG >LPERR12G02730.1 pep chromosome:Lperr_V1.4:12:1800131:1803740:1 gene:LPERR12G02730 transcript:LPERR12G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEEDPAPAAAAAASDSISGISDNEVTVEETSFVHTEPPQDGTAPPVVTSDMEVLHDKVKKQVIKEGHGKKPSKFATCFIHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMAGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDEKNVKALFRRGKAKAELGQTESAREDFLKAKKYSPEDKDVQRELRLLAEQDKALYQKQKELYKGLFGPRPEPKPKTSNFLVLFWRWLVSLIGYIVKLFKRKDE >LPERR12G02740.1 pep chromosome:Lperr_V1.4:12:1804093:1809839:1 gene:LPERR12G02740 transcript:LPERR12G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPPPFLDDADFDFGDFAFASPAISTDPHPAFDDDWGDFVATSPLRSDPDGSPSAAGAAWEKPRGPLPLSLFGADEEEQEVADEGATADKRGPRAASNGSKPADLKDLIAGLYGSQAITFPDDAEEEDDGFGDDGWEFKAAPSSDGGEEDRSGRAHGDGVEDVPKSMGSNQEDWSLFTSVDENHVQATYHIETRESTGQSVKIFTCPSPNNAAILDLYKDTELVDAVHMTQSYSESVQSSSDMFSNNEMNSSFETDENHSIKSSRDRILIDFYHKLREESLAVISRHKDFKETSEKLQDSPLPNGFRLEELPGKDVCIAELLNSAKEDHLKDFEKEYHLTERIAMVLEDMSSAVELYKHSVSTLHTLEQASKEEQCDYVRAWYSMLLYCAQELQHGVVLWQESCETNVCDIVISQGGHYFIALGEVYRVAQILRLSLQTFKPWVLADPGMLSKMLVCSDGCLHAWTSGLGEALRMVIDSKNLDAPVAKVLLESIIKISGIEVVNLQSFLPNSKMTCRLTLLPTTLVPGMEVIIWDGDHYFVKVANLWANEISSDPPQFSVTRVT >LPERR12G02740.2 pep chromosome:Lperr_V1.4:12:1804093:1809839:1 gene:LPERR12G02740 transcript:LPERR12G02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPPPFLDDADFDFGDFAFASPAISTDPHPAFDDDWGDFVATSPLRSDPDGSPSAAGAAWEKPRGPLPLSLFGADEEEQEVADEGATADKRGPRAASNGSKPADLKDLIAGLYGSQAITFPDDAEEEDDGFGDDGWEFKAAPSSDGGEEDRSGRAHGDGVEDVPKSMGSNQEDWSLFTSVDENHVQATYHIETRESTGQSVKIFTCPSPNNAAILDLYKDTELVDAVHMTQSYSESVQSSSDMFSNNEMLREESLAVISRHKDFKETSEKLQDSPLPNGFRLEELPGKDVCIAELLNSAKEDHLKDFEKEYHLTERIAMVLEDMSSAVELYKHSVSTLHTLEQASKEEQCDYVRAWYSMLLYCAQELQHGVVLWQESCETNVCDIVISQGGHYFIALGEVYRVAQILRLSLQTFKPWVLADPGMLSKMLVCSDGCLHAWTSGLGEALRMVIDSKNLDAPVAKVLLESIIKISGIEVVNLQSFLPNSKMTCRLTLLPTTLVPGMEVIIWDGDHYFVKVANLWANEISSDPPQFSVTRVT >LPERR12G02750.1 pep chromosome:Lperr_V1.4:12:1808772:1812566:-1 gene:LPERR12G02750 transcript:LPERR12G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAAYHQETLGNLKLAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSELLPINFSGLAKAVMPGATIFVGQYLFTGSETTSVWLEVSEIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKACGVIKSHDRVVVCQKVGDSSVVKIIELDD >LPERR12G02760.1 pep chromosome:Lperr_V1.4:12:1815185:1817504:-1 gene:LPERR12G02760 transcript:LPERR12G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLKKLRSLVFLAIITASSLAMAAAAAVPEPEEKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWVGVVCSGDGRRVTSLSLPSLDLRGPLDPLSHLGELRSLDLRGNRLNGTLDTLLLGVPNLKLLYLSRNDLAGEIPDSISRHSGLVRLDLGDNSLRGEIPVAALGNLTGLLTLRLQDNLLTGLLPDVTAVMPRLVEFNASNNQLAGRVPDAMRAKFGLESFAGNLGLCGVAPPLPACSFLPREPAPTSPGVPSSQSVVPSNPAASSSASSVASSSPALATQESSRGGGAGKGGLSAGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGGDGAAGEPPKKRKRGGGRVGLEDDGGGGMFGRHGKGVVQPGRPGSAGIRSDDGADSDGARSKLVFFGPGEDNNDDSDSSAAAGVRRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCPRDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDFLPNSNLHHRLHGYRMTGESPLDWTSRVRILLGAARGLACIHREYHTSSIPHGNIKSTNVLLDKHGVACVADFGLSLLLSPAHAIARLGGYMAPEQEHAKRLSQEADVYSFGVLVLEALTGKVPAAAAVMVDGGGGGGDKGCSSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVAVRPEQRPAMADVVRMIESVPVEDQSPFPEEDRSPSIGITTDDGDGRLSC >LPERR12G02770.1 pep chromosome:Lperr_V1.4:12:1842325:1843024:-1 gene:LPERR12G02770 transcript:LPERR12G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPRRHLLRCFHATARALATPEPHEFSQPSEYLGSWEPAATGDPRGAWAQGLRARRAAGAEGMKERTEKLESWREKEKLKADKRAEDRELLRRKSSY >LPERR12G02780.1 pep chromosome:Lperr_V1.4:12:1843619:1845232:-1 gene:LPERR12G02780 transcript:LPERR12G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIVPRGGGERRVVVGAMAFEVAALMSRAAGLWRALGDAEVARLRSDAIRLEGVRLLVADHDAPLLALALAEMASACVDLSRSVARLSDRCADPLLRRFPALFARLAVAGRGGGDPHGLRYAAARKMDRKARKMQRLVASTALLAQEIDVLAELEQATGGRRGGVARQRQEVERLRAASLWNSSFDYAVRLLARSLFTIVARITHVFAMDPIDDDDDGDAMVSLASTRISWSNSFSSSVNSLVYPSDFTTDSTTPPRRSLGPKSGKVSNGGDHVRRFLLSRSQSLRQQIKWPIAGKNLIGCMVSGSRSLERERWIHGDGDLNLPLSFSYMSSTNEDLSINSPSQEDHNPNANLSSSCSMSVFDSSSHDWLKNTPATTLGAAALALHYANLIIFIERLAIAPRHICSDERDALYGMLTHRIRASVRARLKPKNMVASASSADSCDPIMAAEWSDTVQRILGWLAPLAHNMLRWQSERNFEQRHVASSTSVLLLQTLHFADQKKSEAAIVELLVGLNYLWRAGRELDAKAKKISDSR >LPERR12G02790.1 pep chromosome:Lperr_V1.4:12:1865993:1868972:1 gene:LPERR12G02790 transcript:LPERR12G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSREGIALVHSHGPAAGYGLFIRRADVSMAESQEEAAAAEAKGYLSSPSSPSTASPASSPPPPSAKEDAAATPAAWSLGDETAGDSGVQMSGHSERHAGLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVAAGEDVAARIMSFSQKGPRSVCILSANGTIANVALNRPGSSGSTFSYEGQFEILQLTGSFTIAGEGSRRRTGGLSVSLAGPDGCVVGGVVAGMLRAATPIQVIVGSFLPNSMKQHQRRMGLHPQPSPAPALPAPMPPPGFTAAMPISQVAPGNNGCYSSQVSASSMPQQVHAGVEHSSAASGAINLNSSSFSTGFSMVGWPASSQSMQHRHSPDINVCLTPQD >LPERR12G02800.1 pep chromosome:Lperr_V1.4:12:1870039:1870458:1 gene:LPERR12G02800 transcript:LPERR12G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYMRVTHRDEEGHKVTEKLPVPETRRPDTARHLERKLEEQGLHRLDRRPANGPPAKGIGAPPPKSGRGGKFTWEGPDSIVDSQLDPLPPAIDRNDPNYDEDEAEEGEKGGQRRRGDVAGVSVVEPAKAPPSPLQQL >LPERR12G02810.1 pep chromosome:Lperr_V1.4:12:1872747:1875814:1 gene:LPERR12G02810 transcript:LPERR12G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRACEGMCFSLPTPEDPFNDRHGKKRKGDDDDDGGDEPAGEEPNKKKRKKDSNGKKLPARDSKKPISEREKDRAAAAEYDRPSKFLVVCLNAIRDAAAASEDAGAGAGDGGIHDMGSWGVELWNCCSAASPSDVLDTSGDCATREQTAWVVSTACDIVARKEKLGVVVSCPFLLYLVPSQERAVQILISDSIGNVFVQVRSICKPLKALGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELVALKAIDISGVSMLVIDGLKCFMDLNVGDKLCSIKDAVSSNSQITMFSDPSDRSVAALATKLFRGRKITRLCTNDSVTSRSAFVTQKIHTCSSKDQKAPKVKEILEHILNNHSRKTAKVLLVAASNQEAQHLSSSLKLQNCTVTDDARGNSFTICSSVGLINVLVKDWESMTMTNFEDFNTVLVADLPPSVDEYIEILAGASRHVVVGEVHCIFSNTDAPLAKPLTEVLTSCGQTVPEFLKKLASS >LPERR12G02820.1 pep chromosome:Lperr_V1.4:12:1886792:1888404:1 gene:LPERR12G02820 transcript:LPERR12G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRSSSPVAALSLLLLVVLCFFFHCASATARPLPATTVPIAQQENDSVKAAAADLVLQDGATGNGEEVSELMGAEEETCEEGNDECMQRRLLRDAHLDYIYTQHKKP >LPERR12G02830.1 pep chromosome:Lperr_V1.4:12:1893692:1897089:1 gene:LPERR12G02830 transcript:LPERR12G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGDGEWDMEVVDDLAVETIGDSTATPPQADDDDGAEDYGPELQALSRILHNIVGIGGIIQERAFEMYQRLEAIAHDHDEQDDLVFDAGGGGLFRGVPASAAAVVGLEKRAFGFDDDHHGGGEAKGCVICMEEFVAGDEVSMMPCSEKHSFHQHCIAEWLGRCNGCVICMEEFFAGDEVSTMPCSEKHSFHRHCIAEWLGRSNLCPLCRHALPAQEQDDGTVTVI >LPERR12G02840.1 pep chromosome:Lperr_V1.4:12:1899970:1901212:1 gene:LPERR12G02840 transcript:LPERR12G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSKYHHHDYPFLPAETSVSGDDDGFFFYDSGEPRNPTAGSESTDDDAILFLAVPAGWLVRLVAIVGEIIASAILSVIHPFAALIGRLRAIKSEAISASGITIATTTQPYMIRYRSSSIRLMQNTLLSLPLTMGILSESQSANLKLLYYREGHGRHKRTSLIRVMLQPRAMTVHLPQVYKAEIIVQTSLPWMKELIRASKWTLCVWVSLSVYVFILVLAICCVRVPSVFSTRDRRLYDHRQVIETSDVRMRDLGERSNKRLSRDLGERFKWKERIRKRKAQHGPLQGDRMELKFTEGSTSGVTVEALAG >LPERR12G02850.1 pep chromosome:Lperr_V1.4:12:1908969:1909874:1 gene:LPERR12G02850 transcript:LPERR12G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCISDGAAACTVPSSTRISSSSSSTLSVSFSYHVKREEDECLLLRLTWAHSPLGPTLSFSASARQVLVRRRKGSCSVPSGDAAADVPPSPPLALFWDLTAARYDAAASPEPVSGYYVVVAVDSAEVVLAVGDMAADFVRTKFDQIRLLPTPPFSRGERVVLSSLPAAAAAEITHTARARFAEGGAEHEVTVGCSPGGDELWVSIDGKRAVQARRLRWNFRGNQTVFVDGEPVDVMWDLHGWCFGAGAAVVMLRARTALESRLWLDDEAAAPGFSLLVQAFKSPP >LPERR12G02860.1 pep chromosome:Lperr_V1.4:12:1915786:1916466:-1 gene:LPERR12G02860 transcript:LPERR12G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSQKGTFGLSEAFVAFKNYIAEKDIAEGIDYKFGEILHHSSHTVGIRKIYHHYNFTVEIKNKGCWIPRVYFAEVKMKYGHKYRFCSHLEVTDDGLCYSCQNEGINKLKHPSKGGYSKGYDGAVCNYLGEDSSDEEDELM >LPERR12G02870.1 pep chromosome:Lperr_V1.4:12:1920194:1920690:-1 gene:LPERR12G02870 transcript:LPERR12G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLEGHWGGDTFALFGHMVEIKYLFLVKMKERRRGMVDNNILQGMTKLVSKIIIMMLTQGSSHVISLSFGTSKDLTSYMSKAPAVL >LPERR12G02880.1 pep chromosome:Lperr_V1.4:12:1921820:1924512:-1 gene:LPERR12G02880 transcript:LPERR12G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSRSMVLTLLGFCVSVLFIVFVCSRLLCALVRRRRARLRIRRASVSGVLSIYVDRGAAHHHQQLPGAGQGLDPAAVAAFPTCSFSAATTASSDAATQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMNHSTCPVCRISLCDYPDSKHTMPSPLPIAVVIPPCSPEASRSDQCNCLFAGTGHSPRTSQVLRNEPDQVNRSLYSPSVEGGNSLPSSEVNPPGEK >LPERR12G02890.1 pep chromosome:Lperr_V1.4:12:1927333:1928637:-1 gene:LPERR12G02890 transcript:LPERR12G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGARARGNKQMQRTFNNVKITLICGFITLLVLRGTLGINLLSSDSSSSSTLSAADAARLVEDIDRVLRDIRSDSDDDDNLLITNSTNSSNNHTYTLGPKVTRWNSKRRQWLSRNRGFPSHAVNGKPRTLLVTGSQPSPCSDAAGDHYLLKSTKNKIDYARIHGIEIIHSMVNLDASLTGYWSKLPLLRRLMLSHPEIEWLWWMDSDALFTDMGFTLPLERYHTSNLVIHGYPELLFGKRSWISLNTGSFLIRNCQWSLELLDAWAPMGPKGPIRDEAGKVLTASLTGRPAFEADDQSALIHLLLTEKEKWMEKVYIEDKYFLHGFWAGLVDKYEEMMEENHPGLGDERWPFVTHFVGCKPCGSSGDYPKEKCLNGMERAFNFADNQVLRLYGFRHRSLTSARVRRVSNWTDRPLVNKEAALKMDAKIET >LPERR12G02900.1 pep chromosome:Lperr_V1.4:12:1932558:1936892:1 gene:LPERR12G02900 transcript:LPERR12G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDNGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTSVSGPLPPPPPRPQLSTSTAAISAAPPRLGAVSLSDIRFIKRLGAGDIGSVYLAEVKEKGNNNNNNNDSTAAVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALSGNSNSSSSSCIIPSCIVPAVSCFNLFPGRRRRRHRRRRRNKPSNDGNFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPKEPAVSSAAKDLVTSLLAKDPIRRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFSVAAAVAGGGGKNGGVANDDDLSDDDSCPGTPVEYY >LPERR12G02910.1 pep chromosome:Lperr_V1.4:12:1939083:1942833:1 gene:LPERR12G02910 transcript:LPERR12G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGKRKCEALWPIFKINHQRSRYLYDLYYNRKEISQDLYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPRHLREEQVIECVHCGCKGCASGD >LPERR12G02910.2 pep chromosome:Lperr_V1.4:12:1939814:1942833:1 gene:LPERR12G02910 transcript:LPERR12G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGKRKCEALWPIFKINHQRSRYLYDLYYNRKEISQDLYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPRHLREEQVIECVHCGCKGCASGD >LPERR12G02920.1 pep chromosome:Lperr_V1.4:12:1953769:1954332:1 gene:LPERR12G02920 transcript:LPERR12G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHATSPGSRPSPYMLFNDGGHNHHLYVGARKTIAWDDDVDCLEILLDGEPVILPEVADAIWTSAIVPALSVTRTKAANGALVTLDGRFKIRANAVPITGEESRAHRYGVTADDCLAHLDLAFKFNELTADVHGVVGQTYRADYINKFAASGLFAADCVVSRFGQGRWRRRAGGVVRRCHPMTGDG >LPERR12G02930.1 pep chromosome:Lperr_V1.4:12:1954668:1956547:-1 gene:LPERR12G02930 transcript:LPERR12G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSTELRNKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRNADKAKGKFTAEDVAAAGAAGASLQEID >LPERR12G02940.1 pep chromosome:Lperr_V1.4:12:1964577:1966109:-1 gene:LPERR12G02940 transcript:LPERR12G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTEELPWCARWAALAFFVFSLSMAALGVVLLLLRRWPWCSCHVCRSYLTGSWKKEFPNLGDWYADLLRRSPTGTVTIHVLGCTVTANPANVEYMLKTRFDNFPKGKPFAEILGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAGEVTSRLMPVLADAADAGKVLDLQDVFRRFAFDTICKISFGLDPGCLDKEMPVSELADAFDAASRLSAMRGAAASPLMWRMKRFLNVGSERELRKAVNVIDGLAAAMIRERRKLGVANSHDLLSRFMAAGDGDGDAAADDKFLRDIVVSFLLAGRDTVSTALTTLFMVLSKNPGVAAAMRAEAAGAGENGGGVTSYEKLKRMQYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAGGERVMYHPYAMGRMPRIWGDDFAAFTPERWLAGDGGAFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVAVAVVTAFDVEVVGGDNGVSGCAPRFAQGLTASISGGLPVKIKRI >LPERR12G02950.1 pep chromosome:Lperr_V1.4:12:1984256:1984894:-1 gene:LPERR12G02950 transcript:LPERR12G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPKQLMANTVASQTLPRAGAGAAAVNDADDYVRPPARPLVKIAHDKPPTHPQQVHISVVGANMMRISWVTDDRTSPSVVDYGTSPANYTSSATGDEPATTYTFGSAYTSGAIHHVTIGPLLPATTHYYRCGGEGAGEELGLRTPPVNLPVEFVVIGDIGQTEWTASTLAQIGEKDYDVALLAGDLSYADCNQPLWDNFGRLVHPLASAD >LPERR12G02960.1 pep chromosome:Lperr_V1.4:12:1992764:1996002:1 gene:LPERR12G02960 transcript:LPERR12G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTANVATLPLFLLLVLAAAASAGGVTSGYVRPTARAAISVLHDGDGRTPQQVHISAVGSDKMRISWITTDDAPATVEYGTVSGEYPFSSTGTTTTYTYLLYHSGKIHDAIIGPLQPNTTYFYRCSNHTTGDELSLRTPPSTLPFRFVVVGDLGQTGWTKSTLTHIGAVDHDMLLLPGDLSYADFYQPRWDSFGRLVEPLASKRPWMVTQGNHEVEKIPLFHSTPFTAYNARWRMPYDGEAATASGSNLYYSFDVAGGAVHVIMLGSYADYSPGSPQHTWLESDLARIDRATTAFVIALVHAPWYSTNAAHRGEGDAMRRAMEPLLRGGHVDAVFAGHVHAYERFARVYGGREDACGAVHVTVGDGGNREGLATRYDEPQPAMSVFREASFGHGRLEVVNATHALWTWHRNDDDVAVAADEVWITSLAANPACSNKDSVSLE >LPERR12G02970.1 pep chromosome:Lperr_V1.4:12:1997961:1999121:1 gene:LPERR12G02970 transcript:LPERR12G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLLLSLLSLSFRRRLLLPPSRPPPIGDPLLRRLAADDGVGTRQILAEAAALMANASISTFPSLGNHHRLLYLRLPFSPSPRAPPRPRTVARLRVPADKLPPDGDLLAAFRNSLSSFLAARRRIPIRSNAAAVMADLTATTGRRFPTCAVVGNSGVLLGSGHGAQIDTHDLIIRLNNARIAGFAIDVGVKTSISFVNSNILHICAARNAVTHAACGCHPYGGEIPMAMYICQPAHLLDALICNATATASSPFPILVTDARLDALCARIAKYYSLRRFVSVTGEPASNWTRKHDEKYFHYSSGMQAVVMALAVCDEVSLFGFGKVDGAKHHYHTNQKKELDLHDYEAEYEFYADLQERPEMVPFLDGFKPPPVRVHR >LPERR12G02980.1 pep chromosome:Lperr_V1.4:12:2003198:2003467:1 gene:LPERR12G02980 transcript:LPERR12G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANLKLYLENLYIMKENEQLRRKAQALNQENEQLRRKNEQTALLSAILNKNHQQHAAAVMSSPSSSAADVSSSTSTQQRPATGKQPK >LPERR12G02990.1 pep chromosome:Lperr_V1.4:12:2005860:2006336:1 gene:LPERR12G02990 transcript:LPERR12G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEANTATYQEITTAAAVAAGEDRRGMIVSNDDEDDELFELDIALIDHRDGGCCFAGDDDDDEDGEDQLGDVLLANCLLPVSSVSRAVPVTASSVVVSFYYSGRYHHGGGDDGSSWRRRFFFMSGGSRSTRVGRHSNSSPRFSFSRFQNMGNFQRY >LPERR12G03000.1 pep chromosome:Lperr_V1.4:12:2008695:2009611:-1 gene:LPERR12G03000 transcript:LPERR12G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCAEPLIVGRVIGEVLDSFNPCVKMTVTYNSNKRVFNGHEFYPSAVVSKPRVEVQGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIINYESPKPSIGIHRFIFVLFKQKRRQAIVVPSSRDHFNTRRFAEENELGLPVAAVYFNAQRETAARRR >LPERR12G03010.1 pep chromosome:Lperr_V1.4:12:2015422:2018822:1 gene:LPERR12G03010 transcript:LPERR12G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRILPSPPPSPAAYPQQRRLLRSREQRQGFRPRRHSKVMAYYGLTTPPYKLDALEPYISKRTVELHWGKHHQDYVDGLNNQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPVGGKSLYAQPYHFWGLAGFGLSPIINLDLWEHAYYLDYKDDRRTYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >LPERR12G03010.2 pep chromosome:Lperr_V1.4:12:2015422:2017862:1 gene:LPERR12G03010 transcript:LPERR12G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRILPSPPPSPAAYPQQRRLLRSREQRQGFRPRRHSKVMAYYGLTTPPYKLDALEPYISKRTVELHWGKHHQDYVDGLNNQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPVGGKSLYAQPYHFWGLAGFGLSPIINLDLWEHAYYLDYKDDRRTYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >LPERR12G03010.3 pep chromosome:Lperr_V1.4:12:2015422:2017862:1 gene:LPERR12G03010 transcript:LPERR12G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRILPSPPPSPAAYPQQRRLLRSREQRQGFRPRRHSKVMAYYGLTTPPYKLDALEPYISKRTVELHWGKHHQDYVDGLNNQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPVGGKSLYAQPYHFWGLAGFGLSPIINLDLWEHAYYLDYKDDRRTYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >LPERR12G03020.1 pep chromosome:Lperr_V1.4:12:2020006:2021302:-1 gene:LPERR12G03020 transcript:LPERR12G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQHHVHGVQRVESWRFAVLSDSDDDEIGVVFEFKILANNFLEKSGLTASNKICLLDYFHGSPSSHRRRLGWLVTAGDRASQPSHISLPSITTIQHVSPLYHDAGNLNKYETFYYDGRMRHGYVGHTHGHGGVLLPGSKPSSLPTHREVILRSCSSIIRGISYPSRDPVTTTASSGDIKWCLIAYYNFYIVNTLEGDLLQLVRIADYNELRTTSFEGYKIDYEKQCIEPIADLGECAIFVGTNYTTCLSIKDYPQLLPNHIYFDDDNEYWLYRKHLRRDVGVYDFENDCVSDVVPPQPWLNWPPPIWITPGFTKVAK >LPERR12G03030.1 pep chromosome:Lperr_V1.4:12:2022528:2024020:-1 gene:LPERR12G03030 transcript:LPERR12G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQNSRCDDQPCYIRIRENGGIVPPKKQRSPKSPATCEGTCTRKKYRKRKGWQAGYHDENLKLLIDFVRTVC >LPERR12G03040.1 pep chromosome:Lperr_V1.4:12:2025055:2028197:1 gene:LPERR12G03040 transcript:LPERR12G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILAVLLVVLLGLAFQAILQPPPQKSCGSPGGPPVISPRIKLRDGRYLAYREDGVQKDQAKYKIITVHAFDATKDFPLPVSKELVDELGIYLVAFDRAGYGESDPNPKRNVKSEALDIEELADQLELGQKFYVLGVSMGGYSIWGCLQYIPNRLAGAALVVPIINYWWLSFPTEISRQAFKRLILPEQRTLWIAHNIPSLLYLWMTQKWLPSSAAAMHHPEIFSKHDMEVLQQMMAMPVIENKSRQQGIYESIHRDLLVGFGSWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIHYHEVPEGGHMFMLADGWTDKILRALLVGE >LPERR12G03050.1 pep chromosome:Lperr_V1.4:12:2025389:2032481:-1 gene:LPERR12G03050 transcript:LPERR12G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPIHPCKSQAPAASAVAAAAAAISGLRFVSSSPSPSPSTALIPTRREEKEDPFAPLLASDPPPPEPLRQVLATGDVRAALRGLPGLARQLFRWAEGATRGGFPRCASAYAAVLVPLAEAKHLHAAHPVSVRAIHLGLLLPLLSLLLSFPTTVSLHYLLNLLLRLSTKFSAQCRARDPAPTTCSTLCLAAFREMARHGVPPFVKECNLVLRALRDEARWDDMRAVYAEMLQLGIEPSIVTYNTLLDSSFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVISGLARKGELETAAQLVDRMRMSKKASAFTFNPLITGFFARGSVEKAEALRLEMENDGIVPSVVTYNAVIHGMFKTGNVEAARMKFVEMRAMGLLPDLITYNSLLNGYCKAGNLKEALWLLGDLRRAGLGDLEEARRFKQEMVEQGCQPDVTTYTILMNGSRKVRNLMMVRGFFDEMLSKGLQPDCFAYNTRISAELILGATSEAFQLTEVMISRGISSDTVTYNILLDGLCKSGNLKDAYVLWMKMVSDGLQPDCVTYTCLIHAHCERGRLREAIDIFDGMLASGSPTSAVTYTDDAWLPQKKGATSGSSTGRARKSKQDGALETFSE >LPERR12G03060.1 pep chromosome:Lperr_V1.4:12:2035824:2042172:-1 gene:LPERR12G03060 transcript:LPERR12G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASTGHRLIAAAPAAAAADSHVGFLRLHNSSRSAVAPRIFCQSVNSANVLGASSTTSDDTVPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVATESSVTQTKFHIMRSGRKVEDPDMLEKIRLTIINNLLQYHPESSENLAMGEFFGIKPPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSTLSQAYIKLICGIIAIGEKDKVARGDKFVIKRTSVLLEARWRLRYKSSSLLVGRFPTPFSHTSVSLRFEVNQTVNLGAVFGCNIAVASDCLV >LPERR12G03070.1 pep chromosome:Lperr_V1.4:12:2041645:2049460:1 gene:LPERR12G03070 transcript:LPERR12G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYSNPQAAIPHQNATSSSSSSSPQPSRPNSHQIRAPLSPSTHINSPLPTHTYTNPHAESGQIAHLGATADREELWRRRNPTWESAAAAAGAAAMRRWPVEAAARAMAHTAARWFDLDNANQEKIMGISKAVGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIVTVASHNSINIPWILGKNSKGRFPLWSNVLFGPFLVLARVYATVKRHMRKEAVYDMITEGLYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPAISQIEFAARWACEKRAKGKPTREYLGSSSLKILFHLSQPQLAALKLLNALEFSWS >LPERR12G03070.2 pep chromosome:Lperr_V1.4:12:2043734:2049460:1 gene:LPERR12G03070 transcript:LPERR12G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLVALNPLCPKIMGISKAVGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIVTVASHNSINIPWILGKNSKGRFPLWSNVLFGPFLVLARVYATVKRHMRKEAVYDMITEGLYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPAISQIEFAARWACEKRAKGKPTREYLGSSSLKILFHLSQPQLAALKLLNALEFSWS >LPERR12G03070.3 pep chromosome:Lperr_V1.4:12:2043734:2047101:1 gene:LPERR12G03070 transcript:LPERR12G03070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLVALNPLCPKIMGISKAVGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIVTVASHNSINIPWILGKNSKGRFPLWSNVLFGPFLVLARVYATVKRHMRKEAVYDMITEGLYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPAISQIEFAARWACEKRAKGKPVYVHCAFGHGRSACVMCAVLVALGIAENWKDAENIIREKRRIKMNAVHRKTLEDWIRDYH >LPERR12G03080.1 pep chromosome:Lperr_V1.4:12:2046779:2052502:-1 gene:LPERR12G03080 transcript:LPERR12G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKSSTGQNLHHVLGYGFHGTLTNSMPSANLFEQGGGAATYFGELEAALAHQVDTLRRAQQTAVNNNSSSTVHHGYTTPFSTAATATATATATARTLDIFPSWPMSSLPTPKEGCSNVTADTTDSESSSKNNSVQKASSDHQHGAVADMMKQFDQIPQQQQQQHKVAQNMAATSSTHSDKMRKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNISTGAAMFDAEYGRWLEDGGQRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRFRAAAAKSDVFHLITGTWATPAERCFLWMGGFRPSDLLKTVVPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLADTVANGSSMIDDANLGSFMGCMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVAAEGNCGEISIAAQPSDSQFSAF >LPERR12G03090.1 pep chromosome:Lperr_V1.4:12:2058070:2058402:-1 gene:LPERR12G03090 transcript:LPERR12G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDKNKEIKIMSIDGQILTKLPPPPPPLNSDALTAADPAGAVVRVKLVVSKQELKKMLLQNDSISLDDMVSSLMQKQTEEDDQQQETCRAWQPTLQSIPEGSVF >LPERR12G03100.1 pep chromosome:Lperr_V1.4:12:2065364:2068257:1 gene:LPERR12G03100 transcript:LPERR12G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRAAIVLLVAASSVAVALSHADGHVGPEFTYIPGAIDGPENWGKLSPEYKLCGEGKAQSPIDINTKTVVPRSNLDTLERTYAAVNATLVNNGKDITASRIMTFGGEVGTVMINGKTYSLKKIHWHAPSEHTINGKRFPLELHLVHANADGGLAVIGILYKVGAPDAFYNQLHDHLAELGADKCDFSKEDSHVAAGVVQMRSLQKRTGSYFRYAGSLTTPPCGEGVVWNVLGKVREISQEQLDLLTAPLPTKDARPAQPLYGRSVFYYNPPGSTVSFQEYSN >LPERR12G03110.1 pep chromosome:Lperr_V1.4:12:2069541:2076661:1 gene:LPERR12G03110 transcript:LPERR12G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGKMGSPCVVLGVGDAAIPADAAAAEVACSEWSSISKGYLVLSCNLHPRKGIPSSLPYRFLIGIGLNFSREILNVDLGSSDMTCTFGLTITWDVLYAACRWLDKEIDNAEVITYCIVLQLREIYSSPCYLQLLVNAFSFCVYNTCYANNRSNTANLDTAADVAVTEVDWTYGNMLNAVTVVIDVAGYPLQTLCTDQLADAKGGLMADEPCEITYATECTCPLELQSMLKEDYVDHYKLSDRPIAMMFTKVSRVKKQKPVSSSEIVAEFGGSAYSKQGSRTVNPFLRASNESGACCLCRYLYLRYLNEGHGLVSSYVLCQGKDIHIVSTWSFPITSCDAVCGQDKKSSSVMSNPGQKNHPVAHVHGIGNKVFKIPWIFVSMAFCVMLLIFLWYMWWSKQASNSCQPQSPPLNRSRGFSSEGDPWNEHRRSSGRRLSAQLKDRRMSYS >LPERR12G03120.1 pep chromosome:Lperr_V1.4:12:2075458:2077788:-1 gene:LPERR12G03120 transcript:LPERR12G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLSGMVIALCCTIRLCTSTNSPVSSSTNGTGLNTNGAITFPVFHINNSLISTMIQPEGMPADSTVIGDDSMRKNKYFMGISLGTPPVFNLVAIDTGSTLSWVQCENCQIKCYHQAAEAGQIFNPYNSSTYSKLGCSTEACHDVHMDLSVEYGCVEENDACIYSLRYGSGEYSVGYLGKDRLTLATTTTTSSISSSIDNFIFGCGQDNFYSGSNAGIVGFGTKSYSFFNQVSQLTDYTAFSYCFPRNHENKGSLTIGPYARDINIMWTKLIYYDHRPAYAIQQLDMMVNGIRLEIDPYIYTSQMTIVDSGTTDTYILSSVFDALDKAMTKEMQAKGYARGWEERKICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >LPERR12G03130.1 pep chromosome:Lperr_V1.4:12:2079453:2080817:-1 gene:LPERR12G03130 transcript:LPERR12G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRGVVGILPAVVTVLVLLCCFHRPATAMMVADIRNGPPRYPHRLGTVIAVDVGNTNSCVAGYGADGNYFRLCIPTSVVFTDDGDAIVGEAAKNHPAAISGFKRLFGQRFDSPDVRRAAADHRLRYKIVSWGSRTHIEVKTNSGDGEKRSTMYASDVASKVVAELKARAEAIVGGGGEKFRNAVVTVPYYFPDGPREAAMEAARMAGLMTVRIIDEPTSAAISHGLHRNNSNLRDYAKILVLHVGGATSDATVVTLNNNIFGALASYHDLHLGGDDFDDRIVDHFVDLVKHEHGVDLAGDVNLKEECERAKKTLSSHDVAQVNLHCSNGCVDFSFSGSLTRAKFDELNEDLFQKVMSLVGKAMAEAKRVPWFDKIDQVLLIGGSSRIPRIQELVKNYFNGEEMTLSISREPDEAVVHGGGLLSHPMEGGYPCMGAGGRRQIGLPLDRCYHEY >LPERR12G03140.1 pep chromosome:Lperr_V1.4:12:2081290:2082907:1 gene:LPERR12G03140 transcript:LPERR12G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHCIPSWVAVTDDGDVLVGEEAKRHAAVDPGAVVTGFKRLLGNIYTLINTSGKKFPYKIVHKNAAPYIELKTKSGGIHRHAEELTVMLLAKLKATAEAHLTGAGRRRHAISASWVAGMEVERILEEPIAAAVAYGLHRKMLFPDGGGNAIVLHVGGGTAEASVLTLDEDYGVFDFLAAQHDSFLGGDDFDDRIVGYFVDVIKNKHGVDIADDVEAVRKLRTACEQAKKVLSTQRHAQLRIKSLIDGLNFSETLTREKFEELNHDLFLKIVALVDKAISGAELLENNKKLIDEVVLIGGSTMIPKIQELVKDYFDGKKLNTELKPDEAAAFGGALAVGHSKNASWL >LPERR12G03150.1 pep chromosome:Lperr_V1.4:12:2087214:2087933:1 gene:LPERR12G03150 transcript:LPERR12G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDLAGDPPESTLLRIGNEIAWSDVGGVYDRDDSLKENTNPKCLLKNHPAYGGGSSQRFSGNLKPTAAPIIGLSGKLGHGGGRCHPPAIFPKKAKTGGGGRNHKAAVPEHEPGSPKVSCIGKVLSDRERARRCHSIETRPRGGGGGGGCCPGLGGLFIRRSRSRKNAVECVDDQSPPPRPPPPPPPAAAMRRTEEETAPAPAPALGGMKRFVSGRRAADWAAEMEVDGHVARSGPL >LPERR12G03160.1 pep chromosome:Lperr_V1.4:12:2092887:2096983:1 gene:LPERR12G03160 transcript:LPERR12G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSKSDKKKVLDFAAWSFNISTSVGIIMVNKALMATYGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPVADLIKFVVFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFGFTSLATFFLTLSCIIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPKEALDEKDGSEK >LPERR12G03160.2 pep chromosome:Lperr_V1.4:12:2093391:2096983:1 gene:LPERR12G03160 transcript:LPERR12G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSKSDKKKVLDFAAWSFNISTSVGIIMVNKALMATYGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPVADLIKFVVFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFGFTSLATFFLTLSCIIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPKEALDEKDGSEK >LPERR12G03170.1 pep chromosome:Lperr_V1.4:12:2101752:2102531:-1 gene:LPERR12G03170 transcript:LPERR12G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPENPTLLEALSAVRHHHHHHKKHDDPASFTEIFGELHFQEKPPPPPVSSWIDVADAAEKSSKDDSSLDALLRPKPAASGGVKRSASFCLKSSTSLLLCTEGLGSESTVDADDLVKDADVEEASSNIVVDKVNEMAEMDVDARGGGGDRVNVEERRRAAAEFPPPIRSIGRGGKPCVCFRSFRAEGRFVLMEVVIPGKELLQATREDGRLTLRFAAEDDLELEEDDDEVEGKDACAGRDDALAR >LPERR12G03180.1 pep chromosome:Lperr_V1.4:12:2104428:2108747:-1 gene:LPERR12G03180 transcript:LPERR12G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRASSSSSSSLRYLATADGDVLPRSSTPESPAGSTGTLGFQEQREEEEEGEEERWSFLALLLELLRKSLLGCRAEEGGGEGGGGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSIPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESELDDLSLPQKDPSDENGHQTTGLSLDSHTEEGSRRPSFVSEEPLLNSPVHSTEDKPSETNPAAGKSVNSACPTNVALTSMEAEGSTSCSQPALAEAAAAPRATAMNLLQGKGSRSLNSRRTRKGKVHFGARSVPPAEKSKGASIVSRINSKVERIEAWR >LPERR12G03190.1 pep chromosome:Lperr_V1.4:12:2117011:2127352:1 gene:LPERR12G03190 transcript:LPERR12G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLPGEYFCPVCRTLVCPNEAMQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMASNKPLSETIGKVAVHCQYHKSGCQWRGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAPHVSSGMTQSSAAGANAAMQNPSTVASAVPMTPVTTAPTTLQSTTTARPGTAAATVGSAGATTASTMGVAPSLPVAPTAASQGQAVAPQTLQTEQYQQQLPYQQYYQQQYPGYNPYMQQYQHGQYQQYTQPQMQIAPPSLAQSPVQSAPYAQPQVLQPNQAQHMMPFQSQNQPHLPQLQALAVQPQSQQHPPVPPVPQSQIPQVQSQNQIPQQPQPQPTTHTQVNTQVGSQPFAVPSSQATPSEVQPYVQPQIRQHHQVMAQHQQPQQHLPQQQHPPVQQQSYPQMQPYHQRPPMPHAQPQNPSVHAVTGHQSYTQPQPGHQQQGAPLQHSLHASQQQMTSAAQHHALGHPSQAQLTLQGQQPAMIAQGTQHTPQHQHVGHHPQRPEIHATVPPQAAPQGFPLNTPALLQTGQSYQQGMPSSQQQIHAPLQSQGQQFMQQDPRKSMNYVAPPEQFQNRSGGPVKVLQEGAMSQQPPMRMTSNNVAATSESHGAGQPFGQGSSSSLKVPASEAEKSENATNGTATGNTKVSGKKGSAESALVNRTAFDGSDGSDKGKGKGKGKVDFAAWESNSHGPDVWGLRGIRSDVSNDLVKGGSLQQKPQQNAAALRSYVAGMGPQHLYESDFTIPQHMRQPGHTPYMQGLPNQMRPPKHSFPENIRPPMQQPYEIAPRVLGPNPNQIQVSQPIRPDSGMIRPPMGPSLPAQHDPMAPLFAPEHVGQSHSLGTRRDNSGGGGSHGGSSALFEGGFDSSEKNSMSFAAYLGRNNVGHKDFEENTKQFLMPTHLDGEGPRRGPRRFEGGLGRPDGFADSLSGRPFTNHPGPFPIGFGEDYPRKPSSAVSYPDIISPGAEFGQHGIDGMPVFRNPGPFFQGMTGAPGGLHKDQLGSSNIPGDGQYDFDNSEFPHTRFHPGDTFLPRNMHRGWGGGQLHGIEPSDYGYRGHMHADDPNLPIDYPYHFGLGGHLRNEEFSWCRICNISCGTVENLNIHVETREHQHHAMDIVLKMKQDDAKRRKMKFGGPKSSKKKVMLLELSETIEIESCPYILVKKIDKFRKNP >LPERR12G03190.2 pep chromosome:Lperr_V1.4:12:2117011:2123097:1 gene:LPERR12G03190 transcript:LPERR12G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLPGEYFCPVCRTLVCPNEAMQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMASNKPLSETIGKVAVHCQYHKSGCQWRGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAPHVSSGMTQSSAAGANAAMQNPSTVASAVPMTPVTTAPTTLQSTTTARPGTAAATVGSAGATTASTMGVAPSLPVAPTAASQGQAVAPQTLQTEQYQQQLPYQQYYQQQYPGYNPYMQQYQHGQYQQYTQPQMQIAPPSLAQSPVQSAPYAQPQVLQPNQAQHMMPFQSQNQPHLPQLQALAVQPQSQQHPPVPPVPQSQIPQVQSQNQIPQQPQPQPTTHTQVNTQVGSQPFAVPSSQATPSEVQPYVQPQIRQHHQVMAQHQQPQQHLPQQQHPPVQQQSYPQMQPYHQRPPMPHAQPQNPSVHAVTGHQSYTQPQPGHQQQGAPLQHSLHASQQQMTSAAQHHALGHPSQAQLTLQGQQPAMIAQGTQHTPQHQHVGHHPQRPEIHATVPPQAAPQGFPLNTPALLQTGQSYQQGMPSSQQQIHAPLQSQGQQFMQQDPRKSMNYVAPPEQFQNRSGGPVKVLQEGAMSQQPPMRMTSNNVAATSESHGAGQPFGQGSSSSLKVPASEAEKSENATNGTATGNTKVSGKKGSAESALVNRTAFDGSDGSDKGKGKGKGKVDFAAWESNSHGPDVWGLRGIRSDVSNDLVKGGSLQQKPQQNAAALRSYVAGMGPQHLYESDFTIPQHMRQPGHTPYMQGLPNQMRPPKHSFPENIRPPMQQPYEIAPRVLGPNPNQIQVSQPIRPDSGMIRPPMGPSLPAQHDPMAPLFAPEHVGQSHSLGTRRDNSGGGGSHGGSSALFEGGFDSSEKNSMSFAAYLGRNNVGHKDFEENTKQFLMPTHLDGEGPRRGPRRFEGGLGRPDGFADSLSGRPFTNHPGPFPIGFGEDYPRKPSSAVSYPDIISPGAEFGQHGIDGMPVFRNPGPFFQGMTGAPGGLHKDQLGSSNIPGDGQYDFDNSEFPHTRFHPGDTFLPRNMHRGWGGGQLHGIEPSDYGYRGHMHADDPNLPIDYPYHFGLGGHLRNEEFSWCRICNISCGTVENLNIHVETREHQHHAMDIVLKMKQDDAKRRKMKFGGPKSSKKKVMLLELSETIEIESCPYILVKVVLHAWNRICGCSELMLLF >LPERR12G03200.1 pep chromosome:Lperr_V1.4:12:2136925:2141270:-1 gene:LPERR12G03200 transcript:LPERR12G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAPTTRTAVAAHPQHTEKRLTAVRLRRHHHRHRRRFSPAPRRVPKASRDIRCLTSYDGGSLLIMKKYKLLGDYYLCPYFLKNPFSKATMLVCSPELVVAAAYRNKIVSFRPGVDRAWLVLPFGDDALYEDIALYRGKIYALTKEDLLVHEIRDDNTLSPRAEHVYCYFRAGHLTTYGALGDRLLNQIQGVDLVGGKCWSDLPTDLAGEICFRAVCRQWRLSALRHKPLPPAMPWLLAVTGPPVYQDLADGVVHPIDESNRVIESFL >LPERR12G03200.2 pep chromosome:Lperr_V1.4:12:2130878:2136917:-1 gene:LPERR12G03200 transcript:LPERR12G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPCRFDQPISLAAFILSKIVVCSPELVVAAFVISNKIVSFRPGIDQSWTVLSGDGNDQGRNLYQGIAFYCGKLYALTSKEELLVQEINHDNRSNDKEAVLSRAEHAIINAGHMDDDFDRFVTQYLVISSSGKLLMFRCTTSYPSVLACTADVNNAIKFRVFEADLAGGKWIEVNNLDGQTIFLSQACSKAILCSSDNGDPRFKGNCIFFLGRDITVWWDQIRTSITSSVHQENGGIPVYGVYDLRTGATSLYSLGREHKRSILRWDLADGVVRPIAESNRVLSFYDGWLLDCNGVAGRSRFLIKNLLSNTTMDIPCRFDQPINTFNMLYDRHSIWPAALTLSKIVVCSPELVIAAVVNSNKIVYFRPVIDDQSWTVLSGDDDHGQRRRLYEDIAFYCGKLYALTSKEELLVHEINHDNRSNAKEAVLSRAEHAIISAGYMNYDFKRFVRQYLVISSSGKLLMFRCTTRYPSVLACTADVNNAIKFEVFEADLAGGKWIEVNNLDGQTIFLSQACSKSIPHSSDNGDPRFKGNCIFFLGRDITVWWDHIQINITSSMYQENEGIPGVYDLRTGATSLGSLGPEHRKSVLRWFFPIMSCDDYCRYILENPFSKATMGFPCHFDQPLNILGFTRIRYSIRPATLLFLKIVVRSPDLVATVRPNNKIISCRLGVDQSWVVFPSDDDDDDQKSKMYKDIILYRGKLFALTSKDDLLVHGISDNSTLYILCRACDIGARELESDDPWTGKLLMFRCTVSSMISRFSTGMKYCIKFNVFEADLEDGQWLEVKSLDGQVIFLSEAYGHS >LPERR12G03210.1 pep chromosome:Lperr_V1.4:12:2144856:2145347:1 gene:LPERR12G03210 transcript:LPERR12G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFRPPKPTPSKTADGDLVERDVLWPASPAPGLLAALVPDDEGSKKKKRSSGPALRSTARPVPETAALTTTLAAARSAPMRIPSDAAAAGRRGRWAQSLGGGGGSGGSEDGDAMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >LPERR12G03220.1 pep chromosome:Lperr_V1.4:12:2157370:2158233:1 gene:LPERR12G03220 transcript:LPERR12G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPGFRFYPTEEELIVFYLGHRLAGTRHDEIARVIPAVDVYAYHPSQLAAMAGEASVGDKEQWFFFCPRAERELHGGRAARTTPSGYWKATGSPSFVFSSGAGGATTTTKIIGVKRTMVFYHGRAPTGTKTRWKMNEYKAAAVADDGDNSGTAPPPPMISNPNLCVRLRNEMSVCRVYVSTGTLRSFDRRPGGLDTTAAAAPSTAGHDRRPPRRVMSAATATANANLAGGAQEDSHDSATSSEEAAIDYWSSLMAAENSGAGAGDFSLSDIDFSSCVAGSWPQV >LPERR12G03230.1 pep chromosome:Lperr_V1.4:12:2161701:2162936:1 gene:LPERR12G03230 transcript:LPERR12G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYGDEQQQRQCHRRRGGDVAPVDVVELGAALALADMAGAVAAKKAVAAAPPPPMMQDEDEEMATTRLSLQLGNNSNNIHSSSCSSSGSSAGRPAPPPPPPAANVTATSSGYGCIKPRQMLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAHHLSLSLF >LPERR12G03240.1 pep chromosome:Lperr_V1.4:12:2165435:2168658:1 gene:LPERR12G03240 transcript:LPERR12G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASAPAPASGGKVTPNLGTDAEGTRILNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPSSTVLVSNALEESLTDYFTGAVNVGNVSITPMTGRTHQPPKSVASSHVPLIIPSAVPTQQMSIPSGAASAPPLPVIDTSAHSGHSTNLLTPAFFAPPSSSSISLVAPASSLMPTASPLRPTSSDAQRPAYGHGTPLLQPFPPPTPPASLTPANNDERVISRDKVKDALQRLVQNDDFINLLYRELQNV >LPERR12G03250.1 pep chromosome:Lperr_V1.4:12:2169164:2170147:-1 gene:LPERR12G03250 transcript:LPERR12G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDVCSDAIAADGGSSSAVFAGGVGETAMEDIPADVLSLVLRRLDAASLAALACSCSAFRDLAADDDELWRCLCLATWPSLRDAAVQHISDGYRRLFADAFPFPAESSPAPAAVSLPVKLISAVDLHHDGVLLMSRVVETDTSSEQFSPSFRLDALVQEGFTAPSLITPANLTLSWILIDPDTGRAVNASSRCPVSVERSWLNGDTVARFTVVLAAAGGDGCVALDAAVTCDERHGHVREVSLRAEDGGGIGWRDGIAAVVAAMDGARHRGGGAGEEAARRRYEAFARGRTVRKERKAMRDGVVDVFFSCVAAAAFVGILSMLSIR >LPERR12G03270.1 pep chromosome:Lperr_V1.4:12:2203807:2209666:1 gene:LPERR12G03270 transcript:LPERR12G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRARSGADMARALRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPAVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRITVPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSVNMVLTAVHVAFILFVIVMGFWRGDARNLTRPADPDHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGFGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPHTATPVNASAFLGVFTAALALITQLDILLNLVCIGTLFVFYMVANAVVYRRYVSSDPRRGGAGAGAVPTLAFLASFSLIALCFTLVWKLAPHDNGGGIARTALLVCCGAVAVATVAAFQALVPQAGRSPEMSWGVPAMPWTPAASVFLNVFLLGSLDQPSYVRFGFFTAAALVVYVLYSVHASHDADEAAAAAIDGAKVLDHEDCKV >LPERR12G03280.1 pep chromosome:Lperr_V1.4:12:2222215:2224107:1 gene:LPERR12G03280 transcript:LPERR12G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWFHYHPLSRSTKSLIVARSRIYSAAHAFCSNLNHRIAHKDKNLVRVESDSIDVFKLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCVQNDCARRVFDAMSFRSIVSWNTMIAGYTHSKEDVEALKLFSRMHQEGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSNSFVGTAILDVYAKCNMIKDACWVFEKMPEKTSVTWSSLFAGYVQNGLHEEALCLFRSAQREGIQLTEYTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYARCGQIAKSYEVFADMEQKNVVLWNAMIASFSRHAHSWEAMILFEKMQQEGISPNEVTYLSVLSACSHTGLIEEGRHYFHLLMSDWIVEPNVLHYSCMVDVLGRSGKTDEAWELLNKMPFEPTVSMWGSLLGSCRIHKNIRLARIAAEKLFHLERENGGNHVLLSNVYAACGNWESVVMARKNLKDSGAKKEMGRSWIEAKGKVHVFVVGEREHPGITDVYDKLEEIYHEIRKISHKADTECDLHDVHADEKEELLKHHSEKLAFAFGLIILPPNIPITIYKNLRICGDCHSFMKIVSCITVRQVIVRDINRFHHFKDGSCSCGDFW >LPERR12G03290.1 pep chromosome:Lperr_V1.4:12:2228049:2229139:-1 gene:LPERR12G03290 transcript:LPERR12G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAHGHPQAWPWGVAMYTNLHHYHHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKHLDAGDVVHFERVRSLGAGDRLFIGCRRRGETAPAAAPPPPAVRVPPPTTLNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYYRSVDQDHSDILHAGESQRDADTKSSSEASAPPPSRRLRLFGVNLDCGPEPEEDQATAMYGYMHHQSPYAAVSAVPNYWSGHLVHEMMVEEI >LPERR12G03300.1 pep chromosome:Lperr_V1.4:12:2232990:2235074:1 gene:LPERR12G03300 transcript:LPERR12G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEKNWLAVRASAAAAAAIVRRVVDDDDDDESSTRAVTSVHLGPAGAGCPRATATARRSPAGQPAVVQSAGAWVTGELRSRAS >LPERR12G03310.1 pep chromosome:Lperr_V1.4:12:2240165:2243989:-1 gene:LPERR12G03310 transcript:LPERR12G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASAPSSASSPRFSMASRAFSKQELDDLRALFVSLAAQSQTGGRAISRAVFLEYFGIRGALGDRLFQLVATESGGGEGVTFQDLIVSKATYERGTRDEVDEFIYQLCDVTGDGVVERSDLETILASIRETIFAENKEAGEGSNKMTFEAFINSAVFTNDAEGAPRKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPLLHYPENVTPDLLLLNNEYAWHIGGGFSQQEVQEWKLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTSLFKLYPEASIFRPTGANRNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPSLSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >LPERR12G03320.1 pep chromosome:Lperr_V1.4:12:2251935:2253046:1 gene:LPERR12G03320 transcript:LPERR12G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSATMNRSNGNGNNGGAGGSTRGARLELQLNLSPPVVGMEVDGNDGDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDAAGAPAGSAADAAADAEKKRGKRK >LPERR12G03330.1 pep chromosome:Lperr_V1.4:12:2279037:2280116:1 gene:LPERR12G03330 transcript:LPERR12G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPSLTSLFSRLAVDSSSPSPPSSPWQWPPSACVTNPQTASFRRADDDPCTTAAGGAGGGGGRSSSPATARLPRKGGEMYKTVNSVFLDDSLSAAGDVDVDGDDDDGFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDDAGIGRRPPATNSILAAVRPPIPSPPPEAEEEEEKSAAILSESDNSDEVVVVEKSSPSALVEESVAVAVDSDDPYGDFRASMEEMVAAHGLRERNWDAMEELLLWYLRVNGKHNHPLIVAAFVDLLLSLSSDSSHSSSSSATTTTTAAAAATTTSSDTSCSSSSISNGGVTATKTAMEQCSGGDGAGGEEEAPCSSSSSCCAASDHDEVGEKERLGIR >LPERR12G03340.1 pep chromosome:Lperr_V1.4:12:2285210:2285923:-1 gene:LPERR12G03340 transcript:LPERR12G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAASRNARRGGGRHFPVGGGGARWRHVPVVDTGCGCRPRRPRQLLMSLPSFLRPSSVHTKPPLVPRSTSSTHSAASSSTTFFPSSASTASSSAATFTTTYSSSSNYAPPPVKSQPSSSPSPAAARRRKKRYEKMGNNSEEEEVGMAVEKESSDPRADFRESMVQMVVEMGMCGWDDLRCMLRRLLALNAPRHHAAILTAFAEVCAQLAAPPPPPPPPQLQPAAYHHYNYYQYHY >LPERR12G03350.1 pep chromosome:Lperr_V1.4:12:2295288:2303548:1 gene:LPERR12G03350 transcript:LPERR12G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSRILLLLFGYAMPAFECFKTVEARPNDANMLRFWCQYWIIVAMGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPDGSNQEQLKNKKSGGWSPFATKRRPPSSPPPPQESIFENNPEAAAVAEVLKATINPRPRRGAQNGKNYY >LPERR12G03360.1 pep chromosome:Lperr_V1.4:12:2302488:2302988:-1 gene:LPERR12G03360 transcript:LPERR12G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPAAAAAPPDSSPPPPRKQLRVLSPLANGGGAAAAAGDFELRHWRTPPKRALTAAPPPEIEIPGEEGGRGLGYTSLRDILLSPEYAAARSACSPAACIGGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPAARRRRRWRGPLCRLLLGCLGFIGAIFRP >LPERR12G03370.1 pep chromosome:Lperr_V1.4:12:2306273:2310850:-1 gene:LPERR12G03370 transcript:LPERR12G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSEVAARVLLQRYQPFAPPPGEYHQFAAGGGAAADMTEAVLIRTPLKRKHDREENEAAESNDWMMSPGYANAAGSPVPTPLSGKGSKAFAKSKSTKGQKSCPQTPLCATSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEQSLDQKISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQTVSMENPTTPLAAESNKAAEAEPNIQDGLIMPCDAPSSSQDMGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >LPERR12G03380.1 pep chromosome:Lperr_V1.4:12:2313255:2313905:-1 gene:LPERR12G03380 transcript:LPERR12G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGSRSCCCSGVCAFFFSAGFLVLIYWATFQPRHIRASVSSASLSDLTVNKTSNVNGAVVHYRLAVNLTIHNPSRRVAVYFDAIEAWLLANGASSLGEANATSPAVFHVARRSRADVAVEFEYGGGVGVRVAGDVADEMEREIVVKNGGGVVGLEMEVYTRVRYRLGFVMVRARPRIRCEMRIPVKEERRRRGGHGSVAAGVLSPGDRCAVKY >LPERR12G03390.1 pep chromosome:Lperr_V1.4:12:2317637:2321535:-1 gene:LPERR12G03390 transcript:LPERR12G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQERTCCGSLFTFIVTAGFVILIYWAIFQPHHIRATVVSGELTNLTVVNAGAAVSYSVAVKLNLYNPSLRVNIYYDELDSQLRLRGNPLGHNAGAVPGEFYQRRKSSDEVTVSFAGVAVAVPGDAATELEKEKGKGSVSLELAVDGRVRYRFGSIKIRQKPKIWCSLTFPVNATAGGAAAGRLNSGERCSVNSDEPHPHASLSLHTSSRPRLTNDFRRTNHQPPPPQAMVSSQQKSCCRRFFTSMVTTGFVILIYWAIFQPHYIRATVVSADLTNLAVANATVSYAVAVKLSLYNPSLRVNIYYDELDCELRFRNHWLGHNTSAVPGEFYQRRKRSNEVTVSFTGDNVAVAVDAASELEKEKGKGSVSMELAVDGRVRYKFGTIKIPLKPKIWCSLTFPVNATAGRLNSGDRCSVKF >LPERR12G03400.1 pep chromosome:Lperr_V1.4:12:2330432:2331091:-1 gene:LPERR12G03400 transcript:LPERR12G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDFRHSCRRLLTILISLAILVGIIALIVYLVLRPTHPRFYLQDATLRTLSLSNSTSTPNSGDSSSGHLLSTTIQVTIASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLVGPNVPFAPYLADAISQDCQAGYLILQVKVDGRVRWKVGNWISGHYHLFVTCPAFLVTSGGNGAPGDGGFRFQTTTYCHVEV >LPERR12G03410.1 pep chromosome:Lperr_V1.4:12:2333965:2340135:-1 gene:LPERR12G03410 transcript:LPERR12G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALARRASTLRESLQRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDIARRAEATILRGPHEDLESYLEAVGLLKGIVRFFSSNKNFKSSDGILNHINNILSKSALKIEEEFRQLMSTYSKPIEPDRLFDCLPKPPRAHKADLEGDVHSTHSEHPSKGLETAICRTPTLMPPRIVPLLHDIAQQLVQAGNHQSCYRIYRDSRGSALEASLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVFDGITFNKDQCFSELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEVIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNLHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVQGAGSTPAELSSSGVSRAMIKERFKSFNMQFEELHSKQSQWTIPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVEHGKNPHKYIRYTPEHLDQLLGEFFDGQQFGEQKRYSYIGVETIIERDAYSH >LPERR12G03420.1 pep chromosome:Lperr_V1.4:12:2342653:2343051:1 gene:LPERR12G03420 transcript:LPERR12G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKILLVLMIAVAIFAGEAAADGGAQPAPDTNVLCVSKCGTCPTVCSSPPPPDSSSSGYNNPVLSPPKGSSGGDGGSSSSPSAPLAKGGRPGGGSNYYYFFTSGGSCVAATAYKAAVLVSFLSLVAVLSP >LPERR12G03430.1 pep chromosome:Lperr_V1.4:12:2347696:2349624:-1 gene:LPERR12G03430 transcript:LPERR12G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCYALEMDDLHRRWLPPEILDDIGFADDGDAPAPPAAIEGLAVHLAGLLGGGGGAGAGGRKVAPSPCPAPAPAAVPAASYHHHLRRAPLPACGMERPVLVAYGGAAAAAWPFSPYSSPAQRQVAAATGVVNGGALYNRRLGSPLVKLHCGGGVAGGGGGGTGVFLPRTDVYHAKAATATAPAKPSPTRDEKASKKEQQAGQGEEEQGSPATTKEIEEQKCNLTSETASSTEMMTMQQQQQNAAVAFHHHACAELALPQEWTY >LPERR12G03440.1 pep chromosome:Lperr_V1.4:12:2356154:2356708:1 gene:LPERR12G03440 transcript:LPERR12G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGESSSAREAFRGPKSRAPCPYNSGDSKFDHSELDRNDWSDNEKSKFVRAVRIHGKNFTMISRYLGTKSIEECKIYFSKAQRVLNLDMIAVESELEGDDWSDRDKSKFVQALSIYGKNFTMISRYIGTRSIEECITFFAKTRGAGVAGRINMATMEATMAINEDYFDADIDSTFAAIRPGLR >LPERR12G03450.1 pep chromosome:Lperr_V1.4:12:2363722:2369521:1 gene:LPERR12G03450 transcript:LPERR12G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRMCEEAGWSYAVFWKAIGAADPVHLVWEDGCCGHASCSAGSEAGCESTADGGGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIAHDSANDHGLRSEVAAEMNQQFRAGIKTIAIIPVLPRGVLQLGCTSVVLENPNLVLQYKKLCCQLNNRSSMVASASVKNDLNQKVQSRSLHGLPNIHPADSCSKVFNGSPVTYEQCYGHDARTLSNSTSANTGRNTSFLKSAPRNGQAIREQVLYTPDMRFRQQTPYCDRRVDINTQSSAVSSSGFISSISTSVENYPLLTNNIRQLEQGNMEESSGPRNVLLKSLSCRNPSVHENTNTSLFHGGDEMPVFLNSHGSFDFQQAGPRVVEANLYNNCTSSQVLDQRCNSTAWMTGYKPSVPYKIPQSAQFIVKMESPRRQSFQDPAAPPSGSDVQVSSGLKTTTRQVNSDHMCQNKRTNEVNDSSAVVSMQDVKNMDQHKILEISNERTSSFLMDPSTENDLFDIFGAEFHQLQRSLDGDLSWNTGKPQSSGRDAHESSIYLDSSPVFGAPEEEFSYSGIFSLTDTDQLLDAVISNVNPAGKQLSGDSASCKTSLTDIPSTSYCGSKEIKQSKSSGAPPLLVKNELAVSNFVKQPCFVEKAEDGCLSQNNGIHKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGENGALLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKSKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGGTGENPNNVKMPPGIVQHPVIPATGHLR >LPERR12G03470.1 pep chromosome:Lperr_V1.4:12:2378453:2379560:1 gene:LPERR12G03470 transcript:LPERR12G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEESSMADAASSMPQQFICPISLSPMRDPVTAPTGITYDRLAIERWLAAGHSSCPVTGHPLDLHHLTPNLTLRRLILSWHHHSSPPATDHVDVEDVVERLMASSTSSPSCDVLREAEAIASSASGVARRRMVAAGVPRRVLRLAVLCVRKSSSTMEVFDSCLDLFHALDLSSDELRPLVAGNHDLLDALTHVLLTTAAAGDATATAREIAAMRLLESVTEVADASVLNRLKPDLFATLSRRGALATLLHLASSSPRNRVLAVDADAASVAIELELDAAAGARSSSRRGTELAMALLAELCGXAASPETLREMARVGAVGKLCCVLQADCDAAVKDTARAVLRMHSAVWSGSPCVSAYLLSRYL >LPERR12G03480.1 pep chromosome:Lperr_V1.4:12:2388316:2407230:-1 gene:LPERR12G03480 transcript:LPERR12G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLGSIVVRCMHCFDSIAFCETYIQRIMMFGPRLHKSTMKTAARPCRRLKYQPKPMMKRILKDFRKTQLAYKQPFVMGNQKNCENSRGTAIRTKADEKMNHIVNDQENRAMMQPPKPHPTKSSVRLPLKVKEQTRTKPMHGCLRSWHNGDTLKQFGVRLKGQSSVAKDNVGEELKEKKIYALTESNILKEKAFLVPNKGHSFQDNKCNDEVLISKSKRIPLHVGLGSTKKNIMMGTEVPNSTRSTNIEPKKHDRDESKDAKNQRPAKKWRQYILDDGDDEYGDQNPIDLEDSTKITVPDAPESVKKLFTQPIDKSIWSGIFKIGGKDYIPFSAHLSTKSCKKVWDLSGSIPSVVDMKKVLRSEVWPKSLEASSPTDDNIGLYFFPLKMRLDKGIDQLVKDIVEKDMALTAIIGEAQMLVFPSTLLPEKYQAFQGKHYLWAAFKRRDTDRQQHGSHQQCKQEQEENTSLNDASKQQHYEAQRNGSNNHQKIPAINKQPSHSVKEPVKKQPSPRRPMMDTSSVLQENSTSAATAAAHTDERCPSEDGSSAVPANNGNGRVIGLVVRQTPGVEELIQQIRRGGALVATMEGELMASSFGATAQHGILKTDNNEYLSLVGHLSTNSCKKVHMLSRSLPLVVNVTKHSRVDENVDQLVGEVKENDLALCAVIGEVEMMIFPSILLPKQYQTFKGKHYMWGMFSHKKDIVGIAVGQESHVMPLENHEGFQDFSEQDKPNVITELEDHEGANTQDIEQNLTATLVRSNTSHVNEHSMDASTIPANHELINSSFGIPAPGMMFAFVARPSPRIELLIKEMENEGALVVPMPGVRFGTCRGQTITSMK >LPERR12G03490.1 pep chromosome:Lperr_V1.4:12:2407324:2419372:-1 gene:LPERR12G03490 transcript:LPERR12G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLALRAVMGETEMLIFPSLLLPRQYQIFQGKHYLWGLFRPRKDIVAIAEEQGAHSMSPMEHGMSSGNQEGFKDGTEQVESCHVPEPNMDTEPEDPEGAKMQETTHQNLSPALGGSNASRANNEPSMAATAPANHQQMDSNLGIPPGRMFAFVAQPTPRFQEIMQELEREGALIVTMPRVTTTGPSIGQATSME >LPERR12G03500.1 pep chromosome:Lperr_V1.4:12:2423975:2427734:-1 gene:LPERR12G03500 transcript:LPERR12G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTLCEVCGVLGCKDLLLSCKNCDGAAVHRYCLDKVDFDGHVIGWSCDECHPRHGKVTNEISLEVSRDDKTVVGRQSENHRAASSLENYQDKLGTHGSDDLVRNKEKCHVKDFRPVSNVSRFNKITQLDNKGSNDLQQKSMSANVAQPSTLHGDYLARTISSSANTDGVPKDSNCVSSAHTEIGNTSGSSVKLKLTGENRSEPSVLLDGAYSGSFSKDSSEEKIIREASSSQMEHSDAVVNFCKDNPRKRRKLSLLDDDIEEVELNTVQNVVNDNPMKRRKLILTDNDADVELSNTVQNTVKDNQKKPIQLIVIDDDEQEDVKNLNPLSLECEGTIGKHIVDTGYAEESVQAGIEGLKGQSSKNDRPIKDRTCLEADKHDALLGSLVPQSLENTCPIKKRRRYICPSDDDEEEKEVIKRPIIAEYVLNDVANMDSHPADAKDQHLQSRMTFSSDFTKHQCNICSEHEPIWSGIFTTDNNKSIMLAAHLSIKACSKVLEFARSLQPVVEVIKLPRLRVWPKRWGKSGPTDDSIGLFFFPLSSRPNEELDRLVKEVIESDIVLKAVLGPVELLIFASTLLPEQYHEFQGKYYLWGMCKARKYNPDTAILVEEQNGLASASKEEEVEEHQILNQQYDEWLDRKSSVVKHAEDQLQADCNNEAQRGDMRTSLIEEGSVSSHSYLSGNRLRPAKDGSPMVGHKPREPGVADKQEQEEQDFTSLPRWNHKNATIPPNDSLPSTATLFGFVTARSERCQQLIDEMVKEGALIFSVPEETTIAGSTIDKNNGVEEAQAPDNGCQQTQELRKPIEFVPIDHDDADAASEACLELFPVREQIGLAPGTDVKEVELDLSLGASRRAPSDSALLP >LPERR12G03510.1 pep chromosome:Lperr_V1.4:12:2434860:2439404:-1 gene:LPERR12G03510 transcript:LPERR12G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVETEAEEQPPDGDGDGDGDEEEEGYVEADPSGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQARIDDSVTGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEENKTVNIITELFTSGNLRQYRRKHKKVNVKAMKRWAIQILIGLEYLHSQNPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQKKKSVKGTLEFMAPELLTGHYNELVDIYSFGMCMLEIVTCEYPYSECQGMVHIFKKITEGKKPAAIYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQNDGPISVSLVKNMSENGQQSVSFMLWKGDFLLKGNVDVASHVDLWLKFPDPSGCFKSVEFPFDVAEDTSLSVAMEMVEQFGLPQESRLIIAQLIDAFLVVVIPEWTPCVAIGEVVSEGANGYTNKFMNYGLFRSADPLWSGPYALSLLCALILQCTQDVLLSMEFFLPVVVMMTKARSS >LPERR12G03520.1 pep chromosome:Lperr_V1.4:12:2446950:2454962:-1 gene:LPERR12G03520 transcript:LPERR12G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSEGLRRLATLLFKCCNLDTPNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRNGRG >LPERR12G03530.1 pep chromosome:Lperr_V1.4:12:2457984:2461906:1 gene:LPERR12G03530 transcript:LPERR12G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPAAAGGGRVGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSTPAISDPTPPPPPPMAAAASAASPSPAPRPPGGAHMRSLSLDTAFFENFSLQGGGGGGGSGGGGHKRSGSMDGVSSPFEGESALSGGLPDYAKKAMPVERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQMSYLGGQHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFMRGEIQRPY >LPERR12G03540.1 pep chromosome:Lperr_V1.4:12:2489101:2492576:1 gene:LPERR12G03540 transcript:LPERR12G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSALNKLTRKEITAGTRWASSRITSPLSADKGQKQGTTSQRRPSDLPRTYAPSITQYNIDTHNTRTLIPMNTRMQILSYENLRRLDR >LPERR12G03550.1 pep chromosome:Lperr_V1.4:12:2498887:2507161:1 gene:LPERR12G03550 transcript:LPERR12G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEGGGVEEGVGGVDICSQVYERLVAEGNEEAAAPEFRAQLEAHFQRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRLIVSAEKCCSTGLQIEEVDSTCDSDASEDGADDGDDLSVRQDTSYTHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTEGLLKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGDMIASGSCGDLFHGTYLGEDVAVKVLRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKAPQFCIITEYMSGGSLYDFVHKRHNILELPTLLKFAIDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMQRCWEAIPSNRPAFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >LPERR12G03550.2 pep chromosome:Lperr_V1.4:12:2498790:2507161:1 gene:LPERR12G03550 transcript:LPERR12G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMIASGSCGDLFHGTYLGEDVAVKVLRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKAPQFCIITEYMSGGSLYDFVHKRHNILELPTLLKFAIDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMQRCWEAIPSNRPAFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >LPERR12G03560.1 pep chromosome:Lperr_V1.4:12:2509805:2513103:1 gene:LPERR12G03560 transcript:LPERR12G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELLSASDTNSSVEKKYELPDGQEITIGAERFRCAEVLFQPSIIGMEAAGIHATTYDSIMKCDVDIRKDLYGNIVLSGGSTMFPGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >LPERR12G03570.1 pep chromosome:Lperr_V1.4:12:2513921:2515711:1 gene:LPERR12G03570 transcript:LPERR12G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTAVAATLMPLLIRLLRGASDLASVSSTHAKLLKLGTVSTVASSNHLLAAYCRCGSVAHARNLFDGMPERNVVSWTALMSGYAGGGRPRAAVALLRGMARGGVAPNAFTFSTAASACARLADACLGRQVHARAEVAGYARDSVVATALVDMYGKAGRVGDAREVFDGMPAAARNTVSWASMMSAYAQNALGHDAILLFAELWNNGEDMAPNHFMLSSVMNACAGVGRLGIGRCVHGMVFRHGHEENEVIAVALVDMYSKCGCYDYSKKVFDRIKQPSVIPYTSIIVATAKYGLGKRALALFSDMVDQGVQPNSVTLLGVLHACSHSGLVDTGLQLLHSMRSKYGINPCASHYTCAVDMLGRAGRLEEAFKLANEAQIDGDDALMLWSSLLSSCRKHKRLELATMAGEKVSEFTQDVAGALVAMSNTYASAGQSEDAAAVWSNMRRRGIRKDPGCSWIEIKDIPYVFYAGVVSPASEKARELMLLLDEMEGKMREKGYKGRIGGARVLDADEEEEEGEGVMVGVHSELLALGLGLLVIPKGMAIRVMKNLRMCSDCHEAFKLISGIVEREFVVRDLNRFHHFRMGSCSCNDYW >LPERR12G03580.1 pep chromosome:Lperr_V1.4:12:2516939:2522806:1 gene:LPERR12G03580 transcript:LPERR12G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNQSTDSDHLSEFQFGELGKADHHHHHHHHHTKNGMSDDEDHGVNEDATDSQSGKGKKGSAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGRRNSAMMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMNNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRALQLALRCKEEHDLKRGISGDADEDDQSSDSDSEEENDEEHYMLQGDKSAMPMHKRLRYMPDQEDVGFGNSSSSHGCSRRSDSHGITLDINRAFPDGTNLALVQKDLATQSADLEEKRLQIEVQAVYLAKRRLKWERFSKSKDRELEQMRLENERMKLENKRLELDVTDGFW >LPERR12G03580.2 pep chromosome:Lperr_V1.4:12:2516939:2522806:1 gene:LPERR12G03580 transcript:LPERR12G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLPSGKLMQGTPYATLDLHSNPVQMHAPNPGKQGFDHTQIPGNISMHVNQSTDSDHLSEFQFGELGKADHHHHHHHHHTKNGMSDDEDHGVNEDATDSQSGKGKKGSAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGRRNSAMMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMNNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRALQLALRCKEEHDLKRGISGDADEDDQSSDSDSEEENDEEHYMLQGDKSAMPMHKRLRYMPDQEDVGFGNSSSSHGCSRRSDSHGITLDINRAFPDGTNLALVQKDLATQSADLEEKRLQIEVQAVYLAKRRLKWERFSKSKDRELEQMRLENERMKLENKRLELDVRHKELELELKQKGSGNHA >LPERR12G03580.3 pep chromosome:Lperr_V1.4:12:2519495:2522806:1 gene:LPERR12G03580 transcript:LPERR12G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLPSGKLMQGTPYATLDLHSNPVQMHAPNPGKQGFDHTQIPGNISMHVNQSTDSDHLSEFQFGELGKADHHHHHHHHHTKNGMSDDEDHGVNEDATDSQSGKGKKGSAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGRRNSAMMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMNNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRALQLALRCKEEHDLKRGISGDADEDDQSSDSDSEEENDEEHYMLQGDKSAMPMHKRLRYMPDQEDVGFGNSSSSHGCSRRSDSHGITLDINRAFPDGTNLALVQKDLATQSADLEEKRLQIEVQAVYLAKRRLKWERFSKSKDRELEQMRLENERMKLENKRLELDVTDGFW >LPERR12G03580.4 pep chromosome:Lperr_V1.4:12:2516939:2520870:1 gene:LPERR12G03580 transcript:LPERR12G03580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLPSGKLMQGTPYATLDLHSNPVQMHAPNPGKQGFDHTQIPGNISMHVNQSTDSDHLSEFQFGELGKADHHHHHHHHHTKNGMSDDEDHGVNEDATDSQSGKGKKGSAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGRRNSAMMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMNNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRALQLALRCKEEHDLKRGISGDADEDDQSSDSDSEEENDEEHYMLQGDKSAMPMHKRLRYMPDQEDVGFGNSSSSHGCSRRSDSHGITLDINRAFPDGTNLALVQKDLATQSADLEEKRLQIEVQAVYLAKRRLKWERFSKSKDRELEQMRLENERMKLENKRLELDVRHKELELELKQKGSGNHA >LPERR12G03590.1 pep chromosome:Lperr_V1.4:12:2525594:2529401:1 gene:LPERR12G03590 transcript:LPERR12G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRLVGHRRGKSHSAVHEMHDHPSSPNVIQSSCWANLPPELLRDVIERLEASEPTWPSRKNVVACASVCRTWREMCKEIVKNPEFCGKITFPLSLKQPGPRDGTIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMNADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPSNTANVSQSGKTSRRFYSRKVSPKNPSNTYSIAQVSYELNVLGTRGPRRMNCVMHSIPVSSLDAGGTVPCQPDSVLTRSLDESFSSISFSKSSSILDRSICFSSSRYSDISVAGPRVGEQTLGDNDELKERPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAVTQPAAGTPTPSQPAPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >LPERR12G03600.1 pep chromosome:Lperr_V1.4:12:2530599:2534071:1 gene:LPERR12G03600 transcript:LPERR12G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEMAASSTFGTTPEMERFLCNLLLDTTQPIAQRFRALFSIRNLRGDGPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEESIPVLEESLATDPAVEVQETCELALRRIKEQKNAGDSESTTISLFLSVDPALPAKQGLSVQQLRDVLLSEQERMYERYAALFALRNDSGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESITLLEEFAKDSEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPKVQQES >LPERR12G03610.1 pep chromosome:Lperr_V1.4:12:2533637:2539659:-1 gene:LPERR12G03610 transcript:LPERR12G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKNLQSVAKRNRKQRSQFVRRPRRGGSGRGDERAGDGDEEMPQRAGDNLMNNGGDVASLVNGLEFPEDESELNSDISDSDDYLSEDSECLYYSDNEGDNAVNDCFVQDGLDGENDQMNIEIKKQKKNLNKLMDKDPEFANYLEKWRSESYRSKEDSDDDDMDSVDNGADSSDTNPPNDKILTRKTINEWCQLVAKEPKSPSLRSLLNAYRDACRFGVHSKSPSVQRIQSTEVFYQIITFVLSEADNIFRALLDISDDVNKGKIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSTSRRLLKILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSFNTYLASSKLVNDGNIKHIDFLTDCLVELYSLDVQKSCESATNSIGQLNAILRQASKTKEKEELRKVDSWQYINSVNLWVRFLCCNYKDYNMHPLLSSVVQILRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEHGQKTKVNFSSLLKVPKNLLKSRDFHEECILSAIDALSAHFAQWCYHVSFPEVATIPLILLKRLYEQSTIESLRRPIKRLIDQVDENRNIVERKRDGVSFSPNDTTSIESFIHDLQID >LPERR12G03620.1 pep chromosome:Lperr_V1.4:12:2542537:2545847:1 gene:LPERR12G03620 transcript:LPERR12G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHRHVLRRPPLPFFRSGAAAAAASSPATAAAAAAAASSGGGVLPARRRPWTPRRILDPGDDVVLRWNRLFLVTCMVGLFVDPMYFYLLHTSGKSCVEMDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVIVWFVIPAVSNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCGRENGTNPVIPKCHVTYLDCKMLDDPIRTDWHSRSEIDSQCLLPKATYGYGLFADALNLDVDDVNFWDKYLYCLWWGFRNLSSYGQNLENTTYRGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRFKKRKLAKELIKQEELYYYNNMHGDGDGGGDGDSAPLLGGGGEHKDGGGGGAHLGATFLASKFAKNTKKSAAAHHGGGGGKGARMEDVSSIKFPKLAKPDEPDFSLSTDDVL >LPERR12G03630.1 pep chromosome:Lperr_V1.4:12:2561925:2563622:1 gene:LPERR12G03630 transcript:LPERR12G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICPAAAQVATSSVIVLTVNITPSTTASITPAATFQATPSPALALTTTVDVPSANKEKQVQGTPAAIEPSAGSDSEKTISDEKIVKNSNAKDTLLSVLAPLVEEDIAGPDPALIEAKKQAEGQVLKLQAELTLLQGDNEELIKAKDSAEKKLDHAITLNVKSHEQANYYKDKLETLSKKHEDLKKKAANELSAMKTKHNDEFMKMKAELEEARRINAKLCQAAEPILNILHAATAESNTSSLQSMIEHLQSAPIRLKKIILESASVACGQTLAVIKSLYPKLDLEPITSGYAEGTTNEKAFELLDQVDGMAQVMAKDALYPEEEDNV >LPERR12G03640.1 pep chromosome:Lperr_V1.4:12:2574516:2580282:1 gene:LPERR12G03640 transcript:LPERR12G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRLPLRPHRISISIRLLSSAAGTGGGGGGGVGRTRPQDEESSKAVKVSVWWDFENCQIPNGLNPCRVAPRVTAALRAAGIRGPLSITAFGDVIQLTRNAQEDLLTTGISISHVPHSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPTNTASSVLCSAATIMWPWEALVKGECFSPKRFNHPPDGLSSSWYGHYKGALDDPFPGTESKELVIVPSDLKHDSIPRYVVTGIQEVLESFPNGVNLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPDVVEFINTPSGENQPYVIRAGGKLMDPDKQCFKTSTESDVRDNNLNRATHDDRPSPLSVSSFSEKNAKTENAKTVNKNPPTFAVSSSQSDVLPEDQKEHATANLNAQIESPERHKEVDLTKASGITSGVEDNVNKEGLFKRIWLMWNGPENAKSEVSHLENTSTVVVDDVQSLQQQHNTDECERTLNRIHKKSCGNDSSDRTSSVTTGSDAPTPSDDDCPKKLKHGIDMELSDRDASYSEPCDKSTSVSMEKAGERDGISKTGKGLFSWVTGLMKFGKSDADDVTANRNLIDEARTDSIARPESLKVPACGSAQQEVHEIFTKSFFWDVLRQQLSKPLSSELVSKAKTREELVHQLQKLDCSPLKGLAKKDLHQLVNLLVSEKKWVEETPSRYFPFCLTLPRKRTCVPSNSRRFDGLSSLFPNGKQLQPDKQASDKSTNSPHTREGTLSDCHKLLKDLLLEYEYGFNISIFKLRFSQKHGYELDPQKLGYPDLKSMLQIMPGVRVKFPRVLPSENGNGQAGGKGNGKQSNSDDLVWEELGPVSATTETVEGVDKEMCYRPPTLSEDDFSEDENHADQQPRRETEPSSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRNDRALNVTRPQQKQYSFVSDSEEDKEKDKLVESVLGSLQKARTSKMHS >LPERR12G03650.1 pep chromosome:Lperr_V1.4:12:2632989:2642880:1 gene:LPERR12G03650 transcript:LPERR12G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLIRAIACSPCAATAVPRLRPLCVACSRSCTSSAIGALPVPRSTQRPSLGESVVSKSRIAAFVRALKNARQRARATSAVEGLPLVAIKLSPRNAAAIFTRNHITGDMRIMILAVDGQGAGTECFAQVLTCTMSWCRERCITEAMSRNCKRMTASCKFLARPQKCCCFFHP >LPERR12G03660.1 pep chromosome:Lperr_V1.4:12:2647709:2648774:1 gene:LPERR12G03660 transcript:LPERR12G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSSSPSPFSFGRSLLSLRRDHAAMPSGEEADLEAFQRHVATTLAELLPSGDGDGGGGGGGGGAGGEEILSVGWIRRLLEAFILCQEEFRVVVAQARRRGGALPANGERMVVEFHEKAVKALDVCNAARDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLAVLLAHHLPAAPPRAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTHKLAEAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRVEGLDSSMHNAD >LPERR12G03670.1 pep chromosome:Lperr_V1.4:12:2651097:2656954:-1 gene:LPERR12G03670 transcript:LPERR12G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPPTCGLLLAALSLGPTCQWVRPAIKIPSSRLLLLPRPHLLHHRRPPPSPLRSQPPPANWAPRVSLSTHPRSSRLLFLWLLTAVRHVPPPQGGASRSANFGEPTEEWGPLRRRRRRRLSALESSRRRRRGSRGRVEGGGDRGRDARIGAGEAARRGRAMLGRMRCLVGGGVVEDSPRGAAVVKRVSPASRRVHNATSSAAAAATAAGEEEEGKGGPCVRSPDLMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGAKTPASPARVVQYEAPDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGCDIQASNGTSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKGLLTSREMLLEELKKISDAIGKTIEDLDVADLNLGKYEAVQPLKSGLPNSNKVFPATTKGVGHLAGILHDFLERPNSVADGGNDVMLYSLPKEELLELFLTVSSQLSLLWNAFLKFHRTNKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTGDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSITQMKINTLSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGGRILRAVVFGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGGRLAEEVVAFLKKKVEKLAKYGGCKELKLSFVGHSIGNIIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSKKGQVFTEMLNNCLDQIRAPSSQTRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >LPERR12G03670.2 pep chromosome:Lperr_V1.4:12:2650303:2656380:-1 gene:LPERR12G03670 transcript:LPERR12G03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMRCLVGGGVVEDSPRGAAVVKRVSPASRRVHNATSSAAAAATAAGEEEEGKGGPCVRSPDLMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGAKTPASPARVVQYEAPDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGCDIQASNGTSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKGLLTSREMLLEELKKISDAIGKTIEDLDVADLNLGKYEAVQPLKSGLPNSNKVFPATTKGVGHLAGILHDFLERPNSVADGGNDVMLYSLPKEELLELFLTVSSQLSLLWNAFLKFHRTNKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTGDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSITQMKINTLSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGGRILRAVVFGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGGRLAEEVVAFLKKKVEKLAKYGGCKELKLSFVGHSIGNIIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSKKGQVFTEMLNNCLDQIRAPSSQTRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYAKFIMCYICITGTTTGSRPDHHQHHHQKETRRRADLRRGETAADVSPSPSPPTRT >LPERR12G03670.3 pep chromosome:Lperr_V1.4:12:2651097:2656380:-1 gene:LPERR12G03670 transcript:LPERR12G03670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMRCLVGGGVVEDSPRGAAVVKRVSPASRRVHNATSSAAAAATAAGEEEEGKGGPCVRSPDLMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGAKTPASPARVVQYEAPDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGCDIQASNGTSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKGLLTSREMLLEELKKISDAIGKTIEDLDVADLNLGKYEAVQPLKSGLPNSNKVFPATTKGVGHLAGILHDFLERPNSVADGGNDVMLYSLPKEELLELFLTVSSQLSLLWNAFLKFHRTNKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTGDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSITQMKINTLSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGGRILRAVVFGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGGRLAEEVVAFLKKKVEKLAKYGGCKELKLSFVGHSIGNIIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSKKGQVFTEMLNNCLDQIRAPSSQTRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >LPERR12G03680.1 pep chromosome:Lperr_V1.4:12:2659570:2669125:-1 gene:LPERR12G03680 transcript:LPERR12G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDSIIVGQNLVSCSSDTTLKVWNCLSDGVCTRTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDSALAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPIAAKGHKDSVYALAMNDTGNLLVSGGTEKVVRVWDARTGSKKMKLRGHTDNIRALLIDPTGRFCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYVTDLQTRESVLLCSNEHPILQLSLQEDMIWVATTDSSVYGWNSEGRTPSNLYQNGGSFLAGNLSFSRARASVEGSAPVPVNKEPSSIIPGVPAIIQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAIDLNVPGAQEDLKINLAQETLREGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFAMGLTSGQPQFSALDSSSRLGLKPWQKLKPSVLLPEMSLATVRAYVWKKPEDLILNYRVVQSR >LPERR12G03680.2 pep chromosome:Lperr_V1.4:12:2659570:2669125:-1 gene:LPERR12G03680 transcript:LPERR12G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDSIIVGQNLVSCSSDTTLKVWNCLSDGVCTRTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDSALAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPIAAKGHKDSVYALAMNDTGNLLVSGGTEKVVRVWDARTGSKKMKLRGHTDNIRALLIDPTGRFCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYVTDLQTRESVLLCSNEHPILQLSLQEDMIWVATTDSSVYGWNSEGRTPSNLYQNGGSFLAGNLSFSRARASVEGSAPVPVNKEPSSIIPGVPAIIQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAIDLNVPGAQEDLKINLAQETLRGLLVHWSKRKQRSGSHGLSNGDTSTGKDVSLRNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFAMGLTSGQPQFSALDSSSRLGLKPWQKLKPSVLLPEMSLATVRAYVWKKPEDLILNYRVVQSR >LPERR12G03690.1 pep chromosome:Lperr_V1.4:12:2670816:2672331:-1 gene:LPERR12G03690 transcript:LPERR12G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQASRRPVSGEDFAFAISDTDAAFLVLAHLPGNESSRYEKDEVEVVVGEGGREVGVVVGERKDAAFAVETAAVGRRRIRVAHSQVVEGFCRVFDVPAGVEVGRITVGFDEDDELLVVIMPKTSQPLTADHGGDDEARIEVESTDTECGGSDVEDFDVVSGSEAREEDDVAVEMEVELDDDEESSSVEMEYEDWIEVESSEEDVAVVEEVAVEEDVAVETEVEVEEERDVAVETPVAVEPSPAPVVVDIECDVVFETAAYRDLPVETPIAVVGPPRVEPDPPADVPDPIDIPCVVVEESPPPPAAAAVVEEPKPPPEVDPVEESTPAAVEPPPPPEETPASPESPPLIEPEPEPQAVEETPPVEPPVQEEEPPEQAPPPTPAPQQPSDGEGEEEDGSGSSESDSADDGEGNKKAGGGRRRSGRRTGGRRRRRRRGRGGGLSLGMVVGPAVILLALVAAAARRRRQQQQRAAGGR >LPERR12G03700.1 pep chromosome:Lperr_V1.4:12:2673219:2674596:1 gene:LPERR12G03700 transcript:LPERR12G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCFFPWLLPLLLFLGLLPCDAVDPTAGFVAVELTEDMFKLHKPYDLPPEQRYEFRDGVRRMWVLCSDHPFSPGSPTKPRSEILLNKTYPSGVWQFEGYGYVPSGTTGVSIMQVFGASGRNTTLMLHVYSGRLMYYRDEARVVDDDIYDRWFRLNVVHDVDAGRLAVFVDGEQRLAFDGHGGYKHYFKFGVYVQTDPSHYMEYSLKCDQ >LPERR12G03710.1 pep chromosome:Lperr_V1.4:12:2680497:2682530:-1 gene:LPERR12G03710 transcript:LPERR12G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRDMDSSRSQAPHKSSSFSPATTARDADDAAAGNGKPIDRHLSLGAARQHADHHPPIPTAVAIKEECEDEAAAERDHAAAAGGGDGEIGEPDLAAISAEIDAFIAGGGEPVSEATLERFASAVENEIAESESASDKWAPGPNGEPRPLLAAISRLASLAAALAGKSHRVTAVLHRAMAFLEDEFHALLESNASPSPPEHEPDRCVLPAAAAAAASDAEPHAPPYPTETVDRLRSMADAMVSAGYVTECTQMFLVARRNAFDASLRAVGYDKPSSTDDVARMTWDALESEIATWTRAFRHATSVGLAAERDLAARVFSGRHVGRGVFADLARCVMLHMLSFTEAVSVTKRAAEKLFKVLDMYEVIRDAVPIIDAFIAADADADATTTALADLRDELAAVRSRIGEFSAAFFLELEISIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNSTLEQIFRHHNHNNNSKSSHDGGSENVNPFALQLMEVMELLQTNLEGKSRLYRDASLSNIFLMNNGRYMLQKIRGSPETNAMLGGEAWARKQSTNLRQYHKNYQRETWSRVLNLLKDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGSWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQTEKYVKLSADDVEAIIDELFDGNATSMGRRRT >LPERR12G03720.1 pep chromosome:Lperr_V1.4:12:2683688:2686240:-1 gene:LPERR12G03720 transcript:LPERR12G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDGRLRRALAAFGGGGADVLWDLVDAALAAAARXXXXXXXXXARDRPAELRARRDGIVERLYAAAGSGRCRSCDAPRSAAAAMADASPASADEEEADVDGLPNGEDDDGGGGAGMESKILAIRDFLEDPDQSEDELVSLLQCLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKDIVDDWVRRHNSGGDGGNSVLTDGDSPDKIQGRNYQNVQVSDFKYSPSPQRHKRSSNNNGIEPMVEKRRTSPAPTYHNNKQNNSIGYSTTSSSGPARTVREQKDTLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKGGGSLPAKHR >LPERR12G03730.1 pep chromosome:Lperr_V1.4:12:2687136:2695877:-1 gene:LPERR12G03730 transcript:LPERR12G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSSVFFAAQAVFLLLSTAAGDGLTAGFVRVDLPEGNFVVQSPYDVPQEQRYRYDAGAGVRTLWVYANDKPFNTVTPTNPRTEVRLADHDYTSGVWQFEGGNGDEIHNEDDGAHAITFILHVYNGTLHYHSGQVIEACLYDSWFQLNVIHDVSPSTIAVYINGEPRLVLVVTPKEKDLESEEALWALYERWCKAFHQERDRDGMRHDYTSRMWQFEGYGDVPSRTSRLSVMQIHNENGEHMPPLLCYTSTTAPLHYYSGQVVEACIYDRWFRLNLIHDIGASMVAVYINGEPRLAMVVTPRPVRLAELG >LPERR12G03740.1 pep chromosome:Lperr_V1.4:12:2696779:2711854:1 gene:LPERR12G03740 transcript:LPERR12G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNNPFGQPSTSPFGQTSSSPFGTQTGFGQASTTASNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGATSTGAFGQPSTPAFGTTSTGAFGQPSTPATSPAPAFGATSSTFGSGSSLFGQKPSFGSFGSSPGQSSAFGGTFQQTQSAFGSSTFGAASFGSTTTPSFGATTTPAFGTTAPPFGSTGFGSSTTPGFGSSGVAFGASSSGTSTGAFSFGSSQSFGQTSSTFGSTPFGTTPSPFGAQPSPFGSQAAAPAFGNQAGGTRIQPYALTPDPDSATSGTQPAAKLVSISAMEAYKAKSHEELRLEDYQRGDKGGPNPSVTPATAPNFPSPLNPPNPTNPFSSTPPNNPFTSSNPSIGFGSAPSPSPSLSANSTFPSSFGTAGINPNSFSTGSATNTQSTGLFSTSPGFAQQPLNTQPLSGFGSSTSALSTGNLFSTPTPGMTGGLFGSMPSPNSTTPTFQQFAPSQTSSMFSFQPPVQTARTGGFSGIPNTMNQAHIGEPTASQTNMVMQPRFVTNPFGTLPTMPQVDLGNGGSAPSVQYGISSLPVAEKPPMSRMPSSMVVPRHLSQRRIKLLPRKYNPTSDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGAVDRQQIPNNSADIDKGALAGSEFNNTAVSPTRSTAIESSIHCDDQTPNEPETLTRHGNGASIERLVPKLVHADYYTEPGLGELAAKERAEPGYCSRVRDFAVGRHGYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTSEQYTEGPRIDKYKEMLVKKAEEQGAEFISFDAAKGEWKFRVKHFSSNLSARRRRRRRRRCKSPPPFLPHAAQPSPDPNRPRQSTPRRRFHLPPRRGGEWEEEEWDREAAAAAGLVPKQATMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGTFGQPSTPAFGTPSSSPFGSSTPAFGASPAPAFGATSSTFGSGHVHNNPHICRIIVWTKAKLWWFWFLSWPVQCFWWYIPAIATSIWYQHFWCIKHPGLWHHNYSILWRYNYTFLWHNHPSVWFNINIFIRCYQFASIWYYRLWIFRNPSLRCKQHSRIWSFKLSFIWHIDYFGSSPSFGQTTSTFGSTPFGTSTSPFGTQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYSQTPDADSATSGAQPTAKLDSISAMEAYKSKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSTLNNQFPQNTSNAFPSTSVNNPFAAKPSTGFGSTSTSLFNSPFNSTQAASSSPFASTTSSPLFTQTSSPLFANSTPGFASSSPFSTSLTNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQSSTGFGSSTPAFSTGSLFSTPTPGMTGGLFGSTPSFFSTPTFQQPAPAPTPNMFSFQPPAQTAPTGGFPGISNTMNQAPFGQPTPGQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVAEKPLTSRTSLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRATVDRKPIPKNSADADKQKGALAETVFNKNVISPTKPTSIENGIHRDDHASNESETMTMDGNGTSVERLVPKLVHADYYTEPSLGELAAKERAEPGYCSRVRDFAVGRHNYGSIKFIGETDVRGLDLESIVEFNYREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEILVKKAEEQGAEFISFDAAKGEWKFKVKHFSSYGFGEAEINSC >LPERR12G03740.2 pep chromosome:Lperr_V1.4:12:2696779:2711854:1 gene:LPERR12G03740 transcript:LPERR12G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNNPFGQPSTSPFGQTSSSPFGTQTGFGQASTTASNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGATSTGAFGQPSTPAFGTTSTGAFGQPSTPAFGATSTGAFGQLSTPAFGVTSTGAFGQPSTPAFGVTSTGAFGQPSTPSPSPFGSSTPGSSLFGQKPSFGSFGSSPGQSSAFGGTFQQTQSAFGSSTFGAASFGSTTTPSFGATTTPAFGTTAPPFGSTGFGSSTTPGFGSSGVAFGASSSGTSTGAFSFGSSQSFGQTSSTFGSTPFGTTPSPFGAQPSPFGSQAAAPAFGNQAGGTRIQPYALTPDPDSATSGTQPAAKLVSISAMEAYKAKSHEELRLEDYQRGDKGGPNPSVTPATAPNFPSPLNPPNPTNPFSSTPPNNPFTSSNPSIGFGSAPSPSPFTSTTNSTLFSQTSSSLSANSTFPSPIASTTNSTLFSQPSSSLSANSTFPSSFGTAGINPNSFSTGSATNTQSTGLFSTSPGFAQQPLNTQPLSGFGSSTSALSTGNLFSTPTPGMTGGLFGSMPSPNSTTPTFQQFAPSQTSSMFSFQPPVQTARTGGFSGIPNTMNQAHIGEPTASQTNMVMQPRFVTNPFGTLPTMPQVDLGNGGSAPSVQYGISSLPVAEKPPMSRMPSSMVVPRHLSQRRIKLLPRKYNPTSDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGAVDRQQIPNNSADIDKGALAGSEFNNTAVSPTRSTAIESSIHCDDQTPNEPETLTRHGNGASIERLVPKLVHADYYTEPGLGELAAKERAEPGYCSRVRDFAVGRHGYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTSEQYTEGPRIDKYKEMLVKKAEEQGAEFISFDAAKGEWKFRVKHFSSNLSARRRRRRRRRCKSPPPFLPHAAQPSPDPNRPRQSTPRRRFHLPPRRGGEWEEEEWDREAAAAAGLVPKQATMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGTFGQPSTPAFGTPSSSPFGSSTPAFGASPAPAFGATSSTFGSGHVHNNPHICRIIVWTKAKLWWFWFLSWPVQCFWWYIPAIATSIWYQHFWCIKHPGLWHHNYSILWRYNYTFLWHNHPSVWFNINIFIRCYQFASIWYYRLWIFRNPSLRCKQHSRIWSFKLSFIWHIDYFGSSPSFGQTTSTFGSTPFGTSTSPFGTQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYSQTPDADSATSGAQPTAKLDSISAMEAYKSKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSTLNNQFPQNTSNAFPSTSVNNPFAAKPSTGFGSTSTSLFNSPFNSTQAASSSPFASTTSSPLFTQTSSPLFANSTPGFASSSPFSTSLTNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQSSTGFGSSTPAFSTGSLFSTPTPGMTGGLFGSTPSFFSTPTFQQPAPAPTPNMFSFQPPAQTAPTGGFPGISNTMNQAPFGQPTPGQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVAEKPLTSRTSLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRATVDRKPIPKNSADADKQKGALAETVFNKNVISPTKPTSIENGIHRDDHASNESETMTMDGNGTSVERLVPKLVHADYYTEPSLGELAAKERAEPGYCSRVRDFAVGRHNYGSIKFIGETDVRGLDLESIVEFNYREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEILVKKAEEQGAEFISFDAAKGEWKFKVKHFSSYGFGEAEINSC >LPERR12G03740.3 pep chromosome:Lperr_V1.4:12:2696779:2711854:1 gene:LPERR12G03740 transcript:LPERR12G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNNPFGQPSTSPFGQTSSSPFGTQTGFGQASTTASNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGATSTGAFGQPSTPAFGTTSTGAFGQPSTPAFGATSTGAFGQLSTPAFGVTSTGAFGQPSTPAFGVTSTGAFGQPSTPSPSPFGSSTPGSSLFGQKPSFGSFGSSPGQSSAFGGTFQQTQSAFGSSTFGAASFGSTTTPSFGATTTPAFGTTAPPFGSTGFGSSTTPGFGSSGVAFGASSSGTSTGAFSFGSSQSFGQTSSTFGSTPFGTTPSPFGAQPSPFGSQAAAPAFGNQAGGTRIQPYALTPDPDSATSGTQPAAKLVSISAMEAYKAKSHEELRLEDYQRGDKGGPNPSVTPATAPNFPSPLNPPNPTNPFSSTPPNNPFTSSNPSIGFGSAPSPSPFTSTTNSTLFSQTSSSLSANSTFPSPIASTTNSTLFSQPSSSLSANSTFPSSFGTAGINPNSFSTGSATNTQSTGLFSTSPGFAQQPLNTQPLSGFGSSTSALSTGNLFSTPTPGMTGGLFGSMPSPNSTTPTFQQFAPSQTSSMFSFQPPVQTARTGGFSGIPNTMNQAHIGEPTASQTNMVMQPRFVTNPFGTLPTMPQVDLGNGGSAPSVQYGISSLPVAEKPPMSRMPSSMVVPRHLSQRRIKLLPRKYNPTSDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGAVDRQQIPNNSADIDKGALAGSEFNNTAVSPTRSTAIESSIHCDDQTPNEPETLTRHGNGASIERLVPKLVHADYYTEPGLGELAAKERAEPGYCSRVRDFAVGRHGYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTSEQYTEGPRIDKYKEMLVKKAEEQGAEFISFDAAKGEWKFRVKHFSSNLSARRRRRRRRRCKSPPPFLPHAAQPSPDPNRPRQSTPRRRFHLPPRRGGEWEEEEWDREAAAAAGLVPKQATMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGSSPFGATSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGTFGQPSTPAFGTPSSSPFGSSTPAFGASPAPAFGATSSTFGSGSLFGQKPSFGGFGSSPGQSSAFGGTFQQSQPAFGTSTFGASSTPAFGTTTTPSFGATTTPSFGTTTPAFGSTSTSLFGATSSPAFGTTGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTTSTFGSTPFGTSTSPFGTQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYSQTPDADSATSGAQPTAKLDSISAMEAYKSKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSTLNNQFPQNTSNAFPSTSVNNPFAAKPSTGFGSTSTSLFNSPFNSTQAASSSPFASTTSSPLFTQTSSPLFANSTPGFASSSPFSTSLTNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQSSTGFGSSTPAFSTGSLFSTPTPGMTGGLFGSTPSFFSTPTFQQPAPAPTPNMFSFQPPAQTAPTGGFPGISNTMNQAPFGQPTPGQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVAEKPLTSRTSLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRATVDRKPIPKNSADADKQKGALAETVFNKNVISPTKPTSIENGIHRDDHASNESETMTMDGNGTSVERLVPKLVHADYYTEPSLGELAAKERAEPGYCSRVRDFAVGRHNYGSIKFIGETDVRGLDLESIVEFNYREVIVYKDDSKKPPVGEGLNKPAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEILVKKAEEQGAEFISFDAAKGEWKFKVKHFSSYGFGEAEINSC >LPERR12G03750.1 pep chromosome:Lperr_V1.4:12:2713070:2714062:-1 gene:LPERR12G03750 transcript:LPERR12G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSWLFLLAAFIAAASLSSPAAGGGGGGNNPTAGFVKVDLTDGDFQVQSPYNVPESQRFRYRNGVRTFWVYDSDKPFNTATHTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAEHATILMLHVYNGVLQFYDGTIVENNIYDRWFRLNVVHDAAASTVAVYVDGRHKFSTNAIPSKSYYFKFGVYMQHHDWSNCMESQWTNVTVYTKSY >LPERR12G03760.1 pep chromosome:Lperr_V1.4:12:2715633:2726390:1 gene:LPERR12G03760 transcript:LPERR12G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAAAAAAAAADHSIDYKLSALLQEVRPSAAALRAAGEAADAVAGLIRKVPTQQANPEDVSAFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLYVIEKSLRSSPSIQKISWSTFLDEARKPVLHVYPATEIAELPGFYVRIIPTASSLFNVSKLNLSTRNNVRAYTKDGINLPTPKYNCSILEDIFLEENAEFINSSVADWKTLQEALVLVWARQRTSIYAHDCLNGYLISAILVFLTVDSGGNLINRSMTTRQIFRVVMKFLATSKVWMKGLVIQPNKKRTITKEDIACFLKIFDVVICDVSGHVNLAFRMTKSAFIELQDEAACALNCLDKCRDGGFEELFMAKVDLCAKFDSCLRINLKGNSKIVTSSLCLDDLSWRELEKNVQSLLQQGLTDRTKMIRVLWRSTPSEWNITDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAYDTFAKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCIQSLEVMIQLEGSGNWPLDPVAMEKTKFAFLLKMGESLEDRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVLQKRAGDDKTQTVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVSFDWTFSPMIIDINSDFNLKDEKEINDNFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKPVLKRMASYAKSSAELLTNLILHGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHHVLFPAETPNGKLVIRGKPSKDFHPYMALNKGIVKSLHDARDKLLVNFDPTTCFLRDLKCAFPKSLKLWYDSIGGDAVGLTWEHSKKRGRDESDETMLEPASILKEVGNVGKGSMILGQREYELHSFSVPNTNCNAEIYVQYNTDNQKAVVNIGDLFWSDELIKKTSMPLTAPTLSVLSIVEDDVVFLYLDEIKVADSVVQTWNSRELFSIFEFHADGIGIPLRLPARIIASYFSSYLNRQGAQLASNK >LPERR12G03760.2 pep chromosome:Lperr_V1.4:12:2715633:2726390:1 gene:LPERR12G03760 transcript:LPERR12G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAAAAAAAAADHSIDYKLSALLQEVRPSAAALRAAGEAADAVAGLIRKVPTQQANPEDVSAFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLYVIEKSLRSSPSIQKISWSTFLDEARKPVLHVYPATEIAELPGFYVRIIPTASSLFNVSKLNLSTRNNVRAYTKDGINLPTPKYNCSILEDIFLEENAEFINSSVADWKTLQEALVLVWARQRTSIYAHDCLNGYLISAILVFLTVDSGGNLINRSMTTRQIFRVVMKFLATSKVWMKGLVIQPNKKRTITKEDIACFLKIFDVVICDVSGHVNLAFRMTKSAFIELQDEAACALNCLDKCRDGGFEELFMAKVDLCAKFDSCLRINLKGNSKIVTSSLCLDDLSWRELEKNVQSLLQQGLTDRTKMIRVLWRSTPSEWNITDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAYDTFAKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCIQSLEDRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVLQKRAGDDKTQTVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVSFDWTFSPMIIDINSDFNLKDEKEINDNFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKPVLKRMASYAKSSAELLTNLILHGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHHVLFPAETPNGKLVIRGKPSKDFHPYMALNKGIVKSLHDARDKLLVNFDPTTCFLRDLKCAFPKSLKLWYDSIGGDAVGLTWEHSKKRGRDESDETMLEPASILKEVGNVGKGSMILGQREYELHSFSVPNTNCNAEIYVQYNTDNQKAVVNIGDLFWSDELIKKTSMPLTAPTLSVLSIVEDDVVFLYLDEIKVADSVVQTWNSRELFSIFEFHADGIGIPLRLPARIIASYFSSYLNRQGAQLASNK >LPERR12G03760.3 pep chromosome:Lperr_V1.4:12:2715633:2726390:1 gene:LPERR12G03760 transcript:LPERR12G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAAAAAAAAADHSIDYKLSALLQEVRPSAAALRAAGEAADAVAGLIRKVPTQQANPEDVSAFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLYVIEKSLRSSPSIQKISWSTFLDEARKPVLHVYPATEIAELPGFYVRIIPTASSLFNVSKLNLSTRNNVRAYTKDGINLPTPKYNCSILEDIFLEENAEFINSSVADWKTLQEALVLVWARQRTSIYAHDCLNGYLISAILVFLTVDSGGNLINRSMTTRQIFRVVMKFLATSKVWMKGLVIQPNKKRTITKEDIACFLKIFDVVICDVSGHVNLAFRMTKSAFIELQDEAACALNCLDKCRDGGFEELFMAKVDLCAKFDSCLRINLKGNSKIVTSSLCLDDLSWRELEKNVQSLLQQGLTDRTKMIRVLWRSTPSEWNITDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAYDTFAKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCIQSLEVMIQLEGSGNWPLDPVAMEKTKFAFLLKMGESLEDRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVLQKRAGDDKTQTVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVSFDWTFSPMIIDINSDFNLKDEKEINDNFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKPVLKRMASYAKSSAELLTNLILHGQSGQYTWELVIRGKPSKDFHPYMALNKGIVKSLHDARDKLLVNFDPTTCFLRDLKCAFPKSLKLWYDSIGGDAVGLTWEHSKKRGRDESDETMLEPASILKEVGNVGKGSMILGQREYELHSFSVPNTNCNAEIYVQYNTDNQKAVVNIGDLFWSDELIKKTSMPLTAPTLSVLSIVEDDVVFLYLDEIKVADSVVQTWNSRELFSIFEFHADGIGIPLRLPARIIASYFSSYLNRQGAQLASNK >LPERR12G03760.4 pep chromosome:Lperr_V1.4:12:2715633:2726390:1 gene:LPERR12G03760 transcript:LPERR12G03760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAAAAAAAAADHSIDYKLSALLQEVRPSAAALRAAGEAADAVAGLIRKVPTQQANPEDVSAFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLYVIEKSLRSSPSIQKISWSTFLDEARKPVLHVYPATEIAELPGFYVRIIPTASSLFNVSKLNLSTRNNVRAYTKDGINLPTPKYNCSILEDIFLEENAEFINSSVADWKTLQEALVLVWARQRTSIYAHDCLNGYLISAILVFLTVDSGGNLINRSMTTRQIFRVVMKFLATSKVWMKGLVIQPNKKRTITKEDIACFLKIFDVVICDVSGHVNLAFRMTKSAFIELQDEAACALNCLDKCRDGGFEELFMAKVDLCAKFDSCLRINLKGNSKIVTSSLCLDDLSWRELEKNVQSLLQQGLTDRTKMIRVLWRSTPSEWNITDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAYDTFAKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCIQSLEDRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVLQKRAGDDKTQTVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVSFDWTFSPMIIDINSDFNLKDEKEINDNFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKPVLKRMASYAKSSAELLTNLILHGQSGQYTWELVIRGKPSKDFHPYMALNKGIVKSLHDARDKLLVNFDPTTCFLRDLKCAFPKSLKLWYDSIGGDAVGLTWEHSKKRGRDESDETMLEPASILKEVGNVGKGSMILGQREYELHSFSVPNTNCNAEIYVQYNTDNQKAVVNIGDLFWSDELIKKTSMPLTAPTLSVLSIVEDDVVFLYLDEIKVADSVVQTWNSRELFSIFEFHADGIGIPLRLPARIIASYFSSYLNRQGAQLASNK >LPERR12G03770.1 pep chromosome:Lperr_V1.4:12:2727052:2735188:-1 gene:LPERR12G03770 transcript:LPERR12G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHTLWASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLFSFWVVRSRKYRGLSLTSKDVGIVLSVSGIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.2 pep chromosome:Lperr_V1.4:12:2727052:2734819:-1 gene:LPERR12G03770 transcript:LPERR12G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLFSFWVVRSRKYRGLSLTSKDVGIVLSVSGIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.3 pep chromosome:Lperr_V1.4:12:2727052:2734819:-1 gene:LPERR12G03770 transcript:LPERR12G03770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKGSCIAQAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLFSFWVVRSRKYRGLSLTSKDVGIVLSVSGIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.4 pep chromosome:Lperr_V1.4:12:2727052:2735188:-1 gene:LPERR12G03770 transcript:LPERR12G03770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHTLWASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLSAIILYRIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.5 pep chromosome:Lperr_V1.4:12:2727052:2734819:-1 gene:LPERR12G03770 transcript:LPERR12G03770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLSAIILYRIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.6 pep chromosome:Lperr_V1.4:12:2727052:2734819:-1 gene:LPERR12G03770 transcript:LPERR12G03770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKGSCIAQAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLSAIILYRIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVLRASDIFEPVAANGLRSGLTSLPANERTNLQKDSGISCADNSSDILKSGFIVKGVDNGTPSNPSLPRDYPTDHYQQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03770.7 pep chromosome:Lperr_V1.4:12:2727052:2735188:-1 gene:LPERR12G03770 transcript:LPERR12G03770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHTLWASYFLARTSSSVPWGIFADKYGRKPCIVISIISVIIFNTLFGLSTTYWMAIVSRGLLGLLYGILGPIKAYTSEVCMKEHRALGMSLETLHMHHDDRVEAINTLEAQDVASVSEETAQESGSGRMRHEKNLLKNWQLLSAIILYRIGVFVYQFVIYPLLHKYVGSIKQICYATILSIAVVSSYPFMAKLYGVELKATITTACNILQNNVVQHEHLDDIPAEKSLEISVVCLENSVLRTGGIVEKPAINFATPDCKFRGVLLEEVINSPNNDAGTPNPKDSPFGLQSLFSEENMDVSIPHDGVVREQGEKMEVLSSDIETPVRELHDIGLATNASPVNKTQICDSQSSPICDEVHLNSIPSKLEPPDVFNQDHEVLCSEHIEDQALPGIPSSGFSEAVPIELPENETMLLEAADTSERLDEKLNPKPECDELEEHNLSCVKDAEDSFDTEFVKYSIFRFTENSQQMGLSNDQFFFENYTVQRRHSQRMHTHPYKRTYKSVFFFRVRAL >LPERR12G03780.1 pep chromosome:Lperr_V1.4:12:2739577:2740950:1 gene:LPERR12G03780 transcript:LPERR12G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIERARIKERDGRGPCPLPSAVLRHGAVGQSWPLPQNGLLRNHSWWPYKIRALFTERRRLPNGTVPSHGPTGRGDVRTYREEDGSGEVRRRWRRRRLGCRAPCTATAAPVLASSPTSPSAVRRREKRHVKLVFFLSGQVKCDSGHARAFIISRWSTAQASATRN >LPERR12G03790.1 pep chromosome:Lperr_V1.4:12:2749020:2756151:1 gene:LPERR12G03790 transcript:LPERR12G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >LPERR12G03790.2 pep chromosome:Lperr_V1.4:12:2749023:2756151:1 gene:LPERR12G03790 transcript:LPERR12G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >LPERR12G03790.3 pep chromosome:Lperr_V1.4:12:2749278:2756151:1 gene:LPERR12G03790 transcript:LPERR12G03790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >LPERR12G03790.4 pep chromosome:Lperr_V1.4:12:2749023:2754868:1 gene:LPERR12G03790 transcript:LPERR12G03790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >LPERR12G03800.1 pep chromosome:Lperr_V1.4:12:2756980:2759285:-1 gene:LPERR12G03800 transcript:LPERR12G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGADVADPGEHQRQRRRPPLDAAGADDEGAAAATFAPMMMMMWRRRQAEEMTAMVSALARVVAGGGGGAVPSLPAKRPAEREEPTAVEEAWWCGELAAGAMPFSPTPTPAAAPFPAAASTSAAAAAAAAAAEEEEEIPSPSSADSGGGGGGATRKRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAAAAYDAAALRFRGSRAKLNFPEHATLPTTPPTPASQRRLPPPTPRPDALLESAAGAGGVGGGDPYSMYARLLQIQSACDGEAAAERTTTPPSSSTLLYSFGGGGGGGMTWPASSPAVTWEEYGFFRPPERRDTDT >LPERR12G03810.1 pep chromosome:Lperr_V1.4:12:2767555:2770764:-1 gene:LPERR12G03810 transcript:LPERR12G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKMRFAMVCSSNMNRSMEAHSQLGRAGLDVASYGTGTHVKLPGPSLHEPNVYEFGTPYNVIYNDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDFCQKLEATNDDWEEIIDDLIITFEKQHKRKLTYNMAFY >LPERR12G03820.1 pep chromosome:Lperr_V1.4:12:2772042:2778544:1 gene:LPERR12G03820 transcript:LPERR12G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSSTLELFSRHLAAASSAWGVASSRAPPNQGTWRETRGGASLWCPTRSFHATRRMNTRDYYDVLGVNKDASASDIKKAYYVLAKKFHPDTNKEDANAEKKFQEVQRAYEVLKDEDKRETYDQLGAEAYERQASGGGPDDFSGSNPFNDIFSDMFDNPFGTRGGQDVKMEVVFLLALYLKHVKLVEVLIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSLKLDIMAGIDENDTMKVCGKGGADVERNKPGDLYVTIKIREDPIFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVLLRGKGIKARNSPAYGNQYIHFNVRVPTEVTQRQRELMEEFDKEECNEERVAAASG >LPERR12G03830.1 pep chromosome:Lperr_V1.4:12:2780426:2785709:1 gene:LPERR12G03830 transcript:LPERR12G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLQKRRKALKMRGVPVDVGGEPGYAIRNHRFKQPVETHWDGVTTLAELFEQSCKEYVHMPLLGTRKLISREIESSPDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLRHQKSERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISAQLDTVKRVIYINEEGISAEVSLAQNSTSWVIEPFEDVVRLGNEAPVDANMPLPSDIAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPKLGRKDIYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYSRRLAAVNGSWLGAWGLEKLLWDMLVFKKVCAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKSEAKTSEVYKDDERGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHSELENWALQQGVAYTDIADLCQKPEAVKEVLASLSKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYEDDLAQLYA >LPERR12G03830.2 pep chromosome:Lperr_V1.4:12:2780332:2781025:1 gene:LPERR12G03830 transcript:LPERR12G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFWAEELRPIKEKRRFGWWGQEKHRPHSNHTKPNSCPFPRRRFVPPPPHSSPPLPILRPPRRAAITAAASLKYT >LPERR12G03840.1 pep chromosome:Lperr_V1.4:12:2802898:2803635:1 gene:LPERR12G03840 transcript:LPERR12G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTLCNACGVRLRAVGSLLDRPPPPPPATTVSPPESPIWTPPNTGDIYLVRKIPPKLATRGAPNKNQSSPPPPPSPPSPAPAPKTTKARKKKEKKKKTCLHCGSSETPQWREGPMGRGTLCNACGVRHRQGRLLPEYRPKGSPTFIPAIHAANHRQVLKLRRQQSHRQSINNQERAAVNEQILQLQQQVSPPPQEEPVAAAAGAGAGDGDGGGKRTSLDALLLEGPSAPLIVDGDADDDILVS >LPERR12G03850.1 pep chromosome:Lperr_V1.4:12:2808232:2810672:-1 gene:LPERR12G03850 transcript:LPERR12G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRVD >LPERR12G03860.1 pep chromosome:Lperr_V1.4:12:2812218:2817444:-1 gene:LPERR12G03860 transcript:LPERR12G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGADSDEFYISPNVKAPRVAGLLVKMFVWILEMPIIGPMVLYILKKDNLINKNVCLTKPDLSPAERVQEAINCLPASLESTLTDAPSSSLKRWTIRDFNKAYRSGEVTPVQVAKRFLAAVKESSSPGLNMAFFISYNPDDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRRRPCTADAAVVAQLRSCGAVLAGKTNMHELGAGTSGINPHHGSARNPYDAGRVAGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMAGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYAKWFNDSAQDIRSCCDKALQTLHAQYGWETLDVTVPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDARVALSVYGSFSSKAYLNSQRLRSRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKTGELDYINGAALVRYSIAGNFLGLPAITIMEACKKNYNKPAVFYDLLKKE >LPERR12G03870.1 pep chromosome:Lperr_V1.4:12:2824516:2830659:-1 gene:LPERR12G03870 transcript:LPERR12G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGKDASKEGLMTSNLQLGELLSNDNQHMVFMVMDRTSWLWRRKPSDKSPGGIENTVSVPSHSEHYSDDQEVLRPVSNNASSHTGQSPGMSSRIRDDGTQETRVTKSLGEKLVLEDKLNDSSLQHGQSSDPQSSSNVKDEDFKENLKSLNQKLAAALLTISAKEDLVRQHAKVTEEAVLGWEQAESEVTALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSHLDEALKECVRQLHLAREDQEEKVRDVVTKSQELESENSKLQNCITELKKQLETTKLESSNMSIEHGLQDMFQAIKKENSDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLESVKKIARLEAECRRLQHLTRKTTLINDSRPLANNTCGESLTDSHSDSAERMAAVDNELRNSDLWASSLVAELDQFKNGKADDKNIVNNSVEIDLMNDFLEMERLAALPESDRTSSTFDMETDSDKAVSRNNSSKIETEELRSQLADLHEQIEKIESEKKELETALMEARNQLDISCNALVAAKNRLVEMQMELDSANDSKHAALVDLEGLDSEKKSLEFQLESKSVQVEELLMVVASLEENAGRKELESQLEQLSAETQELRLTVTSLEERIESEKALSVQHQAKAEAACNAKELLEEQLYSANTEVGRLHGIVKELEDEVAKEKVRQEELTAELEMKIETTVESVKNSLEAQLCSANTEVGRLHDIVQALENDIQKEKALHKERTSELEGKIEEERTRSVQTVKESLEAQLCSSNTEVLKLRDIVKELENEVEKEKTLHEDLAAQLEVKIKAERTLSVESIRESFQAELQLVNSEVVELRGTVCALEHEVVKEKTFSAELQMQLEALEAIKKVLESEVEAAHQDNRKLNEKVESFEARLKEQVSSAVEFIAKEEAMQSERRAMKQQLEAAKIEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEVQLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNMIVEPERLEPREIREMPLDFRNSDSDFAVFADELYDFDLPKQLLFTTTVDPALFPSLRNVSVCRRAVFA >LPERR12G03870.2 pep chromosome:Lperr_V1.4:12:2824516:2829146:-1 gene:LPERR12G03870 transcript:LPERR12G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSWLWRRKPSDKSPGGIENTVSVPSHSEHYSDDQEVLRPVSNNASSHTGQSPGMSSRIRDDGTQETRVTKSLGEKLVLEDKLNDSSLQHGQSSDPQSSSNVKDEDFKENLKSLNQKLAAALLTISAKEDLVRQHAKVTEEAVLGWEQAESEVTALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSHLDEALKECVRQLHLAREDQEEKVRDVVTKSQELESENSKLQNCITELKKQLETTKLESSNMSIEHGLQDMFQAIKKENSDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLESVKKIARLEAECRRLQHLTRKTTLINDSRPLANNTCGESLTDSHSDSAERMAAVDNELRNSDLWASSLVAELDQFKNGKADDKNIVNNSVEIDLMNDFLEMERLAALPESDRTSSTFDMETDSDKAVSRNNSSKIETEELRSQLADLHEQIEKIESEKKELETALMEARNQLDISCNALVAAKNRLVEMQMELDSANDSKHAALVDLEGLDSEKKSLEFQLESKSVQVEELLMVVASLEENAGRKELESQLEQLSAETQELRLTVTSLEERIESEKALSVQHQAKAEAACNAKELLEEQLYSANTEVGRLHGIVKELEDEVAKEKVRQEELTAELEMKIETTVESVKNSLEAQLCSANTEVGRLHDIVQALENDIQKEKALHKERTSELEGKIEEERTRSVQTVKESLEAQLCSSNTEVLKLRDIVKELENEVEKEKTLHEDLAAQLEVKIKAERTLSVESIRESFQAELQLVNSEVVELRGTVCALEHEVVKEKTFSAELQMQLEALEAIKKVLESEVEAAHQDNRKLNEKVESFEARLKEQVSSAVEFIAKEEAMQSERRAMKQQLEAAKIEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEVQLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNMIVEPERLEPREIREMPLDFRNSDSDFAVFADELYDFDLPKQLLFTTTVDPALFPSLRNVSVCRRAVFA >LPERR12G03880.1 pep chromosome:Lperr_V1.4:12:2833348:2838059:-1 gene:LPERR12G03880 transcript:LPERR12G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSATAPPNRRTRSRPPSASSRKSDDPSAAATGAAANGNGKASSKPTSPSQLTGERTVRKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQKMREFRVNSVVVTTGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVVDREGQITACLDVLQLTHAAIQLVEGSNGTVNDVANTVMQRFWDSTLALESHDEECDSRSEVSLPLASEAGDGKSSIYPPVIGNSFAYKLQDQKGRSESLNELVYSIKQRLSIIDEEGKMQLLYEDDEGDRVLLTSDTDLAGAVLHVKSSGLKVLKLHIDLSDSSTEATKPSQVLAPARKSRSSPVRFGLMVGVVALSGAAVMVYLKRAKM >LPERR12G03890.1 pep chromosome:Lperr_V1.4:12:2841904:2842140:1 gene:LPERR12G03890 transcript:LPERR12G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQPNFKKVIGEVKQAESVPPVQKKTAAPKEPKAKEVKKEAPKEAPKPKVEVPDSQRKRRHQSRNQRMLLIYCHQAR >LPERR12G03900.1 pep chromosome:Lperr_V1.4:12:2843066:2843340:1 gene:LPERR12G03900 transcript:LPERR12G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGYGSSVDIPEFVMDEVYDMELSDEAQKERVNAMIEDQEPFEGEELLDAKCFKRAA >LPERR12G03910.1 pep chromosome:Lperr_V1.4:12:2845280:2848024:-1 gene:LPERR12G03910 transcript:LPERR12G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWWRPRSRAIPFLPLLILAPLFYSIFTTYNSDPAEAGKVSSDVVTVGKHSYSKVGRSMAILNTFISFMQVSMPTSNVIILTDPNSKFSLNHGSAAILPIEGNYTRENLMLQRIRSYIAFLEQRLEELETMEDVYHIIFTDSDIAVVTDLGDIFKIYPNCHLALTFRNNKGQPLNSGFVAVRGTRDGVSKAVEFFKEVLEAYQLNYMKASRMLGDQLALVWVVKSHLPSAFRKFSKHED >LPERR12G03920.1 pep chromosome:Lperr_V1.4:12:2853571:2854133:-1 gene:LPERR12G03920 transcript:LPERR12G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSRPRSRAIPFLPLLIFLAPLFYSGTAPPTPTPLDSREQQLQLIWASPPERGQCLPPPTASEGRLCHPPPTTLKRPDHLVLGPTAGQGRPDRLQCQENQELLYEHWANP >LPERR12G03930.1 pep chromosome:Lperr_V1.4:12:2854394:2855344:-1 gene:LPERR12G03930 transcript:LPERR12G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVTTDAAPTTGSNLCLVATDLGAATREGSVPATALRAKGRQCLPPPTAPKRPNRLVLGPAAGQEEEA >LPERR12G03940.1 pep chromosome:Lperr_V1.4:12:2855733:2856361:-1 gene:LPERR12G03940 transcript:LPERR12G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPKQSHPLPPAPLLLILLVPLFYSVSKLQLIWAPPPERGQFLSSPFVSKGRRCLPPPTAPRRPDRLMLGPAAGQHRPDCLQCQGVCTKE >LPERR12G03950.1 pep chromosome:Lperr_V1.4:12:2857098:2860135:-1 gene:LPERR12G03950 transcript:LPERR12G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMTIFEKEPRIHKDVFVAPSAAVIGDVQIGNGSSIWYGSILRGDVNSIHIGSGTNIQDNSLVHVSKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMAFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >LPERR12G03960.1 pep chromosome:Lperr_V1.4:12:2862437:2866397:-1 gene:LPERR12G03960 transcript:LPERR12G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSASIHAPAAAEASPSHRRIAGPQPLPIHLAEKGNKENNPSQDRHNILDCPTLCEYKGRGATSKKRKAKPAAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLSGSSQLKSPASGCSSSTFSPLSRFGKSGNTSVLKEVGENSRGKFPAKYLSTENKGRKLFASSIASGQDERKAPVKKVPNSSAAAQILRIPKKSQPSLPVVSRTRSTSSVTNVPKPTTKPATVKSKHIHKVEGPLLKSKTEKPSVTKSSQPTIGKDMVPTLNATCGEANGSGKCRTSSPVSQDNPSSSVVVPSPISTKPSALRMPSPSLGFFAQGKAYVSHSDSAKINPERCFSGNIPSLVKPPRYKQPLDLKSRFHLSKQLPTNVSDASNLHVQSVNNDSTTKVSVSSFPGLLDANDCSEKQSLSKSSIPFSSIESVEDSCFLKVIPSSSESTIGSKLTASFKLDSNDSNIDGEMELMDDALAAKEAPRLHEGAEWDHDCRSTECSPMNLPSPCVDQEAQSASLIEKTDTADGIIKSHHSLTEEIRPVLSEEQDTEDRIEFDTNKLSSSEGVSNIGTNNSVHKSRTNTISKDHLKNLVPFTEEWLAVVEAFGEEVLEKKSGAVQNSPTDKTAPEPSPWSPVKRKAQDVGPFACTKYSKTVLSSNTP >LPERR12G03970.1 pep chromosome:Lperr_V1.4:12:2869051:2870575:-1 gene:LPERR12G03970 transcript:LPERR12G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLLKSSFLPKKSDWGATRQLAAVTRPASVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTESNRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGRKIVDILAEQGIVPGIKVDKGLVPLAGSNSESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAIMHRPDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFFYMSQNTVMFEGILLKPSMVTPGAESKDKAMPEQVADYTLRLLHRRIPPAVPGIMFLSGGQSEVEATQNQGENPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEANEGMFVKNYSY >LPERR12G03980.1 pep chromosome:Lperr_V1.4:12:2871306:2872345:-1 gene:LPERR12G03980 transcript:LPERR12G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISFALGVRFVHLPDAQLRNIIYALDDHGKEANRHRASGRLVYHLAEIFNPARGYAQIPFMADDHLHDNDMTGLAWITLLVGNS >LPERR12G03980.2 pep chromosome:Lperr_V1.4:12:2871306:2872345:-1 gene:LPERR12G03980 transcript:LPERR12G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISFALGVRFVHLPDAQLRNIIYALDDHGKEANRHRASGRLVYHLAEIFNPARGSPYQFMADDHLHDNDMTGLAWITLLVGNS >LPERR12G03990.1 pep chromosome:Lperr_V1.4:12:2872130:2875333:1 gene:LPERR12G03990 transcript:LPERR12G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLSWASGRWTKRTPSAKLMASMRLNLPTPFAKKGPMDRLVRLYYGGSVIEQNGSHFEGMNIKQPVFGSKPSLEEAVRRTRMCWGWSNESVCKVDMMLVLICFSLSLIYVIMPRHPIFYLAMLIIMLKCEMQCLRGSFCMPFMHMFRGSPT >LPERR12G04000.1 pep chromosome:Lperr_V1.4:12:2881997:2886392:-1 gene:LPERR12G04000 transcript:LPERR12G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQPHGQGREKASRLPPPVTAHKTANVRDHYRIGKKLGQGQFGTTYLCVAKSDGGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHRNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLTRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGAGRRTMRNSLHVNLGDILKPSEN >LPERR12G04010.1 pep chromosome:Lperr_V1.4:12:2889431:2893608:-1 gene:LPERR12G04010 transcript:LPERR12G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLSLPLRLRLLPAPPPCSSSSYPTRRLAVPQRCRAVPTPPPAVPRWQSALAAAAGLYPAYVTAGAAVAVARPETFGWFVELAPGSYTFALGFIMLAMGLTLELRDFLALLRERPLAILFGCAAQYTIMPAFGAIVSRVLGLSPALSVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSIVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTISDASYGSGNLFSGDTGVVILSVFLLHFAGFIVGYLTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPAALSAVVMNIMGSTLGLVWQYMTPPDSKTGTVDIPDND >LPERR12G04010.2 pep chromosome:Lperr_V1.4:12:2890236:2893608:-1 gene:LPERR12G04010 transcript:LPERR12G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLSLPLRLRLLPAPPPCSSSSYPTRRLAVPQRCRAVPTPPPAVPRWQSALAAAAGLYPAYVTAGAAVAVARPETFGWFVELAPGSYTFALGFIMLAMGLTLELRDFLALLRERPLAILFGCAAQYTIMPAFGAIVSRVLGLSPALSVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSIVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTISDASYGSGNLFSGDTGVVILSVFLLHFAGFIVGYLTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPAALSAVVMNIMGSTLGLVWQYMTPPDSKTGTVDIPDV >LPERR12G04020.1 pep chromosome:Lperr_V1.4:12:2896727:2899667:1 gene:LPERR12G04020 transcript:LPERR12G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRNFRREIFCFPSTAHAIFLRRFDMNRDQAAKLSPMNPLCYPFMSEEALLAMGMPPAPAPPPPPQLMPSASIRHMDWSPDTMLNNLTFIEEKIRQVKDVIRAMAGRSSATATAPDQQQLVNADLTCLIVQLISTAGSLLPSLKNSSFLSRSTPPPAAGAGAGGGGGSLAAGESSSSVRNEGNKEEEEEEMGSPDYEELFRGWANGDVLAAGDEELDVKVDAADVDGENPPPPAAAGTYQVLQLEEDEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPVVVGEEEPERRYSCPFVGCKRNRQHASFQPLKTILCVKNHYKRTHTEKRHVCGRCGAKRFSVMADLKTHEKHCGRDKWLCSCGTTFSRKDKLFAHVALFQGHAPALPPPSPPVTAPTGQRRQKKEEEVVAGDVGFVWGGGGGASTSCNDDDGLLDVKGIASVGVGAGGDEFFSPGGFGSTIDFGFGQLDVFPGDSSLGMQMLLSSEQFTAGDQEGNGEK >LPERR12G04030.1 pep chromosome:Lperr_V1.4:12:2902165:2906015:1 gene:LPERR12G04030 transcript:LPERR12G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLASAVILFLLLSGYFELPSISSISSPPPPLFATALDTVGSRDGSPFTALLSAFSAWDSAVGCPRIRAKLAGGANATTDAAAITGGAGWSGGAGGGGGRCEEMRTRHVGVMVKGWTWIPDALDGVYTCRCGVSCVWSKSAAVVDLPDALLFEGATPPQQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKINDALIYWSSSRCLPHRDKVAEAFLKLVPHHSFGKCLNNVGGPDMALSMYPVCSNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLRELASYVKTVANDPVAYAEYHAWRRCGILGNFGRSREMSLDTLPCRLCEVVSKRGGRNADAL >LPERR12G04030.2 pep chromosome:Lperr_V1.4:12:2902165:2905460:1 gene:LPERR12G04030 transcript:LPERR12G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLASAVILFLLLSGYFELPSISSISSPPPPLFATALDTVGSRDGSPFTALLSAFSAWDSAVGCPRIRAKLAGGANATTDAAAITGGAGWSGGAGGGGGRCEEMRTRHVGVMVKGWTWIPDALDGVYTCRCGVSCVWSKSAAVVDLPDALLFEGATPPQQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKINDALIYWSSSRCLPHRDKVAEAFLKLVPHHSFGKCLNNVGGPDMALSMYPVCSNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLRELASYVKTVANDPVAYAEYHAWRRCGILGNFGRSREMSLDTLPCRLCEVVSKRGGRNADAL >LPERR12G04040.1 pep chromosome:Lperr_V1.4:12:2912498:2914663:1 gene:LPERR12G04040 transcript:LPERR12G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWCLLVACLLLLSTASSPATAADPTDGFTAVKLDESNFVLQKPYDVQGSSRYSFDGTVRRLWVFSSDKPHTPQSNTSPRTEIRMTGYDYSSGVWQFEAYGYVPSGTTGVSIMQVFGGGETATTLMLHVYNGALRYYDRQVVESNIYDRWFRLNVIHDVDRSELVVFVDGRERLRVAGRGGDQHYFKFGVYAQRQPSGRMESRWRGVRILKKNN >LPERR12G04050.1 pep chromosome:Lperr_V1.4:12:2916555:2918481:1 gene:LPERR12G04050 transcript:LPERR12G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPTSSSWWLSAACLLLLSTATAADPTDGFTAVKLDESNFVLQKPYDVQGSSRYSFDGTVRRLWVFSSDKPHKPQSKTNPRTEIRMTVFGASDVKTTLMLHVYDGDLRYYDRKVVEPNIYDHWFRLNVIHDIGRSELVLFINGHERLRVAGHGGDRHYFKFGVYEQRRPSERMESRWRDVRILKKNKQLIEMDI >LPERR12G04060.1 pep chromosome:Lperr_V1.4:12:2919649:2920866:-1 gene:LPERR12G04060 transcript:LPERR12G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPLDDTYRDNWVASYSKTRGSFPDEKRQMVMSEKHDSFLELQGPSRAIVLIDPAIFEVDLKVKSDDEQTNDKPLASLVFYHDNTPYRDESHLETLTELTEHSTMEFKFAEIINAVEATIQRSVAVVEERGQLILGVDAVHEVEKDGGATATPVTMEYPFTPRTALRSKGGLTLDFARWILQLHGRCFRSFVHG >LPERR12G04060.2 pep chromosome:Lperr_V1.4:12:2919649:2920866:-1 gene:LPERR12G04060 transcript:LPERR12G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPLDDTYRDNWDSFLELQGPSRAIVLIDPAIFEVDLKVKSDDEQTNDKPLASLVFYHDNTPYRDESHLETLTELTEHSTMEFKFAEIINAVEATIQRSVAVVEERGQLILGVDAVHEVEKDGGATATPVTMEYPFTPRTALRSKGGLTLDFARWILQLHGRCFRSFVHG >LPERR12G04060.3 pep chromosome:Lperr_V1.4:12:2919649:2920866:-1 gene:LPERR12G04060 transcript:LPERR12G04060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPLDDTYRDNWDSFLELQGPSRAIVLIDPAIFEVDLKVKSDDEQTNDKPLASLVFYHDNTPYRDESHLETLTELTEHSTMEFKFAEIINAVEATIQVRVIQGSRDFRARFFIRTACIDEDFVLLNSKDRNVIIADDDDGLILFQRSVAVVEERGQLILGVDAVHEVEKDGGATATPVTMEYPFTPRTALRSKGGLTLDFARWILQLHGRCFRSFVHG >LPERR12G04070.1 pep chromosome:Lperr_V1.4:12:2923809:2929502:1 gene:LPERR12G04070 transcript:LPERR12G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGDAYRWARLPGELGLDGMAQRREMSLLLGITAVTSPFLRTRLAPAEHTSLLPFCAHANEQRQWRLGGSCFFVLSVRLYPPFLSSDLFRHAQGHVLWVVNLVFFLGLLVAPHLFDDLPERFFLLAEKEASRLAAPLLPLCKFLPDDVVRPNNIARGHKLEVFCGPEPATTIPVKEVYFNKEFSSTQIESSLLNQQIIASFSATAGPSYIRIERSTDGRYNLRDGWKDFVADANIKKGDTCAFHMYKKNGKVKLMVLVL >LPERR12G04070.2 pep chromosome:Lperr_V1.4:12:2922978:2929502:1 gene:LPERR12G04070 transcript:LPERR12G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLPHSLAQINRRCIRQIPIAAKSKSQHPQFRPIFSRTSSSRGDAYRWARLPGELGLDGMAQRREMSLLLGITAVTSPFLRTRLAPAEHTSLLPFCAHANEQRQWRLGGSCFFVLSVRLYPPFLSSDLFRHAQGHVLWVVNLVFFLGLLVAPHLFDDLPERFFLLAEKEASRLAAPLLPLCKFLPDDVVRPNNIARGHKLEVFCGPEPATTIPVKEVYFNKEFSSTQIESSLLNQQIIASFSATAGPSYIRIERSTDGRYNLRDGWKDFVADANIKKGDTCAFHMYKKNGKVKLMVLVL >LPERR12G04070.3 pep chromosome:Lperr_V1.4:12:2922978:2929502:1 gene:LPERR12G04070 transcript:LPERR12G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLPHSLAQINRRCIRQIPIAGGPGCRESWDWTAWRNGARCLCSLVSPRSRRPFSARVSHPLSIHPSSHFAPTPTNRDNGDWEGHVLWVVNLVFFLGLLVAPHLFDDLPERFFLLAEKEASRLAAPLLPLCKFLPDDVVRPNNIARGHKLEVFCGPEPATTIPVKEVYFNKEFSSTQIESSLLNQQIIASFSATAGPSYIRIERSTDGRYNLRDGWKDFVADANIKKGDTCAFHMYKKNGKVKLMVLVL >LPERR12G04080.1 pep chromosome:Lperr_V1.4:12:2931809:2939103:1 gene:LPERR12G04080 transcript:LPERR12G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLLPRFPFLLLRRCPLLRRHRRSCLAPPAAVSAARGREFGVSTFPFRACAFSRGVSGMAAGSPPPQEQQGCVEVRERVELTEKEELIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSGLLGEEQKGIGVIQCNPDQSKHLETARMLIFDVWIDFVNLRSEKYAENSRIPTVEIGTAEEDALRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKEAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPENCDRLCVSHIEVAWNLAHSIGCSLFSSGSDSKSQDEHRRLCLYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHSASEKFAELINLLESNENLATVKEKLDDEYLEIATDLVKRVLAGLILREIKDFWRVALFISTLTYPEVGSASDSISQRKEKYIRVERAIIDLELDNVWKMKPLLDGKAIMGIMQQQRLLKWQLAHPKGTMEECIDWMKQSQSKRQKVECNT >LPERR12G04090.1 pep chromosome:Lperr_V1.4:12:2940101:2949518:1 gene:LPERR12G04090 transcript:LPERR12G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDREAERASGEQGHKRADLEEPTEGGGGDTKRPREDPRDRARRLAMEVDFSEPEQNPLDGYRQSWATFYGANGATFEDETELPPMPNTDTPVLSSYAIPRDTMQVYTVKVTQITGGLQWPLAVYGLIARNVLFRRGRDECQTLTSLQACANDSLLELIGPSCAIILFDEPLFEIDLKVKGEGPSAEDMVLSYDAFLYNNIVNCAKASYAINKLVPSDNSMMEIKFAHLALTVEATIAIRVVSGSNGFSARFTARTKSIDEDMVLLDSRGRNVPTADDGLRPRLDRFKVPKNFENCSLFGTLNLNGCSWKRRIVVVEERGELILGVEATQGGTAENNTVVQRLKYRPSQWTRTMASGFITCLVSLTRTVLTGPRINYGKDGSTFKDETAIPPMRRTGPVLPISSWPMDVLQIFSVKVTEIMGNLQWPLHVYGIIAIRDSLDHKRNFLIRRHRDDCQTLASPQASIAFYIIGPYMISIVLTDSSLMLTGPSRAVVLIDPVMFEVDLKVIRSKSNGSPSSNSEEDDVLSYHAFFYNNIAT >LPERR12G04100.1 pep chromosome:Lperr_V1.4:12:2949602:2953151:1 gene:LPERR12G04100 transcript:LPERR12G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIKVHVVEGSTHFRARFTAQTAGIDEDVVLLDSGEKKVVIGDDDDDGGGRLVALQRRVIVVEEKGRLILRVEASEIGNEESVMREVNIRPRWLPEERDKYSLDIDCIEISSEIPDDICTLQIMHIKTQRGNMSSVFSCNNKAMINKVFKNSTINISTQLCQWFSACASLRKPLHSLWQGHRHH >LPERR12G04110.1 pep chromosome:Lperr_V1.4:12:2961748:2962974:-1 gene:LPERR12G04110 transcript:LPERR12G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAGGGGGGGGGGGAPPPSSSNNSGGGGEQNHPHHHSFYYSGPAAAAPSFMGALAITPVVTTGEPSPSSSSAAAGGGGEKKAVVVAPTTPGTVVAAAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISIRSGAAAAAGGGNSGRASPFPALALHPHQHQHDVTAMMGYHHHHHLIPQQEAPPPQHQQQDGSFMRKRYREDLFKEDDDRQQDPKGRGEQPAAAAMWAVAPNTAAPGGAFWMLPVSAAGGAAGAGGGRPGEQPMWSFAGSGGGGAGTVQAPLQFMSTRVNYPAAAGAGGGGAGGMSDTNLGMLAALNAYNRGAGDQQQQQPEMDQQRGGDDDDDDGDDSGDEDNGGGGGGNNNSSQ >LPERR12G04120.1 pep chromosome:Lperr_V1.4:12:2978533:2984776:1 gene:LPERR12G04120 transcript:LPERR12G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSASAAGAGGAGAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGTGNGNRTLSFVGGNGVNRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNAADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAALHYNKGDKDGTSTGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLLISHAKSSKSNPTARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVAFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDNACPPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSSLVFTNESILTICREGLIKIWVRPAENENNQQSNSSELVLGNPVSKDRVITSSNKASGSSFKQSSSVLFT >LPERR12G04130.1 pep chromosome:Lperr_V1.4:12:2986171:2991932:1 gene:LPERR12G04130 transcript:LPERR12G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGGGGGGGGRGGGRGGGHGRRDSRPCGARDDRGRGHAHTRDDDRVRRGDRRPEYRPRRTPSPDRDHRRLRRSPSPYRDRRPRRTLSPDRDRDRRGGRPRGRGDDGDRDNRDLPRGGRGGDYGRSPPPPPPPPYRGDDRGRYDYMLFDHPSDLGRPARKESDFFGGGRSGNRDSDFYGEPGMTLRVCSTEMGRTTSLYPDNRSPPPRLVSPPRGVLYPSVPPTETGFLTGGSGENFGGGRTRSLLDGGEFQYRDRLPESYVERSRERDVDRLYDGKDMRLDRDGGEMDRLYPSKGLLGDGFAPSGELKAYGGSSSSLLAKDRSYRMSGEPGYEPSNGYAMDGLGRLSHDTLGHVSGHASRFSESSLEHGSGRDDKILLDITRQKHSKHSPRDASMEYGRRDLVSAYLPPENLHGNGPQVSSASARHMLRSPSLNGRKDERTDHQMRLSHRMSEDEDPLQGMHDGMERGMQQSYRGGALKRHLSPRDFDVRYSHSPETERLELARRPFQHEFGSLHDGQFSDQEVSPVVSRGRPQRSTYHDHVTEPYETDDSPLGREYYNDDMDSYGLSPKIMKGTYDMVDDQDKYDARYDLQTNRNVFSRITLGNDINEEWADADQENYHQLSTVTYGHSKNKPMSQRLSRPIVQSQFGGFPMHGRGRGGWTKSAKKRLRSALPQLPVGYKPGGDRSVRSSKRFKLPEDNHDDPELNHEDAPEDEDLSMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPAVQKRYREAAKGSLSCCVCGSVPRKFLDIDALISHAYDTCKMGLKTKHLGFHKALCVLMGWNWRVAPDVAKAYHSMPDEEVNDMKGDLMLWPPVVIIHNSSIANKAKASDAKIVSTEEIEGVLTEIGAACDKAKISHGRPANQSVFLVKFLPTISGFQEAMRIHEHFTAKNHGKEEFQQIKGGKGKKAAPVDELEELLYAHIAVAEDLGYLDDGTKKRCVVRSKNDIEAKADATLNLDS >LPERR12G04140.1 pep chromosome:Lperr_V1.4:12:2996517:3001607:1 gene:LPERR12G04140 transcript:LPERR12G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGLPPLQLGSVYRSHLVFERLWPEIRDDAASASSAASSSSLSSTSWRRSMLMTSHYQNPGELWTPCINNRLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPSFHFNSVWRDHSKFGDIFDEEHFIETLKDHVRVVKELPEDVLKVLPKLQELGAVRIAPFSNRLAQSVPTNLQALRCFVNYQALRFAEPIRILAEDMVERMVKRSTLTDGKYVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRKMFPLLATKETLALPEELAEFEGHSSRLAALDYTVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFVDPNIRWNRFKRHMQDILHHSDMRGTAIRKPNDSIYTFPMPECMCQQDVMM >LPERR12G04140.2 pep chromosome:Lperr_V1.4:12:2996517:3001607:1 gene:LPERR12G04140 transcript:LPERR12G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGLPPLQLGSVYRSHLVFERLWPEIRDDAASASSAASSSSLSSTSWRRSMLMTSHYQNPGELWTPCINNRLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPSFHFNSVWRDHSKFGDIFDEEHFIETLKDHVRVVKELPEDVLVRFNHNISSIPNMRTKAYSSPNHYMQKVLPKLQELGAVRIAPFSNRLAQSVPTNLQALRCFVNYQALRFAEPIRILAEDMVERMVKRSTLTDGKYVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRKMFPLLATKETLALPEELAEFEGHSSRLAALDYTVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFVDPNIRWNRFKRHMQDILHHSDMRGTAIRKPNDSIYTFPMPECMCQQDVMM >LPERR12G04150.1 pep chromosome:Lperr_V1.4:12:3006936:3007283:-1 gene:LPERR12G04150 transcript:LPERR12G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPALSLRSAAAAAVALCLVPVVLSLAVLWLPLICCAVAAVRFRRVRRSLAAARGRCFSGGGRRPEKGEEDGGGADRRMLLQKYLEDQMELVGEEADAEEFIVDDPSIELES >LPERR12G04160.1 pep chromosome:Lperr_V1.4:12:3019456:3023276:1 gene:LPERR12G04160 transcript:LPERR12G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATQLPTLPPPPPPLPLPPPPLSLPSPSSSSSSTSTSSTVHPRLRHRRLASSSSSSSSSASIFSQSPSPSRPSSSSAAATAKTTHAVVVPFSWELHPGIPKNASAGAGAATAGEPLPLPPPLRASPRRRRSHHRRRRSDGATAATSSISGESDPFAAAFAECTREENAATAGNFRPAAAVVSGRRSDASGSEHRWWLAGGSGIVGFLDLYGCKSAMAVAVADAAFLARRRPAMAHAKPSRITGRRDKVLNWAMGSDPAGKTA >LPERR12G04170.1 pep chromosome:Lperr_V1.4:12:3044516:3045034:1 gene:LPERR12G04170 transcript:LPERR12G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAVLFLAVALSAAVESTAETTTHIKVYWHDVVSGPSPTAIQVARAPTTNSSATFFGAVVVIDDPLTSGPDLNKSTPVGRAQGTYISAGKDKLAFLMNMNFVFQSGRYNGSAVAIMGRNEVFSAVREMAVVGGTGVFRWARGYAQARTHTLDLKTGDATVEYNLYIKH >LPERR12G04180.1 pep chromosome:Lperr_V1.4:12:3047118:3049653:-1 gene:LPERR12G04180 transcript:LPERR12G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHELAKNANEARNAANRGKNRYFDVVPFDTTRVRLKRPTASQTKTNDYINASFIKVTEDNRVAQFISTQGPLVHTFEDFWEMVYEYQCPAIVMLTKFDSVKCDEYLPLHNQCEEYGKYNVKITNAKRDRHQLCLRDVTVRCSESSEVHSLVHIEYPDWPDHGVPINTDAVRQIRKWLHCISSKRPIVAHCSAGIGRTGAYITIHNTIERVLLGDKSSYHLDKTVKKLRTQRAGMVQTEVGWKKPQD >LPERR12G04190.1 pep chromosome:Lperr_V1.4:12:3052801:3054522:-1 gene:LPERR12G04190 transcript:LPERR12G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFIPQPVISDTMQFQPFEQQELHPVVLDHPFEAEGITVHESALAGGSVHPFTTLHDIDHELFRRGIRKDVIIDNASSIFLLNPKSEVSHLVRGVNFGGYGAGGYEMNGRLISRKKTSRKATKKAGAVKGHWTLEEDRKLVKLVEQFGLKKWSQIGGILPGRVGKQCRERWYNHLRPNIKKDNWSEEEDMVLIQIHKEVGNRWAEIAKRLPGRTENSIKNHWNATKRRQFARKRNRSTSKSASTVLQNYIKSIAIDPLSPQESQMNDERLEFNSSDMMLTQGTLCLDENNCSHSHTSEGHIVPGCDGFATEMWSGLFDAKEDEEETQYLLYDIDTDVDLNCIFSHMDYASNIEPGFTSLVTPEGSAESRAMHLKMD >LPERR12G04210.1 pep chromosome:Lperr_V1.4:12:3087094:3089630:-1 gene:LPERR12G04210 transcript:LPERR12G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSVAAAALRLPTRASSSSSSNLRFPPRRPARRWGHLAVCAAFTKLSEASPVPIPPEPAQPLPDEEALPDKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPAELCGSVKVAIADEETPDRTVLTNAWKAWLEEHISATGKAPPGNVAGNYTWVGSPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSSWPTFPQVFVGGELVGGCDIISSMAEKGELAALFQK >LPERR12G04220.1 pep chromosome:Lperr_V1.4:12:3092981:3098309:1 gene:LPERR12G04220 transcript:LPERR12G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLPTSACRGRGGRHLAVAALLHLVLLATPRSASASDADHKYKVEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNEKGSICTLELDSNKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLPTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLTFLSALVGIGTQLAALILLVIILAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >LPERR12G04230.1 pep chromosome:Lperr_V1.4:12:3098546:3101007:1 gene:LPERR12G04230 transcript:LPERR12G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWPIWIRKTGPLSVRSILAVVGAIASLDPVPVMQLPLTADNVEFVLDEVRPYLTADGGNVALHEIAGNVVRLKLQGACGSCPSSVTTMKMAIERRLMEKISDVAAVEPVTDNEAGLELNEENVEKVLDEIRPYLAGTGGGELQFVMIKGPIVKVRLTGPAAVVRTVRVAVNKKLREKIPSMKVVQLLS >LPERR12G04230.2 pep chromosome:Lperr_V1.4:12:3098546:3101007:1 gene:LPERR12G04230 transcript:LPERR12G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWPIWIRKTGPLSVRSILAVVGAIASLDPVPVMQLPLTADNVEFVLDEVRPYLTADGGNVALHEIAGNVVRLKLQGACGSCPSSVTTMKMAIERRLMEKISDVAAVEPVTDNEAGLELNEENVEKVLDEIRPYLAGTGGGELQFVMIKGPIVKVRLTGPAAVVRTVRVAVNKKLREKIPSMKVVQLLS >LPERR12G04240.1 pep chromosome:Lperr_V1.4:12:3102149:3102334:-1 gene:LPERR12G04240 transcript:LPERR12G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKTRGTGFGAGNAAAEKGKRRHGSTALFVAVDYAFLLAFAGFLSYLVVSHLLPSAASS >LPERR12G04250.1 pep chromosome:Lperr_V1.4:12:3108734:3112783:1 gene:LPERR12G04250 transcript:LPERR12G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLDSQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVIKKLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVTADIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQSLVF >LPERR12G04260.1 pep chromosome:Lperr_V1.4:12:3115179:3121292:1 gene:LPERR12G04260 transcript:LPERR12G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAPPSADARGKGRKRRRNGSSPLNDHSDDSDSAAAAAAANDENNDVDDALHSAGGGGGGNGTLDGGGGGDDDRVLGLGEAEVRPAPVMLVSAFPRAARRVVNRPHPSVLAVMAAESGGAGAGAAGTAPVPALENISHGQLQVLPFMLPDHPSLSDDPDKPSTYVCTPPELMGGRGVSKQFFGKSLIVPKHAEWFSPTTVHRLEKQVLPHFFSGKSPGNTPEKYMMLRNRVIEKYLEQPAKRLRNSQYQGFVINAADLYDLRRLVKFLDSWGIINYLADGSMQRDVRLPASLITEEPTGELHLSSAMLKSIDGLILFDRPKCSVRAEDIASVASTSSSPDVANGGTDLDENILLKRLSESSCSICTQPLPSLHYESQKEAGIALCLDCFHDAKFITGHSSLDFQRVDGKKDGPDNDGNNWTDQEILLLLEGIDKYKENWNAVAEYVGTKSKVQCVQHFLRLPVEDGLLDNIKVPEESISSKVQNNGVLHSNSSGSTSGSFPQRSEAEHFPFIHTANPVMSLVAFLASAAGPRLAASCASAALSFLTQADSSSKGNDVAGLYAGPNSDSSFAIHSEIVRQAAMCGLAEAAPRCKLFADQEEREIQRLTATIINHQLKRLELKLKQFADIENMLLRESEQAERMRQGLQAQRNRIMSGVRSV >LPERR12G04270.1 pep chromosome:Lperr_V1.4:12:3121815:3125870:-1 gene:LPERR12G04270 transcript:LPERR12G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNPLPCRKEKEKDIGAYGSAQKSTRSKNLSNTQLSSLSLSPPPPRRRNPSPSSSAAAASCSPPPPPAPPPPQLAPDLPAPSCCSSCPPPLAKGRRREGVSKMSRRGLMEQDLSKLDVRKLNPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDNPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAINQNEAIQKFIQGTIAESAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKLALSRRVEKHWRLIGWGQIQAGMTLEVPPCPL >LPERR12G04280.1 pep chromosome:Lperr_V1.4:12:3166575:3176060:1 gene:LPERR12G04280 transcript:LPERR12G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWANPVGFFLLLLLLAAAAARADKPVRGGLAAVADESSAVFPLYGDVYPHGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPQQQCDYEIRYADQGSSLGVLVTDSFVLRLANSSIVRPSISFGCGYDQEVGSSTEVAPTDGVLGLGSGSISLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDLVPYSRATWAPMTRSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVAAIKGDLSKNMKEVPDHSLPLCWKGKKPFKSVLDVKKEFKSVILNFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGGLDKSAHDKWAGGRDAGAAFSAAFTHATSPATTRSIEMDSAVEEATEPERWRDLDTLLTRAGNLVHADFDPSPGLREMMGNLVEVLVVGAGGLGCELLKDLALSGFRKLHVIDMDTIDVSNLNRQFLFRIEDKEIEFYSQFSIIVLCLDSIEARSYINSVACGFLEYDSDDKPIPVTIKFMVDGGTEGFKGHARVIIPGKTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSFTQGVIKNIIPAIASTNAIISAACALEALKLMSGCSKTVSNYLTYNGLDGTHINASEFVRDKDCLVCGPGTLLELDTLTTLLEIYNSSANLQQFIKMLEEHPRLLMSRASVTHEGSNLYMQSPEILEPMTRPNLGVPMFELLKGGACATVHVAGMAEKNGKKVSSLRKLRVAVKGVKEASKMDTTESS >LPERR12G04290.1 pep chromosome:Lperr_V1.4:12:3178875:3185512:1 gene:LPERR12G04290 transcript:LPERR12G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVGVEADVAALRAQFAAGRTRGAEWRAAQLRGLLRMAAEAEAEVCRALHADLAKPYTESYVHEIALVKSSCRFALKNLKKWMKPQKVSASLMTFPSTAMVTAEPLGVVLVISAWNYPFSIAAGNAVVLKPSEVAPATSSLLAELLPQYVDSTCIKVVEGGVAETTALLEQKWDKIFYTGNGKIGRIVMASAAKHLTPVVLELGGKCPVVVDSNVDLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALGKVLEKFYGDEPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAGISAGGMLVNDTVVHLTNQYLPFGGVGESGIGAYHGSFSFEAFSHKKAVLVRGFAGEAAARYPPYSTAKLKILRGVLKGNLGSMIQAILGFPRGK >LPERR12G04290.2 pep chromosome:Lperr_V1.4:12:3179124:3185512:1 gene:LPERR12G04290 transcript:LPERR12G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVGVEADVAALRAQFAAGRTRGAEFALKNLKKWMKPQKVSASLMTFPSTAMVTAEPLGVVLVISAWNYPFSIAAGNAVVLKPSEVAPATSSLLAELLPQYVDSTCIKVVEGGVAETTALLEQKWDKIFYTGNGKIGRIVMASAAKHLTPVVLELGGKCPVVVDSNVDLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALGKVLEKFYGDEPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAGISAGGMLVNDTVVHLTNQYLPFGGVGESGIGAYHGSFSFEAFSHKKAVLVRGFAGEAAARYPPYSTAKLKILRGVLKGNLGSMIQAILGFPRGK >LPERR12G04290.3 pep chromosome:Lperr_V1.4:12:3178875:3186308:1 gene:LPERR12G04290 transcript:LPERR12G04290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVGVEADVAALRAQFAAGRTRGAEWRAAQLRGLLRMAAEAEAEVCRALHADLAKPYTESYVHEIALVKSSCRFALKNLKKWMKPQKVSASLMTFPSTAMVTAEPLGVVLVISAWNYPFSIAAGNAVVLKPSEVAPATSSLLAELLPQYVDSTCIKVVEGGVAETTALLEQKWDKIFYTGNGKIGRIVMASAAKHLTPVVLELGGKCPVVVDSNVDLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALGKVLEKFYGDEPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAGISAGGMLVNDTVVHLTNQYLPFGGVGESGIGAYHGSFSFEAFSHKKAVLVRGFAGEAAARYPPYSTAKLKILRGVLKGNLGSMIQAILGFPRGK >LPERR12G04300.1 pep chromosome:Lperr_V1.4:12:3185613:3189260:-1 gene:LPERR12G04300 transcript:LPERR12G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHGLLRRSLSGASPLPSSLRGLLLASPQELGRRPASSDAAAAAEGDVADQLRAAREDVRHLLKTKPCHPILVRLGWHDAGTYDKNIAEWPRCGGANGSIRFGIELVHGANKGLMTALLLALPIKGKYKGVTYADMIQLASATAIEEAGGPKIPMIYGRVDTTSEEQCPPEGRLPAAGPPSPADHLREIFYRMGLCDQEIVALSGAHTLGRARPERSGWGERETKYTKNGPGTPGGQSWTPEWLKFDNSYFKEIKERQDEDLLVLPTDAVLFEDPSFKIYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPEITS >LPERR12G04310.1 pep chromosome:Lperr_V1.4:12:3192746:3196249:1 gene:LPERR12G04310 transcript:LPERR12G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGTTGGGAGGRRSPSYCSTATIVLFVALCLVGAWMMASTGNVPMTVSPENKSSSSSSAAAKEDDQASIDVTNGGDTPQATDDVADAGRSDDVTNTTGGGTEAAESGKAATAGGDTAAPKNETFSDENGKTEGDEVARPEDPDKQTAEEAPSTDTKENADDVSGETKAIGDGDGGSGGASSKNQTASDDENGKMDGMSPVTDDGSKVTDNNSEQIPTNGADGGEAQSTDDDDTTTTAAAASNNQTISDDHNDASNTTTTTFATEDDIINGTTSAAAAETELLPSGAQADLLNETTPSENASFPTQASESTTENNNLKTTWKLCNVTTGADYIPCLDNESAIKKLKTNKHYEHRERHCPTTPPTCLVPLPAGYRRPIPWPYSRDKIWYANVPHTRLASYKGHQNWVKVSAGGDHLTFPGGGTQFKNGALHYIDLIGAAAPETVRWGRRTRVVLDVGCGVASFGGFLFERGALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAFDAVHCARCRVPWHIDGGRLLLEVNRLLRPGGLFVWSATPVYGKLPEDVEIWHDMVALTKSMCWEMVKKTSDTVDQTAVVIFRKPTSNACYGDRKTPEPPLCDGSDDPDAAWNITLRSCMHAVPDGDTSARGARWPEQWPKRLSTAPYWLSTGQVGVYGKPAPDDFTADNEHWSNVVNGSYLTGIGIDWSNVRNVMDMRAVYGGFAAALKDMKVWVMNVVPVDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKNRCKLLPVMVEVDRILRPEGKLIVRDDHDTAKEIESIAKSLHWEVRMTVSKQGEGLLCVEKTMWRPTEVETTTTAS >LPERR12G04320.1 pep chromosome:Lperr_V1.4:12:3199009:3200786:-1 gene:LPERR12G04320 transcript:LPERR12G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPMWMVAPEAKEMVALLEMPSRFALFHVVIYLEFVKYDDKSVVLGSDALGPVLSYLISTYCRHGKMLIVEDEKLRGVIKSNLRVDVFANEDVIRDLMFMDDMLQKIEAADAAVDDARKDVDYFIYCRIHDNLFKIRGYHAVKEVSLRKLKYFEYESYKVQKRRRAEVTEVTIGKREDLAGANSVARKKVKAVEGQRFGDN >LPERR12G04330.1 pep chromosome:Lperr_V1.4:12:3203014:3216369:-1 gene:LPERR12G04330 transcript:LPERR12G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSPAAAAAVAEEDEGEEARGLLYEAYNELQSLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHVPHCRLVVGGGGSGAGDGEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVMQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCVLDGSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEEQLKKRYIESVPLIIPLLEKEHRAATRKLREVAQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPHLHQLGFRLLYIMKRLIPISAFLLEKDGENCSSHNILVKRVQAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLETELKRIKRLKEKFAEINKKLNSLQVRHSITSSSILARKPWCHAPLIGHRQDEHGVVDMTGGDNHRRRGGGGGREAFFHCLDRVPSGLHIDADFPSDDDDDDDDDDDVRVSFASAMGDHNFQSFRRHQAAVVLEEDDDDGGEEEAEDMSKYDMWMSDEHVSIQERRRRLHHGLGLASSRDLALRRHSTKKRFVDIPRSMSKIPLLPSPAAAASSSSPAPASCSARDALIRPSPAKIARRRSDGCLAASASPSLRRARSLPARHAGGDDVSLVEKFRNAASAAKSRDVPSVPPPATATTPKSTPAVDKGVGEAGKSQEIAAVAGGGGATTSNTQTGVQLGLEELEKFIGNTPIMKHLMRRGQSGQHHSGQLGSPAAAGATAAPPKSDKPASGKKKGGWLKNIKSAAIGFIHDKDTSNNNTNNKSSPSTTTTGTTTAAASSSSSSSSTSTSTSERLKPACVLEGHQDDVLDLTWSGAGANSGELLLSSSMDKTVRLWDTDAKACLKMFAHNDYVTCIQFNPVDDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTATSYTPDGQGAIIGSHKGSCRFYKTTDCKLSQEAQIDIQTKKRKSQAKKITGFQQEQCIIVDTQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTTDGRYVVCASEDSHVYLWRAARAAATAAPAAATAIGGAMGMKAKTWCTTRSYENFYCKDVSAAVPWPLAPSLPSSGDASSPSSASRQKRQGGVSCSDEVCSMPAAKSGELSNAGTPLTHSGQLAAGGGKGGGGADGGNAWGLVVVTASLHGEIRVYQNFGMPFRIRGQGNLFY >LPERR12G04340.1 pep chromosome:Lperr_V1.4:12:3217724:3219506:1 gene:LPERR12G04340 transcript:LPERR12G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGENPDAAAAAAKKKEEKMAGGGVIFNPYIVVRDSVVASHRTSTGIPISVSLSLATPPAISLIHLHCAEAAAETLLRRHRLSLSSLFAADAHLLLLRVIIPIKRAYPEEGVIIDEEIEERSSTIVEDLEEGSITMKEVAKLSVLQCSADRDLDNSWVVKKLAMPFDSDGDFGPNQWKSEIAFGYDDTMYWVDYNIGLIFCDDVFESSPKLQLIKFPLPVRKTRFGEHDPNDNRGQLESFRTVGVSNGKIKFVDVDNCHSHIIRTWTLKMPEMVWELEDMLDVNDLWASESFKKYGLHQWVPEYPVVSLLDPDIVHFVLREPIYHEKVWMITVDMKAKSVGSCKNYSNGEKGDESEELVYTSGFICKHHPLMFGNVVYVLAEFCDLYSLYHEKVWTITVDMRAKSVESCKNYSNGEKGDESEELLYNSGFIHLQ >LPERR12G04350.1 pep chromosome:Lperr_V1.4:12:3218175:3224233:-1 gene:LPERR12G04350 transcript:LPERR12G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRASASLCNFHASVNNGFFHGRKRQLHLICSFRSIQSRYNHSSAVAPKDYCETYIQFLRDKQIVPDSDPPSSQDVDLLYRFIDRSQKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEYVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSRPVELHGSVYEVVCLDCGTSIDRESFQEQVKNLNPKWALAIDSLEVARPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCDQCGGVLKPDVVMFGDNVPEDRAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLAVPNHAELGHFLHGDTPFLKILNNGAASLLNLLIDDNPSAKMQL >LPERR12G04350.2 pep chromosome:Lperr_V1.4:12:3219707:3224233:-1 gene:LPERR12G04350 transcript:LPERR12G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRASASLCNFHASVNNGFFHGRKRQLHLICSFRSIQSRYNHSSAVAPKDYCETYIQFLRDKQIVPDSDPPSSQDVDLLYRFIDRSQKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEYVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSRPVELHGSVYEVVCLDCGTSIDRESFQEQVKNLNPKWALAIDSLEVARPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCDQCGGVLKPDVVMFGDNVPEDRAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLAVPNILCCTNTLL >LPERR12G04360.1 pep chromosome:Lperr_V1.4:12:3229410:3230711:1 gene:LPERR12G04360 transcript:LPERR12G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGMNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPNREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGPQSVFFVEAVLGVAWSVIWLKFSSEPPRIDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSVTKTRKLLNTIGFVISAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEGAKASNMDLTNSETWKTVFFVPGYLCIFSAVIFLIFSTGEKIFE >LPERR12G04370.1 pep chromosome:Lperr_V1.4:12:3231891:3233969:1 gene:LPERR12G04370 transcript:LPERR12G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETAG >LPERR12G04380.1 pep chromosome:Lperr_V1.4:12:3234903:3237777:-1 gene:LPERR12G04380 transcript:LPERR12G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRRDTPPPMLALPAPAARTVVVGVRDDADGRELLTWALAMVASSGDRVVALHVAAGGDQESALRMAAKRIRATESLAALLRAYDGFCDQNQISLELRICHGSSIKRALVSEASSYGAGHLIVGVTNNSRRAHLRPSSSSSSAAAVAKYCAKRVPLTCSVLAVGNGRVVYRRDASIQQQPDQCISPLVETPRRIYRKLVGAATMIVREKSDENSAIAGGARRLRRSISTPTTRAPVSPVVSFTPRSSAKRPQFWESPELAAGWPLLSPDMKSAVPEWTEISVAQWAMQLPNRCPAQSPLNPGKNSGDEEASPAITVSSESSSPAINETAEQISEELELLREKYSSKYTMFCYSELARITCNFSPDRIVGNGGASEVYKGCCNDGKELAVKVLKCSDNVMEELVSEMEIVSFIHHENAMPLAGFCLDNDGKLMLVYDYMARGSLEEILHGEKAGKDRFGWPERFKVAAGVARALVYLHGGDGNDRPVIHRDVKSSNILISEDFQPKLCDFGFALWAAEAVSPVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSSGGATAGKDSVVMWANSIIQGGKLTDLIDASLPTTDDVAGDLERMTLAAALCIRRSPQRRPSIANILKLLEGDGDAVRLAKLQAGLSAGDETDGDVGSPEKKDIQSYINLALLDVDGDSDSASVSSGGDFTAANMSLEEYMKGRWSRSSSFD >LPERR12G04390.1 pep chromosome:Lperr_V1.4:12:3251367:3253794:1 gene:LPERR12G04390 transcript:LPERR12G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGQCFDMEVVEWEHKSKASMKMHACRHDARTAMLLGAARILQERHQEFFGRFGIVRAHPDIPNGTVVFLFQPGKEVGIGAKRMVEDDGVVDNVEAIFGFHVSVHLPTGMVGSRPGPMLAGASFFEAVIMGKGGHAASPHDSIDLFLAASSVVLALQSLVLLEVVTG >LPERR12G04400.1 pep chromosome:Lperr_V1.4:12:3254135:3254371:1 gene:LPERR12G04400 transcript:LPERR12G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEERTLPSTSRPCQSTSQFYYVRIHDEAAGLVHLTHLPHFRIDDVALPYGTVLHVNLAMGHLEGCHREGSHSHDEL >LPERR12G04410.1 pep chromosome:Lperr_V1.4:12:3254436:3257042:1 gene:LPERR12G04410 transcript:LPERR12G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSPFCPRALADPKMAEVSAVTTLIDRTIGCILAPQPRRQIYTSRFAAAGASQWAGEMERRRRGSRHEGDGHEEETMKTGNKVTKRLKDAATAAMTTPAIASSQEAKRR >LPERR12G04420.1 pep chromosome:Lperr_V1.4:12:3270468:3271314:-1 gene:LPERR12G04420 transcript:LPERR12G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHASIEQIINQSNFPNCIGCRQRDFSLIGKVRFALQHYNAKHPGKEFDAVKPLMESKVSFKGQVWFHINFWARCRKSKMIKRFFAEVRYKLPNMSSSVCSNLPLQVPGADKQASSSSDLPRLSSPFPIQFRFVEACTIIEEPLGRYRKSCAFCRGNSDILHPMGRSFICGNDKYRMEQQLLPCMYTRLERLRTFMRREIEDQMKF >LPERR12G04430.1 pep chromosome:Lperr_V1.4:12:3273243:3274074:-1 gene:LPERR12G04430 transcript:LPERR12G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWSLSGDMAVDNNAYKNINVQLCPNRPPIKLFEFLYRKEHANLVDWVKDMNRNSFFKPLPPYNIVRYPVLLERAWGFGRLFPIEMSEDPEALANYQEFYRRNCSVAPSVAAAVSLCLRNEYKLRSEWEIQGANVQPYNRDLSDKILKCASNLVDKMNAESVTVAYAALVCVQTLHFSTYNFEFSSS >LPERR12G04440.1 pep chromosome:Lperr_V1.4:12:3279918:3281521:1 gene:LPERR12G04440 transcript:LPERR12G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMEVSVEAGNIDQSLWLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSVMLLFAVVIYYTSTLLAECYRTGDPVNGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHVNGHVDPCRSSSNPYMILFGAVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIAQTVSNGGIQGSLTGISVGVGISSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERWASRRWPHSGFIARELKVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRKVPRGSARWFSLKTLSVCCLVVSIAAAAGSIADVIDALKVYRPFSG >LPERR12G04450.1 pep chromosome:Lperr_V1.4:12:3290745:3292176:-1 gene:LPERR12G04450 transcript:LPERR12G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQKMVAPMEVSVEAGNIDQSLWLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSVMLLFAVVIYYTSTLLAECYRTGDPVNGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHVNGHVDPCRSSSNPYMILFGAVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIAQTVSNGGIQGSLTGISVGVGISSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERWASRRWPHSGFIARELKVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRKVPRGSARWFSLKTLSVCCLVVSIAAAAGSIADVIDALKVYRPFSG >LPERR12G04460.1 pep chromosome:Lperr_V1.4:12:3303587:3307898:1 gene:LPERR12G04460 transcript:LPERR12G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPPPPPPRRRSPEFESLLLSGPRLGPLKQAHARLVVSGHGRSLPLITKLATLAVAAGAARYAYLLAASHPCCDSFLLTSLSRAAAHRGLPAAAVAFYRRLLAAALPFSSFAFTAVAKACADLSALRAGMAVHAHSVLLGFGSDRFVQTAMVVMYSKCGQLPVARKMFDGIRERSVVAWNAMISGYEQNGLAERAIEVYREMQVARVVPDSATFVATLSACAQAGALDLGREVEGRIVSDQMDVTVFLGSALVNMYARCGLVNEARDWFDRLQERNVVTWTSMITGYGMHGHGREAIKLFHLMRREGPTPNDVTFVAVLAACAHAGLVSEGRDAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIHDSIPGEPGSEVWTAMLGACKMHKNFNLGVEVAERLIALEPQNPSHRVLLSNIYALSGKMYHVEKVRNVMIKRRLKKQIGYSLIELGGTAHLFRMGEKSHQQTREIYQYLEELIHRITDVGYVPETDSVLHELEEEEREVSLRYHSEKLAVAYGLMMSSGSTAPIRIDHLCMD >LPERR12G04470.1 pep chromosome:Lperr_V1.4:12:3307781:3308323:-1 gene:LPERR12G04470 transcript:LPERR12G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQQQRPAANACVWVVAAALLAVVLAGGGCLVLYLTLPAAEAPHWLPIAGLALVAFPWAFWLATCAYRCCSSSSSPPPANAGHVERQPSSSASTRAAAVAPVPSSSTNLKSAVRSPAGSSHSGTRRVHFGDSTVLGEKGAGAGEVAVVEEKEEEDCSSVTSHESEAPLAQSMSSSS >LPERR12G04480.1 pep chromosome:Lperr_V1.4:12:3308948:3309817:-1 gene:LPERR12G04480 transcript:LPERR12G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSDWRAEVDTSSAFRSVKEAVAVFGERILVGDNQFRRNGGDRRAATRSNTLSIAATFSKPKLDAGGDGVTIRLQSKPNDGAIHMVPSSPPPPTSPALLASSSSSPSLAGGGEEEVVMRAMRKVEEEAARARQEVAQLKRRISEMELAMATLSAKLHRALSKVAHMEADRAAAERARIVHRRDGRDMALAVWASTGDHRRRAGNATAARQPLRQLLRLGEADDGGEVRRAATAANGEMRKVQKQKPIVPLVVPLINGIIFSRKKRSKDKESLYMKELYSLLRLS >LPERR12G04490.1 pep chromosome:Lperr_V1.4:12:3310733:3314927:-1 gene:LPERR12G04490 transcript:LPERR12G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIHLQSSVPLLLRRRACFRVEAKIREIFMPALSSTMTEGKIVSWTASEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGDSAPVGSAIALLAESEEEIPAAQSHAASLSSSSPPPPPQQATPPPPPPPPPAQVAAAAAVSAPSPPSSATQGGKRVVASPYAKKLAKDLKIDLFSIAGSGPGGRIVAKDVEAAAAAPAKATPVAAAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKGKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTISNLGMFGVDRFDAILPPGTGAIMAIGTSQPTLVGTKDGRIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >LPERR12G04500.1 pep chromosome:Lperr_V1.4:12:3316401:3319770:-1 gene:LPERR12G04500 transcript:LPERR12G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRSCYWRNNGFLLLPVLVFFTLFLFLDVSMAATAASSSAPLNTTQASIMKRLSALVMATARWNTSDSNPCRWDGVNCSSSTSNSFSVVTNLTLPGYGLSNSTIFTTICALENLQALDLSKNSFTNLVQTSSCPMKAELRSLNLSSNRIAMQLSNFSGFPLLEVLDLSFNLFSGDVGTELNSLLKLRSLNLSSNNLVGDVPTSMVPSLEELVLSSNNFSGSIPKALFSYQNLTILDLSQNNLTGDVPDEFFKLPKLRTLLLSGNGLSGNIPVSVSSLTSLSRFAANQNNFIGLIPSGITKNVKMLDLSYNYLTGVIPSDILSPVGLETVDLTRNMLEGPIPSNLSSTLYRLRLGGGNSLNGTIPATIGDALALAYLELDSNQLTGNIPSELGKCKNLSLLNLASNKFDGPVPNAISSLDKLVVLKLQTNNLTGPIPSAFFGSESLSTMNLSQNSFTGELPKEIGELSKKTGLSILNLQFNKISGNIPDSIHLLTSLIELNLGNNFLTGTIPTMPIKLSTVLNLSHNNLSGSIPSNIDLLSDLEILDLSYNNLSGDVPASLAKLQSLIQLVLSYNHLSGSIPIFRQHVDITTDGNPGLTNSTGNNDNTPTSGKRSTHNTVIIAVAITGALVGLCLLAGIVMVSYSKRIYRVDDEGSSAEEVAARIVNGHLITRNSIHTSAIDLSKAVEAVSSQSNIFLKTRFCTYYMAVMPNGSTYSVKRLNCGDKIFQIGSQGKVGHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYENVHRGTVFDFLHGGRSDVLDWPSRYSIAFGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSENEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLSGKPSVSDGVELAKWALSLSGSPDQMEQILDTRVSRTSETVHSQMLSVLKIALACVALSPNARPKMRNALRMLFNAK >LPERR12G04510.1 pep chromosome:Lperr_V1.4:12:3320366:3323807:-1 gene:LPERR12G04510 transcript:LPERR12G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLVAKTFRPMLRPTPNRPVSPFVFPSPIVAGGHCRAAGGELAVAAVVTTRHLTERLRTE >LPERR12G04520.1 pep chromosome:Lperr_V1.4:12:3327756:3328304:1 gene:LPERR12G04520 transcript:LPERR12G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPCIPPEQCNGVKKPSFSSSSPQFATPPPWFGAGGDHRRSFSAVENRNGDGDDTARGGGWQWHYCYYDGNGGHCSARFAGGGGGEEAKMDMLWEDFNEELSRAAAAPPCPLSKEWTKEAWLAGDGTPELRCRATPAAANSGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >LPERR12G04530.1 pep chromosome:Lperr_V1.4:12:3332641:3337317:1 gene:LPERR12G04530 transcript:LPERR12G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLWVPSLPILGGKILPMLRHYCAFGSHHPLTWRSLQNTGRCCIAYCLPRDNEASILDTNSVRKDLALPDNLLRDARILYCTSPAMGHNKDAHPETNKRVPAIVDALEKLELTPKHCGSQILEIQDFEPASLDDVARVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTTFQECLLSAGAGITLVDSVVAASKLGPNPPLGFALVRPPGHHAVPEGPMGFCVFGNVAVAARYAQQQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQSFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLQSLSSSVADTFRAFLGEPSLAAQFDDPAMLYEEPTRKIREAIDKARHLHSL >LPERR12G04540.1 pep chromosome:Lperr_V1.4:12:3337840:3342517:1 gene:LPERR12G04540 transcript:LPERR12G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADLTGRGRGRRNRRGGGEHAQRSGGVVVGATAGVVVAADCPVLRRIESLRSVTAGVPARESESKMRGGAAPAKERIVAELFFFLIGLTCGAHTVWGRFCNSATSWGTSSGLIPKMCSFSAQPSRAACSVSHDFLPLEGLWPPSPSPSPSPSPTAAAPLWRRASFPPSLPSERRRWAPRRPGEEALPGPVAPLVAPDPAIPHPTILAHPPGDSPSLPTSKG >LPERR12G04550.1 pep chromosome:Lperr_V1.4:12:3344184:3348534:1 gene:LPERR12G04550 transcript:LPERR12G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWLARASSQLARRAARRLLSSPAAAPSQHRLCPPGPWLLSSVPPPAGDRRGFCSVRRFTGESSAAAVAVADEEAPENGLVAGGGDQQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSIFQEVSKELAIKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQMKNVQGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTNEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVSHHSTSDDSTKYRPVDEIEHWRTVRDPVSRYRKWVQGNGWWCDADESELRNNVRQELLKAIQVAERMPKPPLSELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >LPERR12G04550.2 pep chromosome:Lperr_V1.4:12:3344184:3349220:1 gene:LPERR12G04550 transcript:LPERR12G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWLARASSQLARRAARRLLSSPAAAPSQHRLCPPGPWLLSSVPPPAGDRRGFCSVRRFTGESSAAAVAVADEEAPENGLVAGGGDQQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSIFQEVSKELAIKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQMKNVQGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTNEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVSHHSTSDDSTKYRPVDEIEHWRTVRDPVSRYRKWVQGNGWWCDADESELRNNVRQELLKAIQVAERMPKPPLSELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >LPERR12G04560.1 pep chromosome:Lperr_V1.4:12:3348145:3350866:-1 gene:LPERR12G04560 transcript:LPERR12G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPPTAGNQYTAEHAAAKKAVALAARLCQRVQQDILKLDVQTKADRTPVTVADYGSQVLVSLVLKMELPSDSFSMVAEEDSEDLTREGAQEFLEHVTKLVNETIINDGSYSTTLSKEDVLIAIDGGKSEGGPYGRHWILDPIDGTKGFIRGDQYAIALALLDEGKVVLGALACPNLPFKSVSNCNGDSSGDQVGSLFSATIGCGATVETIDGSQPQKISVCSINNPVDASFFESYERRHCMRDCTSFIAEKLGIRAPPVRIDSQAKYGAIARGDGAIYWRFPHKRSRETVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDREAGIIATNKYLMPLVIKAAQEAMKEEGILG >LPERR12G04570.1 pep chromosome:Lperr_V1.4:12:3351591:3354400:-1 gene:LPERR12G04570 transcript:LPERR12G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGRALHASSLSPSTLVVSRVQLHLRPPYPRHLLLPNYHTCRAAPPSRYRPPSRRVRCAMSGATGNPYAAELAAAKKAVALAARLCQAVQKDIVQSGVQSKADKSPVTVADYGSQILVSLVLKMESPVSSTFSLVAEEDSEELRKEGAEEILENITELVNETIADDGSYSIYFSKEGILSAIDDGKSEGGSSGRHWVLDPIDGTKGFLRGEQYAIALALLDEGKVVLGVLACPNLPLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPPQKISVCSIDNPVNASFFESYEGAHSMHDLTGSIAEVCESYIGNLVFECWQKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHTGYREKIWDHAAGSIVVTEAGGIVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQEAIKEKNQAASPL >LPERR12G04580.1 pep chromosome:Lperr_V1.4:12:3355094:3357279:1 gene:LPERR12G04580 transcript:LPERR12G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLSVRNATRSATAWANSPVGRLVRIEILVTISCCLLAVLVLLGSGRRASRSASFRLAVWSALMLSYPAVSYTIGLMQSASVRNELIVAWGCFLLLLLGCADGIAAYSVSDADQQARTLLNQGLQLVYIFILLVSYVATLPLQLKVVLFLLWVLSGIKLGMRVRGFLSAGRDSVLTVENKLIADYMSREYTYSGRTYDAATMKGYMYVVAGETDGQKDDNGDYYPIDHLNPQVVTVEKLLRRRLGGYPLSEAPLNKTRDFVMVGLLAAADEEHERMYRVIEVELGFLFDFYYARYRSPKETLVSDTILFVAVLVTSLCTLFSPAVNLNQYSAATTSTSSSSSSSVVATGFDIWLTRIVITLFLILESFQYMTLVFSDWHKVKMLCRYVREESWHNHSGLDLMLKLMCRVRLIPYWNNSVGQYSVLLSCLQSNLRRRPLPKGMARFLRLPKWMMRFLRRSGTMTHQRKLPEEVKHAIYLFLRNGLTRVRHGIYTLEKNGAPAELYPQPIQNETTLDAVQLILIWHIATQACDWFPEKLNDSARQDHLVATTLSSYCAYLVSSAPELLPEHRYDTQLLLEGVHHKAREFLDGCRSRDDVYEKFTAPDDYPDHPMPNDRHHHILVEGKRLYQTLQGVTQSQTVKWNFLADLWVELLLSVAPSNNVESHVQRLANGGELITHLWALLTHAGVVDKRVVTEAFPA >LPERR12G04590.1 pep chromosome:Lperr_V1.4:12:3358666:3359034:1 gene:LPERR12G04590 transcript:LPERR12G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEEIKPMVSDLASWKPTMVKAVADLREEMGELRQQVSQIARNPVLHIKPGDLLPILSTSNEAWAGVVKEEERKFFTTFDRHGPSVHDDQSMHRGKAVVGNESPKSLSDKGMHFAMPLFP >LPERR12G04600.1 pep chromosome:Lperr_V1.4:12:3374069:3376405:1 gene:LPERR12G04600 transcript:LPERR12G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEDYSLMEASPHLGGGAAGYDLVEQMQYLYVRVVKAKDIPSKDITGSCDPYVGVKLGNYMGITRSFENTTNPEWNLVFAFSKEHIQSSVVEIIVKDKAFVEDDFIGQVLFELNEIPKRVPPDRPLAQQWYPLEERNGHKVKGELMLAVWVGTQADEAFNEAWHSDAASIPAEGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDRTRFPKVYVKAILGNQALRTRVSSPSRTLNPIWNEDLMFVAAEPFDEHLILSVEDRIAPGKDDVLGRTVVSLQHVLRRLDHKLLPNCQWYRLEKHLFVDGVQKKETESPSRIRLRICLEGGYHVFDESTHYSSDLRPTAEQLWKHSIGILELGILTARGLLPMKMRDKRGTTDAYCVAKYGQKWVRTRTIIDSFTPRWNEQYTWDVYDPCTVITIGVFDNCHLNGWENGARDSRIGKVRIPLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTMSSLLNMMHLYSQPLLPKMHYVRPLSVVRQDNLRHRATMIVSKRLCLAEPPLRKEIVEYMLDVDSQMWSIRKSKANLFRVLSTLMPVAKWFDHISHWRNPLTTIVIHILFVILVLYPELILPTIFLFLFLIGVWHYRRRPRHPPHINIRISFAEPESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRTVAGRIQTVVGDFATQGERLQSLLNWRDPRATALFATFCFIGAIVLCVAPFRLVVLLAGLYTQGSATIRPLFLSTSSGGSQQELIACCKLDRPLLYTMPSSR >LPERR12G04610.1 pep chromosome:Lperr_V1.4:12:3382621:3383629:1 gene:LPERR12G04610 transcript:LPERR12G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPQVGLPLPRPGQASQRRDKRPMTVDDNSDAVNFSPVNEHEEDFSAEMDFSTVEIYVCVKLQMCERKSLVNMLSVYAQAVLWYKHEEEKAFHLGNCYNLLKHQPKWIERVKELAAAKAAKKKNKVATNCTLGTDTPVDERVDADPDASTHTAGRPLGRKKEKEKKRQRSDQSRTESLDYLWGKRKRLMRGRS >LPERR12G04620.1 pep chromosome:Lperr_V1.4:12:3415936:3419173:-1 gene:LPERR12G04620 transcript:LPERR12G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFHVVDVISADVPPSNSYYVRLRFNGQTRRTSIKENVALWNERFCFDMRENEDASSELTLEAANKDHVNGRLLLKLFIVAGTDKIILQSNKGRDRGLNDIYNFMLENKDQYDEDNSVEQPPPPVVLWRPDAVPTKINPDFEPGRVIEKMRYLFVRVIKARMLPDMDANGSLDPYVEVKFGPRNKGLTRHLVRNKNPEWNETFAFPFRNKNMPSVDVVVNDKDLVKDDFVGMVQFDLKDIPYRSPDDVPLEPSWYPLLGLDRRKLAKAKLLLAIWIGSQADEAFRHVWEPSYRPKMYDNPNLWCLRVTIVEARHVTVGNKEDDEDEDEASSFTYSDNDTDPDIDNDDIDKDDIDIYREVFCKARLGYQIQKTGAAKEQVMTNAGSTIYDWRWQEDIVFVAAEPFFECELKLIVIIASRGKDDIIGKLTVPLSSIVKRGESGHFDTVPGKWYDLKSPAALQLDGFMDNGNDSSIGTRIYLQTFLDGGYNIVSGSEGYIDDTRPADKTLWSLPIGRVHLGILRITGLPDGSTMNPYCVAKYGDMWVRSRIIVDCSEYVFNEQHTWSVYDIATVLTVGIFDYCPQNNGMHDEIGKVRIHLSSLETDRIYAHSYPLIVANPDGIMKAGELQLAVKLTSESPINMLRMYAQPTLPKMHYTHPLTVMDQDKLRHQAARVMALRLGRVEPPLRSEVVAYMCNAEGGYNRWSMRKSKANFYRLMQVAAPFITLLDGLRTVRSWKNPGVTLLAHVVFLLCLWLHELLPRMVLLCVALKALWNYRFRPTRPPYVDDHLSLLSSVLQDELNEEFDTVESTHWDLVRMRYDRLRSLGSMVQASLGDVASAVEKIHLLVTWRDPRATAIFQLLTVMAALLVYVVPIKVLVGVAGFYIMRHPRFRRKTPPIANFFSRLPSRHGSLL >LPERR12G04630.1 pep chromosome:Lperr_V1.4:12:3426093:3434119:-1 gene:LPERR12G04630 transcript:LPERR12G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARQPMRIYCREDTNLNMAVRGNRVLLVRANLDDESQHWFLECDNVGRLTDEEGWPAFALVNRTTGHALVYWPNTMSYEAEVGLAPYSGHVAVEVSMLWSLGRPLDGGFSEIRILKNVEYTLNGLNGNVQEGTIVGIYWSQTNAANADYSAMGRVTDDQGRRAFALVNVGTKQAVLLNRNNFKLEMAPYNDGDRVKISMLWSLGVQLADGYREVRVLRDISMTLNGIGGSVRKGTEVGIYNSQPGKVNAVWKFDPID >LPERR12G04640.1 pep chromosome:Lperr_V1.4:12:3436633:3438286:-1 gene:LPERR12G04640 transcript:LPERR12G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMLCHYIRHRCPILETLLWLMCRATLTRSYWSNSVGLYSLLHACLHNHMTWVPAVHRWITTSVAVMRWSLPVAAKQQIHRLIRSEWLSNVKYGDRTLQKYDILQEFEWSLSTYDLGTMGSILIWHITTDICDNDLSKLFSTTAGGNTRPRIDNAAEIADCWEAATVLSNYCAYLLLQAPELVTDEVHDEQLLKEAVQEGIQRHFRRQGCRRSKVDAMFATLRDFMRSGGEAKFTGDVVLADGVKLGKQLLSRMDDKVSWWNLPAEMWVELLLTVAPSEYVTGHVKLLATGAELITHLWALLGIIKRPPKPTLAAPYLRHYHELI >LPERR12G04650.1 pep chromosome:Lperr_V1.4:12:3442622:3445484:-1 gene:LPERR12G04650 transcript:LPERR12G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHLSVQNITRSTTAWAASPVGLLVRVEVLVTASCALLATLVFLGSGRRTSRNAAFRFVVWLALMLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSVDGSDQQARTMISQATQVLYVMLLVLCYLGSLKLQLKVILSLLWLLNVAKLGLRLWSLLAAGRDRVLTADNWLISKYMAHEKVNNVGDFDPYQYNGDGEYKVKINNDELVTVETAWKKHERDDGSLLSNDGKLKDLCLSFALFKLLRQRLNGKPLPLHEPPGDIKALVFVRRGLAGGDECDDHERMFRVIEVELGFLYDFYYARGNTGVDYTTTSLDIWLARLVITLFLVLELSQYLSLVLSDWHRVKMLSRYILHRQWWCRRPILETLLWLTCRATLTRSYWSNSVGQYSLLHACLHNHTTRVSAVHRWITKNVVVKRQSIPVSARQQIHRLIRSEWLSNVKYGDRTPQKYDLLQQFDWSTSRYEFGAMGSILVWHIATAICDVEQSKLTSPAEITERREAATVLSNYCAYLLLQAPELVTDEVHDERLLMEAVREGIQSYFRRHGCHRSKVDAMFVVLRDFVRSDDEDNVTGEAVLGDGVRLGKQMLSGILKDEVVRWNLLAEMWVELLLTVAPSENVTGHVKLLATGGELITHLWALLTHGGIIKRPSKPYYASR >LPERR12G04660.1 pep chromosome:Lperr_V1.4:12:3449677:3453098:-1 gene:LPERR12G04660 transcript:LPERR12G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHLSVKNITRATTAWAASPVGLLIRVEVLVTASCALLATLVFLGSGRRTSRSAAFRFVVWLALMLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSVDGSDQQARTMISQATQVLYVMLLLLSYLGSLKPQLKMILSLLWLLNVAKLGLRLWSLLAAGRDRVLTADNWLISKYMAHEKVTDGLHRYVLTGDHGKNVQYQYNGDGEYKLQISDNEIVTVEKAWEKNEHDDGNQLSNDGKLKDLLLSFALFKLLRQRLRGKQPPLHEPPGDIKALVFVRRGLAGGDECDDYERIFRVIEVELGFLYDFYYARYPSPKQTLIPETAMFLAAAMLSISALFSTALLHHHSPGRGKASIDFTTTSLDIWLARLVITLFLVLEISQYLSLVLSDWHRVKMLCRYIRHRPWWHRRRRPILETVIWLTCRATLTRSYWSNSVGQYSLLHACLHNHTTWLPAVHRWITTSAAVTRRSLPVAVRRQIHRLLRSEWLSNIKYGDRILQKYNLLQQFDWSTSRYEFGAMGSILIWHIATAICDVELSKLSSPAEIAECREAATVLSNYCAYLLLQAPELVTDEVHEERLLMEAVQERIQSYFRRQGCRRSKANAMFAGLRDFVRNDNEANVTGEAILADGVRLGKLLLSGILKDEVARWDLLAEMWVELLLTVAPSENVTGHVKFLATGGELITHLWALLTYCGIIKRPPKPNYASR >LPERR12G04670.1 pep chromosome:Lperr_V1.4:12:3484445:3490256:1 gene:LPERR12G04670 transcript:LPERR12G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARQPMRIYCRADTNLNMAARGNRVLLVPANLNDESQHWFHECDAVGRLTDEEEQPAFALVNRTTGHAIVSWENGPGEARVAPYNAHVAVEVSMLWSLGDPLAGGFREIRMLKNINYTLNGFGGDVLEGTVIGIYNSEPNSPNAQWIQDYDCVGRVTDDQGRRAFALVNVGTQQAVFPSRHGELEMAPFGDCVKITMLWSLGVQLADGYNEVRVLRDISVSLNGFGRYIREGTVVGIHGSEAHKDNAVWKFDPI >LPERR12G04670.2 pep chromosome:Lperr_V1.4:12:3484445:3490256:1 gene:LPERR12G04670 transcript:LPERR12G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARQPMRIYCRADTNLNMAARGNRVLLVPANLNDESQQWIQDYDCVGRVTDDQGRRAFALVNVGTQQAVFPSRHGELEMAPFGDCVKITMLWSLGVQLADGYNEVRVLRDISVSLNGFGRYIREGTVVGIHGSEAHKDNAVWKFDPI >LPERR12G04680.1 pep chromosome:Lperr_V1.4:12:3489888:3493339:-1 gene:LPERR12G04680 transcript:LPERR12G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMVVNSKANKVESTGRLPSIELEPKTLTLDQLKFAREAALYVLSTKPAEEAIRIFTEGLKPVELAAGGGGGARKISSIVAADSSSDDDLDIGCFDSGKLYCRHKHGGGRRRRRSSSSSSLAAVERDIATAPF >LPERR12G04690.1 pep chromosome:Lperr_V1.4:12:3497050:3499478:1 gene:LPERR12G04690 transcript:LPERR12G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLEGLRVFQPGFARPPPSSPRAVVRATIVASRPPPTVDGAPYPEDMDVSRHHLLLPCHLHATRSVLPSPSSVWHQIRSRVVRYGETECSLSRPGAREDGLRRLNHNRNDECGLVSARTGAV >LPERR12G04700.1 pep chromosome:Lperr_V1.4:12:3512914:3517451:1 gene:LPERR12G04700 transcript:LPERR12G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTGGASSPATASAASHRRSSRRRRRHPHPPPRQPWCCSFALDPLSAAVHRTSPLPPRPHKHAAAAAAPPPISRRMRSPGRVSPIDDAAAVSASSSARLSSVSECPPPPPPETRPAAVERETLRIRMVTKGVVLEVEEVERVKRESKLVGRIIGGGCGGEVAVEGKVEVEAIRGAVEMMLEDDGADEAAAMRRLSRAGVARAIAVLEVSFSLMFDRGVTNCLKYLEAVPWNETEEERIKSLLLQYSCNRTVSQDLLARLQPQKPSSSAELVVQLMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDMKSIYSICNSCLNCLVELFGEASDLGPSGQITISVGKGPQERICKQVDNLNWLLQILIDRQMGEEFVDIWANQDKLSTMHEHVSPMIRYELSRISATIFIAVGSGKLHCTSSSRLMLFEAWFRPLLVDFGWLRKCPKGLNMATLEDAIGQALLTLALKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFIRSVGSSS >LPERR12G04710.1 pep chromosome:Lperr_V1.4:12:3524805:3533592:1 gene:LPERR12G04710 transcript:LPERR12G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGQPNSMGSGQPVGASLLRTNSSLLGAAQQGMGMGVGMGMGGGGGGGLPSQSPFSSLVSPRNQFGGNGLLAGASNVASLLSRPSFGYGGAGPSPGAMQGGGLGPGTMQGGGGLPMGTLQQRGGVDIVGDMVGSGGSDSLVFPSSSQGSMGNHLGTDIMQLDAPQDSQQQQTMSYSQQNMLPQTPQQQQHTVKLENGGNLGGVKLEQHMGQPDQNGPAQMLRGSNGVKLEPQQLQTLRSLGAQQQQQQQQQQQQQQQQQQLMRQQSLNMRSPGKPSPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPSAKKRWMFGIVRYAIENQEEALVETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVHKYQTAVQNSASLSNQDMQTNCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNECGPIDSLHKFPRRTASGMTQLQPQHQPEEQQSVVPQSSNQSAGGQNCAPTAGMQVSGSGNADVTSNNSLNCAPSTSAPSSSVVGLLQGSINSRQDHPTSSSNGLYNSVNNAPATKATSTSSMQSNPPASFPSPVPSTSNSNVMPAPHHAGQLNSPTTSSNIPPMQAPVNRPQEAEQNDSQSSVQRILQDMMMSSQMNGVGPVGGDMKRANSITPGLNGVNSLLAGNPMANSLGVSGAFGSMGGGLGPSAASGLRTAMGNNSVVMNGRIGMNHSAHDLTQLSQHQQQQHQQHDIGNQLLGGLRAANSFNNLQYDWRSSQ >LPERR12G04720.1 pep chromosome:Lperr_V1.4:12:3532627:3533316:-1 gene:LPERR12G04720 transcript:LPERR12G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALHAPQAPASGISNGRDRLLVAGNLSKPRLSVASPSPLRPASRFTCQCSNAVDEVVVADEKNWESMVMGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYAGKIKCCKVNTDDSPNIATNYGIRSIPTVLVFKNGEKKESVIGAVPKTSLATIIDKYVS >LPERR12G04730.1 pep chromosome:Lperr_V1.4:12:3535122:3539902:1 gene:LPERR12G04730 transcript:LPERR12G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRCCGPNNSDTTPFPRRWVRPPIFGGLPCKIGSPPPSPPQSPRKTRSGVVTAAAAAAADMSSAPSGSGEAAPASSTYYDVYGPHAKPDVVFKEDTSNSALNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQSRHLSSVKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRGLKITNQSYESDGQEKLSSLDDLKDIPFPIKYYTLSEKDMEDNGYNFSLEGFVPTVSAPPGSSPYEVLALDCEMCVTEVGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHNLIIDTAVLYKHNRGHRFKIALRILAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLTSILHESGKKCSLIDDSSILERYSDASCNSIAVFSDDDALSRLMKEVKNDKVSFVWSQFSKLISYLSTRAQDPDKVKSCVAEAIALQTCDRKTAQKRTKQQACPELKEILIELDKKIRKLYSTLPDNAMLIICSGHGDTPLVQRLRKMLKHEEETTESRESIVKALEDIQAQAEVALCFCCVKH >LPERR12G04730.2 pep chromosome:Lperr_V1.4:12:3535122:3540229:1 gene:LPERR12G04730 transcript:LPERR12G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRCCGPNNSDTTPFPRRWVRPPIFGGLPCKIGSPPPSPPQSPRKTRSGVVTAAAAAAADMSSAPSGSGEAAPASSTYYDVYGPHAKPDVVFKEDTSNSALNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQSRHLSSVKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRGLKITNQSYESDGQEKLSSLDDLKDIPFPIKYYTLSEKDMEDNGYNFSLEGFVPTVSAPPGSSPYEVLALDCEMCVTEVGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHNLIIDTAVLYKHNRGHRFKIALRILAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLTSILHESGKKCSLIDDSSILERYSDASCNSIAVFSDDDALSRLMKEVKNDKVSFVWSQFSKLISYLSTRAQDPDKVKSCVAEAIALQTCDRKTAQKRTKQQACPELKEILIELDKKIRKLYSTLPDNAMLIICSGHGDTPLVQRLRKMLKHEEETTESRESIVKALEDIQAQAEVALCFCCVKH >LPERR12G04730.3 pep chromosome:Lperr_V1.4:12:3535122:3540229:1 gene:LPERR12G04730 transcript:LPERR12G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRCCGPNNSDTTPFPRRWVRPPIFGGLPCKIGSPPPSPPQSPRKTRSGVVTAAAAAAADMSSAPSGSGEAAPASSTYYDVYGPHAKPDVVFKEDTSNSALNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQSRHLSSVKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRGLKITNQSYESDGQEKLSSLDDLKDIPFPIKYYTLSEKDMEDNGYNFSLEGFVPTVSAPPGSSPYEVLALDCEMVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLTSILHESGKKCSLIDDSSILERYSDASCNSIAVFSDDDALSRLMKEVKNDKVSFVWSQFSKLISYLSTRAQDPDKVKSCVAEAIALQTCDRKTAQKRTKQQACPELKEILIELDKKIRKLYSTLPDNAMLIICSGHGDTPLVQRLRKMLKHEEETTESRESIVKALEDIQAQAEVALCFCCVKH >LPERR12G04740.1 pep chromosome:Lperr_V1.4:12:3563605:3567606:1 gene:LPERR12G04740 transcript:LPERR12G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNGNGHHGNGNNGAETKLIRTRVERMIRSEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSLLGTPDVGLLTPPEMAEVARRICASAPDTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICKRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYATARALVDVLSALKRAETTRDELHRLTSFTEFNNLVGIDSWLDIEDRFSVKTSVPKPLPAPETEKTTATDIQEIKEKAKAVVNGATNGATNGVH >LPERR12G04750.1 pep chromosome:Lperr_V1.4:12:3568473:3569926:1 gene:LPERR12G04750 transcript:LPERR12G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNTSVVGLKPAAAVPQSASASPAVAKRVHVAPPKSDQVNGRRAALLGLAAVFAATASQARAGVIDDYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKRVPFITDDLELECEGKEKYKCGSNVFWKW >LPERR12G04760.1 pep chromosome:Lperr_V1.4:12:3583802:3585453:-1 gene:LPERR12G04760 transcript:LPERR12G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLSKLSVPYIIIEREDCTASLWRQHTYDRLKLHLAKEFCEMPHMPYTYSTPTYIPKIQFLRYVDDYVEHFNICPKFNSSVESCLYDEVQKHWVVTTRDQVNGIVTKYAARFLVVATGENSAGNIPKIAGLDGFSGHVIHSSSFRSANSYARKRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHLGMKLASWRIPVKFVDFILVVLAYVWFGNLSKYGIVRPNMGPLFLKAKTGRSAVIDVGTINLIRKGDIKVFGPISCIKGNVFEFDDGKESYFDAIVFATGYKSTANNWLKNGEDMMNKEGMPKKDFPDHWKGSNGLYCVGFARRGLSGIAQDAKTVANDIKAFLDSSVPF >LPERR12G04770.1 pep chromosome:Lperr_V1.4:12:3598885:3599535:1 gene:LPERR12G04770 transcript:LPERR12G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSPAASSAAGKSPLPPPTTTTTTCSRISPTSSSPTRRQLLVSNLLLLLATPRRAAAAPYDPVSPSERAASASTSRRVGEAIRLLDLGRTLQARGDYAAALAPYTAVAREYGDLALAEYARVGRALALYEVGDRDDAIAEMEDVSVALRGYPEIHAALAAALYADKHAPLLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLQHFITLS >LPERR12G04780.1 pep chromosome:Lperr_V1.4:12:3610455:3614835:1 gene:LPERR12G04780 transcript:LPERR12G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAAPEATGGGGLSTGRKLVPWSSWAEWRLVRDGLFSPSPIAALRRIAAWRSRGSLPVPVDVTAAFVEIRLRDPFFRGVMAADDALESEEMLTMLYSMAIMRLVNGFVENPHKKTGYSISDLAEAVGIPRVLVDIRHESSHRNLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDLQVEVRSKLHEINHFLKGKDSKKAKPGSKRKRPEKIISRNIKYVRRLYYACPSEVASVILEFLLSGALEPSENSDVQQTESSDVDQSADIHNQISNNDMKTIVTKLSEKEPRLLLGILKSVIETIETVEGLENKGESNACLPAKMEILCSHVLWIVTKLKELKDSGCIGLVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIDMTTNNVKKKLLQLPMLPLGRLSTDSTLPESKEIESVDKATEKLEMFKSRLKQKDLCLAENGTGASLNTIVPEKRNSWSTTKSWTPCPIGMIPCSFSSAAVLPTLDVDDDELNDDISEQHENFHSDVHTERNEHYSHPEKQLDVEIIPEISRPSPEYEISDMPELTFPLKGRLLVGGVWKVVSEEELLFIKSKMKILL >LPERR12G04790.1 pep chromosome:Lperr_V1.4:12:3615802:3619341:-1 gene:LPERR12G04790 transcript:LPERR12G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTIKRVPTVVSNYQEDSAGDRPRGGCGRNCLGDCCLPDSKLPLYAFKASPKKPSSQEDAANNEVFVNLLLGLWEERMARGLFRYDVTACETKVIPGNVGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENSGGDESFFVESSPISAADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVKVSKLMNYPVRGLDNNVPYNFLISDCGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEISAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVNEDEDAAYTPVSIAPPAVAEGCLVLQ >LPERR12G04800.1 pep chromosome:Lperr_V1.4:12:3628292:3633212:1 gene:LPERR12G04800 transcript:LPERR12G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGGGGRHLPPLRLRRLLRSPISRCAGLLAAFAALLLVLSLRQADRVPLPLPQHPPAQVPNEQLWSSDGHGSHACVTPTSRYIAPKDSDRYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDVFNEPHFIKALEGDVGIVSDLPQGLQSVPRARKHFTSWSGASYYEEVKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWHSLNNSGSKLLERLRSRGKFIALHLRYEKDMLAFTGCTHGLSDSEADELRIMRERTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPASTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEAIATKEELDKFNNHASQVAALDYIVSVESDVFVPSHSGNMARAVEGHRRFLGHRKTITPDRRGLVELFDLLQKGELMEGPKLSSLITEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPEFIW >LPERR12G04800.2 pep chromosome:Lperr_V1.4:12:3628292:3633212:1 gene:LPERR12G04800 transcript:LPERR12G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGGGGRHLPPLRLRRLLRSPISRCAGLLAAFAALLLVLSLRQADRVPLPLPQHPPAQVPNEQLWSSDGHGSHACVTPTSRYIAPKDSDRYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDVFNEPHFIKALEGDVGIVSDLPQGLQSVPRARKHFTSWSGASYYEEVKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALPNITLTQQKLLERLRSRGKFIALHLRYEKDMLAFTGCTHGLSDSEADELRIMRERTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPASTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEAIATKEELDKFNNHASQVAALDYIVSVESDVFVPSHSGNMARAVEGHRRFLGHRKTITPDRRGLVELFDLLQKGELMEGPKLSSLITEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPEFIW >LPERR12G04810.1 pep chromosome:Lperr_V1.4:12:3633197:3634854:-1 gene:LPERR12G04810 transcript:LPERR12G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLFLPSSSLFLSKQQIHPTKGRASAAAAVRCSNGPTLSEAHEEEEDGVALMGRRHAMASAATACGVSVFGFAGESIAVKQGLLAGRIPGLSDPDEKGWRTYRRPDEKSGGHGVGWSPMIPYSFKVPDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGLQWKRNYKDLKQIAESFRVV >LPERR12G04820.1 pep chromosome:Lperr_V1.4:12:3643644:3648651:-1 gene:LPERR12G04820 transcript:LPERR12G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQEAAAVDQAVSSMMSLLGAMSPSEKKGAAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGGGAGDELLFCGAGTTAAIKRLQEAMGVAAPAPASQRARLVAQLRREERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDGDGLVDVAALRRELASPEYADRPMLGSFSACSNVTGIVADTREIARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPTTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYVGYDTMELHERVYSEMAMKRLVNNPNIKVLGNTSVDRLPIFSFLIYPPMEDSLFLRGDEPGCYNSLENETYKRPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAEYGHRFLPLYKFDWITGNWTFREQAIKYHVLREELSLSTSVRLENVQSKVANKLNKKPEPNHVKFETYLENARKIALTLPNINKQIVSIPKGVDPDMVLFHV >LPERR12G04830.1 pep chromosome:Lperr_V1.4:12:3677026:3680341:1 gene:LPERR12G04830 transcript:LPERR12G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLQPAAAAAPAVVLDEAIMITGGKGITTTTLLTLVQDDTSTEKHTMVAEEKLAWLRSELIGKDVEFDTPFGHRRLTYADHTASGRSLRYVEDYLLKEVLPFYGNTHTEDSHVGKKTTRLMNKAARYVKRCMGGGVAGDVALLFCGSGTTATIKRLQEAMGVAAPASASLRARLSAQLRREERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDGDGLVDVAALRRELASPEYADRPMLGSFSACSNVTGIVADTREIARVLHQHGAFACFDFSASGPYVKIDMKSGEIDGYDAVFLSPHKFIGGPGTPGILLMNKSLYRLNSQPPTTCGGGTVSYVNGFNEEDTLYHDDIEEREDAGTPAIVQKIRASLAFWVKEYVGYDTMALHEQVYTEMAMKRLVNNPNVKVLGNTSAKRLPIFSFLIYPPPMVDSIINNGDDRLGNVRRKRHPLHGRFVTILLNDLFGIQARGGCACASPYGNILLGINNDLSLRIRSALVEGYFGLKPGWTRLSFAYYVSKEEFKFILDAIEFIAVYGYRFLSLYKFDWKSSNWTFSKQVAEELSVVTDVLLGEDVPFKAEDKSDNKPEPDHTKFETYLENANRIAHSLPNNNQQAVSIPEGVDPDIIIFHI >LPERR12G04840.1 pep chromosome:Lperr_V1.4:12:3686734:3688082:-1 gene:LPERR12G04840 transcript:LPERR12G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAPMLTMAFLLLMEAMSVSHGQLQVGFYSDTCPDAEDIVSAAVQDAAGNDPSILPALLRLQFHDCFVQTAVSWQGCDASVLIRGNDAEVNNNKHQGLRGQEVVEAAKAELEDNCPGVVSCADIVALAARDAVAMTGGPSFDVPTGRRDGLVSRLRDADVLPDVADPISLLRSRFSSAGLDDRDLVLLTAAHTIGTTACFFVKDRLYNYRLPGGGGGGSDPSIPAPFLAELKAKCAPGDFNTRVALDRGSEGEFDDSILRNIRSGHAVIASDAALDASNATRRLVTAYLGSARFAQDFVGAMVKMGTIGALTGDDGEVRDVCSQFNSD >LPERR12G04850.1 pep chromosome:Lperr_V1.4:12:3690172:3691431:1 gene:LPERR12G04850 transcript:LPERR12G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLMFLTLVILPLLLCNDLHATGLTEANITEKHFSVKSMNMTSEASIPGGIVVQLQCDFCDCCLGSSDPKCTAQTCCYKSTCGDPRKPPNTCISHRISCGCDANCK >LPERR12G04860.1 pep chromosome:Lperr_V1.4:12:3691333:3691635:-1 gene:LPERR12G04860 transcript:LPERR12G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAPMLAMAFLLLMEAMSVSHGQLQVGFYSDTCPDTEDIVSAAVHGVAWQGYVRRVGADPGECRARRRRHDGGHRSTSPPAAATASSPASVTPTSPTQ >LPERR12G04870.1 pep chromosome:Lperr_V1.4:12:3718598:3723613:-1 gene:LPERR12G04870 transcript:LPERR12G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPPQEAVHSGRRLLSPTLFFSSSSPLRLRPSPPPPPSAHRRRSRYSRLVAASSSSSSSSAPPPPREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVKVLCESLKKFPVKALVVDPVMVSTSGDALSESSTLSVYRDELFAMADIVTPNVKEASRLLGNVSLHTVSDMRSAAESIYKFGPKYVLVKGGDMPESSDAVDVFFDGKEFIELHGRRIKTRNTHGTGCTLASCIASELAKGAKMLHAVQVAKNFVESALHHSKDLIIGNGPQGPFDHLFKLKSPPYNVGSHQNFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACVEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAREVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTTTKANNPTLGFEGLKTVSLASKLPVVAIGGINASNAGSVIELGLPNLMGVAYALVESQDERVDYAELHVAAEGEFAEAQRL >LPERR12G04880.1 pep chromosome:Lperr_V1.4:12:3757189:3759526:-1 gene:LPERR12G04880 transcript:LPERR12G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKSGLGRSSLFVLRLWYLQRSGKLSVLESVVGRDFLPRGSGIVTRPLVLQLHKTDGKQEYAEFLHSPWKRFTDFSTSGSQASQASNSTEGWRPLKACHGAKDMWHYRGMRIDLVQPGTMQNRRVAGLVQWQINRLHLQRCKACGIVQ >LPERR12G04890.1 pep chromosome:Lperr_V1.4:12:3762929:3766322:-1 gene:LPERR12G04890 transcript:LPERR12G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHVEHDDRHGIEAEEAGEGLLAAHNGKVEGEHEEEGHEQREEQKRWAGSTSGLRSDIVGNGGIMVKQGP >LPERR12G04900.1 pep chromosome:Lperr_V1.4:12:3769391:3778871:1 gene:LPERR12G04900 transcript:LPERR12G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPSLFFGGRLGQQYGTARSDPTVDGNPLFSQAQPAPRIAHVPYKPTATSVGSDSSPVCPRPTCIMKRMVLGGNVHPLPDCKGHDFINLWDVVPMTYTMV >LPERR12G04910.1 pep chromosome:Lperr_V1.4:12:3770074:3773953:-1 gene:LPERR12G04910 transcript:LPERR12G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAGVSLIAAVVKKAVNLSTRFATRKEKLIGDGYNYTLYGLAQCSQELSRLDYQICFDYLARAPSFSNSAGALVSFDDITANTQEKKIALFLDYDGTLSPIVNDPERAFMSLSARSRQKPIEGATVESNIFCVSVHYRNVAEEVYKICPNIKWDKGNAVEYLLGQFGLDTPDADQKVLSIYIGDDRTDEDAFQVLRTRQIGFGIRVTQIPTKTAASYSLKDPSEVLEFLTALVRWREQWQQ >LPERR12G04920.1 pep chromosome:Lperr_V1.4:12:3790213:3791217:1 gene:LPERR12G04920 transcript:LPERR12G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGGGGDGRAGATIAKSIVEFTKILIGRGAPRRRCKDAAATAAAAASAKIAPAQMQEEEDQDGKSNTPKRQEFCDKCCSSLPEAATAAEEWEAEPEPGVVLTLAARRDGVGNRLRRIRFSEEAFDPSAAQCWWARNHHRIAELYTLVADDSDDTSDDAMLPATPCQSEAEEEEEEESSRSPSTSNSTFSGGGESAGTVGSPILGLVTDASVAGDRNPSPAAAEAWREWVEEYEPGVFITVRAYTGHRLELRCVELSRERFGEVKARVWWEENKARLHHLYSF >LPERR12G04930.1 pep chromosome:Lperr_V1.4:12:3791757:3792008:-1 gene:LPERR12G04930 transcript:LPERR12G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAAAATGGGVVVAAPAKESWPELVGVSSEAAKAKIGEERPEVDVQVVAADAFVTMDFNASRVRVFVDSDDKVARAPKIG >LPERR12G04940.1 pep chromosome:Lperr_V1.4:12:3799117:3810534:-1 gene:LPERR12G04940 transcript:LPERR12G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRLPRRFIEDARGYPDVRVADERRGYPAVRVVEDRRGYPGIRVIEDRRGYPEIHEGPVMRIAPRHHTTILEEEFEIQEAELRRLMADHRALADERLALHRELQAGKDEIRHLNMIIADISAKKESYISELVDKRRKLEAELRANESLRDEIVQLQGEIDKHIVVRKELSAKAASITHELTREKSNKQQIPMLKVEIDALRQDLVHTRSACELEQKGNFELVEQKKAMEKSMISMAQEIEQMRAELANFEGRQWAPGVSYGMKLGSPEVTFPTPYGDNYNIHVGTSEKGHSHLPESSSWGAYDNNRFQYRQQRQPAAAPAKESWPELVGVSSEAAKAKIGEERPEVDVQVDAADAFVTMDFNAARGGSSLTPMTRSLELPGSANYAQEIQT >LPERR12G04950.1 pep chromosome:Lperr_V1.4:12:3812588:3824249:1 gene:LPERR12G04950 transcript:LPERR12G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVNYLMRTQLSSVTEALNMFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKEDDDDGNGDAAPSPNHEDDKVITNDDVLGDAVPYDQQDFLRSICFRLLELVPSGRTNAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMALHSHSKVKLPFSERWKLIDDEIIRPRYHDKGQFDSGAKGSPSYRYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVAFPDEVDLSSISGKIVECSWNKQEDCWSCMRIRTDKATPNDINTYRKVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKVHQRSMAHHAQQRRR >LPERR12G04950.2 pep chromosome:Lperr_V1.4:12:3812588:3824249:1 gene:LPERR12G04950 transcript:LPERR12G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVNYLMRTQLSSVTEALNMFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKEDDDDGNGDAAPSPNHEDDKVITNDDVLGDAVPYDQQDFLRSICFRLLELVPSGRTNAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMALHSHSKVKLPFSERWKLIDDEIIRPRYHDKGQFDSGAKGSPSYRYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVAFPDEVDLSSISGKIVECSWNKQEDCWSCMRIRTDKATPNDINTYRKVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKVHQRSMAHHAQQRRR >LPERR12G04950.3 pep chromosome:Lperr_V1.4:12:3812616:3824249:1 gene:LPERR12G04950 transcript:LPERR12G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEALNMFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKEDDDDGNGDAAPSPNHEDDKVITNDDVLGDAVPYDQQDFLRSICFRLLELVPSGRTNAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMALHSHSKVKLPFSERWKLIDDEIIRPRYHDKGQFDSGAKGSPSYRYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVAFPDEVDLSSISGKIVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKVHQRSMAHHAQQRRR >LPERR12G04950.4 pep chromosome:Lperr_V1.4:12:3812588:3822664:1 gene:LPERR12G04950 transcript:LPERR12G04950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVNYLMRTQLSSVTEALNMFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKEDDDDGNGDAAPSPNHEDDKVITNDDVLGDAVPYDQQDFLRSICFRLLELVPSGRTNAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMALHSHSKVKLPFSERWKLIDDEIIRPRYHDKGQFDSGAKGSPSYRYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVAFPGKHSV >LPERR12G04950.5 pep chromosome:Lperr_V1.4:12:3812616:3823663:1 gene:LPERR12G04950 transcript:LPERR12G04950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEALNMFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKEDDDDGNGDAAPSPNHEDDKVITNDDVLGDAVPYDQQDFLRSICFRLLELVPSGRTNAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMALHSHSKVKLPFSERWKLIDDEIIRPRYHDKGQFDSGAKGSPSYRYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVAFPGKQRFTSEVWLIMHNNEGDDKVSYIFVLPVEL >LPERR12G04950.6 pep chromosome:Lperr_V1.4:12:3812588:3814864:1 gene:LPERR12G04950 transcript:LPERR12G04950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQLYEEHADFSQNEHAESAVEIMRREREERRRKLKREHHDDGARPYRQQIRNDNNMNQNKTTRYGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDVSTSWFMLLVLANKDVIIPHIMLVM >LPERR12G04960.1 pep chromosome:Lperr_V1.4:12:3839584:3843103:-1 gene:LPERR12G04960 transcript:LPERR12G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTGMMNSLLTKLATLMGEEYGKLRGVCKEVESLEDELRSMRALLERLAGMDELDGQAKEWRDQVREMSYDIEDCIDDFLHHLAKNDGSNGFVHKTVKFLKEIRARHQIGNRIQEIKNLVKVVSERRMRYKIDEYTPNSSHVPVDPRVVAIYAEPAGLVGVDAPRDELIKLLMGEEQALKIASIVGFGGLGKTTLAKEVYRKLEGQFDCGAFVSVSQKPDMLKILNKILLEVKGQCSVHNGDLDGILNEIINSLRDRRYFIVVDDLWDSYAWDIIRCGFPDNNSGSRILTTTRICSVATTCCSNNQDYIYMMKSLTEQDSRRLLHSRIFGSHETFPDIFEEVTTNILKKCGGLPLAIISIASLLSGQSYLTWEYVNNSLGSMFEGNPTLSGLKQILELSYNNLPQHLKTCLLYVSMYPEDCIIKKNDLVRQWIAEGFVSKISGLYADDVAGSYFNELINRSMIQPLYTDYNDEVLSCRIHDLMLEIIRSKSVEENFFSVIDARNAMAPGLHKKIRRVSFHYVCEEEGVIPASTSRSLLQVRSVAFLKNSFTALSLEFKYVRVLLLEFPQRWRGTRVDLTSICGFYLLRYLKISLDIKLMLPSQLGGMCHLETIALHTSKELSIPSDIVSLPHLSQLFIPAHTVLPNGIGNLKSLRNLQWFDLTKNSISNIKCLGDLTNMRDLKLDCSSTELLEDVTRRIEALHFSLEKLSRSSSSLRNVVLLKHFPSWLQVDGLSTLSPPPRHLWRLHLERCLFSRIPTWLVQLRDLHSLKLTIRKVVSMDDGVIVLGCLPSLVHLDIRILVCPEERVVLSGTGMAFRALKHLVFRCHKPFLDFKACSMPRLQRLELWLDATGWEQCSGTCLPVGIENLSACLREIHIKREYGADRRDIQAAKSALSSVFTNHPGANLIFGGAPWNYPSNDHDQW >LPERR12G04970.1 pep chromosome:Lperr_V1.4:12:3851194:3855939:1 gene:LPERR12G04970 transcript:LPERR12G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAASPSPSPHGELGPIARPRDGGPHRHGHHQRTSSESVLIDEQPSWLDDLLDEPEAAAAPAARPHGRPGHRRSSSDSFTLFDGGNSAAAAAMYDNVFDGMRGGGGGGGGHGQQVGGSWGGAPEFFPEPSSFGRPQGGHGRPWDNRQMLRHGGGAGMPMPMPMREMNGGHRGPPGAFGDLEHGSLPNGVDRKVIGDIHDQRVGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHMPQQQGPTHSRSNSRDLDSQFANMSLKHSDPNSGCDAVPGLRI >LPERR12G04970.2 pep chromosome:Lperr_V1.4:12:3851682:3855939:1 gene:LPERR12G04970 transcript:LPERR12G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSAEGEHAMMANARFQKQALLPPRSPFPAAASPSPSPHGELGPIARPRDGGPHRHGHHQRTSSESVLIDEQPSWLDDLLDEPEAAAAPAARPHGRPGHRRSSSDSFTLFDGGNSAAAAAMYDNVFDGMRGGGGGGGGHGQQVGGSWGGAPEFFPEPSSFGRPQGGHGRPWDNRQMLRHGGGAGMPMPMPMREMNGGHRGPPGAFGDLEHGSLPNGVDRKVIGDIHDQRVGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHMPQQQGPTHSRSNSRDLDSQFANMSLKHSDPNSGCDAVPGLRI >LPERR12G04980.1 pep chromosome:Lperr_V1.4:12:3856524:3858887:-1 gene:LPERR12G04980 transcript:LPERR12G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRREGNTPHTAAAVSAPLSALPPPGGVMEHGSVTDSTASTFSIMDEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDSAKDVLKDSLQDLMVMCQHVRGTLDTAVTDFRQNNPTDMNVDLNKK >LPERR12G04990.1 pep chromosome:Lperr_V1.4:12:3858859:3859673:1 gene:LPERR12G04990 transcript:LPERR12G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATPDSAAAKRQIESTLDDPSPPAKLQRSSQPDPSPAAGATNGGGEVAGARSEEMAGGRNPRGQRYLVAVEYIGAKFSGSQQQPNQRTVVGVLEMVIAIKMLFMLARILGFILALGDLICLKIDDW >LPERR12G05000.1 pep chromosome:Lperr_V1.4:12:3865713:3870486:1 gene:LPERR12G05000 transcript:LPERR12G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYILIQDAGVHALSNVCHVDVERISKRKPGEVKNEGDILVTDVRCVAPDFHARYKALERTYHYRLLSGSEPVSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELTVTEVFPSMFFPSSLERSEMESLDGPIFYSRTSAVESSGKGSDASNTTSEQSRFENGGVFGDRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILDSKTVTAAPPMAPSCGLYLANVKYDLNV >LPERR12G05000.2 pep chromosome:Lperr_V1.4:12:3865713:3869875:1 gene:LPERR12G05000 transcript:LPERR12G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYILIQDAGVHALSNVCHVDVERISKRKPGEVKNEGDILVTDVRCVAPDFHARYKALERTYHYRLLSGSEPVSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELTVTEVFPSMFFPSSLERSEMESLDGPIFYSRTSAVESSGKGSDASNTTSEQSRFENGGVFGDRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILDSKTVTAAPPMAPSCGLYLANVKYDLNV >LPERR12G05010.1 pep chromosome:Lperr_V1.4:12:3876931:3881841:1 gene:LPERR12G05010 transcript:LPERR12G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAESADDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGTSGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWISHGGEIRGTLAGAAVDFPKEKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASLYGLSMTTVFYLFLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDLANICVIVHLIGAYQVFAQPIFARLESYVSCQWPDAKFINATYYVRLPGARTSVPVAPMKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVAMHVARLGIRRGEARWWWLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >LPERR12G05020.1 pep chromosome:Lperr_V1.4:12:3885237:3886643:-1 gene:LPERR12G05020 transcript:LPERR12G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIEPLEVSLEAGNASDSAALDDDGRPRRTGTTCTATAHIVTAVIGSGVLSLPWATAQLGWIAGPAVMAVFGAVTYYTSTLQAECYRTGDAETGRRNYTYIEAVRSILGGAKVKLCGVIQYANLIGVAIGYTIAASISMNAIRRAGCFHVSGHNVPCHSSSNMFMIVFGVVEIVFSQIPDFNEIWWLSIVAAVMSFTYSGIGLGLGIAQTVANGGFAGTIAGVANVTVAQKVWRSLQALGNIAFAFAFSNVLTEIQDTIKAPPPSEAAVMKKATAASVAATSAFYAACGWMGYAAFGNAAPDNLLTGFGFYEPYWLVDVANAAIAVHLVGAYQVFVQPVFAFVERSASRRWPDSAIINRELRVGPFAVSAFRLAWRTAFVCLTTVVAMSLPFFGVVVGLLGSISFWPLTVYLPTEMYIKQRDVRRGSARWIGLKLLAGAGLVVSVAATVGSVAGFVGQFKNFRPFSG >LPERR12G05030.1 pep chromosome:Lperr_V1.4:12:3905025:3908685:1 gene:LPERR12G05030 transcript:LPERR12G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAPRIKGSLKVGGAKAFSKNIIRDYLTSSVSYPVSEISSPIRLQHVAAYCSNLIVRDSKRRDFSKNLASTNGSLKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCQNWGVGLVVVGPEAPLVAGLANKLVEAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTDPSNAKQYVKEQGAPIVVKANGLAFGKGVVVAMTLDQAYEAIDSMLVEGSFGSAGSQVIIEEYLDGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKRKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAHVLLAACRGELGSISLTWSPELATVVVMASEGYPGAYKKGTVIRNIDEAEQVSPAVKIFHSGTALDRDGNFVAAGGRVLGVTAKGKDMEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRHMVAH >LPERR12G05030.2 pep chromosome:Lperr_V1.4:12:3905073:3908685:1 gene:LPERR12G05030 transcript:LPERR12G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFSLQKLERIMACAAPRIKGSLKVGGAKAFSKNIIRDYLTSSVSYPVSEISSPIRLQHVAAYCSNLIVRDSKRRDFSKNLASTNGSLKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCQNWGVGLVVVGPEAPLVAGLANKLVEAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTDPSNAKQYVKEQGAPIVVKANGLAFGKGVVVAMTLDQAYEAIDSMLVEGSFGSAGSQVIIEEYLDGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKRKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAHVLLAACRGELGSISLTWSPELATVVVMASEGYPGAYKKGTVIRNIDEAEQVSPAVKIFHSGTALDRDGNFVAAGGRVLGVTAKGKDMEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRHMVAH >LPERR12G05040.1 pep chromosome:Lperr_V1.4:12:3915822:3919487:1 gene:LPERR12G05040 transcript:LPERR12G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFHKTHRTDRRAPPVSDPFPSSASSPRSRRDKSGRSPPRTAILSSSPHLPHNPNPRKPRQNHHPLPHRLAAAVGSEQQAGTGSPTGSAARCCRRGLSRSPAATTAPPPAMETVLYRLHLANLIKAGESDNKRTNLAVNKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNASAPATQRIRRIEGTIKGIRVTVIDTPGLTPHYHSQRRNRKILHAVKRFIKRSPPDIVLYFERLDHINSKYSEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYSRYCKNVVQRHIQVAVSNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSFLKFQDSFLLSQANTRLASLPHLLSSLLKPNSSSRSDGADTDMTELSDDEDESDQLPPFRVLKKSEYEKLTKEQRSAYLDELEYRETLYLKKQWKEGIRRQKLAEVENVEASNTVGDDYEESSSEVMHMSDMEIPLSFDSDYPVHRYRYLVTDDLVFRPVLDPQGWDHDIGFDGINFDVAQDLQKNITGSIAGQMRKDKEDLYIQSECSLSYSNKNGHSLIGGMDMQTSSKDLVCTVHGDARFRNLPWNTTGGGISVTKFGSKYFSGAKLEDSITVGRRLQLVGNVGRMGKDYPVREESITVAATALSFEKETVIGANLQSDFRMSHGSKVSVSANLNNRNLGRLSVRTSTSDHSEIALIAAVTLIQFFLRRSKASTDGGKQQQFDPYSDEL >LPERR12G05040.2 pep chromosome:Lperr_V1.4:12:3915935:3919487:1 gene:LPERR12G05040 transcript:LPERR12G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRDWISYRLGCSVLSARPFTLSGRDDGAAPGDGDGAAQSEFVETVLYRLHLANLIKAGESDNKRTNLAVNKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNASAPATQRIRRIEGTIKGIRVTVIDTPGLTPHYHSQRRNRKILHAVKRFIKRSPPDIVLYFERLDHINSKYSEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYSRYCKNVVQRHIQVAVSNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSFLKFQDSFLLSQANTRLASLPHLLSSLLKPNSSSRSDGADTDMTELSDDEDESDQLPPFRVLKKSEYEKLTKEQRSAYLDELEYRETLYLKKQWKEGIRRQKLAEVENVEASNTVGDDYEESSSEVMHMSDMEIPLSFDSDYPVHRYRYLVTDDLVFRPVLDPQGWDHDIGFDGINFDVAQDLQKNITGSIAGQMRKDKEDLYIQSECSLSYSNKNGHSLIGGMDMQTSSKDLVCTVHGDARFRNLPWNTTGGGISVTKFGSKYFSGAKLEDSITVGRRLQLVGNVGRMGKDYPVREESITVAATALSFEKETVIGANLQSDFRMSHGSKVSVSANLNNRNLGRLSVRTSTSDHSEIALIAAVTLIQFFLRRSKASTDGGKQQQFDPYSDEL >LPERR12G05050.1 pep chromosome:Lperr_V1.4:12:3920551:3925757:-1 gene:LPERR12G05050 transcript:LPERR12G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHRGGGWPNGSGDDSGGGWEIAGKKSKKSAQQGQKGQWGQWNPSSAAPAPTAAQRGSGAYRPYVNNAVQHSDPRPAGRGNPWPTPQNRSTETELQASSRVVTPPLETGWKWAARSCQSGSESKEDDVTLPGCDPEMNNAKGDDPSDDDSDDDDYLSDDMSDEFDSDASEKSFETRKKHKILKSFFEVLGTLSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSIKVKLHRELASLLEEELSRRGTSVIPIGEQFGKWKGLRESTDHEIVWPPMVVVMNTLLEKDDDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDGSAVGYVEAERLHKHFIDQRTDRDSWQNHRVRFLPGGKRQLYGFLATKDDLETFNQHCHGKSRLKYEMRSYNEMVVAQMKQMSEDNQQLNYLKNRMVKKEQHSKLVEETLGVVTQKLRETMEENNIVRKKATEKHLEYENEMKFQEKFFHDQIERIHKATDEKESRFEKLLQEERAKARQSDVGSGSTEDSRQRKEAIQKFIDCQVKDVEKFEAERDKLIKLHEETKVKLKMEYMEKEVELEKKLDAALTALMDKHKPDVFQGSCSSSS >LPERR12G05060.1 pep chromosome:Lperr_V1.4:12:3927150:3932626:-1 gene:LPERR12G05060 transcript:LPERR12G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGGGHHVSGNGNAQKASNGSCWVEKGSTKTDKSVGKKQRAPSCSSNAAPIPTKAQQALNGNGSLRLSVNGRVQHSDHRPAARGSPRSMPQNKQTETKLQAPCPVVTDRRPAARGNPRSMSQNKHAETELQAPRPVVTAPPAHGLQWINEKTEKWHCPACKYVRGGVAWYKGLQPLMNHARTKRSKRVKLHREFAALLEEELYHRGVSMAPSGEFFGIWKGLRENTDLEIVWPPVIIIMNTRLEQDKDGKWKGIGNEELLGYFSKYHVREACHAYGPDGHSGMSALIFKGLAVAYKEAERLHNHFVDQRRDRGAWQNLRLVPGGKRQLYGFLAEKQDMEAFNRHDGKDYLQYEMKSYNEMVVTQLKQMSEDNLQLNYVKNEMVRTEQHSKEVEEILGVETQKLQQAIDDNIILKRKTNEMLLECEEQMNFNVKFYHEHIERLRKDTEEKENEFERLQQEALANAIECDIDSETRENTENCMLSEEQIQRIVDCQVKDVKEFEAEQDELIKAHEENKAKVKKEYMEKDVELEQELYAALTRLMEKHKPDIFQPSSS >LPERR12G05070.1 pep chromosome:Lperr_V1.4:12:3948240:3955640:1 gene:LPERR12G05070 transcript:LPERR12G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPVPKLEPDERANLLSLLAAASRPLADVVSDFLARFPRERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNVLKQSAVDYINGSDSASQALLPREQLEKLCCTYSVQSQPQTSGLRAATVRCVIPDPDVPQSCVNSSEPSISLPGSKPKSASDDRDLALAGLIEEQSWGKLAPQWIRPTPPRLNILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEDLVEHNPLIAVEILSKLMNSSDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISSCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >LPERR12G05070.2 pep chromosome:Lperr_V1.4:12:3948240:3957204:1 gene:LPERR12G05070 transcript:LPERR12G05070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPVPKLEPDERANLLSLLAAASRPLADVVSDFLARFPRERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNVLKQSAVDYINGSDSASQALLPREQLEKLCCTYSVQSQPQTSGLRAATVRCVIPDPDVPQSCVNSSEPSISLPGSKPKSASDDRDLALAGLIEEQSWGKLAPQWIRPTPPRLNILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEDLVEHNPLIAVEILSKLMNSSDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISSCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >LPERR12G05080.1 pep chromosome:Lperr_V1.4:12:3956353:3956880:-1 gene:LPERR12G05080 transcript:LPERR12G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHPLLLLAAAILLATAAAGDGNTNVTSSSSTTPSAYEMLEKYDFPRGILPVGVEGYTLREDGSFEVYFPRDCEFMLARTWLVRYGSRIAGDAASGRLTSLSGVHVKVLFVWIPVGEVDRSGDSLSFYIGPVSTSFPLSDFAHSPHCRGYDLDDGRRLVAGDGGGDGDVAAVL >LPERR12G05090.1 pep chromosome:Lperr_V1.4:12:3959502:3963941:-1 gene:LPERR12G05090 transcript:LPERR12G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVEFVCSVVAVFAGLAHVLGNSLMSLCSPKFSPPAWAAAAKPKIHAVESDKQQQLAVDGHVQLQLQQQQSPPVPVLVLPAAAAMRKKKGTEKGIRPPRLVIPPAPVVVGPRGGFGDGGGGRETDVAAETAVEGEGFWMASRRGVRHAMEDGFGVIAQHKVEGGSRMAFYGVYDGHGGRAAVDFVADKLGKNVMATVSAASSTTRSMSRHQAGPSSSPSQQRREEDDVTAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGEVYVSNVGDCRAVLCSRLRGATALTSDHTPARLDERLRIETTGGYVSCGAGSGVWRVQDSLAVSRAFGDAAVKQWVTCEPDTIRLTLTAAAGDDNDHRFLVLASDGLWCKVSNQEAADAVFSAAGGVPGTEACKELVAMARSRGSRDDITVMVVDLQRFLSPVEQH >LPERR12G05100.1 pep chromosome:Lperr_V1.4:12:3978544:3985155:-1 gene:LPERR12G05100 transcript:LPERR12G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVAFAGKSVASAVIKEIITKGLRYLNGYFSSESMEVMRSKLERGMPKIQAVLDVVRPDQIKNGSNDLDAWLWQLRDAVEKAEDAIDELEYYELEEKAQNDRVSDWGSPSAKMKHKVVRSIKHASVLDKTVKQITHRGIIKWLKKAMEGLDKATEDITSILAVTEFIKGAASSTRLHENLVSNDRETGSMLSTTKFVGRKSEKNTIIEWLTKDVTVGEAGFMTSANYVPIFSLVGHGGMGKTTLAQSICQHDEVVKNFKIIWVTVSNIFDAKSVTRKILEYTTGNEPSDKHLEALQQDIKEKLTSMKFLLVLDDVWEDGKRSEWEKLFAPLRSGKSGSKILLTTRMISVADVVANVMEVRRDCLTLEGLENNENIELFNHHVFSGMNLPDYMHLKLTGEKIARKLGGCPLDLSHRLQVIHGHLEDIGERFLVQLTQKSFFDVKRITYSKKRTKEYYFMHDLMHELARGVSSGECTRITDPARITDVQDTVRHLCIPCIQECSTEERKTISKYRNLRSIIIDSYSVIDKDTMCELQKIVESSKLLQLFYSRLRITFEFSSKFGRLKHLRYIDMHMISSKVICSVSNLYHLIVLSCNTRWSGETKQIRYLGNLYHLQHVKYGAVGLGEFPISRLESIQELHNYCLQGKDGNKMRSIGNLQYLRKLDVQRIDTIENHEEAINAKLNEKCHLRSLSLSWSNHTGAQNRADELVLGHLEPHHNIRKLRIHGYKGNNAPCWIQNSSVGNLLRQIGHQSPMPSTNEVKQFLPPSLETLTIKKCPELQLLAFVPSSLVSFEIEEVNWAKLPKIGKLCSEIDGKRLSKLREKQYTGALNELEIIDCSQLEFAPIPFDEMNGLSHLKLERCPKLSAPRGVGDRFLSSSLKFLSIESCGDLEVASLGSLHVQQITNLRELYLKYCSSLVSLPSAEVFSRSFTSLYSINIEGCENLSSLGGLGSLSSLSYLEIIRCAKLVEVGSSQTQHSSGGEEERLLDSDSKSSLHITNLTIDLPPLLLVEPIRSLCHTEQLVIEDASEMQSLPDRWLLQNRQSLRSLWIWKAESLESLPPSIRDISSLGELCLSDARQLRSLPDLPHSLQELTLDGADQLCSLPHLPSSLKVLQLSDVGQLKSLPDLPSSMERLHISGCNSELKKKIAKYGSHERKKISHILQVQIGARNAMEKPKRD >LPERR12G05110.1 pep chromosome:Lperr_V1.4:12:3997167:3999200:1 gene:LPERR12G05110 transcript:LPERR12G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFAAANHPHPHHLHLLPSSPKPLHCARPLSRAAAYRRASSSSQAELRPDSKNAPALSAELRRLARAGRLPSALSLLDHLSHRGVPATASAFAALLAACRSVAHARQIHGHLRVHGLDANEFLLARLVELYLALGAVEDARLVLDGMSRPSAFSWNALLHGHVRRGRGQAGNAVADGFAEMRAAGADANEYTYGCVLKSISGSAAPSMDMATATHAMLIKNAFAGAPRMLMTGLVDVYFKCGKVKPAVRVFEEMPVRDVVAWGAVIAGFAHKGMRREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHGFVVKKFQDRKDVANIQAGLVDMYCKCGDMVSGRRVFYSTMKRNVVSWTALMSGYASNGRPDQSLRCILWMQQEGIRPDLVAVGTVLPVCTKLKALREGKELHAYALRRWFLPNVSLCTSLINMYGTCGHLDYSQRVFHVMDKKTVRAWTALVDAYLKNGDPSTAVDLFRSMLLSNRRPDAVAITRMLSACRDIGASKLGKELHGQTLKLRMEPLPLVAAALVSMYGTCGDLKAAQRVFNRVESKGSLTCTAIIEAYAINQRHKEALDLFSWMLSNRFVPNKGTFDVLLRICEGAGLHDEALQIFNSMVQEYNLEASEQNFDSIIRLLTAAGRTSEADRFANLKNTLFNLSTPSLNC >LPERR12G05120.1 pep chromosome:Lperr_V1.4:12:4001953:4005844:1 gene:LPERR12G05120 transcript:LPERR12G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHTKLKFTYSCLLPKILARKTAKIGLNLIHSFKKASHVLMGCLCSKGAKDDADAPSENKTPSRNSAAASVNTVIGTNDGVPSVIDGQLKESAVNSSILQSGKGEKVIVALDVRISSGNNTELKGLSGEHVSAGWPAWLANVAPKAVEGWLPRRADSFEKLDKIGQGTYSIVYKARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRTLDHPNVVKLQGIVTSQASQSLYLVFEYMEHDLSGLIATPGLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNVLIDSNGILKIADFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPVMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFKKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKNAALGGRGAESVRPGNENRVKNRAIGGAAELKQQTHTSSKSNSKKFNPEDSVPGFRVEPRMRPTTVQLPGYGSSWNNMDGDNNDQPTTVPGRTCSSVRVANACTVRKKGSSHSLIPQFGATDLRNNVDEAADHNNNNPPDRNGGNKKNLENHGRKYRRIHHSGPLMPPGGNVEDMLKEHERTIQEAVRKARVAKPGR >LPERR12G05130.1 pep chromosome:Lperr_V1.4:12:4006483:4009387:-1 gene:LPERR12G05130 transcript:LPERR12G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAAGGRRLLLPLHLRAAHLLPPQSHLSSSASAAEGMDGGDRGGGRVKIFDRELKRRQRDRAAWAMGKTDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAIRRLLRGRGGIEKLIMMDMSADMVRKWQEMENATDDSLETHFVVGDEEYLPIKESSQDMIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRFVSGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQS >LPERR12G05130.2 pep chromosome:Lperr_V1.4:12:4006483:4009387:-1 gene:LPERR12G05130 transcript:LPERR12G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAAGGRRLLLPLHLRAAHLLPPQSHLSSSASAAEGMDGGDRGGGRVKIFDRELKRRQRDRAAWAMGKTDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAIRRLLRGRGGIEKLIMMDMSADMVRKWQEMENATDDSLETHFVVGDEEYLPIKESSQDMIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQILKKDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQS >LPERR12G05130.3 pep chromosome:Lperr_V1.4:12:4006483:4009387:-1 gene:LPERR12G05130 transcript:LPERR12G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAAGGRRLLLPLHLRAAHLLPPQSHLSSSASAAEGMDGGDRGGGRVKIFDRELKRRQRDRAAWAMGKTDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAIRRLLRGRGGIEKLIMMDMSADMVRKWQEMENATDDSLETHFVVGDEEYLPIKERLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQILKKDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQS >LPERR12G05130.4 pep chromosome:Lperr_V1.4:12:4006483:4009387:-1 gene:LPERR12G05130 transcript:LPERR12G05130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAAGGRRLLLPLHLRAAHLLPPQSHLSSSASAAEGMDGGDRGGGRVKIFDRELKRRQRDRAAWAMGKTDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAIRRLLRGRGGIEKLIMMDMSADMVRKWQEMENATDDSLETHFVVGDEEYLPIKERELRIACTIAQMEREGGISPRMSPLAQILKKDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQS >LPERR12G05140.1 pep chromosome:Lperr_V1.4:12:4011646:4013387:1 gene:LPERR12G05140 transcript:LPERR12G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHHQHKNKKVEEQCSGKEHGKAEAAKQQHGRSRVNPELVEYVDISAVHPLTAFHQRKYEAATGEKAVNKVVTLFNKEETYKDQKEEDQEVPTNEHKNKGVVYQF >LPERR12G05150.1 pep chromosome:Lperr_V1.4:12:4020159:4020767:1 gene:LPERR12G05150 transcript:LPERR12G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRKPRVMHKAMTEVRHAFAMSGVVSEVVIRDDLKYLHLVIMESLRLHPPVPLLIPRECREPCQVAGYDVPRGMQVLVNAWALGRDERYWPDGVEKFRPERFECDSKVAAEVVDFRGSNFELVPFGAGRRMCPGMAFALSNAEITLASLLFHFDWVAPGVVDPAELDMTEVFGVTTQRKAKLPLRPILRVPVPDVNTLH >LPERR12G05160.1 pep chromosome:Lperr_V1.4:12:4025403:4046843:1 gene:LPERR12G05160 transcript:LPERR12G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCLNYTTLRLLGEPKDNNDALARGRVWILSNGTATAAPQWAKIMLSVIGVYDWSGNNPMIPELWLVPRFLPIHPGRFWNFTRTTYMSISYLYAKKFVGPITPTILSLRDELYNVPYSKIDWNGARGICAKADIRYPPSVIYKVISTCLNKFVEPILNFWPANKLRERALRHMMEHIRYEDDNTRYVGLCPVTKALNMICCWVENPNSDTLKRHLPRIHDYLWVAEDGMKTKIYDGAQNWEITLIIQSFCSTDLINEYGPTIERAHSYLKKAQIRRNHPGDQSYWFRHISKGSWALSTVDNGWGSSDSTAEALQAILLLSKFPPNLVGDPMEEERLHDTIDFLLSLKVL >LPERR12G05160.2 pep chromosome:Lperr_V1.4:12:4025403:4046843:1 gene:LPERR12G05160 transcript:LPERR12G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCLNYTTLRLLGEPKDNNDALARGRVWILSNGTATAAPQWAKIMLSVIGVYDWSGNNPMIPELWLVPRFLPIHPGRFWNFTRTTYMSISYLYAKKFVGPITPTILSLRDELYNVPYSKIDWNGARGICAKADIRYPPSVIYKVISTCLNKFVEPILNFWPANKLRERALRHMMEHIRYEDDNTRYVGLCPVTKALNMICCWVENPNSDTLKRHLPRIHDYLWVAEDGMKTKIYDGAQNWEITLIIQSFCSTDLINEYGPTIERAHSYLKKAQIRRNHPGDQSYWFRHISKGSWALSTVDNGWGSSDSTAEALQAILLLSKFPPNLVGDPMEEERLHDTIDFLLSLKVL >LPERR12G05160.3 pep chromosome:Lperr_V1.4:12:4025403:4046843:1 gene:LPERR12G05160 transcript:LPERR12G05160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCLNYTTLRLLGEPKDNNDALARGRVWILSNGTATAAPQWAKIMLSVIGVYDWSGNNPMIPELWLVPRFLPIHPGRFWNFTRTTYMSISYLYAKKFVGPITPTILSLRDELYNVPYSKIDWNGARGICAKADIRYPPSVIYKVISTCLNKFVEPILNFWPANKLRERALRHMMEHIRYEDDNTRYVGLCPVTKALNMICCWVENPNSDTLKRHLPRIHDYLWVAEDGMKTKIYDGAQNWEITLIIQSFCSTDLINEYGPTIERAHSYLKKAQIRRNHPGDQSYWFRHISKGSWALSTVDNGWGSSDSTAEALQAILLLSKFPPNLVGDPMEEERLHDTIDFLLSLKNDDGSFSTFERQRSYSWIEVFNPCESFRNLVVDYPNVECTASAIISLVLFTELYPGHRTEEIIKCINQASRYIEKNQKKDGSWFVKMIRLFLLN >LPERR12G05160.4 pep chromosome:Lperr_V1.4:12:4025403:4046843:1 gene:LPERR12G05160 transcript:LPERR12G05160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCLNYTTLRLLGEPKDNNDALARGRVWILSNGTATAAPQWAKIMLSVIGVYDWSGNNPMIPELWLVPRFLPIHPGRFWNFTRTTYMSISYLYAKKFVGPITPTILSLRDELYNVPYSKIDWNGARGICAKADIRYPPSVIYKVISTCLNKFVEPILNFWPANKLRERALRHMMEHIRYEDDNTRYVGLCPVTKALNMICCWVENPNSDTLKRHLPRIHDYLWVAEDGMKTKIYDGAQNWEITLIIQSFCSTDLINEYGPTIERAHSYLKKAQIRRNHPGDQSYWFRHISKGSWALSTVDNGWGSSDSTAEALQAILLLSKFPPNLVGDPMEEERLHDTIDFLLSLKVWFPTLIKGFLGEVSYIFSSYH >LPERR12G05160.5 pep chromosome:Lperr_V1.4:12:4025403:4038397:1 gene:LPERR12G05160 transcript:LPERR12G05160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCLNYTTLRLLGEPKDNNDALARGRVWILSNGTATAAPQWAKIMLSVIGVYDWSGNNPMIPELWLVPRFLPIHPGRFWNFTRTTYMSISYLYAKKFVGPITPTILSLRDELYNVPYSKIDWNGARGICAKADIRYPPSVIYKVISTCLNKFVEPILNFWPANKLRERALRHMMEHIRYEDDNTRYVGLCPVTKALNMICCWVENPNSDTLKRHLPRIHDYLWVAEDGMKTKAQEELEEVEELYEL >LPERR12G05160.6 pep chromosome:Lperr_V1.4:12:4044644:4046843:1 gene:LPERR12G05160 transcript:LPERR12G05160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVEGSYTHAVNTAWAMLGLISAGQMERDPTPLHRAAKVLINMQSETGDFPQQEPVGSTNSSVYFNYPNYRNLFPIRALAEYRRGLM >LPERR12G05170.1 pep chromosome:Lperr_V1.4:12:4058077:4058298:1 gene:LPERR12G05170 transcript:LPERR12G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYRWRALMAASTLVPAACGSAGGMPCVPAARGGGRELLGVATGSGRRLRVRASKDCRVGVSNGGSIRKSL >LPERR12G05180.1 pep chromosome:Lperr_V1.4:12:4060484:4060957:-1 gene:LPERR12G05180 transcript:LPERR12G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALALPPLVKCPRCSGQVLRFTSTTPKSSGRRFYRCEHQGNYSRACTFWRWEEQYISWLRLQMAGTSASSGGAASSGATSRQTGGGSVAQRDTGFEQMLVDIDAQVKWITYVLVCVIAVVVLFWLGQ >LPERR12G05190.1 pep chromosome:Lperr_V1.4:12:4065429:4068168:1 gene:LPERR12G05190 transcript:LPERR12G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSPQPQPPSNLESISSRHSSNSNQITPFEQLVVVTDAGADIESPPPTSHGHGQPRRRRRRHPKTNVDVDGVPLAKKVAAEFLGTFVLIFTMLSTIVTDADRLAVAASIGLAVTVLIMSLVHVSGCHINPAVSVAMAALRRLPPAHLLPYVAAQVAGAAAAALAVEGIHHPANREWMVSVPKVGTVEAFFLEFVTTFVLLFVITAFATDSNAVKELIAVAVGGTVMMNVLVAGPSTGASMNPARTLGPAIVTGNYTQIWVYMVATPLGALAGTGAYVAIKL >LPERR12G05200.1 pep chromosome:Lperr_V1.4:12:4074473:4075348:-1 gene:LPERR12G05200 transcript:LPERR12G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLKSFLGLRLLLASSLAAFLLIFTLKSLSSTTSTTSPSPAMTSPTDCGKLPAAVAEAMVHYATANATPQQTAEEIAVTLRVLRRRSPCNLLVFGLGLDSAMWAALNHGGRTVFLEEDASWIQSVTSLHPSLESHHVTYTTKLTDADELISLRHDPSCTGAGAGAGGDCRLKLSGLPEVVGEVEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARGRSPGSGETDVFVHDVDRPVEDRFSREFLCEGYLVEQVGRLRHFVIPSHREKEGMPFCP >LPERR12G05210.1 pep chromosome:Lperr_V1.4:12:4081514:4081975:1 gene:LPERR12G05210 transcript:LPERR12G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTLAPAVLPLPRPHPITQIPTPHAFLRPRRLRLAAVVVTRATNGNGNGNGNGGDGDGDGGGPPADVAGEEEMRTRKGPSLPALSEIRWGELLTPEPANAAAVALTAALAWAGASLLLQLALISFAIFAAAVKYSFVAALLLFVLIALL >LPERR12G05220.1 pep chromosome:Lperr_V1.4:12:4083050:4085448:-1 gene:LPERR12G05220 transcript:LPERR12G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRRIENPVHRQVTFCKRRGGILKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVQRYQSNVEGNQMERGALQRQVTEGVFLLREEIGLLQRGLSYLKNFEVIMNRSRSAYGGGAGEMTLDKLHELEKGLELWSYQIRTKKMQMMQQEIQFLRNKEGIMKAANEMLQEKASVQLQ >LPERR12G05230.1 pep chromosome:Lperr_V1.4:12:4091506:4091892:-1 gene:LPERR12G05230 transcript:LPERR12G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRRGSDLSDLEYRRDQVAEEDQHKAIQEALAGFLSDEVLDRKGEHYYDGRLEPASVDCNIDLNDPNFD >LPERR12G05240.1 pep chromosome:Lperr_V1.4:12:4094927:4100512:1 gene:LPERR12G05240 transcript:LPERR12G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINFMAKREVELHSDNMDLRTRIAEGEQQLHQVTVARSAAMELQQQNPFAAAAAQLDMKCFFPVNLFEAAAQRQMCPTELNLGYHQLGLPGTADDQPPHF >LPERR12G05240.2 pep chromosome:Lperr_V1.4:12:4095353:4100512:1 gene:LPERR12G05240 transcript:LPERR12G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINFMAKREVELHSDNMDLRTRIAEGEQQLHQVTVARSAAMELQQQNPFAAAAAQLDMKCFFPVNLFEAAAQRQMCPTELNLGYHQLGLPGTADDQPPHF >LPERR12G05260.1 pep chromosome:Lperr_V1.4:12:4110971:4115100:1 gene:LPERR12G05260 transcript:LPERR12G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >LPERR12G05270.1 pep chromosome:Lperr_V1.4:12:4125025:4125957:1 gene:LPERR12G05270 transcript:LPERR12G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTQGELPMPPMHAASSPYFGLHHHHHHAATAAGGGGGMNGRHVSPPTPVATATAAEMESNSKAVVLSPSPSPSPSSASASAAARYRECLKNHAAAIGGSATDGCGEFMPAGEDGSLDALRCSACGCHRNFHRKEPQNPHAHHLFGPMPHRGGGHHHHLLVATLPPPPHPPPAPTRMVMPLNAAMHHHHMQQTSESDDAARSPAAARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDGVVQRFCGEIGVKRRVLKVWMHNNKHTLARRNHHHHHHLAGDGDISGDIAGDGGDGQPTATATTTHHQD >LPERR12G05280.1 pep chromosome:Lperr_V1.4:12:4136923:4141409:-1 gene:LPERR12G05280 transcript:LPERR12G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPTRILLPLALLAPKLSPPRPPFISRRRRDRAGAARCGCATEAAGGGGGGGSVVVEDDLYELLQVLPRDLRDNLQNEPRKDQLLEVVLDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNNFQVVIERWATYDGDGL >LPERR12G05290.1 pep chromosome:Lperr_V1.4:12:4142394:4146444:1 gene:LPERR12G05290 transcript:LPERR12G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPAWLKAMEEAPPVTFPRTDGKIKKIVLPEDVYVKRFFKKHPDSLYHDAVKISGFDPPPARVFAWRVLELKKEGVDEDDAMAVADMEYVMEKKAKKKAYKELKEIARSEGRKPPPNPYPSAIKEIQAEEKKFVRDRFHNPKILDIVKKMKEDKEMFFQDRAAARAGQ >LPERR12G05300.1 pep chromosome:Lperr_V1.4:12:4149032:4151568:1 gene:LPERR12G05300 transcript:LPERR12G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACSAAEAAVLCCADEAALCRRCDAAVHSANRLAGKHHRLALLLPSSAAAGDHHAPTCDICQEKTGYFFCLDDRALLCRSCDLAVHTATTPHAAAHRRFLITGVRIGDSVANAAADVIASPSISISSNIAGPASISNRHAVTVAAAGGNIGHRSPPAMFRQDDGVAPPPEQQQWPWSDVFADDGGDMEQQCCYTGISEPHSSSLTG >LPERR12G05310.1 pep chromosome:Lperr_V1.4:12:4151064:4157800:-1 gene:LPERR12G05310 transcript:LPERR12G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLKKELTALRKARFLRDPETCSTWRSTLDVKPLAAASSIEHSNGIEDSIAPKHTESPAPLKSEKKQKKVYLYNWRQYSNKSSESGIKLDEDINLESPHNSNACQDAAVNTYNFESSTSCTPIKRVARRSRVLLSKKGMVRDPAVSKLLDLHVNSTEQTEDTENCNSEGGYFSRPTSPLFAACGCVSASNTSRLLKVSRREEPSFSCTPISTRSYYKHGRANSSTIGSWDGRTATSFDGDESNQSTLWRSQRSHVPGYSSKRNKHKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTAAHGMPLLDGSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGVNPAVHGADLAMADRRSLSQKYRPKTFSEIVGQNIVAQSLRNAISRERIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGDNKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSRYKLFVVDECHMVSSKLWSAFMKFLDEPLPHVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVVTDGELEKLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNPARSSTTECHKSMNDAVTEAGRESSSSRTASHSISAFGVSKKLLDPKTTTLHSSPQALASHSSRSRLDGNFPFGGECISIDRTLQGSTQMINYPEQKVLVNGNSDSLSHIWMRCIENCHSKTLRQLLFDHGKLVCVRQCEGYVLAFIAFEEASIKSRAERFLSSITNSVETVLRCTVEIRIGLLPEFIANGLTLEMGPKLRRAESDAMSCSSNSDRLKGTLNTSSRNFEYSDEAKKGLEQFKIVPASDERLQSTSSTSLISGKSKARGLEVPTHMSRISMIDEQRLESAWLQAAEKCTPGMKNQARPDRHQVLPQVESPYERRSSMALVVPSSEADEDLAHEIKALNIVDSYGAQKDQNGRGENRNIVSPSMLHSDDYYTGSCDNESICSEPGRPGCPGLFHCWKTQRSKRLKTIL >LPERR12G05330.1 pep chromosome:Lperr_V1.4:12:4176009:4180864:1 gene:LPERR12G05330 transcript:LPERR12G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKEVSQAQLCKVTLYKTKEVWIMSTSQSILGQTQYLEDKYPQHALLPKDLKKKALNLQITNIVCSSIQPLQGYAVITKYPILAKLHDVYMENPAFQAAVPKNQPDAPSC >LPERR12G05340.1 pep chromosome:Lperr_V1.4:12:4182012:4188552:1 gene:LPERR12G05340 transcript:LPERR12G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIVFLFSVLLQGFATLADTNIQDTSGLNGIAANWDTKPSNWVGNDPCTDKWIGIICTGNRVTSIRLSSFGMSGTLSGDIQSLSELQYLDLSYNKKLGGSLPPSIGTLTKLQNLILVGCSFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGSLSKLYWFDLADNQLTGGLPISNGTTPGLDNLTNTKHFHFGINQLSGSIPSQIFSSNMKLIHLLLDNNKFSGSIPSTLGLLKTLEVLRFDSNAQLTGSVPTNLNNLTSLAEFHLANCNLTGPLPDLTGMNSLSFVDMSNNSFSASDVPTWFTTLPPLTSLYLENLRISGEVPQGLFSLSSIQTLRLRGNRLNGTLSIADPSNQLQLVDLRDNAITAVTIGAGTPYKKTLMLAGNPFCNQGNSDDARCKATGQSNPALPPYKTASNCAALPPTCHSNQLLSPNCICSVPYRGTLFFRSPGFSDLTNSSYFIQLENTMKTKFLSLSLPVDSISIRDPFVDINNNLEMSLEVYPSGKVQFGEQDISDVAFILSNQTYKPPPTFGPYYFIGQYYSFANGVLQTSKSKKNHLPLIVGVAVGGAAVVAALLALTICITRRKKGSPKQTEDRSQSYVSSWDIKSTSTSTAPQVRGARMFSFDELKKITNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELAEPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDKSDVYSFGVLLLEVITAKKPLERGRYVVNEVKGAVDRRKDMYGLHELLDPALGASSALAGLEQYIDLALRCVEESGVQRPPMGEVVSEIERIVKMAGAGAPIAESASDSMSYYANRTPRHPYGGDSPSDYSGGGLPSTRVEPK >LPERR12G05340.2 pep chromosome:Lperr_V1.4:12:4182012:4188552:1 gene:LPERR12G05340 transcript:LPERR12G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIVFLFSVLLQGFATLADTNIQDTSGLNGIAANWDTKPSNWVGNDPCTDKWIGIICTGNRVTSIRLSSFGMSGTLSGDIQSLSELQYLDLSYNKKLGGSLPPSIGTLTKLQNLILVGCSFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGSLSKLYWFDLADNQLTGGLPISNGTTPGLDNLTNTKHFHFGINQLSGSIPSQIFSSNMKLIHLLLDNNKFSGSIPSTLGLLKTLEVLRFDSNAQLTGSVPTNLNNLTSLAEFHLANCNLTGPLPDLTGMNSLSFVDMSNNSFSASDVPTWFTTLPPLTSLYLENLRISGEVPQGLFSLSSIQTLRLRGNRLNGTLSIADPSNQLQLVDLRDNAITAVTIGNPFCNQGNSDDARCKATGQSNPALPPYKTASNCAALPPTCHSNQLLSPNCICSVPYRGTLFFRSPGFSDLTNSSYFIQLENTMKTKFLSLSLPVDSISIRDPFVDINNNLEMSLEVYPSGKVQFGEQDISDVAFILSNQTYKPPPTFGPYYFIGQYYSFANGVLQTSKSKKNHLPLIVGVAVGGAAVVAALLALTICITRRKKGSPKQTEDRSQSYVSSWDIKSTSTSTAPQVRGARMFSFDELKKITNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELAEPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDKSDVYSFGVLLLEVITAKKPLERGRYVVNEVKGAVDRRKDMYGLHELLDPALGASSALAGLEQYIDLALRCVEESGVQRPPMGEVVSEIERIVKMAGAGAPIAESASDSMSYYANRTPRHPYGGDSPSDYSGGGLPSTRVEPK >LPERR12G05350.1 pep chromosome:Lperr_V1.4:12:4191296:4191637:-1 gene:LPERR12G05350 transcript:LPERR12G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNQMFREQKMQRGRHHTTREQEQQKHHQWRQSNAADGGGGRGSPPSSYFSREAMLVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLILLVVLAFMPTDMRSMASSYL >LPERR12G05360.1 pep chromosome:Lperr_V1.4:12:4201843:4207382:-1 gene:LPERR12G05360 transcript:LPERR12G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKNITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERDDLIVDPRNFEWLREANCPVVADVTHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPF >LPERR12G05370.1 pep chromosome:Lperr_V1.4:12:4213698:4221245:1 gene:LPERR12G05370 transcript:LPERR12G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAHSSMPATSNGDGEATPPPRAPRPTPTRPLPDNTTTLSLNGSQQEFMENFKRCQMHLWYWNLMNSQFHIRYGNQLVYTTPLDGTFYYVLEYEGRHVVLVILARMAWLIGFGTTNGFFQMQFEGLVGPYMDSSHCHMLRFKGNHGKISPNGTGDTIINLHVIRKCFVGLCTYVPSRRQDPEDLPLWNGTLVVFIMESKACEIYRRHCRAIVEMVTPELGTDFVTKFIVNWSAISTQVMKYLTNLTYEPHDCNIPVLVTLEDLMNVFYYLHVDGWYDGLFEHDGLPPGPKEM >LPERR12G05370.2 pep chromosome:Lperr_V1.4:12:4213698:4221245:1 gene:LPERR12G05370 transcript:LPERR12G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAHSSMPATSNGDGEATPPPRAPRPTPTRPLPDNTTTLSLNGSQQEFMENFKRCQMHLWYWNLMNSQFHIRYGNQLVYTTPLDGTFYYVLEYEGRHVVLVILARMAWLIGFGTTNGFFQMQFEGLVGPYMDSSHCHMLRFKGNHGKISPNGTGDTIINLHVIRKCFVGLCTYVPSRRQDPEDLPLWNGTLVVFIMESKACEIYRRHCRAIVEMVTPELGTDFVTKFIVNWSAISTQVMKYLTNLTYEPHDCNIPVLVTLEDLMNVFYYLHVDGWYDGLFEHDGLPPGPKEM >LPERR12G05380.1 pep chromosome:Lperr_V1.4:12:4224312:4227193:1 gene:LPERR12G05380 transcript:LPERR12G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGCGDCDEAHEGTVVASSSSLRPSSSKRKATVDLEEDDDDDDLQEEEEDSYSRLPPPPAKDSSYLYPCQYSEDGVNPAYVIPGSKHRDGSIYRRDKHYWHGLYHLDNTTSETGLEPMTRPYSEKDCKPCNSDCQWHTGDSMMQIFYIKLAEISDFATTAGGDGIQLYGFMAVRDLLDPLRNYVFNRTKGDPFTIHDISRPFIQMSGPKRGIAMDSTMMIEYDMKIKMGQNEQDDRILIDGAATFSELANFEAYTFRIRGDCNMAVDTRLAHLWPAIEARKQVCISELKDGCGRLNLTITCDVSHRYPQIKLFEGPIDKLRDQNRFVVAALQNTLMVTEFKLVHQHGSISKRFEYRVVPHGSMFHCAKFLDLATIGLEIFWSVLPG >LPERR12G05400.1 pep chromosome:Lperr_V1.4:12:4231649:4234780:1 gene:LPERR12G05400 transcript:LPERR12G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAANNRRPTRKPRAAAAAAIALSGDIEDLAAPSGSIKAAGAAAKAVRAELLRWYEANRRDLPWRRAGERGGGGGEEERAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPSVHALAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPHTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTFSHTAMFELEAVGGFILIWKLPHGPEQFFLDYREITGQLVDPSRPGDFNQAMMELGATLCSKTKPSCSQCPVSSHCQALALSSQNASVKVTDYPRVVPKAKPRCDFAAVCVVQIAQDFDQGIAYATGNDNLFLLIKRPEKGLLAGLWEFPSVLVNEGKTDGLNRRKEMDKYLKQLLRIDVKRRSSVILREDVGQHVHIFSHIRLTMFVELMILNLKDAVDKLCDEGQDSTKLRFIDEKAVESMGLTSGIRKVYNMVKDFKEKRLTEQSQVPTRKRSRRLK >LPERR12G05410.1 pep chromosome:Lperr_V1.4:12:4235366:4239074:1 gene:LPERR12G05410 transcript:LPERR12G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCDAMMTMMTESILSTGYLRLVRFVSKVSMFGAESCQKESETRFVRCPMLAGTSPAMLVSERSRWVIWVSLSNPVIIGSCDMLKLLLERLRWLSEVRLKIAGSTLLACRWWPLKSSEVTRPSAPPQRTPSQRQQSVVATHDRKAVE >LPERR12G05420.1 pep chromosome:Lperr_V1.4:12:4236221:4239238:-1 gene:LPERR12G05420 transcript:LPERR12G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRIARLLPSWLTPMLYILLRVQATTTLTCLPDQASALLRLKQSFNTTAGDYSTAFRSWVATTDCCRWDGVRCGGADGRVTSLDLSGHHLQASSVDPAIFNLTSLNHLNLSNNNFSMSQLPMITGFERLTQITHLDLSDTNIAGEVPASIGHLTNLVYLDLSTSFYIVEYDDENSEMVYTSDSFWQLSAPNIETLLTNLTNLKELHMGMVYMYGNGERWCDGIAKSTHNLQVLSLPYCSLSGPICTSFFDMQSLNIVELQYNGLSGSVPQLFASLPNLTVLQLQVNKFEGLFPPIIFQHKKLRTINLSKIPGISGNLPNFSMDSSLENLFVSSTNFTGTIPSSISNLKSLKKLDLGASGFYGTLPSSLGSLKYLDLLEISGLQLVGSMPLWISNLTSLTVLQFSNCGLSGQVPTSIGNLRELTKLALYNCNFSGKVPPHVFNLTRLQTLQLHSNNFAGMVELTSFSILKNLSILNLSNNKLLVVDGGNSSSLVPVPKIKLLRLASCSISTFPNILRHLHDITTLDLSQNHIHGAIPQWAWETWKGLYFILMNMSHNNITNLGSDPLLPLQIEFFDISFNSIEGPIPIPQVGSTMLDYSSNQFSSMPLHYSTYLAETRTFNAFKNKLSGNIPPSICTTVTKLQLIDLSYNNLSGSIPSCLMENVTALQVLSLKGNKLDRKLPDSIKEGCALEALDLSDNLIEGKIPRSLIACRNLEILDIGSNQISDSFPCWMSKLPKLQVLVLKSNKFTGQVMDHSNILDGNNCNFTQLRIADMASNNFSGMLPEAWFKMLKSMMVRSDNETLVMENRYNRGQKYQFTATVTYKGRYMTISKILRTLVLIDVSNNAFHGTIPGTIGELVLLRGLNMSHNALVGPIPTQFGRLNQLESLDLSSNGLSGEIPKVLSSLSFLSTLNLSYNRLVGRIPDSNQFSTFSNSSFLGNTGLCGPPLSKQCDNPKEQVVMPYASEKSTDVVLVLFTALGFGVSFAMTILIIWGRRTRKQF >LPERR12G05430.1 pep chromosome:Lperr_V1.4:12:4242444:4242635:1 gene:LPERR12G05430 transcript:LPERR12G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMTTGAVNSNSVRRRNTKSSRRPASVLIPRGGALPEVELLNFLTAHRVMGWVMLAGKLTD >LPERR12G05440.1 pep chromosome:Lperr_V1.4:12:4248459:4248949:-1 gene:LPERR12G05440 transcript:LPERR12G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTEEVLDVQSINMQAPASPIVSSAVPLLLPKAPVKKRDGKTVLYNPNRRHSARLQQSKENLDLQFDHRMGIGKPRGKSARKLKELAVNYDSSPSDCSLSLLQKMGVDMCGLSLEDVAESSLGGVRRKLVPRPNMEDQ >LPERR12G05450.1 pep chromosome:Lperr_V1.4:12:4265662:4268697:-1 gene:LPERR12G05450 transcript:LPERR12G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQRVAPHLASLLTVLHILLQVQAITITLGDSTAPVPCLPDQASALLQLKNSFNKSAGDYSTAFRSWVAGADCCRWNGVQCGGADGRVTSLDLGGYQLQARSIDPALFRLNSLTHLNLSGDDFSMSKLPVAGFELLTDLTHLDLSDTNISGEVPASIGSIQSLVYLDISTRFREVDLDNENGKIIYNSYSVWQLSAPNMEILLTNLSNLEELHMGMVDMSGNGDWWCEHIAKYAPKLQVLSLPYCSLSGPICTSFSALRALTTIELHYNHLSGSVPGFLAGFSNLTVLQLSRNKFQGLFPPIIFHHKKLRTISLSKNPGISGNLPNFSQDSSLENLFVSSTNFTGTIPSSIINLKSLKKLGLGASGFSGTLPSSLGSLKYLGLLEVSGLQLVGSMPSWISNLTSLTVLQFSNCGLSGQILPSIGNLRTLTKLALYNCNFSGTIPPHILNLTRLETLLLHSNNFAGTVELTSFLKLKKLSVLNLSNNKLLVVDGENSSSLVSFPKLELLSLASCNLSTFPSILRLLHNITSLDLSHNQIQGAIPQWAWTTWKGLYFLLLNISHNNFTSIGYDPLLPLHIEYFDISFNSINGPIPIPQGGSLTLDYSSNQFSSIPLHYSTYLGDTLVLKASENKLSGNIPPSICTTVRKLQLIDLSYNDLTGSIPSCLMEHVTALQVLSLKANKFAGELPDNIKEGCALQALDLSGNLIEGKIPRSLIACTNLEILDIGSNQITDTFPCWLSELPKLQVLVLKSNKFAGQVMDPSYTGHGSSCKFPELRIADMASNNLNGILPEGWFKMLKFMMARSDNETLVMENQYYHGQTYQFTATVTLKGNDMTISKILRTLVLIDVSNNAFQGAIPETIGDLVLLRGLNMSHNALVGPIPTQFGRLNQLESLDLSSNGLSGEIPKVLSSLSFLSTLNLSYNRLDGRIPDSNQFSTFSNSSFLGNTGLCGPPLSKQCDNPNEPIAMPYNTEKPTDVVLVLFTSLGFGVSFAMTVLVVWGRRTRNQW >LPERR12G05460.1 pep chromosome:Lperr_V1.4:12:4272966:4273202:-1 gene:LPERR12G05460 transcript:LPERR12G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSKIVSCGGRPLVLLLILTLIVIPAMKGLHEEPIMAFARAFVEEHGAEITGRRLGQCTTRYPNSPLLCPPMKN >LPERR12G05470.1 pep chromosome:Lperr_V1.4:12:4293898:4296107:1 gene:LPERR12G05470 transcript:LPERR12G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDASKKKLFAASDVAGHSSRKDCWVVIHGKVYDVTRFLEDHPGGEDVLLHASVSGDATEAFEDVGHSTSAISMMNGYLIGSIKDYVPPSASKDETIGSNDMPPDFRRIPGKKGPPAPNTFLDFLLPLFMLGLAFAAWYYLTFIAKS >LPERR12G05480.1 pep chromosome:Lperr_V1.4:12:4339660:4340523:1 gene:LPERR12G05480 transcript:LPERR12G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFMKNGTVLIMYLMVTSMVLSICLAAGTQAYLNCADLPGCTEHKCSADCRHRGFPGTPGLVDCSDDLCCCARSLHPNDKDNRRDEFLA >LPERR12G05490.1 pep chromosome:Lperr_V1.4:12:4349687:4350685:1 gene:LPERR12G05490 transcript:LPERR12G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGWAAECHADLGVAYPMVGRTPFPHGRPLRRCSNTHPSEHQVEDAAMNKHLEEINRGSDPSRNQAEGRGD >LPERR12G05500.1 pep chromosome:Lperr_V1.4:12:4353765:4354491:1 gene:LPERR12G05500 transcript:LPERR12G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGTTPSTATTVTNTTKERITRRPRRASMLLRSVAAAVLVAAFTGILVFYALCATGAVFSPPGGVAALRKVGSSATAWAVYCLVADVVVISGLMVERFRVRNGKAAASMAAMAAVSSRMLEDAVPAPMNMC >LPERR12G05510.1 pep chromosome:Lperr_V1.4:12:4356693:4359762:1 gene:LPERR12G05510 transcript:LPERR12G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTPGTQLHLNCADLPVMCTEHSCGADCRMRGFPGTPGLVSCMYTRPNKCCCELHPNDKNN >LPERR12G05520.1 pep chromosome:Lperr_V1.4:12:4376920:4377297:-1 gene:LPERR12G05520 transcript:LPERR12G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRVAAAAGVVVVLVVACCAQQARANADLVQPSDKKKKAPPPPIKCNNAQKEEILHECELWVKKNPPYTFPIDRCCDAVMNVPLLDMACIVRLLTSEEKERYNERQIMRLEWMCNPSPAGKLN >LPERR12G05530.1 pep chromosome:Lperr_V1.4:12:4385825:4386736:-1 gene:LPERR12G05530 transcript:LPERR12G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKEEVDGEPTIQPPGCLEISHRGQMFMLRRTSEEPIGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALPSSS >LPERR12G05540.1 pep chromosome:Lperr_V1.4:12:4389236:4391603:1 gene:LPERR12G05540 transcript:LPERR12G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPCGGRNAEPAHGQAGSSGLRTARKAAWGTGWWWQPGGRAGGRGTGWQRCTEAMDLVLC >LPERR12G05550.1 pep chromosome:Lperr_V1.4:12:4395736:4396205:-1 gene:LPERR12G05550 transcript:LPERR12G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQGLSFVKAQDDRQRRGAWRGRESYQGGEPPVWRGGPVAGDTPAGSGATTRRGSAAATGTPARGSCEQDGSRRWRRRRAATLNDAAW >LPERR12G05560.1 pep chromosome:Lperr_V1.4:12:4396412:4398471:1 gene:LPERR12G05560 transcript:LPERR12G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLVYIQTNGDGPTVGAKTAILLKLAAAKGKRAAAAGAAARSSGRAVEGLGRSAQPRRRRRPTAAASAQRQLGNSPDSCRMVEQRFPWYSRTSALLRWTA >LPERR12G05570.1 pep chromosome:Lperr_V1.4:12:4401586:4403390:1 gene:LPERR12G05570 transcript:LPERR12G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGTQFRLQLSCDDLPMCTEKSCNANCRDRDFPGAPGVTCIYGQPDKCCCDDPFYPNDKDNQRNEFLA >LPERR12G05580.1 pep chromosome:Lperr_V1.4:12:4414016:4418703:1 gene:LPERR12G05580 transcript:LPERR12G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMAFKQTLSLLNLILNKQVPFILRTEKRGKMKEEGLVQRMKNKLLEEERLRNPFAQGPPWTTDVPEIGKKNTECEAKEIWQTSTSNFTYLETAKDHMYVLCPTDCDTIQDASGEGIPDLLLLLMQWAQKTMEERLTFIDEVQEM >LPERR12G05580.2 pep chromosome:Lperr_V1.4:12:4413673:4418703:1 gene:LPERR12G05580 transcript:LPERR12G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMAFKQTLSLLNLILNKQVPFILRTEKRGKMKEEGLVQRMKNKLLEEERLRNPFAQGPPWTTDVPEIGKKNTECEAKEIWQTSTSNFTYLETAKDHMYVLCPTDCDTIQDASGEGIPDLLLLLMQWAQKTMEERLTFIDEVQEM >LPERR12G05590.1 pep chromosome:Lperr_V1.4:12:4423141:4426525:-1 gene:LPERR12G05590 transcript:LPERR12G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSLLVNMTHLVDNSGLQFFGWLITAGSFGLAALIYALLRLQRAASLYWIKAAAREKKAAWKSLRCPSSSHTWTEDYFCGGQPSTCCVCLSSLSSTQGVGSRVGDAVVVHRCSVCGVAAHSGCSRRAEKDCKCVSQHGAGTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQWQIHVDCHAKLLKETGNTCDLGLLRRLIIPPQSVKEVGHGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRINNHPGGKTSPVDTNSSILDSVLEGFARLQNLNGKYALANHKVTGNSLKQTHGYGSPNGGKKKYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQMFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGTEDQCIKQVKFMTNYIGVGCDAKVAYEFHTTREEKPHKFSSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGFQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >LPERR12G05600.1 pep chromosome:Lperr_V1.4:12:4435760:4435921:-1 gene:LPERR12G05600 transcript:LPERR12G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVIKMIPRFVYKDPRMRFDGEMRDAFDITFDEVMARMRRIKNGEVFGVEA >LPERR12G05610.1 pep chromosome:Lperr_V1.4:12:4440417:4442401:-1 gene:LPERR12G05610 transcript:LPERR12G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYPKYVLYGLLIVGSWLLSCVLHFQVFHLSLFPYVYPSYLLLSHRVVLPTALDASFLPPPPEDGRREDGGIKRRRSSSMLAKPKAKTEDSCEGRYVYMLEIPRKFQMLTECVEGSPAFEDPYNPCVVMSNSGLARDELIATSNPWHWLKCRYNTDQYALEVIFHNRMRHYDCLTTDAATATAIYVPFYPAIELNRHKCGSSATERNNPSKQFLRWLTSQPTWQPHGGRDHFMVAARTTWMFRRDEAGGDALGCGNAFLSGADAANLTALVYESNIWSRRDFAVPYPSYFHPSSSSSVSDWQSAARSSPRPFLFAFAGARRANGTLAIRDHIIDECATSPPPTARRKCGMLDCSHGLEGSITCRSPRRLMALFASARFCLQPPGDSFMRRSSIDTVLAGCIPVFFHEASTFEKQYRWHERDNGDNGDRRRYSVVIDPDDVVEGRVRIEEVLRRFSDEEVIAMREEVIRMIPRFVYKDPRVRFDGEMRDAFDITFDEVMARMRRIKNGEILGWKLDGDDDVVAKDS >LPERR12G05620.1 pep chromosome:Lperr_V1.4:12:4446185:4453346:-1 gene:LPERR12G05620 transcript:LPERR12G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPWRAAGSEQRDALGSGSQGQGTKPKSNNDAWDDFCSICDEPGDYLCCEGRCLRSFHATKKEGEKYKCTTLGLTEEQWEIFKKNNKKSEPKYICENCKYNQHQCFSCGLLGSSDLSSGAEVFQCDDKKCRHFYHPKCLARLLYPDTSKQPLNFEQEVARGRKFLCPVHKCCACKGVVNEDDEDMQLAVCRRCPTAYHRKCLPSDIVFEGDKSKGIMQRAWNTEFRAQILIYCMKHKIVPELGTPERNHIVFPDQPESSNGFSSFAPKELFPSPYPGSCDPNRKRQKPARRPHKPTQIGKSLSVLPILLLSPAARRFRRGQPFAPPASRARSGRARRLSRSARDFFQALRRRRRRRIRVVSLMDRPRRPGSDRLYPPPQYRKRRRNKNGEKKPGSDKVFQCEDKKCGHFYHPKCLARLLYPDSSKQALNFEQEVAHGLKFLCPVHKCHTCKEGENKDDEKMQLAVCRRCPTAYHRKCLPSDIVFEGDKSKGTMQRAWNNVLRDKILIFCMKHEIVPELGTPERNHIVFPDCKNHFARNPSKAKGQECAPTVLDIPEEEMPPNLSSEPSQPSQQPAAETDYDLSYGFNSFAPKALFPLPYPGSCGWLDDD >LPERR12G05620.2 pep chromosome:Lperr_V1.4:12:4446185:4453346:-1 gene:LPERR12G05620 transcript:LPERR12G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPWRAAGSEQRDALGSGSQGQGTKPKSNNDAVFQCEDKKCGHFYHPKCLARLLYPDSSKQALNFEQEVAHGLKFLCPVHKCHTCKEGENKDDEKMQLAVCRRCPTAYHRKCLPSDIVFEGDKSKGTMQRAWNNVLRDKILIFCMKHEIVPELGTPERNHIVFPDCKNHFARNPSKAKGQECAPTVLDIPEEEMPPNLSSEPSQPSQQPAAETDYDLSYGFNSFAPKALFPLPYPGSCGWLDDD >LPERR12G05630.1 pep chromosome:Lperr_V1.4:12:4457640:4465162:-1 gene:LPERR12G05630 transcript:LPERR12G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRVFAAPPPPPRAAFFAPPPPPPPHRPFEYYPPVRGGGGGGFAPVTPAVEEQEVEVERDAAPEEEAEPLVEGLHNDVVHKITKQVEYYFSDINLATTEHLMRFITRDPEGYVPMSVIAGFNKIKALVHNNSMLAASLRTSSKLVVSDDGKRVKRLQPFTVSDMQDLQSRIVVAENLPGEPSYQNLKMIFSAVGGFKTFRTCYPQSSNGTSPATNRSAKLDMLFANKLHAFVEYETLEDAEKAILELNDEKNWRNGIRVRLLNTCMTKGASKGKRVAHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGPRRGRGRGRGGRGRGRGYNQHNNNHFNNQNHHNGQHHNHQGSNHHQGSNRGGAHHVGTPPNQQQVKPEQHPQLPIGATKQPPGPRMPDGTRGFALGRGKPQPVEP >LPERR12G05640.1 pep chromosome:Lperr_V1.4:12:4468128:4469033:1 gene:LPERR12G05640 transcript:LPERR12G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSERSRIKCRPKWRKVAYGGRQPGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWSYTLSLVIDDVTLLKLDISLLLVGFSMLLVTTCPFSLKLFSKYVLNITFFISGLYVLAPIYQTLTRSISSDSIWALAACLLLVHLFLHDYSGSTIKPPGTHNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFAPLIVFCIKKYSLRLHLIFSFALMVITLSIMYQLHRMFFILLLALIVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >LPERR12G05650.1 pep chromosome:Lperr_V1.4:12:4475455:4482410:1 gene:LPERR12G05650 transcript:LPERR12G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPSQPTDEAEAAPHASPPPPLSPRRRRPTVRVTSEFDSESRLFSHRLSFRLLDGLAKLRLRLHHGGGGGGTGALPPAPEVALLARNFSVAVDTAARSALLRGVADLAGSSLRLRASHNTKEQQGEVTVTSNLGDSPCKIELSSLVPPDGLPRTTFIFPNGEFSVNQKYLDEGNRILSVNGIVRSHVLNGVCTALYSDNEMNLKYRYKDEELSFIPSISLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAVYKHKATKNVKWKAGYESDERLGWASLWVGDAGGKTKEVPLKAKVQFTLKVPQDNVKNSVVMFHVKKRWDLYKMPVRCNRSSSRGDAPDCHQIQAGQAYRHQIR >LPERR12G05650.2 pep chromosome:Lperr_V1.4:12:4475455:4479726:1 gene:LPERR12G05650 transcript:LPERR12G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPSQPTDEAEAAPHASPPPPLSPRRRRPTVRVTSEFDSESRLFSHRLSFRLLDGLAKLRLRLHHGGGGGGTGALPPAPEVALLARNFSVAVDTAARSALLRGVADLAGSSLRLRASHNTKEQQGEVTVTSNLGDSPCKIELSSLVPPDGLPRTTFIFPNGEFSVNQKYLDEGNRILSVNGIVRSHVLNGVCTALYSDNEMNLKYRYKDEELSFIPSISLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAVYKHKATKNVKWKAGYESDERLGWASLWVGDAGGKTKEVPLKAKVQFTLKVPQDNVKNSVVMFHVKKRWDL >LPERR12G05660.1 pep chromosome:Lperr_V1.4:12:4489093:4492580:-1 gene:LPERR12G05660 transcript:LPERR12G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPSEDDMEVVTVKAPTLVVSAGSSAVVVKNLYVSCDPYMRKRMARHEAPVVPDFCPSKGEYVFISAACGAVGQIVGQLAKMTGCYVVGSTGSDEKKEPDLDAALERSIPEGIDIYFENVGGAMLDAVLPNMRLSGRIVM >LPERR12G05680.1 pep chromosome:Lperr_V1.4:12:4509867:4555032:1 gene:LPERR12G05680 transcript:LPERR12G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVSNKRVILKRYVQTGFVSEDDMEVVTAETTLAVPAGSTAVVVKNLYLSCDPYMRGRMTRHEVPSYIADYIPGEVITNCGVMKVVSSGHLDFKAGDLVWGMTGWEEYTLIDNPKYLHRINYPEFPLSYYTGILGVAGFTAYAGFLEVSKPKRGDYVFVSAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVNLLKTKFGFHDAFNYKTEPDLDGALKRCFPEGIDIYFDNVGGAMLDAVLPNMRVGGNITVCGMISQYNLEQADGVRNLFYLVTKCLRMEGFLVANYFHMYHRFEEEMAGYIREGKVAHVEDIVEGLDAAPAALIGLFTGRNVGKQLVAIAREKELAMATATVSNKRVILKRHVQTGLPSEDDMEVVTAEAPPLPVPAGSSAVVVKNLYISCDPYMRGRMTHHEVPSYVPDYVPGEVLTNYGVMRVISSGHPDFKVGDLVWGITGWEEYTLINNPESLSKINHPELPLSYYTALPGLTAYAGFFEVCKPKKCDYVFVSAASGAVGQIVGQLAKITGCHVVGSAGSDEKVNLLKTKFGFNDAFNYKKEQDLNAALMRYFPEGIDIYFENVGGAMLDAVLPNMRLNGRIAACGMISQYNLEEPDCVRNLFYLVSRRLRMEGFLVFDYYCGMYRRFEKEMAAYLKEGKIVYVEDIVEGLDAAPAALIGLFTGRNKHIEFRKELAMAATTVSNKRVILKRHVQTGFLSEDDMEVVTAEAPPLAVPAGSSAVVVKNLYVSCDPYMRGRMTRHEVPSYVPDFVPGEVLTNYGVMKVISSGHPDFKAGDLVWGITGWEEYTLFNNPETLSKINHPELPLSYYTGVMVFPGLTAYAGFFEVCKPKKGDYVYVSSACGSVGQIVGQLAKITGCHVVGSASSDEKVNLLKTKYFPEGIDIYFENVGGAMLDAVLPNMRLNGRIAACGMISQYNLEQPDGVRNLFYIITRRLRMEGFVVFDYYTGMYRKFEEEMAGYLNEGKIVYVEDIVEGLDAAPAALIGLFTGPMVSNKRVILKRHVQTGITSEDDLEVVTAETTLAMPAGLLAVAVKSLYLYLSCDPILSADKLKTIGTHAPIP >LPERR12G05690.1 pep chromosome:Lperr_V1.4:12:4554801:4554980:-1 gene:LPERR12G05690 transcript:LPERR12G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHERYRYRLFTATANNPAGMASVVSAVTTSKSSSDVIPVCTCLLRITLLLLTIAAVV >LPERR12G05700.1 pep chromosome:Lperr_V1.4:12:4557057:4561763:1 gene:LPERR12G05700 transcript:LPERR12G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSNKRVILKRHVQTGIPSEDDMEIVTAETTLAVPAGSSAVVVKNLYLSCDPIMRFRMTRREVPSFVPDYVPGEVMDNVGVMRVMSSGHSDFKAGDLVWGVTGWEEYTLMNNPESLFRINYPEFPLSYYTSVLGSPGLSAYAGFFDVSKPKKGENVFISAASGAVGQIVGQLAKITGCYVVGSAGSDYKVNLLKTKFGFDDAFNYKNEPDLETALRRFFSEGIDIYFENVGGAMLDAVLPNMRMGGRITACGMISQYNLERPEGVHNLLYIITKNLRMEGFLLPKYFSVYGRFEEEMAGYLREGKIVCVEDIAKGLNAAPAALIGLFTGHNIGKQLVAITHD >LPERR12G05710.1 pep chromosome:Lperr_V1.4:12:4563857:4564039:1 gene:LPERR12G05710 transcript:LPERR12G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVVSNKRVILKRYVQTGIPSEDDMEAPMLAVPAGSTAVVVKNLYLSCDPIMLCVSG >LPERR12G05720.1 pep chromosome:Lperr_V1.4:12:4568030:4574622:1 gene:LPERR12G05720 transcript:LPERR12G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVLLNMRMDGRIAMCGMISQYNLDQPEGVHNLFYIISKRLRMEGFHVFSYFTIYHQYEKEMAGYLKEGKVVCVEDIVEGLHYAPTALIRLFTGHITKQQLAAMAATVSNKRVILKRYVKGFVSEDDMEVVTAVAPPLAVAADSKAVVVKNLYVSCDPYMRNRMTRHEVPSYVPDFVPGEVLANFGVMRVISSGHPDFKAGDLLWGITGWEEYTLINNPESLFRINHPELPLSYYTGMPGLTAYAGFFDVSKPKKGEYVFISAASGAVGQIVGQLAKITGCYVVGSAGSDKKVNLLRTKFGFDDAFNYKKESDLEAALKRCFPVGIDIYFENVGGAMLDAVLPNMRLGGRIATCGMISQYNLEQPEGVGNLFYIVAKRLRMEGFMVFDYYDRYCKFEKEMAGYLKEGKVTYIEDIAEGLDAAPAALIKLYTGHNVGKQLVAVTRE >LPERR12G05730.1 pep chromosome:Lperr_V1.4:12:4578933:4580414:1 gene:LPERR12G05730 transcript:LPERR12G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLTRAQIMVGATISVAEAEPKPTYVLGELFLSADKLKTIGIQMHLFHEWYMKASADEIQIGAKVRTIDYFTRQDDYAWIPFKDVFDMYQLDALDVFMLTAWVQMEIQRAIKNKNNEIAFMDPWQINTLIVKAQTHSVEDSLVHFLAQHHFKKWIFLSNYHDFHCVLFIFDMRHSVILVFDSMDKKAPFFTEINAIIDRAWDRFRQLIRGDFKEKLERIYKLKVEKQKMRTNLCGYSVCDYLHNLAPTEIFHDFRLIGFINEQILDPTGEFYMDD >LPERR12G05740.1 pep chromosome:Lperr_V1.4:12:4609610:4610110:-1 gene:LPERR12G05740 transcript:LPERR12G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSELRKVFQMFDKNGDGRITKKELGESFKNLGIYIPDDELDATMDKIDANGDGCVDVEEFGVLYRSILGDDAATAQSAAAIAGGEDEDEGMREAFNVFDQNGDGFITVEELRSVLSSLGLKQGRTAEDCRRMISKVDADGDGRVDFKEFKQMMRGGGFAALGG >LPERR12G05750.1 pep chromosome:Lperr_V1.4:12:4614366:4619539:-1 gene:LPERR12G05750 transcript:LPERR12G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPSLQSLCLEAVASHLASAAGGAGDGGWGSGEHHHSDGEEDDGGDGDLVVITPEEVAEALPWELLHRLAPLLPPAALESLDRCCSSAAATGVGFGSVPVGERRGIKRSRGEDFNSAWQALFRLRWPRCDNAEHDSLDTLDWQQQYWEKHLQECLDEAAESALIPSFCGSINQLSISAKVLRYILHSDDIPQHYATLLYHCSRFGSYARCLRLQSVLCTAEISGLFQGSKLETLMFVRIISELEVNGVCMLLSCHAETLLSLEFIHCLLSPAVMDKICNSVLQKGSVNHGIQKFSFKSSRIWEPNQQNISVGLLDFLSHGKSLHSLSLNDTKMQPSFAKMIVHTLLKSSSGIQTLEISENNIAGWLKAVDKRSACLSPALESNVSLNSLTLLNLRGNNLNKNDIEDLCKILIKMPDLRDLDISDNPIMDEGIRLLICFISRTLRKEKSLWRLKVENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLGSSVAAALGNFLGSGVRELNIEDIGLGPLGFQILEEALPAEVALSHINISKNRGGIRAAHFISRVIRQAPGLLSVNAGSNLLPLESLELICNAMKENTSNLERLDLMGNMHLSDVAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >LPERR12G05760.1 pep chromosome:Lperr_V1.4:12:4623225:4624481:-1 gene:LPERR12G05760 transcript:LPERR12G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKENKNVRSKEMSAQLMVEENKFEELTSESENLYAATDFSKLSPKANPISSPECGVSEFDYSVTSHNHLTAHLSLCRLNDTCMNMKEVTSADREVSSAILNCPLKGVNKDATAELVLEWRRGMGDFPFMLSECGDSSCDSSLSERSSVTSSPCTSFTVHSDTRSEDLDGVDIWVSSLNLNEEDSDLLQEKEQDLGFLSSDFPSPSFSVVRSLQFCPSSWSPATSHRNNANDSDEPIFWPFERPSYYSPEFDKFLLVSPRRNTINVGSTEFNRSNPIVQRLHKNKSSSARKEVEPHRGSVSLCTKVTKSSQDMVPKVAAVPSRLSRTAKTPSKHQPPSNCEKRKPPHLKISPPRKDRYPHLQSDCAIQELEASDHQKLAVEKILIEQFIGLDEFDGHEGISSDSFNSQLSLFLSSR >LPERR12G05770.1 pep chromosome:Lperr_V1.4:12:4633018:4636452:-1 gene:LPERR12G05770 transcript:LPERR12G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRSRSGADQVTIDIVDSDDEGNNKDPQTSLKPEGKKTAGSSKRALRPRNNAVTSNKKSNRIASSNRDKKNKDKLDTDIFELYLEYVLSLSLSLSHGHEHTLVCLLIYFYNFVDRDLWKHIDEEKRSAYAYFDSLWFNMYNSGHNKPNVLKWIKAKKVFSRQYVFVPIVCWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSAIRRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFIQNKSLGEDFSQLFQDPEELENFRKGVHSFQENRKDETAE >LPERR12G05780.1 pep chromosome:Lperr_V1.4:12:4645651:4646073:1 gene:LPERR12G05780 transcript:LPERR12G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKAKVVGGVLFFLILLVCSVAVPHPTSAEDAPPPSGRHCTEAQKEEILHKCIYWVKIKPPSILPLWTSPCCEAVSKVQNLDMQCIIDLLTSNDKERVEGWKIRMLHGLCK >LPERR12G05790.1 pep chromosome:Lperr_V1.4:12:4650735:4655118:1 gene:LPERR12G05790 transcript:LPERR12G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSSRPSSPSCNRRRGIAGHHNHRTGKRLIGADNTRCLSTVSDAARAVMSDHPITVLTTTTKPPSSSSSMLQRYEIGEELGRGEFGVTRRCRDAWTGESLACKSISKRKLRSSVDVEDVRREVAIMRGLPSHPNVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERQAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHLDFQREPWPKVSENAKDLVRKMLDPNPYARLTAQQNEEHPWIQHASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTNKKGHLTLEELRKGLQVIGHNVHDTDVDMLMEAADIDGNGTLDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDKDKDGKISYEEFELMMKAGMDWRNASRQYSRAVFNTLSRKMFKDVSLKLPNSGPLVAAGNEQRAVN >LPERR12G05800.1 pep chromosome:Lperr_V1.4:12:4665217:4666751:1 gene:LPERR12G05800 transcript:LPERR12G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSEPDLAAAFTTTTATSSSPSPSSSSTTSPSSSPPPPPLRRRHRHRRTTRKPTTAARSEESEPDADGVWRGAQWEASWPRRATAKPVVVAEDGGDGGGGASTTPGGSGDDGGGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDDHHPSPPVSSTPPPLSPSPSIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >LPERR12G05810.1 pep chromosome:Lperr_V1.4:12:4679378:4683894:1 gene:LPERR12G05810 transcript:LPERR12G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLVDWRGRPFDPRRHGGVKAAMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTATNFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILASILNPLIYQGYMLLACQAHFPSLHPPPCSVAGNGDRHCEAVSGRNLSLLRLGLYVIPLGEGALRVCGAAFGGDQFDGGAVEDGDGDGEESEMRAKASFFNWFAFCISLGGFVGLVVVVWVQNNQGWDLAFALAAIMALVAMAVVLAGLPFYRHRVPSGSPLTRILQVFVAAFRKRNVTMPESLVEMHEMTDGTSIELLDKTPDFKFLDKAAMDDGDRRRWSVCTVTQVEETKIILRMLPVFLTSVLGYLPIPLLLTFTVQQGAAMDTRLAIIGSTTVISIPPATLFIVPIVLQMLILVAYDRAIVPWLRRATGLAGGITHLQRVGVGFASSVAALVLAAVVESHRRGRGHVATSPKMSVFWLTPQFFLLGVMDVTSFVGLLEFFYDEASTGMKSIGGAVFFCILGVASWLGGGVIQVVNRVTAGGGGGGWLDGADLDASHLDRFYWLLAMFELTAFFLFLYCAWRYTYRHNPRMQAKMEDDKVTSTTEKAVV >LPERR12G05820.1 pep chromosome:Lperr_V1.4:12:4685560:4689363:-1 gene:LPERR12G05820 transcript:LPERR12G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQADEPTSPSPPPPPPPVTLSGAPSDAKLSRAAALSREEVIRRRRRRLLQLCSLYRTQLWALADELPARHAEYWWEHGSSPVSAPNATGNAAAEEANCGPPPAANGSGKRGCSAENCSAKAMPRTAYCFDHILFDSKQLLYKPCAFVTKRSATQNEVKTCGRPVLRGITPLRCSAHDPKSQRLVIEALENVGIDMSLTSKGVPKLSLLICETVRQIQMKRKIQLNGANNR >LPERR12G05830.1 pep chromosome:Lperr_V1.4:12:4695003:4697983:-1 gene:LPERR12G05830 transcript:LPERR12G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGVVNHPIPLMDDDFDDILRLLDSPVDLGLGYHDAAAAPAPVMIVEHEGGGGQLPTAAAVCSSSGDDDFLVGSIDNVVVDGGGGGASTSSVVTTSAALEPAPAPPQEDALGCSGCHVLREVVHSNGLEMTKLCVHGDGGIGVFYHAILDVYRVNAEVPAPALVHHSIVEGRGNEWVNQYLTDYILRRASGGFAVMHDLVSSFHKTLCTTMERSSHVNDAHKRASAAAAAAAKNNGNGDDRQPVVHTDVVQQPGLPKLPVIKRNSLSNSLPRREKTRKLQLGDIAPYFELPIAKAASKLDVCATALKGICRKHGVSRWPYRKVRSIDRQIATLRRSDNGDATREEIERLSALRKRVVEGFE >LPERR12G05840.1 pep chromosome:Lperr_V1.4:12:4720985:4723416:1 gene:LPERR12G05840 transcript:LPERR12G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNIVGDVVDHFAASALLRLFYNNREMTSGSELRPSQVAGEPAVQITGGRDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDIPEGGDTNNGTEVVTYESPRPTAGIHRFVFIMFRQTVRQSIYAPGWRSNFNTRDFAACYSLGAPVAAAYFNCQREGGCGGRRYR >LPERR12G05850.1 pep chromosome:Lperr_V1.4:12:4730350:4753435:-1 gene:LPERR12G05850 transcript:LPERR12G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYEAGKRARSSVLVIEFLCFSASLAVSSLHLDSGVRSGPIEISRPFDDARNGLTESRRTGDTGAMAPTRPAWNTNRNLVVTHPLLSHLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARAHPSPNSYMLSTMMRGFLRARLPHRALHLFRRVLRHRLPADPRTFVFALKAATAAAAEDGSDSEQGALSGGGEAVHCAALKRGFVCGSVLVGNALTHFYANRGSLGDAGKVFDEMPERDVVSWTTLVDGYIWAGLADVAWKVFCRMVVVEGMQPNEVTLVAAVSAVGQMGLLPLGVMLHQYVADGGVARSVNLDNALVDMFGKCGCVKFAREVFDGMVVKDVYSWTSMVNAYAKCGDLESATQLFDDMPRRNVVSWSCMIAAYSQLNQPEEAVQLFREMITQGVDPIDATLVSVLSACAQLGCLDLGRWIYAEYIASNKIRLTINLGNALIDMYAKCGDVREASRLFGEMAERNIVSWNTMIMAHAVHGQSEEAIRLFEQLKGANIVPDVITFLGLLSSCSHSGLVSEGRRYFKQMTMLYRIEPRVEHYACMIGLLGKVGLLEEAFEVARAMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKSKWDQVKMLRMAMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHVCSEDIYAALKNIYSHLKQEGYTVADGNLRSIYWVKQIPFLNAHPENTFLEVIQLQGLQRLDGVELAVQHCRWRWHQRLHELAVGTRGGGLAEHDQEVAVGTRHGHHVASRSRADDGGLLCLLCSHNWGSSSGASIDGGDYSCLGCRDVELQVHALLLGPDPAFLQGLPVQGSLTWRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLAEATKPGAGHVGPSDSSGCISILFTLRVSVVCNDEVKQKRGSDLLVLRRHQDPRDPWMDRTHQGTPALSCGRARN >LPERR12G05850.2 pep chromosome:Lperr_V1.4:12:4749024:4753435:-1 gene:LPERR12G05850 transcript:LPERR12G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYEAGKRARSSVLVIEFLCFSASLAVSSLHLDSGVRSGPIEISRPFDDARNGLTESRRTGDTGAMAPTRPAWNTNRNLVVTHPLLSHLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARAHPSPNSYMLSTMMRGFLRARLPHRALHLFRRVLRHRLPADPRTFVFALKAATAAAAEDGSDSEQGALSGGGEAVHCAALKRGFVCGSVLVGNALTHFYANRGSLGDAGKVFDEMPERDVVSWTTLVDGYIWAGLADVAWKVFCRMVVVEGMQPNEVTLVAAVSAVGQMGLLPLGVMLHQYVADGGVARSVNLDNALVDMFGKCGCVKFAREVFDGMVVKDVYSWTSMVNAYAKCGDLESATQLFDDMPRRNVVSWSCMIAAYSQLNQPEEAVQLFREMITQGVDPIDATLVSVLSACAQLGCLDLGRWIYAEYIASNKIRLTINLGNALIDMYAKCGDVREASRLFGEMAERNIVSWNTMIMAHAVHGQSEEAIRLFEQLKGANIVPDVITFLGLLSSCSHSGLVSEGRRYFKQMTMLYRIEPRVEHYACMIGLLGKVGLLEEAFEVARAMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKSKWDQVKMLRMAMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHVCSEDIYAALKNIYSHLKQEDSCRCHGDLRASRCGAEERSAPPLMEKRREESKDDTFTAHLIASVSLKPAEQRRAVLLRGAMCTRSCAMATLHPSSSSISKRQQ >LPERR12G05850.3 pep chromosome:Lperr_V1.4:12:4730350:4747816:-1 gene:LPERR12G05850 transcript:LPERR12G05850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLTWRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLAEATKPGAGHVGPSDSSGCISILFTLRVSVVCNDEVKQKRGSDLLVLRRHQDPRDPWMDRTHQGTPALSCGRARN >LPERR12G05850.4 pep chromosome:Lperr_V1.4:12:4730373:4744324:-1 gene:LPERR12G05850 transcript:LPERR12G05850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIVPLRVLHKLKEFAYVVGEAIGFGWTDRQYLLGPYLCDVSDFYFDRTTHGWIELIKAHLH >LPERR12G05850.5 pep chromosome:Lperr_V1.4:12:4744639:4747816:-1 gene:LPERR12G05850 transcript:LPERR12G05850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLTWRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLAEATKPGAGHVGPSDSSGCISILFTLRVSVVCNDEVKQKRGSDLLVLRRHQDPRVHGRVH >LPERR12G05850.6 pep chromosome:Lperr_V1.4:12:4748199:4748750:-1 gene:LPERR12G05850 transcript:LPERR12G05850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQGLQRLDGVELAVQHCRWRWHQRLHELAVGTRGGGLAEHDQEVAVGTRHGHHVASRSRADDGGLLCLLCSHNWGSSSGASIDGGDYSCLGCRDVELQVHALLLGPDPAFLQGLPVQVVLQFCKDPS >LPERR12G05860.1 pep chromosome:Lperr_V1.4:12:4746969:4749658:1 gene:LPERR12G05860 transcript:LPERR12G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMEMQPELSLGPTWPAPGFVASAKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQATVVPTIDGSTGGATPVVAAEETKKATVVSSGPGGNMVAVPCANCHLLVMLCKSSPSCPNCKFVQPLVPPPPAMLHRKLDAVKPLETLSLLH >LPERR12G05870.1 pep chromosome:Lperr_V1.4:12:4755650:4762427:1 gene:LPERR12G05870 transcript:LPERR12G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPERRLGVLLSHLRPTAAGTRADEAEAEAGTAGLAASPCGSSEGKGETSGGDRCVFCEIVKGNKPAYKLYEDDVCLCILDIKPLSTGHSLIIPKSHFPSLQSTPSSVIATICCKLPLLSNAIMKATQCDAFNVLVNNGKVAGQVVFHTHVHIIPRREGDNLWSSETYERSPIKHDQETKDLVSGIKELLFSPDDDRTEGSIIPKEL >LPERR12G05870.2 pep chromosome:Lperr_V1.4:12:4755650:4762427:1 gene:LPERR12G05870 transcript:LPERR12G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPERRLGVLLSHLRPTAAGTRADEAEAEAGTAGLAASPCGSSEGKGETSGGDRCVFCEIVKGNKPAYKLYEDDVCLCILDIKPLSTGHSLIIPKSHFPSLQSTPSSVIATICCKLPLLSNAIMKATQCDAFNVLVNNGKVAGQVVFHTYERSPIKHDQETKDLVSGIKELLFSPDDDRTEGSIIPKEL >LPERR12G05880.1 pep chromosome:Lperr_V1.4:12:4764993:4770190:1 gene:LPERR12G05880 transcript:LPERR12G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREDGRSAAYLVAMVLCSSCLLAVAAGSRQEFHEDAGSRERSRLAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSHGRCLADLCGALHCDLMLEFKKPKSKCSASAAARNRHLCESLADSCFPINHGPSASRLHEFFLRQFCDAHTCNRGSKPFPKGGRKETNRFYLALCALIVRNEERNWHRFELFV >LPERR12G05880.2 pep chromosome:Lperr_V1.4:12:4764836:4770190:1 gene:LPERR12G05880 transcript:LPERR12G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKWHRTKQIRSLMKDWRMRRREDGRSAAYLVAMVLCSSCLLAVAAGSRQEFHEDAGSRERSRLAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSHGRCLADLCGALHCDLMLEFKKPKSKCSASAAARNRHLCESLADSCFPINHGPSASRLHEFFLRQFCDAHTCNRGSKPFPKGGRKETNRFYLALCALIVRNEERNWHRFELFV >LPERR12G05880.3 pep chromosome:Lperr_V1.4:12:4764682:4770190:1 gene:LPERR12G05880 transcript:LPERR12G05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREDGRSAAYLVAMVLCSSCLLAVAAGSRQEFHEDAGSRERSRLAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSHGRCLADLCGALHCDLMLEFKKPKSKCSASAAARNRHLCESLADSCFPINHGPSASRLHEFFLRQFCDAHTCNRGSKPFPKGGRKETNRFYLALCALIVRNEERNWHRFELFV >LPERR12G05880.4 pep chromosome:Lperr_V1.4:12:4764682:4770190:1 gene:LPERR12G05880 transcript:LPERR12G05880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREDGRSAAYLVAMVLCSSCLLAVAAGSRQEFHEDAGSRERSRLAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSLADSCFPINHGPSASRLHEFFLRQFCDAHTCNRGSKPFPKGGRKETNRFYLALCALIVRNEERNWHRFELFV >LPERR12G05890.1 pep chromosome:Lperr_V1.4:12:4775165:4786862:1 gene:LPERR12G05890 transcript:LPERR12G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDALYEIRRLASGSNEIPPPEGESTGTSAGGVISYLSLQGVSKLRKRWSRNNTLGCSKRRSRTNAAFLLISRNAEYVGVVIGNHITVLRKGGGYASTCGVYTNNDRMSFFTNGAWLEAQGILGVVDDLNTFHLIKENGEALTRRTSNQLKLSYPIIDIVAHDGSSSERPGFYIFTSDGMVHKFDCTHDHEANLQKVSILIKDVVSAKTPQLPHSLSCVDYHQDHLLMVLVGNPNALLSSNGSSGALYMLHFNRNLEFSLSFPSLQLEGTFFAPKDQTTFVSSAKVRISPHSKHIATLDLNGSVNILVLANDKYSASLHSPRNGTQLIGVKDISWWTDNILMVVKENGSISMYSITGNMVVSEYDPLSTPQLEKARFIEGYTFILQSSRYERNTTSEKEVDSDSKPSLHNVSRSNQHSEMDKIFWSLVSFSKITVPEKYSILIRDNQYKEALDFACQHNLDKDEVLKAQWMCSDGDIHDIESYLSNIKDHVFVLSECLNKVGPTEVALKALLSFGLGITNRFKFSEFDNSSEGSAWDSRIIRLRLLRYNDLLETFLGINMGRFSAVEYSKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISSDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECKQMASYINTCPDHLDKSAEIKTEILLKHSAGFTWPSIAELCDWYRNRARDIDCLSGQLENCLAMIELGCQKGIVELELFLDDIKCLYQVVYSDELSEFIMNLATWEDLPNYEKFKIILKGAKEESVVQRLEEKAIPFVKKRSHLISSSNEEKQGESYLIRWLKEVASKSELSISLAVFENGCGESPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKIKRDKSLLASEEDCNFKDAKQALGTSTVSFDDMQHVCTRILSGLSSSGDSHDSRDYQLDNNIEALDMLEKKLKVAEGHVEVGRLFAYYQVPKPVHFFLSAHLDEKNVKQIIRLLLSKFGRRQTVRSDNEWANIWRDLKHIQEKAFPFLDSEFMLAEFIRGLLKAGKFSLARNYLGGTSAISLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVKDPMEVIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISHDRDINHVEVVRQMLSKVCTDSSNEDAYSWESTLAENRKLLSFFALELPWLLKLSNDEEHDGEKQSLKTDHPIRRCQFSTKVKATNCIIHWLAVNGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEGELKKRECFQEISSIMNVGMTYSSLNSLKKECSTPEQRRNVLLEKFHEKFTSIDSDELDQIDEANATFWREWKTKLEEERRLADQARMLKQVLPGIDMSRFLSGDVNYIKNVVFSFIDSVKLEKKHILKEAVKIAETYGLQRTEVLLRFLGCTLVSECWDNNDILTEISEFRDDIVSSAKGVIDMIYSDVYPEIDGYNKQRLSYLYDILSACHSYLKRTSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIGGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRTEARSNSIDCEKLQVFLSDIELNYDSCKEYIQGLPATDVSYIIRRYCMLCFPSNLARNHPQEPSWKKPLDALVMLWIKLINDIPVDSMDACSYGRTEYLDSNSLSHCMGAFRQLLVDDKITVRQGWDAISVYVKIGLSDGIPMEISYFCRSMILSGCAFESVAQVYHEGQEQLENEGVDPSNPLDLLELYNATLDDCLSDLMKGSSDSQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLESQLRVYALQLMQCITGRNLKNLPNELVSQVEPWELWYEPGTGASIADDSNTPSSSITGTLVALRSTQMVSGVLPDANITPENLGTLDSAVSCFLHLSESASSVETIAVLEAVLEEWDQLFSSKDESVPPLQESPKETSDWNDDWDDDGWEALPEELGSPVEKHGSTLSSLHPLHSCWMEIIRKLVELGELHKIIELLDRASSKHSVLINDDEANQLLELVSAMDPLMSLKIMLLLPYETPRLQCLQMVEAKMRQGTVSASSNADDHELLVLVLSSGALQKIVTELEYSKFFSHICHLVGHLARSSQTDLLVQWNNEASTPEASKINNSQLFGMVLLPCFVSELVLRGQYLLAGFVISRWMHTHPNLGLMDVVEASVRRYLNGQIAQAQQLGGTDVSFTDELSVSHALSTLGSNLVSLLQAALATLPN >LPERR12G05900.1 pep chromosome:Lperr_V1.4:12:4795485:4799133:-1 gene:LPERR12G05900 transcript:LPERR12G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVLVRTSRPFPALAASSSTVALRRPASLSFAAASSRPRGRVALRGGRAAARARVSAVSIVASSVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKNLAQEHYKDLKDKPFFPGLIEYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIGCGLRGVKCYLNRLVKIWQRGALAKK >LPERR12G05910.1 pep chromosome:Lperr_V1.4:12:4802817:4803795:1 gene:LPERR12G05910 transcript:LPERR12G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIAASLLIALLVSASNTAAAPSPSVVLNGDIVSTAQEMQQARYFTFVMLIKMVQEKIPHNTTFLMPNDRMLSTASIPENQVLEFLSRHSIPAPLMFDDLIRLPNGTVVPTAHSSQTITITNTGQQKLYFNNIELTGPDVCRIGDSFRCHGINGVIRPTVPRGKGLACPGHIAPPTAAPAPASVANQSLETSSLTSPSMGSVTKWGKTMARGEASF >LPERR12G05920.1 pep chromosome:Lperr_V1.4:12:4802951:4810714:-1 gene:LPERR12G05920 transcript:LPERR12G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAQPMIPPYGTPPPPYVMYPPGVYVHPSMPPGAHPFTPYAMTSPNGNADPTGTTTAAVTAGGAETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESVSESSSEGSENSQNDSHHKDSGQEQDGEVRSSQNGVSRSPSQAKLTQTMAIMPMPSSGPVPAPTTNLNIGMDYWANTASSTPAMHGKATPAAAPGSLVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSRNLETNNTKLMRQELTISCNILEGSSGLQALNFSYKMSCEIIGCRDGLVTEPMLGDVSEDVSKDWFATEAGAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >LPERR12G05920.2 pep chromosome:Lperr_V1.4:12:4802951:4810714:-1 gene:LPERR12G05920 transcript:LPERR12G05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAQPMIPPYGTPPPPYVMYPPGVYVHPSMPPGAHPFTPYAMTSPNGNADPTGTTTAAVTAGGAETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESVSESSSEGSENSQNDSHHKDSGQEQDGEVRSSQNGVSRSPSQAKLTQTMAIMPMPSSGPVPAPTTNLNIGMDYWANTASSTPAMHGKATPAAAPGSLVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSRNLETNNTKLMRQELTISCNILEGSSGLQALNFSYKMSCEIIGCRDGLVTEPMLGDVSEDVSKDWFATEAGAGAAVGGAMWPGQANPFPLGTVGLITPLIPWHLNESPMRQTSGPSFCCPVLVIVIVWLEWAVGTTVPLGSLMRSSNMSGAGMECLDRNSSTWFSGMDAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >LPERR12G05930.1 pep chromosome:Lperr_V1.4:12:4851002:4852394:-1 gene:LPERR12G05930 transcript:LPERR12G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEEQDLREGVQSEREKMNFELDLLLKECSNLAKDYHELQKDLKLHDVLALMQLLPAIRQELGWTRLYINNGEDQDNTQLLQEQWNPQSAISPPTHDFQHTIFLTYLPRGPGNQQKVQRESFNNHKWELKDKIKSKSISHVDIRKLLYTRKNSRDAKSQKDDGGATFKLNMIEISLNDTSFQYMNAPPCVALCLVYQINKSPMLYLIESLVYRQT >LPERR12G05940.1 pep chromosome:Lperr_V1.4:12:4858118:4864431:1 gene:LPERR12G05940 transcript:LPERR12G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAILLLLLLLALPPPSAVVAALTADGLALLAFKAAVTDDPFSVLSSWSESDSDPCRWPGITCNNISSSSSSSPATTTRRVVGVALAGKNISGYIPSELGSLLFLRRLNLHDNRLAGAVPSALSNASSLHSLFLYGNRLTGELPFAALCGGLPRLQNLDVSRNALSGELSPDLRGCRSLQRLILAKNSFSGEVPAGIWAGMVSLTQLDISSNRFSGSIPPDLGELPKLAGTMNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQSGSLASQGPTSFLNNPGLCGFPLQVPCRAVPPPTISPPAPTTTTTPSTAAAAATSSDHRHHPIRANLIALISIADAAGVALIGIILVYVYWKIKDKGENNSDDDDSKRRPCRCIWPCNRRRSSNSNSNSDETSSEEGEKYGDQEEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVGGGATPVAVRRLGGGGGERWKEFAAEARAVGKARHPNVVRLRAYYWSADEKLVVTDFVANGNLATALRGRPGQQPLSWSTRLKIARGTARGLAYLHEMSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLSIAGCSDDTNPPPSSSTGGGGLLGGAIPYTKPATTPPERGGGYRAPEARSAAKAAAQQKWDVYSFGVVLMEMLTGRGPGDHASPSTSASFSGPSTSSTAAGTTTDRSGSGEHGGGVVPEVVRWVRRGFEEEARPVAEMVDPALLRGDAAAAPPPAKKEVVAAFHLALACTEIDPELRPRMKAVADTLDKISSSS >LPERR12G05950.1 pep chromosome:Lperr_V1.4:12:4865669:4870836:-1 gene:LPERR12G05950 transcript:LPERR12G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENSERNSGKTNAVAPSIGSGTTVGKIEFNEFISLQAIFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVAVPLNSKRSLRNNAQPMVYLRVQPLDGDNSSVSSKDALSKEASVDRDSKELMSATMCEEYTEDTEFASFTDDEEESTYPYCLDGNVRTGSDKSQESLKGKDVRMVGSVGSRSPFDSQREMPSSSTKVMSEEVEKYPVQVQKANGYPGNLSLLSDFPGEQTPSFPAQNALRAGRKMSFAYGMSESNQRNFGERTYSTLTSDRAKNMRYNSMRVPDFSGSVINKKVDSQKEEVKQVDSQDIAVSHDTRGDGDDVAQAHVPIRISNNRNDSKVRELELRVELLEAELRETAAAEIALYSVIAEHGSSANKVHTPARRLSRHFIHALKNCSREKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGNGSNYGSKTTPRRNSASMWESLNRKKGKILSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSESLPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVRAAGHECGCLPLLAKLVMEQCISRLDVAMFNAILRESEDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPDVESGNGDNGAAELRKPFYLLNALSDLLMIPKDVLMETAIIKRILDGFVPDDFCPDPVQHSLLKALELEDHLEGNTKGIRAVPCSASPILYPYPASGTILSIIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNTARYKLLHEAIPSSCKKQDQRKKLQELG >LPERR12G05950.2 pep chromosome:Lperr_V1.4:12:4865824:4870836:-1 gene:LPERR12G05950 transcript:LPERR12G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENSERNSGKTNAVAPSIGSGTTVGKIEFNEFISLQAIFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVAVPLNSKRSLRNNAQPMVYLRVQPLDGDNSSVSSKDALSKEASVDRDSKELMSATMCEEYTEDTEFASFTDDEEESTYPYCLDGNVRTGSDKSQESLKGKDVRMVGSVGSRSPFDSQREMPSSSTKVMSEEVEKYPVQVQKANGYPGNLSLLSDFPGEQTPSFPAQNALRAGRKMSFAYGMSESNQRNFGERTYSTLTSDRAKNMRYNSMRVPDFSGSVINKKVDSQKEEVKQVDSQDIAVSHDTRGDGDDVAQAHVPIRISNNRNDSKVRELELRVELLEAELRETAAAEIALYSVIAEHGSSANKVHTPARRLSRHFIHALKNCSREKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGNGSNYGSKTTPRRNSASMWESLNRKKGKILSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSESLPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVRAAGHECGCLPLLAKLVMEQCISRLDVAMFNAILRESEDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPDVESGNGDNGAAELRKPFYLLNALSDLLMIPKDVLMETAIIKRILDGFVPDDFCPDPVQHSLLKALELEDHLEGNTKGIRAVPCSASPILYPYPASGTILSIIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNTARYKLLHEVWKLDDQ >LPERR12G05960.1 pep chromosome:Lperr_V1.4:12:4876920:4878937:-1 gene:LPERR12G05960 transcript:LPERR12G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRVALTRCSSSSKRRRGSSYWVPDLPEDVVNQIGWRVLAGDDGGILDFVRFRAVCRHWRYSTASPNGRGIADRRFHPRRWIMLPEGHNLHPGHGKLRGFIRFLNLTTGAIVRVHLPLFRDHCALDSVDGILLLQRDGDTAIRLLHPFTGDIAEFPPLDTLLPYVAHPLFMRNKWRCLRCVNAASISTTNDDNKTISLTMRLFGMVRVVFAAQGDKQWRLSTWPMQPDDHLSPLSFQGKIYVLRRLPNHGGDHQEILQIDPPQRTTTTMELSLPPPKLIAKCPAKSFHLVQCGEDIMVITLDFTHYPQMLVYRLSDLVLGIMVPVTCIGCDYSLFLGNRNLCVSSKAFPTIVGGTIVFYHNSECYLARYHIRSGTLSPKSDSDFIVRSKMSSPTGIIQHIYTCCFPSYWNKGHLASRREPNQWRVKRKWRHGVSLASGVIILFEHLLFFSSTTIRRSLQLIDILLIIGFFPNIKVICYLQS >LPERR12G05970.1 pep chromosome:Lperr_V1.4:12:4904926:4906568:-1 gene:LPERR12G05970 transcript:LPERR12G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTPADIEAAVSPTITPAPAATATMEIIPTAATQAAPSSHPAITTMVYVPSEDKGKKIQEPAAAVGPTDGFDSEKTVSTEKVVQSSCAKDTLLEHLAPLVEEGEKIRDQFAILKDEIEVYRNANKNFKDSLRDIAGPDLALIEAKKQADELIKELQKNNDAPVTQNRALKKTFAKEISAMKLEHNEEVLTLKTELDEARKTNVEFYEAAEPISDALNSATQGSNTSNFEVALAMIKSLYPRIDLEPISQGYAAGTTGEQALELLNKVYDLAKIVAKDSLYPDEENEE >LPERR12G05980.1 pep chromosome:Lperr_V1.4:12:4910856:4912164:-1 gene:LPERR12G05980 transcript:LPERR12G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKHNEEFLKMKTELEEARKVNAEFCQAAEPILDNLHAATAGTNTSSFETVVELLQSAPSRLKKIILESASVACGQTLAVIKSLYPKLDLKPITLGYAEGTDSDKALELLDEVDGMAQAMAKDALYPDEEDNDSE >LPERR12G05990.1 pep chromosome:Lperr_V1.4:12:4930700:4948923:-1 gene:LPERR12G05990 transcript:LPERR12G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARGGGKPRGDGVGPLRGSIYKSKGHRVLRWCRRRCRREESGRVSPGRQIDRSGLIHLSRTKRGTRRLWGEAALHFVAGGVWDGEQSGGGAARRPAARRAASPGVRPVKSTEKRAWLDPARRGQYVPEIERVRYSPEQHGDGGKVAEVEEEGVVVGVPKGFWPWEWLEREEEMMPSLISGLGIGYDKVDHRPSNFAGKILKIFGMVPGSSSCAVNSEDLQRPATRAATATAAAAAEMAGEDDALYEIRRLASGSNEIPPREGESTGTSGGAGGVLSYLSLQDNDRMAFFTNGAWLEAQGILGVVDDLSTLHLIKENGEALSRRTSNQLNLSYPIVDIVVHDGSSSDRPGFYIFTSDGMVHKFDCTHDHEANLQKVSILIQDVVSTKTPELPHSLSCVDYDQDHSLLVLVGNPNALSSSNGSSGSLYVLHFNGNLEFSLLFPSLQFEGTFFAPKDQTTFVSSGKQNLTSEQAYCYIGFEWFSAVEYSKFHLTPLVETAVALAESGKIGALNLLFKRHPYTISSDILRILSAILETVSVQSYSQLLPGKSPPSIVILRDGDWVECKQMASYIGTCPDLLDKSAEIKTEILLKHSAGFSWPSIVELCDWYRNRARDIDCLSGQLENCLAMIELGCQKGIVELELFFDDIKCLYQVVYSDELSEIIMNLATWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFVKKRLHLISLSNEEKQGESYLIIWLKEVASKSELSICLDVFENGCGESPICGLFKDIAVMVETAVHCIYLCSATNQWNTMSSILSKLHHKIKREKSLLASEEDCNFKDAKQALGSSVVSFDDMQLDYQLDDIESLDMLEKKLKVAEGHVEVGRLFAYYQVPKPVHFFLSAHLDEKNIKQIIRLLLSKFGRRQPVRSDMWSDLKHIQEKAFPFLDSEFMLAEFIRGLLKAGKFSLVRNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSMSVQAETNVIHALTVRLPYLGVTILPVQFRQLSNDEKHDDKKQSLKIDLPIRRYQFSTKVKATNCIIHWLAVNGFSPNDDLVMSLAKSAIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEDELKKRECFQEISSIMNVGMTYCSLNSLKKECSTPEQRRNLLLEKFHEKFTSIDSDELDQIDEANATFWREWKANSAKGVIDMMYSDVYPEIDGYNKQRLSYLYDILSACHSYLKRTSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKYIAGLDNLNFEHFNEELCKNIHASTVSALADMVQALVSMYVDVLAKGLISRQEGRTEARSNSINCEKLQAVLSAIELNYDSCKEYIQGVQPNNVTIDVIHLVFSAFGFVHRITAFEKAAGFQALIQYTDAPTALEAKNSSDGRSIPRRHGTIVSIMIYSWEIGS >LPERR12G06000.1 pep chromosome:Lperr_V1.4:12:4957176:4957684:1 gene:LPERR12G06000 transcript:LPERR12G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKASAAAEMITKKPRLGDSTAADGSPTVEDEATVAALGPVSCGRQESRRSNVVRGRPKLVGNPSISLHKGSGPIVSASHPAPSTE >LPERR12G06010.1 pep chromosome:Lperr_V1.4:12:4957952:4958446:1 gene:LPERR12G06010 transcript:LPERR12G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVATTLDLAPDAPSTTPPLPQLSRPRNWIEKIAEGRRLLEEGARELSSSVVNSSSLIDAANKEIAELQKKLEASEVDLDKMKELLCRLRHPIQETGGAVGRTRGSHGRAEEIPPDQLHDPPLDGHQSRGGDGGPCFWGRNIRDDDSGGTRDGAGKRCSKVF >LPERR12G06040.1 pep chromosome:Lperr_V1.4:12:4975303:4977003:1 gene:LPERR12G06040 transcript:LPERR12G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEPASTQAATSSDITPSATAAQASPSPALTLTTTVEVPSADKGKQAQGSSAAVEPSVGSDSEKTMCDEIIGWRYGSDLDQVSVLDHIEDQKSMARLIQLMAESSDLVLKVVKNSSAKDMLLECIALLAEQAQGELTILRDEIAGYRNIRSEFKDKLRGNLSTQNNSIVFNPSLSLTALLPNFLGHDPAIFEAKKHAEEQVLKLQAELTQLQDKNEEHLCLFPELIKAKDSAEKKLAHAITLNVKSHEQANYYKDKLETLSKKHEDLKKKAANELSAMKTKYNDEFMKMKAELEEARRTNAELCQAAEPILDNLHAATAESNTSSLQSVIEHLQSALARLKKIILESVSVACGQTLAVIKSLYPKLDLVPITSGYAEGMTNEKALELLDQVDDMVQIMAKDALYPEEDV >LPERR12G06050.1 pep chromosome:Lperr_V1.4:12:5028496:5029813:-1 gene:LPERR12G06050 transcript:LPERR12G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSSKPATEENYQLDSRLPSVADIARPDQAPTPEGPAIDFFNELSNDGEEEAVEAATAATIVHADSLQGRKHKLIIANDSDNKAADQSDPAPRLPSPSAPPAPKARPFSPRPAKRGRLKVSTV >LPERR12G06060.1 pep chromosome:Lperr_V1.4:12:5035504:5035920:-1 gene:LPERR12G06060 transcript:LPERR12G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPAVTNNQAMDAFFKGCRDLEFKEDWYKKPPASLEAMLFRADLYAYRYQWSGMRDPSDKDSDSENSVAYGEGEERQVAFLNESIQFDDLKQSSSGSFSLLHGIFMAEVTDILLTAEQLAQRAADIERQAHEIEQA >LPERR12G06070.1 pep chromosome:Lperr_V1.4:12:5089124:5090704:-1 gene:LPERR12G06070 transcript:LPERR12G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGNDELLGYQYHGFRTMDELRNSVTIAYEERRWLDNEEKVAMLLNPEPFMWNHPAFVDSRIYRDSFFFLMMQDTLDEVYELSDVADDRWSDYAVGNTAIEEKELGGTKNLKNNRRYLDREEISCRRLEIKGKNRQRRRSLLPLDLAIRAPGIHPPIPGLMVVEYQRLPSELQGLRVQRAQEAEALDDLGVLNNERLPQPGPATGSLAPGAAPRCSNRTKGVGWRWRGR >LPERR12G06080.1 pep chromosome:Lperr_V1.4:12:5098240:5102803:1 gene:LPERR12G06080 transcript:LPERR12G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTARPSSRGSGSGGSKVRDDQIWPTSKWIGGEGREGEARSQRLVVFPSPVSPPAHHWCGIDDSLRSSWEAGLKVCVYELTMEDRRQERKQF >LPERR12G06090.1 pep chromosome:Lperr_V1.4:12:5179597:5183782:1 gene:LPERR12G06090 transcript:LPERR12G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANLEDVPSMELMTELLRRMKCSSKPDKRLILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGAKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHVIEYYTKKGIVANLHAEKPPKEVTAEVQKVLS >LPERR12G06100.1 pep chromosome:Lperr_V1.4:12:5185202:5185456:-1 gene:LPERR12G06100 transcript:LPERR12G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMDFGEPVEVTGLRYIQYEVAVDESSLKDMYPRDHEVFTNPTALYRRGFKFIRQTFLNGQNITVDIHRFKPVLIQAFNSLPL >LPERR12G06110.1 pep chromosome:Lperr_V1.4:12:5189703:5190962:1 gene:LPERR12G06110 transcript:LPERR12G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSHQQMDEFWSNQQKDIEAIKDFSDHAIPMARLKKIVSSQKGNMMMTFDIPAFLSKMCELFVQELAIRAWACAQSHNRCIILDTDIAEAIASTECYDFLIDTLHKHRAKHNSTPRSTHVTKRFRLLDQPSTSYQPGQDQLPCFNSKYTSDIHVNPNFIPPIPQFRPFSFPSLPQKAPTTIVNVSMLSIHNIARGLGLQGNNINTIVPNNIVDCSSPIVLSDVTSPTLLELTEASLNTPNSQSCKYMMDMINSNDANGSSTSNIVVANQASLDLPDNFNPSFCLPSSSPLLPSNNNEMEGVGISDTMHVASDVVDATTIVLDGQQEQHENEANVDHPHQNEIYGSIDFETINATTVYGNKYSISWDELGMTDDFLLDNFVEELQVGKDNVFDARIVLDKDPCDSDTMFSNPSTNNGDN >LPERR12G06120.1 pep chromosome:Lperr_V1.4:12:5193370:5194886:-1 gene:LPERR12G06120 transcript:LPERR12G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAAGGDKPGRSGVSPSLLRRMESKGSFRILPIAAGCFLFFIVFLLSSHHDAIVLHTLRPRSSTATTPAAATTIIADGEAAPVAEDDVARQDKSLQTAAAVQSTSLPHKQPVYQTRKPLCDFSDPRTDFCDVAGDIRIDANATAFVVVDNPAGGVDVPTTYKLRPYARKGDVTAMGRVTEITLRTTTPANSAAAPRCTSTHASPAVVFSAGGYAGNLFHDFTDLLIVPWGGLRWVARMDFGEPAEAMGLRYIQYEVAVDESSLKDMYPRDHEVFTNPTALHKRGFKFIRQTFLNGQNITVDIHRFKPVLIQAFNSLPL >LPERR12G06130.1 pep chromosome:Lperr_V1.4:12:5198513:5199567:1 gene:LPERR12G06130 transcript:LPERR12G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGGWLSRRQRWSRRNNDEGTPLPDDALAAVFARLVDARDVIRCAATCRRWGRVVSTHPPAALSHARPLPTSTASAYSSPTLALGFFHQDDAHTRKRKPLPAGGRNGRVVLELAREVHDADDTGLKLCVCNPMTGDVSMLPPLQYRPGLYACTLLTRDDLDTRSSSTPPPHTFFKVLVVYNRPGFTALRSYSSETRRWSAEVAARRGKKNKMSTTRMQGLRHAVVHRGVAYWTVGLTMFAVRFDTPEPVELAMPPRGLGVGDLSPNNRVLGATPDGKLVSLYMLECGDFTAAGAMVLQPPPAGQDDDDDMSKWEWRFF >LPERR12G06140.1 pep chromosome:Lperr_V1.4:12:5202380:5208212:1 gene:LPERR12G06140 transcript:LPERR12G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVAPVVSDLVDFLNASPTAFHAVDEAKRMLKAAGFSQLSEREEWAGLEPGRKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKITKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRTISSEGLKINNQNHLVPVLATSVKNEMQKLVAENGPEASSESKNSKHHPLLLQLIAKEANCKPDEICDFELQLCDTQPSTVAGAMKEFIFSGRLDNLCMSFCSLKALIESTSSEESLMHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGCFNSSNSWLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >LPERR12G06150.1 pep chromosome:Lperr_V1.4:12:5213286:5221375:1 gene:LPERR12G06150 transcript:LPERR12G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASASVSPAAAAAAAASISGAHRRLLLPCSPRRLPRPRPRPHPRRPRLVACHAETLLPSAAAAAAPAACPSTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRCGPQPNSRLLINYGFIDEDNPYDRIVVEASLNTEDPQFQEKRMVAQRNGKLAIQNFHVCVGKEKDTIAEMLPYLRLGYISDPDEMQAILSSEGDTCPVSPCTERAVLDQLVGYLESRLAGYPTSLDEDEAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAAIEFINDLPDHTEIWSLAMGV >LPERR12G06160.1 pep chromosome:Lperr_V1.4:12:5224445:5229469:1 gene:LPERR12G06160 transcript:LPERR12G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPAEQGELYWFREQREDDTRSSVKALAGGFSSKSKATVTINGKQPGSNLHTMFGCAKQPGIMYRALRDMLAGGGGGGGASSGGGDEGDGRGEDGAGCAGFWMGLFLQVDMPKRIEPKGEHENLA >LPERR12G06160.2 pep chromosome:Lperr_V1.4:12:5224669:5229608:1 gene:LPERR12G06160 transcript:LPERR12G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCAKQPGIMYRALRDMLAGGGGGGGASSGGGDEGDGRGEDGAGCAGFWMGLFLQVDMPKKTEPESKSRTLV >LPERR12G06160.3 pep chromosome:Lperr_V1.4:12:5224697:5229608:1 gene:LPERR12G06160 transcript:LPERR12G06160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLQSRLWPALHTMFGCAKQPGIMYRALRDMLAGGGGGGGASSGGGDEGDGRGEDGAGCAGFWMGLFLQVDMPKKTEPESKSRTLV >LPERR12G06170.1 pep chromosome:Lperr_V1.4:12:5248252:5248786:-1 gene:LPERR12G06170 transcript:LPERR12G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPMCFCGDLCRIIKSDDYSNTYSKMIFIYENYEYNPPKDFRRAMISSPPHVFAASTTGLTKNRRQEREQKQEEKRRRVFQVEMHRNKEEWERQEAESREADKKRKRERIHRVKAAGPEAIHKEKYPRCTL >LPERR12G06180.1 pep chromosome:Lperr_V1.4:12:5249649:5250007:1 gene:LPERR12G06180 transcript:LPERR12G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDPQTCGRRYFQCPNYALEVYGNMCDYIEWVDTENPVFDRRSGRWMSPSESDDMYLARKTI >LPERR12G06190.1 pep chromosome:Lperr_V1.4:12:5264925:5268436:1 gene:LPERR12G06190 transcript:LPERR12G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAVSAYKGVIGSVLAKLQLLIQTRDMRTNLIGVSNGDILFLRDELPAMNALLERLNDAEELDLEAKNWRNQVREMTYDIEDCIDDFSSNVASVDAKAGFLDKASHFLKTCRAHLEAAWQIKELKTRLQDINERRKRYKVGHHIPIATSVIVDPRISAFYKEAASLVGIDSPKKELTKLVMDEGKQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSVLLQLKQHPSHACGVEDLINNLREYLLDKRFFIVVDDLWDVPSWNIIQCAFPQNNQHSRVLITTRHGDVARTCSSDHGCIHNMKPLSVQDSRQLFFNRIFGSKDYCPAHLTEVSSKILKKCGGLPLAIVTVASILACQPTRLKEQWEYIQSSLATNKLAQKSSLEGMMHILELSYKSLPHHLKACFLYLGAYPEDCVISKVELVKRWVAEGFVSQSPGQDAWIVAESYFNELVNRSMIQVPYQDYYTEISYCKVHDMMLDMILMRCKEDNFISVIHDPRVATQVQEKIRRLTIDLNGVVDDTMAMSITRKISQVRTLAVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQLSIVLPAHIQRLQHLETMEIPWVSDCSIPSISNIIDLPRLTHLVLRQHKGGLPDGIGKVKSLHTLHGFNLPVSSLENINGIGELTSLVDLSLHCAKVHAKSTTQGWMTALTCSLEKLSNLKGLSVRSNNLTCCADAMINWFSPPFPNLENLDLLDWTFSKVPRWIGHLHSLRELALGANHILQEDVSMIGTRLPFLIHLSLRIITGITANESRIMIAETTGFAALRFFCFDSSRMSHLEFKVGAMPQLRRLMLGLDPWEWDKATPVGLDHLLYLEEMRVLMASTTIAGSESMKEKYELVKGVFRDAANALPSHPAFTLLQRIRSLSDHVNCCKINMETIVCK >LPERR12G06200.1 pep chromosome:Lperr_V1.4:12:5274188:5282522:-1 gene:LPERR12G06200 transcript:LPERR12G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATDPPAALPLTLDLEDFKGDFSFDALFGSLVDELLPEFRGEDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTPAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVTTRPMFIDVEIMNTDIQVVLGDEGPQADSISIAEGLSILYKEIADTVRKEATTIMAVFPSPNEVMSILVQRVLEQRVTAILDRLLIKPSLANLPPIEEGGLLQYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQLYQSKMAELRAEAKQQSESTGTIGRSKGAPVTTLLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSIFACLLDQVSQYLTEGLDRARESLNEAAAQRDRYVIGTSVSRRVAAAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEATAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRMHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >LPERR12G06210.1 pep chromosome:Lperr_V1.4:12:5305004:5308239:1 gene:LPERR12G06210 transcript:LPERR12G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGDKPGRSGVSPSLLRPMESKGSFSFRLLPVAAGCFLFFIVFLLSSSSHHNAIVLHTLRPRSSYVLHNLTDDGKLISTRMDPKFDLQRTPTYGNTAAEDAAAAGQDKSKSLQTALAVQTTSPRHKQPVDQLCQPLCDFSDPRTDFCDIAGDIRIDANATVSVVVDNPSGGVDVPTTYKLRPYARKGDVTAMGRVTQITVRTTTIANAAAAPRCTSTHAAPAVVFSAGGYAGNLFHDFTDLLIPLYNTAARYRREVYLVVSDADPRWLARYGAVLHGLSRHPPLHLAAGEVHCFRHAVVGLRAYGRGELVIDRDVSVDGLSTPDFTRFLRRALSLPRDAPTPRHAARPRLLIVSRRGTRLLLNADAVDKMWKVDQVA >LPERR12G06220.1 pep chromosome:Lperr_V1.4:12:5307533:5308612:-1 gene:LPERR12G06220 transcript:LPERR12G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSHQQMDEFWSNQQKDIEAIKDFSDHAIPMARLKNIVSSQKGNMMMTFDMPAFLSKMCELFVQELAIRAWACAQSHNRCIILDTDIAEAIASTECYDFLVDTLHKHRVKHNSTPRSTLVTKRFRLLDQPSTSYQPGQDQLPCFNSTYTSNIHVNPNFIPPTPQYRPFSFPSSPQKAPTPIMNVSMLSIHNIARGLGLQGNNINTIVPNNTVDCSSPIVLSGVTSPTLLKLTEASLNTPNSQSCKYMMDMINSIDANGSSTSNIVVANQASLALRDNFNPSFCLPSSSPLLPSNNNEMEGVGISDTMHVASDGVDATTIVLDGQQEQHESEANVDHPHQNEIYGSIDVETIQCYYYTW >LPERR12G06230.1 pep chromosome:Lperr_V1.4:12:5315070:5334538:-1 gene:LPERR12G06230 transcript:LPERR12G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSARRSPSRETYLRRVNSFGNALPAKPKDDELTLFADMRKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPKRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEDQSAGQDSRGRAKSKPIQISGSSVMDNTQRSSRSSASPSRLSPSPRSMSRTRPSSAASRSSPPPLALRPPTPSRRPSTPPSAKTLTPPRRSPSPASRRMSTGSTPTLNRTRGPSPVKTNRLSSSPKIQGWNSNVPGFSHDAPANLRTSMPDHPVSHARGGSPSPISRRDMGSRGRRQSLSPTPSRRATSSHSIERDRLSSYSKASVTSSGEDDLDSLQSVPVAFSSSPSVKRSLAVMKTRTIASSKKPSTTFSPASVPKRSFDSAVWLMDHRKAPHDRFRPLLSSVPTTTFNAGKGNAVHHPMLSHNSSMTTSSNASSEHSASFGPYVDNNQEQHDQISEWDDRHQIYGDIFMFDKLEELNEETSHEETAKFVESDRQDIDMEKDWAANQTSCNGANSYGEMVTCTRCGKLFKVMDVDKQGGYCEECGLLLSICSTGPVTQTLQEAHQQDEITAKFESCAESEPPIASACIGYKEEASLGHQMNDEPSADFIKTCSPLQSMDINEEMLLAHEVSDNQQTELMSAEHEHSRDQINSHNQRLPQCLSELDCQHKESISQAASGDNLHQLRSTAYVSPKVENTDAAGISVLLLQKSSSNKWPVVEGRTLTATNILCSEPYYTRDSINAMKRSFGRDSSSATSSIDLGSSRQSDVRFERPRSGKRGEFEKARISSTMSYQSVASVSDMSISASSASLYPQGDVIGDTCFPTDILERSASRTAVSVEEHDSSCMDALSSGMECSSSVPLMINGDILADLNTSGFHMLSETEGDATFKNHSMEMVADNDHLSSNMCLSDIEMPSDAPESLAAEESYIQKTEEDTSTNAQCYSVSALEHPTDENSFDDLQMQSEAIQSSNEENKSDGCCVLTISEDDALVSGTDTNINKLPNNESSEAVEGPRKQIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPTVTIVGKSAPKEDGLLKLPHRRTPNRKVKRKRLEGETTITENAEKGAAISTDISPVRSSSGITRTSESMKPPKLESKCNCIIMHLVIALNGLSSPRSDISERRRIAKFSQRDFESIKMPPSPSLRRSPAKEISHRRGHSFGSALPAKPKDEELTLFTDMQKNDKDNFLLESSDNFDETISKLSYFPDLKLGVNIARREENRDFLNVDGDKNDYDWLLTPPETPLFRSLDDDEDKIVGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSTNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTITPPRRSPSPASRRVGGTSSGPTLNATRGASPVKANRRSSSPKWQSNDPGFSFDAPPNLRTSLSDRPTSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRAISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIEYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQNMFRPLLSSVPATTFGVGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHHLVDQWEENDSSRIHEEIFMFDKSDELNEESNCHQISLSTTCSGIENSLGTVNCFESTTHDHDMKSNRTVDQISCGMASSSDIRHGEMATCTRCGKIFDATYTSGSNYCEECDLKEGIFSSGSMVQATEGLHQKDHKFIQYKPCIPSEAHPVALEYVEHSIEASIDHQEVINEADYLQRCLTETVVHTDEEKMPGKHLKNPQENISPHDFGGSSLGNSNGISSQTCVSDYQEAESAPVTEYELFRLQKGKTTHETPQCLSESDCQHNEFITDMATSGSHELASTGSPGLKVENTEGNGISETRSNKWPIVEGRPLVTTNIHCLEPYYTSDSVSLMKRSIGRDSSSAASSIDLGSSRKSDVCFERLKSGKKGDLEKSQISCQSIASVSDKSVSGSSTSHCPQSYVNADACHPIYSLETNALGTGVFTEEHDGSCKESLSSAIECWSVAQAIVNDGCEAVEDEVTQNQDTERMAHGDNLGFNMCSSYTKVPSDIPQYSAVDDSFIQKTEKDDQEAPAITDYSIGTPEQSSSENSSDIPRMLSESVAVSDEESKLDDCCVSSILEEGMLVPARDTMKDEGSGKQIQRCFTLEEATDTILFCSSIVHDLAYRAATIGLEREQEAELARAPRPTVTMVDKFIPREDGLMRGPHRRMPKRKVERKISEGDSITDTARTEVITKEPAPVRSSSEITTSDSMKPPKLESKCNCTIM >LPERR12G06230.2 pep chromosome:Lperr_V1.4:12:5315070:5334538:-1 gene:LPERR12G06230 transcript:LPERR12G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSARRSPSRETYLRRVNSFGNALPAKPKDDELTLFADMRKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPKRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEDQSAGQDSRGRAKSKPIQISGSSVMDNTQRSSRSSASPSRLSPSPRSMSRTRPSSAASRSSPPPLALRPPTPSRRPSTPPSAKTLTPPRRSPSPASRRMSTGSTPTLNRTRGPSPVKTNRLSSSPKIQGWNSNVPGFSHDAPANLRTSMPDHPVSHARGGSPSPISRRDMGSRGRRQSLSPTPSRRATSSHSIERDRLSSYSKASVTSSGEDDLDSLQSVPVAFSSSPSVKRSLAVMKTRTIASSKKPSTTFSPASVPKRSFDSAVWLMDHRKAPHDRFRPLLSSVPTTTFNAGKGNAVHHPMLSHNSSMTTSSNASSEHSASFGPYVDNNQEQHDQISEWDDRHQIYGDIFMFDKLEELNEETSHEETAKFVESDRQDIDMEKDWAANQTSCNGANSYGEMVTCTRCGKLFKVMDVDKQGGYCEECGLLLSICSTGPVTQTLQEAHQQDEITAKFESCAESEPPIASACIGYKEEASLGHQMNDEPSADFIKTCSPLQSMDINEEMLLAHEVSDNQQTELMSAEHEHSRDQINSHNQRLPQCLSELDCQHKESISQAASGDNLHQLRSTAYVSPKVENTDAAGISVLLLQKSSSNKWPVVEGRTLTATNILCSEPYYTRDSINAMKRSFGRDSSSATSSIDLGSSRQSDVRFERPRSGKRGEFEKARISSTMSYQSVASVSDMSISASSASLYPQGDVIGDTCFPTDILERSASRTAVSVEEHDSSCMDALSSGMECSSSVPLMINGDILADLNTSGFHMLSETEGDATFKNHSMEMVADNDHLSSNMCLSDIEMPSDAPESLAAEESYIQKTEEDTSTNAQCYSVSALEHPTDENSFDDLQMQSEAIQSSNEENKSDGCCVLTISEDDALVSGTDTNINKLPNNESSEAVEGPRKQIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPTVTIVGKSAPKEDGLLKLPHRRTPNRKVKRKRLEGETTITENAEKGAAISTDISPVRSSSGITRTSESMKPPKLESKCNCIIMHLVIALNGLSSPRSDISERRRIAKFSQRDFESIKMPPSPSLRRSPAKEISHRRGHSFGSALPAKPKDEELTLFTDMQKNDKDNFLLESSDNFDETISKLSYFPDLKLGVNIARREENRDFLNVDGDKNDYDWLLTPPETPLFRSLDDDEDKIVGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSTNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTITPPRRSPSPASRRVGGTSSGPTLNATRGASPVKANRRSSSPKWQSNDPGFSFDAPPNLRTSLSDRPTSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRAISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIEYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQNMFRPLLSSVPATTFGVGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHHLVDQWEENDSSRIHEEIFMFDKSDELNEESNCHQISLSTTCSGIENSLGTVNCFESTTHDHDMKSNRTVDQISCGMASSSDIRHGEMATCTRCGKIFDATYTSGSNYCEECDLKEGIFSSGSMVQATEGLHQKDHKFIQYKPCIPSEAHPVALEYVEHSIEASIDHQEVINEADYLQRCLTETVVHTDEEKMPGKHLKNPQENISPHDFGGSSLGNSNGISSQTCVSDYQEAESAPVTEYELFRLQKGKTTHETPQCLSESDCQHNEFITDMATSGSHELASTGSPGLKVENTEGNGISETRSNKWPIVEGRPLVTTNIHCLEPYYTSDSVSLMKRSIGRDSSSAASSIDLGSSRKSDVCFERLKSGKKGDLEKSQISCQSIASVSDKSVSGSSTSHCPQSYVNADACHPIYSLETNALGTGVFTEEHDGSCKESLSSAIECWSVAQAIVNDGCEAVEDEVTQNQDTERMAHGDNLGFNMCSSYTKVPSDIPQYSAVDDSFIQKTEKDDQEAPAITDYSIGTPEQSSSENSSDIPRMLSESVAVSDEESKLDDCCVSSILEEEGSGKQIQRCFTLEEATDTILFCSSIVHDLAYRAATIGLEREQEAELARAPRPTVTMVDKFIPREDGLMRGPHRRMPKRKVERKISEGDSITDTARTEVITKEPAPVRSSSEITTSDSMKPPKLESKCNCTIM >LPERR12G06240.1 pep chromosome:Lperr_V1.4:12:5334639:5336077:-1 gene:LPERR12G06240 transcript:LPERR12G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNCFLSSLSLSLLHLCLRLTPPLPSPEACRPRHSPPPPSRARLGGERCTAAACVEGGRVSSFVLGPALVVWIGGGLEGEQPACDCRP >LPERR12G06250.1 pep chromosome:Lperr_V1.4:12:5355932:5372597:-1 gene:LPERR12G06250 transcript:LPERR12G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGERDQQQQQRPEDDEEEAAHTAAGISSQSSEKRDDDDDELQRKWAAIERLPTADRQRMSLLSVTGGDGINGDAAAHEVVDVRRLGAAERRAVVERLVGDVKHDHVRMLRKQRDRMDRVGVRPPTVEVRWRDVCVEAECQVVSGKPLPTLWNSAISRFSLLAGKLGIGGHQAKIQILKDVNGIIKPSRITLLLGPPGCGKTTLLKALAGRLNKGLKETGEIEYNGVKLDEFVPAKTSAYVSQYDLHVPDMTVRETIDFSARFQGVGSRTEIMKEVIKREKEAGITPDPDIDAYMKIMGLDKCADIAVGNAMRTGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTLQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGSKNFIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSHSEQRYNFITIDQFCDKFRASQVGQSLAEDLSKLHDKSKGHNNALSCSIYSLSKWHLLKACFDRELLLMKRNAFFHISKAVQLGLLAIITGTVFFRTHMSFDIVSANYYMGSLFYALVLLMVNGTPELVLTINRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVASITWTSITYYLIGYTPEAPRFFRQLLLLFLVHTGAMSLYRCVGSYCQTIAVGPITATMSILVTLLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAARWLKEMRKNGYMGRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPTEIDAETRKEFVNEVLQIVELEDIRDALVGIPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVSDTGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTTMEAQLGIDFAQIFTESSMHKEKDELIKGFSIPPPGTSDLHFPTQFPQKFPEQFKACLWKQFLSHWRTPSYNLVRIVFMTFSSIIFGMLYWQKGNIDHINDQQSLFTVLGCMYGTTIFTGINNSQSAMPFVAIERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLILVVLFMLIAYPMIGYAWTAANFCWFFYIMFCTLLYFVYLGKLIVSIAPNIQVASLYASMFYTTQHLLSGFIIPPSQIPKWWIWLYYISPMSWTLNLLFTSQFGFEDNHSILVFGEIKPIAAFVRDYFGFRRELLPLSAVVLGTYPVLFAILFGYSISRFNFQKR >LPERR12G06250.2 pep chromosome:Lperr_V1.4:12:5355932:5372597:-1 gene:LPERR12G06250 transcript:LPERR12G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGERDQQQQQRPEDDEEEAAHTAAGISSQSSEKRDDDDDELQRKWAAIERLPTADRQRMSLLSVTGGDGINGDAAAHEVVDVRRLGAAERRAVVERLVGDVKHDHVRMLRKQRDRMDRVGVRPPTVEVRWRDVCVEAECQVVSGKPLPTLWNSAISRFSLLAGKLGIGGHQAKIQILKDVNGIIKPSRITLLLGPPGCGKTTLLKALAGRLNKGLKETGEIEYNGVKLDEFVPAKTSAYVSQYDLHVPDMTVRETIDFSARFQGVGSRTEIMKEVIKREKEAGITPDPDIDAYMKIMGLDKCADIAVGNAMRTGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTLQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGSKNFIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSHSEQRYNFITIDQFCDKFRASQVGQSLAEDLSKLHDKSKGHNNALSCSIYSLSKWHLLKACFDRELLLMKRNAFFHISKAVQLGLLAIITGTVFFRTHMSFDIVSANYYMGSLFYALVLLMVNGTPELVLTINRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVASITWTSITYYLIGYTPEAPRFFRQLLLLFLVHTGAMSLYRCVGSYCQTIAVGPITATMSILVTLLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAARWLKEMRKNGYMGRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPTEIDAETRKEFVNEVLQIVELEDIRDALVGIPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVSDTGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQDELIKGFSIPPPGTSDLHFPTQFPQKFPEQFKACLWKQFLSHWRTPSYNLVRIVFMTFSSIIFGMLYWQKGNIDHINDQQSLFTVLGCMYGTTIFTGINNSQSAMPFVAIERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLILVVLFMLIAYPMIGYAWTAANFCWFFYIMFCTLLYFVYLGKLIVSIAPNIQVASLYASMFYTTQHLLSGFIIPPSQIPKWWIWLYYISPMSWTLNLLFTSQFGFEDNHSILVFGEIKPIAAFVRDYFGFRRELLPLSAVVLGTYPVLFAILFGYSISRFNFQKR >LPERR12G06260.1 pep chromosome:Lperr_V1.4:12:5416670:5418156:1 gene:LPERR12G06260 transcript:LPERR12G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDQINPQRSKSGSAGEARPRRIGESPSQSPLMNLGTERGDMYCLKEAVSEGRTALDKAYGMPMFQYLGKAPNEASNTLFNQAMSSHSVIIVQLITYQRENKYILYVNVQWILHLWGDEECLKILKKCYEALPEKKGKVTVVEQVLLVSPVATPAAQGTFRLDVVMLNSCSIASPVARRGRRGSSPISSPRPGFSGECKATYILTV >LPERR12G06270.1 pep chromosome:Lperr_V1.4:12:5421272:5423327:-1 gene:LPERR12G06270 transcript:LPERR12G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAARSGALLAPRATLLARLLSSSPSPPVTQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRILMPSVEGQQAGKWIVIDSGNI >LPERR12G06280.1 pep chromosome:Lperr_V1.4:12:5451651:5456949:1 gene:LPERR12G06280 transcript:LPERR12G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNPREINVVILRPLALSHRAWSSLPRGDPTSDEAKLAVGRRYEAIQATAILSATRHVKKLLAKEGTIGQIWSDNGYFER >LPERR12G06290.1 pep chromosome:Lperr_V1.4:12:5464809:5465597:-1 gene:LPERR12G06290 transcript:LPERR12G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIAVLAFVVLVSIGLSNAARVARFTTEGGGGGGGEGGGGGGGEDGGGGLGYGSGYGAGYGQGGGASGGGYGQGGGGGGGGGQGGGSGSGFGSGYGSGYGQGGGAFGGGYGKGGGGGGGGGQGGGGGSGGYGSGYGSGFGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGASAGGYGQGGGGGGGGGQGGGNGSGYGSGSGSGFGKGGGGAGGGYGQGGGGGGGQGGGSGSGSGYGSGYGGGAGGSP >LPERR12G06300.1 pep chromosome:Lperr_V1.4:12:5470732:5471663:1 gene:LPERR12G06300 transcript:LPERR12G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGSLEFVEVLVFVVTPNTVEASDSVEGLESEMALDSVEVVPDSVDASDSVEVVLDSVEHGGTEKAYTGPPVSFAAKSYFS >LPERR12G06310.1 pep chromosome:Lperr_V1.4:12:5480379:5480693:-1 gene:LPERR12G06310 transcript:LPERR12G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAFVVGAVRNESGERRPREEEVGVATSTVLVAGVFAGASLLALLTAGDGVASYVALEAAAAAPPPRPPCLVERRLDDGVAAGRLANGSAKTMLELFENDAA >LPERR12G06320.1 pep chromosome:Lperr_V1.4:12:5480731:5481191:-1 gene:LPERR12G06320 transcript:LPERR12G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHVVVISATVAALALAAAVLGVSYVRYDGVNCVYLRTPEFGCGIAGAASLLAGQLIVTAATGCWDSLRRRRRHASSDHRRVHVIFSAVLSWHAPIHNFTFVIFLRIDGSRR >LPERR12G06330.1 pep chromosome:Lperr_V1.4:12:5485154:5486571:1 gene:LPERR12G06330 transcript:LPERR12G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQMGRIMLKFRPIAPKPAAMAPSSAPAMAVQSAHKVFDGESKEKRVSSPSSSSSGMTSLESSPPPPPPATLPLQVAAPAASPHAAAEQAVLAPRFMEAIHLK >LPERR12G06340.1 pep chromosome:Lperr_V1.4:12:5495222:5498471:1 gene:LPERR12G06340 transcript:LPERR12G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYFAGVVACTAPRRPSRFRHCHPAANNLVSSGRRSHQAIRVTNGVNMDSWEKLAAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIKESGGTAIAFSADVSIEPEVESMMKAAIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAAAVMMKRKKEHFAMKLCSEFHGSFWLDDLLQGRIINIASVSGIIGNIGQANYCAAKAGVNAVAPGWVASDMTAKLGDDVERKALETIPLGRFGKPEEIAGLVEFLAVHPAASYITGQASTTAEMVLPVDGGLSI >LPERR12G06350.1 pep chromosome:Lperr_V1.4:12:5504541:5505432:-1 gene:LPERR12G06350 transcript:LPERR12G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMYGTTIFIGIINCQLMMPFVSIKRSVMYRERFAGMYSPWAYSLAQVTIEIPYAMVQTMLFMFLAYPMIGYAWTAVKFFWFVYTMLCTLLYFLYLGMMVVFLTPNIHVASVLASTFYTIQNLMSGLIMPAPQIPRWWIWLYYSSPLSWTLNILFTTQFGDVHEEIVVYGETKSIAAFINDYFSFRRDLLPVSAIILAMYPALFAILFSVSISKLNFQNIQLLTKI >LPERR12G06360.1 pep chromosome:Lperr_V1.4:12:5505451:5519990:-1 gene:LPERR12G06360 transcript:LPERR12G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSRSSISIKKKKTMTQHCVVGTMTMVLGTTLWRGCSPPRAGGDILREHLRLLRKQRRRMERVGVRHPTVEVRWRDVSVDADCHVVSGKKLATLLNAVRQMVADAVGWFRFGRCSQQHERIRILNDVSGILKPSRLTLLLGPPGCGKTTLLLAPAGKLNKNLKVMGEVGYNGVNLNTFVPDKISAYISQYDEMTVRETLDFSARFQGVGTRAGGWDYPEPDIDTYMKIMGLDICADIIVGDVMRRGISGGEKRRLTKGEMIVGPSRALFIDEISTGLDSSTTFQIISCFQQLCHISESTILVSLLQPAPQTYELFDDIILMAEGKIVLSKKDQQQYWSSSEETYKFFIVDNFCDKFKASQDGPYIAEELSKPYDKLKGHKNPLSFNIYSLSKWDLLKSCFPREILLMRRNAFVYITKTIQLFILFLVHTGALSLFRCVASYFQRMVAGTIKVVDASHGRKILLDRGLEFPSYFYWISVGALIGFIFLFNVAIGTSRAIISRGNLVPYHGTAKDKFKDMDNKMTNPRTPNSTGRMVLPFTPLTISFRNVNYYVDTPVEMREQGYTERKLQLLHNITGAFQAGILSALMGVTVAGKTILLDVLAGRKTGGFIDGDIRVGGYPKVQQTFARISGNCEQIDVHSPQITVGESVTYSAWLHFPIEIDSKTRKEFVNEVLQTIELEEIGDSLVGLPGVNGLSTEQRKWLTIAVELVSNPLIIFMDEPTSGLDARAAAIVIRAVKNIAETGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNVIQYFQAIPGVPKIKDNYNPSTWMLEVTCTLGEDFAQIYRESSMFQDMDILVKNLSKPPLGTSDLHFPTQFPQKFGVQLKVCLWKQCLSYWRSPSYNMMRIIFMIISCINFGALFWQKGNIKNIND >LPERR12G06370.1 pep chromosome:Lperr_V1.4:12:5529312:5530058:1 gene:LPERR12G06370 transcript:LPERR12G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTMFLPFLAMFAAIYLVGYLAVFRRWRGAQRAEASSCFTSLFHGTPAAALALRAVLLAGGAADLASPNTRADDLALDFSTAYFAVDLAHYLLFLPLADGESALFVAHHLATLYVLATCRAVARRGALPILAMEVLAEATSLAQNAWTLAGMRRRESPAAAAAHRAMSVPFFAAYTAMRAVLAPAWFARMVSFYYFGEEAALPAWLRASWTAVIGGGIVMSVIWVTNLWVEFFRERKQKERSKEQ >LPERR12G06380.1 pep chromosome:Lperr_V1.4:12:5531704:5536875:-1 gene:LPERR12G06380 transcript:LPERR12G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFQSSGFSIGGAAPGDAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYMDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPGTKQEKPNGEACNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVVSVPSKNEIESTEDEVIRRVQPSKRCTLQVQHSQPEPGCRFMYDRIEDRFNYLEDRMRRSGRLFSASGICGEPSDATLASEVVGIQGHNPSGHCFVVSKLIDSIPNSVDSQLPCAKKQAVESEGHQSSEILPRVLSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDADHPDIKKGSVDQSFQDIFLLEILRKLQDFTQYLGHNVRVILIPSVRDAHHDVVFPQITCLANPSLFSCNEVHFGCCTVDILKHLSGEEISRKPSGGKPGDRIGRLATHILKQQSYYPLYPPAAGVPLDFSLASGALEISSAPDVLLLSSDLAPFVKVLSLDEGSEEPKRSISINPGRLAKGIGGGTFVELNYNEDTDKTSASIIRI >LPERR12G06390.1 pep chromosome:Lperr_V1.4:12:5558033:5558759:-1 gene:LPERR12G06390 transcript:LPERR12G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAVTSCHSLMSRPMKLSAGASLRSWKPPAGKKIKSPFLCFALDPKTSSEHQFNISPVALVHLTMPPTSTPQWKIQEDDKNKSVQLTFFNIPEQAKEGDFQVLIDDDVLIIKTTDMLNKQVAAAPPPQVTGAGGKAGGSVSFHVRLLMPKGYNKDGVRAEIVLRALVVTVAKASHPDFKKEIAVERK >LPERR12G06400.1 pep chromosome:Lperr_V1.4:12:5560498:5563250:-1 gene:LPERR12G06400 transcript:LPERR12G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMRSWGLMMKVMNLSIGVLRTFHGLPQVYGDQKVQQLWRYRFYGHWINRIQWLKLFGTGRYHIDLGQWVVPQ >LPERR12G06410.1 pep chromosome:Lperr_V1.4:12:5563299:5564223:-1 gene:LPERR12G06410 transcript:LPERR12G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWMHRAFNPVVKLASSPPLFPSNTGNGCFFPILEQMDRLSPDRVVPLEKMLPEWEALGCFRGLVLHKDSEKFMVLDPITGNQHPVRFPSSIDKTAFGFFLAMVVPSAADHDYRMSYRLVALFTDKATGKRVVTYVYSSESGCWGGDPVSTLTLPSRAIHLGRPGTIVGNVIHWFLYGYKVLTFDLEMQILDFNKLLPEVKKNLQVPTMNSGDRSYHYQQVIVMVMLRLAVVAEPDMQFWEMKKAGEMGVVLTHGC >LPERR12G06420.1 pep chromosome:Lperr_V1.4:12:5590452:5591382:-1 gene:LPERR12G06420 transcript:LPERR12G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILKLGLGKQISTLLFLRHLNMQVLAAEVYIIGRVDQGISSYDIPTDSLTWPEFCSMTCKRFSVKSTVDVTDTFRNLKHPWFKLMSMLAILMEALQHQNLLRPTSSNTRLILPTHSKRKTGLLNKLLPPHLLLSCLANTSNEPWLPGYGRVCKASKQIYFVTMEEDDDDDQPSDALPHSTPEQQPSEQYQHVLSMQAIDGNGVGSTTFCLHVQIGTVSTMVLVDSGSTTTFMSPTLVRRAHLDITIITPLFL >LPERR12G06430.1 pep chromosome:Lperr_V1.4:12:5592941:5593148:-1 gene:LPERR12G06430 transcript:LPERR12G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNRGDGAGTEEPVLEPVWVADIDVIKKEIMEDEANDLHEQVQNQAFQATTHKDELMQ >LPERR12G06440.1 pep chromosome:Lperr_V1.4:12:5594222:5594608:-1 gene:LPERR12G06440 transcript:LPERR12G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNVAGVLFLLLLACSQDVPLLPAAFANDVRPNGIKAPPLAPPPPPNNEECTYAQKMEIVHECRDYIKFQRPITIPRNNSPCYNAVRSVPYLDMVCIYNLLTSIERIMYHQRRFKFGLRNRCWPRL >LPERR12G06450.1 pep chromosome:Lperr_V1.4:12:5624327:5625171:1 gene:LPERR12G06450 transcript:LPERR12G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAWTLMEILRASKEEDTIARFMDPRKVNTVTVQYREKDTEDYIFEKQQTGTNLCGYYICEYLHKAAPRDYSHNFRAVQEQLKGFINEQIIDPAGEFYCDEKAIHSVDPLTSEKP >LPERR12G06460.1 pep chromosome:Lperr_V1.4:12:5627161:5627541:1 gene:LPERR12G06460 transcript:LPERR12G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKVAGRVLFLLLLASFATIPLLAAAFANDVWPNGKKVHPPNPPPPPSTEECTHAQKVEILCECKLYINKHSMLFPTPSSPCCNAVRNVPNLDMVCIYNLLTSSEKDMYNPTRFKSGLPIFCRL >LPERR12G06470.1 pep chromosome:Lperr_V1.4:12:5633174:5637107:1 gene:LPERR12G06470 transcript:LPERR12G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVSHHVKFDQDMIAMFEKFVDTKVIPMIIRIHGINEEVDELDHTLAKVNIDVPVTSLAMDTYLANPFSIAEHVGVDEEGIYLDGDEEAAAALPCLGDHIPPLPPKKTNSKTKGKASSAAPDSPAMGTRSKNKSPAMNTRSKTKLMD >LPERR12G06470.2 pep chromosome:Lperr_V1.4:12:5633643:5637105:1 gene:LPERR12G06470 transcript:LPERR12G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGLSTVPSWLPVGKEVDSKTFCYKTFLDDIWRTYPWGLNDTVSFGYVDMVHKVSHHVKFDQDMIAMFEKFVDTKVIPMIIRIHGINEEVDELDHTLAKVNIDVPVTSLAMDTYLANPFSIAEHVGVDEEGIYLDGDEEAAAALPCLGDHIPPLPPKKTNSKTKGKASSAAPDSPAMGTRSKNKSPAMNTRSKTKLMD >LPERR12G06480.1 pep chromosome:Lperr_V1.4:12:5723227:5724085:1 gene:LPERR12G06480 transcript:LPERR12G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIIMQEMAELFSFVKNEDASIYAVSTSEVFEDLFHLSLSSQAFQQLQNLKDMLQICQRDNDKDKWGKGRVTTTFKIMDGFVVIFIYFFFCSLYFVLSL >LPERR12G06500.1 pep chromosome:Lperr_V1.4:12:5849693:5850076:1 gene:LPERR12G06500 transcript:LPERR12G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAKVAGGVLFLLLLACFEAIPLPPAAIANDVRPHGKRVYPPAPPPPPSTEECTRAQKVEILCECEGYIKIHNTIRYPTNNSLCCNAVRNVPNLDIFCIYDLLTSREKNMYHPRRFKYGLRNFCSL >LPERR12G06510.1 pep chromosome:Lperr_V1.4:12:5857739:5858363:1 gene:LPERR12G06510 transcript:LPERR12G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKVAGGVLLLLLLACFEAVPLPPAAFANDDTPLAPPPPPSTEECTHAQKVEILDKCNGYIRNQLPPITKPKDNSPCCDARRIGPTQEGSSWAFVTVVGPVMVNRAVVVRLHVGNDKGNQQMI >LPERR12G06520.1 pep chromosome:Lperr_V1.4:12:5859877:5865161:1 gene:LPERR12G06520 transcript:LPERR12G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQLGAMACGAPSASSPFVSRRNGQLFVGRKPAAAFVQMRVPRAGRARGTAMRVTCEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGVDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQDLVRKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVDVKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGAAEPTPGADAGPTSSGAKGPNDGDVIDADFTDSN >LPERR12G06530.1 pep chromosome:Lperr_V1.4:12:5865510:5865902:-1 gene:LPERR12G06530 transcript:LPERR12G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRRVLKHGVIPRDSAQVIGIRSFAIASKAKKGGKGGADVAKTPVLSKELKTTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKCPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >LPERR12G06540.1 pep chromosome:Lperr_V1.4:12:5867412:5870287:1 gene:LPERR12G06540 transcript:LPERR12G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSAAAAAAMEKERAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVISLPFAMSELGWGPGVAAMILSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVAPSGAPEIRTTYFIMIFASLHLVLSQLPNFNSITGVSFAAAVMSLSYSTIAWVASVHKGRGSAGGVVDYSLTASTSAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDRPSKKPMWRGVVLAYIVVAICYLPVAFLGYYIFGNAVDDNILITLEKPRWLIAAANMFVVIHVIGSYQIYAMPVFDMLETLLVKKMRFKPGLPLRLIARSLYVLFTMFVGIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIMKPSRFGLSWCINWFCIIVGVLLSVLAPIGGLRSIIVNAQSYKFFS >LPERR12G06550.1 pep chromosome:Lperr_V1.4:12:5870806:5874505:-1 gene:LPERR12G06550 transcript:LPERR12G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATGSSKKQELKSKQKLEKKLSFYTKVKDAVTSLNATKTISKKTKLRNRKKKLKAYDLSALSEFLPETDASKQHTETKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSVRKESDSINHEKNPKDKKRKKNKKKKNNTSSTSESMDI >LPERR12G06560.1 pep chromosome:Lperr_V1.4:12:5876370:5877920:1 gene:LPERR12G06560 transcript:LPERR12G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNAATVGQNAQQIQMQMQSPQMHGAHQNNVVRMQHSDHPGVQNNHEQIKMSPPQKPMTLPPLQTAADQQSNSPSINPQTPTMIESVGEVDWREKLFQSITTLKAAHFSELMDLDKTMIQRKLTDDELQSLPEKEAKSYKFREKVKTKTMTALNFLQIQKSHIDEHTEEKFHRQENTIKFLISLHRERNDKIAGMIGSRQSQECHDLRQLLQTPNHPTDDPSQSSPPNPSRDQRDDEQEKTEETSISQSVHNYNCDDTTTPSIDSVPQQNNTNNDNLAGQSEDEAAVVPKKRPIDHLIDSDAAAAAAQSPAMEVQQIDTACFMCLEQRPYYDHHLLNGEFKPQKRQKTDNNDSPLQREIDAINDKLIDTVITIVTDDVVGKEDDETKKTHPKAKPTTIKFRYTAVSVSPEVRQLLTSSAGSSLVKEMKLSVPADYPQSSPVIVEYEQPQGGRYGEIAQAVEAAFRRALGELPQPMSIEQMARAWDAAVRGVVTEIAQRDGGGTFSSEYGEWESCE >LPERR12G06570.1 pep chromosome:Lperr_V1.4:12:5895534:5895746:1 gene:LPERR12G06570 transcript:LPERR12G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRAADHDQVARRRPAQQMKEEVVRLACNKGKSCRFKKSCFVSKEDDAASAAMLLVACVVCSPSSRPLS >LPERR12G06580.1 pep chromosome:Lperr_V1.4:12:5915834:5917319:1 gene:LPERR12G06580 transcript:LPERR12G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQKVRGPRNTRSSTGQQTRPSTTPSSSKNVHGINKGKNLERLIKKAGHPLNLNISVERRPVGENHEHLFNVLKRSAIGKANCSMLPYVHKKGTKPFVALQHELKECYCTQDGWASTDAMEKHEKMLEMQQQTEEDETCLAEQQICERVLGKAYGYIRGRGHGPKPNRRVSSSSTNSNQQLEQELASTKEVVAGQQTQIETQQVQIETQQKQIDWLKSVVSKFAGIQLPGMANFNAPWEDCTTTTSVDRAGR >LPERR12G06590.1 pep chromosome:Lperr_V1.4:12:5929328:5936468:-1 gene:LPERR12G06590 transcript:LPERR12G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFIGEEANNPQISFLFLLSGKLFEQSLSLPGSIDSRRLRVLLPRPRAPAMAFFRGLTAVSRLRSRVAQETTTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELVSRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVAAYVYRRIFKDGKTVAADNTLDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTVDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENHCRKATA >LPERR12G06590.2 pep chromosome:Lperr_V1.4:12:5929328:5936468:-1 gene:LPERR12G06590 transcript:LPERR12G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFIGEEANNPQISFLFLLSGKLFEQSLSLPGSIDSRRLRVLLPRPRAPAMAFFRGLTAVSRLRSRVAQETTTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELVSRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVAAYVYRRIFKDGKTVAADNTLDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTVDQLKEYVWKTLKSGKVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENHCRKATA >LPERR12G06600.1 pep chromosome:Lperr_V1.4:12:5945986:5946325:-1 gene:LPERR12G06600 transcript:LPERR12G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVCKLDEANARLEEEQRSQRGELNSQKKTVEGQVTDVERMVQLKLDEQVARYFSRIASSSGVLFSQASSDAANNQDNVNAGN >LPERR12G06610.1 pep chromosome:Lperr_V1.4:12:5946360:5948397:-1 gene:LPERR12G06610 transcript:LPERR12G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDEKHPGTKSAIWKKLKVVNMPLSIYIFYTPMVVAVVADTFPEIRDEDKDYAMKQVEEQYNNRRYRIHCLHRDKKPRPAHVSPEDWAWLIKHVWSNEDFQKRSNQNAANRAKQEMGSKAELTEVAQSHHEKISSAPVPLVEHFALVLGRKVNHSRGVGFHAINGVAEERLRFLAQIDAAEKRAAAA >LPERR12G06620.1 pep chromosome:Lperr_V1.4:12:5967007:5967396:1 gene:LPERR12G06620 transcript:LPERR12G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKVAGGVLLLLLLACSEAIPFPPATFANDVRPNDKKVPPLVPPPPPSTEECTHAQKVEILRECRDYITNVPPIIFIGNHSLCCNAVRNVPNRDMFCIYDLLTCSERSMYNERRFKYGLRNVCRPRW >LPERR12G06630.1 pep chromosome:Lperr_V1.4:12:5985220:5987785:-1 gene:LPERR12G06630 transcript:LPERR12G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGWPPSSIDEGKLNLMVKEGVLLDQKKSLGEYSSEYPTPCDSWRAKLSKEELLEVAPLMKRIAELKQDGLTGIWVARHLLMYRINPLKDRVHPTFEYTGIRDTTGESEVSLTETEVSVRLKAIFADGVDIRTKANKPKCRSFHIYRPPPQEYYQLDSRSPSVANTARPAQAPTPEGPVIDFFDELDNIEEEKVVDAATAATTVQADSHQGRKRKLIIANDSDNEAGHQSAPAPRLSSPPPPPTSKARPFSPRPAKRGRLKVSSIKPNTSFTGEDDDVPPQFPTTSVGEKSAVVPTDVQSGSVEGDVPLSTMVIEPAATQAATSSDITLSVDVTPPNAAAPVATTQAIPSPSPVLTTTVEMLSADKGKRVQGFSAAVEPSAGSDSEKTISDEKVVKNSSAKDTLLSVLAPLVEEGENVRDQLAILKAEMFKSKDSEQNFKDSLRGIARPDPALAEAKRQAEEQVLRLQADLTRLQSENEELVKSIRLNTHQ >LPERR12G06640.1 pep chromosome:Lperr_V1.4:12:6089034:6095574:-1 gene:LPERR12G06640 transcript:LPERR12G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKCFISCFDEEDDDGGRGGHIPYSYPQPSRLHYQPLGLADLRVPLSTPPQPRQQQLWPVPPLAHHHGVHRDTIKIAAQCDDFLNLKSTSMLPRQQQLCPVPPLAHHHGVHVHRAVIKIEKVEAQAARCEDFLNLKSTSTVPEGLARHVTAPKQTQV >LPERR12G06650.1 pep chromosome:Lperr_V1.4:12:6100447:6130189:-1 gene:LPERR12G06650 transcript:LPERR12G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERFKVGKRSSVRSSEAAVMAEEEPAEADLAVKMMMMEAKRRDNWERAEREKNIRQGIDLATTLDLERIKKKFVITDPRILSNPIGVFVTEQRPDTPKFFVHGSMDYTEQSRMVAEADTSCRLCSGVYSRLVEYRQYIMRGCCGGRLPSGGGGAGCSGGAAGGCGNNLPGGGSGAGCSGRGGGGVGCGGHGGNGAVGCGGSHLPEGCETGCRSRLPVGDGGAGCDDRLPSGGGGGGDCGGGSWGACRGGQFSGDGGRRTGCLEGEQVGGEQLLQAAPGIEELLRGGPNPVLFRIPNLKILGPPLELIQAMAGHSASEIKVHQK >LPERR12G06660.1 pep chromosome:Lperr_V1.4:12:6131865:6150635:1 gene:LPERR12G06660 transcript:LPERR12G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADADGPSPTWDSQAAPQPTTPLSSPIALWILALSHRILNSASRRLYFQQPGRSPRHLKTTFSSILFLTSIIGDQIRPSRGATPTDQSLVVLASPIAYRSRTRNRSRMTTLANTEPRCHLRTMSMAVMAASRS >LPERR12G06670.1 pep chromosome:Lperr_V1.4:12:6155130:6161738:-1 gene:LPERR12G06670 transcript:LPERR12G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKCFISCFDEEDDDGGRGGHIPNSYPQPSRQHYQPLGLADLRVPLSTPPQPWQQQLWPVPPLAHHHGAQCDDFLNLKSTSMLPRQQQLCPVRPLAHHHGAHVHRAIIKIEKVEAQSARCEDFLNLKSTSTVPEGLAQHVTAPKQAQGIGRCCHASCYSLEKYPTN >LPERR12G06680.1 pep chromosome:Lperr_V1.4:12:6164172:6167211:-1 gene:LPERR12G06680 transcript:LPERR12G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARNATFFLGSGEFAPVAFALGTEPQSCCRRDPNENPPPPAVDHRRLDLADPFQLRGIDIDGVLDAECRVLAACVLDF >LPERR12G06680.2 pep chromosome:Lperr_V1.4:12:6164209:6167211:-1 gene:LPERR12G06680 transcript:LPERR12G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARNATFFLGSGEFAPVAFALGTEPQSCCRRDPNENPPPPAVDHRRLDLADPFQLRGIGC >LPERR12G06700.1 pep chromosome:Lperr_V1.4:12:6217734:6222405:1 gene:LPERR12G06700 transcript:LPERR12G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLLLRRRLSSLASSTPSSSFGVSSRTAADHHPSPPSNPSFSGHDAAVATKDLHPDPLSSLHLRLFLPNPQPHASAKVPPPLRRNSFPQPQPPPAGQELSRRASASFSGVVSSSSSSAAYGGYLPSTTGRSASSSAAARRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGFAVLKWIAKQANLAACGRTMAARGAGSGGGGADSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFIGSSPTRSELKLANSYFYDKATCLLAWKLFLPEGEFSLDHPAANPLVAGRGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >LPERR12G06710.1 pep chromosome:Lperr_V1.4:12:6224778:6230815:1 gene:LPERR12G06710 transcript:LPERR12G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVSPAASPPRRHRHHSPPRRRRDDSPPRRRDASPKRRASPSPSPSPDRDADRRRGGGGSGRSRASPPPDDRDRRRRDSKPADESNGGGAPPKPPRASDGEEGGGRRKRVARVSDDEEKEDGGRRRSRRRSSDSDDDRRRKRDRERHRDSRRHRRRSPSSESSDGGCSRRHRRDESSRRERDERRRDRGGREERDRRRSPERKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSTEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFKANPNFAEDEKAYENLKRSILGAESSDDEEGSDDDDASDEDAEESDDEDDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSDSGSDSESSSSESEPSSDESDRKRSKRRKK >LPERR12G06710.2 pep chromosome:Lperr_V1.4:12:6224778:6227512:1 gene:LPERR12G06710 transcript:LPERR12G06710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVSPAASPPRRHRHHSPPRRRRDDSPPRRRDASPKRRASPSPSPSPDRDADRRRGGGGSGRSRASPPPDDRDRRRRDSKPADESNGGGAPPKPPRASDGEEGGGRRKRVARVSDDEEKEDGGRRRSRRRSSDSDDDRRRKRDRERHRDSRRHRRRSPSSESSDGGCSRRHRRDESSRRERDERRRDRGGREERDRRRSPERKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSTEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLR >LPERR12G06720.1 pep chromosome:Lperr_V1.4:12:6231652:6234924:-1 gene:LPERR12G06720 transcript:LPERR12G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADDESPLVEESTAAIIPVSGAGSYLRSARFLLPRAAAGKPPSPAAACAPAPDADDVWVEFHGWAGSPELWRRWVEKLRPRHEALWRKVGILDGVLASADGRVLRHDAVLLQLAAFWSGGTSSFVFPWGEATVTLEDVAVLAGLPVLGAPVTAQLPGTLAGDVAALQAIRTGSKKAYEQARWARHFLEQSTGMEEEKGEEDDADVAIVEHGAFLATWLSLYALPAPPFKSVRPELFPVAARLARGKAVALAPAALASIYNDLTALRHYLDAVSVSGADDGRPRQPFVSWAPLHIVLLWVWERFPKLRPAAIAGVHGAPRAARWHNARKMFDAGYIRAMFMSPVGFEWRPYGSSSGFAMPMEKAGCWVHGRDIGRSRELMSFARCLRPCELVGLRCIEQYLPHRVARQLGFDQDMPGFVARANSNSTVAWATYKMDPQGVKFIVPCHELGGVTVEYKQWWECNSLASAVAVANSGEMEQPPVVDSSRKRMAEGSLDGESSKRRHLEETGVPPSDNADEREDEIPLIDRLNGVIMRMNRNSLKGTDPVKFAEIVKDLVLRWVKDGGKGSPLHENSEQALSDAEATLVTAVGESSCVSVNKDRRESLQQIEDKASDHVIAYDKKDSNSGHGKVKIDRPVDGAASAGSNEATEPAALVPKNSIPKDIGVISDDKFDEVLSGKEGRVDTMHLNSHQMETTKGILQEFDVKSKLLIIGNDEQGCQVLKEAVMQKICVDTIVISDDEADEVTHNEDEVDTIHLDTYRVETTNCTFEELVEKRELVITGNGEQGNPLMKEAVMQNKCVDTIVISDDESDEVTHNEDEVDGHSKSSNLDRIASTLRESNEESKLVKPISDEQDSLVSKHIMPQSDCDYEGATMLNDITLRQELAEVTHVSTAQTNVHHMEGSTKEMSTSSASRGKEKRIKLDKKRFSTLEDDEKENQDTSVSNQEVGSHIDLLEVNKKGVRENSSSILVDGNAEQVKKVVSHKTIYYLRSFALLKDAQDRDAGGKNAHGGGFVPRWEVGTEEMVSEASEARRREMVELKAAIDGLKEKILQ >LPERR12G06730.1 pep chromosome:Lperr_V1.4:12:6241773:6243928:1 gene:LPERR12G06730 transcript:LPERR12G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAQEEIPLVAESTAADASRFLLPRAGAGAVLPPPPCPAAALPIDGGGVRVDFHGWPSTPRMWGRWVEKLRPRHEQAWRKLGILDEMGILATVDGAGRVRRDEWLLLGLTAFWSAATSSFVFPWGEATVTLEDVAVLAGLPVVGNFPVSEDVPGEFAADVSALEVVRQGVAFVRALPPRLRARRQGRMHRAIPVARQLGFDQDVPGFVARANSSSSLAWATYEMKAHGVKFVVPCNEPGGVTVEYKQWWECYSPAFAVGVADSGKLKRLPVVDGRSKRKGRRFLHGSVSKDRHGEASDHGEEAPSRLVDGSTNAGSSVAIDPAASIPKKLVPKNFVVISDVEFDEVPSGKESRLDAAHVTSHQTEASKDDFKELEMRMKKLGITENDVQRNPQLMELMKQSWMMMSSDDESDDEEDEVGVMHLKSHNLEETSTPLRETNEQHKPVENKGNKGDSSSILVNENAEGVKKAVSTKTLYYLRPFALVEDAHDREAKGENADQGGSHPRREVGTKVMIKKACEARQAENIEMESEIARLKREIAELKVKASVSKPPRT >LPERR12G06740.1 pep chromosome:Lperr_V1.4:12:6283793:6286706:-1 gene:LPERR12G06740 transcript:LPERR12G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLAPPFSPLLITAPCIVRHHRTPSLPLLFSCLAVLKLLAAASDSAYSTAPTPRPSIEHLASTRQDRCRIKQVTSLPYTTLPRTLNHEHQPLPALEDHAGVEDEQYAFVKLNNNARPTAFGVPRPAAAQDLGVRDDRDWWVRATYLYDHISGMGRMRAD >LPERR12G06740.2 pep chromosome:Lperr_V1.4:12:6282174:6286706:-1 gene:LPERR12G06740 transcript:LPERR12G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLAPPFSPLLITAPCIVRHHRTPSLPLLFSCLAVLKLLAAASDSAYSTAPTPRPSIEHLASTRQDRCRIKQVTSLPYTTLPRTLNHEHQPLPALEDHAGVEDEQYAFVKLNNNARPTAFGVPRPAAAQQKSRARILAVQQMRVVKRVEDAVPEKITTRLCLGLLTCYLRDMRPLLT >LPERR12G06750.1 pep chromosome:Lperr_V1.4:12:6300436:6301515:1 gene:LPERR12G06750 transcript:LPERR12G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASAAEIHKSTADASSSLPRAGASAVFGPPPRPAVFPPSDGGAVRVDFRGWPSTPRMWGRWVEKLRPRHEQAWRDLGILDGILATVDCGRVRRDERLLLLLAGFWSAAKGSFVFPWGEATVTLEDVAVLAGLPVVGVPVSEEVIATLAADVSALEVARVVMNRGKCRKPVYSAWIRHFLERSPGAGDVVEHGAFLAMWLSLYVLPAPPSNVVRAQVFPTAVLLARGQSVSLAPAVLAAIYNDLTALSYHLAVSGRVGNHLPLACWAPLQTLQLWVWEHFPALSPPTAFAGGIQGGVAAPRAARWRSARKVFDPAHIRAALMTPGAFLRRPYGSTSGFASPPEANGQGFEVKQEKV >LPERR12G06760.1 pep chromosome:Lperr_V1.4:12:6321062:6326075:-1 gene:LPERR12G06760 transcript:LPERR12G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGCSASASPVVAFLLAAAFFLASSGGGCHAAPQGALVRSVPGFDGALPSKHYAGYVTVDEQHGRNLFYYMVESERDPGKDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSTVIYLDSPAGVGLSYSKNSSDYVTGDLKTAVDSHTFLLKWFQLYPEFLNNPFYITGESYAGVYVPTLSHEVVKGIRGGVKPTINFKVHIGQWKFFYYKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAHNACHGNYWNPSNDRCEIAVSKVDTLIDGLNIYDILEPCYHSTSIKKVTPENSRLPKSFQHLGITDKPLAVRTRMLGRAWPLRAPVKAGRVPSWQELASGANGASGVPCMSDEVATAWLNNDDVRAAIHAQPVSVIGSWLICTDVLDFHHDAGSMISYHKNLTGQGYRAFIYSGDHDMCVPYTGSEAWTKSLGYGVVDSWRPWHVNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >LPERR12G06770.1 pep chromosome:Lperr_V1.4:12:6328623:6332928:1 gene:LPERR12G06770 transcript:LPERR12G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRAPRPPHGHAPPPCTALPPYARTPNPNPSCASPLCLRGSPHAVAAGPLPGSPPLPLPAPISPLFSLLLALTCGRAPTAGRGGCRSGASGMDPASPSRIRGPGRRPGDQAASAAWERELAAAALVRPSSGAAGCDWRPCPCAVYSVVFTTGGLDGSSSNGSAVSSDLQRATAPTS >LPERR12G06780.1 pep chromosome:Lperr_V1.4:12:6338344:6338904:-1 gene:LPERR12G06780 transcript:LPERR12G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGGHDVIANTGFHLKIYGNGKKHHNNASKEENGTHRHHCCQANKKHGKAFANAFSSTHTSTRTTTTTRQTNIVSLDGQQPIAKTGSRPHPVCRHSATISNNTPEPLPFSRAPLGQKRKSSHRREGIPKEGGCLAGKREDKPTLTPSSFFAHRQLEPMPNHPPLPHNAATMPRSPLW >LPERR12G06790.1 pep chromosome:Lperr_V1.4:12:6342444:6354903:1 gene:LPERR12G06790 transcript:LPERR12G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATIDMISRLSDDVLLIIIGFLPTARDVVRTSALSRRWRHLWTLAPTLRFVFRPKATTTNKLIRPDATAADRLAVIVDSILTRRANGPDVKDLKISFAYNAQCRRFLFERVYHTTNITRERIATWLHFAKHRVAGAFTLELPMRSLLRAQLVADLPCSEKLETIHLKLGGVILRVPKVAVAGTLLSLSDVMLGHTNLKNGDDVRLDWLFSLSCCPQLQRLQLNDIDGLTHLCLDAANTLEELRLYNLRNIQRMQVNAPGLRKLIILNVSLDLLAEATEISTPSLQALTCDNPCKGGGQMVLDGTPNTNLSVLSHGVLEEDDNRAVAWFLQHCIAADRLDVELKIEFDATIDMISRLSDDVLLIIIGFLPAARDVVQTSALSRRWRHLWTLAPTLRFVFKHEATTNNQRVRPDVTAVDRLAVVVDSILMRRVNGPDVKDLNISFIHNVECRRFLFVHVYRTTKITRARIATWLHFAKHHVSWAFTLELLMRSLLRAQLVADFPCSEKLETIHLRLGGAILRVPKVVVASTLLSLTDVLLGHTNIKNGDDIRLGWLFSLSCCPQLQRLQLNDIDGLTHLCLDAANTLEELRLNNLRNIQWMQVNAPGLRKLVILNVSLDLLAEATEISTPSLQALTCDNPCKGGGQMVLDGTHNTKLCVLSHGVPGEDDNRAVAWFLQQCAAADRLDVELKIELDKVKLQAGIEDVMKDIPELPNITDLRITVTVSNGTMDTHTIGASVAKLIAKCIRIKYLSIDMSEKADDCFDLECKCDQPEGWNNLMISLEHLRIANIHNFLSSNDQIELICTLLSNAPALERMTVALHKSYGEAYLNIPCCGGRWTPCARERRGSNLGIATKYEWKPCKRRRGE >LPERR12G06800.1 pep chromosome:Lperr_V1.4:12:6381746:6382597:1 gene:LPERR12G06800 transcript:LPERR12G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPPLPPWRPDPSPATLPWPDLLAGAASTTRRLVASHSQSPSVYLRSTIPLARVPPLALAAAAGVLYLAAFAAAAASAHAGFFGRPVKLLASLASVPASLLRLLLTAIPAAPLLLLPMLPIHLAAGLSVLGVLLLVPFWSLAGAAAVVESGAGLSPLRQSCRLLSGARLAALFAFLVFGTGIGVTLWGFGGVASENYDAGAGWAGMAPVVVKVVAGTAVLAVLMLYGMVTNVVLYMHCRALHGELTGEIYNEFANSYVFLPFDEGKDRHIVSVVTVWP >LPERR12G06810.1 pep chromosome:Lperr_V1.4:12:6396289:6415602:1 gene:LPERR12G06810 transcript:LPERR12G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLRLMIMPFVFAVLVTSVAHGAIVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTINTSEGDTVVIHLVNTSPYNMTIHWHGRGSQWADGPAMITQCPVRPGSNYTYRFNVTNQEGTLWWHAHISFLRATVYGAIVIKPRGGADAYPFPKPDNEQVILLGEWWNANVYDLERMAFLTGIPARSADAYTINGKPGDFYNCSDGNNTYMFQVRRNETNLLRIINAALNTPLFVKLANHSFTVVAADASYTTPYPTDVVVISPGQTVDALLVPDADAAASPRGRYYIAASPYDSAIPNGPAFSQTTATAIVEYVGEGTNLTSPPNLPPRPEYNDTPTAHRFFSNLTALIIPGNPTVPLAVDTRMFVTIGLGVSDCQPAQLLCNRSAPPVFAASMNNNSFVPPTKISMLEAHFNNATEGVYTRDFPDTPPVVFDYTGDESDNATLQYTNKTTKVKTLRYNETVEMVLQDTRLIAKENHPMHIHGINFFVLAQGFGNYDEVNDPAKFNFVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHYEAHLDFGLAMVFEVLDGPTPETSLPPPPADLPHSDEELRGMWYRHCHDEAHLDFGLVMVFEVQHGHSPETSRHLLSTLVLVMLASVFAAAGVGAARQWRLPGLGRRDRAAYAPRLCGNGPKGNRSYKGSRSAEEVGNMSISQLCMPERIITAVNGQLPGPTIFANEGDTVVVHMVNESPHGIFQRGTQWADGPAMVTQCPVHPGGNYTYRFNVTGQEGTLWWHAHISFHRATVYGALIIKPRGGAAAYPFPKPDEEEIVILGEWWNADVNQLHVDATETGRAAPHADNYTINGKPGASSGCADPNQTHKFELKQNKTYMLRIINAGLNTPLFFKIANHSFNVVAADACYTKPYTSDIVVVSPGQTVDALLIPDAGVAALGGSYYMAVTPYNSAANTPANILYSLTTATAVVEYSGSTPTVPPVMPRLPEYGDTATAHRFWSNMTALVPNRVPLAVKAHMFVTVGMGDTFCGPEQTRCMPNPAINGTIFASSMNNASFILPKTTSMLEAMYRKNSTGVYTRDFPDTPPIVFDYTANVAVPEETTLKHTFKSTKVRKLKYNETVQMVLQNTRLVDKESHPMHLHGFNFFVLAQDFGNYNETTDPAKFNLIDPQERNTVAVPTGGWAVIRFVADNPGMWFMHCHFDSHLDFGLGMVFEVEDGPTPDTKLPPPPSDLPQC >LPERR12G06810.2 pep chromosome:Lperr_V1.4:12:6396289:6415678:1 gene:LPERR12G06810 transcript:LPERR12G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLRLMIMPFVFAVLVTSVAHGAIVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTINTSEGDTVVIHLVNTSPYNMTIHWHGRGSQWADGPAMITQCPVRPGSNYTYRFNVTNQEGTLWWHAHISFLRATVYGAIVIKPRGGADAYPFPKPDNEQVILLGEWWNANVYDLERMAFLTGIPARSADAYTINGKPGDFYNCSDGNNTYMFQVRRNETNLLRIINAALNTPLFVKLANHSFTVVAADASYTTPYPTDVVVISPGQTVDALLVPDADAAASPRGRYYIAASPYDSAIPNGPAFSQTTATAIVEYVGEGTNLTSPPNLPPRPEYNDTPTAHRFFSNLTALIIPGNPTVPLAVDTRMFVTIGLGVSDCQPAQLLCNRSAPPVFAASMNNNSFVPPTKISMLEAHFNNATEGVYTRDFPDTPPVVFDYTGDESDNATLQYTNKTTKVKTLRYNETVEMVLQDTRLIAKENHPMHIHGINFFVLAQGFGNYDEVNDPAKFNFVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHYEAHLDFGLAMVFEVLDGPTPETSLPPPPADLPHSDEELRGMWYRHCHDEAHLDFGLVMVFEVQHGHSPETSRHLLSTLVLVMLASVFAAAGVGAARQWRLPGLGRRDRAAYAPRLCGNGPKGNRSYKGSRSAEEVGNMSISQLCMPERIITAVNGQLPGPTIFANEGDTVVVHMVNESPHGIFQRGTQWADGPAMVTQCPVHPGGNYTYRFNVTGQEGTLWWHAHISFHRATVYGALIIKPRGGAAAYPFPKPDEEEIVILGEWWNADVNQLHVDATETGRAAPHADNYTINGKPGASSGCADPNQTHKFELKQNKTYMLRIINAGLNTPLFFKIANHSFNVVAADACYTKPYTSDIVVVSPGQTVDALLIPDAGVAALGGSYYMAVTPYNSAANTPANILYSLTTATAVVEYSGSTPTVPPVMPRLPEYGDTATAHRFWSNMTALVPNRVPLAVKAHMFVTVGMGDTFCGPEQTRCMPNPAINGTIFASSMNNASFILPKTTSMLEAMYRKNSTGVYTRDFPDTPPIVFDYTANVAVPEETTLKHTFKSTKVRKLKYNETVQMVLQNTRLVDKESHPMHLHGFNFFVLAQDFGNYNETTDPAKFNLIDPQERNTVAVPTGGWAVIRFVADNPGMWFMHCHFDSHLDFGLGMVFEVEDGPTPDTKLPPPPSDLPQC >LPERR12G06810.3 pep chromosome:Lperr_V1.4:12:6396289:6415602:1 gene:LPERR12G06810 transcript:LPERR12G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLRLMIMPFVFAVLVTSVAHGAIVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTINTSEGDTVVIHLVNTSPYNMTIHWHGRGSQWADGPAMITQCPVRPGSNYTYRFNVTNQEGTLWWHAHISFLRATVYGAIVIKPRGGADAYPFPKPDNEQVILLGEWWNANVYDLERMAFLTGIPARSADAYTINGKPGDFYNCSDGNNTYMFQVRRNETNLLRIINAALNTPLFVKLANHSFTVVAADASYTTPYPTDVVVISPGQTVDALLVPDADAAASPRGRYYIAASPYDSAIPNGPAFSQTTATAIVEYVGEGTNLTSPPNLPPRPEYNDTPTAHRFFSNLTALIIPGNPTVPLAVDTRMFVTIGLGVSDCQPAQLLCNRSAPPVFAASMNNNSFVPPTKISMLEAHFNNATEGVYTRDFPDTPPVVFDYTGDESDNATLQYTNKTTKVKTLRYNETVEMVLQDTRLIAKENHPMHIHGINFFVLAQGFGNYDEVNDPAKFNFVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHYEAHLDFGLAMVFEVLDGPTPETSLPPPPADLPHSDEELRGMWYRHCHDEAHLDFGLVMVFEPPSPLNPGARDAGFRLRRRWCGSGESVGAGTSSYKGSRSAEEVGNMSISQLCMPERIITAVNGQLPGPTIFANEGDTVVVHMVNESPHGIFQRGTQWADGPAMVTQCPVHPGGNYTYRFNVTGQEGTLWWHAHISFHRATVYGALIIKPRGGAAAYPFPKPDEEEIVILGEWWNADVNQLHVDATETGRAAPHADNYTINGKPGASSGCADPNQTHKFELKQNKTYMLRIINAGLNTPLFFKIANHSFNVVAADACYTKPYTSDIVVVSPGQTVDALLIPDAGVAALGGSYYMAVTPYNSAANTPANILYSLTTATAVVEYSGSTPTVPPVMPRLPEYGDTATAHRFWSNMTALVPNRVPLAVKAHMFVTVGMGDTFCGPEQTRCMPNPAINGTIFASSMNNASFILPKTTSMLEAMYRKNSTGVYTRDFPDTPPIVFDYTANVAVPEETTLKHTFKSTKVRKLKYNETVQMVLQNTRLVDKESHPMHLHGFNFFVLAQDFGNYNETTDPAKFNLIDPQERNTVAVPTGGWAVIRFVADNPGMWFMHCHFDSHLDFGLGMVFEVEDGPTPDTKLPPPPSDLPQC >LPERR12G06820.1 pep chromosome:Lperr_V1.4:12:6455673:6457855:-1 gene:LPERR12G06820 transcript:LPERR12G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRIVSPPSAPQHQLLPAACAPVSSDRELLFLCLSLRDEETINAHQRLALLPLPPSLGT >LPERR12G06820.2 pep chromosome:Lperr_V1.4:12:6455945:6457855:-1 gene:LPERR12G06820 transcript:LPERR12G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRIVSPPSAPQHQLLPAACAPVSSDRELLFLCLSLRDEETINAHQRLALLPLPPSLATRGVSSSLVMFLEQHGFCNKLGWTNNNCIRISKTY >LPERR12G06830.1 pep chromosome:Lperr_V1.4:12:6493604:6496575:1 gene:LPERR12G06830 transcript:LPERR12G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMARPWSLLLSFALALVAVSVAQAAVVEHTFNVGNMSISRLCKPEKIITAVNGQLPGPTIFASEGDTVVVHMVNESPYNMTIHWHGIFQRGTQWADGPAMVTQCPVQPGGNYTYRFNVTGQEGTLWWHAHNSLHRATVYGALIIKPRGGVAAYPFTKPDEEEVVILGEWWNADVDELHVNATKTGQPAPHADNYTINGKPGASSGCADPNQTHKFELKQNKTYMLRIINAGLNTPLFFKIANHSFNVVAADACYTKPYTSDIVVVSPGQTVDALLIPDADITSISGGRYYMAVIPYNSAVNALNASDLYSLSTGSAIIEYTGGSSTSPPMLPQLPEYNDTATAHRFLSSMTALVPNKVPLNVTTQMFVTVSMGDTFCEPDQNTTCLPDDKGTIFASSMNNASFILPKTTSMLEAMYRKNATGVYTRDFPDTPPIVFDYTADASELNATLKHTFKSTKVRTLKYNETVQMVLQNTRLVSKESHPMHLHGFNFFVLAQDFGNYNETTDPAKFNLVDPQERNTVAVPTGGWAVIRFIADNPGMWFMHCHFDSHLDFGLGMVFEVEDGPTPETKLPPPPSDLPQC >LPERR12G06840.1 pep chromosome:Lperr_V1.4:12:6509317:6513673:1 gene:LPERR12G06840 transcript:LPERR12G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLWSLSLLISTALVSSVARAAVVEHTFNVGNFSISQLCQPPRIITAVNGQLPGPTVYASEGDTVVVHMVNTSPYSMTLHWHGVLQRGTPWADGPAMVTQCPVPPGGNYTYRFTVAGQEGTLWWHAHISFHRATVYGALVIKPRGGVDCYPFSPKPENELLVVLGEWWNANVYDMERMAFLTGIPAPHADAYTINGKPGDFYNCSAPNQTERFEVRQNGTYLLRIINAGMNTPLFFKVARHTLTVVGADACYTKPYTTDVVVVSPGQTVDALMVANAAVGRYYMAASPYDSAIPQGPAFSDTTATAILHYAGTAARRKPILPRRPAVNDTATAHRFLSGMKSLIRPGINPTVPLAVDTRMFVTVGLGVSDCQPDQLLCNRTAPPVFSSSMNNASFVLPKYTSMLEAHYHRASAGVYTRDFPDTPPVVFDYTGDESDNATMQYTGKSTKVKTLRYNATVEMVLQNTRLIAKESHPMHIHGFNFFILALGFGNYDERTAVRRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVFEVLDGPTPETSVPPPPADLPRC >LPERR12G06850.1 pep chromosome:Lperr_V1.4:12:6537716:6541552:1 gene:LPERR12G06850 transcript:LPERR12G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPLAVGDGIRARLKRRQPTRPPPFAPRWPYVSHSCRLSFPFGFVALIVNKSNKEGLNRLKKIGFKQIPILLRPAPAINAKASPLLVPDVAGYQLRLCRGPIKQKLGFFLLLHRGF >LPERR12G06850.2 pep chromosome:Lperr_V1.4:12:6537716:6538678:1 gene:LPERR12G06850 transcript:LPERR12G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPLAVGDGIRARLKRRQPTRPPPFAPRWPYVSHSCRLSFPFGFVALIVNKSNKEGLNRLKKIGFKQIPILLRPAPAINAKASPLLVPDGYTTTGFQIAIAE >LPERR12G06860.1 pep chromosome:Lperr_V1.4:12:6562213:6564024:1 gene:LPERR12G06860 transcript:LPERR12G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAQLLRGTKRLVGPKTASPTCLIVSDFSTTSNLQRLAGKVAVITGAASGIGKATAEEFIKNGAKVILADIQDDLGHSVAASLGPDATYTHCDVTDESQLAAAVDLAVSRHGHLDILHSNAGIAGGLPQDDVTRLDLADFDRVMAVNARPAMAAIKHAARVMAPRGTGCVVCTASSAGVVPVPALATYSVSKSAVIAVVRAMAEPMARRGLRVNAVSPGATRTPMLLRELPRIAAVSQGMSAELTRLVDEDVDSDSVAVMLEPEDIARAAVYLASDDARYVNGHNMVVDAGYSVHKGAEKN >LPERR12G06870.1 pep chromosome:Lperr_V1.4:12:6564163:6565086:-1 gene:LPERR12G06870 transcript:LPERR12G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKREGVYHLGFIDPYVVHSTNVGDKPEETERNILQLLRKQAHKTRIFFPYVFSAWIRFTSKESGEWKVPLRVITNKSIGGIESLKKINTKLSKKY >LPERR12G06880.1 pep chromosome:Lperr_V1.4:12:6575648:6576052:-1 gene:LPERR12G06880 transcript:LPERR12G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASASALLSDGQGASLLSPIYGSALHPARPPSRTPHAFPSPRSDDRLPYHAPAPLTTDIAKSNIAGATAAQSNPVAVGVAGSRSAAAPSSDPLLDLSPIPRPVFSVSPPQSSSTTPPGSSTLLLRSWALACC >LPERR12G06890.1 pep chromosome:Lperr_V1.4:12:6579126:6581323:-1 gene:LPERR12G06890 transcript:LPERR12G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKKQQHQDEGILDSMYHNWGSSGSSSSSSSSSSRPFISSINGVIPDDAFLPVSSHQPPSSGHQPPEEEFLRAAVGRYSAEERRERIEKYRSKRNQRNFEKKITYACRKTLADSRPRVKGRFARNSVGDADCSQSTELAEAMSLPPPMGTTCNVDDDSNMPEWWPAMQEALARQEDEDEELLAAYLGVSSINLYSPRGNSTKDC >LPERR12G06910.1 pep chromosome:Lperr_V1.4:12:6632359:6636463:1 gene:LPERR12G06910 transcript:LPERR12G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGIKYEEEYVTNTRGMKLFACQWSPLKDEPKALVFLCHGYAMECSISMRGTGSRLAKAGFVVHGLDYEGHGKSAGLQGYINSFDDVVVDCSNYFASVCEKAEYIGKRKFLLGESMGGAIVLMLHRKEPAYWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPSGDVIDRAIKSEEWREEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAITDPSVSEELYTLAQSKDKTLKLYPGMCHALTSGEPASNIDIVFSDIIKWLDERVSVS >LPERR12G06920.1 pep chromosome:Lperr_V1.4:12:6651228:6660172:-1 gene:LPERR12G06920 transcript:LPERR12G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHPSPFAGAASLLGGTVVMVRPRLLLLAPARCAVAGAAQTAAVSPVPAKPKAGEGGGVRRPEQRQLAVPAPEKRLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFSLLPMSFPKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTARLLDIHSKMMKLNKKEDVRLGLTRSDYMVDGATDLLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLNPECVVGNTAIAQHAEALAGAWAEFNNQSAVVLVVVQAEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKENVLDRFLDSKADIEKVRKCFAGLWSLENDNIIMSAIESPELFVLKPQREGGASLCYLVREGTCIRENAVSEFGIFGSYLRSKDKVVINDQCGYLMRTKAASLNEAI >LPERR12G06920.2 pep chromosome:Lperr_V1.4:12:6651228:6660172:-1 gene:LPERR12G06920 transcript:LPERR12G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHPSPFAGAASLLGGTVVMVRPRLLLLAPARCAVAGAAQTAAVSPVPAKPKAGEGGGVRRPEQRQLAVPAPEKRLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFSLLPMSFPKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTARLLDIHSKMMKLNKKEDVRLGLTRSDYMVDGATDLLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLNPECVVGNTAIAQHAEALAGAWAEFNNQSAVVLVVVQAEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKENVLDRFLDSKADIEKVRKCFAGLWSLENDNIIMSAIESPELFVLKPQREGGGNNIYGDNLRETLVSLKNDGNNELAAYILMQRIFPPASLCYLVREGTCIRENAVSEFGIFGSYLRSKDKVVINDQCGYLMRTKAASLNEAI >LPERR12G06920.3 pep chromosome:Lperr_V1.4:12:6651369:6660172:-1 gene:LPERR12G06920 transcript:LPERR12G06920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHPSPFAGAASLLGGTVVMVRPRLLLLAPARCAVAGAAQTAAVSPVPAKPKAGEGGGVRRPEQRQLAVPAPEKRLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFSLLPMSFPKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTARLLDIHSKMMKLNKKEDVRLGLTRSDYMVDGATDLLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLNPECVVGNTAIAQHAEALAGAWAEFNNQSAVVLVVVQAEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKENVLDRFLDSKADIEKVRKCFAGLWSLENDNIIMSAIESPELFVLKPQREGGGNNIYGDNLRETLVSLKNDGNNELAAYILMQRIFPPASLCYLVREGTCIRENAVSEFGIFGSYLRSKDKVVINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >LPERR12G06930.1 pep chromosome:Lperr_V1.4:12:6676552:6679477:-1 gene:LPERR12G06930 transcript:LPERR12G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGESTAAMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYFLLGPFLGSNAAGNTILALFSSSAAAAAALYVRCTAADPSDRTHAKKMKRRRQQLARNGRGGGGGGDKLPRLRYGFILWRYALRVLRRAEARVMNRLVRRSYLEQWNSSVQLDPMLPFAFTSLDDVVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMENRLHFRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSILTRIFCRKDELNESTRKLSIRIENEPSNGTKRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSRTTSPMKPLPVETKRGPLNPERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLTEVSRELESHISKQVLCSVVLKGVEDEGSSP >LPERR12G06930.2 pep chromosome:Lperr_V1.4:12:6676552:6679477:-1 gene:LPERR12G06930 transcript:LPERR12G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGESTAAMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYFLLGPFLGSNAAGNTILALFSSSVIFLREISSLLGLFPQFAVADDGIDQAAAAAALYVRCTAADPSDRTHAKKMKRRRQQLARNGRGGGGGGDKLPRLRYGFILWRYALRVLRRAEARVMNRLVRRSYLEQWNSSVQLDPMLPFAFTSLDDVVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMENRLHFRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSILTRIFCRKDELNESTRKLSIRIENEPSNGTKRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSRTTSPMKPLPVETKRGPLNPERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLTEVSRELESHISKQVLCSVVLKGVEDEGSSP >LPERR12G06930.3 pep chromosome:Lperr_V1.4:12:6676552:6679477:-1 gene:LPERR12G06930 transcript:LPERR12G06930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGESTAAMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYFLLGPFLGSNAAGNTILALFSSSVIFLREISSLLGLFPQFAVADDGIDQAAAAAALYVRCTAADPSDRTHAKKMKRRRQQLARNGRGGGGGGDKLPRLRYGFILWRYALRVLRRAEARVMNRLVRRSYLEQWNSSVQLDPMLPFAFTSLDDVVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMENRLHFRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHVVLIRKSTRKLSIRIENEPSNGTKRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSRTTSPMKPLPVETKRGPLNPERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLTEVSRELESHISKQVLCSVVLKGVEDEGSSP >LPERR12G06940.1 pep chromosome:Lperr_V1.4:12:6679907:6697697:-1 gene:LPERR12G06940 transcript:LPERR12G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKSSRVLVVGATGFVGRRIVAASLAEGHPTYVLMRPELGLAVDKVQTLLVFKARGARLLEASLDDHRSLVAAVRQVDVVVSAMSGGDLLQQLKLVEAIKDAGNVKRFIPSEFGLDPAMMGHATESGRIVFDQKMIIRRAIEDANIPHTYVSASLFAFYFVANLGQMRTLIPPKEKVLIYGDGNTKAIFVDEDDAGTYTIKSIEDPRALNKTLHIRPKENFLTQNEVIAKWENLSGKVLEKISITGDEFLASREGTDYFNQAAVGHFYDIFYKGCLTNFEIGENGVEATLLYPEVKLRSKHYKTCDRCVDGFDHHCREKKSSRVLVVGATGFVGRRIVAVSLVEGHPTYVLMRRELGLAVDKVQNLLAFKARGARLLEASLDDHRSLVAAVRQVDVVVSAMAGSDLLQQLKLVEAIKDAGNIEVNYFRVRFFNSQRRFLVPRFIPSEFGMDPAMMEHATEPGRIPFDQKMIIRRAIEDANIPHTYVSASLFAFKFVANLGQMRTLIPPKEKVLIYGDGNTKDEIVASLSLDHLFLSYIAIFVDEDDTGTYTIKSIDDPRALNRRKVLEKISITGDEFLASREGTDDFNQMAVGHFYDIFYKGCLTNFEIGENGVEATLLYPEVHYSRMDEYLKRYLN >LPERR12G06970.1 pep chromosome:Lperr_V1.4:12:6729354:6732440:1 gene:LPERR12G06970 transcript:LPERR12G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSRVLVVGGTGFIGRRVVKASLTEGHPTCVLLRPEIGLDIDKLQILLAFKSQGAKLLEASLDDHQGLVAAIRQVDVVVSAMSGVHFRSHNLMLQLKLVEAIKEAGNVKRFVPSEFGTDPSRMGNALEPGRVSFDEKMVIRRAIEEANIPHTYVSANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKDDVGTYTIKSIDDPRTLNKTIYIRPRENCLTQNELIAKWEKLTGKRLEKFPIPGDEFLASMKDHADATQLYPEVQYTRMDNYLKRYV >LPERR12G06980.1 pep chromosome:Lperr_V1.4:12:6738557:6741323:1 gene:LPERR12G06980 transcript:LPERR12G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDPATCFAYDENDLESEEAVWALYERWFSFYDVKREHDDMVRRFGFFKDKAHKILEFNKSAVHNTAMPS >LPERR12G06990.1 pep chromosome:Lperr_V1.4:12:6754620:6755574:1 gene:LPERR12G06990 transcript:LPERR12G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVVGAGVSGLAAAHELATSGVSVTVYEKDSFLGGSLARTVAVDGGAGGELVNLDLGFMVFNPFV >LPERR12G07000.1 pep chromosome:Lperr_V1.4:12:6760382:6768206:1 gene:LPERR12G07000 transcript:LPERR12G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMSARGAHLHGRRPRGDSMSAARARQQGRRRSCEGRRRHSSIGIRREGTRGGLRWISACRPTAASDGRKHASVIIYPNMTQWFEVLGDNVERSAMSFSIRTQLDAGGACEWSSGNGISGLLAQKSNTLSPSFWRMISEILKFKSDALRYLEDRESNPDLDKSETLGRFIQSHGYSQFFQEVYLLFGHTWLLTVTGRSQSFVNKVREDLESRSCQIKTNCHVKSISSFDRGYRVLEADGSEEIYDRIIVAIHAPDALKLLGAEATHEESRILGAFQYASSDVYLHCDGSFMPHNPSTWSACNIMRTRNRCVVTYWMNLLQNIECTRTFLVTLNPSHVPNYVLLKWNTSHFVPTVAASKAFLELEKIQGKRGIWFCGAYQGYGFHEDGLKAGKAAAQSLLGKQIGPLVNQKQMVLSWTETGARLLVSRFLNQYITIGNLILFEEGGTIFSFGEVCDKCNVKSVLQVHDPLFYWKVATEADLGLADAYVNGCFSFIDKREGLLNLFLILIANRDVRRSSSRSSRRRGWWTPLLLTAGVASAKYFLRHISRKNTVTQTRRNVSQHYDLSNDFFSLFMDKSMTYSSAIFKDEEESLEEAQLRKLNLLIHKAKVRQDHRVLEIGSGWGSLAMEVVKQTGCKYTGVTQSLEQLKYAQRRVKEDGLDDQITFLLCDYRQIPACHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAEDGIFVIQSSLIPEERYDEYRRSSDFIKEYIFPGGCLLSLTRITSAMSAASRLCIEHVENIGPHYYTTLIRWMDNFMANKDNILALGFDEKFIRTWEYYFIYCAAGNIDDYV >LPERR12G07000.2 pep chromosome:Lperr_V1.4:12:6760382:6768206:1 gene:LPERR12G07000 transcript:LPERR12G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMSARGAHLHGRRPRGDSMSAARARQQGRRRSCEGRRRHSSIGIRREGTRGGLRWISACRPTAASDGRKHASVIIYPNMTQWFEVLGDNVERSAMSFSIRTQLDAGGACEWSSGNGISGLLAQKSNTLSPSFWRMISEILKFKSDALRYLEDRESNPDLDKSETLGRFIQSHGYSQFFQEVYLLFGHTWLLTVTGRSQSFVNKVREDLESRSCQIKTNCHVKSISSFDRGYRVLEADGSEEIYDRIIVAIHAPDALKLLGAEATHEESRILGAFQYASSDVYLHCDGSFMPHNPSTWSACNIMRTRNRCVVTYWMNLLQNIECTRTFLVTLNPSHVPNYVLLKWNTSHFVPTVAASKAFLELEKIQGKRGIWFCGAYQGYGFHEDGLKAGKAAAQSLLGKQIGPLVNQKQMVLSWTETGARLLVSRFLNQYITIGNLILFEEGGTIFSFGEVCDKCNVKSVLQVHDPLFYWKVATEADLGLADAYVNGCFSFIDKREGLLNLFLILIANRDVRRSSSRSSRRRGWWTPLLLTAGVASAKYFLRHISRKNTVTQTRRNVSQHYDLDEEESLEEAQLRKLNLLIHKAKVRQDHRVLEIGSGWGSLAMEVVKQTGCKYTGVTQSLEQLKYAQRRVKEDGLDDQITFLLCDYRQIPACHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAEDGIFVIQSSLIPEERYDEYRRSSDFIKEYIFPGGCLLSLTRITSAMSAASRLCIEHVENIGPHYYTTLIRWMDNFMANKDNILALGFDEKFIRTWEYYFIYCAAGNIDDYV >LPERR12G07010.1 pep chromosome:Lperr_V1.4:12:6776723:6780137:1 gene:LPERR12G07010 transcript:LPERR12G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPRSAPRRAAAAVKDAGGLLSPRFRSAAALAGWDEESLLHAALVVEDTPVRESRRKRRNSSSSSSSAAAGAGAGGGSTGSNTRKRRSRRQPPGSIPPVVLLLVDDEKKPDTAPDGKKEAMGEEKKAAVVGEKEASPKKAAAAAGALPCMDRLREELSCAICLEICFEPSTTPCGHSNSRSCTVNTVLWNTIQLLFPTEIEARRTSMASSTETNDDEISQRSNSIAQGGMGSNSSNGSSLNTQRRSTRRFMTVGGRTGFIGQGSRTATATGGRGFVRASQLVPSTTRVASSDDAALAYRLQQEEFMTAFETEGERQQPPRSSNSNSNSSSSTVSAARENLREMASRAIRLRARGWPI >LPERR12G07020.1 pep chromosome:Lperr_V1.4:12:6780854:6786618:1 gene:LPERR12G07020 transcript:LPERR12G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQTDLLVAAAKTGKIINIKKGQFCAPSVMGNSAEKIRLAGNQNVMVYDLIVDPRNFEWLREANCPVVADITHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWVNLYIYLSRKFVNAILSFICCEIHATAQFGGVIGGADCNCCKFHGP >LPERR12G07030.1 pep chromosome:Lperr_V1.4:12:6790091:6791750:-1 gene:LPERR12G07030 transcript:LPERR12G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMATSVSLALVVLSAAYVLAAARRSRPSKTRRLPPSPPGWPVIGHLHLMSSGMPHHVLAGVARSMRAPLFRVRLGSVPAVVISKPDLARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPESPVDLSECFLNLANDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREVCDEIVDEHISGDNRHRIPGDRDEDFVDVLLRVQKSPDLEVPITDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILHKAQSEVRRVVGDKGHVDETDLGELHYMRAIIKETFRLHPAVPLLVPRESVSPCVLGGYDIPAKTRVFINTFAMGRDPEIWENPLDYSPERFEVSGAGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWELPAGVRAEDVSLEETFGLATRKKEALFVAVRKSDKYEFKGEEINEV >LPERR12G07040.1 pep chromosome:Lperr_V1.4:12:6812013:6812264:1 gene:LPERR12G07040 transcript:LPERR12G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILITTILAIAATTTFAQFDVYSQVYGQYQLQQQFFNPCGEFVRQQCSSVAFPFLQSPLWSLRSCRVMQQQCCQHLRLIMA >LPERR12G07050.1 pep chromosome:Lperr_V1.4:12:6814569:6816734:-1 gene:LPERR12G07050 transcript:LPERR12G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAFPLVSHLPIRPRPPPVRPYAASAANPPPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPHGDGDDPRITDYIRLLLREVAATPPVSDDGFPLETVFFGGGTPSLVPPSLVAAVLDALRARFGLSASPEISIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQDDLLRSCGRAHGLREVHEAVGMVSSCEGLQNWSMDLISSLPNQTEEMWEESLRCTVEANPTHMSVYDLQIEQGTKFGQLYTPGVYPLPSETDSANFYKIASKRLCEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGVRFSRPRRMKEYADWVQRLENGAWSHVSGNAGMKDMAMDVVMLSLRTAWGLDLRSFNKSFGRSLTESLCNTFRPFVETGLVIAMDMERRALHPSDLELDLQHEGGIGSRVAFIRLSDPDGFLLSNELISLAFSIISP >LPERR12G07060.1 pep chromosome:Lperr_V1.4:12:6818896:6825250:1 gene:LPERR12G07060 transcript:LPERR12G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVGVLNLLITKLSILIEGKYKLLKGMKKDIIFLRSELSSISVLLEHLSNSEDKLDVQSLQTKEWRNNMLDLAYDIEDCIDLFMHKLSHSDANSSFVRKIGKEIKNLWNKHTITEHIKGGVVEIDPRLLALYKEVDRLVGIDGPRKKTIEWLMNKNRSTELHRKVVSIVGLGGLGKTTLANEVYKTIQGVLSVQLLCPFHVLVDVLKDFRTNGNSSDLEDERQLINRLRGILNDKRGLEIGREDLIWKWIAEGFIVEVKGQTLEQVGENYFNELINRSLIQPIDMMYDGSSHRCRVHDIVLDLIISLSTGQNFVTIQGSCFKKIRRIRFPSDCTKGGIVKEITNNWSHKIRRIRFPSDCTKGGIVKEITNNWSHVRSLIFYHPQADQIPRFGTAMLYGVLFRAAAEGDGDQGRHEGDGEIAGVGANESNLQLGKEAAHPNRYTRAASARSGSPSPLRTRTFCRGSTMRLGESQAGEMVGTPMQAGRTTAEIPRVNPGCVVSNTDTHATDPLHAARSAGGGDWAGAKEAVEMATNLHRLTRLREIGIKCYGICEFGGDVERLQRYKEAFYLTLEELDFIELCIHGEPISNLLKRLVSFYNLAYLDIIITCFDQKVVNLLGDIPKLLNLELFCQRQVDGLTVGRGRFPCLKVLIFSGIGMHSLLFEPLLMPKLQKLYGDLIVWEDLQFEQSLVHLSSLQHLSVNVTCCYANQYKNFVSCSTMMLYYISPYANVPPSSIYPVCRYQPLTK >LPERR12G07070.1 pep chromosome:Lperr_V1.4:12:6828297:6837670:1 gene:LPERR12G07070 transcript:LPERR12G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVRQPSTDAEKTEVGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKPPQAEGNREAVGWLEQQLGRGKRVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKAKKTVEDLTTKLDIINKSKELAIQATEDAKTRTKLLEGGDSLEAVGKEGPLKQELDIAREQYVVALADLDAAKQELRKLKKDFEASLDMRLAAAQQEEESLHLAETNKEKADQLRNEIATIQESLTHVKAATEQAHEEQAQILAEKDVARKTYKQALEETEKKLSSLKNDFDPAVYKSLKEKLYETNSEISSMQKKIEDARAQDLESVATVSTELDDAKEMLQKVAEEESSLRNLVESLKQELETVKQEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALTEAEQKLQSALKEAEEAKAAEAKALDQIKQLSERASAARASTSESGAKITISKEEFQSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEEMELATEEALKRADMAEAAKKAVEGELKRWREKEQKKATEAQPAPEPQAYGTASPPVQKASAGKATEKNEGHQRNSRTLLRKSFMLPNITNMFHKKKNNADGSSPSHLPGEKSV >LPERR12G07070.2 pep chromosome:Lperr_V1.4:12:6829419:6837670:1 gene:LPERR12G07070 transcript:LPERR12G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVRQPSTDAEKTEVGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKPPQAEGNREAVGWLEQQLGRGKRVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKAKKTVEDLTTKLDIINKSKELAIQATEDAKTRTKLLEGGDSLEAVGKEGPLKQELDIAREQYVVALADLDAAKQELRKLKKDFEASLDMRLAAAQQEEESLHLAETNKEKADQLRNEIATIQESLTHVKAATEQAHEEQAQILAEKDVARKTYKQALEETEKKLSSLKNDFDPAVYKSLKEKLYETNSEISSMQKKIEDARAQDLESVATVSTELDDAKEMLQKVAEEESSLRNLVESLKQELETVKQEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALTEAEQKLQSALKEAEEAKAAEAKALDQIKQLSERASAARASTSESGAKITISKEEFQSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEEMELATEEALKRADMAEAAKKAVEGELKRWREKEQKKATEAQPAPEPQAYGTASPPVQKASAGKATEKNEGHQRNSRTLLRKSFMLPNITNMFHKKKNNADGSSPSHLPGEKSV >LPERR12G07080.1 pep chromosome:Lperr_V1.4:12:6834846:6837671:-1 gene:LPERR12G07080 transcript:LPERR12G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSLASSSHLSRRAAAAASPAPPHHHQLLRCRWAGRRAQGVCSVVSPSKPGVAAVDVPAAAIPGVMVAERTSVSSLLEVVADDLLKLNNNLKSIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQMYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAMCEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSDTDSLATAIELVHRSGGIKRAHELAREKGDLAIQNLQCLPRSEFRSTLENMVKYNLERID >LPERR12G07080.2 pep chromosome:Lperr_V1.4:12:6834846:6837671:-1 gene:LPERR12G07080 transcript:LPERR12G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSLASSSHLSRRAAAAASPAPPHHHQLLRCRWAGRRAQGVCSVVSPSKPGVAAVDVPAAAIPGVMVAERTSVSSLLEVVADDLLKLNNNLKSETIHQMYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAMCEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSDTDSLATAIELVHRSGGIKRAHELAREKGDLAIQNLQCLPRSEFRSTLENMVKYNLERID >LPERR12G07090.1 pep chromosome:Lperr_V1.4:12:6840975:6844531:1 gene:LPERR12G07090 transcript:LPERR12G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTAAAAKVPLNSGGCLPTSKVLPLSLCSPVSSVAASPVDGDLTALFPHRRRASPGLKGFDDDFCIGDLYDDSGGLPHTCLWWRRLLGGRRRKSDGVAGRGDAWGQRFAGAHGPRDAGIARGVRWDGDAGHQRGGWCQRVEVVRRPAGVGGRDGSAGRDGKEDDWRWATAAGPGRRRGHEDAPPPTSGVEGVADAAQFGPTNNTFNTCTIVISWG >LPERR12G07100.1 pep chromosome:Lperr_V1.4:12:6844787:6859677:-1 gene:LPERR12G07100 transcript:LPERR12G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIVTVSTGAMSSLLPKLTALIEEENKLLKGVKDGINFLKDELSSMNTLLVKLANNEEKLDDQMKDWRNKEVSDRQRRYEFDAVNFGKVDIDPRLPVLFVEAERLVGIDGPREKIIEWLKKEDSEPQLKVVSIVGFGGLGKTTLANQVHYKIKGQFNCSCFVPVSRNPNIAKILADMLKELGSSVDTSDDERQLIYKLRAFLQDKRYLIIVDDIWSTKAWEFVKSALPENNLCSRIIATTRDTNVATSCCYSLAGHIHNIQPLSEQDSRELFFKRVFRDVSACPPYLEEVSCGIIRKCHGLPLAIISIASLLVGKSNMVEQWEEVYNSIGSAFTQQGMMDILLLSYYDLSHHLKTCLLYLSMFPEDYRIEREVLIWRWIAEGFISEVKGQTLDQVAENYFNDLVNRSMIQPIDIQYDGRASACRVHDMMLDLIVSLSKEENFTTLMEGEGYKCGNKIRRLSVQSKCLGDKAMQGIMGKCSHLRSLNYYGVQEVGAHLQTLKCLRVLVFENCNGIGTQHIKHLESFFRLAYLSIRSNSITELPEQIGDLQYLQTLDIRHSGIKKLPPTIGRLQNLVRLLIGTDVELPSEIRDLQALRELSDAGKYDSINFVQELRRLTKLSVLRIMLHDSFKIGDHNTESIKQEELLVLGAIPSLQYIKLNLQHAPDERLTISSPQFRCLKEFEFGNYYHGGGLEMLCLQGAMPDLRRLRFYIKATETESKMGFEFRFEHLASLQQLSVAIDCRGATKRRVEDAEAAIRNAASIHPGCLTLEISRRWESNMIKDKDDQDEIVQSGFGLGRASA >LPERR12G07110.1 pep chromosome:Lperr_V1.4:12:6867830:6868630:1 gene:LPERR12G07110 transcript:LPERR12G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETSKPVLTLTQPMNPMPNSIPTQPQQHGSTSTRIQSPKITPTERPRSLVLKTTNNLEAFQLYGKLIYEPIESFDRFTRRVLQALEQVQPVSDQLALSIFYHGLTNRDMIRMWYRFKPKSVKDLMKLANIHEAPVIQHSEPREEDSLITSMHKNLQESADLGNHERKEACKQRGEQAKRQQPSAPSEGHQSPPRKKAWQQKQKAPQPVLTPNQELAQLLQGVEEPFHVYLRHFNAIMQHESAVTNNQSIDAFFKGYRDLEFKED >LPERR12G07120.1 pep chromosome:Lperr_V1.4:12:6881986:6885584:-1 gene:LPERR12G07120 transcript:LPERR12G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVSVSTGALSTLLPKLSLLIQGEYKLLKGVKRGIIFLKDELSSMHTLLVKLANNEEKLDEQVKDWRNKVRELSYDIEDCIDLFMHKLGSCNAKASLVRKTEDKIRKLWSRHKIGKLIEELKARVMEESDRRLRYNFDEAADKFVHVPIDPRLPALYVEAEKLVGIEGPREKIIEWMEKDESQKLKVVSIVGFGGLGKTTLANQVYHKIKGQFDCFSFVPVSQNPNITKILADMLKELGNSVDTTDDQRQLIYKLRTFLEHKRYLVIVDDIWSTQAWELLKCALPENNLCSRIISTTRNANIATSCCSSLAGYVHNIQPLSEQDSRKLFFKRIFGDMSACPPYLEQVSHGIISKCHGSPLAIISIASLLAGKPKLKEQWEQVYSSIGYSFSQQGIRDILLLSYYDLSIHLKTCLLYLSVFPEDYKIGKEELIWRWIAEGFIHEVKGQTLYQVADNYFNELVNRSMIQPIGIKYDGKAHGCRLHDMVLELIVSLSTRDNFTTIVEGQQYKSSSNKIRRLSIHSNCVGDEVMQEIMRNCSQVRSISFYGLQDQGTCLLPNLNSLRVLAFENCRHLGYENIKYLGRFFQLTYLSITSDGITELPEQIGDLQNLLTLDIRGSRRVNKLPSRIGCLKNLVRLLVRSNVQLPNEIGDLESLQQLSHAGNYDPIKFVEQLKLLANLRVIDIELHGSINLDDHIKRRYEENLKSSLIVMGKQGLQSLRITYQYNMVVSGEKLMDFICYIPCLKKLVIRNWRISRLSKQIVLLVNLSHLDIGISCIKQEDLCILGAIPSLLFVRLFV >LPERR12G07130.1 pep chromosome:Lperr_V1.4:12:6897160:6903372:-1 gene:LPERR12G07130 transcript:LPERR12G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGQSRAHRLGHIDGNWPEVLLINDYAVFMGYLSMAVTGTGFLVLTWSTVVLLGGFVSMLSNKDFWSLTVITLVQTRIFDIFLNGKISHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVVVFTIVLCPLFLLYMFGLFVSPWISLCRLIWQDYGKTAGDSSKAHLRPALLVLYSLALFQGVLFYYRAISGWEEHKLVKDVADKYGFDTVSSVSILDYLHEIKVGCEKDPSFARGRNLITYAAQLMESTSPDRYLSGARILDTLIQFNWGPSGCEFPGQSMLIHNTIGSASSGSILHNLVQMLDSRNPYDREIRLHAAMIVVHFASDIRLDKIQQGIQCISSLLDARPFYQQDKPLEYGHHISVKEERSVKEEDLHKQLQLAGMQIIFKLSDDESNLRVMSNTYDLALKIVALTNNLKLHDQNHGEWYCQIAEPGVKLLGLFMSVNTRSNNILRHEILTSRDAVNTLENILGCDQCDDVLKKPAIRVLTQICMDISSVMGDESRERFIHFLTNMFLHKSKGPLFEELAGEELAQLSLRSESGSAIILKIYGSTVVDCVAETRSGVHRRKIAADILKHLFRNYSTDDEHFQNLKEAMIDLMPKEYELMSQVLIEVLNWELTRKKIHRVPPQSNVTSTPTPALDSDSDSDHIKFHSDSDSDSGCLTEKIKLDTDVQVTLSQSRNLAPIYIQPTTEGSWYSHHQYPYYGMHHPGQDYYISPPGTGLDATQHDDRTLKEALASLCATVYRKMVITDAELTDRFDNIAADICYQAAKPCMTFAGLIKEAEKVAETMLRPPYYPPPPPMIIMDEPSECCIC >LPERR12G07140.1 pep chromosome:Lperr_V1.4:12:6913163:6916712:1 gene:LPERR12G07140 transcript:LPERR12G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETDFSPPPPPPPPPPPPEPEILAPDHHQSWKTEMMSALGESVSFGRYLGEPLEWGKWSAFASNRYLEEAAHHSRPGSVAQKKAFFEAHYARRKRKGDHHDGEGAADDEEDAGGDFDAEEQAARSSSSCMTDEAAIAAAAAEETGCCVGGGVVDDAVEEVEAIADGVGSSCRVDDVQIGESRDVLQAQEKQEAASPPDTCVGNSVAVDASDKQPLKEICIVNQGSAESVKRRRLPSLLQKPDKFSSPPSGNKGSASSAKRRSRLHSAKENSSPPNDESNQQPTSSVLQNRSFLDAFQKSNNSARRETGNAASSSKTLGSTIAARISQLESASEPVKHGHSVLSQVKPPKEALLKDVMENASRTSQLEEQRPSHVTRIKEKLFGFTSQAVHQKANTRKEKGKSQNESFKPRPLPNFYRKNKQAKDLNHQVKGTSTILNIERNKDRPTSLYR >LPERR12G07150.1 pep chromosome:Lperr_V1.4:12:6917847:6928082:1 gene:LPERR12G07150 transcript:LPERR12G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKPLLLAILCCICCAIATARELGDTAMAARHERWMAQYSRVYKDAAEKARRFEVFKANVAFIESFNAAGSQKYWLGVNQFADLTSEEFKAAMTNNKGFRYNGMKATLGFKYENVSADALPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDAHGNDHGCEGGEMDGAFQFIINNGGLTTEANYAYTAEDGQCKTTAAANNAASIKGYEDVPANDESALMKAVAGQPVSVAVDASNFQFYQGGVMTGSCGTALDHGVTVIGYGMASDGTKYWLIKNSWGTTWGESGYLRMEKDIDDKRGMLTVQMKGVGEVQRAATALGMPGNTTNAMATRVRLDLAIKAPNKRLNEVFLAKAGAHRIGRNKANGSRSGLRKKASVLHPWRRGMSGGWYSRVYKDAAEKARRFEVFKANVAFIESFNTAGSQKYWLGINQFADLTSEEFKATMTNNKGFSYSGMKATTGFKYENVSADDLPASVDWRTKGAVTPIKDQGKCGR >LPERR12G07150.2 pep chromosome:Lperr_V1.4:12:6928084:6932437:1 gene:LPERR12G07150 transcript:LPERR12G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFQFIINNGGLTTEANYAYMAENGQCKTTAAANNAASIKGYEDVPTNDESSLMKAVAGQPVSVAVDASNFQFYQGGVMSGSCGTDLDHGVTVIGYGTASDGTKYWLIKNSWGTTWGESRYLRMEKDIDDNRGSACLCKNSSKGKVRGIICAFFKRR >LPERR12G07160.1 pep chromosome:Lperr_V1.4:12:6930771:6934025:-1 gene:LPERR12G07160 transcript:LPERR12G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDIWTINLECDGAAEGQKHVQIEMDRDEICFYNLIDLIEQYGYLPIDYLYYKRKDSLVLIEQDPEVMKMLNECESKRMGSLFVTKERLATLVPTKSNKVPNLNQKKTKGSRGTKKKKALNLMHTEALYANEVEQLNDENQNTSGDGDEVPNKRKGAVLTHVWDLPKGDRILVRCNKHGQPIGEEGGLLGQFLGTIARNGGYCPVGAKDWRKVKKDNGETIIQFVQTKFLYPRSCEKWIFKSIGRDWRKYKATLKNTIYPKKKRSVLNKRCPDDIAEDEWKALIRNWKSAEGKVQLENLLDTQPESENNSEGGVAWEGYALHQVLGQEKAGQVHGMGLLPVPKQVYGRQTHHFKDINIVSVDGSSSDVEIHMLEEIRQLKEHSRMQDKVIEELKNSQRHSENQEAIMRVQGVAPNHNDGFLKHSDELNKETYESEFSDNDNLLLSTSIIRKKQKGHHGVPIQTTTIAHQEVSHDKSTRNKRQTHKDLMKVGSTVLLMTSKYPNKANVAYATLLSTDPEVIVGGVKIESQFYKVRIEHPITKDESLVRPMSGCNNIGDAHAKGVSIAWPVMFVQMING >LPERR12G07170.1 pep chromosome:Lperr_V1.4:12:6951995:6954817:-1 gene:LPERR12G07170 transcript:LPERR12G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCLLLSLFSPATATVPPTASTSSNRSCISDERAALLSIKESFLDPYNRLESWQGEDCCSWWGVRCSNKTGHVIKLRLQGSTDDCLNFYGDKLGGEISYSLVSLQKLRYLDLSCNNFNWSRIPVFLGSLPSLRYLNLSYGFFYGSIPPQLGNLTNLVYLDLKPWPYNSKPCQYLYSVGLSWLSHIPSLKYLDLTCVNLRSAVHWVNEINMLPVLKVLNLKECRLVNSARSLHHSNLTALEKLDISENIFSTRIAPNWFWNVTSLTFLNIAYCSFYGPIPDEIGRITSLEQISLEQNNLNSTMIPSSFKNLCNLKVLDLVSTNTAGDIRELMKRLPNCPSNKLQELGLSANSISGTLPNWSEPLANLTILLFSNNYLTGTIPSSIWALTKLNYLDLRNNMLNGTVTEHHLGNLNNLVYLGLGNNTHLQIKISSNWIPPFKLQIVLLNSLQLGPEFPAWLRSQRSIQHLQISNASISATIPDWFWTVFSRAEFLGLAFNQISGTLPTTLEFMAARTMFLSYNRFTGTVPKFPRNLTSMHMSDNSLSGTLPSDFGAPMLEMLVLHNNSISGTIPSSLCSLKQLYILDLSGNMLTGEVPTCQEDLNPPARALAVINFNSNKLSGEFPLVLQSCPQLVFLDLSYNQFSGSLPLWIGEKYLPYLSLLRLRSNMFSGHIPMELTKIGQLQFLDLAQNKFFGTCVQGAFYDTIISYQPVSVQTKGQQLEFSVQISRVVNLDLSSNNFTGAIPQDIGALVALKSLNFSWNFLNGEIPETIGQLKQLESLDLSHNELSGEIPSSLQALNTLGTMNLSYNDLSGRIPTGNQFGSYDSSSYIGNIGLCGRPLTRDCFRNTSSEDLPGNHGDLEYKSLCLSMAIGFVFSLWVVLCLLLFKTNWRKSYFMFVDRLQKKICVNVKIR >LPERR12G07180.1 pep chromosome:Lperr_V1.4:12:6962172:6963843:-1 gene:LPERR12G07180 transcript:LPERR12G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPAFVSPAMASAAAQKQKQMVPCMYIFGDSLVDSGNNNNIMSLARANYRPYGVDFAGGAPPGRFTNGLTVVDFLADMLGFRPPLIPAYAMAQPGDFARGLNFASGAAGIRAETGNNLGRHYPLSEQVEHFQAALRQMGPNATTPERLGRCIFYVGMGSNDYLNNYFMPNYYTTSQSYDPAAYAAGLLSMVKKFNRGGGGKMAGAKFVYLDTVRTGRWLAANAGGNGFTVLDRGCCGVGRNNGQITCLPMQQPCDDRSKYVFWDAFHPTEAANRIYAARQFNSSSASGDAYPMSISQLAAL >LPERR12G07190.1 pep chromosome:Lperr_V1.4:12:6971263:6973131:-1 gene:LPERR12G07190 transcript:LPERR12G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLWFWKGLLERFQHPSACLSIGFRHIIITSSIFHTEYRLKSAYEDSSMMTIVVESASQLSMTLSCKR >LPERR12G07200.1 pep chromosome:Lperr_V1.4:12:6973861:6974498:-1 gene:LPERR12G07200 transcript:LPERR12G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMASSATTVAPFQGLKSTAGMPISRRNTNTSFNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGCEESGGN >LPERR12G07210.1 pep chromosome:Lperr_V1.4:12:6981333:6981611:-1 gene:LPERR12G07210 transcript:LPERR12G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFEVRRGLRPSLPADLVETFKMDVWYDSTVKRIKETVKQNKGIDVHNQRLFFGGVELQDNRNTEYYSILENSTVIILVPLNFQYGGGAA >LPERR12G07220.1 pep chromosome:Lperr_V1.4:12:6996242:6996969:-1 gene:LPERR12G07220 transcript:LPERR12G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIPGSAEHITRFDTGIGLAFDPVDNGKENTIIKFDIVKAVGCRTSQDNGTKFCFAIFSSYTGRWTMSSTTLSMGTKIIFVNKKVAYGSGIMYWDYDQLVLWFDIATAVAGLQPERLTERRLIRPIGVKDGRFVYIGVRHKLKTNDRILCYNMVTGKT >LPERR12G07230.1 pep chromosome:Lperr_V1.4:12:7012024:7013352:1 gene:LPERR12G07230 transcript:LPERR12G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDFDIYLEFVSTLYSTTSRSEYFMWEDAIVELYWIGELPLSQLVNLAKKTFSCAVSFWLRALLGELGNGDDYFISWYEMKEVLRHRFAPALESKQHILSSGGCFSFHASEISGSKEFEKNASPKNPIEIVSASDTIATTSIVETALITTIAMVVDPSIDSFIEPSLDVNMASGLSLMSHEVHRDGTISAMKEQRSNIFQSECKIQDKVCKLIIDGGSFTNAISLDMVHALSLSTWRLPTPCYMQWINQSGTLKITHNARVKFSVGDYVNSVVCVVAPLTACHLLLGRPWQFDLDATHGGRSNTYSFVHKGIEHVLKPMKENDIKAGVFPTVKRKREVSKTTPKPRMALLQGEENDVTRITPNLKANSPVDFRQTINAFVVLFGSSPIHVNQSHMVDIKGLIIGCKREKKK >LPERR12G07240.1 pep chromosome:Lperr_V1.4:12:7017012:7018366:-1 gene:LPERR12G07240 transcript:LPERR12G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNAAAAVRLPPAAIRRTSLAAAPCCSPFRRSMAPSRQRLVVVSAQSNFSRVVQTAWKAGRDAVEAGTTLIPGSVPRPVARIGVTFVALSVALFLLKSVVSTAFFVLAMMGLIYLAFLAMNPKEGSRVDERANSSEDPVEEARRIMEKYK >LPERR12G07250.1 pep chromosome:Lperr_V1.4:12:7019096:7019683:-1 gene:LPERR12G07250 transcript:LPERR12G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFETASGRRFTVEIWYFSTVRRIKEYVLRQVGFPVESQRLFFAGAELDDDDLDTEHYSILHGSTLRLLLPDDKTTPPTAAVEDVRSPPVTSSPGAAAVSRRPRSPPRVTVKVKWGAKAAELAVSEMMVVKDLRAELAGGDATAAQLPLPNDGGYFFIYKQNVMEEDRTLRWHDVKDGDTIEIFNGRVTGGGG >LPERR12G07260.1 pep chromosome:Lperr_V1.4:12:7050013:7050399:-1 gene:LPERR12G07260 transcript:LPERR12G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKVAGGVLFLLIACFAAVPLLPAAFANDVQPNGKKVHPLDPPPPPSTKDCTHAQKVEILHECGGYIKEHGPITIPMNDSPCCNVVRNVPNHGMVCIYNLLTTSEKNMYNPRRFKFSLRNLCGLQC >LPERR12G07270.1 pep chromosome:Lperr_V1.4:12:7071788:7073047:1 gene:LPERR12G07270 transcript:LPERR12G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFKVISKTFNDILTSNAMLPAMQARLCPPCPALIHMDVPDRHYNAYTRQIEAIDVLSSTPDIVGIPSGFDFLGCCLENGMLSLLASTNGLICILYTPVKRTSQLQVPTLFIANPATQMAQPIPGSAEHITRFDTGIGLAFDPVDYGKENTISKFKIVKAVGSRISQDNGTKFCFAIFSSDTGRWTMSSRTLSTGTKINLVNKKVAYGSGIMYWDYQQFVLWFDTATDVASIIQMPWILLGTKVKGPVRHDIDTSSNGTLISTAVHKDGLAVYHLVGVNNHFYWVLKHERRWIDIMKDNIATFQFCHSMQLRSGLQPERLTERRLIRPIGVEDGRFVYIGVRQEWKTKDRILCYNMDTGKTYDTGKELGNRYSMKPFYVYRNSMADIPPIFMPSQGGICEGIAGGCICAMYKMLFEV >LPERR12G07280.1 pep chromosome:Lperr_V1.4:12:7081902:7082228:1 gene:LPERR12G07280 transcript:LPERR12G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPLTKTKNAEVNVHKVEVEPEVTFQELCAKMISMLNNMLVTCCDIKVDSTTSMDLSGVVPTFNANTKSVPIASEVSNETSSTNWVDTSKLCMRTPAKCLTKGYE >LPERR12G07290.1 pep chromosome:Lperr_V1.4:12:7089550:7092597:-1 gene:LPERR12G07290 transcript:LPERR12G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSFSSREHYPMATSQPHNRQTPAAASSSTSRCDADGFEWPSAAMDRSAKHKVLGGCSTDCSRGFLLLCLAWRVADGVLDWRDDGCGEGSDDGEEGEEEDGVLSAFVCPITKEVMRDPVVVVETGHAYEREAIDWWFKECAATGAPPRCPITMEVVGGGAAEVVTLRLAIEEWNDRRERAELRMACRWLTKDASEKEAVRALERVMRGWEEARVGRRVVRRDGIVPMVAAMIRSGSARVRLKALEALLEFAREGDEYRESVSDDDTIRTIVKFIDCEDCQERELSVSVLCELSQSEMVCEKISELNGAILILGKVAASSKAQNPALAEEAEMTLENLEKCEKNVLQMAENGRIEPLLNLLIEGSAEKQLRIASSLEKIILSNDLKKLVAQRVGLLFADVVENGTLQAKEVALKVLDHISTDVESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACDIDFGAVPLDGHRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVLLSSSTTTVLSIMSAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLISIVIHNDANADEQDAALQILANLPRRDRRLTMELMEQGAFKYIARKVLSICRRGTANNTFDNTMLEGFVKILARITYLLREEPHCVALAREYNLASLFTSLLRLNGLDGVLVLSAKALVNLSVESRYMTGTPNFDDHEQKSVLTWFGKKQSGIQVCRVHSGICSIRNNFCILEGKAVERLVVCLSHHNKKVAEASLAALCTLLGDGVEIAEGVLVLYTANAIEPIFGILKGNPTGSLQQRVTWAVERILRAEDIAKAASSDRALSSALVHAFQNGDSRTQRIAEAALKHIDKLPTFSRIIDKHPSRRGSSIGSMERYFSSNR >LPERR12G07300.1 pep chromosome:Lperr_V1.4:12:7108329:7108517:-1 gene:LPERR12G07300 transcript:LPERR12G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRSLQRRGSAIVEAEAATTTWMDGGDGERRVSVEAEATARWIGDPSLGNDDVQRRRQRG >LPERR12G07310.1 pep chromosome:Lperr_V1.4:12:7124104:7127861:-1 gene:LPERR12G07310 transcript:LPERR12G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTTESGATFEREAILKYFKECGSGGRRLVCPVTQKELSSTELNPSIALRNTIDEWMHRNETAKLEVARKSLTSECSEGDILQALEYVSEICQRSRSSRHVVRKVGLISMITDLLKNSSAKVRQKALESLRFVAKDDNDNKNEIAAGDNIRTIVKFLNYGHVQQKERAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENQLTVDKAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMASHLGELVLSNDVKVLVAQTAGSTLVDIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESIPLDHNCQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSSTTVQSIVDAIKSSGATVSLIQFVEAPQREVRMASIKLLNNISPFMGQELADAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPQDSVLTRRLLQDGAFSIIITKVIMIRQGEIRGGRFVNPFLEGLVRVVSRITYILDDDPDIIAVAREYNLTTLFTDLLQMNGLDTVQIVSASALENLSHQSKLLTKIVPAPNPGLCFSIFPCLSQKSMATGACKVHVGICSAKESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVTVLCDAEGINPILDVLCENRSEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAP >LPERR12G07320.1 pep chromosome:Lperr_V1.4:12:7132514:7140941:1 gene:LPERR12G07320 transcript:LPERR12G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLDSGLLLDALAGLVSRLVPTLSTRHPSIISSPSFFLCRRRSPISGVLRCFPSAARILSPPPPTPPPRLLARRAAPRGRLRRFPSIHPSARSSCCCCCFRLRSAIMSTARAPHENGAGGHRGDEDPGEEEEEEEEGVGDEGEAVEEEPRLKYQRLGGSVPAILSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIVAHTATINDISFDADGEYIGSCSDDGTIVISSLFTDEKLKFEYHRPMKAIALDPGYYQNYKRFATGGLAGQVLILTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDVGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDNVLVIGWGTSVKIAEIRTDSSQGLNGIQRSITASSAKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDKENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKANDYALAHAPFSGNSNAGGQWAAGDEPLYYIVSPKDIMLKIIFLGFFNMVGMKRRWLQLKQDKDELSFLMRKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWSPTLYSASPVISAIEPQLNSSSMTDPLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHNAVHDKVVNLMTLDIKRTVNLLIQHRDTIPPNEVVGQLLHTSKSCDKKHLLHLYLHALFETDMNAGKDFHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKEFVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMVSMDEEVTGTRVDEGSSRVNERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTHNSDEGSDNDDGTPSGESRMRCVLCTTAAA >LPERR12G07320.2 pep chromosome:Lperr_V1.4:12:7132556:7140941:1 gene:LPERR12G07320 transcript:LPERR12G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARAPHENGAGGHRGDEDPGEEEEEEEEGVGDEGEAVEEEPRLKYQRLGGSVPAILSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIVAHTATINDISFDADGEYIGSCSDDGTIVISSLFTDEKLKFEYHRPMKAIALDPGYYQNYKRFATGGLAGQVLILTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDVGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDNVLVIGWGTSVKIAEIRTDSSQGLNGIQRSITASSAKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDKENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKANDYALAHAPFSGNSNAGGQWAAGDEPLYYIVSPKDIMLKIIFLGFFNMVGMKRRWLQLKQDKDELSFLMRKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWSPTLYSASPVISAIEPQLNSSSMTDPLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHNAVHDKVVNLMTLDIKRTVNLLIQHRDTIPPNEVVGQLLHTSKSCDKKHLLHLYLHALFETDMNAGKDFHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKEFVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMVSMDEEVTGTRVDEGSSRVNERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTHNSDEGSDNDDGTPSGESRMRCVLCTTAAA >LPERR12G07360.1 pep chromosome:Lperr_V1.4:12:7162381:7168479:-1 gene:LPERR12G07360 transcript:LPERR12G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVFLNFRRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHEKYGDKEMIPVAANDVAFSLHAVALTAFTLFQVFIYERGNQKVSKVCISISAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDVVFIIQHYVLYPVKRDENDNDYPILPIEVKHVEAV >LPERR12G07360.2 pep chromosome:Lperr_V1.4:12:7162401:7168479:-1 gene:LPERR12G07360 transcript:LPERR12G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVFLNFRRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHEKYGDKEMIPVAANDVAFSLHAVALTAFTLFQVFIYERGNQKVSKVCISISAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDVVFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDNTMTIQFSQLR >LPERR12G07370.1 pep chromosome:Lperr_V1.4:12:7174892:7182643:-1 gene:LPERR12G07370 transcript:LPERR12G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPLPESATSPIGSVSPSSPRSPSAAAAAAAAAEDVVEEQLAGLAIADQEEGEEEEELGLPKPTGWEDGGGEEKLLVRPGGEVAAVVEGGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGSSNSSSNKASSPDDEQAPKEEYGSYVPNISADVDSLGFADKGSTSNSENFKKTSYEIIDVKKGRVEPKELKVAKEKRKDFFSEGGSQEECKYYSTPGGCKFGKSCKYLHRDGKDGKSEAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLERENGDTPQQDVQGSSSQPNAPIWPDQKTVNEHHVPFLAPSPSYSAGMLPPQGMYHPPEWNGYHQVPLNPYYPPAVPFQHFPAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSQVPPSAGALSPLGLPIKPDQPVCTYYGRYGVCKYGPACAYNHPFNFGPVPAAGPPLLPAQYPSPGNYTL >LPERR12G07370.2 pep chromosome:Lperr_V1.4:12:7174892:7182643:-1 gene:LPERR12G07370 transcript:LPERR12G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPLPESATSPIGSVSPSSPRSPSAAAAAAAAAEDVVEEQLAGLAIADQEEGEEEEELGLPKPTGWEDGGGEEKLLVRPGGEVAAVVEGGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGSSNSSSNKASSPDDEQGFADKGSTSNSENFKKTSYEIIDVKKGRVEPKELKVAKEKRKDFFSEGGSQEECKYYSTPGGCKFGKSCKYLHRDGKDGKSEAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLERENGDTPQQDVQGSSSQPNAPIWPDQKTVNEHHVPFLAPSPSYSAGMLPPQGMYHPPEWNGYHQVPLNPYYPPAVPFQHFPAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSQVPPSAGALSPLGLPIKPDQPVCTYYGRYGVCKYGPACAYNHPFNFGPVPAAGPPLLPAQYPSPGNYTL >LPERR12G07380.1 pep chromosome:Lperr_V1.4:12:7190530:7195365:-1 gene:LPERR12G07380 transcript:LPERR12G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVTHLHRLSHRPFLPTPPPPPTAVATTLPFLLSRRRLLSDDAAPPPPPAAPAAPPPATDVPNKELKRRLEAYYEVDDEKELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVHDRDFESDFEELHETNEEIDNLYNARQYVEKKIKSDEFFYMDDVKWDEMIKEAVDNGLPSNMKECEDILEDMLHWDKLLPDEIKNKVEAKFNELGDMCEKGDLEPEQAYELFKEFEDKMVSECTELMETENPTEIDELSETKNKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLAEDARNAYVKDRLKANAQFMERLKMKTQKLRVAA >LPERR12G07390.1 pep chromosome:Lperr_V1.4:12:7197586:7206179:1 gene:LPERR12G07390 transcript:LPERR12G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKRKPQKDDSAAELASPPLKAPRSEAATESPAPAAALAGAAESVVCVHDVSYPEGYDPSTAPASSHLPNGGGGSSDGAGPAKTFPFLLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKALNALVPVSDNAKKKENGKWQKGLLTGKPSEESDIFKMVKMIIQRQYDPVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQIRCEEGDPEKLLRHSFYQFQADRALPDLEKQVRELELEKNSMVIDEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARIQYSTDEQASFSIDENLTWGVTINFEKLKAHGEDRRPEDSDYTVDVLTRCTVSKDNTGKKTMKIVSLKDRGEPVVVSLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVEEVISRFAKDGIPLLDPEEDMKVQSSSFRKATRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSAKIKAIKKTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACELSTADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVASLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >LPERR12G07400.1 pep chromosome:Lperr_V1.4:12:7207116:7216711:-1 gene:LPERR12G07400 transcript:LPERR12G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFEPPRHASPPKNATSLQIEALFWAACASKPFSVEYGNDMPGSGFASPEELGQKLGANANDVGETEWNMRVAPRARGSLLRAMGRDVAGVTSPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKPKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPNNFHFVRSSRLRDKNKNEGDIMVKENFVGSVTENNNLLSVLLDKNSCIIVPKIAFPISSFRMALESEVTAKQKFTAGPSSISQQGAENMAVDHVSVDKASEIQDMSGSIYACDTSFVACSSRKLYETKYGKKDAASLCLSTSEIQSRGIDNARLHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSANHGEIGASDDTSNWINRHHEIGPPPGIASETEDNVKHTTSLAHVSDQCEQLYGNKTDGGTSALGLLASAYDSSDSDEETAEDVSKHREKNDSVNENTNTRILETSSSCSSMVQCQKKNSHLHGKCEARDTSLMEPVNHNGRTISQCSRDTDFGHFIELGKSGTHCSGYLDLVDDLTTSVLKPSSDTYVSADKASMDPDVLTMLKYNKESCRMHVFCLEHALETWTQLQKIGGANIMLLCHPEYPRAESAAKVIAEELGVKHDWKDVNFKEATEEDIRKIQLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGGQKSGSAKKKVAGWWCGKVWMSNQVHPLLARQREEQNGSTGYSKITFAAISHAKVQDEPSTRCNTLINRSLSKRTSRRKGMESAEKSKEKKKKTTASNEASIHYNGPGINSEVISDQLENSDDHDKYDKRDEIEEETNPQIYQQHKLQNVTRKSVSRKRKDEKRKDGFHELYGKDNDVDYLVNNTNMGSGDDATLGNSDNTQQQSLDPVKVKSGGKLQGNKRKSSKCKSSDDLLNEDNKLQKMNKKSSSKKQKNDKINRQFQGQNEDDHLDHLLDVEIRDVMPENEDKITEDKIEDAEVKSRGKLQSGKSKTSKRQARDSLRTGNNKLAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCMWKGCKKAFKWPWARTEHMRVHTGVRPYECKEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >LPERR12G07410.1 pep chromosome:Lperr_V1.4:12:7238835:7241025:1 gene:LPERR12G07410 transcript:LPERR12G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNQQAKALPIVPCIYLHGSPDLTSSVVVHKIGFYTSLLLPMVLYVSFIFKGTCYFPSDYHLHSNSIYTKGLTNPWFS >LPERR12G07420.1 pep chromosome:Lperr_V1.4:12:7241036:7241383:1 gene:LPERR12G07420 transcript:LPERR12G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGIGIMFDQVNVGQVNKINKFKIVKALVTRTIKVDKTKFCFATFSSNTSYWAMSGATCYQNKKLIYGGGIMYWDNGEVVLLFDVAYAEVGSPKCDEFY >LPERR12G07430.1 pep chromosome:Lperr_V1.4:12:7245912:7253918:-1 gene:LPERR12G07430 transcript:LPERR12G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPAKKRPAAADSLGGDSPAPAARREEEPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRIPAAGSKGAPKNPSELKDDTSSSREEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEADGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRHLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFIVSEKLKDRITSCEIHGRGIELEGFYGSDHCPVSLELSEETEVSEPNISN >LPERR12G07440.1 pep chromosome:Lperr_V1.4:12:7278184:7278975:-1 gene:LPERR12G07440 transcript:LPERR12G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLANIHGTPGIQHSEPRKEDNLITSMRKNLHESTDLENRERREAYQQRREQDKHQQPSAPSEGHQSPLHKKIWQQKQKAPQPALTPNQELAQLLQGVEEPFHVYLRRFNAIMEDKPAVTNNQAMDAFFKGCRYLEFKEDWYKKPPASLEAMLFRANLYAYRYQWSGMRDPSDNDLDSENSVPYGEGEECQVAFLNESIQFDDHEQSSSGSFSPLQEIFMAEVTEVSLTVEQLAQRAADIKRQAHEIEQAQRQLEEARAEDEG >LPERR12G07450.1 pep chromosome:Lperr_V1.4:12:7278991:7279548:-1 gene:LPERR12G07450 transcript:LPERR12G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNTVEQTTHLLTRVTSQVNDGTIKVTACFQPMQKKQSRSARRRASRRSRSKPMGTKTSEPISTPTQQLNPTPKSVPTPIIYLESTPAQPPQQESASTWIHSPKITLTRKPRSPVFKTTSNPEVFHLYRKLRQEPIESFAGFTRRVLQALDQVKPVSDHLALSTFYHGLTNIDMVWIWHRYKP >LPERR12G07460.1 pep chromosome:Lperr_V1.4:12:7297529:7303357:-1 gene:LPERR12G07460 transcript:LPERR12G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYYFGGGGAGWPPSETGCGGRRKTLAAVMATRAPRPSSIRQEAMRAAEAAARVVVVRVVPTAAAERARQGVVDYLGRLLGSALGCEVIAFGSVPLKSYLPDGDVDITVLGNTALDNTYIADVYNILQSEQQDSGAELEIKGLQFINAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALDTLVLYIFNIFHRSLHGPLEALYMFLEYFSKFDWDKYCISLNGPILLSSLPNLAVERSSINDELLFGKEMLGGSIDRLIVLPEVSDGSNINFCFKHLNIIDPLKWSNNLGRSVSRGSFYRIRGAFSFGAQKLGQILMLPSNLIPTEIFGFFENTLKRHGRGERSDVGSNDSVKSFLAPKYALGKNAPDLNNADTSEDENISPNLLRTSDRYFCGNAKDRPWNKIWFTNSDVQYDNMFSGNGLNSRSTSFTENGGGNIKQHSKDCSAGEDLPPVRSLMEKQIYANNNTHLLTPTRTNTYPDESSWSDIHDEILLLSPRSPSNFLGLSGDLDLQLECLRKIQYHLESMFDGLVQLIQQAFLSGMLDEDSFKIPTESFSNTDAIPSGLLSHPSGDTEQRSLSPLYCLHSTGGICHKSHMEDQVNAVCQQNATLSNGLILPSSPGPVSWFHNTQDIPRAHQNGMQTLNDVPLLLGTDVLSNVVGLHSFPAAGSENYNHFWSHSTTNSRMTRGTGTFIPRMSYNTYEERILSEKGRKQRERLTDRPLKIKTNPTGMGCSDGTNGGITIGSTKYIPKNQNSSQQDYSRRSVVPAEGDSAPERALANRVTKLTQTSQSWNVDNNQHGFVCSETNMVDSQKPGTNKGLSRPNKESMELPILGCQAPLPSPEVRHQIKTKQDGSLQFGSFGDISLSAPCLKFTEAFPPLPSSKKPAEATISTPTSSRPAETESRLPILSHGLNSLM >LPERR12G07470.1 pep chromosome:Lperr_V1.4:12:7314919:7320141:-1 gene:LPERR12G07470 transcript:LPERR12G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKQYGSFGAVTLERKVDLSKGRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEEEGGLFRRRIVIQELFNREFVDAVMQEWCKTMSNLPAGLHQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEHEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNMFEKSYPLREFDLQKRISAFFYKAAELSLVGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLSGLDQYMIRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIVSGLGLLGIKQGGTEAALSKPRRKRVVRKKVASS >LPERR12G07480.1 pep chromosome:Lperr_V1.4:12:7324509:7326603:1 gene:LPERR12G07480 transcript:LPERR12G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLAVFHLHAAARRHHPPLAPPLHLNHHPLSPSSASLPHRSSRRRFASEFAAGGADGGVGGGRRARGGGIDVAAVGAVLRDARTADEVETLVRGFVDDSDEHLPLQVYTTVIRALGKENRLDAAFAVVDHLKRRRRRGGDGGVNQFVYNCLLGAVKSSGEFGRIHGVLTDMEAQGVPPNIVTFNTLMSIHVDQGNIDEVFRVFETIEGHGLVPTAATYSTVMSAYKKAGDAFAALGFFTRLREMYNNGELLGNQEDWEREFVKFEKLTVRVCYMAMRQSLVGGENPVGEVLKVLLGMDEAGVKPERGDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNVLLNAAKRRGIWRWGLRLLDKMQEKGLKPGSREWNAVLLACSRASETSAAVDTFKRMIDEGLTPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGIKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKVEPTVVTFNAIISACVRNNKGGAAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNKGLELPAKSYDTVMEACQDYGSLIDLNTLGPRPVKKVEPIRIENKFSSSYYIDDFPSSTKHFGSTGTSSLYRYRMEKWIM >LPERR12G07490.1 pep chromosome:Lperr_V1.4:12:7333184:7336085:1 gene:LPERR12G07490 transcript:LPERR12G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSTGASSSMPLHLIIDDALALVSPLQQSFQRSQRHCFGDTAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEASSEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNNSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGNQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGRIYTFSWGNDTKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIDQFQTLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLINVRAVHVATGDYTTFIVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >LPERR12G07500.1 pep chromosome:Lperr_V1.4:12:7339998:7342263:-1 gene:LPERR12G07500 transcript:LPERR12G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFRYLTTDQAMRYLYLASYHLLLAIRLVHHDRGLPLPPSLFHDDGGNGKIKIALRNAAVKVRHPAPDNLVQTMTAKYPSHLLSPIMDKLTGPSRTYSRRAYACHPTWTYCAAAAAQMSPKIMSDMTFNSPAMEANLSKCIMVGNAGSQDPAEVSYDASPPCEHILSLNMCLLDAIHCFYIRALAALPLPAAGAGNDDSTMCRSRLLRSLLVSGHCFGPLDPVSNIIVNAVWYNVTHPHLLPMDNDEVELPQDISDTGDISRLASHSLCGLVALLCAINGAPLSKHDAIEYLWFRQCDLTEELQQTVMTKKNPYDAATKASKQYTMLGKFLKSFSGKKLDSLRCLLESIHDDSRCVISNANWEKLNTMIKEQLYLVLDRRRKNLYYLHGPQDFVAIRDSAYVSQQRFIRRKLEQLLDMYSRQHSWELRYKLDLVCGVEESNPHYPRCYHANFLASIEPDDDRKTAPIPVRTRELFFAEFWDEQPGRFDCKPICCPIQDYNSFVGRCPFCGEASKIVHPPCASGSHPNHGDAASTIPDYKADAAIHMYDSV >LPERR12G07510.1 pep chromosome:Lperr_V1.4:12:7392625:7392819:1 gene:LPERR12G07510 transcript:LPERR12G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIWHRYEHKSVKDLMQLANIHGAPGNQYSEPKKEDSLITSMRKNLQESTDLESRERKEAYQQ >LPERR12G07520.1 pep chromosome:Lperr_V1.4:12:7434955:7435791:-1 gene:LPERR12G07520 transcript:LPERR12G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDWPLEPGSVLPRSAVRDATAHGLMNNHAVFRDYQSRRLSSLQERPWPSYKYTDPNDAPRTHIGSRFDWSENELCILIRHTLDVNEEMLTLLPPA >LPERR12G07550.1 pep chromosome:Lperr_V1.4:12:7482362:7483290:-1 gene:LPERR12G07550 transcript:LPERR12G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMCLEFTKPCLTASLQCLRHERSEPLAGINNMCVHREVMEIVTYMEKACCCYVHEVHREFRIYLKAFGMDGIHIWKSCHVYFPKHQTTPYSEFSTLNNLHLKPCYADRSDEGQEVIMMTCLWSIYFIWMLGFFYKSFYRWRRTSFARCTRTQVFPHEEIEDKKEMAQFGLVLADCIVDSKTVQTFLYGVRFGRLTTHRKAIFMAHVMDRDKDTESFGFTAKAKIN >LPERR12G07560.1 pep chromosome:Lperr_V1.4:12:7516745:7518320:-1 gene:LPERR12G07560 transcript:LPERR12G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIISSTRKFPSTAFNSIPNSNLTSSSSKRKPIWQEHRIHRCDVTANFFCNCSNGKILHDWAPKITDQENGNKRQSRYFRPHLDIKSRKKKENGDDGGSISRFRHRTRYRCSSSYHRRCRCRPFTTGDHYASTIGFTSSPAIDSAIDPSSSWAISFTRPPAIGRPSIGFSSRQDISTTSVPYARNNSFPNPTTFASNDDFGFDIHEFVTDEAEETASQPSAISDETLEKLKDIVNRLDLPIDTLVADIGSVKSRILDVQDQLDTDLAKTLVAAAHLDAYQIPIARSRQQMLDRQNYIIQQAIWESAKLAAQNEKDSYDSTLAALSPMQDNLENLKKHEADLITLLAQVREDIQAVEQNIANHPAAVAACKEKVRAAIVHAKDLKKNLKPVPGSDAEDAAVIDEADMIRLRAIEAINHLLGN >LPERR12G07570.1 pep chromosome:Lperr_V1.4:12:7519362:7519559:-1 gene:LPERR12G07570 transcript:LPERR12G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVEPKWNEWFNRVQKTYRTFWGQIGIEQCLDLSTVDYEKDELILTAAPYFWSNSLNAFMFGKR >LPERR12G07580.1 pep chromosome:Lperr_V1.4:12:7539961:7541151:-1 gene:LPERR12G07580 transcript:LPERR12G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLSVSQLVIGSAPDSFQLDELAALYHGQAERDTRDYVRSWICPSCKKEYKPIENILVDLPPYECKDCGLKNDAVYDWKISKTIFNKVSLDFKIYDQANKRHCTLYAVAAVVDVSRRVEAAKRGILAATPLDVIEMVTVYSDLTGLIFGLEPPEKLYKEYDNAPFVLETFKSPGIPLMIGPYDEMVKKDSADPIPRLRIKSYFSVDASNVPMVTRLLASGYPLVASIRTGCLFEYLKGDQYYYSKREAVLPRRTAENELHSVAVIGCGLASKNNKPEIFYSVRDSKGIEAHSHYQKRNFGGDFYAWSSDVIDLWGLYLA >LPERR12G07590.1 pep chromosome:Lperr_V1.4:12:7553059:7563738:1 gene:LPERR12G07590 transcript:LPERR12G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGSRRRPAVRLLCPSKSLVSTPSSPSLRWLVGSPRFLPPPLTVAAALRSLPDDDGATSSAPDLHREAEEVRGLLVRGFDIVGALQYAGGADARGVLELARGLRERVFGERASHGMVGGCVDTATGEIRFVVSEGDGVEAVEVTEVVWEDEPGRLIWEKGCLLRCELPLKLPLYVASDDDVSGIEARFFSLIESTASKLRDPHVSYLIEGPLRTDETNYSIILHGNDLSSVSRNASTEEYIANVVYCSKFFPAKSNLSLTRESADAIQVTILSNQSFNSSKANTPVVEYFPAPALASLRVINLKLDILCYSSVDFPVAASVSELVIPGLVDQLNIMKKAILTELTTQQPQLCPYHFAPPGLFIPVTAIYDTRYGEIEEKQSELRRNLHLRLQLPLDRPLLRISNALKFSVEGAVKTSRKGSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIISWYRLQQYSSINVPSHREIQQVLVDIGDKDPSFIGSREWIGAIELSFVLDKLLGASCKIINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >LPERR12G07600.1 pep chromosome:Lperr_V1.4:12:7572636:7573092:-1 gene:LPERR12G07600 transcript:LPERR12G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQVVWFILVAAAFFVALASLQANAEASGLHEETGAFKKGKIPWWWDTDYTRSKRRRPIHN >LPERR12G07610.1 pep chromosome:Lperr_V1.4:12:7582350:7590221:-1 gene:LPERR12G07610 transcript:LPERR12G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLDSAVEHHPHQPPRILAAAAAAPPAPSSPPPPPASAAKATLDAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGESAAAAAVSPAAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIEALLAAKDRFPRALTSNDFSPMADVLLSTEKLRARLLQEGLNETVVKECESIMMTELLEVHNQVKSQQQQYSILIDRLRQLETEKVELERTVVHETKERESHGYANGRYSDFYSVLSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLINGYNGLEHIDPAANVVRPNQYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLEFDDGEMFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEALYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPHLTKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFRKDDDDSYRYVGGYWEAREKGNWAGIPDIFGQRSASPGLS >LPERR12G07620.1 pep chromosome:Lperr_V1.4:12:7599576:7602821:1 gene:LPERR12G07620 transcript:LPERR12G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIWAISGISTCLQAGQVLTNIILTAIANLDELDKNVDSQLPPPPPPMKKRRTRRSYNNCWGRFATGSFNGLLAFMKDYFRYLTNDQAMRYLYLASYDLLLAIRLVHHDRGLPLPPSLLHDDGGNGKIKIALRMAALEVDCPKPNDLVQIMTAKYPSHLLSPIMDKITGSDLQHTTHDVRRIEDLLKTAQCLPPNMDLLCCCCRPNVTENHVQQLQYISDMTFNSTTMEVNLSKCIMGKGSSAQVRYDNDSPPCEHILSINMCLLDAIYCFYIRALAALPLPAASAEDDESTMRRSCLLHAFVVSGHCYGPLDPVSNIIVNAVWYNIARPHLSSDQDNDEIELTQDIFDTGDISHLVSDSLCGLVALLRAINGAPLSKHDAMEYLWFRQCDLTLELQQIVMTTKKNPYDAAVKTLKQYTLLGKFLKSFSGKKLDSLRCLMESIHDDSPCVISNANREKLNTMIKEQLHLVLDTRKTVYNLHGPQDFVSIRDSAYVSQQRFIRSKLEQLLDMYSRQHSWKLRYKLDLVCGVEEPNSHYPRCYHANFLASIVSDDDRKTAPTPVRTRELFFAEFWDSQPGRFNCKPVCCLIQDYNACIGPCPYCGEASKIFHPPCASGSHPNHGDVPSTIPDYNADDAIHDGAAKQR >LPERR12G07630.1 pep chromosome:Lperr_V1.4:12:7631213:7632403:1 gene:LPERR12G07630 transcript:LPERR12G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLEFMKSYFDYLTNDQAMRYLYFASYDLLLAIRLVHHERGLPLPPSLLHDDGGNGKIKIALRMSVLEVDYPKPNDLVQIMTAKYPSHLLSPIMDKLTGSDLQLTTHDVRRIEDLLKTAQCLPPNRDLLCCCCSCLPNVTENHVQQLQYISDMTFNTPAMEGSSAQVRYDDNDDDSPPCEHILSINMCLLDAINCFYIRALAALPLPAAGADADDDSTMRRSCLLHAFVISSHCYGPLDPLSNIIVNTVWYNIACPHLSSDQDNDEVKLIQDFFDTDDISQLVSDSRCGLVALLHAINGTSLSKHDAMEYLWFRQCDLTLELQQIVMTTKKNPYDAAVKTLKQYTLLGKFLKSFSDKKLDSLRCLMDPFMMTPHVSSPMLIGRN >LPERR12G07640.1 pep chromosome:Lperr_V1.4:12:7632409:7632920:1 gene:LPERR12G07640 transcript:LPERR12G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEQLYLVLGTRKTLHGPQDFVSTRDSAYVSQQRFICSKLEQLLDMYSRQHSWELRYKLDLVCGVEEPNSHYPRCYHANFLASIMPDDDRKTAPTPVRTRELFFAEFWDSQPGRFNSKPICCPIQDYNACVGESTLFPAELLL >LPERR12G07650.1 pep chromosome:Lperr_V1.4:12:7636048:7640220:1 gene:LPERR12G07650 transcript:LPERR12G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVHVFDLSDEDQDVVPPFTEADPPTTTLFRPMSKERCHREPGEFHRCWSLFNTAIVTQTSPAISNCWVLIVVWRSPQLVC >LPERR12G07660.1 pep chromosome:Lperr_V1.4:12:7640112:7640303:-1 gene:LPERR12G07660 transcript:LPERR12G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLFNNTIVMPGQRFDETIDMGNLPVHYQTRPSPARWRRLETIRVSWDSISSVTPQWSRKT >LPERR12G07670.1 pep chromosome:Lperr_V1.4:12:7665431:7672252:1 gene:LPERR12G07670 transcript:LPERR12G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSTVLPMPDRGDAANDKVVGRAAATVRVQIVMIGLVATISVLGGKMERGGYNFRSLKRDKFDYLRISKKHRFELYTRKDAAVCNEEETSEPLISGDLHCESNQGVFGSELSGEVASNLSKSVVSLALLDGDTVLFVCSGIAVECNEHDTRLLTSARLLKAFNDERKDRPNLKVEVHHEDTAVIGSLREYNLNHNIAAVSVANLPDLCTVSFKHIYKILPHSKVVAVGRDISGKLMATNGLLISDSSGSYNNKLRSSTCKFSEIYEGGPLFDFDGNFVGMNLAFTEEGTLYVPGLRVLEQLEQCILVHDVKFSAGVRESPTGEMPNSHQGFTLRDVLNKDQFGDLESLGYPEPPKSMLDDGLILVNTFEESFGDVYGEGVWSELSEAVVSNICENIVALASFKGEKRVFACTGFFIEWNEGATILTSASLLRESGDQHKIVENLRIEVLLPNKQRTEGTVQHYSFRYNVALVCVKDYRVRQPAKIQFQRHDFGELLAVGCVFKSGRLMATKGVQVPIVATHDCKYLYYCACRITKAGIGGPLLDFNGNFIGMNFYDEAEGTLFLSWREMGIILNYFKTKGTTAEHSQSNPSHVLDWRIKGDNSVFPNSWLLPKPYWCYPEDLVKHKLAVQIRNFRYVLC >LPERR12G07680.1 pep chromosome:Lperr_V1.4:12:7679982:7683532:1 gene:LPERR12G07680 transcript:LPERR12G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNRKIYNGMDLILKNFFLLTSTRDWCAPLRAAWIRISSMLLDYFLLTSVNYSCGKRRGMRKHPDAATYSNFESVFCCYDRKATVRPIGLGPGPTVLLYKERKNPRASLMPLLMPIRVYTNSNSP >LPERR12G07690.1 pep chromosome:Lperr_V1.4:12:7691687:7695439:1 gene:LPERR12G07690 transcript:LPERR12G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLHTSARGLQQQTSKTYTSLEADFGQSIHEFHMECSELPNRHLMFMPNSAHHPLAHGFSYGTHVIAIFLSGFLNPDPTVVPEAHVENVRRPWLSRWVKAKHM >LPERR12G07700.1 pep chromosome:Lperr_V1.4:12:7711591:7712809:1 gene:LPERR12G07700 transcript:LPERR12G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIGVDAEHQASYMYEDLVEHFENPSDISLIKPLDSEDSVKNLLAYIRPCLLPAGTASGRKSHLQTYEFYHHVVVARQLGFGQLPPQLFLAQNEMYPIQPLLKADRVRAGAHPPSVYMSGLPREPVQKKIAKRKDDAPTSTAKAESKKKKKAKLSSSSKPSNDNSEDIEHDIDEAAASIEDTDVAPSTQQASTSPPHQPSASPTIQPLTSPVQHPSASPERAPSAPLVQSPECILSPSPSQQPSAIPSDDFGFDINEFVEEEEITSQQQGIPDELNEKLQDISDRLEVPIDTLVADIGSVKSRILEIQDKLDFNLAKSLVAAAHLDAYQIPIARSHQQMLDRQNNAN >LPERR12G07710.1 pep chromosome:Lperr_V1.4:12:7810705:7814073:1 gene:LPERR12G07710 transcript:LPERR12G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKSVQDIFNNHHKSVVLIRRGKHSSGTGFIIGKTNSTYLVMTCYHVIADNKYSDPSSDLKVRLSRDTKEYGAETLYTYVLSDLGIIKVNEVSGEREILQFGDVEDLAPNTDIVQLGYINGYSSTFNLDPSVCPGSIAAPLQDDESGNQDIVYSGSSIHGASGSAVMFGNKVIGVHYAMSDGLFLYARSSHTVNITLKYWLQISREVPETTEKMIEMMVQKLQPSELGVEIERDLNPVN >LPERR12G07720.1 pep chromosome:Lperr_V1.4:12:7815836:7820001:-1 gene:LPERR12G07720 transcript:LPERR12G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATHKKINLTPTRRSPRLKNIHVVYDEDSETDYPNLKPVKTEPEVIDLEEISSPLTPGFNDTSVGDQDFQNVSLKDLRARCKAKNRRAPKINLEGPDFKKQRQCEKRNLGDEVRNEEFDLDEPIIAFRQKRQKTPTKSNRIIDISTSPSVVKLLDTTPKREENGPVIFFPLDVTLHDSVSTADERRAPDVEHSTISAGNTDDLVGKNIFCAETSTGAVIIGSSPDILWEVKTEEEDIYSDEQVGVSSSGKDSFQDSFTELPREPIEYSRCQQHSGVIPQPTEIKDVSDDSCNLANSVEAYCLDDIILQNKTTDSLLSLDNTDEVINHHELSGNATNLTGDKSSVVNDYLVCSVNHEDHIDVNEYWYAGVLHGSALESTKITESSTEQCNTEVGFPSVVSQSDMCGSAERNLKSLAEVVQMKADGQLDSLVQCSVETKDILLHMDVPHAINDCTFAFNKTLDSVRAANFTTQDGRLENIVYDALNNHAQRKIIETETPVGVSGVATISSPVISEDIDRYPTGSKAPHGGQLALTCVTEYLLKDTDQLKATAVDDIHKTDSDQRSKEHFGLQSQLLRSCSHLDKACVTSESLNPEETQEMPSGSLDSTAASLDTDGQSNKLHPFSDEGTLEEHTPRKLLSKRKIMSPISQEKLCNALTGIDLCGVQRLKRKFLLEDCYKTRPNGSSTLSRTSKGILKATESPSPPKTTCTCMKSSVLLDTEKAVEFSQRQMHDIGNIASKLIRSLKHMRSIVDENLLSEAHSLLPNFNPAEIRAASADAFEVERTTRKWLTIMNKDCNRFCKILTLAGKKAVPHSEVPRKRKKITFADETGGKLCHVKVFNDGQTSLVPECHSE >LPERR12G07730.1 pep chromosome:Lperr_V1.4:12:7823317:7830210:-1 gene:LPERR12G07730 transcript:LPERR12G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTICLDPLQVREFIEIHSVNYNGDAYHLIGKNCNHFCEDICKKLTGSSIPKWVNRLARMVCNCILPDSLKINAVPHDSNSGAEDSEKRRLTGAFSCFSSISKCHRQLSTSSLFLRSPRRGTLSDTSQSSSVRLKKS >LPERR12G07730.2 pep chromosome:Lperr_V1.4:12:7823317:7830210:-1 gene:LPERR12G07730 transcript:LPERR12G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTICLDPLQVREFIEIHSVNYNGDAYHLIGKNCNHFCEDICKKLTGSSIPKWVNRLARMVCNCILPDSLKINAVPHDSNSGAEDSEKRRLTGAFSCFSSISKCHRQLSTSSLFLRSPRRGTLSDTSQSSSVRLKKS >LPERR12G07740.1 pep chromosome:Lperr_V1.4:12:7839355:7848689:1 gene:LPERR12G07740 transcript:LPERR12G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLRYLPSSSLGSRGPGGGVAVAVARGGLVRLSSSRRRGARSAAGVAAPSREAESAASLGDVTRVDFPILHQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRSYNSNVHRGIHVLSAKATDAYENARKKVANLVNAADSREIVFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDVEQLKGLLSNKTKIVVVHHVANVLGSMLPIEDIVTWSNKVGAKVLVDACQSVPHMPVNVQELGADFLVASSHKMCGPTGVGFLHGKLDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQIGMQKIHEYEKELATYLYESLISVPNVRIYGPAPCQTDHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEFHY >LPERR12G07740.2 pep chromosome:Lperr_V1.4:12:7839355:7849844:1 gene:LPERR12G07740 transcript:LPERR12G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLRYLPSSSLGSRGPGGGVAVAVARGGLVRLSSSRRRGARSAAGVAAPSREAESAASLGDVTRVDFPILHQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRSYNSNVHRGIHVLSAKATDAYENARKKVANLVNAADSREIVFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDVEQLKGLLSNKTKIVVVHHVANVLGSMLPIEDIVTWSNKVGAKVLVDACQSVPHMPVNVQELGADFLVASSHKMCGPTGVGFLHGKLDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQIGMQKIHEYEKELATYLYESLISVPNVRIYGPAPCQTDHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALRSTIDFLTSEH >LPERR12G07750.1 pep chromosome:Lperr_V1.4:12:7847670:7849640:-1 gene:LPERR12G07750 transcript:LPERR12G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAGGDDAGGSGGGAGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >LPERR12G07760.1 pep chromosome:Lperr_V1.4:12:7850194:7851471:-1 gene:LPERR12G07760 transcript:LPERR12G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCGRVGVTRRLAQLSRKRVSDYSGTRWPEARKNRMDEQSTTHYHTIFRPVSLCASADVCKQQLPLTARREVADQIVTTAQLKESFSSETHRQVSSFSAFLHRYSIATISKSKNYL >LPERR12G07770.1 pep chromosome:Lperr_V1.4:12:7866474:7872812:1 gene:LPERR12G07770 transcript:LPERR12G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALSEDAAAGVTTMSGQPHRENVEVLRLRTRRGNTVAAVYVRHPDAASTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFVHTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRKSVDFFEPSRKSTDRREKSRPTRDRTRAVEHRYSNVEKSDKIKLSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >LPERR12G07780.1 pep chromosome:Lperr_V1.4:12:7874882:7878518:1 gene:LPERR12G07780 transcript:LPERR12G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSRCSSVGRTLMGGLGNNLFGVVTSSVETVTRPHCDAMCQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >LPERR12G07780.2 pep chromosome:Lperr_V1.4:12:7874882:7877464:1 gene:LPERR12G07780 transcript:LPERR12G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSRCSSVGRTLMGGLGNNLFGVVTSSVETVTRPHCDAMCQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >LPERR12G07790.1 pep chromosome:Lperr_V1.4:12:7892963:7893476:-1 gene:LPERR12G07790 transcript:LPERR12G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQEYQLTTMIGIKRPLPAPFPPPQDLAALSRLRSAHAKWQSFMSYVVWMNPNPNTREAIMLTSWQAPMGLRASVFRIRKMIYILFFSY >LPERR12G07800.1 pep chromosome:Lperr_V1.4:12:7893547:7893859:-1 gene:LPERR12G07800 transcript:LPERR12G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHTVSHHGFFIRTLTVLPLTTINDDDSMLCHARLLCALMVYGHCYGWCALTDMLHDKTLPMTRNTTHGSFLLSLSGDKLDYR >LPERR12G07810.1 pep chromosome:Lperr_V1.4:12:7893898:7894891:-1 gene:LPERR12G07810 transcript:LPERR12G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAVFIMMVLPRRCGVEYDSFAPSRSRAIPFYPTIMFQSTIILSQIILARDTCYISNLTFDTTAMSKLSK >LPERR12G07820.1 pep chromosome:Lperr_V1.4:12:7896514:7899082:-1 gene:LPERR12G07820 transcript:LPERR12G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLWFRQCDLTLELQQTVMTTKKNPYDAAVKASKQYTLLGKFLKSFSGKKLDSLRCMMESIHDDSPCVISNANWEKLNTMIKEQLCLVLGTRKTLHGPQDFVAIRDSAYASQQMFIRNKIEQLLDMYSRQHSWELRYKLDLVCGVEGLKSNYRRCYHANFLASAVLHPGDDRKTALPTPVRTRELFFAVFWESQPDRLNSKPICCPIQDCNASVGRCSFCGEESKIFHPPCAFGSRPNHGDAANTIPDYNLEVKWGGVSSCQHHRSREDRDRVGSGATDAVVVTAELVVAGKEREGKGRIQAAVADRGLEEKDESKGGNPSCPFAGRRAGAESGIGQLGLQPYP >LPERR12G07820.2 pep chromosome:Lperr_V1.4:12:7897570:7904000:-1 gene:LPERR12G07820 transcript:LPERR12G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFRYLTNDQAMRYLYLASYDLLLAIRLVHHDRGLPLPPSLLHDDGGNGKIKIALRNATLKVRHPAPDNLVQTMTAKYPSHLLSPIMDKLMGSDLQLTTHDVRRIEDLLKTCLCLPPHMDLLCCCCGGCRSNVTENHAQQLQYISDMTFNTPAMEVNLSKCIVVKCSSAQVKYDDDDSPPCEHILSINMCLLDAIHCFYIRALAALPLPAMGTGDDDSTMCRSRLLQAFVVSGHCYGPLDPVSNIIVNTVWYNIACPHLSSDQDNDEVELTQDIFDTDDISCLVSDSLCGLVALIRAINGAPLSKHDAMEYLWFRQCDLTLELQQTVMTTKKNPYDAAVKASKQYTLLGKFLKSFSGKKLDSLRCMMESIHDDSPCVISNANWEKLNTMIKEQLCLVLGTRKTLHGPQDFVAIRDSAYASQQMFIRNKIEQLLDMYSRQHSWELRYKLDLVCGVEGLKSNYRRCYHANFLASAVLHPGDDRKTALPTPVRTRELFFAVFWESQPDRLNSKPICCPIQDCNASVGRCSFCGEESKIFHPPCAFGSRPNHGDAANTIPDYNVNAVTHIWK >LPERR12G07820.3 pep chromosome:Lperr_V1.4:12:7897570:7899996:-1 gene:LPERR12G07820 transcript:LPERR12G07820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFRYLTNDQAMRYLYLASYDLLLAIRLVHHDRGLPLPPSLLHDDGGNGKIKIALRNATLKVRHPAPDNLVQTMTAKYPSHLLSPIMDKLMGSDLQLTTHDVRRIEDLLKTCLCLPPHMDLLCCCCGGCRSNVTENHAQQLQYISDMTFNTPAMEVNLSKCIVVKCSSAQVKYDDDDSPPCEHILSINMCLLDAIHCFYIRALAALPLPAMGTGDDDSTMCRSRLLQAFVVSGHCYGPLDPVSNIIVNTVWYNIACPHLSSDQDNDEVELTQDIFDTDDISCLVSDSLCGLVALIRAINGAPLSKHDAMEYLWFRQCDLTLELQQTVMTTKKNPYDAAVKASKQYTLLGKFLKSFSGKKLDSLRCMMESIHDDSPCVISNANWEKLNTMIKEQLCLVLGTRKTLHGPQDFVAIRDSAYASQQMFIRNKIEQLLDMYSRQHSWELRYKLDLVCGVEGLKSNYRRCYHANFLASAVLHPGDDRKTALPTPVRTRELFFAVFWESQPDRLNSKPICCPIQDCNASVGRCSFCGEESKIFHPPCAFGSRPNHGDAANTIPDYNVNAVTHIWK >LPERR12G07820.4 pep chromosome:Lperr_V1.4:12:7896514:7904000:-1 gene:LPERR12G07820 transcript:LPERR12G07820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPFLTIICQHHRSREDRDRVGSGATDAVVVTAELVVAGKEREGKGRIQAAVADRGLEEKDESKGGNPSCPFAGRRAGAESGIGQLGLQPYP >LPERR12G07830.1 pep chromosome:Lperr_V1.4:12:7905572:7908982:1 gene:LPERR12G07830 transcript:LPERR12G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHWAPMPVTRRRCRELISASAAAVTVSTLKISFITDYETTLFVTGLLVQPVSWDGPIVRQQGHRLNRGCAEPLLADEQISEGTVEAGGEGSEAARCSRGEAREAWERKRKNKNLWLRKTNRRSTVRDTTIAIGGGRMAA >LPERR12G07840.1 pep chromosome:Lperr_V1.4:12:7910228:7911655:-1 gene:LPERR12G07840 transcript:LPERR12G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTKLDLARLSSVYCSVHVARSGVRSRRQPTTVDRVKLFFVVKGDLGSLILKRYPKE >LPERR12G07840.2 pep chromosome:Lperr_V1.4:12:7910406:7911655:-1 gene:LPERR12G07840 transcript:LPERR12G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTKLDLARLSSVYCSVHVARSGVRSRRQPTTVDRVKLFFVVKGDLGSLILKVSRTPITDM >LPERR12G07850.1 pep chromosome:Lperr_V1.4:12:7924221:7926200:1 gene:LPERR12G07850 transcript:LPERR12G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAWAGSGGLLGPPVAGLLVGNAPLQSADAASWVDPAAAAAEVDVRHGGGGFFGDPRVDLYLADASTPPQRLRDLLAAAWDFDALTALKLVCNLRGVRDTGKGDREGFYTAALWMHQNHPRTLACNLHAFADFGYIKDFPELLYRLIHGADARKVNKAKSEARAAAKEEAQLSGHKRARDDDAFQPEPDDQPATPTPTAMDVDAAGRRLSKKALKAARLATLALNIYRQDAAYRFLFDSIVDFFVRNLTSDLRCLQDGHLFSIGLTAKWCPSLDSSFDQTTLLCEGIARGFFPRDSHPEYADMKEEHYLFRVRCRLRREFLVPLRKALQLPEIFMTNNQWSTLPYERVATVAMRVYQHLFQKHDEERFKRFLTDLQAKKAKISKLKAKAAAPFPFHMIACVSKESKVSPEGRKDKVEEWRSFVTTLRAKGSLHNCLAVCDTSKGALGIGEGGKLLKICVALGLLISELSSEPWKDTVYAFSTTCAPYSIEEGSYEDKVQVLRNMACDGNFRLTEVFRWILSRLKVMDIKPSDMVKTIFVFTDKYFEEASVRPYELIKLEDYDPVLHAVRPWWVEYRALCEKFKELGFEDAVPQVVVWNLKGPRSAALTSTKDGIMTLSGYSDELMTLFLDNNGVVEPEHEMLAAIAGKEYQKLQIVD >LPERR12G07860.1 pep chromosome:Lperr_V1.4:12:7927201:7927648:1 gene:LPERR12G07860 transcript:LPERR12G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTHVDLIETLLNYNNAGSDITIEQSSSVCLGICSQDFYIKWVCYCSNFLL >LPERR12G07870.1 pep chromosome:Lperr_V1.4:12:7933181:7934484:-1 gene:LPERR12G07870 transcript:LPERR12G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISSIHHENAMPLAGFCLDDDGKFMLLLHGQRQPRGDPVVCEKAGKDRFGWPERFKVAAGVARALVYLHGGDGNDRPVIHRDVKSSNILISEDFQPRLCDFGLALWAAEAVSPVTSDDVQALSGVYLAPEYFMHGKVSNKIDVYAFGVVLLELVSGRKPVSSGDAMAGKESLVMWANSIIQGGKLNELVDASLPSTDDVTGDVERMNLAAALCIRRSPQHRPSIANAMANGDAVRLARFQAGLSTGDKTDDGDVGKLEKNDIQSYINLALLDVNATPTRRPSAAAAISRRRTCRWREYMKGKWSWSSSFD >LPERR12G07880.1 pep chromosome:Lperr_V1.4:12:7961853:7962853:-1 gene:LPERR12G07880 transcript:LPERR12G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVYNDIRKCVVRIAIGTPAGVFNMCNQPVRMSQEVHVYDGLSERLTPGNVTRFNVSEFCHNCVVIGNATFGAPVIDKNGEMVGMNHSHQYPLTAIKISALQGTIRNIKNTLWARG >LPERR12G07880.2 pep chromosome:Lperr_V1.4:12:7961853:7963173:-1 gene:LPERR12G07880 transcript:LPERR12G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQPVRMSQEVHVYDGLSERLTPGNVTRFNVSEFCHNCVVIGNATFGAPVIDKNGEMVGMNHSHQYPLTAIKISALQGTIRNIKNTLWARG >LPERR12G07880.3 pep chromosome:Lperr_V1.4:12:7961853:7963173:-1 gene:LPERR12G07880 transcript:LPERR12G07880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQPVRMSQEVHVYDGLSERLTPGNVTRFNVSEFCHNCVVIGNATFGAPVIDKNGEMVGMNHSHQYPLTAIKISALQGTIRNIKNTLWARG >LPERR12G07880.4 pep chromosome:Lperr_V1.4:12:7961853:7962853:-1 gene:LPERR12G07880 transcript:LPERR12G07880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVYNDIRKCVVRIAIGTPAGVLWRNGIIIELDLVFAFIVADARGFVGNIVPNGITVYFPSEEIINPLPKHFYIENGLIGIICHPAYNTLFHTGRLKVFNMCNQPVRMSQEVHVYDGLSERLTPGNVTRFNVSEFCHNCVVIGNATFGAPVIDKNGEMVGMNHSHQYPLTAIKISALQGTIRNIKNTLWARG >LPERR12G07890.1 pep chromosome:Lperr_V1.4:12:7983862:7985924:-1 gene:LPERR12G07890 transcript:LPERR12G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSKNTGLMEDMENNFCRVFNDVCPSVVLVEQIGRIDYYCIGSVILSDDKNTFVLTQSKIADSTAKLLVRFYDGFKQSATVIFRKDNLCILMTDFYSKSKTVEFFKGLVDHSHVIAIAPASKTSVHNMPGFVTQKSLEACHSDNDNVLEGGENFFMLTMRYGDRSPNLESRLISGPVFNLDGQVVGIITGDIEYKFWPRRTNGEIIHQDIFVFYGVFFKVAMHVS >LPERR12G07890.2 pep chromosome:Lperr_V1.4:12:7986006:7986551:-1 gene:LPERR12G07890 transcript:LPERR12G07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNDRATDLDGGEAEEGISPGIKTRDGICIPSRVITMSRPFGHWCHGDFVTALNRGRDDRESGES >LPERR12G07890.3 pep chromosome:Lperr_V1.4:12:7983862:7986551:-1 gene:LPERR12G07890 transcript:LPERR12G07890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNDRATDLDGGEAEEGISPGIKTRDGICIPSRVFNDVCPSVVLVEQIGRIDYYCIGSVILSDDKNTFVLTQSKIADSTAKLLVRFYDGFKQSATVIFRKDNLCILMTDFYSKSKTVEFFKGLVDHSHVIAIAPASKTSVHNMPGFVTQKSLEACHSDNDNVLEGGENFFMLTMRYGDRSPNLESRLISGPVFNLDGQVVGIITGDIEYKFWPRRTNGEIIHQDIFVFYGVFFKVAMHVS >LPERR12G07900.1 pep chromosome:Lperr_V1.4:12:7987754:7992236:-1 gene:LPERR12G07900 transcript:LPERR12G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLVVVGKVGTFLLWILFLVLQTATKIYSSSSSFRRRVASAPPADVVMSSTSYSAAAVAPAPRPRSVSSAPPLRAVETRSPRAAAVGAKRPRLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKTSFNGMSLHHIGDSPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIIPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKNISQSKKETEFALSALMEIPLQYKATLELGILGRRYAKSPERVPLPPPFASYNTISRGGPSRANSFRSIPSHPREEAPVDSTITASVTSPPATDVRASEPQLCPVCLSKPRDMAFGCGHQTCSECGPQVEHCPICRRPIDTRVKLY >LPERR12G07900.2 pep chromosome:Lperr_V1.4:12:7987754:7992236:-1 gene:LPERR12G07900 transcript:LPERR12G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLVVVGKVGTFLLWILFLVLQTATKIYSSSSSFRRRVASAPPADVVMSSTSYSAAAVAPAPRPRSVSSAPPLRAVETRSPRAAAVGAKRPRLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKTSFNGMSLHHIGDSPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIIPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARRRYAKSPERVPLPPPFASYNTISRGGPSRANSFRSIPSHPREEAPVDSTITASVTSPPATDVRASEPQLCPVCLSKPRDMAFGCGHQTCSECGPQVEHCPICRRPIDTRVKLY >LPERR12G07910.1 pep chromosome:Lperr_V1.4:12:7992235:7992417:1 gene:LPERR12G07910 transcript:LPERR12G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSELRFRSGELAGWPGWRGDWNLEGGWGSEAHRSVGGGRGGEETEDDDSGSAATSH >LPERR12G07920.1 pep chromosome:Lperr_V1.4:12:7998928:8009489:-1 gene:LPERR12G07920 transcript:LPERR12G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAVRLRLVFDNRRLLRRSQRESGLRRCWLLLPADLPTVADLAGHIAARFRLRRSCSRCVILSIDGFVLPPFESTCIFRDNDIIRVKQKISKKIAQHNDVHCIEDPEIVDKRPLPIDDEILAIEYKKDDDGNQQEDVQYNHQNGDNAASRFNAQNGDATLKRKCQDGEKETPGSSKKKKLKVTDIGNQTACSSKDKSHQDQDRCGSKKLKSPSTNDAKKLMQAEATVNLEEKQKSERENQTKLDSETKEADCNTQSDTKKVSRSARRKKLKREHRKKAKEQLKEKVHCQESPTAADCPSSSKQDVLLSPPSNQINSNLPFVNHEADDEQSDTSDDVVPVVVRPGHIRFESAGGEPDKSPVKEIQTTFKWSGTTSKKKGQKWGMDNSNKKSFVIGYHGRLTGGDTEANHHAVGNNSNNNYFGVTGNQKVDESSHVGSASENTVAEKGKTSCEPLDFESLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTTGEKNSEDEPEMLVDMSPYKEDGSLEIEYSSLLDVRLLKDADSVQLAVSAPLAETRTKAGSPIQKPANLDNYKEKTQNKVWEENGEEASKDEPAVQENGWGTWTPNASTSAWSYRSLRSSALGPTVALLRGKNAKGGKPYNRKYARAFGRLIYVQASGIKLAHDITSKRQLLYASMQV >LPERR12G07930.1 pep chromosome:Lperr_V1.4:12:8024780:8040160:1 gene:LPERR12G07930 transcript:LPERR12G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMDLGRSAHHQLIEEDGDFEAEATLGSERSFRAPNARTASYRKSSAVKIRGLNPPRNKQVHRSRQDGHRKSLDSSHSNHSSIRQLANTMVNNVVEEKEEEEEVNSYERAVPTPPEKTDEVKMPGFSKFRNKSSAAMSRVGSPCMSASEPRSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRDLYYDSDGPEQPLLSPEGTDAAFQDNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCNSAVTEISKSFLGRYALAEVGVKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLTAATNDMHEHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWKRAIELAITEVGFSHQDHISRAEKMQGVIESVLQNVLGCNVEVRFKLVPRPVTKDARSKRQSFSLLSCSGRKQELSDSAVTDEDEAVRHGARETPSKGYSSQQQSPFIMQRTDSKPSVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCPYEPYEQCPRSNSHATYSSRDDNLRLELSSWKQQKSISKLDGAPQAGSKSSNQMMEIELTYRKEIW >LPERR12G07940.1 pep chromosome:Lperr_V1.4:12:8042288:8044823:-1 gene:LPERR12G07940 transcript:LPERR12G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPLVYQLFQPEMLPWTFSILAMLIPLLLLVLHYLATNNNRSTSTSCTQTRNHHPLPQPSPPRLPIIGHLHLIGDLPHVSLRELADRHGPDLMLLRLGQVPNLIVSSPRAAEAILRTHDHVFASRPRSMIAEVLAYGPLDVGFAPYGELWRQNRRLVMTHLLTNKKVRSYRAAREEEVQFVMAKVHKLSAKGVAVDMSELLNSFSNDLICRVVSGKSFEGERRNKLFRELFRTNSLLLGGFHLEDCYPGLARLNVLSRMMCVGARNVRKRWDELLDKIIDNHISEQQCENHNEKDEMDFIDVLLPFQEEWGITREHIKALLVDMFAAGTDTGSMVLVFAMAELMQKQNRHLMAKLQAELRMNIPNGQGLITEDHLTNMIYIKAVIKETLRLHPPAPLLLPHLSVADCNLEGYTIHAGTRVIINAWAIGRNSEYWEAAEEFMPERFLDGGSAANIDFAGKDFQFLPFGAGRRICPGINFAVASMEIMLANLLYHFDWEVPAEVAVRKEGINMAEVFGVTVQLKEKLLLMPKICDVINPACT >LPERR12G07950.1 pep chromosome:Lperr_V1.4:12:8052418:8054502:-1 gene:LPERR12G07950 transcript:LPERR12G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLTVSAVFRSNQELTSLARSGQLAAARRLFDEMPRRNVVSYNAMLSALARHGRLADARRLFDEMPRRNIVSWNAMMQACSHHGRVGDARELFDAMPARDEFSWTIMVSCYARAGELDLARKVLDRMPGEKCAACYNTMISEYAKNGRLDDAVALLWEMPSPNIVSWNSVLTGLIRNEEMSRSVQFFDEMPEKDLVSWNLMLEGYVRAGDLELANAFFARIPSPNVISWVNLINGYCRAGRMGEARELFDTMPERNIASWNVLLAGYVQIFLMEEAYKFFMEMPDKNSISWTTMVSGYVRAGKLQEAKDLLSKMPSDSVAAKTALMHGYLQNNLVADARQLFDGIGVRDVVCWNTMISGYVQCGMLDEAMLLFQQMPNKDMVSWNTMIAGYAQDGQIRKAASIFRKMNRRNVVSWNSIISGFVHNGLFLEALHHFMLMRRDAKTADWSTYACCLTACASLACLHVGRQFHSLLVRSGLISDTFPGNALISAYAKCGRILEARQVFDEMVGQDIVSWNALIDGYASNGNGAEAISVFREMEANDVRPDEITFVGVLSACSHAGLIDEGLHFFNSMIKFYSLKPVAEHYACLVDLLGRAGRVREAFELVQGMQIQPNAGVWGALLGACRIHKYHELARLAAEKLFELEPHKTSNYVLLSNLRAEAGKWDEADKVRVLMKEKGVHKIPGLAGST >LPERR12G07960.1 pep chromosome:Lperr_V1.4:12:8056399:8058167:1 gene:LPERR12G07960 transcript:LPERR12G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRTSWADVADVEPAPPPHAAPWAAAAPASNGLARLDWSSYVPPHLRNRSGVASAAPPPSSSSMPPPRAAPDLLGGHLWGRVGRDSEAGDGDPEVADLQEEAVTVGGGMSYKNQQHQFSAGNGSAINNGNKQ >LPERR12G07970.1 pep chromosome:Lperr_V1.4:12:8061177:8067437:1 gene:LPERR12G07970 transcript:LPERR12G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRAAAGKPAMADDGEGETISATTATKVAAAKQFIENHYKDQMRSLEERKERLRMLESKLADADVSEEEQNNILKDFEKREREIMRSKRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTEDVSRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSEFDYAAGKNINPSTDGNTLLSCSVAPSRTQQEQLLHWQRNRRNLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDSMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGAKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNGELDTQNFEKFEEIAPMQTSSKAGHWRKMLSSKDTNFLNFTYKNLELSDDPEQPGIAQVKKKNNKPTRRTFKSILNEFEDTEDEPESSSLNSMTSQLDQLPESLEPSPHSSISSEDSQSRHRLQL >LPERR12G07970.2 pep chromosome:Lperr_V1.4:12:8061177:8067752:1 gene:LPERR12G07970 transcript:LPERR12G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRAAAGKPAMADDGEGETISATTATKVAAAKQFIENHYKDQMRSLEERKERLRMLESKLADADVSEEEQNNILKDFEKREREIMRSKRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTEDVSRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSEFDYAAGKNINPSTDGNTLLSCSVAPSRTQQEQLLHWQRNRRNLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDSMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGAKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNGELDTQNFEKFEEIAPMQTSSKAGHWRKMLSSKDTNFLNFTYKNLELSDDPEQPGIAQVKKKNNKPTRRTFKSILNEFEDTEDEPESSSLNSMTSQLDQLPESLEPSPHSSISSEDSQSRHRLQL >LPERR12G07970.3 pep chromosome:Lperr_V1.4:12:8061177:8067437:1 gene:LPERR12G07970 transcript:LPERR12G07970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRAAAGKPAMADDGEGETISATTATKVAAAKQFIENHYKDQMRSLEERKERLRMLESKLADADVSEEEQNNILKDFEKREREIMRSKRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTEDVSRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSEFDYAAGKNINPSTDGNTLLSCSVAPSRTQQEQLLHWQRNRRNLAYSTVGTPDYIAPEIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGAKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNGELDTQNFEKFEEIAPMQTSSKAGHWRKMLSSKDTNFLNFTYKNLELSDDPEQPGIAQVKKKNNKPTRRTFKSILNEFEDTEDEPESSSLNSMTSQLDQLPESLEPSPHSSISSEDSQSRHRLQL >LPERR12G07980.1 pep chromosome:Lperr_V1.4:12:8068970:8069443:-1 gene:LPERR12G07980 transcript:LPERR12G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGRLTKLPKGYYGNAILSPVVETTVNELCEGLYEHTIGLVQKAKQHMLEEENMQSMVDLMALLRERPPHPIDRLFISSDIKWIGQTELDFGWAKRIGGGLPAIGDQSSKIVSDHSMYKDDKGERMIVVSMLLPRTAMDIFKKDIAVYLNKTNSK >LPERR12G07990.1 pep chromosome:Lperr_V1.4:12:8069474:8074931:-1 gene:LPERR12G07990 transcript:LPERR12G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSFTARRSKPELVTPARAMPRETKPLSDLDDSRNLCFLEPYVEFFSPSSSQIVVCDDRPAPEEKKRDPAAALAEALVHYYPIARRMRELEKGKLVVDCTGEGVLTVRNIPPCINTLSEEFSRAKDDDIMWTTPTESMVCEYFTFSSSNIAALRRLVPAKITNVVTSFELLTVAMWRSRTVALGY >LPERR12G08000.1 pep chromosome:Lperr_V1.4:12:8089529:8092483:-1 gene:LPERR12G08000 transcript:LPERR12G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSFTARRTKPELVTPARATPRETKPLSDLDDSRSLRFLEPGVEFFSPIEEKKRDPVEAVRAALAEALVHYYPIAGRMRELEKGKLVVDCTGEGVVFVEADADVRLVELGEPPVPPFPCAEEFLCDVGDGWDVIGRPLFFMQVTRLKCGGFVIGSHICHNIADGFGTILFLKAIADIVRGEVEPTILPVWERELLMARIPPCINTLSEEFSRAKDDDIMWTTPTESMVCEYFTFSPSNIAALRRLVRAKITNVVTSFELLTVAMWRSRTVALGYQSSDKVRLMFTMNARGRLTKLPKGYYGNAILSPVVETTVTELCEGSFEHTIGLVQKAKHEMLEEENMQSMVDLMALLRERPPHPIDRLYISSDIKWIGQTELDFGWAKRIGGGLPAIGDKSSKIVSDHSMYKDDKGERMIVVSMLLPRTAMDIFKKDIAVYLNEKNSK >LPERR12G08010.1 pep chromosome:Lperr_V1.4:12:8100591:8102916:1 gene:LPERR12G08010 transcript:LPERR12G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGGEGRGTGRALASIGRGRGRGDGTGNDREQPQIGEGSGYGRGRGREGGNGRGDGAANTTIGRGRATTTVNIGSGRIDDVWSHGEKIGTSGFKCAYCSFVGGAATRLRSIWRGKLVMSSHA >LPERR12G08010.2 pep chromosome:Lperr_V1.4:12:8100591:8102916:1 gene:LPERR12G08010 transcript:LPERR12G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGGEGRGTGRALASIGRGRGRGDGTGNDREQPQIGEGSGYGRGRGREGGNGRGDGAANTTIGRGRATTTVNIGSGRIDDVWSHGEKIGTSGFKCAYCSFVGGAATRLRSIWRGKLVMSSHA >LPERR12G08020.1 pep chromosome:Lperr_V1.4:12:8103805:8114387:-1 gene:LPERR12G08020 transcript:LPERR12G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAADSDSELSRGYCACLVSALDGARPEEVLGVDPADLAPLSTPGAGSRASTWHNVLVGMQKRARAAIAAHEGRPPGEPFPSLVIGRDGAVRAQGSYAEAQAMFLSPDKSKISELVKSLREKKIGIVAHFYMDPEVQGILTAAKRHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGFNKVGVYRMSSDQIGCSLADAASSSTYTNFLNEASKYPPSLHVIYINTALETKAHAHEIVPTITCTSSNVVATILQAFAQIPDLNVWYGPDSYMGANIADLFQRMAVMPDEEIAEIHPSHNKKSINSLLPRLHYYQDGNCIVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLKEALNRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKSSQQSANIEVEIVFPVSSDAVSNTSVDGSHHLSSSAVTNFDKVSVVPGVSSGEGCSIHGGCASCPYMKMNSLGSLLKVCHQLPDRDNRLAAYQASRFNAKTPQGKLVAEVGCEPILHMRHFQAAKRLSDKLVHHVTHVPKIHWSSDENTKPEQQTNPPKKNQKNKKVAGLVELPNNHIERKLLLGGFVEVQASAASSQEKKHSVTIMAKKASWSWGSTFSLKKATKAPPKIQNDDDSKLIDEDSLLTVDDLKKPQLPDGVEPKDLPMFFLLAGDREVRATRKACKNCTCGQAKAEQKVKLDLTSEQINHPRSACGNCKPGDAFRCGTCRYRGLPPFKLGEKIKLPN >LPERR12G08030.1 pep chromosome:Lperr_V1.4:12:8123363:8136751:-1 gene:LPERR12G08030 transcript:LPERR12G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGSGGAGGGGGSGGGGGADIASLLDKAKELDQVRKEQDEVLTEINKTHKKILSSPEMGDKQVDATLVRLRALYTRAKELCESEVSTSTALVGLLDGLLQSGTSSAQRKKIEVGEQKKKRMKSDTDTTRFSSPSIRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYDVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFAQGRQVLAVYPGTTALYRATVASNRKRMGLYHKDLFRSIEWFLSRRAIGSEKSHAAILPYSG >LPERR12G08040.1 pep chromosome:Lperr_V1.4:12:8147725:8162794:1 gene:LPERR12G08040 transcript:LPERR12G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSLKDEPPSGTSPGGAKGSLDGYLVRSPYTAAAAAAAVPSASPRDGGGGGDAGAGARRSLSAAMDVDVSRPATAVVARDEEGDLELKRFAREFLSLSHYCSGIRSVIGGSGNGEAEKRSATQSFLAPCSNASAKKQRVENCGSSEAPKVVVHKTPFQEQCGAHYGGSEALEELGEGAKVSSGGFVSLQRCSFTPNTGQKKVGFSAAPGAGETPKSASTNSLISPGEEFWNAAIEFADGISAQADKGPRRSECDADDKSSCAVALCSKILPRSGKGGFNNEGTVGSNETKQMDKSLNKEESVAANTHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGTVDLSHKGQVKSSSIQRVENLTHSVDDTKKNTFDMHIDSSATIHDECLFKSTRVGVNGSCLTKRDVYKEDKLLTAYSNRGKPNKDSANKFSSQEMESNTPTSSVPQKDHSKLSSWLPAELCAIYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRQILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGSQGGGSLPKDTAVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFMKVASFGSTDVGSEIPDATSAIEALKRCPSGLDPVLEETIPSGVAYHHAGLTVEEREIVETCYRKGVVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRTGMRNRSPTWGSGGNALINEQSLRVSKRFYVALMLSRLSQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARRIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTWDSMVSLAGGQAKCHDPTLGIHASDDRNNCFKYGAQRASTERTLGNDMHPGSSRLIAESAGIVNNFNISVQEASPLSTETKLSSRNVCDKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDPIEMWEELTRRWNRVVEIMRQNRVKKMTWNLKVQIQALKYPCVSCQRLSRLHLDYKMLNNVEVLDNLYVFLPPISVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRVAQTRALGSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIKKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDKHPIVSVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVNFTTLKNDHDSTSTSTVDHHEINAREFFIPTKENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKEESLICSKERETTKRFIYGILYGMGANTLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSVITNGSMMGATVDSIDVVMQNFSEVHDELVIEVAPCMVAEAGRAFGFLRFD >LPERR12G08050.1 pep chromosome:Lperr_V1.4:12:8163131:8164964:1 gene:LPERR12G08050 transcript:LPERR12G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNNAGLGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGCEESGGN >LPERR12G08060.1 pep chromosome:Lperr_V1.4:12:8173253:8175514:-1 gene:LPERR12G08060 transcript:LPERR12G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHYIAADSEGAEGVGSEFAADTHTVAAVGGQDLYDYAEPEGKGVPEEAVDPQEWDEDEGFDF >LPERR12G08070.1 pep chromosome:Lperr_V1.4:12:8176243:8185665:1 gene:LPERR12G08070 transcript:LPERR12G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDLRLARFPSPHHHNPKPAVAIAAASIRLIACFPHGQQQLARAAACPPLRHVAAPAEEGVQSDGEGEGEEDLGPASAAAVAETIRRASSSSPVRFRRVRREVEDGDEEEEDEGEPRGEGGITEPSADFRRLCAEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVPKRETVMVVGNFTISAGLRATEAFLVKRQMEVIAEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIPIPSHSFLDSSSDITPYTKGKTWDFQTSGDQANCYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDILIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGLASSRTLPHYRSDPKNSSQKVDLLSSHNSDNGDMVIPMPPLWLAPLQHQDARPCDLCVVLEDLVGAAQPLAYRQQRTLDVTGISRPLQVAVEESALRQALSNLIEGALLRTQLGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHSLAPFGSDLLAEGMHEDNMTWNFIAGLTVAREILKNYGCVLRVISPRRPDAVVGTGGSRIEIWLPTLRTELSEITEVA >LPERR12G08070.2 pep chromosome:Lperr_V1.4:12:8176243:8181951:1 gene:LPERR12G08070 transcript:LPERR12G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDLRLARFPSPHHHNPKPAVAIAAASIRLIACFPHGQQQLARAAACPPLRHVAAPAEEGVQSDGEGEGEEDLGPASAAAVAETIRRASSSSPVRFRRVRREVEDGDEEEEDEGEPRGEGGITEPSADFRRLCAEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVPKRETVMVVGNFTISAGLRATEAFLVKRQMEVIAEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIPIPSHSFLDSSSDITPYTKGKTWDFQTSGDQANCYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDILIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGLASSRTLPHYRSDPKNSSQKVDLLSSHNSDNGDMVIPMPPLWLAPLQHQDARPCDLCVVLEDLVGAAQPLAYRQQRTLDVTGISRPLQVAVEESALRQALSNLIEGALLRTQLGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHSLAPFGSDLLAEGMHEDNMTWNFIAGLTVAREILKNYGCVLRVISPRRPDAVVGTGGSRIEIWLPTLRTELSEITEVA >LPERR12G08090.1 pep chromosome:Lperr_V1.4:12:8253244:8253912:1 gene:LPERR12G08090 transcript:LPERR12G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKEPGEWKVPLSVNANKLFSKQREGTNQCAFYVAESIMSRGQRTYSALSDLEYRRDRVAKEDKHKSIQEALAGFLNDEVLDPKEEHYYDGRLVLASVDYNIDLDDSNYD >LPERR12G08120.1 pep chromosome:Lperr_V1.4:12:8381459:8382438:-1 gene:LPERR12G08120 transcript:LPERR12G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANKLFYKQREGTNLCAFYVAESIMLHGQRTYSALSGLEYRRDRVAEEDQHKAIQEVLAGFLNDEVLDPKGEHYYDGRLKPASVDYSIDLDDPNYD >LPERR12G08130.1 pep chromosome:Lperr_V1.4:12:8393382:8394013:-1 gene:LPERR12G08130 transcript:LPERR12G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSAISVAPFQGLKSATGLPVSRRSNNAGLGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGCEESGAN >LPERR12G08140.1 pep chromosome:Lperr_V1.4:12:8424917:8427195:-1 gene:LPERR12G08140 transcript:LPERR12G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLATNPLPRRRLLAADPRPRLRLLASIAVLDAPKSAITSSASPPTMRIRQAHPVLP >LPERR12G08150.1 pep chromosome:Lperr_V1.4:12:8461175:8461798:-1 gene:LPERR12G08150 transcript:LPERR12G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSVAPFQGLKSTAGLPLSRRSSSSALGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGCEESGGN >LPERR12G08160.1 pep chromosome:Lperr_V1.4:12:8467312:8475343:1 gene:LPERR12G08160 transcript:LPERR12G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVTQVKFAPYQRSKLISASVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGSTYQKLWCLSHIETLSTWDWNDGSRELNIEDARSLATDRWNLDHVDYFVDCHYSLPDDKLLVIGGTTAGTIGYFPVETGLDEAISSAEAILEGGHTDVVRTIYPAASTHLGANRGIFGWTGGEDGRLCCWSSDEVAETNKSWISSSLVSRVQKKTKGRHHPY >LPERR12G08170.1 pep chromosome:Lperr_V1.4:12:8487369:8487914:-1 gene:LPERR12G08170 transcript:LPERR12G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDLAEPWGPSSWPPWTSLLLRAMSKRRTWVTLFLAVYAALLCSSWRLVESVRSWYYSSSAAHPSVSGAEAGAWPAALYASVMFGAVFGLMSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVDEGRRATADIARLALRVLLREGNAVAALCAAASFATLLLGRRDNEPAAAASGS >LPERR12G08180.1 pep chromosome:Lperr_V1.4:12:8518765:8529840:1 gene:LPERR12G08180 transcript:LPERR12G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHNGGALEEIFADVEEGQPAAAQPQHSRAAPDVVTEDDPGVYLTLEDVRVTVPGRMRGSPSVRILDGISGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPGMSYNGLILINGRQEKLAFGTSDNVLMSTLTVREAILYSAHLQLPDKMPTLEKRAHAERMIQDMGLTGAMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITMVAAKEGMTVVAAIHQPGEVFELFHSLCLLANGRTVFFGTVSDASEFFNMNQMPCPLLRNPAEHFLGIINKDFDEEIVEDFKDTPKRKTAAEAIDTLTDAYQSSAYSGKTTNKIIEMKEMGGAPFSMREQASLCTKLIALTKRSLVNMHRDIGYYWMRFAVFTIACISVGTVFHQIGNSYSSIQTRCNVMIYMTVFFTFMAFGGFPSLVEDLKVFRRERLSGHYGVTEFVISNTLSAIPCLAVMIIIPGTTLYYLTGLTRLGSNFAYFIATLCMCIILSESIMMVIAAIIPDFVMGIVIGTGVQGMMMLNGGIFRLPSELPKPVWRYPCYYLSFHKYAVQGLYKNEFAGLTFASDQVVRVNVTISGHEVLEALQVEMWYSKWVNLAILFGMTVMYRIMFFAIVKFAEGARPKLTRIKCGL >LPERR12G08190.1 pep chromosome:Lperr_V1.4:12:8548258:8551019:1 gene:LPERR12G08190 transcript:LPERR12G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTCSSSSSSHEDAWNIECFKYSRRATLRSVVGRPDGGAGLAGERAVVGGWVRSSTVVRARRASAVSPGSSSPSRTKPEGNVQTTGLTCTEVLMSRVPLIRCIARLMAGGIGAAAGAASGGSARRQAIGKALVRINDGSCVADLQIVVDSSLCPLDQVTATGACVLVEGKIEQVEGTAPQYVVQMRVEKILHIGPVDSEKYPLSNALPSSDLIRSYPHLAARTEAVASIARVRSELIHAVHAFFQSNGFFHVNTPIITTTSAGNRSKMFRLTGLFSKSDNDIRITPEAVRAAIKEKTKQIEALKRSESNKEALEAAEQDLQRAKALSRQLEQSTESSPDDLFHCPAYLTPCHTLHLETFACALSSVYTFSPAFQAEILDSDRSLAERWTLDVELAFSELEDAISCTEDCVKSLCSTVSKDCSDELKFLSSSQAGDATNSLIGSAVSSPWEKIKYTEAVNTLLQVTDKTFESKLEWGMPLSHEHLSYLADDVYKKPLIIYEYPKQLKPFYARLKEDFKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQIEQLEWYLDTRRHGTVKHSGFSIDLERLILFVTGLKDVQDTNPFCRTKDHAKC >LPERR12G08190.2 pep chromosome:Lperr_V1.4:12:8548258:8551019:1 gene:LPERR12G08190 transcript:LPERR12G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTCSSSSSSHEDAWNIECFKYSRRATLRSVVGRPDGGAGLAGERAVVGGWVRSSTVVRARRASAVSPGSSSPSRTKPEGNVQTTGLTCTEVLMSRVPLIRCIARLMAGGIGAAAGAASGGSARRQAIGKALVRINDGSCVADLQIVVDSSLCPLDQVTATGACVLVEGKIEQVEGTAPQYVVQMRVEKILHIGPVDSEKYPLSNALPSSDLIRSYPHLAARTEAVASIARVRSELIHAVHAFFQSNGFFHVNTPIITTTSAGNRSKMFRLTGLFSKSDNDIRITPEAVRAAIKEKTKQIEALKRSESNKEALEAAEQDLQRAKALSRQLEQSTESSPDDLFHCPAYLTPCHTLHLETFACALSSVYTFSPAFQAEILDSDRSLAERWTLDDAISCTEDCVKSLCSTVSKDCSDELKFLSSSQAGDATNSLIGSAVSSPWEKIKYTEAVNTLLQVTDKTFESKLEWGMPLSHEHLSYLADDVYKKPLIIYEYPKQLKPFYARLKEDFKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQIEQLEWYLDTRRHGTVKHSGFSIDLERLILFVTGLKDVQDTNPFCRTKDHAKC >LPERR12G08200.1 pep chromosome:Lperr_V1.4:12:8568875:8569275:1 gene:LPERR12G08200 transcript:LPERR12G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILEIEKFSLKRQIGNIFVLLPNHIELDSVVRVQSYFSLPDGGPKTYLQGKTLPTQRVDMHKFGLLELANFIAEHYMWCSKQYLTLWRSLEDDSVEIKSDEHMLD >LPERR12G08210.1 pep chromosome:Lperr_V1.4:12:8577013:8586410:1 gene:LPERR12G08210 transcript:LPERR12G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSPLPRWAPTPSPSLPLWRRWAGAATPDARLATGGDSGTGWSSSSSLLAAMFPWTRRRVEDRPAPFDVGVEVLPVARAAAGNVNASDNPGVFLTWEDVCVTVPGRTRGSPPARILDGISGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPEMSQTGVILINGRQEELAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMPAAEKRAHAERVIREMGLVDAIDTRIGGRMTKGISGGQRKRMSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAAREGMTVIAAVHQPSGDVFDLFHRLCLLAYGRTIFFGPASDATEFFTQSGFPCPQLRNPSDHFLRTINKDFDEETVESSKAKRKTAAEATEILTNVYQSSTYSEKTSNEIAEMKGMGGAPFKRKEQASFCSKLIVLTRRSFLNMHRDIGYYWMRLAIYMGIGICIGTIFYQVGYSYSSIQTRCEVIMYTTALLTFMAIGGFPSFIEDIKVFRRERLSGHYGVAEFVISNTLSATPYLAFIAVIPGAMLYYLTGLTRGAEHFAYFVVTICMCTLLVESMMMVIAVVVPDFLMGIIVGAGLQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFLGLSFPSDQLIEANATISGLQVLKQKLQVEMGYSKWVNLAILFGMMVIYRMMFFIIVKVAEELRPKLRGWRCRRSK >LPERR12G08220.1 pep chromosome:Lperr_V1.4:12:8601068:8601915:-1 gene:LPERR12G08220 transcript:LPERR12G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSYRGNRICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKICVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVFETVARAAISIAASKMPIRNNSGARKLMCIRVIGAASNQRYARIGDIIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKYEDRIIIRYDDNAAVIIDQEGNPKGTRVFGAIAKELRELNFTKIVSLAPEVL >LPERR12G08230.1 pep chromosome:Lperr_V1.4:12:8630631:8638517:1 gene:LPERR12G08230 transcript:LPERR12G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAILYSAHLQLPDKMPTSEKRAHAERMIQDMGLTGAMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITMVAPKEGMTVVAAIHQPGEVYELFHSLCLLANGRTVFFGTVTDASELKKDIRGSKQWQWLVTATVSYSLQAVFFNLNRMPCPLLRNPSEHFQGIINKDIDEEIVEDFNDTPKRKTAAEAIDTLRNAYQSSAYSGKTTNKIIDMKEMGGAPFSMREQSSLCTKLIALTKRSCVNMHRDIGYYWMRFAVFTIACISVGTVFHQIGNSYSSIQMQCDDVYDGVFYFYGVWGVPFFGRGPKAAMIIIPGTTLYYLTGLTRMGSNFAYFIATLCTCIILIESIMMMIVVIIPDFLMGIIIGTGVQGMMMLNGGIFRLPSELPKPVWKYPCYYLSFHKYAVEGLYKNEFAGLTFASDQLVTVNVTISGHQVLEALQVEMWYSKWVNLAILFGMTVMYRIMFFCNCQVCRGNQAKADTDEMWSLNICRFHFLFLFNMSIVKSICT >LPERR12G08240.1 pep chromosome:Lperr_V1.4:12:8688259:8693413:1 gene:LPERR12G08240 transcript:LPERR12G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVADWGLTTLEEADPEVYDLVEREKRRQRSGVELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRVRALAAFGLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYNKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVGLKQTMSAGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLHPLSLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLDVQKERGKLLKHFNEGLQNNKDIESLRAEVEKFATSFKMPGFRVSDMKYKD >LPERR12G08250.1 pep chromosome:Lperr_V1.4:12:8700711:8703088:1 gene:LPERR12G08250 transcript:LPERR12G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVAVFKMFEKTWALWIANFKVIRWSVEAADTEEEQRHLYWLYLEEDELPRGEFKGKEVDEEEFLMRRAHAVFRVCKSAMVDSSVYDPKSYSVRILVYLRENRVDYMWTLMEMELSLMYDILYTKAAVVHTWTGYCIRIASVLALATSFLLFQLYGKEGQSSRADIIITYVLLSASLLMEMTSLLSALLSTWTFSFLCATRWASLRHAALCSEKWHRLRNMVLSFRRLAYSTGIWSYLSLSRGWSGTLGQYNMLDDCTARPSPIGKLVVRLVFSRLSKKLGFSRLAEMLGFRTLAEKSRYNMVHVGIPNGLKSMVIKYIKIMIEDSNVNTVGIVKEKWGIVAIKHWLKKKQNDDTGYKAYLETTLVIHDLQHTST >LPERR12G08260.1 pep chromosome:Lperr_V1.4:12:8710091:8718344:1 gene:LPERR12G08260 transcript:LPERR12G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQVKPSDQHPPPPPVDSQDSTAPPVRTSEPVPQATSNDSATQVASTDHVAPSPAPAPSAVPINDAAGREAPSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLSPKGPQQDESTEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHTGVLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEIAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDFDDFDKRKLPSPGFQVEVVLVDYDGSQPPKQKPIAGSADKKPDADSSTGAVAKENDAAPAEPNKESASHDKDDVFSDSEAEDGSSRGRREKVSRNAEGTTSSAKASETSAVQKEASAAATRIEKVSITGEQGTTRTPDAAPQKSEVSSKGSSTTTPPPQAAADSSSMSEFKAIAADASVFSFGDEDEYESE >LPERR12G08270.1 pep chromosome:Lperr_V1.4:12:8726521:8727129:1 gene:LPERR12G08270 transcript:LPERR12G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEKRQSKGKQKIDICPIEKKSPRQVTFSKRRKGMFKKASELAVLTGARVAAAVFSQAENAFLFGDPSIDAVLRSYAPAGAAAVAPLVAGHGNGGDGLFGGEEGGGGGDVGFDPEAMRRAEEETKAQVAAEQARMRGAAARILALAGGRPWWEADVEALGEAELPEFVRAVEKLRATVGRLANDTPLAADPPPPQQQKRR >LPERR12G08280.1 pep chromosome:Lperr_V1.4:12:8737646:8761225:1 gene:LPERR12G08280 transcript:LPERR12G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKILEGQEGTQDNKTMRKRENTIACCALLSADDMNHGVLRRAIEPLGSAVCIRHHWWLNDVDWCKVVCERLKTTINNWKKESGTPTGCVYLLLRDGGGDPLQIPRISVHEHIC >LPERR12G08280.2 pep chromosome:Lperr_V1.4:12:8737646:8746517:1 gene:LPERR12G08280 transcript:LPERR12G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVLRTSALREIIIILHNHCMLYQYFVNPLHIFDSGAHAFGTNCPTMILRNTLVVYRLSCIGKCKQEQAIAPSMKHRRKKQTRHFYILYNQGGRGTRMSSDGAQ >LPERR12G08280.3 pep chromosome:Lperr_V1.4:12:8737646:8746517:1 gene:LPERR12G08280 transcript:LPERR12G08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVLRTSALREIIIILHNHCMLYQYFVNPLHIFDSGAHAFGTNCPTMILRNTLVVYRLSCIGKCKQEQAIAPSMKHRRKKQTRHFYILYNQGGRGTRMSSDGAQ >LPERR12G08280.4 pep chromosome:Lperr_V1.4:12:8737646:8746517:1 gene:LPERR12G08280 transcript:LPERR12G08280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVLRTSALREIIIILHNHCMLYQYFVNPLHIFDSGAHAFGTNCPTMILRNTLVVYRLSCIGKCKQEQAIAPSMKHRRKKQTRHFYILYNQGGRGTRMSSDGAQ >LPERR12G08300.1 pep chromosome:Lperr_V1.4:12:8789546:8792366:1 gene:LPERR12G08300 transcript:LPERR12G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGNKKKTVDPFSKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDIQGKNVLTNFWGMNFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYNKEDVGMKIERPAEEEAAPGQEVPAAE >LPERR12G08310.1 pep chromosome:Lperr_V1.4:12:8794777:8800649:1 gene:LPERR12G08310 transcript:LPERR12G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKHPKALAFRCYAASHRSLTLAVWSLAALVVVINFHLLIIHKEDENNGTHEIHQSIVSELEEVEEEKFQVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERRVAIDPRIGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWKNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDTNYTKASVGVAISDSPIGPFSYLYSKRPHDCESRDMTIFKDDNGKAYLVYSSEDNSELHIGQLTDDYLDVTVNMRRLLIAQHREAPALFKYDGTYYMITSGCTGWAPNTALAHAAAAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPIPGLSGSFIFMADRWNPSGLRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDL >LPERR12G08320.1 pep chromosome:Lperr_V1.4:12:8810944:8811147:-1 gene:LPERR12G08320 transcript:LPERR12G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQGTTTGAAVHRVVVFLLLLLLLVAFNAAVAYQPFNENKPACYPRCPAPGDHYSRGCDRRFYCH >LPERR12G08330.1 pep chromosome:Lperr_V1.4:12:8811165:8815640:-1 gene:LPERR12G08330 transcript:LPERR12G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGASDKRKMEEQKPKEQRPKASENKPISINPTRENLEAPTVEATTID >LPERR12G08340.1 pep chromosome:Lperr_V1.4:12:8817058:8819905:-1 gene:LPERR12G08340 transcript:LPERR12G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTIIFAIFHSGMASLRETGEKIIGERAYRVLFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGLHELIWFSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASIGLIGHHLFGVWNGDRRLASRYGEAFEVLKQRTSVIPFAAIIEGRQKLPNDYYKEFIRLPYLAITALTLGAYFAHPLMQASSYKLPW >LPERR12G08350.1 pep chromosome:Lperr_V1.4:12:8823083:8824498:1 gene:LPERR12G08350 transcript:LPERR12G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSRKPRTAGRAYYVCRDKYYSECPCYFFQWIDGQDKYDPRIRLFSYDEKELKPYNEFKRWVPPPPNPARITMEEKSEASCIHVKNPPLCHCGYPYKLQRPNIGVPAKFTPFFRCKLNTHDGWPMCDFQEYIHGPKSFWPMDEEVRLFETGKTHCPCERRPHPHCKCGILATVGVVPSELGYGYYCGNAYGKTYKVEATIGEAVGATKDNTIEKIRRKLRNKYDIPLPEREVEAMLSEDMRRHKGQPARGYYTYEECITYWRLHREKYPADLTPEEKIAKRHKIEEERERQRRLSKEKARKNPNTVYPYGTWKHYFKTVEDRERKAKEEEMEACSRCTNGGSESICCQIAFAIACRQERKGNCK >LPERR12G08360.1 pep chromosome:Lperr_V1.4:12:8829171:8835758:-1 gene:LPERR12G08360 transcript:LPERR12G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGVGGGEGGAGNGGGVTSPATAAAAIGTHHLGVAAAEEAMWQMTLGGESIESAPYPERLGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALATRVQLNVLGYPMRPSEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAVRSSMYSPGQSATSPGQPTYPGVTNWTMSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQNETAGMGDHGVFQSYQAGSVPVGVYVQGENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPTGDVSSMHYQLSPSPGHSGRLLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >LPERR12G08370.1 pep chromosome:Lperr_V1.4:12:8847209:8848501:1 gene:LPERR12G08370 transcript:LPERR12G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLDFLLEVGYRFSPTPFEVVTFYLPRLVANITDPSFHRADVYAAEPKDLARDFAPVANSSNGDRWFFTQCRRIKGRVQRTAGGGTWVSQTNRAIKNSAGSKIGQTKNFRFKKNAKYTDWLMDEYHLFGPQQASDLEPVVCRIYVSPRADRDSATHQESAALLRPQEVAAPLVPAPVTITQQQQAPPLKRPAMSAPVVEKPPSAKVPLPRQELAAPFLPAPMTMTKQQVAPLKRPAVPFAETPPCPQKMRGPASAMCVMALPAPPPMTTYRPIDPFEQPPQPLPQESSNLKPMDAAHAPNKNGAERDNEIDDFTEFEKMLFPDDDEVAVAVSPPVVQTVAPNDNEPHEFSNEDMDDLWKLMDDKTEKAVDAGNGCEGDDMKEFARFLEDGLLPNDDKILDVFDGMDDEPLDNDFLNVPLQDYDDKLIF >LPERR12G08380.1 pep chromosome:Lperr_V1.4:12:8863496:8864665:1 gene:LPERR12G08380 transcript:LPERR12G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLDFLLEAGYRFSPSPQEVVTFYLPRLVANITDPYIHIADVYAAEPKDLARDFAPVASSSNGDRWFFTQCRRIKGRVQRTAGGGTWVSQSNKDIKNTAGSKIGETKNFRFKNNDRKNTDWLMDEYHLCRGQQAGDLEPVVCRIYVSPRAASDSAVHQESAALPRPQEVAAPLVPAPATITQKQQQAAPVKRPAASAQIANKPLPRQELAPPLVPAPTTLMKQQVAPLKRPAVLAPVVETPPCSKKMRGPASAMPSRRVMAPLTPLPPMPTYRAIDPFEQPPQPLPQESSNQKPVDAAPAPKENGAERDNEVNDFAELEKLLFPDGVEVALPVASDEEPHYFSNEDMDDLWRLMDDKIEEAANADNGSEGDDMSEFARLLEEELFPN >LPERR12G08390.1 pep chromosome:Lperr_V1.4:12:8873016:8874149:1 gene:LPERR12G08390 transcript:LPERR12G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLDLLLEAGYRFSPSPQEVVTFYIPRLFANITDPYIHIADVYAAEPKDLARDFAPVASSSNGDRWFFTQCKRVKGKVSRAAGGGTWVSQSSKDIKNREGKKIGETKNFRFKKGANKENTDWLMEEYHLVGGKQAGDVEPVVCRIYVSPRAAPDSAAHQESAALPPRPQELAPPLAPAPAMITKQQQQAAPLKRPAVSASVAETLPCSKKMRRPVSAKRVMAPPPPLPMPTYLIDPFKQPPQPLPQENSNQKLMMDAAPFLDKSGAERDDDVDDFAEIEQQIFSYGEEIAGRVALAPTVKQTIAPNDDEPHEFCDEDMDELMKLMDDKIEEAADAGNGTEGDDMGEFARFLEDGLLSNDDKSLDQFEKEFLKVFH >LPERR12G08400.1 pep chromosome:Lperr_V1.4:12:8884715:8885707:1 gene:LPERR12G08400 transcript:LPERR12G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLGFLISLGFRFNPSPEEVVAYYLPILIGGHPSADTDACINRASVYAAQPRDLATRFAPTPGSTNGDRWFYFFMRQGRVSRGAGGGTWVALSQGTKDIKKNREGIKIGEVNTFRFMDDGNNLTDWLMEEYHLCGGQQFVNSAGDFEVPVVCRIYVSPTAPPDSAARQESAAHRPPSPPPPPSPEEPEPPNRDPTPPPPPPSPQASPQKRSASPPPPSSAKIRGPTSRRVMAAAPPPHPVAPLVNSLEVVDDGFGELEKLSNGDDEAPRNAGDVGDPSEVNDDTGEFAWLLEDVLIPDDENILETLDVLDGMAGDPIDKGFLKMALTA >LPERR12G08410.1 pep chromosome:Lperr_V1.4:12:8887012:8888272:1 gene:LPERR12G08410 transcript:LPERR12G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSDAGVALPFPCWRQRRPLLAASDLFDLCPLPATGDGAMPPPCRGLRRWRRTAVSPRAPPPPPEIELMAWPHVEEASAGKMEADAEEDQQMSQASLGKVRRRLGGRRRREVATAAGTEGGCLLLIPSRHAYSPRGHADVAYSPIAADVEGGERWGIAAETDEGCLLLAARPHRVRVAVVT >LPERR12G08420.1 pep chromosome:Lperr_V1.4:12:8890256:8891374:1 gene:LPERR12G08420 transcript:LPERR12G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHGLDYLVARGFRFNPSQEEVVASYLPTLISGHPSVEIELVTIRQSVYAAHPRDLAADHRPVARSTNGDRWFYFFMRQGMFSRGAGAGGTWVASQRAKEIKKDGEGIKIGEVKSFRFKDDGNNSTDWLMEEYRLCSGQEFVNSAGDLEVPVVCRIYVSPRAPPDSAARQESAAHPPPSPPRSPEESEESEPPNRDPTPPPAPKASPLKRSASPPPSSAKKIRGPTSRRLMVDSLEEVDDDGFGELEKLSNGDDEPPRNAGDVGDESEVDDDTGEFDWLLKDILIPDDDDILKTLDVFDDPVQKAVGVGDDSEERYNGSEVDDIGEFTRLLQDDNVLDAFDVLDAMAGDPIDKGSGFLEEALTGYGNEILV >LPERR12G08430.1 pep chromosome:Lperr_V1.4:12:8894310:8895470:-1 gene:LPERR12G08430 transcript:LPERR12G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNAKVSDAPRWERLPVTGLPLPVAAAQSARLRLCRTPAAACKLCRGVKIRWMKMASLADSFLIE >LPERR12G08440.1 pep chromosome:Lperr_V1.4:12:8902001:8902957:1 gene:LPERR12G08440 transcript:LPERR12G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGRRRHIPAFGEWNQQCEDLPITQYFESAMQDGLIVTAGHYYHDAAGELLFKVRCSRNMMENQAGRRRDGPTSVVDGCVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGRKHVRSLWMGCVGLNCVT >LPERR12G08450.1 pep chromosome:Lperr_V1.4:12:8919000:8920323:1 gene:LPERR12G08450 transcript:LPERR12G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKARRRHVVPAFGEWNYYYNDQLPDQMMRSAPVVAEWYASSYTAEAEACSDVWFKYSPPPLQRPTPKKARRPAAEGRVAPEKATPYHDGGKVVRPPRGAAAASSYHYSGGVAAAKVAARTPARSGGGCRVVKRAVDADLYQVPPPEFASSRPRRKRAGSSLWMGCLGLNCVA >LPERR12G08460.1 pep chromosome:Lperr_V1.4:12:8952933:8953833:1 gene:LPERR12G08460 transcript:LPERR12G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRRPGRVPAFGEWNYYYGGADELSSAAGAAAAGACYNEQVEACSDVWFRYSPPPRNPAPRKASRRRRTAAVDQEKKPVGGGDKRRLPQARTSSSSDSVNVTAAHTPAKQQKAVARRPPAVDADLYQVPPPDFLPGEPIPRKKSGRSMWMGCLGLSC >LPERR12G08470.1 pep chromosome:Lperr_V1.4:12:8957175:8959295:1 gene:LPERR12G08470 transcript:LPERR12G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPDPTLSREVDIPQLDVFLDIGFRFNPSPEDVITFYLPNLIAGQNPPDAVGLFHNAAVYGAQEPKDLAAQFAPVSRSSNEERYFFTTCHRIKGRVSRVAGGGTWVLQSAKNVTKKGRKIGEVKSFRFQLRKGKTNTNWLMEEYHLACYPETGDFVEPVVCRIYVSPRVGTNSAAHQESAAALMPLPPQPQPPIAPPRQVPVMIRQQLQLPAPVKRLHIVTPVITESPPCAKRMRGAILPTVASVPPPRPSQRFMAIAPPRPACAPQLPPQQPASLLTGEHHHTAPQPSVTATLDQGLVPAEDCNEPDKNDGVGMEELMRIIEEDLNVYMKSYRPVEEEDGKNGKPKEVLVLVPDNDEHDKLAKLLEDVLKEAMEEKSINDGKNELQKADEHDVPNNDEERHQ >LPERR12G08480.1 pep chromosome:Lperr_V1.4:12:8966909:8968682:-1 gene:LPERR12G08480 transcript:LPERR12G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTLGLQFLKAIVDLARGEAKPTTLSIWERENGRWSSFELIMSVIWRCRTMTLGYKPRQIVRLMINTTANELCTGPLGHTIDLVRNAKHEMMMSEENIQLARIFETCDIKWIGQDALDLGWAKWIKGGIPNVAGKVSYQSMHKNAKGEKSVVFFETEVKLCLAFILRKRELTSL >LPERR12G08490.1 pep chromosome:Lperr_V1.4:12:8982110:8983010:1 gene:LPERR12G08490 transcript:LPERR12G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYGEDMPAAAIRGFPRVQLLGIAARTLRGAVPAWLQGSAKLAVLDLSWNRLTGSLPPWLGEFDALYRINLSGNALTGGIPVSLTRLKSLAAVDDDDDMTARKNRLRMYIDYGVRLYNWHVDRGELWYDGNIPASLDLSRNGLAGAIPPEIGDMRGLTILNLSCNTLSGPIPATLASVASLQALDLSHNELAGDIPASLTGLTFFVLLRRLLQPGVIPNTSQFSTFPCSSFAGNNGLHGEYCDSGGLGTVGTGWWWCYDTVGEDLFSLPFLLGLANGLVVTILFAHVAVPYRRASE >LPERR12G08500.1 pep chromosome:Lperr_V1.4:12:8999688:9001043:1 gene:LPERR12G08500 transcript:LPERR12G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAAAGAERLAGAAVQSLAVAFLLLVSVAPTTGSGSSEGHVGGFRATLIRTADSCNLSLAAERSRRRLSMYTSGYSTETRVNKNGGDGDYIMQFSIGDPPLEVSAVADTGSDLVWVKCGTCNGCDPLPSTLYDPSKSFGTLECSSLQCQALGSQVLSGSQDCTDDPPLCRYRYTYDLSGDYYTQGVLGTETFTFGVGDDGYVIKNVSFGCSDTISGSQFGGKASSGLVGLGRGNLSLVSQLDAGRFTYCLAADTDVAGNIFFGSLAVLDPSAGDVLSTPIIENLVYTNYYVNLQNITVGDSLLQIEDGTFAINSSTGDGGVIFDSGTACTFLADAAYKMVSEAVTLEIQRAGYTVLDGPRHNLSLCFMADDWQAAASRMPPLVLHFDGADMNLNGSNYFINFTQDGVVCMAILSSDSVSIIGNIMQANFRVLHDLDSMTLSFQATDQCP >LPERR12G08520.1 pep chromosome:Lperr_V1.4:12:9011163:9014639:1 gene:LPERR12G08520 transcript:LPERR12G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLLLLVVVFLLSLSLQHAHGKSGEKTDLTRQSFPPGFVFGTASSAYQVEGNALKYGRGPCIWDTFLLYPGITPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGRINKDGVDYYHRLIDYMLAHNMTPYVVLYHYDLPQVLHDQYNGWLHTRIVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQFGGNSATEPYITAHNLLLSHAAAATQKGRIGILLDFVWYEPLTNKEEDHAAAHRAREFTLGWFLHPITYGHYPETMQNIVMQRLPNFTREQSEMVKGSADIIGINHYTTYYVSHYVNKTFMSYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIMFIGENGIDQPGNETLPGALYDSFRIEYFDQYLHEVKRAVDDGAKVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTHWFRKVIKTEV >LPERR12G08530.1 pep chromosome:Lperr_V1.4:12:9014806:9017517:1 gene:LPERR12G08530 transcript:LPERR12G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLKSSLLLPSPISDFSGASLSISSQTRRRSWQPRGARMQVAASADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLSAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFGLVLGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATSELGCTPEYDLVEGLTDSYNLDFGRGTFRKEADFTTDDMILGKKLVSVWASRRPCKADGCLGVMSAVDDRHGC >LPERR12G08530.2 pep chromosome:Lperr_V1.4:12:9014806:9017976:1 gene:LPERR12G08530 transcript:LPERR12G08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLKSSLLLPSPISDFSGASLSISSQTRRRSWQPRGARMQVAASADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLSAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFGLVLGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATSELGCTPEYDLVEGLTDSYNLDFGRGTFRKEADFTTDDMILGKKLVSV >LPERR12G08540.1 pep chromosome:Lperr_V1.4:12:9021608:9027361:1 gene:LPERR12G08540 transcript:LPERR12G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQFDESAAAAEKPEETAMLAPPSNFGMVDTGVYRSGFPDPSSFGFLKGLGLRSVVYLCPEPYTEANAEFLKAEGIRLFQFGIEGNKDPHVSIPVDAIMGALRVILDVRNHPVLIHCKRGKHRTGCLVGCFRRLQNWCLSSVFEEYHRYAAGKSRSSDMKFIESFDVTCMTDCLLRLIYHYHGCLQKSKRLILFSRWRDGGTARDKAARERKEERIGEMATAYAPMASQLMKSSLVYSKPRGLSGASLTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPSLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >LPERR12G08540.2 pep chromosome:Lperr_V1.4:12:9021606:9027361:1 gene:LPERR12G08540 transcript:LPERR12G08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQLMKSSLVYSKPRGLSGASLTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPSLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >LPERR12G08540.3 pep chromosome:Lperr_V1.4:12:9021606:9027361:1 gene:LPERR12G08540 transcript:LPERR12G08540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQLMKSSLVYSKPRGLSGASLTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPSLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >LPERR12G08540.4 pep chromosome:Lperr_V1.4:12:9021608:9027361:1 gene:LPERR12G08540 transcript:LPERR12G08540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQFDESAAAAEKPEETAMLAPPSNFGMVDTGVYRSGFPDPSSFGFLKGLGLRSVVYLCPEPYTEANAEFLKAEGIRLFQFGIEGNKHRTGCLVGCFRRLQNWCLSSVFEEYHRYAAGKSRSSDMKFIESFDVTCMTDCLLRLIYHYHGCLQKSKRLILFSRWRDGGTARDKAARERKEERIGEMATAYAPMASQLMKSSLVYSKPRGLSGASLTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPSLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >LPERR12G08540.5 pep chromosome:Lperr_V1.4:12:9021606:9027361:1 gene:LPERR12G08540 transcript:LPERR12G08540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQLMKSSLVYSKPRGLSGASLTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPSLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >LPERR12G08550.1 pep chromosome:Lperr_V1.4:12:9028972:9030534:-1 gene:LPERR12G08550 transcript:LPERR12G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRRPFRHALRIQATIRVDAARANQHLPRRRRRLNVATALLPLPISRGSWNAGGPRAPAGLSSADLALHSGDQGRRHRHRLLSTGLPTASTAAPPPFPLSAGLPATESTADTAAGLPTANTAAAAALSPLRRPPSNREHGRCHRYPFPLRQPPRNGADVSGSSRCGGMTAAAAWGDDKAPSNDKAPLLLAPTGDGGGLAEPNGSSSAALRGVWGRKLQV >LPERR12G08560.1 pep chromosome:Lperr_V1.4:12:9045402:9047460:1 gene:LPERR12G08560 transcript:LPERR12G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIVVKLDLHDNKDKQKAMKAVSSVVGIDAISMDMASRKMTVIGMVDPVDVVSKLRKSSWAAYIESVGPAKEPEKKEEKKEEPKKEAAAAGDGKKEEGGGDGKKDSEEKKEGDGKKEKEGDGEKKEGDGKKEEGGEGKKDGEKAGKKEEGGGEKKAAAVAPMPLPMHHHFPPPQYFNMDQYMNQYHRPAPPPPAYGPYMPPQQYYYVRNMSMEENPNSCTIC >LPERR12G08570.1 pep chromosome:Lperr_V1.4:12:9053583:9057224:1 gene:LPERR12G08570 transcript:LPERR12G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIIHQHLFQGEREDASLCFISNPQIRHGNVLLHPSISKMIVLIDRHVPLHIVDAACLHGREFGGVDGEAPDLAGEECTAAEARHRHDHPVHGVHLVNNVHFHPLAEEECLQSPTSWPSPL >LPERR12G08580.1 pep chromosome:Lperr_V1.4:12:9056387:9056752:-1 gene:LPERR12G08580 transcript:LPERR12G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVHKVDTMNRVVVPVTSLGSRALFTSEIRSFSVDAAKFPAVEAGCIYYVQRDMSIYEYYHLADGWMEKYIPMANLRIADEAQACILPLTLEQVLVNYCIDDENYSELPIDVVTIVLLVC >LPERR12G08590.1 pep chromosome:Lperr_V1.4:12:9071964:9072728:-1 gene:LPERR12G08590 transcript:LPERR12G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPATKASSNINVDIDESVTAGAKASESNEARVHAATVATDKVMSVSANLAQLLPTGSVLAYQSLSASFTNQGECLPSNRCLSLGLVVFLSAACVFFAFTDSITYKGKVYYGVAMKGRLNLFNLSKLEEKKLFTELKPELEKRGLSIQDFVHGVFSAVVFLTVAASDVGLQNCFFPHVGKDGKELFKNMPLGMALLSSFVFMIFPTKRRGIGSHCADATNGSYSMSGEKEKKIELVSTSRVINVDMVTPEHD >LPERR12G08600.1 pep chromosome:Lperr_V1.4:12:9076655:9077650:1 gene:LPERR12G08600 transcript:LPERR12G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYECLAKIGEGAAGVVHMARDRITGETVAVKRLRGGIIGGDAEEEENAWLREARCLDACRGHPHIVELRAAHGESRGGCRYVVMEYVDGPSLGRVLREGKKKQRPFFAEAEARRLMRQLLDGVAAMHAAGVMHRDIKPDNVVVGPRGGLKICDFGMSRDVDSGGAYTSPVVTLWYRAPELILGSREYDEGVDTWALGCIMAELLAGAPLFPGRTEMDQLNRVFDTVGAGGVEEMRSWPGFARLPRAESAICHRARPPGGRLREMFPELSAAGLDVLTGLLACRPDRRLAAADALRCAWFTEADAVPAGCGGAHGGFTPYVSGIADAIVV >LPERR12G08610.1 pep chromosome:Lperr_V1.4:12:9079057:9079746:-1 gene:LPERR12G08610 transcript:LPERR12G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQHLRIFHQTHRLPLPQGCSARLQCRKRRHPPPATAAGAIAMELAVWVDGGGACCQRSRRRHAGSSSTDRGTRHSCWPLARHRRDYENRR >LPERR12G08620.1 pep chromosome:Lperr_V1.4:12:9087506:9093803:1 gene:LPERR12G08620 transcript:LPERR12G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAKSPPRMCVAVAVLVAGTVWLCASSAGFLGAPYGGYRVKDVDVNKLWTTAGSNGWRASSAPRSYWPPPPYETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDESGFPGIYDVPHFLKTLKHDIHIVTSLPEITSRRKTKKLKALKIRPPRDAPLSWYTTFALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLQSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIKYREENFANKTLVYRERRLIGKCPLTPEEVGLILGAIGFANSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYNGFRTTITPNRKALAPIFMDREEGHITGFEDRVRQVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPKNPSDKCPSDDPHEGLEGQLQNEKTEDATLTTIESTQTEETMI >LPERR12G08630.1 pep chromosome:Lperr_V1.4:12:9105904:9107034:-1 gene:LPERR12G08630 transcript:LPERR12G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDMHTEHRSHSSVPAPQQQQQPGISTSHAAASPPAAAAAAAAIGDPIDGRYQRIAKIGVGTYGDVYRGVDNLTGETVAVKCLKGFDDDPDGFDLDCELAAEVEALEACRGHPNIVQLIDHGRHRHDDLDNATTTEPPPEAYIVMEFVGPSLHFAVKRHGRYDEGDTRRLTRQLLAGVRWMHELGLMHRDLKPGNVLVDGIGRGGGDASLKICDLGLARDMFDDYKTDPPMPYSNPIGAVIYAAPEVLLGSTAYDQRIDTWAVGCIMAYLLKGEHLFYAMSDKEVLEKIVDVLGMDDITGWSRYWDYMIPKSLIKSGRPRRGNRLREMFAFPCTGGGLPELSEEGFEVLSGLLRCNPEKRMTAAEALQHRWFVGL >LPERR12G08640.1 pep chromosome:Lperr_V1.4:12:9116376:9118562:-1 gene:LPERR12G08640 transcript:LPERR12G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHTELLFFFLVISVLHAQATVSTINSDAHRLDTYIVHVRPPPNFSVDISDIKIERWYKSFLPPRMASSTTRQTFIHTYKTTIFGFAVNLTEAEKEYIMKKDGVSKVYKDNLLPLLTTHTPDFLGLRLSEGPWKKTGMGEGVIIGIIDTGIEFGHISFDDDGMKEPPTKWAGFCKSSLVKCNKKLIGARSFINGEKSEPAWDDSGHGTHTASTAAGSFVDGASVFGNGNGTAAGMAPRAHLAIYKVCTKFRCYASDILAGMDAAIADGVDIMSLSLGGPPRPFYDDVVAIGSFSAMRKGIFVSLAAGNSGPSASTLHNMEPWALTVGASTIDRQMNAIVKLGDGHLFSGESAYQPHNLGSLQLVYPQSTRGDCYNLKNVAGKIVACEFTDSADTIGLIVKDAGGSGLILLGQENSGHTTFADRNVLPGSYVDYPDAAVIRKYINSSNNPTASIIFNGTSLGQTQAPVVAYFSSRGPSTASPGILKPDIIGPGVNVIAAWPSEADDDKSRTFNSLSGTSMSTPHLSGIAALIKGTHPDWSPAAIKSAIMTTAYVVDNQKKPILDEKYNTAGYLAIGAGHVSPYEAIDPGLIYDIDEAQYISYLCGLGYTDGQVDIITHKKDACKNGSKITEAELNYPSLAVRASAGKLVVNRIVTNVGEANSSYTVEIDMPREVKASVSPTKLEFTKTKEKKMFSLSLSWDTDKTNHVEGSFKWISDKHVVRSPIVIF >LPERR12G08670.1 pep chromosome:Lperr_V1.4:12:9137106:9138541:1 gene:LPERR12G08670 transcript:LPERR12G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGEYGKQVEETSEERVVIRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVRQVAALYTTDEPIIVGCRSGIRSKLATADLVAAGFKNVSNLEGGYLSLLRAANQQPHEP >LPERR12G08670.2 pep chromosome:Lperr_V1.4:12:9137106:9138595:1 gene:LPERR12G08670 transcript:LPERR12G08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGEYGKQVEETSEERVVIRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVRQVAALYTTDEPIIVGCRSGIRSKLATADLVAAGFKNVSNLEGGYLSLLRAANQQPHEP >LPERR12G08680.1 pep chromosome:Lperr_V1.4:12:9144967:9148790:1 gene:LPERR12G08680 transcript:LPERR12G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELEVEPREIKDVLRCILHTIFFHRTLSLVRPKDVDCEFFEITYVQCGLPELEKEVDEKIDQFVAWVEKHPHRSSQVCLSFFDEKNKHPGWFGNKTERQALEESSSRRVALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >LPERR12G08680.2 pep chromosome:Lperr_V1.4:12:9144786:9148790:1 gene:LPERR12G08680 transcript:LPERR12G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDELVNVEVGERLGAVGQVCAYSVTPTYPKSVLRIAQSAEAPPKKKISFLLDPFVWSAPSIHATTNSAAPSSPSPSSEVEPREIKDVLRCILHTIFFHRTLSLVRPKDVDCEFFEITYVQCGLPELEKEVDEKIDQFVAWVEKHPHRSSQVCLSFFDEKNKHPGWFGNKTERQALEESSSRRVALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >LPERR12G08680.3 pep chromosome:Lperr_V1.4:12:9145046:9148790:1 gene:LPERR12G08680 transcript:LPERR12G08680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELEVEPREIKDVLRCILHTIFFHRTLSLVRPKDVDCEFFEITYVQCGLPELEKEVDEKIDQFVAWVEKHPHRSSQVCLSFFDEKNKHPGWFGNKTERQALEESSSRRVALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >LPERR12G08690.1 pep chromosome:Lperr_V1.4:12:9154389:9170012:1 gene:LPERR12G08690 transcript:LPERR12G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMDAHRASFPLRLQQILSGSRAMSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTHISSRKDLLLSDDMAEGDPLPKNTLLQILRVMQIVLENCQNKTSFAGLEHFRLLLSSSDPEIVVAALETLAALVKINPSKLHMNGKLINCGTINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLVPAQDPDQSGDKSKSNLCVIHIPDLHLQKEDDLSILKQCIDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAQDELTSFFTNEPEYINELIRLVRSEESVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDNHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLVFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLASVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDSFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREAKSNEPVSSEERTEMETDVEGRDLVSAMDSSVDGTNDEQFSHLSIFHLMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSAPLARAFCSSLKEHLKNALLELDTVASSSEVTKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLTEFGDGSRDVLEDIGRVHREVLWQISFFQEKKVEPETSSPIANDSQQEAAVGDIDNNRYTSFRQYLDPLLRRRGTGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPSSSSDASASTKSEEDKKRSEHSSCCDMMKSLSYHISHLFMELGKAMLLTSRRDNTHVNLSASVVSVASNIASIVLEHLNFEGHTISSEREITVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFAMNRLPSSPMETDSKSVKEDMETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSKVLKTVLPIWAHPQFPECNVELIGSVTSIMRHVYSGVEVKNTAINTGARLAGPPLDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTPAQEEEGKSNDPELEEETVLLPPIDEVLSSCLKLLQTKETLAFPVRDMLLTMSSQNDGQNRAKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKAALNLLCSWELEPRHAETSEVPNWVTSCFLSIDRMLQLDPKLPDVSELDVLKKDNSNTQASVVIDDSKKRDSETSSSTGLLDLDDQKQLLNICCKCIQKQLPSATMHAILQLCATLTKLHAAAISFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAATRHSNSRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKDKKEKEKDKSADKDKTGSAVTKMTSGDMALGSPVSSQGKQTDLNTKNAKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESVTASSADMDIDSSAKGKGKAVAVTAEESKHAVQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNTRGPNRTGISSGGVFNHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSLPGCKPPLLRMNAYVDLLNDILSARSPTGSSLSAESSVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAVVKALEVVTKEHVHLADLNAKGENSSKIVSEQSNLDPSSNRFQALDTTQPTSMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTANESTMEIRFEIPRNREDDMADDDDDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNMAAADTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSVLHLPQQRQQENLVEMAFSDRNHENSSSRLDAIFRSLRSNRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTLEQPDDQSAPAGGTQENDQSNHLHGSETETREEAQTEQNENNENTVIPSARSELDGPESAGPAPDSDALQREVPGASEHVTEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPSGSVVPGNSRDISLESVSEIPQNQNQESDQNADEGDQEPNRDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGINSRGRRGESSRRGDIIGSGLDRNAGDSSRQPTGKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDAIEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTHAETPDQRRGKAVLMEGDSEHNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATEDAQEGANVAGSSGSKSNADDSSKIPPADSESSLQNVLHSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELRLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLHEKKDPDHPAEKDQSDALSQISEINTALDALWLELSSCISKIESSSEYASNLSPSANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQKSSGGHANFDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQINAFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >LPERR12G08690.2 pep chromosome:Lperr_V1.4:12:9154389:9170012:1 gene:LPERR12G08690 transcript:LPERR12G08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMDAHRASFPLRLQQILSGSRAMSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTHISSRKDLLLSDDMAEGDPLPKNTLLQILRVMQIVLENCQNKTSFAGLEHFRLLLSSSDPEIVVAALETLAALVKINPSKLHMNGKLINCGTINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLVPAQDPDQSGDKSKSNLCVIHIPDLHLQKEDDLSILKQCIDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAQDELTSFFTNEPEYINELIRLVRSEESVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDNHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLVFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLASVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDSFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREAKSNEPVSSEERTEMETDVEGRDLVSAMDSSVDGTNDEQFSHLSIFHLMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSAPLARAFCSSLKEHLKNALLELDTVASSSEVTKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLTEFGDGSRDVLEDIGRVHREVLWQISFFQEKKVEPETSSPIANDSQQEAAVGDIDNNRYTSFRQYLDPLLRRRGTGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPSSSSDASASTKSEEDKKRSEHSSCCDMMKSLSYHISHLFMELGKAMLLTSRRDNTHVNLSASVVSVASNIASIVLEHLNFEGHTISSEREITVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFAMNRLPSSPMETDSKSVKEDMETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSKVLKTVLPIWAHPQFPECNVELIGSVTSIMRHVYSGVEVKNTAINTGARLAGPPLDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTPAQEEEGKSNDPELEEETVLLPPIDEVLSSCLKLLQTKETLAFPVRDMLLTMSSQNDGQNRAKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKAALNLLCSWELEPRHAETSEVPNWVTSCFLSIDRMLQLDPKLPDVSELDVLKKDNSNTQASVVIDDSKKRDSETSSSTGLLDLDDQKQLLNICCKCIQKQLPSATMHAILQLCATLTKLHAAAISFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAATRHSNSRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKDKKEKEKDKSADKDKTGSAVTKMTSGDMALGSPVSSQGKQTDLNTKNAKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESVTASSADMDIDSSAKGKGKAVAVTAEESKHAVQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNTRGPNRTGISSGGVFNHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSLPGCKPPLLRMNAYVDLLNDILSARSPTGSSLSAESSVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAVVKALEVVTKEHVHLADLNAKGENSSKIVSEQSNLDPSSNRFQALDTTQPTSMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTANESTMEIRFEIPRNREDDMADDDDDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNMAAADTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSVLHLPQQRQQENLVEMAFSDRNHENSSSRLDAIFRSLRSNRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTLEQPDDQSAPAGGTQENDQSNHLHGSETETREEAQTEQNENNENTVIPSARSELDGPESAGPAPDSDALQREVPGASEHVTEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPSGSVVPGNSRDISLESVSEIPQNQNQESDQNADEGDQEPNRGTDTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGINSRGRRGESSRRGDIIGSGLDRNAGDSSRQPTGKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDAIEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTHAETPDQRRGKAVLMEGDSEHNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATEDAQEGANVAGSSGSKSNADDSSKIPPADSESSLQNVLHSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELRLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLHEKKDPDHPAEKDQSDALSQISEINTALDALWLELSSCISKIESSSEYASNLSPSANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQKSSGGHANFDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQINAFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >LPERR12G08690.3 pep chromosome:Lperr_V1.4:12:9157873:9162845:1 gene:LPERR12G08690 transcript:LPERR12G08690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDNHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLVFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLASVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDSFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREAKSNEPVSSEERTEMETDVEGRDLVSAMDSSVDGTNDEQFSHLSIFHLMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSAPLARAFCSSLKEHLKNALLELDTVASSSEVTKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLTEFGDGSRDVLEDIGRVHREVLWQISFFQEKKVEPETSSPIANDSQQEAAVGDIDNNRYTSFRQYLDPLLRRRGTGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPSSSSDASASTKSEEDKKRSEHSSCCDMMKSLSYHISHLFMELGKAMLLTSRRDNTHVNLSASVVSVASNIASIVLEHLNFEGHTISSEREITVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFAMNRLPSSPMETDSKSVKEDMETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSKVLKTVLPIWAHPQFPECNVELIGSVTSIMRHVYSGVEVKNTAINTGARLAGPPLDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTPAQEEEGKSNDPELEEETVLLPPIDEVLSSCLKLLQTKETLAFPVRDMLLTMSSQNDGQNRAKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKAALNLLCSWELEPRHAETSEVPNWVTSCFLSIDRMLQLDPKLPDVSELDVLKKDNSNTQASVVIDDSKKRDSETSSSTGLLDLDDQKQLLNICCKCIQKQLPSATMHAILQLCATLTKLHAAAISFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAATRHSNSRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKDKKEKEKDKSADKDKTGSAVTKMTSGDMALGSPVSSQGKQTDLNTKNAKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESVTASSADMDIDSSAKGKGKAVAVTAEESKHAVQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNTRGPNRTGISSGGVFNHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSLPGCKPPLLRMNAYVDLLNDILSARSPTGSSLSAESSVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAVVKALEVVTKEHVHLADLNAKGENSSKIVSEQSNLDPSSNRFQALDTTQPTSMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDDC >LPERR12G08700.1 pep chromosome:Lperr_V1.4:12:9197279:9213877:-1 gene:LPERR12G08700 transcript:LPERR12G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRGLPILGFRALEMLVILLFLGCSSAAAVYAGVEPEGEGMLRQVVGRREDGGGGGGGGGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATPEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFVKLIAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNAYNKPTIWTEDWDGWYADWGGALPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGQVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCDNVAFNTAQIGAQTSVFTVESGSPSRSSRHKPIILSLTAGGPYLSSTWWTSKESIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADVEFWSSKGVLPSLTIDKIRDVARVFVNGKLAVLQAGDQKCFDELIGNYGAFLEKDGAGFRGQIKLTGLSDGDIDLTNSLWTYQVGLKGEFSMIYAPEKQGCAEWSRMKKDNVQPFTWYKTMFNTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNEGKCQSNCGMPTQNWYHIPREWLKESDNLLVLFEETGGDPSQISLEAHYTKTVCSRISENYYPPLSSWSHLSSGRASVNTAAPELHLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVTEACVGNTRCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSATKDEM >LPERR12G08710.1 pep chromosome:Lperr_V1.4:12:9219411:9224007:1 gene:LPERR12G08710 transcript:LPERR12G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLHLHRLRPPPPSPRLLRPISIPAPPPPPPRLRRPPLASIRPPAPPPPLLLSSSDPVQANGDAPAAAEETGAREDEGYVESVGAGEHSAGVPAHLGAARVGLGDPVFFLLAFVAVATSAAFTGMVAVAIPTMLAMKRAADSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVEAGLGQMRDLARQQATSMIEERANLQTIPNAPKKNAAKLNGSARQEKGPFTRQS >LPERR12G08720.1 pep chromosome:Lperr_V1.4:12:9220011:9239338:-1 gene:LPERR12G08720 transcript:LPERR12G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSRQPPPPLAPQNPNPAAPSLPASFSNLQISRAPFAPPGAAPPPGIMPSSSIRPPPPFARPAQQQPPPFGGPPGVTPSQPMMMPPSRPPPPFGAPPSAAAVAPPTFVRPSAAAAAQSPPFSAAQPPPFGGPPGAVPQPPPPAGGLRPPFGGPPAPSQQVPFGGPPQFGAPRPGTQPPPPSFGAQAAPGSQPPAFMGAPGNAPPFGPPIWQGQARPPRTGGMLPNALGQGMPSTPTMPYSPHAGAQVSTPSKIDPNQIPRPITETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALSHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMRFIDQGKHFICNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRIADSAFGAAMKASFVAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVSFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLPCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVKDQVTSTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALTKTVGLRTEGRLDDRSYWVSIVSSISVLFAIPLVFPRMVALHDLASRGGDDSIIPNPLTLNSENILDYGIYLLENGEDGFIYVGNAVNPSTLEQIFGVSSLAGVPNLLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRLCKQGDPSGDFFRSLLVEDKAPGGLSYVEFLRTQLGGEPEISCKIDCKAQFILSLKAQH >LPERR12G08730.1 pep chromosome:Lperr_V1.4:12:9270866:9271456:1 gene:LPERR12G08730 transcript:LPERR12G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVPPRPRRSSGAWEINLVQPSRDTARTDRCTRLFMIWSGVVGVVVVLYLFVGYRWASVAAAALLAAACWFTWYYFGAAPAPAPAQGQQQAAAAAVDGGGLKEEEIEAIPAFEYRRGGGGAGAREQCAVCIAAVKEGDTVRRLPACGHAFHAPCVDSWLRAHATCPVCRADVVVKVVDVAGEAEDEAAAPPPV >LPERR12G08740.1 pep chromosome:Lperr_V1.4:12:9279021:9279587:-1 gene:LPERR12G08740 transcript:LPERR12G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARRGIVVLRPRPGGDDNAAAARSGRCSRLLLLWAFAVGLAVGLFMFGSHLLASAVAALLLAAMCFYTCLSLHAAQPPPPPDHLLRVSAMMTTRRRQEEEVDHEVAIPAFEYGKGLGEEEEECAVCIAAVKEGETARRLPACGHAFHAPCIDGWLRAHATCPVCRADVKVAVVVVGGGGGGAAV >LPERR12G08750.1 pep chromosome:Lperr_V1.4:12:9288055:9296534:-1 gene:LPERR12G08750 transcript:LPERR12G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKKRGRRAPSSSRRFSGNNVVGIEDAVGNCNHAKEEGQAGDSSDVALSPSCRKTEDRDQSTKQDSLNTKTTGKGVSASEASEKSSTLKKTSVGQAASRSVNPEDNFLEPMDKVSDAHVKTTSSEDKSSEEVEDVKVCDICGDVGEEERLAVCSRCNDGAEHIYCMRVMMEEVPEGEWLCEECETQLVLEKDKKKLEKSQLKVGTPKGQSFEGKADKLANTLKSSSSGNEASQAFEGKVNKLDTALKSRSSFKNELEDENGDNKELNSTNQCNNITAKKKEEAAGIISSIRQSLPERCGLSMGAESRKRLPLSRESSFRLDVEKGKPSTTQVPTPLTFDATKNLAPSLRGQISKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHSSFITKKEVPVGILAKSPFFKKPKPCEPANKAKSSILPPTESKVMNPPAGQNATNDRDTSILGCPSVTASMTTQASCKEESKAQCLTVDNDMADSNNLSITQGQGGMNSLGYSEVNKQPLAKAPGSTTVSGAEKSSGILGSGSQRKNTDAVHRDDKVKDPTSLRPGVFSSNRTLRCQRCNEAGHSTQFCSVDKLSLSAVKPISERTMKESSAKRNKTSEVTNMIAADTAASRPANQSEHIVKCGPYRNPTYRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSNSRASTDCSNLKPKECQTMPDMAGRFIDDSSTMPDALMDKSNKVLLPGHGPTVATVPELNFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQGNGPSYENIGLFFFAKDTDSYENHYSKLVQNMLKNDLALRGNIETAELLIFPSNILSNNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNSDPMDVDQSISVLTAGHSSSEDQNNGAKSDHDLVKPVPCADYQFPWTIETNHQGCLNGEHISNQPMSRNESEDHHHISITASCSTNNSTDLATEQQNISCSEDQDTKDSSNSNVCKAMLDVKTVPVTCSISSVHEKGKDSRAFNLNDVDNLVDVDINNCEVNSGKVDPVSHFTASPHKRNVEVANWVDEVNGKLEQKRIKLDNVGSANSSLSENTSDGRLSSKVHPLLSSSFDDSVDQSLAGSSKCNGKRVLLDLNTVDDDATGNVVDILSSDDEDMPERHVPEHEQHGDNKSPRKTMFSFLPPKRQLPEMSNRNKDYPEYECTYMILLQCANRGVIHGVVLTDVQHPAELLHWKEQNYFAETVSCIPEGL >LPERR12G08750.2 pep chromosome:Lperr_V1.4:12:9288055:9296534:-1 gene:LPERR12G08750 transcript:LPERR12G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKKRGRRAPSSSRRFSGNNVVGIEDAVGNCNHAKEEGQAGDSSDVALSPSCRKTEDRDQSTKQDSLNTKTTGKGVSASEASEKSSTLKKTSVGQAASRSVNPEDNFLEPMDKVKVCDICGDVGEEERLAVCSRCNDGAEHIYCMRVMMEEVPEGEWLCEECETQLVLEKDKKKLEKSQLKVGTPKGQSFEGKADKLANTLKSSSSGNEASQAFEGKVNKLDTALKSRSSFKNELEDENGDNKELNSTNQCNNITAKKKEEAAGIISSIRQSLPERCGLSMGAESRKRLPLSRESSFRLDVEKGKPSTTQVPTPLTFDATKNLAPSLRGQISKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHSSFITKKEVPVGILAKSPFFKKPKPCEPANKAKSSILPPTESKVMNPPAGQNATNDRDTSILGCPSVTASMTTQASCKEESKAQCLTVDNDMADSNNLSITQGQGGMNSLGYSEVNKQPLAKAPGSTTVSGAEKSSGILGSGSQRKNTDAVHRDDKVKDPTSLRPGVFSSNRTLRCQRCNEAGHSTQFCSVDKLSLSAVKPISERTMKESSAKRNKTSEVTNMIAADTAASRPANQSEHIVKCGPYRNPTYRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSNSRASTDCSNLKPKECQTMPDMAGRFIDDSSTMPDALMDKSNKVLLPGHGPTVATVPELNFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQGNGPSYENIGLFFFAKDTDSYENHYSKLVQNMLKNDLALRGNIETAELLIFPSNILSNNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNSDPMDVDQSISVLTAGHSSSEDQNNGAKSDHDLVKPVPCADYQFPWTIETNHQGCLNGEHISNQPMSRNESEDHHHISITASCSTNNSTDLATEQQNISCSEDQDTKDSSNSNVCKAMLDVKTVPVTCSISSVHEKGKDSRAFNLNDVDNLVDVDINNCEVNSGKVDPVSHFTASPHKRNVEVANWVDEVNGKLEQKRIKLDNVGSANSSLSENTSDGRLSSKVHPLLSSSFDDSVDQSLAGSSKCNGKRVLLDLNTVDDDATGNVVDILSSDDEDMPERHVPEHEQHGDNKSPRKTMFSFLPPKRQLPEMSNRNKDYPEYECTYMILLQCANRGVIHGVVLTDVQHPAELLHWKEQNYFAETVSCIPEGL >LPERR12G08750.3 pep chromosome:Lperr_V1.4:12:9288055:9296534:-1 gene:LPERR12G08750 transcript:LPERR12G08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKKRGRRAPSSSRRFSGNNVVGIEDAVGNCNHAKEEGQAGDSSDVALSPSCRKTEDRDQSTKQDSLNTKTTGKGVSASEASEKSSTLKKTSVGQAASRSVNPEDNFLEPMDKVSDAHVKTTSSEDKSSEEVEDVKVCDICGDVGEEERLAVCSRCNDGAEHIYCMRVMMEEVPEGEWLCEECETQLVLEKDKKKLEKSQLKVGTPKGQSFEGKADKLANTLKSSSSGNEASQAFEGKVNKLDTALKSRSSFKNELEDENGDNKELNSTNQCNNITAKKKEEAAGIISSIRQSLPERCGLSMGAESRKRLPLSRESSFRLDVEKGKPSTTQVPTPLTFDATKNLAPSLRGQISKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHSSFITKKEVPVGILAKSPFFKKPKPCEPANKAKSSILPPTESKVMNPPAGQNATNDRDTSILGCPSVTASMTTQASCKEESKAQCLTVDNDMADSNNLSITQGQGGMNSLGYSEVNKQPLAKAPGSTTVSGAEKSSGILGSGSQRKNTDAVHRDDKVKDPTSLRPGVFSSNRTLRCQRCNEAGHSTQFCSVDKLSLSAVKPISERTMKESSAKRNKTSEVTNMIAADTAASRPANQSEHIVKCGPYRNPTYRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSNSRASTDCSNLKPKECQTMPDMAGRFIDDSSTMPDALMDKSNKVLLPGHGPTVATVPELNFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQGNGPSYENIGLFFFAKDTDSYENHYSKLVQNMLKNDLALRGNIETAELLIFPSNILSNNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNSDPMDVDQSISVLTAGHSSSEDQNNGAKSDHDLVKPVPCADYQFPWTIETNHQGCLNGEHISNQPMSRNESEDHHHISITASCSTNNSTDLATEQQNISCSEDQDTKDSSNSNVCKAMLDVKTVPVTCSISSVHEKGKDSRAFNLNDVDNLVDVDINNCEVNSGKVDPVSHFTASPHKRNVEVANWVDEVNGKLEQKRIKLDNVGSANSSLSENTSDGRLSSKVHPLLSSSFDDSVDQSLAGSSKCNGKRVLLDLNTVDDDATGNVVDILSSDDEDMPERHVPEHEQHGDNKSPRKTMFSFLPPKVGENQNNERSRPTDTPGSLSLSLAFPASRENTDKLESDLQRQLPEMSNRNKDYPEYECTYMILLQCANRGVIHGVVLTDVQHPAELLHWKEQNYFAETVSCIPEGL >LPERR12G08750.4 pep chromosome:Lperr_V1.4:12:9288055:9296534:-1 gene:LPERR12G08750 transcript:LPERR12G08750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKKRGRRAPSSSRRFSGNNVVGIEDAVGNCNHAKEEGQAGDSSDVALSPSCRKTEDRDQSTKQDSLNTKTTGKGVSASEASEKSSTLKKTSVGQAASRSVNPEDNFLEPMDKVKVCDICGDVGEEERLAVCSRCNDGAEHIYCMRVMMEEVPEGEWLCEECETQLVLEKDKKKLEKSQLKVGTPKGQSFEGKADKLANTLKSSSSGNEASQAFEGKVNKLDTALKSRSSFKNELEDENGDNKELNSTNQCNNITAKKKEEAAGIISSIRQSLPERCGLSMGAESRKRLPLSRESSFRLDVEKGKPSTTQVPTPLTFDATKNLAPSLRGQISKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHSSFITKKEVPVGILAKSPFFKKPKPCEPANKAKSSILPPTESKVMNPPAGQNATNDRDTSILGCPSVTASMTTQASCKEESKAQCLTVDNDMADSNNLSITQGQGGMNSLGYSEVNKQPLAKAPGSTTVSGAEKSSGILGSGSQRKNTDAVHRDDKVKDPTSLRPGVFSSNRTLRCQRCNEAGHSTQFCSVDKLSLSAVKPISERTMKESSAKRNKTSEVTNMIAADTAASRPANQSEHIVKCGPYRNPTYRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSNSRASTDCSNLKPKECQTMPDMAGRFIDDSSTMPDALMDKSNKVLLPGHGPTVATVPELNFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQGNGPSYENIGLFFFAKDTDSYENHYSKLVQNMLKNDLALRGNIETAELLIFPSNILSNNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNSDPMDVDQSISVLTAGHSSSEDQNNGAKSDHDLVKPVPCADYQFPWTIETNHQGCLNGEHISNQPMSRNESEDHHHISITASCSTNNSTDLATEQQNISCSEDQDTKDSSNSNVCKAMLDVKTVPVTCSISSVHEKGKDSRAFNLNDVDNLVDVDINNCEVNSGKVDPVSHFTASPHKRNVEVANWVDEVNGKLEQKRIKLDNVGSANSSLSENTSDGRLSSKVHPLLSSSFDDSVDQSLAGSSKCNGKRVLLDLNTVDDDATGNVVDILSSDDEDMPERHVPEHEQHGDNKSPRKTMFSFLPPKVGENQNNERSRPTDTPGSLSLSLAFPASRENTDKLESDLQRQLPEMSNRNKDYPEYECTYMILLQCANRGVIHGVVLTDVQHPAELLHWKEQNYFAETVSCIPEGL >LPERR12G08760.1 pep chromosome:Lperr_V1.4:12:9298898:9307305:-1 gene:LPERR12G08760 transcript:LPERR12G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMTSHFKSFLKGLLNKDNETAPFEVKGSKATWKADEIQTSRKQPSAADPQSRNTVENRENLYGTENSNKKVEGPKQAAEDHLALDKLEKTSQTVKGAIAIVEDSEALSTVLSPIKNWLSNPPTSSREINIDGANQSLRIVKNLIEAGSYQSCAAIDDIICVFLEFTSVIIRTKLSDAYSLAVKCLATARKLLDTSEGAVLQSYDKHWSSLYELYSQILVSTIDPSGRISRESTACLALMLSRAISGLKASISSDNPKPMEESLIKIINHARSSQLLELLSSKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCVIICGPINSSDNVNEVEYGGDGTIVSDMFSLLSLCGSYLNKESKQNNQKCRLSNPHALVVHCCLALATIAACLKSQGNSSASVILTNSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQTRSSLCESALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKESSDEKEVSTHRSGLSPLGVVWTLSSLSQCLPGGIFREILYRREHVKLLTDLLSDMHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMSQYIQVLLEVSVPRCILHCFDHVDMEDLSRPLAIMAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSPLASNKVIELVVDRCSDSDKRTRKFACFAVGNAAYHNDMLYEELRRSIPLLTKLLLAPEEDKTKGNAAGALSNLVRNSSLLCEDIVSQGAIQALLKMVSSYSTVALSPSRRDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDSAISEYASAITTKAYQP >LPERR12G08760.2 pep chromosome:Lperr_V1.4:12:9298898:9307305:-1 gene:LPERR12G08760 transcript:LPERR12G08760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMTSHFKSFLKGLLNKDNETAPFEVKGSKATWKADEIQTSRKQPSAADPQSRNTVENRENLYGTENSNKKVEGPKQAAEDHLECTALDKLEKTSQTVKGAIAIVEDSEALSTVLSPIKNWLSNPPTSSREINIDGANQSLRIVKNLIEAGSYQSCAAIDDIICVFLEFTSVIIRTKLSDAYSLAVKCLATARKLLDTSEGAVLQSYDKHWSSLYELYSQILVSTIDPSGRISRESTACLALMLSRAISGLKASISSDNPKPMEESLIKIINHARSSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIMSLGSHNFSFPLANSWRQVHVTVDGKMQDQGSLPSLKRDSLPDPDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCVIICGPINSSDNVNEVEYGGDGTIVSDMFSLLSLCGSYLNKESKQNNQKCRLSNPHALVVHCCLALATIAACLKSQGNSSASVILTNSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQTRSSLCESALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKESSDEKEVSTHRSGLSPLGVVWTLSSLSQCLPGGIFREILYRREHVKLLTDLLSDMHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMSQYIQVLLEVSVPRCILHCFDHVDMEDLSRPLAIMAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSPLASNKVIELVVDRCSDSDKRTRKFACFAVGNAAYHNDMLYEELRRSIPLLTKLLLAPEEDKTKGNAAGALSNLVRNSSLLCEDIVSQGAIQALLKMVSSYSTVALSPSRRDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDSAISEYASAITTKAYQP >LPERR12G08760.3 pep chromosome:Lperr_V1.4:12:9298898:9307305:-1 gene:LPERR12G08760 transcript:LPERR12G08760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDNETAPFEVKGSKATWKADEIQTSRKQPSAADPQSRNTVENRENLYGTENSNKKVEGPKQAAEDHLECTALDKLEKTSQTVKGAIAIVEDSEALSTVLSPIKNWLSNPPTSSREINIDGANQSLRIVKNLIEAGSYQSCAAIDDIICVFLEFTSVIIRTKLSDAYSLAVKCLATARKLLDTSEGAVLQSYDKHWSSLYELYSQILVSTIDPSGRISRESTACLALMLSRAISGLKASISSDNPKPMEESLIKIINHARSSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIMSLGSHNFSFPLANSWRQVHVTVDGKMQDQGSLPSLKRDSLPDPDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCVIICGPINSSDNVNEVEYGGDGTIVSDMFSLLSLCGSYLNKESKQNNQKCRLSNPHALVVHCCLALATIAACLKSQGNSSASVILTNSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQTRSSLCESALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKESSDEKEVSTHRSGLSPLGVVWTLSSLSQCLPGGIFREILYRREHVKLLTDLLSDMHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMSQYIQVLLEVSVPRCILHCFDHVDMEDLSRPLAIMAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSPLASNKVIELVVDRCSDSDKRTRKFACFAVGNAAYHNDMLYEELRRSIPLLTKLLLAPEEDKTKGNAAGALSNLVRNSSLLCEDIVSQGAIQALLKMVSSYSTVALSPSRRDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDSAISEYASAITTKAYQP >LPERR12G08770.1 pep chromosome:Lperr_V1.4:12:9309483:9318920:1 gene:LPERR12G08770 transcript:LPERR12G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVGRRSSWRSRASTRSPFSTLSCRKSQGLRRRRGASVTISAASAPYLCLHRPSPDRDSARPTPFTSPIRSRLPKAARRSSDSGCICARCRTRVVSPFNRGDALAAPSIQGSVDPVRRWADALDCANASPRQGHQYEGVGVMKSAGSSFNLGYKNGRATKGHLGDDKENARMATDSEEHDRTEGDSGISTSSDEDAWDSEEEAGMSTDSEDNSCYNPTDIVVLTVMVQYTRTPANGKIVIVFQTLARGSLIEMSGPKRGIEILSDVLIEFDMRIKKGVEQEDDLQLIDGVCAFGCIGTTTGVTFKQHIYGDCGAVEIKVAHLPNAVEATVEVAILEVQSHFNLSIGYYVAWLPDEMQLFHGDIVESCGLRKFVLAAVMDNLVQLKFKISQRGSYAERCCSFEANRHGYSCQQINTEFASFMVKVTWLTLDLRGYRNKSV >LPERR12G08770.2 pep chromosome:Lperr_V1.4:12:9309483:9318920:1 gene:LPERR12G08770 transcript:LPERR12G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVGRRSSWRSRASTRSPFSTLSCRKSQGLRRRRGASVTISAASAPYLCLHRPSPDRDSARPTPFTSPIRSRLPKAARRSSDSGCICARCRTRVVSPFNRGDALAAPSIQGSVDPVRRWADALDCANASPRQGHQYEGVGVMKSAGSSFNLGYKGSLIEMSGPKRGIEILSDVLIEFDMRIKKGVEQEDDLQLIDGVCAFGCIGTTTGVTFKQHIYGDCGAVEIKVAHLPNAVEATVEVAILEVQSHFNLSIGYYVAWLPDEMQLFHGDIVESCGLRKFVLAAVMDNLVQLKFKISQRGSYAERCCSFEANRHGYSCQQINTEFASFMVKVTWLTLDLRGYRNKSV >LPERR12G08780.1 pep chromosome:Lperr_V1.4:12:9325034:9328239:1 gene:LPERR12G08780 transcript:LPERR12G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLHSSPHNSELFCLLCLVQLYICIRCQGRRGEEMADCNDKSSASSMGKETYVENKFGGITPKKPLISKDHERAYFDSADWVLGKQAANGSARAAIESLKPKLKRTPHHQLPPRKPTCASS >LPERR12G08790.1 pep chromosome:Lperr_V1.4:12:9331512:9332441:-1 gene:LPERR12G08790 transcript:LPERR12G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVMARFDKLDSVASGGFGVVYRARDRRSGDIVAMKCIRAISLDDAAFSREVSALDACSGHPYIVQPRAHGRGDDGEAVLVMEFVGPTLRHVIRSSRRKRSELEVRVAMRQLLSGAKRMHDVGLMHRDLKPDNVLVDARTGNLKICDLGLSQSTAAPPPYAGHVGTRWYRAPEILLGSTEYDERVDSWSLGCIMAELLTRNPPFRGSSDREQLSEILDVLGTGDVKQWRGYKGQRLPGGCEPESSLRCLFPCPEEAKMAGRPPLSEAGFEVLSGLLRCNPEKRMTVAQALRHRWFKETDSASLRHR >LPERR12G08800.1 pep chromosome:Lperr_V1.4:12:9335230:9340220:1 gene:LPERR12G08800 transcript:LPERR12G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHAVSVNLAAAPSLGLTMPNAAVDPPQVTFAAKHTEISEWEGDILAVAVTENDVSKATSSSIPMFTSSAAALAKLDGELGGLLSEAAAEEDFAGKSGQSVTIRLPAAGFKRVCLVGVGDGAAVTMMAACRRIGECVAAAAKSAKARSAAVAVVSWDWMMMIEGEDFRLSAAAAVASGVILGLHEDTRYKSESNKVHLKQVDLIGFGSGDEMDKKLKYANAISSAVIFSKELVNSPANVLTPAVFAEEASKIASTYSDVLTATILDEEKCRELKMGSYLAVAAASANPPYFIHLCYKPPGGNVKRKLAIVGKGVTFDSGGYNIKIGAISTIELMKKDMGGAAAVFGAAKALGELKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKVIDLATLTGYCRIALGPTIAGILTPSDELAKEVAEAYEASGEKFWRLPLEESYWEQMKSSVADMINTGSPLGGAITAGLFLKQFVDEKVQWMHIDMAGPVWNYKKQTATGFGVSTLVEWVLMNSSSS >LPERR12G08810.1 pep chromosome:Lperr_V1.4:12:9377945:9379507:-1 gene:LPERR12G08810 transcript:LPERR12G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAERDRALIFSPSAAAARTGALANLFGCTSSLGSSRSGYPNPPMHTPPTHREEWQNPKLINDREMERSLCHPHLLAGNQFFIFGF >LPERR12G08820.1 pep chromosome:Lperr_V1.4:12:9381759:9389049:-1 gene:LPERR12G08820 transcript:LPERR12G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGASHIVFPAIRAISPPLSPHGQQHVHKLRTQTIHHIRNRANQAMAGAMVSLILKLGELLKEEYKLQTGAREKIQSLSRELDSIHAALDELSKVPPEKLDQVVRLWASDIRELSYDMDDAVDTFLVCVDGHDATIPGCLARLVEVIGRFFGKTKVCHQIAGVIERINAELLNVANRRGRYTVSNIVTNSTTTTASTIDTNLSALFTDATHLVGIDGPKYDLIKMLSMEEMGVPQKKMKIVSIVGAGGLGKTTLAKAVYDNIKAQFQCCAFVPVGQNSDIKRIFKDILIDLDKRKYENIHDRTWDERLLIKEVREFLENKRYIIVIDDIWQLSTWEVISCSLVDNNCGSRVITTTRIFDVATDVGQVYRANQLCYGNADNNYEGRVITTTGIPKVAMEFGEVYKIKQLPYDSSKSLFYTRIFGSKDNNPYKDYDLDKLSHKILEKCEGVPLAIITVASLLANKRMEDWNKVYESIVLGHEDNRYLGSTMKILSLSYYDLPCHLKTCLLYLSIFPEDYVIDKHQLIWSWISEGLIRKEHGRGIFELGEFYFDQLINRGMIMPFEAEDEGYILGCRVHDMVLALIRSLSSKENFVTLLDDTFAQNTSCRRLAIHGRNVEQRHMDNLNIPHVRSFHGMYMSDIGVLPSLMLSFKVLRVLDLQNCSTKEGSYDLRHLCNLLHLRYLGLTNSSIRELPTDIGQWLRFLQTLDLWETGIEELPSSVGLLTKLLCLRASEKTRVPVWIGNLTSLQELWIWCDTDQVSPRNFVNGLGKLTELRVLRTSITCDDPEWDDNIRNTLVQALCNLDKIRIMHILGALRRNCTTWEAGFVSPKDINYLYLCCLCFHSLPVWIDPSFFPNLIHLNLSVQVVGEQDMEILGSLQMLCSLQLIGMSSPVVSIPIHGADGALLFHKLRFFTMGTSIRFVQHNVAPAVASITVFPKLESLSAVLYVRFYKDQHLDFDFGLGHLPISLRKVKVFIGCHYACEEEVAEAEDTLYVAVFKHPALPALELERLGENRMVQSDQNNEVGMNRSDFC >LPERR12G08830.1 pep chromosome:Lperr_V1.4:12:9390041:9390754:-1 gene:LPERR12G08830 transcript:LPERR12G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIVPRHIQLAVRNDEELSKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >LPERR12G08840.1 pep chromosome:Lperr_V1.4:12:9392368:9393359:-1 gene:LPERR12G08840 transcript:LPERR12G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGIELRFLNWARFLGLYLGKWNFFFPEYLCYEFHSCAVTYTFAVDMEESMHNENKSASLANRHAGKENFGPFGKLY >LPERR12G08850.1 pep chromosome:Lperr_V1.4:12:9401119:9402691:-1 gene:LPERR12G08850 transcript:LPERR12G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPAYHDPPTPQAATPKREATPAWEAAVGSSGHGAPSSSHPVQVVDLGSSSDSESGGARTGFMIALDTEPLVRSQERARMIMSRALEEANEVSLEGIQAQVRALAAREVTVARREIEVAKGHTELAKTARVEGFIRKQEVADIERRRGELNKIFEDTIVERHTIDLRILTAAATEEGVRTTAAAFTRELDDRAQELNRRDRVLRDAEATAANTDVKLRVREDGLAEHERTLEAAQQAVEEREAAVARAEDDSTAQEGNMAAQERAIAECEVAVEGRKVAILQAQQGVSRSLRAIEEREQHLTAASRHQGEELEQQEWDVTAREQAVGDVEPRARELEQPRAGPAAPADSTLQRSGARHGAGAPMDCGPGARARLGHHDHGRIRRASSRGGSGGGGGTAPQCLRQLQPGGLDTQVDVLAEGIKGVPEEVDEVAKDSSFATVILVSYQARNPDFDPYIPTEDFPEGTEEGARRRVADVVESIMVGFDGTLVAFQLANREDPPAKGGTEDALGDPPAA >LPERR12G08870.1 pep chromosome:Lperr_V1.4:12:9404159:9404365:-1 gene:LPERR12G08870 transcript:LPERR12G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSWGVCFPSPRFAPRTSSLHLFQQFFRMCRAGSLEAVGYVTIQLCNDRVVDTPFIEIHPRSSWEN >LPERR12G08890.1 pep chromosome:Lperr_V1.4:12:9435951:9437899:1 gene:LPERR12G08890 transcript:LPERR12G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAVIGDVTSRAISLLVGNLKVDQNTEGKLQRICNMLIKINSVVEEAKGKQITNHGTLEWLSELIDGTYQGRYLLDTIGCGEPELEDQNGDEIDKAVESLQSICGDLKEFIMLLQGCQPIHGPLATNIFIEGQMFGRHVEKEMIINFLLHEDHLSTRKLGVLPILGDIVVGKTTLVQHTCDDPRVRCQFTTILLFTFSHTYKMDVQEPTSVLRPKHIIGDAGNSDHPLNDLKKNFFNKRFLIVFEDVDMHKMHMLEELLLNLDCGKQGSKIIVTTNNKHVARIGTVQPIKLKFLLRPEYWFFFKAYAFPGTDVQENPRLVAAGKSIAVKLNGSFFELAKLNNLFLPSPDSFHLSPHSTELLLAKQATFERMLLCKAVLPFYSL >LPERR12G08900.1 pep chromosome:Lperr_V1.4:12:9473790:9476707:1 gene:LPERR12G08900 transcript:LPERR12G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIGRVKSSKAKKSSTVPLQSREKNSVKGEGVAGSNSRQVAPDDNIPLGAVSYEREKRSGHIHNRFSIGIIGQFHYKAYRDKWIERNMSITRLTYAASSRDEVFYEACPWLESDCEDEFFSVNGDGTPARSLRSTNSSNRTTPPEPRKLPTLGAILKMKAEPSQSPSRTTRLADLLREKQESFAYYDGAACAISRNGSSCGGEEGSYCCIPSFPRTSVSYTGRRKRS >LPERR12G08910.1 pep chromosome:Lperr_V1.4:12:9516752:9521535:-1 gene:LPERR12G08910 transcript:LPERR12G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSPRLPATGEPERKHEDAVVDAEDPGSTCNGASSLEQPLLKRSNTLTASHLAMVGAKVSRIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVRDKRYWAGFLYFSGINLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLFVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGWGKYKLKWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGMFGEGGLILFDVGDVAVRYHPGDLLPVTIVGVLGGVLGALYNHLLHKVLRVYNLINEKGRLAKLTLALAVCVMTSVMLYVSPFAVPCTPCSPEFGESCPTTGKSGNFKQFNCPGGHYNDLASLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRITALLLSRFARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLTVGELAAAKPRAVTLSVVEKVSTIVDVLRSTRHNGFPVLDRPRPGVSELHGLVLRSHLVAVLRKRWFLGERRRTEEWEARERFTSAELAEKAGDVEEVVGMISLEEMEMYVDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKFQGPEISPIVGILTRQDLRAHNILDSDY >LPERR12G08920.1 pep chromosome:Lperr_V1.4:12:9534345:9534641:-1 gene:LPERR12G08920 transcript:LPERR12G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEMLMQLLLVASAVAAFAVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEAERHPRPQVSAAAVAATGGKKKTGKNK >LPERR12G08940.1 pep chromosome:Lperr_V1.4:12:9566848:9568069:-1 gene:LPERR12G08940 transcript:LPERR12G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHNRQRPPHRHHLPPRRLHRRQYSTTTYEVSLPVDPPIRNRAWLGSNHDWIVTADADSTTIRLVNPGTGQQIDSLPPVGTIEHVRRHVSDDDDYDYDYEIFQYNWTMEQRHDRPPIELKAGDLVAYLLMRAYMSSDPSLDGGRDCVVVVLHQPRYQLSWGWVNLPDSDYYTDVVYNDGDGMFYAVTHQAAIHAYDFSGGTSVVLGIKDFLPVALGSRLWADTSFAAKSSCPARSTASSTPKPNYHVPASPNGGGSGSGWIQVWRMLEPVHDTAANSVYRKKTICNQPFWLPATGGSCLVLPIHIYCTDNEEDYALLYPEAPRDIGVYSIADGSFSPFRPTQPWQTWPLPTWIVPSFGYYRQAS >LPERR12G08950.1 pep chromosome:Lperr_V1.4:12:9572655:9574078:-1 gene:LPERR12G08950 transcript:LPERR12G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCRGAAADRLSALLLKILTRLRCADSLQQLNVDANFQISINIVTPMLMKLYLNTHRGVNTESGFTFSAPAVEDLTWKYETQTSSRRFGVRWRMWSISFSSSLDLDNYSEIAGLPSSWQQSTDVGVLSLNLQTYVLQEIHPRALRKRYSNFRLCPTFLFWSWIWRHEDMSTEQSSCICLAFIDNGCFVDCRCDQPNNWRNQSISLTDHKEVEINGFRGQGHEVDLLKILLRCAIALERVTVRYSRKVSPSEIGSGCMEISGILEGYPSVKYNIYYHKSGKRVLICN >LPERR12G08960.1 pep chromosome:Lperr_V1.4:12:9586916:9587746:-1 gene:LPERR12G08960 transcript:LPERR12G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLHPTFIAPFIRIGDWLQHESAPGSCMFKHTHGQTLWEMADGDAAFNEIVNDGMASDSLFVMDILVREHGEVFKGIGSLVDVAGGNGTAAKAIARAFPEVKCSVMELAHVVQDAADRGGGDGGVEVEFVAGDMFESVPPADAVFLKNELIFK >LPERR12G08970.1 pep chromosome:Lperr_V1.4:12:9597528:9600620:1 gene:LPERR12G08970 transcript:LPERR12G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETANNDHGDIVYPRIYATTLDDLSKEQQSEILRELEEEKEEMMKLVLAHFLKRKSNAIKKKQKPDNIELSASEGAKAMFDFSSAEGPIVLPYEFRAKEDDEHLDQQSTVRQGSCSDEHEEPPNHEDELDSNQRMMQDIVQVKNSPCLSNTSVKLLAGHNSAMTTSLIKEIDLVRLRRKSTQCRREAIRQGSKSGCRNLEVLRKVPQYMGEVAYRNLPKGSGGNNSKLGVLKTVQLGLSISKIEKKPRHQLGLSNWQKRKLEKLSAQELKKSSMAWVPKRRVQFQGKDDANAEGVAKTKESQQTHKELLSQSDHVQKNHLRRPIFTGVDEDLHNVYMD >LPERR12G08980.1 pep chromosome:Lperr_V1.4:12:9604444:9613258:1 gene:LPERR12G08980 transcript:LPERR12G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTHAGGGDAGEGSGEATNWRKEAEERLRRLHSLQFGADVALAEGKGRDPSAAQVLALRLLGFLDSQSQALCVPRGGTGHEASFVAPIRAAASSTLAAAIRARADKSDSAAFKLAEKDVACVFAKRGEVSIEKIKCSKYFQALLQNSRGHGAEQMKTADCKESTIEGGPHVEENPADIENEKLSIRASKLVTQRKLTSLFSHKPLKANGVPDGNMFKSVDAISKENVSVENGVRMNHTDNRNTAYMGLEEDDRPRVPKRKHTGFRSPICERPNSPVSNDEADAPASEFVTARTKLEMDSVQKHGHNVSQGASISPQCDNNMSNRNYGVRPSWNSRRGLRGNFVPPIRNSGGSAGNTTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNGTGKTMIGKAIAGEAKATFFCISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFKLTEEETNTVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGIYEEWNRQFGSLAI >LPERR12G08980.2 pep chromosome:Lperr_V1.4:12:9604444:9612136:1 gene:LPERR12G08980 transcript:LPERR12G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTHAGGGDAGEGSGEATNWRKEAEERLRRLHSLQFGADVALAEGKGRDPSAAQVLALRLLGFLDSQSQALCVPRGGTGHEASFVAPIRAAASSTLAAAIRARADKSDSAAFKLAEKDVACVFAKRGEVSIEKIKCSKYFQALLQNSRGHGAEQMKTADCKESTIEGGPHVEENPADIENEKLSIRASKLVTQRKLTSLFSHKPLKANGVPDGNMFKSVDAISKENVSVENGVRMNHTDNRNTAYMGLEEDDRPRVPKRKHTGFRSPICERPNSPVSNDEADAPASEFVTARTKLEMDSVQKHGHNVSQGASISPQCDNNMSNRNYGVRPSWNSRRGLRGNFVPPIRNSGGSAGNTTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNGTGKTMIGKAIAGEAKATFFCISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFKLTEEETNTVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGIYEEWNRQFGSLAI >LPERR12G08990.1 pep chromosome:Lperr_V1.4:12:9614615:9621272:1 gene:LPERR12G08990 transcript:LPERR12G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFASKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVEALEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQARIVRRDNGAKADIPTVDLVQKVNGLLDEVQKNLFETAKQKRDACLKIINTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >LPERR12G08990.2 pep chromosome:Lperr_V1.4:12:9614615:9621588:1 gene:LPERR12G08990 transcript:LPERR12G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFASKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVEALEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQARIVRRDNGAKADIPTVDLVQKVNGLLDEVQKNLFETAKQKRDACLKIINTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >LPERR12G09000.1 pep chromosome:Lperr_V1.4:12:9680831:9681070:1 gene:LPERR12G09000 transcript:LPERR12G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARSPRDTGTGTGPGEATLTCIRHGGACRLVAALRLRTVFTAGHYPCLLAGYGSSLAELRHVGVCYTLVARRGARLF >LPERR12G09010.1 pep chromosome:Lperr_V1.4:12:9709166:9719004:-1 gene:LPERR12G09010 transcript:LPERR12G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPVSVWASWAYLQNPVRPNLLLRLGLIGPPSLYYSLAHDADPHRRRRRRRLSHPRAPPTLKRIRIRAPTPTSIRPDRPLDATMTATLKLWKSSQKMVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYEPGLDEVVKESRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAREPGVEERRARARARSAAADPRLAADPRAEVSTLIRLPVLQSEDKMVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYELGLDEVVKESRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYSHWVPEDRIITANLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTSFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVV >LPERR12G09020.1 pep chromosome:Lperr_V1.4:12:9726390:9728092:1 gene:LPERR12G09020 transcript:LPERR12G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLECCSYMLPLLTCCLFFIYTICYMITTSSRTSDDHLAPKSYPVIGCLVDFYRNRRRLLAWYTSLLAASPSQTIVVDRLGARRTVVTANPANVEHILKSNFVNYPKGKPFTDVLGDLLGGGIFNVDGDAWYAQRKLVSHEFSARVIRDTVGVALEREAAATLVPALDAASAGDGGMAVVDMQELLRQFAFNVVCRVALGGDGEMALAPLPLARLASAVIHDAVMVFVRRRRRNNNLASASGGGGLVARMSAAGYGDEAIRDAVVSFIMAGRDTTSSALTWFFWLMSRHRDVEAQILLDSSSSGATVLLHAALCETMRLYPPVAWDSKHAADDDTLPDGTRVRRGDRVTYFPYGMGRMESIWGTDAGEFRPARWMTSSSSPASEGVSPFKWPVFQGGPRTCLGKEMAFVQMKFVAAAVLRRFELRPVAAAEGSPPPEFVPLLTAHMAGGLKVTVRRRSQRRQNGTCGR >LPERR12G09030.1 pep chromosome:Lperr_V1.4:12:9743208:9749837:1 gene:LPERR12G09030 transcript:LPERR12G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKNSSPAAKPAEARVGSLLAGLPSRGNFVSDNIASSMGGLQVYVCLHETAPPEGQVIDTDTTNILIRSLQLSKQKSEAKDVGSRTPGESSKGKRSASRISDGKNPSKRPNTGSTAGSSSLEETSVFSEQTLQSFTDELIARLIEASE >LPERR12G09040.1 pep chromosome:Lperr_V1.4:12:9751783:9755199:1 gene:LPERR12G09040 transcript:LPERR12G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGALRGPSDYSQEPTRHPSLRINAKEPFNAEPTRRDLVASYITPVDLFLKRNHGPIPILDDIDSYYVTVDGLIDRPAKLTLDDIKKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWAGAKLSDVLQLIGMPYHTEITPSGGKHVEFTSVDQCPEENGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIISEECQGFFMQKDYKMFPPSVDWDNIMWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVEISIDGGKSWFGASRYQKEGVPYIAGDIRSDKWAWVLFKTVVDVKDDMEIVVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >LPERR12G09050.1 pep chromosome:Lperr_V1.4:12:9757304:9757645:-1 gene:LPERR12G09050 transcript:LPERR12G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPVQRLLHRGVIDSTKAWQPGHPQDARPHRHRLAALNATPMTPNCNTLAVAMDHTKSIDIDEANPRASMSNPPRKPSVVPDPNAAEAVLKQRRTLHVCLSTPHSAKPLAT >LPERR12G09060.1 pep chromosome:Lperr_V1.4:12:9817887:9818488:-1 gene:LPERR12G09060 transcript:LPERR12G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRDDTPPLNESLMFLCLKAWRCLCASVVICVGLSSRTTTLTPMAEGFASAKSTSMTRQRITDGTTISGLTKNRVRKTRDTSTTRFTRTTNTFCIWSVMRKSRSNRRRDEGSYRRRCVGTRSSGEREEAEAREADRERKRERIHRAKAAGPEAIRKGKYPRCTQ >LPERR12G09080.1 pep chromosome:Lperr_V1.4:12:9821658:9822170:-1 gene:LPERR12G09080 transcript:LPERR12G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVMPLVNSGTTFAPHWTMADDFTEHATGGSSMRAPSPRMSIMDSLFAIDPYVNQHYYTDASPATQLTQPSEQAPDVTPTPEVRFRHPSDPLTYPREQIRQRKKGGPRKWGKQGP >LPERR12G09090.1 pep chromosome:Lperr_V1.4:12:9822937:9828925:-1 gene:LPERR12G09090 transcript:LPERR12G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWVLWTPYENQYILGRAPQGIAILCTRGHLSWKTTRHLVFDVHVEPYHAHIVMKQFGMYHHHPSSACRQLDESLHTYSRKGLELSYEGAIVQKFAPMVVLWTDAANDPFFGTTPTMAPHTRPT >LPERR12G09090.2 pep chromosome:Lperr_V1.4:12:9822704:9828925:-1 gene:LPERR12G09090 transcript:LPERR12G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWVLWTPYENQYILGRAPQGIAILCTRGHLSWKTTRHLVFDVHVEPYHAHIVMKQFGMYHHHPSSACRQLDESLHTLSLSMLMIAF >LPERR12G09100.1 pep chromosome:Lperr_V1.4:12:9841392:9844686:1 gene:LPERR12G09100 transcript:LPERR12G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVELSNPVQQSAARKFYMGGGLGGPPSNFSPSLVEPWIPKLLQEYHNSFTSAVDTNKDDKNIQAGQGEIARAFVKNSIPSSSGQCAAPFTGGQKRKLSNWSTLSAEENILFPFPRIRTHASTWPSTRDRISNSTCYPFAKSVISSAEENRNAKACLVPRNFQFCCGYRTPGTSSSQSVLQSTSNLSPNFVRERTIFFWRQHKPAPNQRRLLSILLRLLH >LPERR12G09110.1 pep chromosome:Lperr_V1.4:12:9845608:9848040:-1 gene:LPERR12G09110 transcript:LPERR12G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFSSDLRGCPVPRFCTGSRTIGSSSSGIEGNEFDKPDRRGALLSDNTRIAISQGMHKEEKRGQTVDFVAHSGDEHSDNGAEDASEEIQIDDADDYASTSDEEGVDCRDNVGHTTNNVIPIHTIDNSSHRDGSIYRVACRWKTDYSIANRNETWLDAMMLSDPKDCIQDGENCIVHHPHRMLQIFSLRLTKIPVDSGYVELYGYIAVRDSLDPLLNYVFNLSRDCPIIVEQGSLIRMTGPKRGIQSYGTILIEYDMRIKTRERDKDLQLIDGVSVYDDSIYAGHSFTNRIHGDCGAVDITLSLLDGAVEATVEVAISEVRSSFSLSVSSSISGFHDEIQLFHGTICVPCSLRRSVVAVEMGAWIHLEFNLGTESYSCSFKAKKHRCDSQDIKTELGIISVKVTWSTLATFCDPQKKQLILW >LPERR12G09120.1 pep chromosome:Lperr_V1.4:12:9908909:9910028:-1 gene:LPERR12G09120 transcript:LPERR12G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILIRSRMDRIEDQKNMTRLIQLMSESSDLVLKVVKNSNAKDALLSVLTPLVEEGIAGPDPALVEAKKQAEEQHLSRQKDSAEKKLAHAITLNVKSHEQANYYKDKLDTLSKKHEDLKKKAANELSAMKIKYNDEFMKMKAGLEEARRINAELCQAAEPILDILHAATAESNTSSLQSVIEHLQAAPRRLKKIILESASVACGQTLAVIKSLYPKLDLRVRRRDD >LPERR12G09130.1 pep chromosome:Lperr_V1.4:12:9921864:9923250:-1 gene:LPERR12G09130 transcript:LPERR12G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLKSRTQKHKIEFSSNLGGPCGENRRTFMDEVVMFTRLRSPLIGVRYWNDLHEDVKNHIAESVMKISSQNSSNRRQLRTNHSMGSKPFSQCSYEKNNTARKLSLTKSDEVGYDEPRSYTSEAAKEDHLFHKTYKETTGCKSNNNHGHGYLSKSNRSQLHQERILQERLEKLKQRERELQKRVEEELAKKEAEKEAEMEQIKASIRQELMQEFQAMMAQNQQHTITRDEVTHEVISCQNLC >LPERR12G09150.1 pep chromosome:Lperr_V1.4:12:9954927:9955962:-1 gene:LPERR12G09150 transcript:LPERR12G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRWKETRRQRCRRRHIGIVFASSLPSRNPGPIGISWNFILGLYEKKACFIFVDGCSLSIIAGTDHNGDCILI >LPERR12G09150.2 pep chromosome:Lperr_V1.4:12:9954484:9955962:-1 gene:LPERR12G09150 transcript:LPERR12G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRWKETRRQRCRRRHIGIVFASSLPSRNPGPIGISWNFILGLYEKKACFIFVDGCSLSIIAGTGIKLS >LPERR12G09160.1 pep chromosome:Lperr_V1.4:12:9960551:9963757:1 gene:LPERR12G09160 transcript:LPERR12G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQALQQTSSPITGSSSSAVSPENFIKCLKKFYHHWKEDKSNLWESSSAIAVATPPLSDDIRYKKSLALSMWFFGRELPETIMLFTDRQIHVMCKQKGCDVLKPLKIHVSKAVSVDIVLHSLAKGDNGSSLMDDILRAVCSHSESKSVVIGHLAREKPQGKVLEAWSEKLNGSGLRLSDVSSGISDLLAVKDATEIMYVKKAAYLTASVVRKYVVSKLEKIIADERKVPHSKLTDLTQKILLSPLKVDVKLKAENVDICYPPIFQSGGKYDLRPSASSNSDDLYYDSGSLIVCALGAKYSSYCSNVARTFLIDCDGEKCNAYKVLRQAHDAAIAALKPGSKVSDSYQAAVSVVRDKAPELVPFLTKSAGTGIGLEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLLEKNSDYKNKEYSLWLADTVLVCKEKPHVLTAFVSKADDDAFYSFDEEKAESPSVKLSLNAQVMVPEKPVLKEELMLPLKENLRSRSRTAKEDLRKQLQAEILQKRTAVTAMNSNASNQKSLEGRGLRAVGELVAYKKASDIPCSNLSEIQVDKQNEAILLPIYGVIVPFHVCTVKKAEIRGDSSRVFVCITFNVPGTASNLQDPSLKTNANRIFLKAATFISKDRKHAEEVIQLTRTIQRGVTERARRASLVSQERLQLCAGMTRDRIQLMDLWIRPTFAGRGRKAPGTLVVHVNGFQYSASKSEKVDIMFCNIKHAFFQPAVREMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVESVGLSRRLAWDPDEIEEEKRERERRKGINMQFEVFVKRVNSIWSQPKFDQLSLQFETPSQKHGFNGVHGRSTCFIVPAPSCLVQLVESPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLSRDVVRIEVIPMTSYEEIKDWLSQSNMKYYESKLNLSWRKVLKQIMNDPELDGKNRWEFLNPDASDSGSESSETEDEQYEPSDADSCSESDDEDSGSESVVDSSQDDDAMDGSEDDDGDAAESWDEMERKAREADMEMGSESASEDERQRRREKALAKSRRPNHPQAKGSAQKRQRTN >LPERR12G09170.1 pep chromosome:Lperr_V1.4:12:9965326:9967329:-1 gene:LPERR12G09170 transcript:LPERR12G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFEDKNKNPSWFTNKTERIYWEQWFINLHVASPKGHGKSRSSKATSIGGKALEEARSKRAALGLLIQEVLFQIINFANEKKDHIPAISDRIFNHEISIPSSSDSVFGWNVDVLRRVLNS >LPERR12G09180.1 pep chromosome:Lperr_V1.4:12:9967570:9974296:1 gene:LPERR12G09180 transcript:LPERR12G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPRGQFGKPQKLCARKFPAASTPKTRLGSASGAGALTAAARGAADRLGCCWPPRVGFDALRHLDKIGIPTLRLESQKYLEFRNKLNCSCFHQSQVIAMSTDVNNGNSESNLKRSYQVVVAATRDMGIGKDGTLPWKLPGDLKFFKDITMTTSDPSKKNAVVMGRKTWESIPLQFRPLPGRLNIILTRSGSFDFATAENIVICASLDSALELLATTPYCFTVEKTFLIGGGEVLRQSLNAPYCEAIHLTDIESSIECDTFIPPIDLSVFHPCYSSFPVVENGIRHSFTTFVRVTKSIAEDNDSSGKVLTGNDPNKYKFETDNYSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTISKFGCQMRFNLRRSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYIDMHADYTGKGFDQLMDVVNKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVQALEEQMRKQPKPFPILKINPIKKDIDSFVASDFKVVRYDPHQKIEMKMAV >LPERR12G09180.2 pep chromosome:Lperr_V1.4:12:9967570:9974296:1 gene:LPERR12G09180 transcript:LPERR12G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPRGQFGKPQKLCARKFPAASTPKTRLGSASGAGALTAAARGAADRLGCCWPPRVGFDALRHLDKIGIPTLRLESQKYLEFRNKLNCSCFHQSQVIAMSTDVNNGNSESNLKRSYQVVVAATRDMGIGKDGTLPWKLPGDLKFFKDITMTTSDPSKKNAVVMGRKTWESIPLQFRPLPGRLNIILTRSGSFDFATAENIVICASLDSALELLATTPYCFTVEKTFLIGGGEVLRQSLNAPYCEAIHLTDIESSIECDTFIPPIDLSVFHPCYSSFPVVENGIRHSFTTFVRVTKSIAEDNDSSGKVLTGNDPNKYKFETDNYSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTISKFGCQMRFNLRRSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYIDMHADYTGKGFDQLMDVVNKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVQALEEQMRKQPKPFPILKINPIKKDIDSFVASDFKVVRYDPHQKIEMKMAV >LPERR12G09180.3 pep chromosome:Lperr_V1.4:12:9967570:9974296:1 gene:LPERR12G09180 transcript:LPERR12G09180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPRGQFGKPQKLCARKFPAASTPKTRLGSASGAGALTAAARGAADRLGCCWPPRVGFDALRHLDKIGIPTLRLESQKYLEFRNKLNCSCFHQSQVIAMSTDVNNGNSESNLKRSYQVVVAATRDMGIGKDGTLPWKLPGDLKFFKDITMTTSDPSKKNAVVMGRKTWESIPLQFRPLPGRLNIILTRSGSFDFATAENIVICASLDSALELLATTPYCFTVEKTFLIGGGEVLRQSLNAPYCEAIHLTDIESSIECDTFIPPIDLSVFHPCYSSFPVVENGIRHSFTTFVRVTKSIAEDNDSSGKVLTGNDPNKYKFETDNYSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTISKFGCQMRFNLRRSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYIDMHADYTGKGFDQLMDVVNKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCGDAHVYRTHVQALEEQMRKQPKPFPILKINPIKKDIDSFVASDFKVVRYDPHQKIEMKMAV >LPERR12G09190.1 pep chromosome:Lperr_V1.4:12:10042037:10042630:-1 gene:LPERR12G09190 transcript:LPERR12G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQAILKSIWSPFTAVFRTKFSPATPSNLVVLKSSGEITGDSHDDAIVTKKSRSSLEDLLKIEASTNPPEENNSSSEESALQLVVSFPNNGSTIACCPPPPPAAAGGGGAVVVRPTMRTVRVNRLVVLVPAALRARSRAGKTMDAGMQTKRGGSYWRIGGRGGAGGERSELFYQRPIPLGRRCRVQHLEEGAYVM >LPERR12G09200.1 pep chromosome:Lperr_V1.4:12:10063390:10066996:-1 gene:LPERR12G09200 transcript:LPERR12G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSCCYNGEKHARSQLCCANPSLRQWWAATRGSAVSEVAAGAVGRGLLLELTPHKLAMCPSPSISSPSHTTLLGLFLFARIRGLYMTLAEGSELHTQ >LPERR12G09220.1 pep chromosome:Lperr_V1.4:12:10111223:10113589:1 gene:LPERR12G09220 transcript:LPERR12G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTAAFVAVAAIAVVAAAMARPAAGQAAAPAGSPAPPYKNHTVAGADGWFFNATSKTTSGNYSDWATGETFYLGDYLIFKTNENSSVAQTSNVTAYTLCDAEGPETLIYSPRGGDPAATTIAVPLTAEGPNYFFSDADDGAQCEQGMRFEIKVAHGRGLPPDLAHPPPADKPRVLAPPPDGTSLNPGVVGAGAGAGGDLTTEGKSGGRRAAGVGGGLLGVAVWVGLALLVAG >LPERR12G09230.1 pep chromosome:Lperr_V1.4:12:10161008:10161295:1 gene:LPERR12G09230 transcript:LPERR12G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARAPSPLKVAAIVAVCVVLVLCFSSPTPAAAQMICNQCDQDCTSSCTGSGGGSCSGACSDPSSTACQGCKQAGFQKCFNSCINFCHNTCVNN >LPERR12G09240.1 pep chromosome:Lperr_V1.4:12:10169804:10169983:1 gene:LPERR12G09240 transcript:LPERR12G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSQCEQDCNSSCSGGVGYCGICNTDPSSPDCASCRQAYYYKCMNSCVPSCRANCVNS >LPERR12G09260.1 pep chromosome:Lperr_V1.4:12:10208108:10211467:1 gene:LPERR12G09260 transcript:LPERR12G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTARSAAARLLSSSAAAAAAAPPLRTSRRRGHPSLLPSSRSKTTTTTSKRPPRKDGGGGGGGGRHETGRHGPRPSLFQELSGLVADDPAFQPRRDGQERCGVGLGTARCTEGVRRIVPEGAASGSASGSVSNTDGLGFLSNGGIGPRSSVTGAASDDKSEVSIREAGEGNAVDVEDISEVVHRVTEVLRSEVAGSSVEQRLEILGVTYTPRLVSMVLNRCFKKKHLGFKFFDWVRRAPGFRHTTETYNTMLYIAGEERNFGAMEKLMGEMDKEMCLKDIKTWTIVISSYGKARQIGKMLSTYQAMRKLRHVAADSKVYRTILHALCNSAKPELALEFYKDMPRNMEVGSDIYRLLMCCLAGSDNAEAVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKVFQQMVNNSIANSPAFEILLRGLCKGGRMDKALQVMEYMKSKSAASSAAFGSVIDGYLRKGERMKALKLLQEMKEYGCVPLASSYTQLMQHLFAFDQHDAACRLYDEMQDNGIEPDVVTITALIGGHVRSGHISEAWDAFRKINENGQRPTLKAYTVFIQELCKASRPLEALKLLNEMLESDFRPSEQTFSRIIFSLRDNHYLEEASNIQRMQASFSPREELQCRTLDQVDYTDKIKKISRSGPEEKERTLESVGHPLYEDREVTGSLPCDGTQNIEQEKDYNDEDFEQIYQILLSSECWSSVQQALEMASLSFTPNLVDAVMKRCKANSRAALQFFSWVGKRSYYKQTTKTYNTAIKLAGSAKDFKHMRHLYREMAWAECCPTVDTWNVMICQYGNAGLTEMALETFYKMKQCGFLPDKTTYNRLIMYLSRRKGRKVDAAIKIFHEMCHAGCIPDNGMVCTYISALCECGMIDHAKSSVVLLCKHGFSIQAGYSILIRSLCRSDKIAEALSLFDNIEKYGCSRSAYMYGSLTQALLRKDRFEDAAAMLADMKNLGIPQSTHMYTSFMIYYLGKRDVSKAMDVLKEMIDNGCEPTVVTYSALIRGHMAMGMVSEAWDVFQNMKLKGPAPDFETYSMFMSCLCKAGKSEDGLQLIHDMLDSGIIPSAVNFRTVVHGLNVEGKYKLADSVLRSKWHLRSQRTFSDSLVADSCV >LPERR12G09270.1 pep chromosome:Lperr_V1.4:12:10220645:10223147:-1 gene:LPERR12G09270 transcript:LPERR12G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGHLAAAGTAGASRRFARRNPLLPTVPAVPSSICRDLGASIARASSSAGAPPSRSLSDRAGVGGGGECWSCGARGAFLSCGSCGSVQPVDPAVDFFRIFGLEREYNLKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIHIDEEKTITDPELLMEMMEIREAVNDASDPQTLKKIQSQIKKKLETWSHSFQEAFEKRDFDRAVKATQRMRYYERAMEETVKKL >LPERR12G09280.1 pep chromosome:Lperr_V1.4:12:10228798:10236723:1 gene:LPERR12G09280 transcript:LPERR12G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLHGFLLFACTEDDIPEEENTGDVANNLSIVNPQNSEDDILRRSDSYDANAMDLEELLKQRTFTRSEFEYLSELLLSRTVGSKLFNSGVGNMKKMHFPDKASGYRDLPVDFSVRPSNASDQVASPAELAKAYMGSRSLKGSPLRLRLHDPSSVPTKSIEANTKNTTRPPTLSLLPSARMHASMTSDRLGSNYTTPNRSAIYKMSSSPYFKNAVSSMDLSGTVSSYQAPSSLHTFGRQVLKRKSTALNNESASVGPIRKMHQRYNRVSPLLETRPGHRGYIGSHASKLDEGLERSVQSQKRRCVDKVGDVTQSGVHDRVANGSSFGQAPAHSIQMAAKILKQLDTIIPSQKEGTLATMQKPADVLDVDDPISQKNEVSAHGSLLKPSSSGVKESLHISNGTATFTSTARDVDTVDATSDRSAALVPKDSLVENYRGGTTLHQGNDKTERKQSPILENNDTSSGIINKEKPPTFSLRSHAPNLVLSSEIDRNKMLASSNGFSFPIPAALAAHAQAPPTPTLASPPKLSVEKQQLPASSSAPVTVENTARVFKPDSEASISNRCDTKANADNPPIPSQSSGRVVSFTSNPVFNVISSKPTTLSNGLTDTTKPTAASVFPSSGSTKSVCSTNAGSSTTSFPKFSFQSGFQTSTPSAEQSSGTQVKTEPAITAPFGTVSSFTGGSFALSNMGAGSSSSSSMISAGTTSQSSSRTSAPFQFYSQSGSGSSLAGQDESKAASSGAPSSFSPQFGTTSLFSAQRKSEAQSGNSNSLFSQITSNTNLSSSEKINPGSSPSFANSPFGSSTPDTSSAVGRTSISASPMFGNSLTGTTTPSFGSPNTASATSPFGSTSSPVFSFTSSTPTVPNASPATPLFGTPNPTVGLSTGTDQMNGGQMTVDKNQFTFSAASPFDQPSSSPPIQFSTPATQFASTTVSSAGLFQFGQQNQASPGGFSIGTGGNSEKSGRRILKVKRKK >LPERR12G09280.2 pep chromosome:Lperr_V1.4:12:10228295:10236064:1 gene:LPERR12G09280 transcript:LPERR12G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPKKRTLETLLMSEFEYLSELLLSRTVGSKLFNSGVGNMKKMHFPDKASGYRDLPVDFSVRPSNASDQVASPAELAKAYMGSRSLKGSPLRLRLHDPSSVPTKSIEANTKNTTRPPTLSLLPSARMHASMTSDRLGSNYTTPNRSAIYKMSSSPYFKNAVSSMDLSGTVSSYQAPSSLHTFGRQVLKRKSTALNNESASVGPIRKMHQRYNRVSPLLETRPGHRGYIGSHASKLDEGLERSVQSQKRRCVDKVGDVTQSGVHDRVANGSSFGQAPAHSIQMAAKILKQLDTIIPSQKEGTLATMQKPADVLDVDDPISQKNEVSAHGSLLKPSSSGVKESLHISNGTATFTSTARDVDTVDATSDRSAALVPKDSLVENYRGGTTLHQGNDKTERKQSPILENNDTSSGIINKEKPPTFSLRSHAPNLVLSSEIDRNKMLASSNGFSFPIPAALAAHAQAPPTPTLASPPKLSVEKQQLPASSSAPVTVENTARVFKPDSEASISNRCDTKANADNPPIPSQSSGRVVSFTSNPVFNVISSKPTTLSNGLTDTTKPTAASVFPSSGSTKSVCSTNAGSSTTSFPKFSFQSGFQTSTPSAEQSSGTQVKTEPAITAPFGTVSSFTGGSFALSNMGAGSSSSSSMISAGTTSQSSSRTSAPFQFYSQSGSGSSLAGQDESKAASSGAPSSFSPQFGTTSLFSAQRKSEAQSGNSNSLFSQITSNTNLSSSEKINPGSSPSFANSPFGSSTPGSSTTNSSAVFSFAAVSGTTSATVASSSSSPDTSSAVGRTSISASPMFGNSLTGTTTPSFGSPNTASATSPFGSTSSPVFSFTSSTPTVPNASPATPLFGTPNPTVGLSTGTDQMNGGQMTVDKNQFTFSAASPFDQPSSSPPIQFSTPATQFASTTVSSAGLFQFGQQNQASPGGFSIGTGGNSEKSGRRILKVKRKK >LPERR12G09290.1 pep chromosome:Lperr_V1.4:12:10239582:10240596:-1 gene:LPERR12G09290 transcript:LPERR12G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMGCCSCHLLDEQDHELLRPSCKLQSIYTDDIAGVFSGPICSILSMSLTKLFFHYGELEHFTEKEESALQRLISLQKLTFQTCPKLQCLPSVLHRLPTLRRLEIWNCPAIVSLPKDGLPSSLQQFVVLACGNDELKQQPLEQTVMDSWYCLHQSSPQYT >LPERR12G09300.1 pep chromosome:Lperr_V1.4:12:10246630:10248496:-1 gene:LPERR12G09300 transcript:LPERR12G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDIQPQEYNERDYEKFTRFTILDIARGEEKPTILPIWKRELFVARILPPHINKEQEKTFQELESDSSDDIMVTMPTKNMVCEYFTISQREMAGLKRHVSLNLTKTVTSFELLTAVIWRCRTLALGYKPYQIVRLIITVNARGRWSKLPLGYYGNTILCPVVETTVNELCTNPLGHTIELVRKAKKEIHAEENMQLLVDVRPLWREKPNIKVQRKFETCDIKWIGQDTLDIGWAKRIAGGIPAIGQPDLTSYQFICKNEKGEKSTIISMLLPWPAMDRFKKEMSAWLIE >LPERR12G09310.1 pep chromosome:Lperr_V1.4:12:10255952:10260497:-1 gene:LPERR12G09310 transcript:LPERR12G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQELFITRRRTPELVAPARPTTHEIKPLSDLDDDRDLRYLQPCLEFFRAVDGDRPDRPAEAIKAALAEALVYYYPIAGRLREMANGRLAVECAGEGVVFVEAEADARIEDIGEPPVPPFHGGDRFLCDVGDAGAVVGKPLFFMQITQLKCGGFVLGTHICHCIADAFGTIQFLKAIIDIARGAAKPTIQPVWERELFVATNLPPHINKEQEKIFQELESEICDDIMVTMPIENMVSEYFTISQQEMAGLRRHVPLNLTKTVTSFELLTAVMWRCRTLALGYKPCQTTRLMISVNARGRWNKLPLGYYGNGLLCPVVETTVNELCTNSIGHTIELVRKAKRDVKTEESIQLMVDLRPLWRNKPNIKVQRKFETCDIKWIGQDTLDIGWAKRIGGGIPTVGQPDFTSYQFRCKNKEGEKSTVISMLLPRTAMDRFKKEMAAWLIE >LPERR12G09330.1 pep chromosome:Lperr_V1.4:12:10299319:10299821:1 gene:LPERR12G09330 transcript:LPERR12G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKLRMDAEGVAR >LPERR12G09330.2 pep chromosome:Lperr_V1.4:12:10299534:10301705:1 gene:LPERR12G09330 transcript:LPERR12G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHQSIEDKRLRTRPPPSSSTRSSRWQASASCIGKRWICCYEPRVDGSMVELKLRMDAEGVARVWWSADVISTERSFLAKW >LPERR12G09330.3 pep chromosome:Lperr_V1.4:12:10299534:10299821:1 gene:LPERR12G09330 transcript:LPERR12G09330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHQSIEDKRLRTRPPPSSSTRSSRWQASASCIGKRWICCYEPRVDGSMVELKLRMDAEGVAR >LPERR12G09340.1 pep chromosome:Lperr_V1.4:12:10302837:10303538:1 gene:LPERR12G09340 transcript:LPERR12G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSSQAQALFKATLLGMAILSMVTWVPHMYSCIKIFFLVSLPTAASTLATPKCLFIFSNIIVIFLANESKLSDRKGFTESSNSHGADDAIGYRVEVFTPATKENEVIVDHVAEGKINEQHQDNMVIVHDDSLQQPDHGEQVDASSIMFVTKELIGENDNMPVVNVHVGNVESEEVEEQGEAILQEYVIEEEVIEEEVALPTDELNRRVEDFIARFNMERQLEARMLVCCC >LPERR12G09350.1 pep chromosome:Lperr_V1.4:12:10310278:10310613:1 gene:LPERR12G09350 transcript:LPERR12G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRGAGRRVPPATSAAIAATANEAKNVAVAGRRAPFQAPATGRISSAAGDRRRGRPRQSYASPPADGSAVGAPWAEVSGEDASEEAARLLSSATLLPPQLPGARHPHAR >LPERR12G09360.1 pep chromosome:Lperr_V1.4:12:10316290:10316940:1 gene:LPERR12G09360 transcript:LPERR12G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQGFIKISLLALGLLSMATWVPQMYTFLKTLFMVYLPSLASAIVAPKCLFVFSNIIVVILVGESKLGHPKAKENIAAVLEGDIVQEKEGEEDVVVEAALAMPAITGDDDQVNNQLQDEEFIVQDEVVDALWVTEDVEIDQPDQEGHDLAVGEVIITDIVRNEEEVVHEDEEVLAEDQRDDLPPAEELNRRVEEFIARFNMERQLEARMLVCCC >LPERR12G09370.1 pep chromosome:Lperr_V1.4:12:10331971:10334967:-1 gene:LPERR12G09370 transcript:LPERR12G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMLRAPLTDRRPRKRQRLGWDVGPEIHQVPIGLCGQEVANVLAAVTLGLSSGGIVSSQEAQEIRRFASPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPVALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYIRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQTERR >LPERR12G09370.2 pep chromosome:Lperr_V1.4:12:10331971:10334967:-1 gene:LPERR12G09370 transcript:LPERR12G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMLRAPLTDRRPRKRQRLGWDVGPEIHQVPIGLCGQEVANVLAAVTLGLSSGGIVSSQEAQEIRRFASPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSRFRPVHQRRDPITNGCPSPVQLSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYIRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQTERR >LPERR12G09380.1 pep chromosome:Lperr_V1.4:12:10374981:10378475:-1 gene:LPERR12G09380 transcript:LPERR12G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVLDAHWGVLDDEDDGLSAQKKSPTGGASMCICMDQEEEKKMCKEDTYLTTLYSIQQARAQNR >LPERR12G09390.1 pep chromosome:Lperr_V1.4:12:10391835:10394224:-1 gene:LPERR12G09390 transcript:LPERR12G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVSIQRAERPRSSWKLELCTLQFLSPCRHLHLLLYLIHQASSSIIVNRENLRHHLLSDFSQSRTTYHYIAAVSEGAEGVGSEFAADAHAVAAVGGREWYDYVEPEGNFAHLLLQPTKEESLRKQWTHRSGTKTRVSTSRSTLRSGSSCGVELRVLLVSIRP >LPERR12G09390.2 pep chromosome:Lperr_V1.4:12:10391835:10394224:-1 gene:LPERR12G09390 transcript:LPERR12G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVSIQRAERPRSSWKLELSEGVGSEFAADAHAVAAVGGREWYDYVEPEGNFAHLLLQPTKEESLRKQWTHRSGTKTRVSTSRSTLRSGSSCGVELRVLLVSIRP >LPERR12G09400.1 pep chromosome:Lperr_V1.4:12:10397363:10397602:1 gene:LPERR12G09400 transcript:LPERR12G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGCSSASSFSVRVAEEVLPPETRDVVRRQRQLLRRAAELCLDPIAEEDDSSSSSISSSCTGAVASRRQSGFRIIN >LPERR12G09420.1 pep chromosome:Lperr_V1.4:12:10417073:10418206:-1 gene:LPERR12G09420 transcript:LPERR12G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNTPYLQIDRPPLRFVTAHDNAGGGNARTLLSSISHDADGGGWRFNPVAARGGFVVHRTGDSSGEVCNPMTRYVSRPINLLRKNNRTCYLLLTADGSYSSDATVTVEPFRLLAVRLYDTSANHRQLRLNHQNPHPPRRQETSGRVAVRPETAGGAKQSQRILPRHVRLIPHPHPHTPSYYLILRINVSTTTTAAIMRGPSELRPPFCSKSCTGDVAAAAVVMPEQMLLAPSSADGKSVVLLVGQVTRVEIYMPVDKMPSVWECVARVDTADSYPLTLAQ >LPERR12G09430.1 pep chromosome:Lperr_V1.4:12:10422357:10423406:-1 gene:LPERR12G09430 transcript:LPERR12G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSRPINLPRKNYGTCYLLLTADNSSAVEPFRLLAVRLYDTSANDRQLGPKCPTTKIPIRLAGERHPGAWLFSRKPPVVVNGVNAYFLGLSNSFHTRYLVLRIDVSTTTTAATIVRGLSQLRPPFCSNCCTSDAAAAVAVVTPEQMLLAPSPLDDGKSVADNMPSVWECVARVDTAGVRACDVSGLDDVEMVWSGEASSFVVLRLGGVLCLMDRRSMVILVLDEALFAEFRFGSDPALLPYEIGVSTWQLWYRTYRYLLLASFSTWYLQHWATNLRQLRQHDWQH >LPERR12G09440.1 pep chromosome:Lperr_V1.4:12:10423422:10423885:-1 gene:LPERR12G09440 transcript:LPERR12G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIGLVPVLRGLFYQPFPRSPLHLRPPLRFITTAHENAVDDDGDAGKLLSSISHTDGRRRFDPVAACGCFVVHRTGDSTG >LPERR12G09450.1 pep chromosome:Lperr_V1.4:12:10430672:10433309:1 gene:LPERR12G09450 transcript:LPERR12G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVNGVLNWVATPAMVVSLLLFYPPYYLFKTCYSFLSYLFPEDLTGKVVLITGASSGIGEQLAYQYALKRASLVLVARREWSLRKVADQSFQLGAPDVIILPGDVANPEDCKRFWTILYAMLASQDVNFWGSVQTTFAALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAARQGERYLTVPSWFSTMYLWRVFAPEVVEFCYRLLYMHRHGGSQADAPSKKMAEAGAKQLLYPTSLRSDDIKDD >LPERR12G09450.2 pep chromosome:Lperr_V1.4:12:10430672:10433309:1 gene:LPERR12G09450 transcript:LPERR12G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVNGVLNWVATPAMVVSLLLFYPPYYLFKTCYSFLSYLFPEDLTGKVVLITGASSGIGEQLAYQYALKRASLVLVARREWSLRKVADQSFQLGAPDVIILPGDVANPEDCKRFVQTTIDHYGRCKSPASMIRFLNFCANHHFGISLRFFTLCVSVDNLVCNAGVASVGAFQEIPDVTNYSSQLDVNFWGSVQTTFAALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAARQGERYLTVPSWFSTMYLWRVFAPEVVEFCYRLLYMHRHGGSQADAPSKKMAEAGAKQLLYPTSLRSDDIKDD >LPERR12G09460.1 pep chromosome:Lperr_V1.4:12:10438280:10441027:1 gene:LPERR12G09460 transcript:LPERR12G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKQEASDVSHFINPCATPAHVCQSIHGESSQLCAPSSVDVQQANTGKKAGALDTVFEVTVTNRCRCTVKAVYLRANGFMSSVAVDPKLFRQAGAAGYLVGDGRRIPSGKSVTFHYAWDHYFKMTSASLQAEC >LPERR12G09470.1 pep chromosome:Lperr_V1.4:12:10447067:10447769:1 gene:LPERR12G09470 transcript:LPERR12G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKPVLIALLLCLMIAKGNCVCLNCMNYRVEIRQVAGAPGMVGGENTRFTVTVTNRCCCEVRNVVVFAPGFRSAVPVDPKLFRDSPDGRTDYFLLGDGETIRTNGSVTFSYAWQHMFRMNVNGMTVFNCS >LPERR12G09480.1 pep chromosome:Lperr_V1.4:12:10461169:10461921:1 gene:LPERR12G09480 transcript:LPERR12G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKPLLALLLCLSLVITKGNCVCTGCTNAQVAIIQLLGETNRFTAKVTNLCCCDVRNVVVFAPGFESDVPVDPKLFRRSPGWKKNYYLVGDGNTIPANETVTFHYVWHTMIKMKVVGLTVSNCLLD >LPERR12G09490.1 pep chromosome:Lperr_V1.4:12:10475622:10481722:-1 gene:LPERR12G09490 transcript:LPERR12G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQAQAQAQAAQQQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQQAAAGAQAPPPSQQQQSGGPSPSPSQSQSQASPQQQQQQAAAAAAAAAAARARGPEVEMALQDAMRVCNPDIKTPFQSIEDAVSSLLSTMLPPHQDLTAPSSHSTFTPTMDDAVNPTPISSASAAMAAAVEGQHQLLGRQIFLIQEALAKQGHAPTPVSCFPSDIGAHLCFLSPIYFQPSQSQTFPQQQPAATVWLPSPEVEMALQDVWKVCNPDFKTPFQSVEDAVSRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRTHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSRCCPNPK >LPERR12G09490.2 pep chromosome:Lperr_V1.4:12:10475939:10481722:-1 gene:LPERR12G09490 transcript:LPERR12G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQAQAQAQAAQQQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQQAAAGAQAPPPSQQQQSGGPSPSPSQSQSQASPQQQQQQAAAAAAAAAAARARGPEVEMALQDAMRVCNPDIKTPFQSIEDAVSRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRTHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >LPERR12G09500.1 pep chromosome:Lperr_V1.4:12:10483412:10487101:-1 gene:LPERR12G09500 transcript:LPERR12G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVSTGVMNTLLAKLEGVLGYDLWAVDNEIAGNFMGRIELHECSTAEDGSHGDSRYSEKGAEEQETDDISPVLCGEANRLVAIGVPRDVIVRLLTEDIGRESGQLLKVVSIVGHGGLGKTTLASQVYNKIEGIFDCTMIVPAFRNRSISMILHHILWKVTNYGFDKEQKGYDIQSPINATRENLACKRFFIVIDDISSLEVWNSISGVFVENNRGSRIITTTRSEDVANACCSSFCGIVYKMNPLDWTDSRSLFFRRIYGSENYSPEPKELIIAVDILKKCGAPVPYLPHGTCSSPTPGTGGVAEACLYVVAIVVTGHVPVPFQ >LPERR12G09520.1 pep chromosome:Lperr_V1.4:12:10517830:10521064:-1 gene:LPERR12G09520 transcript:LPERR12G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDIQMKAWRDNVRELAYDIEDRIDMFMYRLGRDDENSNLIYSAGRMTKEIWMCSKVAEEIEQLRRCVMEESQRCKIYKVDMSTSSPKVVKIDPRVPAFYAETEMIVGIDGPREEIIQFLMEDFAKTLKVVSIVGFGGLGKTTLANQVFRKIKDKFHCSAFVSMSQNPNMLRILKDILSGIKFDSKELPDDPQKLIDRIREHLEHKRRIFDSEDACPEQFKNMSDEILRKCGGVPLAIELKTCLLYLSVYPEDYIVEKNVLVRCWIAEGFISQKLGLDQEEIAESYFNDLINRNMIQPAYFEYDELKSCRVHDLMLDLIRSKVKDQNFFTVVDKQYCKRGPFQVRRLSLQFNNANLVPETVHLSPVRSFTIFGYFDIMPPLWKCEQLRVLHLVLDVHRTILFRLGYYRKCHDLSAISNLIQLRYLRLRYGCFKLPVQIRKLQCLETLDIIDAHVPSIPSDVSHLLSLRHLYIQTGIEVPEGIGKLKTLRTLRYFNLAENYVDNIRDLGKLTNLRELVVTNEPRPMDADDPTNRLKLDVLVASIDQLNSHNLHSLYFPYSGLPFDMLNCWPSAPPLNLQKLDMYGTGISRVPEWFVQLNNLTNLKISVKELPRDSVDILAKLPCLMFLLLFVEIPGEKVIFCHEAFPCLKQLVLLMSHMSGLTFEPGTMRKLRSLDLMFMLPGEEKESISLDGIENLLSLEKLTAEIPNSSTVGIAFRDAILRHPKAHNFNIFPDKTRTGALQHG >LPERR12G09530.1 pep chromosome:Lperr_V1.4:12:10526049:10530418:-1 gene:LPERR12G09530 transcript:LPERR12G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLVSASTGTMNSLLCKLTKLLGDEYKLLKGVRKEIAILKDELSSMNSLLQMLADIGELDIQRKEWKDKVRELAYDIEDCMDIFMHRLHPRDEKDGSIHKMVQKIKQLRSRHQIAVQIQELKSRVMEESSRRDRYKIDVPTSYDRLIRIDPRLPALYAEARSLVGMESPRDEIIQRMMDHECQSCLLEKLKVVSIAGFGGLGKTTLANQVYCEIKENFQCTAFVSISQNPNLCEILDDMLSQIMGSARVGVLLDQQKLIDKIRAYLRDKRYLVVVDDIWSIQVWDIIKCAFVEDNNGSRVITTTRIEQVARECCSYYHGSIYKMKPLNDIDSRKLFFKRIFGSENGCPEQYKDVSQNILKKCGGVPLAIISIASLLANQEYMHKEKWENIQKSLVFEMETSPTFECLRHVLNLSYNDLSHSLKTCFLYLGIYPEDYKIEKAYLLRRWIAEGFVSHQHGLSPEEVAESYFNDLLNRSMIQPAGFEYTELTYCQVHDLMLDFILSKSIEENFITIMDDQHCNKGTFKVRRLCLQSKNANIAAANTSVSQIRSFTVFQYSGRMPSFSSFGLLRVMDLYMDYFDRSECLDLSAISKLFQLRFLRCNSHEIKLPRKIWGLKHLETLDIRDATVTNIPSDLPLLRSLQHLTIPDNVRLPNGIGKLSALHTLGFFNLAENSIDNIRDIGKLTNLRELDLIWTKHVVKAQDPTEKLKRKFLTESLGKLSNLRSFYVSSIDTTKLVSPTCDFLSCWFPTPLNLQRLSLCYCKISKLPEWISQLSELTSLKIRVNEMMRDDVEVLGQLPCLVYLDLSVKKTKQDLIFHSDEYPNLREFGFHYCVSSHNVTFEPRTMPKLQVLHLGICKLHQQGSGSLAGIEHLLSVEKLKARIYCPELMGLAFRDAILRHPRSHTFKILFPRC >LPERR12G09540.1 pep chromosome:Lperr_V1.4:12:10537475:10541211:-1 gene:LPERR12G09540 transcript:LPERR12G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSSSMGVLAPLLTKLATLLSDKYKQLNRVRKNIEFLSHELTEMNAVLEKLADVEKLDGQQKLWRNDVREMAYDIEDCIDVFMCYLGNGDNKDGLLKRTARELRKLRARYQITNKIQELKARVIQVAERRDRYINLGESTSNLHKVVEVDPRLPALYEDEKNLVGIVGPCKETTQWLMKKKENSLLQQLKVLSIVGDGGIGKTTLAKQVYNELKKQFDCTSFVSVSQNPDMVMVLKNLLSGIGFHDYGILDDHQKLIDTLRGHLAKKRYLVVVDDIWSTKPWSIIRCAFAQSNSGSRVVITTRIEDVATNCCFGFHGMVYKMEPLNEFNSQRLFCKRIFDSDSIPEKYKKVSEDMLRKCKGLPLAIVSIASLLASQGMHVKKWEKIHDYMISELETNPTLEWMRHVLNLSYLDLSHDLKTCFLYLGIYPEDHIIFKDDLIKLWIAEGFILEKPGLYPEETAESYFNELINRSMIKLDNYRRNEAVSCHVHDLMLDFIISKCQEENFITIVSKQSVMNASELPVRRLCHQLSYKNLAMEKKKLSQVRSYITFPACDYSLQPPISMFVHLRVLDLRAYSTSIFLDLSDVCNLFLLRHLSIRGFKLKMPQKIGGLQCLRTLDLLDSLLVTEIPSDVISLSSLHHLTVSGDAELPSGIQKMTSLQTLLTFKSRGTFSHIFTTLGKFQQFNSSVISLAKAHRSTDGSLRQRSSFTVHEFPFDDGWSTESVLNQLSQHLDSCPPSACHLNLMYPILVGCSPHILSVAWPCLQRLIVRKNIPSVPIMIGYALELTMLELHVEELRCNDFQHLAGLPCLVDLDLTAQATPENIIIVIIYTSRSPSRSRELNYPNNFPKLQKFILTCDLPCLTFKPGTMPQLQILKLHDKKLSNLDKGRGAGGAVEHGSSPLVGIEHLPMLEEIHVTTHSSKESAYRDAVQRHPKIQDTSSTFNICDGSIRTKK >LPERR12G09550.1 pep chromosome:Lperr_V1.4:12:10541927:10542283:-1 gene:LPERR12G09550 transcript:LPERR12G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTAHSPLLCNNPETNSFPFRRLLALRRSPLAAAQEDLHALPFAWSTALDRVRRKPDFSSSSPLCSAGKCSAFVSICRGSSASGLRPADGGRGWIFPNSGGVAGLRGKCGPVVMGL >LPERR12G09560.1 pep chromosome:Lperr_V1.4:12:10544966:10545667:1 gene:LPERR12G09560 transcript:LPERR12G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNGCSAGAAALRLAKDLAENARGARVLVTCVELTLVSFRGPDRPHTVHCQATFGDGAGAAIVGADAVERPIFEMVFATQALIPRTEHVITMRLTEPGLDGNSDVRQLAPLAADNIEKCLADALRPIGLENGGGAVEWNDFFWVVHPGSSLILDNIERVLGLKEGKLASSRRVLREYGNMLGSTLIFVLEEERRRMVEEGDGAEWGVMMGFGPGFTIETMVLHNPYGRKKN >LPERR12G09570.1 pep chromosome:Lperr_V1.4:12:10549152:10549562:-1 gene:LPERR12G09570 transcript:LPERR12G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQQPTPKPSIAISDYTRRDLQREIEESLVVTDIRDKPLAVANNRRLRGGLLPGRWERKSGHRRGHLFSRQAVGGLDTGGDQSTLEPPRSTGLRGGRESRSEGSPAGSAARFGWWLGSRYLPLHYNEPLQTFKF >LPERR12G09580.1 pep chromosome:Lperr_V1.4:12:10555098:10557564:1 gene:LPERR12G09580 transcript:LPERR12G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCGSGYYKTQTINSRREKFIRLDHQHDHDQDSPPPPSTTATTMDGGGSISRGRVQHVMDSYFSGAAPKIRTRSVRMAAAGVIDNTYRSSQRLKSIGRVFQEDLKNMSQRIFDPQDAFLVRMNRLFVMACIVSVAIDPLFFYLPAVTATDSNTCIGFDRCLATGATAVRSAIDVFYLARIALQFRTAYIAPSSRVFGRGELVIDRAAIARRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCTVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLAMECAPADEGGTGFQYGIFSTAIQSGVVSTTNLMAKILFCLWWGLQNLSTVGQGLKTTHYKGEALFAIFLALFGLILMALLIGNMQTYLQSMTLRLEEMRLRRRDSEQWMRHRVLPEDLRERVWRHDQYRWLETRGVDEDSLVRGLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYIVREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRRAAEQRRREEEEAAGVASSSCQITTTVLVSRFAKNAIRGARRQRSRREANLIVLPKPPEPDFQNMEY >LPERR12G09590.1 pep chromosome:Lperr_V1.4:12:10557779:10563435:-1 gene:LPERR12G09590 transcript:LPERR12G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADEVTVLHGGKVVTMDGGFRVFRDGAVAVAGDRIAAVGPSAEVLSAFPGAAATVDLAGRILLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSIMDCGDGLPPNWSSCSTEDCIQSQKDLYEKHHNTADGRIRIWFGLRQIMNSTDRLLLETRDAAQKLNTGIHMHIAEIPYENQLVMQTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFLKADVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTALPAETILKMATINGAKAVLWDNEIGSLEVGKKADMVVVNPLTWSMVPMHDCIANIVYCMRTENIESVMCNGRWIMREKKIVNLNEEEIVSSSGKRASDLLARAGIRLPNRMNYL >LPERR12G09600.1 pep chromosome:Lperr_V1.4:12:10567504:10569231:-1 gene:LPERR12G09600 transcript:LPERR12G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMEKWVGFGSAMAGFALLWSKLIPDHVQEEATNIMSSWSPMVAAYFNPYEQITIAEYSDDEQFRRNKLFDLVSAYLSSRCMGGARKLNAVLAGDGVGTQFGLDDNQEVVDRCHGTRVWWNLCKASKNSNSSTVINVYPPEEGEAETRHYRLVFHKRHRKLVQDSYLPDVIKQGSELIAKTRRRRLFTHHARGKSLWTAIPWKHPATFDTLAMDPTKKNEIMDDLMAFRKGKSYHSKVGKAWKRGYLLYGPPGTGKSSMISAMADFLGYDVYDLDLTTVTRNTDLRKLFLETTEQSIIVIEDIHAIEDDITTRLKDKKAVKGDKLHQLPFLYAKDKVTLSGLLSFIDGLWSACGGERIIVLTTNHLDQLDPALIRRGRMDKHVEMSYCRFEAFKVLANNYLGITEHPLFGAIQRLLYETNTTPADVAHSLMPRGKRNADECLTGLVQTLKKARMESAGTPPSMSPVKRSTKYNLRQKKRARQGNRNIICS >LPERR12G09610.1 pep chromosome:Lperr_V1.4:12:10587877:10588107:1 gene:LPERR12G09610 transcript:LPERR12G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSVLPVCALVLVMLCVASLMDVTEGRPGSGGGGGSRPTTTPSGVYSGSPRGLSGGTWAACAGSSLLVAAAMLV >LPERR12G09620.1 pep chromosome:Lperr_V1.4:12:10613633:10615135:1 gene:LPERR12G09620 transcript:LPERR12G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGFGLLWSRMPEHVHEETRYIISSLAPMVMSYFNPYEQITISEYGEERFQRNKMFDAVSTYLTSTCLDGARKLKAELRHNSKDDPLPRIIMDEDQEVVDNFDGARMWWRLYPRSAKNLGRTVISYLPGDVDDEPRRYRLVFHKRHRQLVLDTYLPRVVDQWRELIAKNRQRTLFTNYVVDGKSNWSSVPFNPPAKFDMLAMDHAKKTEILNDLRSFREGKENHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKDNSELRKLFLDTTDKSIIVIEDIDAIEVELTTNRKDKKAANEDGNNGKHHVVVELSNNTEKSKVTLSGLLGFVDGLWSACGSERIFVFTTNHVDRLDPALTRRGRMDSHIELSYCRFEAFKMLAKTYLDITEHSQFDEIGRLLEETNTTPAEVAYNLMPFGKRDSEIDRLLDEIDGASADVANNLTRVKKRDADNCLAGLLETLKKAKLEYATPPMD >LPERR12G09630.1 pep chromosome:Lperr_V1.4:12:10626413:10626619:1 gene:LPERR12G09630 transcript:LPERR12G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARSTTKETNPPSTSKLTELRTITAHDQTAMRELRLYQDNSQPHRHRAVAGQHRATPHRMMSPESR >LPERR12G09640.1 pep chromosome:Lperr_V1.4:12:10628281:10630722:1 gene:LPERR12G09640 transcript:LPERR12G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVCTWPHGVAGSSKWNAGAAGVGSTRKLCCIPSVAHRKSFDKGVIHDWLALPYISSGCFHRKADMLRLKRQPREYGGYMCMYDYNMPVFLAFAVKGNVWSK >LPERR12G09650.1 pep chromosome:Lperr_V1.4:12:10637284:10637768:1 gene:LPERR12G09650 transcript:LPERR12G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARADVVSPSSGDSGGGGVRTHRIDDGCAGADDIAIYQGTAAPLPSGVPAYTVDVMNRCAAGDECAIAGIHVRCGWFSSVSLVDPRVFRRLGHDDCLLNDGRPLLAGETVSFEYSNSFPYKLSVAIATCVSPTAAIAATAAP >LPERR12G09660.1 pep chromosome:Lperr_V1.4:12:10651423:10654818:-1 gene:LPERR12G09660 transcript:LPERR12G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVKSASKEEIKITAEKVTNTYGAYQLDIPAIDGFECATAVSSAAAESFCRAAVVDNPSPLCNVPAVTTTVGHISFSGEESNSCLYNLNSLYYRPGGAGGGGGAGGKCGGGETPAAAVNTSLFYCPPWPWPPIPFCTPRPWFPPIPFLTPPPPPAFPFPLPPWPWTTAPPPAQPPPSPSFPFPRLPPIFSPPSPPPPPPPPPPSFPWPFPPLPPLFPPYPSPSAPSPPPHAH >LPERR12G09670.1 pep chromosome:Lperr_V1.4:12:10659302:10666293:1 gene:LPERR12G09670 transcript:LPERR12G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYARNTIRWNRACHMAMLRRATSQRLSVDGEVFLLAADITAARNSVEVEKVIVQRTLRRLLGGAVSSERVASLVERLGTAQMKEADLELEIESMERDYRQLLLYREAAVAAELTEMAALEEIPRLPAATEEEFRLVCEADRRFREDSDSDAQSERSILLFRSNNHSFSDS >LPERR12G09680.1 pep chromosome:Lperr_V1.4:12:10668880:10669470:-1 gene:LPERR12G09680 transcript:LPERR12G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSWISMVMLLALVSLPFLTFAQAKQPQTPPRRNPPRAPSPRRAPPPPVSAEPTMAPTPGNVILTPSPAPTIASSLPPTIAPIVAPSLPPTIAPIVAPIQAPTPSGGSQCPKQNVIALSVCTRLDLSTLLNNPTKARQDCCPPINSLSTTDAAGCMCEAFKFNIGVVADVLFIKTVLNVCGKAVLGSISCSALL >LPERR12G09690.1 pep chromosome:Lperr_V1.4:12:10687926:10689746:-1 gene:LPERR12G09690 transcript:LPERR12G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSSISNVILFTIVILALFSHAKAQQPETLPRRNPPRPPPPPLPPRIPPPPVRGEQPPGNTPPHGPPPQRVPQPPPPPPPTPAPTLAPTPSRTPPPQRGGPPPATNITPTPSHAPPPQRVPPPPQVIEEPTPAPTPAPAPTNVTPTSSRAPPPQRVPPPPPQRSPKQPPAPTPSRAPPPQRVQPPSPTREQPTPSPSPAPTPTNLSPTPSRAPPPQRVPPPPTPAPTPTNVTPSPSRAPPPSRAPPPQRVPPPPPIIAEPTPTLTPAPAPTNVTPTPSHAPPPQRVSPPPPQMSPEQPPAPAPAPTPLRAPPPQIVQPPPPTSEQPTPSPAPAPTPTNLSPTPSRAPPPQRVPPPPTQAPTPTNVTPSPSRAPPPQIIPSPPPIIAEPAPSPAPAPTPTNVTPTPSRAPPPQRVPPPPPVVEEPTPAPTLAPSPNNVSPTPSPAPPLAPPPQRVPPPPPAPCPPPIIVTPTPPPRSSPPPPLPPPPPTQPPKSPPPPPPPRQTTPPPPPATPIHPPPSGGGQCPREKILALSVCTKIDLSVLLFSPSLARQDCCPAINTLSSTEAANCLCASYRLSIGVLVDAFSIQTILRVCGMATIGIIGCR >LPERR12G09700.1 pep chromosome:Lperr_V1.4:12:10688444:10688923:1 gene:LPERR12G09700 transcript:LPERR12G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGWVLVLVLQQLVVVAELFAVVGHVMVLVSVVAEPFGVVGHVMVRVLVVVPDSFEVVEAIPFVVVGHVMVLE >LPERR12G09700.2 pep chromosome:Lperr_V1.4:12:10688444:10688923:1 gene:LPERR12G09700 transcript:LPERR12G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGWVLVLVLQQLVVVAELFAVVGHVMVLVSVVAEPFGVVGHVMVLETNLLALVQELVTVLVVRLLEVAVELFAVVVPVTVLEQVRVLVVVPDSFEVVEAIPFVVVGHVMVLE >LPERR12G09700.3 pep chromosome:Lperr_V1.4:12:10688444:10688923:1 gene:LPERR12G09700 transcript:LPERR12G09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGWVLVLVLQQLVVVAELFAVVGHVMELVTVLVVRLLEVAVELFAVVVPVTVLEQVRVLVVVPDSFEVVEAIPFVVVGHVMVLE >LPERR12G09710.1 pep chromosome:Lperr_V1.4:12:10698070:10698662:1 gene:LPERR12G09710 transcript:LPERR12G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSGSSSWVANEEDSRSGDDDSVVAKLLWNLNLTAEEGELAEFSDDEKDDGSMSTQWVLFGKVLSPSTLHVSTILGAMKPAWGNPYGLKIRSVEREEYDKCVKPSNICFDKMDIWVRILDLPLGWMNNHRGPRAMSLIGDVIRVDVEATGKASGPFLRARVAI >LPERR12G09730.1 pep chromosome:Lperr_V1.4:12:10717159:10717942:1 gene:LPERR12G09730 transcript:LPERR12G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRIINDVHEPAAVAYVKLIMKELTNSMDTEHHLLHLFRRRRPHGIVFPAAVAAALDDLADLFSEGSILMAGRTRHEMRMERAEKEAMLMVAMSQRQSIDARIRDIDAEIVAMTKRLEEARAPIRQTLRLLPFDADGEDAEETARRVVSLVENLGRAQRKEAALMADIVMMRADYERLQRRREDVMVAGRTAITALEDVPELPRATEKEDYLMHEAVPSRFEDDVAVLVKFTGWAFDFVKPC >LPERR12G09740.1 pep chromosome:Lperr_V1.4:12:10731492:10739427:1 gene:LPERR12G09740 transcript:LPERR12G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLTRLMSVLKKKMWIQLYVHNLMGSIHMVGDMWSRSAALMLVDSSMGAQGGGCIGVACGGGGVDCLGGGGGGGDLGGCVGGGGGGGGDDLGGGLGVTIIGGGQGAGVGAGGGGGTLCGGGASGGAGDGVGLTFVGDGAGVGVGVGSSTGGGGVTPCGGGARDGVGVTLVGVGAGAGAGAGSAVIGVGGGAGEGSRLIGGGGGTLCGGGECDGFGVMLVGVGVGEGAGDGSVIIGGGGGGTLCGGGARDGVGVTLVGVGAGVGAGVGSAVIGGAVAGVGAGDRSGLIGGGGRTICGGGARDGVGVTLVGVGAGESAGVGVGAGGGARDGVGLTLVGAGAGVGAGVGSSITGGGGGTLCGGGACDGGGARDGDGVTLVGAGAGVDCGGTLWGGGARDGVGDKFVGVGAGAGDGVGCSLVGGGVGGTLCGGGARDGVGVTLVGAGVGVGAGVDSSITGGGGGTLCGGGARDGVGVILVGGGGPPLCGGGVRDGEGARVGAGVSGGGDGGTLSGGGPGGGVFRGVGAGGCCPLTGGGGGRGGFLLGGVSGCWAFA >LPERR12G09750.1 pep chromosome:Lperr_V1.4:12:10764174:10764623:-1 gene:LPERR12G09750 transcript:LPERR12G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRTYSAFSDLEYRRDRVAEEDQHKATQSYPRSISRLP >LPERR12G09760.1 pep chromosome:Lperr_V1.4:12:10779515:10781995:-1 gene:LPERR12G09760 transcript:LPERR12G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNLISFTTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNESLLITINAAGCVIETIYIVIYLAYAPKKAKVFTTKILLGLNVGMFGLILLLTLLLSHGQQRVVSLGWVCVAFSVSVFVAPLSIIRRVIETRSVEYMPFSLSLTLTLSAIVWFLYGLLIKDKYVALPNVLGFTFGVVQMGLYVFYMNATPVIAGEKAAKLAAEEENHVVVNVAKLSATPERISCSDQVHPVTEMAATVVVAGAAVPTTCAAAAAQEPEVVVEPAAVRVAAAV >LPERR12G09770.1 pep chromosome:Lperr_V1.4:12:10828504:10831297:1 gene:LPERR12G09770 transcript:LPERR12G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSVFATSILSRVGTFAVEYAINDIKLACNVRSELEKLKNSLKAICAVLKDAERKQSTSSSLKHWLENLKDVVYDIDDVLDDVGTRALQQKVGKGEIGTYFTQLCIFPFELGRKIRKVRERLNEIAALKTSFSLIEEPIDTPSDEIVQRETHSIVDERRIVGRDKAKNEIVKMISESVDSNSDTLSVLPIIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVSNVFDQKHIIDIIIQSDSGESNKQLTLEALQRKLHELLSEKRYLLVLDDISHDNTNDWEELINLLPSGRSGSMVLITTRLSKVASVLKTIEPYEVPKLPDEECMKVFVRYAFRGEKTKDTELLKIGESIVQKCDGLPLAARALGSLLSTKDIAKWQEVKEKKLPPNDIMSVLKVSYDALPSDLRACFSSLSTFPKDYEIFKELLIMYWMAMGLLGTSGRSKEAIKMGETYFSELAGRSLFQDYVLSLDGNVSHCKMHSLVHDLAISVSQNEHATISCENFSASKRVKHLVWDQKDDFSTELKFPKQLRRASKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKFLPNSLCKLVNLQTLQLAWCKELEELPKDVQRLVSLRYLILTSKQQYLPKDALKDWTSMVFLQISACPMLTSLTEGFGGLSALRELFVFNCPKLPSLPSSMNRLVTLQKLIIHNCDELDLSEPKEAMGGLKSLESIELVGLPKFETFPDSFESASSSLKYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERHSSSSITWA >LPERR12G09780.1 pep chromosome:Lperr_V1.4:12:10855287:10856941:1 gene:LPERR12G09780 transcript:LPERR12G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTARRAAATALPPGFRFRPTDEELVVHYLRRRALDAPLPAAVDIPDVRLLAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGDGYWKATGKEKPVAVAVQSPAASQSVVVGMKRSLVFYRGKPPAGKKTDWETS >LPERR12G09790.1 pep chromosome:Lperr_V1.4:12:10868558:10874203:-1 gene:LPERR12G09790 transcript:LPERR12G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLFGGGGAFWATRALDVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGDAESSSTSSTASAAGKQQ >LPERR12G09800.1 pep chromosome:Lperr_V1.4:12:10876257:10877352:-1 gene:LPERR12G09800 transcript:LPERR12G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSGSRLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSNSTLTPKDCAAFFEFVEKSWNKEIGKYLAGSITKVPVCSGDQILLLQKHDVFIPDDLLLEDLFKKKAEQPLFVWYPPASLSLLSPTKLNEIYNTIGVQKISEVVTRDESEDLKLDSLTMVRKETMIKPGLLRIILALLADPVLDIPAEKRHKMVSSLTNVDVYETVMPLTVSYQVGLSSGRSMHVKSARLFCWEREDFRLFMRKNYGSGSLDNAQRIQYASYFAEISKGLLFERTDRVPALAELISAGFQLDFDVPAVRFLLKFKNLRLLEEDEQFCYLAPFQSNKSCNDPTYMLSLSL >LPERR12G09810.1 pep chromosome:Lperr_V1.4:12:10877372:10887832:-1 gene:LPERR12G09810 transcript:LPERR12G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREHVERIRRERFYIGRGEKNPLADDIHQAVTYLSEELYSKDAHFLMELIQNAEDNEYPSDVQPALEFAIIKNDITATGAASTLLVFNNERGFSAENIESICRIGKSTKKGNRHLGCIGEKGIGFKSVFLVSSQPHIFRNGYQIKFNEEPSEDCDIGYIVPKWVDEKPNIDDIQAVYGYSKKLPTTTIILPLKSDKILAVKNELSSTHPEILLFLSKIRQLSVREVNDDPKASKISQISISSEVDYRTQKDINAESYTLHLAMQESKSGDKEECTYYMWKQKFVVKPDCRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILFDSQCPMESAFEALLKSSSNAPSFALPPIFRFLPRVFCKPSEVTRLDGAFRRILIMAKKQGIDLQNLCSHGTFVMISYLDSKEYNDVLGFLGVGYVKYEWYGKFVDGSNLVKQAPEEIYLELLSFIAENWWLKFSNTSMWDVPLIKYVTGADYPSYCSVNAASMHHMRICIASNKIVKLETQNLYDYAFAATKTIDKASSALAYCHFLYHSHAKKYIAEGSVIKLCHAMPLLDKCGSVIKQRNTLLVPAEGSNWFNLIGMNPWRSQKYIDLSANYISSGTYAGNYIPEGQLTAFLRTYAQAVDVPFMRPPNASFPTVSSPLGMENGLLLLEWIKNIRSSNFSLLQNFLSCIRNVDEEYYGNKVHVYVEELRAIGVQFEFGDANLCIANQPLTMENVLWIRDLRSRGVQLPHNVMRHIRNGSWLKTSIGYSPPSLSFLLPAHSGNLVEIIPEFFDVARIDQEFYEYKRSAYKDELHAIRVQFEFSDASAHVVKYMMSKSSNGALSRSNMLALLQFIRNLSDNNLNCANFVEKIKKGCQFKTYLGNRSPVNSILFSLEWETASVISLLPFIDTLFYGEDIVDYSTELELLGVYVAFKQNYQLLVDNFRLLSDEITPDITILILKCLRYAEIPQNFIKRLKELTWLKTCLGFRAPPGTFLVKDDWKCLLHIIDDIPLLDLNFYGDEIRMYEAELCNVDLIVGFTEASTRIVCRFRKLLGSSYFTKEKVVAMLECYRELSTKHQKLPVDLVKCMQHERWLDTSLGFRSPQEAIIYGSQWEHVSQISNLPFINDDDCSEYGLGKAIDLYRDELMALGAKVELKHGAQFVISGVNIPNDASAIKPEAVISLLKCIRSWRMRGSALPDNFMASINMRWVKTTAGYRHPKNCLLFGPSCSSLLHKEDAPFLDEVFYGQKILSYESELKALGVIVDARAGSALMAQCLKSCSDGDAISRIYSYLEASHWKPRNANDN >LPERR12G09820.1 pep chromosome:Lperr_V1.4:12:10893976:10896266:-1 gene:LPERR12G09820 transcript:LPERR12G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAASLLGCLGMVSSATRPPAGPKLSGFVAVARPWLQRTITGFLKDLLQQEAYDAIVNTASAAVAGVEQQQLGGAAATSTAALLVINMSIGTPTPQNVSALVHITSQLVWAQCSPPANTFRQDNSSTFSPLPCASPMCPSALRRSCGGAGRCDAYTARYGPGVNTTGHLATDTFTFGSTRVPGIVFGCSDGGTNGDDFSGASGVIGLGRGPLSLISQLQLSRFSYQLWAPNKKKSSKSSGDVDGSIIRLGDEAVPKTKNSRSTPLLSSRIHPNLYYVNLTGILVDGDNITSAVAAALGLKANGSGGVVLSTTIPVTYLEVSVYRVVRKAVASRMTSLQPVNGSALGLDLCYAAAAMEKVKVPRLTLAFDGGAEMELAVANYFLRDEDTGLECLTMLPTHGVSVLGSLLQAGINMIFDVDGERLTFEVDASSRTTPWTIIVACVIAALAQAARRHGLGLAVTAHNATVEAGSAPGTGGGLGALTRVAAVHGGLRLWQWAAAACGGLQHLCEECVQMKLAGTIATMELQFQHQIQLLASANDNRSSKAVR >LPERR12G09830.1 pep chromosome:Lperr_V1.4:12:10912533:10914289:1 gene:LPERR12G09830 transcript:LPERR12G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHLKMSNNFVRLCFLIIYIMFVAHQIQGGGFHLTNHDVNMIAVHETYEGSYYGFVCTMDVYGFDFNYGQRTLSSIWIVNTLIENDLEENAIMIGWQVNPELYGDSHTHFFTYWTRDSYQTTGCFDMICPGFVLTQGSKIAPGDVINPVSDVNGARQKITIKVFRDKFTGNWRIYYGFNSAPTAVGYYPSNLFTRLSDKATNILFGGSALATNGTKSPPMGSGLLPSILSDKAATIEDISLVEADGKMTPFDVNTVKKETLSSCYAMTPIFGAQGARCLYGGPGGCRRHLVRQISSHII >LPERR12G09840.1 pep chromosome:Lperr_V1.4:12:10917445:10918784:-1 gene:LPERR12G09840 transcript:LPERR12G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDTTPTPTTTPAPESAPHTAAQPEQPPQQQPEAAAKWGTRQMGPPAAPGAHPENQQAAQWTAARGDHELPPYVIMGEAAAAAPPPARGRPEKGDSPMEHILDFFNTWSRKAEELASNIWLNLKTAPSMSDAAMGKLSLGAKALTEGGFEKLYKQTFTTTTSDEHVKKTFACYLSTATGPVAGTLYLTTHNVAFCSDRPLSFTAPSGQTAWSYYKVMIPVEKVAAVEPVTMKAAEKYVHLVTVDGHDFWFMGFVSYDKAVHNLVQAVSERQHGGAAAAAAAAGGAGDGK >LPERR12G09850.1 pep chromosome:Lperr_V1.4:12:10925672:10927988:-1 gene:LPERR12G09850 transcript:LPERR12G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRKQTPQALSVPGFPRAAAGLSSRSPIDLPLPAFSRSTTTPPAQPHVVEGLDHVKDVAGADVDPEGYAESARGLLGTLVLTSCIKCGSEHQIFDEEAHEGEEFFGEKFEF >LPERR12G09860.1 pep chromosome:Lperr_V1.4:12:10946873:10952784:-1 gene:LPERR12G09860 transcript:LPERR12G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETSRRVQQAAVFFLFTVVALELPLVGASPARKRNCTTSCGGIKFEYPFGVEMGCYRPGFNLTCRNGSRLFMGDGTVEVLEISIPESTVRVNSTVMAFDQADVKRGNATSTWRVGVGVGGPFFVSELNMITLIGCNARFDLRLLPTGGGDAGVGTLVSSCTAVCPPIGTDDAATVTFYNDTCSGVGCCQACLIQSFSLALSIQNYLKFNILANIVLAYPSYTIQINDIHEKTAPNMTQYTNSAYITDRPLNYSRYRLESPASLPAVLDWVITNSSRCPAPPPTSSSTTETVTAPECRSANSYCSDYYGGINDLLGYRCHCSQGYHGNPYVLGGCRDVDECHTPGYCYGDCENTEGGYHCRCPAGLKGNPSIPNGCEGLSIGLGVSGGLCTLILALAAPFITNKIKLHKVMKMKRRYFKQNHGLLLQQLMSQKANIGERMIFTLQELEKATDNFDKTREVGGGGHGIVYKGILDLHVVAIKRSRIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLEAEVPLLVYEFISNGSLNDHLHVKGPISLSWDDRIRIALEIARALVYLHSATTVPIFHRDIKASNVLLDDNLTAKISDFGASRYIPVDQTGLTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIEILTRKKPIYRTDDGDSLVLHFASQLREEKFFEIIDHQVMEEGDGEIKEVAELAAICTKLNGEDRPTMREVEMTLENLRVKRKPVIHNKKPRSADASQIARRCMSNIGDTEEVISRQYSFEDEMLLSERYPR >LPERR12G09870.1 pep chromosome:Lperr_V1.4:12:10961987:10962429:1 gene:LPERR12G09870 transcript:LPERR12G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQWISYVTQSGRLMNIMMTKISHTGKVHHMRANRQMAQSLGQIAKFKRRYELETEENNGK >LPERR12G09880.1 pep chromosome:Lperr_V1.4:12:10972216:10972395:1 gene:LPERR12G09880 transcript:LPERR12G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQAGDCVSIIVAAACSIIVAGEVASSAVADSTKSGAPDGQNNPGRRLAAAEACARRP >LPERR12G09890.1 pep chromosome:Lperr_V1.4:12:10974177:10974578:-1 gene:LPERR12G09890 transcript:LPERR12G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGVCRQLAFLDGRLELKRRVGHEELEKVGGEARRAGASGEPRPAWSPPSPVGRSRAPSTSTLSTGMRARMGGRCHRPRVGAARTRRRQSGPSMGSARWPPSAEESPASSRREVVFPRSVARFAERITTDRT >LPERR12G09900.1 pep chromosome:Lperr_V1.4:12:10977819:10978913:1 gene:LPERR12G09900 transcript:LPERR12G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIAAVGARRKLFCAIQRLHHHHPPPIPPPPPPPPPPSQSMHGIGLGEVATCYVDQISCNCDILAMLLCSLVVIELGLRLGNFDYCAWILLGIGKLFSSIFCGIEMAMPDIMWLWN >LPERR12G09910.1 pep chromosome:Lperr_V1.4:12:10984186:10997831:-1 gene:LPERR12G09910 transcript:LPERR12G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPRRHAHGPPAVDFALLSNHHSQRIKPPNSSPSPPCRSDDGRLRSSMAQDPSHPHRQTKDTAAPPPPQQQQQPETNSPPPQPRDVVQQQEPSTSSSGGGGDEAGLSLQLGLGPSPGSPSPRRKRPRIEHEGAGPSTSSAAGEDDNPNLPQLGLSVLHQYPAGPSSSSSSPVVAAAPPPPAHEAGTWFVLRAAQSQRRDEPPLPQIPRSFLRVRDGRMTVRVVMRYLVNKLGLEEDSQLEITCRGQRLLPEMTLQHVRDTIWCTPPAEAAAVLPAPGSLSTNQIMTLNYGRS >LPERR12G09920.1 pep chromosome:Lperr_V1.4:12:11018241:11023487:-1 gene:LPERR12G09920 transcript:LPERR12G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALSPPAAEAAAVAARGGRWRFPGPFLRRLLYSNLSRGPLNQQQCIDVIASCHMLYTPSPCGLTRVLVGLHGSKEAAAPLGMPSYADKQQQRLHSVDGHPKDSPKFDKNSSMGAHPFILAEIIDQISLLTSKF >LPERR12G09920.2 pep chromosome:Lperr_V1.4:12:11018248:11023487:-1 gene:LPERR12G09920 transcript:LPERR12G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCALSPPAAEAAAVAARGGRWRFPGPFLRRLLYSNLSRGPLNQQQCIDVIASCHMLYTPSPCGLTRVLVGLHGSKEAAAPLGMPSYADKQQQRLHSVDGHPKDSVLFSDV >LPERR12G09930.1 pep chromosome:Lperr_V1.4:12:11029331:11034189:-1 gene:LPERR12G09930 transcript:LPERR12G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAVDGLAAAGEGGGGGVDGLFVELWRACAGPLVNVPAVGERVFYLPQGHIEQVEASTNQVAEQQGTPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGNANASKDQGEEEEVVPPSATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYEESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGIGDSDPSGWADSKWRSLKVRWDETASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVISSSSDLSAVNKEVASKVIANSQQNVLPRVFHSQENTNLRSRFGDSNELNTSQKLTMWSSGSNQQKNNAAVQRELGSQGWMSIRRPDGSTELLSGFQPLKDTRNPLSSFPGQISGNRSNTWNTINVHYPDQNVNHNMFPGTWPFMPQDTGFGVNQQSYLMAPDTTLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKTKGSSCMLFGISLDSPAKPEQLISPPSVAFDGKPQQDALEEDECSDPSKSVKPLDGAQHDSAMEKHQSCPEGARNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDRMFDFNGELNSCKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSEDSRSTSVERGLAGEGVQGGISTPSLNSENC >LPERR12G09940.1 pep chromosome:Lperr_V1.4:12:11069653:11075182:1 gene:LPERR12G09940 transcript:LPERR12G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRPEGGEGGKVDARKDGVAREVIRMEREAVIPVLKPKLVMKLAYLIEHDVDRAEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDSKLLTTYFKEHPHENLPSFANKYVIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVMRIDRLFSKKPQVKSKKDTKKTDETNEDIEEPELFVERVRLEKIELSMRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHRDSIGRIMCVSLKRANEILGNTTEELVMRAQQSPTA >LPERR12G09940.2 pep chromosome:Lperr_V1.4:12:11069653:11074791:1 gene:LPERR12G09940 transcript:LPERR12G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRPEGGEGGKVDARKDGVAREVIRMEREAVIPVLKPKLVMKLAYLIEHDVDRAEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDSKLLTTYFKEHPHENLPSFANKYVIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVMRIDRLFSKKPQVKSKKDTKKTDETNEDIEEPELFVERVRLEKIELSMRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHREIFAAQDSIGRIMCVSLKRANEILGNTTEELVMRAQQSPTA >LPERR12G09950.1 pep chromosome:Lperr_V1.4:12:11076845:11078472:1 gene:LPERR12G09950 transcript:LPERR12G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPTVQQSPLFAIQPLQSKRFQSNAVISARARTVRVMAAKLPAGVMVPREQPKLSEPFLGFTKTAEIWNSRACMIGLIGVFIVELVLSKGILQTIGVEVGKGLDLPL >LPERR12G09960.1 pep chromosome:Lperr_V1.4:12:11081123:11084083:-1 gene:LPERR12G09960 transcript:LPERR12G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDKNAPVSCPNLLHIKVKAEEKDGRIKDGRVHDYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKPARSGPLPTTGIGMSSKPINMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVHSSDESHPLDDEKMNLIEISLTPEKLSKNSSRATSEFSEDCEFETADGSAVTSLHSESSISAEIRKIRIQDVISGDPIDSESSMVENGQLDEKVSAATDDSSPRTPILSKPITTSRLVKPVFRCKTISKKKVKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSVTNPTSCADEEKTNLGPEKSGEKLPEWLRAEEKGECSQNSNSISEYGCSTSISDESQFGLCNYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRNFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSAAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHKRLPQLVVEPIEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPAVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKDGKCLEFRSNSEDLEFEVF >LPERR12G09970.1 pep chromosome:Lperr_V1.4:12:11101901:11103055:1 gene:LPERR12G09970 transcript:LPERR12G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPSADSDIELDVDTEATVVDDVDVTAPSQRTFAHQPLDSPLRQPSVAHPSASPTIRATTTPPLSPAQGPMASPIQQPSPSIAPPVRLQPQSPTQSSNTLIVETSSHQINSGQMLDRQNFVNKQAIWESAKLAAQNEKNLYDSTSTALPSMQNNLENLKKHEADLIALLAKVREDIQEAEQNIADHPAAVAACKEKVRAAIVHVQELKKNLKPVTESDAADAAVIDEADQIRRRAIKAINNFLIQ >LPERR12G09980.1 pep chromosome:Lperr_V1.4:12:11150447:11152217:1 gene:LPERR12G09980 transcript:LPERR12G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGVKKRAAWVADLERDLAGATAESEFAIWKSHSVHRVPAAVKALHPHAYRPQVVSLGPFHHADPRLLPMESHKRRAVARLVARCSGTTSSSAALDQLVAAMMRTAEVYAAAGGGGGGGDDGEYKQRDPVFGRHGKVYMVPYVRRDMLIVDNQLPLLVLEKLVAVERRIYSQTMKVGVEYQVKKMVLRFISPSCKSPPPAKDEHRALHPLDVFRKSLLSDKKPRDGAGTITSRRHHDHNEDDEASSIIRSASELYEAGIRFKRSKTDSLHDISFRHGVLSLPSIIVDDSTEYMFLNLMAFERLHAGSGGGNDVTAYVFFMDNIIDSAADVALLTSKGIIQNAVGSDKAVASLFNGLAKDVVLDTDSELDAVQRMVNRYCRKPCNMWRANLVHTYFRSPWAFLSLAAALFLLAMAVLQTVYTVLSFYRDNGGGTISSSPPSAPAPR >LPERR12G09990.1 pep chromosome:Lperr_V1.4:12:11163489:11164730:-1 gene:LPERR12G09990 transcript:LPERR12G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVDDHEGVADEFRGAAMWWRKTKAVSGATVITWSPRDAEHRSYRLTFHRRHRRLVEEEYLPFVLAEGRAVAVRNRQRRLFTNNPAADWAFNEGGHAWSHVKLEHPSTFDTLAMDPVRKQEILDDLDMFRDGKHYYASVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIDTTGKSIIVIEDIDCSIDLTGKRKNNKRNMVNDNKKKMMPPMDRDEDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFEAFKVLAKNYLGVEQHELFGEIRHLLEEVNISPADVAENLMPRSKRKDVDVCLERLVKALRDSKESKATSAKNKGCVKGNEETDDDSDEHEESDSSNHSEDDNSDSDVHDPKGQE >LPERR12G10000.1 pep chromosome:Lperr_V1.4:12:11168158:11172277:1 gene:LPERR12G10000 transcript:LPERR12G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVVDLERSLPNVDVNTAVSEELRPRSSSSMSLGPFHHGDPIVAPMEEHKRRAVARLVRRSGRPLVEFAVASELEGAYHGGLGDEWRGGRFLQVMVTDGCFLLGMMRTELSSMLGSTVATNDFEPDDPVFSRHGAVYMVPYVRLRLNDMAFTIRSTTELYEVGIRFKRSRTDNLHNIRFHRGVLSLPAILVDDTTMHILLNLMAFERLHSGAGSDVTAYVFFMENLVDSWKDVWLLTEKGIIQNSLGSDKEVAKLFNGLTKEIALEHRRTWSMEKTAWNFSC >LPERR12G10000.2 pep chromosome:Lperr_V1.4:12:11168158:11170122:1 gene:LPERR12G10000 transcript:LPERR12G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVVDLERSLPNVDVNTAVSEELRPRSSSSMSLGPFHHGDPIVAPMEEHKRRAVARLVRRSGRPLVEFAVASELEGAYHGGLGDEWRGGRFLQVMVTDGCFLLGMMRTELSSMLGSTVATNDFEPDDPVFSRHGAVYMVPYVRLRLNDMAFTIRSTTELYEVGIRFKRSRTDNLHNIRFHRGVLSLPAILVDDTTMHILLNLMAFERLHSGAGSDVTAYVFFMENLVDSWKDVWLLTEKGIIQNSLGSDKEVAKLFNGLTKEIVLGPDNELEYIFKLWYYQSRCRLWDFWYGNLVRTYFQSPWAFFSLVGAVLLILTVIQTTYTVLEFYMR >LPERR12G10010.1 pep chromosome:Lperr_V1.4:12:11178647:11180936:-1 gene:LPERR12G10010 transcript:LPERR12G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRKTKLEETAWVVDLEKAAAAAGDSPSSEAARYWHRHSIYRVPSCIKDLNRRAYHPQVVSLGPFHHSHPNLLPMETHKRRSLLHFLRRHRRRHKSSPLAEFAAAVAAVADELEGAYQGLAEEWPEEEVEERRERFLEMMVTDGCFLLEVMRTATGWEVNDYADDDPVFSSHGLLYTVPYIRRDMIMIENQLPLLVLEKLVAVETGIQENEDFINKLVLRFLSPEAWPMATGVGLALHPLDILRRSLLFGPAPTPRVPPETSPPPDDIIRSAEELYEAGIRFRRSPTSSLHDIRFDARRGVLHLPAIAVDDTTEYMLLNLMAFERLHTHAGGGNDVTAYVFFMDNMVDSARDVALLAARRIVVNTVGSDKAVARMFNGLSRDVVLEPVSALDDVHREVNAYCRRRWHRWRANLVHTYFRSPWSFLSLAAAVFLLVMTVMQTVYTVLPFYKGG >LPERR12G10020.1 pep chromosome:Lperr_V1.4:12:11182299:11191648:-1 gene:LPERR12G10020 transcript:LPERR12G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFRFDPDGSDSDDAAAAPGRRGKPAQSPWEFSSYAESVAAEHARRRTTSIDDKISHALSGSRKPVIPDGDSEGEGDSVVEDDSEDDEEVVEGEIDDDDEEDDEVEESEDGDDDDEEGVEESEEEVEEEKGEGEEKSEEEEEVEEGEDGEEEEGGADEEAEEEEEDADKQGGTVDPSKFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRTREANQEAVLLALCLKTFKDRVIIFSGTKHSAHRMKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDVRTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEQLEDQISTIVQEEREERILRKAEMEATKAENMIAHKDEIYSRPKKTWFATEKEKKLLAKAAKESTSQGKSNAGVISAKQAEDLRLKEKRRREHEKNLPRKKRRRLEAQREMLEDESEDEEEAKESKGGKKAKKGQSLVDVAYRRAKSMKGSGKKGLGKGKNDKSAKQQSEKGPTRQEEMHELFQNDMSEWKQGRALKKIKDIRKKSKNSFKSKSRYKRRK >LPERR12G10020.2 pep chromosome:Lperr_V1.4:12:11182301:11191631:-1 gene:LPERR12G10020 transcript:LPERR12G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFRFDPDGSDSDDAAAAPGRRGKPAQSPWEFSSYAESVAAEHARRRTTSIDDKISHALSGSRKPVIPDGDSEGEGDSVVEDDSEDDEEVVEGEIDDDDEEDDEVEESEDGDDDDEEGVEESEEEVEEEKGEGEEKSEEEEEVEEGEDGEEEEGGADEEAEEEEEDADKQGGTVDPSKFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRTREANQEAVLLALCLKTFKDRVIIFSGTKHSAHRMKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDVRTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEQLEDQISTIVQEEREERILRKAEMEATKAENMIAHKDEIYSRPKKTWFATEKEKKLLAKAAKESTSQGKSNAGVISAKQAEDLRLKEKRRREHEKNLPRKKRRRLEAQREMLEDESEDEEEAKESKGGKKAKKGQSLVDVAYRRAKSMKGSGKKGLGKGKNDKSAKQQSEKGPTRQEEMHELFQNDMSEWKQGRALKKIKDIRKKSKNSFKSKSRYKRRK >LPERR12G10030.1 pep chromosome:Lperr_V1.4:12:11193991:11197687:1 gene:LPERR12G10030 transcript:LPERR12G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVFFTISAHFSSPRRLLRCAAAGKEEGREGGEMERVGGGEKQLEDCTVSNALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANASTEGEN >LPERR12G10040.1 pep chromosome:Lperr_V1.4:12:11204013:11208572:-1 gene:LPERR12G10040 transcript:LPERR12G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSAARLVVSTALAPITDGLLEPWLASSKLGTNVRELKLELLYAQGMLTSAQDRRDVNDISNLALEQLLLELRHLAYAADDLLDELDYFRIQDELDGTYETIDDDEEEPGSLVRGLVLHARHTARVVAGKLTCSCGTSSRSDHGDQQEVDAKQGRCISATSAVVGKCLPCCSLPSVEHDPGAGTTVSERCFICGAWSSKPQRKIDVVHAPKLKFRRVEMSNKIAHVVEQLKPVCAKVATFLGLESTGHNNNAKTKGVDWERRTKTNPEIIEPELYGRQRQKGQLVDEIIHGKYDDNDLTVLPIVGPGGIGKTTFTQHIYDKVRSHFQVPVWQCIGQNFNADKLAQEILNQIPKSKDEKDRQSVQEKIKKRIQSKMVLLVLDDMWTYHEDAWKTLLAPFRKGGTKGNMVIVTTRIPKVAEMVKLMSCPIKLEHLQDEDSMQLFQACVGIKTWKDDQSKLFEEVGRDIVKRLKGSPLAIKTVGRLLRNQPSLNRWRTILKSKEWELQTNDDDIMPALRLSYNYLPYHLQQCFSCCALFPEDYRFGRQELIHLWIGLGLLGAGNPNKRIEDTGLSYLDELVDNGFFERDRQNYDSPNYAIHDLLHDLATAVSSYEYLRLNSSDVRFIQIPTSIRHMSVIVDNTHVKNRKAFENHKNDLSTLSKKLKARNLRTFMLFGEYHGSFYKIFADILRHIKSLRVVSLSGASYNVKDLFHNFSELVHLRYLRIKDSRFHVASLPSNITRFYHLLVLDLQDHHGQLGFLRDMRNLLKLRHFLVNDDNMHSSIFEVGKLQSLQELRRFEVKRETEGFELAQIGQLIELQGSLGIYNLEKVEAIKEADEGKLAHINNLDGLILNWDNGQCIKNSTREGKILESLKPHDNLRKLEIVGHGGATCPNWLSTNLSIKNLESLHLKGVNWNILPLLEKLCMPKGEELQGSVQGQGFHCLKTLKLARIPTLEKWFGNGNCNLVPCLQNLSISDFPNLVELPFSDSSSYQSEQSMISFPKLETIDILDCPKLLSFPPIPWTRSLCQVHIKGVSSGFDELYYGKDEQLESRLIIKRKDDWDGVFWDLLEFSNLTELQNLEIENFPHVSLNHLKMLTCLKSLKIKGSSNILLPVGGENNAQYKLPVEDLTISSCGANGRELIQLLSHFPKLSKLKIKGCQNIAQHGVTVQQTTRSNKAAGTLTGRPQQQATGAEEEEIVEAAAGGDEEWLLLHGSDSLDSGGTAASVGFQGLLSLQSLDIEDCPNLIRSSCPPFPTSLRSLHLCGVEGMETLPPSLPNLTELRIDRCGNLRGGEVLWALLAKCQPTFVLVTETPNFFLGLEHYSKTPNLHVDVQEDIDHSSILQLDLRTDDFAGFLAAPICRLLSSSLANLWLLGNHEVECFTREQEEALHMLTSIQHLLILHWDKLQSLPHGLDRLPGLRTIQIVFCPAIRSLDGLPDSLQELEIVKCTAISSLDGLPDSLQVLGIYGCPAIHSLPKDGLPTSLREIKVQYCGSDELKMQCGKLLGTIPIVWTTG >LPERR12G10050.1 pep chromosome:Lperr_V1.4:12:11236625:11237555:-1 gene:LPERR12G10050 transcript:LPERR12G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQQQLEKKGSKVCSPSNGWLLVTAPKLLCSSSSSSSYSPFPTSMEKLYLMGLVGMETLAPVPNLTELSINNCSGLRGGESPPRWMDKLFADHRLHELRTDDFAGFFAAPICSLLSSSLTMLDLTYNEEVECFTNEQEEALHILTSLQELRFLNCDKFRSLPLGLCHLPSLTNLCIGNCPEIRSLEGLPDSLQKLIIHSLPAITSLGVLPDSLQKLMVDDLPAITSLGILPDSLQELDIDDCRVIRSLDRLPSSLQELRIGNCPTISPLPNDGIPTTL >LPERR12G10060.1 pep chromosome:Lperr_V1.4:12:11237601:11237945:-1 gene:LPERR12G10060 transcript:LPERR12G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHCLATLDPSLDATSPPAAPTTPSLLPELVALLSSLSTLDTAKAAAAPAAPVVTPPPALPDDALAALHAQATAVLNVKAIVPMQLDLAAGKNWQQRHKETKGCYSCQPKYRN >LPERR12G10070.1 pep chromosome:Lperr_V1.4:12:11240372:11245130:-1 gene:LPERR12G10070 transcript:LPERR12G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFSAARSAVGMALGPVTNELLEAWAASSKLGPKIHALRVELLGAQAMLDRAGDRDIRSSSLEQLLSELRDLAYDADDVLDELDYFRIQDELDGTHETIDDADEKSGFFCGVVFHAQHAVRSVARKLTLTTSASGSRANDPAVDAELADLSDSAAAPSVGKRLRSFFIPYVQCDHGAGVAPKLKFDRVGVYKRMTDIIDKLRPLCVTVRGILALEPLGQTRGTGMRSSAVDWREQLPLTCGPRRCAVHTDRWARGRWGPHVSGNCSLQSLQRISFRGTEFERRPITDPAIIEPELFGRQIQKRTLVDEIINGKYCASDITVLPVVGPGGIGKTTFAQHIYEEVKSHFQITVWLCVSQSFNTNNLAQEIVKQISSNYSSQMGHHNFLEQIKKIIQSKQFLLVLDDVWTYHEDEWKKLLAPFKKVGTRGNLVIVTTRIPNVAQMVKSSDLSIKLEGLEYEDSMRLFQACVLGDHKTWEDYPTDLQEMGVNIVKRLKGFPLAVKTVGRLLRNKLTLDQWKRIFESKEWEHQANDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYRFGRQELVNLWIGLGLLGTGQQNKTIEDIGHDYLDDLVDNGFFELDGKRHDSPYVVHDLLHALVTNVSSHQSIRINTSDVSLLHLRYLRIKEFVFSRATLPSNISRCYHLMVLDVQCSYHDGQFDFLSDMGNLLKLRHIVGMDGYIHSMISEVGKLKCLRELQEFMVKREMKGFELMQIGKLRELHGSLRITNLEKIEAVNEADDAKLAHLNHLDGLKLKWDRYQRNKNPILEREVLESLKPHRNLRELYIIGNGGDTSPKWLGIDNSITNLQTLHLEDVNWKMLPLPGKVCMTVDEDYQVRVVGQAFQNLRKLELIKIPALKKWSGNGSCDFLQHLQNLIVSGCPEIIELPFSDFTSSRSEQKIICFPKLKTLHISDCPKLLPLLPPIPWTSSLLSVNIHDVPSAFEQLDYREDEQSKSTLLTVIGKDTQDPAFWNVFNFNNLREVQKLDITGCPPISVVWLKMLTCLETLHIRNLRNVVFPVGGESNMQYELPVKDMYIAQCDASGKELTEVLSHFPKLSCLAIIGCQNVTGLGVMEQQTGIIQTLLPLASVNKAMGTPTSRQQKQTGVEEELAAAAQGDQGLLLLPAQIQELNISYCGELSFSSNSIDGSGTTLAGRGGIQGLLSLQQLVIRNCPKLFCLSLSSSSCSPFLTSLQKLSLWDLVGMDTLAPIPNLTELSIYACSGLRGGEVLWDLLAQGRLTKLSVTKTPNFFLVSEPSQVDGQVIRGSSRLQELETDDFAGFLAAPICRLLSSSLTMLGLCCYKEVECFTNEQEEGLHILNSLQGLRFQCCDKLRSLPAGLCRLPSLTTLWIRYCPEIRSLEGLPDSLKKLMVHSLPGITSLGILPDSLQELDIDDCRAIRFLDRLPSSLQELRIGNCGTINPLPKDGIPNTLRQIDVSCSGNEELKNQCEKLKGTIPIIMNID >LPERR12G10080.1 pep chromosome:Lperr_V1.4:12:11254019:11256509:-1 gene:LPERR12G10080 transcript:LPERR12G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQLVAVVARAALQWALSTLLLANGAAFCLIAAAATWLRLTPPCLLCAGVHRLLGEERDSDSFRRLLCHAHVAAVVAASAAPELEEEKKVESREKRGEAEDGNSEKLAGMEAHRVVSIGSEICEQDNAGDHRLVTAATTCNAVNDGDGDGDNDPYVSLFELAPIVARAPQSDGGHGHGHDLLDEMPHDPRRDDGAPPTVPHLVAALRAQRRELDALREDLAVARRAAAEHQRQTEEQGEFNREAVRLAMQLVHEAEKEKHALQREVDACRVKAELFDAMDHHNAASPPFPSNGSGAGGDNNNYQSLVDFLPGSVFSSSPDLANLLKLYTDGNGGRRMADAAASTVPVVDEEDGKEEEEEEEVVVAGIGGVDSNGNGDDTGNTIAIADDSNLQERGGDKLESTEVSPVR >LPERR12G10090.1 pep chromosome:Lperr_V1.4:12:11260308:11265404:1 gene:LPERR12G10090 transcript:LPERR12G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERERDRELLLIPVAGELSGGDDGGEEEETSPPIGIVAVTGSPPPSAAMRGHHIIHHHRTGIEAFSRVIRSWAWKKFMTGCVILLPIAITFYATWWFIRFFDGFFSPIYNHLGINVFDQSSRAFKEVVIIRHPRVGEYALGFITSTLTLRGVADGNGGGGEGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPLIISAVEGVVGLGGGHGRPVKNP >LPERR12G10090.2 pep chromosome:Lperr_V1.4:12:11260308:11263794:1 gene:LPERR12G10090 transcript:LPERR12G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERERDRELLLIPVAGELSGGDDGGEEEETSPPIGIVAVTGSPPPSAAMRGHHIIHHHRTGIEAFSRVIRSWAWKKFMTGCVILLPIAITFYATWWFIRFFDGFFSPIYNHLGINVFDQSSRAFKEVVIIRHPRVGEYALGFITSTLTLRGVADGNGGGGEGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPLIISAVEGVVGLGGGHGRPVKNP >LPERR12G10100.1 pep chromosome:Lperr_V1.4:12:11262616:11266048:-1 gene:LPERR12G10100 transcript:LPERR12G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPQHPTTQSVSTLSPPRALAAMELRELGGTGLRVSPVGFGASPLGHVFGDVPLHAARAAVSRALHLGINFFDTSPYYGGTVSESVLGDCLRAAAVPRDAVVVATKCGRYKDEGFDFTAARVTRSLDDSLHRLGLDYVDILHCHDIEFAPLDQIVNETIPALRKIKESGKARFIGITGLPLSIYTYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPQELKSACRAAAEHCRKKGKSITKLAMQYSLMNKEISTVLVGMNSPEQVEENVAAAIELSTSGIDEELLHEVEAILEPVKNMTWPNKQSKMDAD >LPERR12G10100.2 pep chromosome:Lperr_V1.4:12:11262835:11266048:-1 gene:LPERR12G10100 transcript:LPERR12G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPQHPTTQSVSTLSPPRALAAMELRELGGTGLRVSPVGFGASPLGHVFGDVPLHAARAAVSRALHLGINFFDTSPYYGGTVSESVLGDCLRAAAVPRDAVVVATKCGRYKDEGFDFTAARVTRSLDDSLHRLGLDYVDILHCHDIEFAPLDQIVNETIPALRKIKESGKARFIGITGLPLSIYTYVLDRVPPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPQELKSACRAAAEHCRKKGKSITKLAMQYSLMNKEISTVLVGMNSPEQVEENVAAAIELSTSGIDEELLHEVEAILEPVKNMTWPSGIQQT >LPERR12G10110.1 pep chromosome:Lperr_V1.4:12:11277559:11279385:1 gene:LPERR12G10110 transcript:LPERR12G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEATAPPPPSVSMCTPAFVARVARSRWYIVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMIYLALSGHTAAPPVWLMCVYICVGANALTFSNTGALVACVKNSPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRGEEEGVDDKPFFCFLYISIALAGYLLVMIVVQKQVRFSHAAYVVGAVALLLILFLPLAVVIKEEHKSASQLEQSLRLPPSIAVDKSSSAADDVSISPPESKTTKNCGGCGWIKNMFRPPELGEDYSIMQALVSVEMLVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPSKSINTFVSLISIWNYAGRVGAGYISEILLSKHKFPRPLALTAVLLISCVGHLLIAFGVPNSLYASSVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRMYDAEARRQHGGVAAVGDKICKGVVCFKRSFLIITAVTFAGAVVSLLLVWRTRGFYKGDIYAKFKVAAEAAVAEGAEEERLPEMEEKKVEEEKGKKEGVGEHESN >LPERR12G10120.1 pep chromosome:Lperr_V1.4:12:11283639:11284617:-1 gene:LPERR12G10120 transcript:LPERR12G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >LPERR12G10130.1 pep chromosome:Lperr_V1.4:12:11289104:11293100:1 gene:LPERR12G10130 transcript:LPERR12G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTHGSFLLGSGGYPGAQMLSFSSGYSGNAGLERGSSDVTSMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPAGLLLPIRRSLHPPVFSHFSSGGILGSSSLGWGSFQLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKPTVAVAPPPLGASNGKSSGSHGMSHELTKTLAANLTDPCPNHFNRMLLDKTNLTEHSQDYTNQRHKPNILQNTKGDNWSEEMSSQADCVVIPAGSLMNTPQSGNVNLMPQQQHSKQSQPNLVQLHFGNRIHHDDIQLSISIPVENSNLSTNHKAQIGPAVGISSNKGNAARPSWVPDSWETSIGGPLGEFFTNTSNASDDKSKSRHPPSLNVVADGHTTSPQLQSPTGVLQMTNFSSVPSSTVSSPGTASAMACSLQAW >LPERR12G10140.1 pep chromosome:Lperr_V1.4:12:11330667:11331670:-1 gene:LPERR12G10140 transcript:LPERR12G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSPSPSSSSSSRSGGRRLSEILEEQQEPFSLHLFLLDKGCSPSASSCWLTTKSAFLRRRRAAGLLGVVLSTILLCRRKQTAASIDWSRSSPSSSSSSSRRRVEEEEEKQLSPVSVLEQSPFEPVVVTSPAYSKIYG >LPERR12G10150.1 pep chromosome:Lperr_V1.4:12:11354674:11363775:1 gene:LPERR12G10150 transcript:LPERR12G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTHGRNEESGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQREVYDQQKELILLGERVVLSTLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGERVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSLKSQGNSEETPPHQSKQSNSQHSTGAPSHHIVEHSNLEKQIGSQKILQNDSGNPGSNKTRSNHSGSRDDVGVKDSLHYDTPSSAENQKPLSLHDNSSETRDGNRNVNDGPNITSLMVNKIDKDKVKAQMEKQRKLKGDVARKVDVVDDDDDLEMQLEHGIELAVEDDKIKHERNQNSSHDIHREDYRNADQVTGNGHLSKQNKPETAQEASMVDSKEQRGSKHHDGRDAANERGERDYKRPRPEVPDCVPFFHRPQET >LPERR12G10150.2 pep chromosome:Lperr_V1.4:12:11354581:11364046:1 gene:LPERR12G10150 transcript:LPERR12G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTHGRNEESGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQREVYDQQKELILLGERVVLSTLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGERVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSLKSQGNSEETPPHQSKQSNSQHSTGAPSHHIVEHSNLEKQIGSQKILQNDSGNPGSNKTRSNHSGSRDDVGVKDSLHYDTPSSAENQKPLSLHDNSSETRDGNRNVNDGPNITSLMVNKIDKDKVKAQMEKQRKLKGDVARKVDVVDDDDDLEMQLEHGIELAVEDDKIKHERNQNSSHDIHREDYRNADQVTGNGHLSKQNKPETAQEASMVDSKEQRGSKHHDGRDAANERGERDYKRPRPEG >LPERR12G10160.1 pep chromosome:Lperr_V1.4:12:11364750:11366060:-1 gene:LPERR12G10160 transcript:LPERR12G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAAARVSRLLGLRQRAYLRLGVKARGCNGMSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFTNPNSKGECGCGESFMTSTNKSSS >LPERR12G10170.1 pep chromosome:Lperr_V1.4:12:11367636:11371157:-1 gene:LPERR12G10170 transcript:LPERR12G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEGASEPELVSIPATPRGGQWTPPTEGTTTPTAARSSSTPARRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHTLNAGLGFQALLLPLAFPDLGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGVWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPVCSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGATAITYCTMSWVLSVSQSRPPTVSYVPAAYTSLGSSLFSTLNALGIIAFAFRGHNLSLEIQATMPSTFKHPAHLPMWRGARVAYALIAMCLFPVAIGGGILTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAFYTGRTNRPCSVWVRSGFRVFYGFISLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPN >LPERR12G10170.2 pep chromosome:Lperr_V1.4:12:11367636:11371157:-1 gene:LPERR12G10170 transcript:LPERR12G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEGASEPELVSIPATPRGGQWTPPTEGTTTPTAARSSSTPARRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHTLNAGLGFQALLLPLAFPDLGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGVWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPVCSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGATAITYCTMSWVLSVSQSRPPTVSYVPAAYTSLGSSLFSTLNALGIIAFAFRGHNLSLEIQATMPSTFKHPAHLPMWRGARVAYALIAMCLFPVAIGGYWAYGNMARGILTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAFYTGRTNRPCSVWVRSGFRVFYGFISLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPN >LPERR12G10180.1 pep chromosome:Lperr_V1.4:12:11380152:11380595:1 gene:LPERR12G10180 transcript:LPERR12G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPIGADKEAINEQVIANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGNMKEGSAQGVLVGPASGQ >LPERR12G10190.1 pep chromosome:Lperr_V1.4:12:11429924:11432367:1 gene:LPERR12G10190 transcript:LPERR12G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQVEAPAAPVPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVSSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >LPERR12G10200.1 pep chromosome:Lperr_V1.4:12:11434724:11445638:1 gene:LPERR12G10200 transcript:LPERR12G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGPRRSFSKNGLLGSISFWRSSRSAAPSSPSQPTTTSRSIPVVLPSSPSKSKPPPIPTQTSPPPPVIISEPPPQPPPSQPPLPSNTDPPPPPPPQQQQQEQQQRQQSRAKRPTHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKASGHEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPSIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLRKNYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPSVSEGAKDLLRKVLVRDPKKRLTAHQVLCHPWLQMSGSAPDKPLDSAVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTTGFGKKGPQYNLSVGEVFTDVVGSPYYVAPEVLRKNYGQEAGVWSADVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPSVSEGAKDLLRKVLVRDPQAAHGAPSHPWMQMSGSAPDKPLDSAVLSRLRQFLAMNKLKKMALRVIAENLSEEEITGLKEMFKMMDTDNSGQIDYEELKAGLDRVGANMKESEIYQLMQAADIDNSGTLDYDEFIAATLHLNKVEREDHLYAAIQYFDKDRSGYITSDEVQQACDEFGIEDVRLEDMIGEVDQDNKSTTGFGKKGLQYNLSVGFRDALKSHS >LPERR12G10200.2 pep chromosome:Lperr_V1.4:12:11434724:11445640:1 gene:LPERR12G10200 transcript:LPERR12G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGPRRSFSKNGLLGSISFWRSSRSAAPSSPSQPTTTSRSIPVVLPSSPSKSKPPPIPTQTSPPPPVIISEPPPQPPPSQPPLPSNTDPPPPPPPQQQQQEQQQRQQSRAKRPTHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKASGHEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPSIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLRKNYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPSVSEGAKDLLRKVLVRDPQAAHGAPSHPWMQMSGSAPDKPLDSAVLSRLRQFLAMNKLKKMALRVIAENLSEEEITGLKEMFKMMDTDNSGQIDYEELKAGLDRVGANMKESEIYQLMQAADIDNSGTLDYDEFIAATLHLNKVEREDHLYAAIQYFDKDRSGYITSDEVQQACDEFGIEDVRLEDMIGEVDQDNKSTTGFGKKGLQYNLSVGFRDALKSHS >LPERR12G10210.1 pep chromosome:Lperr_V1.4:12:11457270:11457877:-1 gene:LPERR12G10210 transcript:LPERR12G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFPGFIPPRCAPASSPLTSLRRLPELGYRLALFVEELINWDFITALWISNTMQDLPRSARQFQEVCE >LPERR12G10220.1 pep chromosome:Lperr_V1.4:12:11465055:11465237:1 gene:LPERR12G10220 transcript:LPERR12G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLHQTGGGSQRNQHETIGTTQPQSFGTFITNDWIIFDGPDRNANLIANAEGFISPAP >LPERR12G10230.1 pep chromosome:Lperr_V1.4:12:11465267:11473593:1 gene:LPERR12G10230 transcript:LPERR12G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKGRFMGSTLKILGNMGLTKGEWAIAGGTGHFAFAQGVVSYKEFNHLGDLFVRELDVHAIYTPIREVFSQINFPLLINSLVNLHSQCN >LPERR12G10240.1 pep chromosome:Lperr_V1.4:12:11485546:11499609:1 gene:LPERR12G10240 transcript:LPERR12G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSERSSPWLRSMNNLLGRQEWVFDPDLGTPEERAQVDKARREFAKHRFERKHSSDLLMRIQYAKENPHNHLGDLPAVKLQENDDVTEEAVWTSVKRAVNRVCNLQAHDGHWPADYGGLLFLLPGLNEDGGWGLHIEGYSTMLSSALNYVALRLLGECTNGGDGAMEKSKRWILEHGGATFTGAWGKFWLSVLGVYDWSGNNPVPPEFWLLPYYLPFHPGRMSCYCRMVYMPMSYIYGRRFVGPITPLVLELRKELYTDAYDEIDWNKARTECAKEDMYNPHSLVLDILWTIVHKFEPIMFHWPWRKLRNKALAFTMRHIHYEDESTHYINLGAVPKALSMLACWIEDPDSEAFRCHIARVPDYLWIAEDGMKMMAYDGSQVWDACLTIEVLLATGLIKELGPTLKRAHSFIKNSQLLENCPGDLNYWYRHISKGGWTFTTADDGWQVSDCSAHGLKVKIISSSSPLKKLYNQI >LPERR12G10250.1 pep chromosome:Lperr_V1.4:12:11526488:11529444:1 gene:LPERR12G10250 transcript:LPERR12G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEPPPPLCPLCGHPTSSASSSPSIRPPLKRKAPPAVVRVEIGDEAAALREALARQQAALGDLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELASLEDLLANRDAAAANPIQPVNSVPNASTPHPHPSMAAAASYPALRCFIDHPPTASEADALDAAHRVNPMASAAAATTTTPIIRVAPGSTFPRPSRAYSDDYYDDGSIDFCDGDYFPDDDGGGGGDYCDRVYTVDAIHGRPPLAVPEGSSGYTPAGGSSCCGGGGGGAAWAAEDEEMRRLSARLQVLEAERETMRQAIVSMGSDKAQAVLLKEIAQQLCGKEAAPPPRPLPAAVHLYKGGGAAPAVTVKVRPPMHRRVMEMEPIARPSFIAAVVKWVASITSRQRKITRIKYPIGQCGNNVGLLLLLDKAPRAGHGHQKMPKKI >LPERR12G10250.2 pep chromosome:Lperr_V1.4:12:11526488:11531144:1 gene:LPERR12G10250 transcript:LPERR12G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEPPPPLCPLCGHPTSSASSSPSIRPPLKRKAPPAVVRVEIGDEAAALREALARQQAALGDLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELASLEDLLANRDAAAANPIQPVNSVPNASTPHPHPSMAAAASYPALRCFIDHPPTASEADALDAAHRVNPMASAAAATTTTPIIRVAPGSTFPRPSRAYSDDYYDDGSIDFCDGDYFPDDDGGGGGDYCDRVYTVDAIHGRPPLAVPEGSSGYTPAGGSSCCGGGGGGAAWAAEDEEMRRLSARLQVLEAERETMRQAIVSMGSDKAQAVLLKEIAQQLCGKEAAPPPRPLPAAVHLYKGGGAAPAVTVKVRPPMHRRVMEMEPIARPSFIAAVVKWVASITSRQRKITRIKYPIGQCGNNVGLLLLLDKAPRAGHGHQKMPKKI >LPERR12G10260.1 pep chromosome:Lperr_V1.4:12:11529765:11531937:-1 gene:LPERR12G10260 transcript:LPERR12G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNVINWRPSSAADAYAMLEECGKRFSKDERGEARDEDERVEEFLEIVKEVFPPPPPKPEEMTE >LPERR12G10270.1 pep chromosome:Lperr_V1.4:12:11533502:11536623:-1 gene:LPERR12G10270 transcript:LPERR12G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGNQEDPILGAYTDQFTDAMFANHVDLGSQYAGDSSPGAGWVPLIARMQSQSQLGSNQPGVSYLENDSLLDDQSLTSAFKNISLRFSDCTVDSPANPVGVAPLSGHYPAGHLIPSSDSIMNSVQLQEFAQDGFRSSPLNTNVAEYMKPKYGVHNVQMLQASDYVSGDPYNLSSTSLLQKQYFIDGQLQANAPYQQTGSNFRWQDVDAERSYLLQSQYSYQQMPQVAGSDVHWIGSNQHGVIHSTIPSPAAPPYLGTPRVCQQVHDSADTYWNSHGINHLNSAFVNNCSCIIYPDCSREICEYCQIKQAEKIKHRYGLRRSSKGFLQHQIFDKVNDKSFPGKMMVKSGVINSARNVQSGFEPNGHFEMNQRMDQYAHNQHPNTQNKDFLHFDCQNPQALSSLESKYGLAMKTPQMNYSSVDEIIGKIHLLAKDQNGCRSLQRLFTEGTTDDVKQVFDGIIEYIGELVVDPFGNYLIQKLLEECNHDQKMHIVYELTKRPGQLIRFSCDMHGTRVVQKVIETINSPDEISMVVCALSSGAIDLMMDTNGCHVALRCLQKFSHEHKAFLLNAAMDYYFDLAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQFILDLRISWATDEILNKLEGHYGYLSMQKSSSNVVEKCVKEAPESGRVKIIQELINDPKFLHILNDPYGNYVIQTALKECQDPAVRAVLIGAIRPHVASLRNSMFGKRILSKTYLKNRKY >LPERR12G10280.1 pep chromosome:Lperr_V1.4:12:11553065:11566473:1 gene:LPERR12G10280 transcript:LPERR12G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPRQELPAAAPAPADAAQPMEVVAQTEPANNTAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWNRYAQFSLAVVNQIHQKYTIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPNEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLKPIHPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKSTGKPSEILTKLNEMAGFAPDEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPISDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQRKLRVTDEEFAKRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >LPERR12G10280.2 pep chromosome:Lperr_V1.4:12:11553065:11566473:1 gene:LPERR12G10280 transcript:LPERR12G10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPRQELPAAAPAPADAAQPMEVVAQTEPANNTAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWNRYAQFSLAVVNQIHQKYTIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKFSGYSCYDTADLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPNEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLKPIHPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKSTGKPSEILTKLNEMAGFAPDEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPISDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQRKLRVTDEEFAKRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >LPERR12G10280.3 pep chromosome:Lperr_V1.4:12:11553065:11565892:1 gene:LPERR12G10280 transcript:LPERR12G10280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPRQELPAAAPAPADAAQPMEVVAQTEPANNTAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWNRYAQFSLAVVNQIHQKYTIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPNEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLKPIHPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKSTGKPSEILTKLNEMAGFAPDEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPISDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQRKLRVTDEEFAKRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >LPERR12G10280.4 pep chromosome:Lperr_V1.4:12:11553065:11565892:1 gene:LPERR12G10280 transcript:LPERR12G10280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPRQELPAAAPAPADAAQPMEVVAQTEPANNTAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWNRYAQFSLAVVNQIHQKYTIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKFSGYSCYDTADLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPNEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLKPIHPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKSTGKPSEILTKLNEMAGFAPDEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPISDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQRKLRVTDEEFAKRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >LPERR12G10280.5 pep chromosome:Lperr_V1.4:12:11553065:11565892:1 gene:LPERR12G10280 transcript:LPERR12G10280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPRQELPAAAPAPADAAQPMEVVAQTEPANNTAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWNRYAQFSLAVVNQIHQKYTIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPNEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLKPIHPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKSTGKPSEILTKLNEMAGFAPDEEIELYEEIKFEPNVMCEHIDKKLTFRSSQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQRKLRVTDEEFAKRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >LPERR12G10290.1 pep chromosome:Lperr_V1.4:12:11566789:11568829:-1 gene:LPERR12G10290 transcript:LPERR12G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEVEEEVKGEVVKAVEEEAGPEEKEVAVVGEDKAIAEEHDDHDHDHSEEHEEEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNAIMSSKKSDRPKFAEEEIKILDRVIVTMEG >LPERR12G10300.1 pep chromosome:Lperr_V1.4:12:11572680:11574887:1 gene:LPERR12G10300 transcript:LPERR12G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGSAARGLQTATGFVTGINTGAEFFNCVSSAISSALSWISIHQKPDNMLDAEDIIDEFNCYELKAKIEGRMEECQTSSGCQESYASVIQGSFNRVKEIQTKLDHLHIQFIDLGLQCATQKFDKFVRPETSSFLNELEIFGRQKEDKMMLELLGVPVQDNTGYKHKRSNRVEVLPIVGLGGVGKTTLAQKICNNQRVKAHFDMILWACVSDDFNSKRLAKEVIQSSKIETSFDNLDSLRSILKDIVESKRFLLVLDDIWDDVMVDGGQEWQRFCAPLSNAFQGSMILITTRLHKVADKNTLVDIWLAEGFVEHTGSIPIVTIGQQYFEDLVSRSFFQKVSVSSDKYVIHDLMHDMAQLVSRDECFIIRNVNDLRTIPPNVHHLSIFSKGNIRCHDLMGLCGYKKLRTLLCSKAYKQKEFVSVLSSWFKELQHIRVLSYSLPMLEDIPESIRNLKLVGYSCFLSQHTFRILPSSFCCLYNLQTLDASTCVFKACLVTFES >LPERR12G10310.1 pep chromosome:Lperr_V1.4:12:11575070:11577839:1 gene:LPERR12G10310 transcript:LPERR12G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLYSLHTSTLAEDASNEEEQLEVYESLHPHPDLQHLEVTSHQGVDFCPSWFLPANLPNMTSFILEECHNVKKISLHRLPCTGFRSLTNLYIIEFLVIRESKNHLGKWAGIATLSHKPSIGEPQHMLGTSVRDHLFKAGM >LPERR12G10320.1 pep chromosome:Lperr_V1.4:12:11583002:11584223:-1 gene:LPERR12G10320 transcript:LPERR12G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVALANSSSADGFHRICMVNNLQLGFHMHQNNSLHVYYLYLKNFEGNDKQLWKMEPWEFTPISPAPNPRRYGFDGPTKKIVCRANRGLTLAVRGGRVVLATPNPMDEYQVGLVPYNPDFMDESIMWSGTKRDWGDGFNCIRMINNINSVLSIPSEDPREINDGIEVILSVWKEGENQLWRFYGWE >LPERR12G10330.1 pep chromosome:Lperr_V1.4:12:11591204:11592847:-1 gene:LPERR12G10330 transcript:LPERR12G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPLLAAGLAHRATSPAAARQLHAQLLLRGLPLPFRAAVTLVASSPCPRHARAVFDSVPAASANVYLWTATISAYARHGTSSPAVAAEAFALFGLMLRSGPRPNAFTVTSVINCCSKLRAVREGIQVHGFMAKAGMGCSLHVVAALLDLYGNVGLVGDARRVFDEMPVRNVVVGNTMVACNVRAGDVAAAREVFDGMVERDPISWNTLMSGYLRLGDAASVRGLFDEMTVRNVNSWNMMIAACSEDGLWADAVRVFNRMRLTRFKPDAATMAVLMSACAQLGSLTVAGQVHGLLHKCCVEINCHVQNSLIDMYAKCGCISQAQFLFTETYPKDAVSYNVMIIALAHHGHATDALDLFNEMVDYGLQPDAVTFLGVLSACAHAGLVDYGKHYFESMKTTYAVQQSPDHYACVVDLYGRAGLIDEAHCFVKTMPVKPHAGVWGALLNACRKHSHIDVGEIAANELIRIEPKNPGNYVLFRNTLARSQQWDAVEYVQQSMRGKGIDKTTGCSWVEVDSDVHEFSAGDFCHASFNEIYNILEHLYMELT >LPERR12G10340.1 pep chromosome:Lperr_V1.4:12:11593912:11597325:-1 gene:LPERR12G10340 transcript:LPERR12G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRALPRRLLRHPFHHSKPLSSSSPSDHRELLRIHRILSTPTTTLPSQRHGVNPPTATTPTIGDSDSRRGLPTGGKPHHHHHRMGGRLLDELRGLRIRDREISTALASDPEGLLSMSPGEPTRLMRLLRDELRCRAAVRDRVLADGALAAAVAARRRVELLHCRGLTRPDALRVLAAEPRAILYSLDDLGRKLEFLVSRMGFQIGWLVEYPEFLGVNLERWIVPRHNVVEHLRSIGGLGDPIEIKHYVRFSRQRFYNMFVKPYPECERIFGGMVREREKQVRRRHPEGLWKLFKPAKYESTKEDVNNMRMVMKSLRLRGLQESKATPTSRAATKAIDFQESEFARSGSMGFELDDYPGSGANNRHSPHSEGTR >LPERR12G10350.1 pep chromosome:Lperr_V1.4:12:11602865:11607896:-1 gene:LPERR12G10350 transcript:LPERR12G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLLVLFLFVGHDGPIVATAADDAVTWSFCDTTSNYTAQSIYQSNLDLLSAALSKNASSSLLFAKGSVGVAPDTVYGVTLCRGDFSSNASACGECVADAFRQAQRQKCPLAKRVFIVSDAGCQLRFSDKDILNRSTATDIYGYGDVMILMNALNFTQPMLPGLDAGNAESMGIITNIIKMLVQETAKAAAYNNSAYYATGRMDVSSTFPTLYSMAQCTPDMRPDDCWGCLQAINSNLTTTYLAGRRGGRILDIWCNFRYETYTFYRGEPTRRIGSSGDVIPPPTPPPPPEAVPSGDQQHRRSSRSKIVVISTVVALVSSVCCAIFCFGLVRKYKKGKVSLQGNMNMPTDEEALTWGIEASSSEFTLFDLSQVLDATNNFAEENMLGKGGFGPVYKPTTPAPKLEAFSNSDLEQNWEGDSMAQLGKGLFSDGSEIAVKRLASHSGQDEQRRVTLNWNNRLAIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDSEMNPKISDFGLARIFSSKDTEENTNRIVGTYGYMAPEYASEGLFSVKSDVFSFGVLILEIVSGKRNSGFHQCGDFFNLLGYMMRCINIALLCVQENAVDRPSMSDVVVMLSSENIALSGPNHPAYFHIRVTKEEASTSVESSGLNNTTMSILYGR >LPERR12G10360.1 pep chromosome:Lperr_V1.4:12:11620817:11621161:1 gene:LPERR12G10360 transcript:LPERR12G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALPAILTGLAHRATPATARGCSTATSAPVAPPSAPGHARRLAAPPSLTAPTPVSLPLPPMGTSGGLLLLALRYRPGRSPVALFGLVLRSGPAARPNAFAVTSLIHCSSKL >LPERR12G10370.1 pep chromosome:Lperr_V1.4:12:11621394:11623011:1 gene:LPERR12G10370 transcript:LPERR12G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDPISWNSLMLGYLWNINLAACSEDELWLMLGQCSVGRSGCISQAQFLFTKTYTKYVVPYNVMMIIALACHGHARDALELFNVMVDVGLQPDTGTFLGVLSAFADAGLVDYGKHYFESIRQPVQFSNPQITYACVVDLYGRAELIEEAQCSMPAGVKGYYFLPDVVIHSDRKAQPSRGHKLPSFCLLTALMMRMCRYGSLHTNLPDLDAI >LPERR12G10380.1 pep chromosome:Lperr_V1.4:12:11625306:11626963:-1 gene:LPERR12G10380 transcript:LPERR12G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHFLLPYLLLAMVVCDVSLRNTVGADEFVYSGFSGSDLKLDGRASITDHVIMLTDGSPYSSGHAFYNKPLNLGNESAIASFSTTFVFAIASNSARKLCSHGMTFMLSPTKPKLYNEHSDLPDKYLGLLKTDSSNSSSNETFLAVELDTVLNPELNDINGNHVGIDANSLISANSCAAGFYDSNSDFHSLELTSGENMQVWVDYDAKFHQINVTLARLSDKPQQPLLSSTINISLMLSSSVYAGFSAATGHTNCNHSVLGWSFKVNGKAKPLGPLPQFPVMQMNRKTNLSWLKDPMAHEIWEIKCELPTFMYKDLLIATDRFNNSMLLGKGGFGKVYKGILAVSKQNIAIKRISQESKQGMKEFMAEIAILGSLRHRNLVQLLGYCHHRQELLLVYDYMPNGSLDTYLHNQDKPTLNWAQRLGILKGVGSALLYLHEDWEHVVIHRDIKASNVLLDSEMNGRLGDFGLARLHNHGVDAHTTLVAGTWGYIAPELARLGKATKATDVYAFGIFIMKATN >LPERR12G10390.1 pep chromosome:Lperr_V1.4:12:11627821:11629818:1 gene:LPERR12G10390 transcript:LPERR12G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFPCLYLFLLFLYQHHYLLLHAQASEIIASSDELIYNGFSEADLKLDGGARLLQNNLILDNGPHSTSSRALCNNPLSFRKLVGDSMVPSSFSTTFVFAIPDILPKHHKYKGYGLVFMLSTTSKPPGNLPGKYLGLAMDGGDSNSDQFFAVELDTMLDPEFSDIDGNHVGIDVNSLISLNSSTAGFYDTNGAFQTLPLHNSKLIQVWVDYKSKDHRLSITLAPYPSPKPKRPLLLNTVNLSSVLSSSMYAGFSAASRGSNILGWSLKVDGEAQPLDNDYHLLPAYYSSTMINIRLLSAPVVAQAILILILAILICCRLRKMGEQDELKINCGLPPYTYKQLFTATEGFDSRMLLGRGGFGKVYRGLLPRGPPMNVAIKRVSPESKQGMKEFMAEIAILGSLRHRNLVQLLGYCRHKDELLLVYDYMPNGSLDRHLYDKKNPTLSWAQRFCIIKGVANGLFYLHEDWERVIIHRDIKASNILLDNEMNGRLSDFGLARLHDHGADAHVTRVAGTIGYIAPELARLRKASKATDVFAFGVFMMEVVCGRKPNGVVNGQGEPVLLTDWVLSKWQGDSIMESVDQELEDCIQEEAELLLKLALLCSHSSPKVRPCMRLVMLYLERGATLPEFLPSFLNIDPSISEDNDQFEPCLSVATSVTSLSGGR >LPERR12G10400.1 pep chromosome:Lperr_V1.4:12:11631131:11633596:1 gene:LPERR12G10400 transcript:LPERR12G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRLESEKHIDFSLTSPFGGGPPGRVKRKNMKKASGGGDGGGDEDEE >LPERR12G10410.1 pep chromosome:Lperr_V1.4:12:11634026:11640770:-1 gene:LPERR12G10410 transcript:LPERR12G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSSMWQFRGPSSRGMVPKQLSKGPPMVTTAAAGVEKRLEVGGSSGSLQGTHRKELQGKIRKQLQEPELSPSLYDTAWVAMVPMRGSDGPCFPQCVEWMLQNQQDDGSWGINSSISSVNKDILLSTLACIVALKRWNAGPYHIKRGLTFVGRNFSIAMEVQTVTPVGFNLTFSGLISLAAGMGLRLPVSETDVSEIFHLRKIELERDAGGTILARKAFMAYVSEGLGSLQDWDEIMAYQRKNGSLFNSPSTTAAVAMHNFNDRALNYLDSLVNKFGGPVPAMYRQDIYSQLYTVDTLERTGISRHFAYEIRDILDMTYRCWVNNEEELMLDIKTCAMAFRLLRTHGYDITSDDMAPFSKQSSFDDSIHGYLNDTKTLLELFKTSQLRFSGDDLILENIGTWSSKLLKQQLSSKILSPSARSEVEHALKFPLHATLDRLEHKRNIEQFKIGSSPLLKSGYCGSHSNKEILSLAIDEFHSSQSVYQQELQYFERLDELKFARIMPLIVHFISTATVFPCELAEARMALNKTSLLLTAVDDFFDCTETSREEMENYIALIDKWDKHDEIGFCSENVEILFNAVYNTSKQVGAKAALIHNRNITDHLAELWLDSAKAMMVEAEWTANKYIPSTMEEYMSNAVVSASFSTFVCPTVYLVGPELSEEAVRSDEYTELLRLTNVIGRLMNDTQSYRKEIGVGKMNSVILHALAVNGDCNGLPSPESIEAAKEEIKRMAESSIWEMQKLVVSEGGPVPRPCKDRLWEMCKIVSFIYVDEDAYLTPKEMMSSARAVIFDPLRLTPPAS >LPERR12G10420.1 pep chromosome:Lperr_V1.4:12:11651343:11653859:-1 gene:LPERR12G10420 transcript:LPERR12G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPTVGQPPDDPLIEIILRLPPISGRRRVRLVCRRWRDAVDDNDLAPEISTARPKPLASLRQFACATTARVFDDLAGKGRGGTRKIHLSDGGGEIVGTCNGLICLWRGDNIVVVNPVTARAFLCRCRMGQPGPERLSFAYHPLTGEYKIVHLLAVVVAVYTKKGRDAAADMVVAKVLTLGDASSTWREITAPMSMSVLTSIGAFVDGVTYWVTKDKRIVSLDHEHDKRVTCVKPLPPAAIAIMMKRCHWRLTEVAGKLGIAITSYQNTTSNVEVWVLEGARSKLTWSHRYTVEGLQHGQNIAWPHFAYGENILTINNRGLYCLLYTHWLWPHKNRRSVARANMETDMVVEKFAEVNCPKMFSYVETTEPVNLYREMHR >LPERR12G10430.1 pep chromosome:Lperr_V1.4:12:11657051:11657858:-1 gene:LPERR12G10430 transcript:LPERR12G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLEHECFTPVTPLPAMDEGHYCEQLTEVGGRLAVAVEIDRRNTIIVKVWILKGPGDKQRWSHWRTIQGLQPSQKMEHAYFAYDKCVLTSIYQQVLGKTFFNIVYKHLSCSLQDDSILIRAIAGTPVAKFDTRDLRMFSYTETTETLDIYNKAYG >LPERR12G10440.1 pep chromosome:Lperr_V1.4:12:11657883:11658912:-1 gene:LPERR12G10440 transcript:LPERR12G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRGRHEKGKNVSGTCESPVFSAIARFAGDALEGRTGSSRGRSTAEQRLWLSAALQREVWNYFARARVAKLKESRSQTPNPANANSVPTTQGPQAKAQVRPRKYKILHFPFNGTVEVFTLGEDASWRTVRMPQSAMHKRWEPSVVSVDGATY >LPERR12G10450.1 pep chromosome:Lperr_V1.4:12:11662584:11665243:1 gene:LPERR12G10450 transcript:LPERR12G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPSKVILDDEDYNEVKSLEEGMKNLLEPPGWLPDGWIMEVNRDNDGAIYRYYTCPFSGITFTMKSDVLRYLFSGIDQYSLESKNCDVYDNLTRTHDWLPNGWLVEIRAGGENMDKMYKFYVYEQTGVRLFSKEDVLLYINGMKISRFYTNGQCDASTLENILATLEFNPKGFPEGWVKEVVYRGTNVGGIRKDRHYTDPVRNLVFRTKKQAETYLKTGKVPKLAFVQKTSVHEVYSFEMSAPLVIVLPNHLQFLAHFTLTKTH >LPERR12G10460.1 pep chromosome:Lperr_V1.4:12:11674710:11675305:-1 gene:LPERR12G10460 transcript:LPERR12G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVTNKGGAIGAPHKVFSLAKRSCGHTSVITRRGYSHRAIEGTPVVKFEAKELRMFTFTETTESLIDMYKENA >LPERR12G10470.1 pep chromosome:Lperr_V1.4:12:11677931:11679013:-1 gene:LPERR12G10470 transcript:LPERR12G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKLAGHRCQLTVVGGRLGVTMATGLTVVNPDAPSAEVWVLEDGRDEQRWMKRYTVQGLHWHDQWIARPYCSHDKGIIINRWWYSHVTVDAHQSQQLDNGICLVKLDNPTEMYRETYTAGISSIQVQ >LPERR12G10480.1 pep chromosome:Lperr_V1.4:12:11679098:11679727:-1 gene:LPERR12G10480 transcript:LPERR12G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLPTDALVEILLRLPPFTRPRFRLVSRQWRDLIDDHTPDQTQTLSKPLVFLTRGHRTPDQPRSSAFVLDSDEPITNFTTTTSHRPIWTSRGNTSPVMIGSRNGILALLDETTGEITLTNPSTGETVSVPPPPPRCRSRRRGSMDVIINHAAIAFGFVPSTGRYKITHLHESDMAEVFECGGTARPPRGVTWRWAVVRAASTWTVAS >LPERR12G10490.1 pep chromosome:Lperr_V1.4:12:11686275:11689367:1 gene:LPERR12G10490 transcript:LPERR12G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLDEIPLLHHGDFLLGRCDAVADDDGGFDVSRLIRELAEMGVVDGDGDGDDEFGMLPSPLSSVAADDAAFFGSGGGGGGGGAMSPTSSLCFLSHDAFPPPSPPFSRRVNTPLPSPSVFKPFSGFYSGVLLDAAESDGWDTRCSPPPIPASNPTPAARGRSKTSRRKGERATPPAASPKKCAATARYESLAGLRGFMYHIARDQHGCRFLQQRLDDGKREVDFIFAGVARHAVELMVNPFGNYLMQKLLAVCDDEQRMAVVLTLTKDAFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPAANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >LPERR12G10500.1 pep chromosome:Lperr_V1.4:12:11690736:11695575:1 gene:LPERR12G10500 transcript:LPERR12G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSTSRRFSMSAVSAAATGRHCLHPNSSDVLVISVKQDLKVPAANPSPTKQFQGSKINLSMQKFTSKYLKVF >LPERR12G10510.1 pep chromosome:Lperr_V1.4:12:11729244:11729938:-1 gene:LPERR12G10510 transcript:LPERR12G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGGAVAVEGQSLPLFVTNRKQEFLDDTVSSQKSSTCVPVECFGWGRIDWKKYYSMIRSLFTKHTGDNNGEQQRDALDLDERCTIDVDNTKKRDVVDVRETMEAKQGDVAAAKELESELIKVVEVLHMVSCRELTEYNHKLGCNVTTQFCSENIAFFDLDEEVVVVRKTIEERKAGDP >LPERR12G10520.1 pep chromosome:Lperr_V1.4:12:11739963:11742621:-1 gene:LPERR12G10520 transcript:LPERR12G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLAEFSGIAIIATSFSWVEPPEWLPPTLWRVFSVARVILAVFRLLVFTTILLPLVVLYVFGMCISSAISLWRLTRRGLGNADIGGGDAAGSHLQPALQVLYMLGLVQGVFFLYGLTFISTGRRMVRKVAELYDFDTRATASVFEYAKEIRTGCWKDLSFARRRSLLTHAVDIIESTSVDTVRFLNGLRILDTLINVQKSSNPLVDKKILARQKMLMHQMVVTSASSGRISGKLGDAFSLTEAEFDQATEVRERASRITFYFSYNIQISRISPRSERMLQLAADTPHLEKRVDDMMLQGLVVLRSIAAGKRESCRVISNTEGFLSKIIAPISCDLLHNVDHEAWSPVVVASMELAHQLAVTPGVTGFGVRKEISTNTEAVSAMESILNCSDCHLCDPRLQKVSIKILTQLVIDKNSSLTAERRETIAKSLVRIFTDTSDSDHAVRKSAGEALVMLSARSESNAKIILQADGKVIDVLKPMLLSRGENESRISAAEILRHLCIHHTNDDKEILHSAATRRQTQTGEEADIPGFIPLCTIDIEGEGGNGHKTNWEHMDRKLFVALLSLTATIFEASQAQDLIQLVDAVAPIDAPFTFSAKLINMVERNTVVNGFHNPTVDCLRIMKHTTRMVTSVLTHSGRSYGRRDEDMERVISYLESRSTDMFKLDGVMSLANRDHGAKPPFKTLVSLLKEARELWEEKIRFSSAEGD >LPERR12G10530.1 pep chromosome:Lperr_V1.4:12:11750873:11752703:-1 gene:LPERR12G10530 transcript:LPERR12G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWERYRFVRGRPTRISLWPESRYIRSHAMFRVYLSRVVAGLGFLIFTWSTVVLLGGFVSMLSEEDFWSLTTFDQALPLSIGSRIGTIQGLRIARYYSSYHQDPDAVSHKK >LPERR12G10540.1 pep chromosome:Lperr_V1.4:12:11761485:11763195:1 gene:LPERR12G10540 transcript:LPERR12G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQALRSGPGPGFGDVSKNSKQGKKEYVSEVRIISRLRHRNLVQLIGWCHGGGNLLLVYELMPNGSLDMHLHCSAAGTQTLPWAIRYEIVLGVGAALLYLHQDWEQCVLHRGIKPSNIMLDTAYNAKLGDSGLARLVDHGRGSHTTVIAGTMGYMDPECVYTGKASAESDVYSFGVVLLEIACGQRPAMVLPDNDDTTVIHLVQLVWDQYYGRGHLLDAADPRLNKEFNEQEMERVLVVGMWIKALRPAF >LPERR12G10550.1 pep chromosome:Lperr_V1.4:12:11770172:11771074:-1 gene:LPERR12G10550 transcript:LPERR12G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILASKRPLAIIITTLLAVIVVFADPAAATGKTGQITVFWGRNKAEGSLREACDTKTYTLIIISFLNVFGNGKIPTLDISGHPIANLGDDIKHCQSNGHLVFLSIGGFGDKYSLPSPNAATQLADYLWYAYLAGNNTGTGIRRPFGEAYVDGIDFFIENGPPDNYDVLATRLWSYNKQFRARTPVQLSATPQCRYPDKRVERALATGIVTRINVRFYGDGYCAAYWQQEWEKWTAAYPDSGIYVGLPASEQTVGYVHPKNLYYGVIPVVQKVANYGGLMIWERYADKRTNYSSYAIQWA >LPERR12G10560.1 pep chromosome:Lperr_V1.4:12:11774447:11774770:1 gene:LPERR12G10560 transcript:LPERR12G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPPHRGHRGACHEILTSNLCPRITGITSFLTEVEAVAGERFTLATRRGARPFYGSWVEFAAATAATGVGPGVEAETASMTAHFPETDGVSIITKDTSSEESSPA >LPERR12G10570.1 pep chromosome:Lperr_V1.4:12:11800210:11804644:-1 gene:LPERR12G10570 transcript:LPERR12G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPPPAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTSVNRPQMVPPGASLGVGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLPFGGQMVMRHGVVNGMPQPLDPQVAGTIGKQDAGGATSEPSGTESHRSTGTE >LPERR12G10580.1 pep chromosome:Lperr_V1.4:12:11810418:11814019:-1 gene:LPERR12G10580 transcript:LPERR12G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPSYHLYTPTEVEAVIARL >LPERR12G10590.1 pep chromosome:Lperr_V1.4:12:11814429:11817007:1 gene:LPERR12G10590 transcript:LPERR12G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAAAAGAEAAAAGGEPEHGPFPIEQLQASGIAAVDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAGNASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFAIMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >LPERR12G10600.1 pep chromosome:Lperr_V1.4:12:11817890:11820725:-1 gene:LPERR12G10600 transcript:LPERR12G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAAAAQNPNPNPKPGLPPISAYYQTRAEHHAVVSSDWLAHAAAAASLPSESDATDAADAAAAASLLSPGSNGGGGVIEEFNFWRRKPDAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVANSMNRPVYVAAESYKFARLYPLDQKDMAPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >LPERR12G10610.1 pep chromosome:Lperr_V1.4:12:11825776:11827536:-1 gene:LPERR12G10610 transcript:LPERR12G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVQVVETCLVTPSEDSPRKALALSPLDLLLANRGHTPTVYFYPRTAGAVSGDGGFFDVERLKASMARALVAFYPLAGRLAMDDDDGQLRIDCNGEGALLVVARHDGLAVDDFDGFGPSPELRRLFVPRVEPPSIIMAIQPSSSPDHPVVVSEVLVLSADHVSNLKHACGDVSTFAAVTAHVWRCLCVTRRLPPNAKTRLTFPASIHRSIRPKISNHYFGNAIIWLGTAGLVCDIVAPDDDTLAVVAGCVTGAVRRMDDEVARSAIDYFEIMREKYGHLLPSPPSDVAETDLRVVSWLGMPVYDVDFGWGTPRQMLRAESERGGFVYLMNGGPGDGGGLRTVVCMKAANLVEFKRLLF >LPERR12G10620.1 pep chromosome:Lperr_V1.4:12:11831440:11832707:1 gene:LPERR12G10620 transcript:LPERR12G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSAHQVFDRRRLLAVAAVNAGMGHADSAADALRPFTDEPAAAIDDLLAPLPSGCRGAGAAGERPAKRPRASAACDQTTPPPPRHWQVGPDLAAAAKGNKGGSAAARERRRQISEKTAELSRLIPGGARMNSTADMLYFARRYVKFLKAQVDILSLLPSSGEVAMPSMMTAEEQETMMALLASGRVQERLAGEGKCIVPTSFVRAVAGDGDDVLNRELARFINSLQEQ >LPERR12G10630.1 pep chromosome:Lperr_V1.4:12:11835569:11840794:1 gene:LPERR12G10630 transcript:LPERR12G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRSQLRVRLRVTARRRGGGDGGAGGSGGGAGGGRKRRLDAPVLNSAAKLQRREIGGRQLAARGGGAASAVPERFRNMHLQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTACHVPLKILSIEDGRPLKSFSHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVWSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDSTVPPIGSINMSDIMTGKCIAKIAANDPTLRIAPRKNGIWSSIPEALEDVTALFYDEERNEIYTGNRHGLVHVWSN >LPERR12G10640.1 pep chromosome:Lperr_V1.4:12:11841609:11843711:-1 gene:LPERR12G10640 transcript:LPERR12G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAISGGFGGESRAVLAPSASVLPAPRRRASAVSSSKAQFSSFLRGQSCNLMLTPKHICIEQQSCSKSSTRTFALSRNDFSPITQDVEGFLHNIVNMGFLDRLKLAWKIIFPAPNTKDNSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >LPERR12G10650.1 pep chromosome:Lperr_V1.4:12:11844995:11848434:-1 gene:LPERR12G10650 transcript:LPERR12G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGAVSRPPPAAAAVSTSSPRCRGDPEAGTDVAGGAATSTRPPYSLISAENVQKAMRGLAAYEMKCEELNNKGLEEEEINKEHDLLKESFTILSTEEERRLYDWSLSRSGQPERYVWPYEVDPLELAPDPPKEPEDEFPTKLVGYFFLAWFILSVALSVTLNR >LPERR12G10660.1 pep chromosome:Lperr_V1.4:12:11849089:11852528:1 gene:LPERR12G10660 transcript:LPERR12G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLAGVIRLAAISRVLVLSLSLLSRLLFRPYDTSASLHPPCLLLLTPTSPNSTTVAAADPFSSLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSLFAPLVPVLGYRAVLVISGYVLNNFAFVAAAAYFYRLSVLILKNPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGIFYALLHAYDAAFQKKSPMLAVQTLVTGFLRSVFIFLPFFAFQAYGYLNICLHGNTEELRPWCKAKGGWFLEVLSSEATAKLSFGFTVYSIMYYTKMLRQLIESISMHELIVATVEGRSIEENKSSDVDIVLKSEFSTDVTNRARGHADVKRRKSVATKTHSASMHNNRSNDRSFEVSKDGCPILVLPFILHLAFMTSTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSCSKRWGYLICVYFIAYILLGSLLFSNFYPFT >LPERR12G10660.2 pep chromosome:Lperr_V1.4:12:11849089:11852530:1 gene:LPERR12G10660 transcript:LPERR12G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLAGVIRLAAISRVLVLSLSLLSRLLFRPYDTSASLHPPCLLLLTPTSPNSTTVAAADPFSSLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSLFAPLVPVLGYRAVLVISGYVLNNFAFVAAAAYFYRLSVLILKNPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGIFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKSPMLAVQTLVTGFLRSVFIFLPFFAFQAYGYLNICLHGNTEELRPWCKAKGGWFLEVLSSEATAKLSFGFTVYSIMYYTKMLRQLIESISMHELIVATVEGRSIEENKSSDVDIVLKSEFSTDVTNRARGHADVKRRKSVATKTHSASMHNNRSNDRSFEVSKDGCPILVLPFILHLAFMTSTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSCSKRWGYLICVYFIAYILLGSLLFSNFYPFT >LPERR12G10670.1 pep chromosome:Lperr_V1.4:12:11852133:11856798:-1 gene:LPERR12G10670 transcript:LPERR12G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRLPRGGGASSVVHSHRAISAVAAASAAAAFSASGLPLSARHLFGFPGFTVAYCDAGASATAGSNDAPDLINDLNNKIQDSLQYPIKEYPLELKPLFSAFALKNFSMTTIRSFLLYYLPLLEPRPPTDGEDDEDDLLQDEQDNRPVDLVTPFHNSVKQIMRETSVVTTRRILERIVVCHVSQRTAWKLLKDASKSSKRKAARGMSFPEYSHCVARTTFRAHALGVAAAWVVQSIVEVYRCFVRKPSNDQELFDEMDKLKMFGKKIYAITVKCGFSLIFASIGAGLGVLVHPYHGQWLGCTLGDFAGPIVAILVFEKFQLPLWEKPKYAMPPSKLED >LPERR12G10670.2 pep chromosome:Lperr_V1.4:12:11853399:11856798:-1 gene:LPERR12G10670 transcript:LPERR12G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRLPRGGGASSVVHSHRAISAVAAASAAAAFSASGLPLSARHLFGFPGFTVAYCDAGASATAGSNDAPDLINDLNNKIQDSLQYPIKEYPLELKPLFSAFALKNFSMTTIRSFLLYYLPLLEPRPPTDGEDDEDDLLQDEQDNRPVDLVTPFHNSVKQIMRETSVVTTRRILERIVVCHVSQRTAWKLLKDASKSSKRKAARGMSFPEYSHCVARTTFRAHALGVAAAWVVQSIVEVYRCFVRKPSNDQELFDEMDKLKMFGKKIYAITVKCGFSLIFASIGAGLGVLVHPYHGQWLGCTLGDFAGPIVAILVFEKFQLPL >LPERR12G10680.1 pep chromosome:Lperr_V1.4:12:11864100:11864480:1 gene:LPERR12G10680 transcript:LPERR12G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVHQEENIVPQDEVAKDASEDLTGSLSESSDSPVSEECTEEGSVSDDDDESLIEISLVDGHYVGQEKKCAWKEQDLLAEFLPDLLLDKRDFMDILSEISEEDNLIEIDITRGSIKCSNFGIKA >LPERR12G10690.1 pep chromosome:Lperr_V1.4:12:11867778:11868194:-1 gene:LPERR12G10690 transcript:LPERR12G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLSSPALVTAAVEADDWPPLPWDVARERLAELLRYLAAALPELAAWLRGCADTAARLARSALPAAAAVALLVVLCCCCGYFCCGGGGGRRRRRGPDGEEVDGGGGNGPVVSYRGGGGGYKGGIFNLHPNKPIRG >LPERR12G10700.1 pep chromosome:Lperr_V1.4:12:11870951:11878435:-1 gene:LPERR12G10700 transcript:LPERR12G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTPLSFPSSTPAVNHGDPAASGESAAALWEYGVDELKAATWGFDGARRLGQGQTGVVFRGTLLGHDGVVVDVAVKRFHDVLTEEKMRLARRDFRGRRFLQDRNVVRLHGYACPNTYSPEAGTLAILSWDCRSKIITGAAQGLYHYHSHGTVHGCVTASNILIENDFTARLFDFGYSWSRLSRCHLSSSPSTMSISTVKMSSEMDVLCFGTVILEVVSGRRSSELPTGANGFKLLVDWVWALHEKGCILDAVDTTLGITAANDNQQCLGMLSRDAAEAKKMLLVGLACSHPDPKLRPKIGDK >LPERR12G10710.1 pep chromosome:Lperr_V1.4:12:11880278:11882915:-1 gene:LPERR12G10710 transcript:LPERR12G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPSWLQVWMVPGCQPLIWFCLKYQKLHLVYDYMPHDSLSKHLFVTAGSPTILSWDCRSKIITGAAQGLHHFHIHGCVTSSNILIESDFTACLGDFGSSDLVKMSSEMDVFFFGVVVIEVVSGHRSSGDDLPMVLGNGFKFLVDWVWALHEKGCILDALDANLGIISSYNGCQNRNMVEAKKILLIGLARSHPDPKKRLKIETVVDILKSNSMPPPQVPQPKPNHGG >LPERR12G10710.2 pep chromosome:Lperr_V1.4:12:11880276:11882983:-1 gene:LPERR12G10710 transcript:LPERR12G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDSLSKHLFVTAGSPTILSWDCRSKIITGAAQGLHHFHIHGCVTSSNILIESDFTACLGDFGSSDLVKMSSEMDVFFFGVVVIEVVSGHRSSGDDLPMVLGNGFKFLVDWVWALHEKGCILDALDANLGIISSYNGCQNRNMVEAKKILLIGLARSHPDPKKRLKIETVVDILKSNSMPPPQVPQPKPNHGG >LPERR12G10720.1 pep chromosome:Lperr_V1.4:12:11882618:11883223:1 gene:LPERR12G10720 transcript:LPERR12G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIYREFFLPWICRNLKIRGLTKMERSPCRSSAQNAPQLAVEELAADNPDQTATIWNDNFSVCRIRCAVLHGSVAADIAWRLQGGEKAWRRGHGVVMLQLLATAFRRAATTPAAAFRRVMRASHQRQDTR >LPERR12G10730.1 pep chromosome:Lperr_V1.4:12:11886595:11892032:1 gene:LPERR12G10730 transcript:LPERR12G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCDISIAFSDASSRKQIAVKNDNGKVVMVPVFQSLETISGEVSVAPVPGKRVEHLGVKIELLGQIELYLDRGKFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTVSRNYMGMGSIVEYRDFCVRNYSPAPTINNSIKMCQAMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGASAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSIKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQAS >LPERR12G10730.2 pep chromosome:Lperr_V1.4:12:11886697:11892032:1 gene:LPERR12G10730 transcript:LPERR12G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVFQSLETISGEVSVAPVPGKRVEHLGVKIELLGQIELYLDRGKFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTVSRNYMGMGSIVEYRDFCVRNYSPAPTINNSIKMCQAMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGASAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSIKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQAS >LPERR12G10740.1 pep chromosome:Lperr_V1.4:12:11894370:11895563:1 gene:LPERR12G10740 transcript:LPERR12G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRVAADAATGGSCSLCHHGLAVRVYPPPYLPPPPQEERRRWRGRSWLDSFKHGAANEGGRNSVDLAFYYGQSYYMDHRQNNDQYHNSNLQQASDDDFDIE >LPERR12G10750.1 pep chromosome:Lperr_V1.4:12:11896845:11901138:1 gene:LPERR12G10750 transcript:LPERR12G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPAYAQIHLHHHPPPTTTTPVVTPYVTPPPPTTPKSQIVTPYVMAPPPPPPPMEMDSRRMRVKMYVASKMEVAINRLVEKRKNSGHYSSNPSCGFCGRATVAVDFAGVPSGFCSCNACLRDLAEKVIGPEKNGHHRGGSRRKKRKDGGDGGGEEEEEEEYYDGE >LPERR12G10760.1 pep chromosome:Lperr_V1.4:12:11904125:11907422:-1 gene:LPERR12G10760 transcript:LPERR12G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLPSFCLHRIRSDAGTPPICATAAGDKDDDHVGGRRDERDGKEKEKKGGGEGVGRKVMVVADGGHEARTALQWALSHSVRHCDTVVLLDVVRSGAKNWDDPRGCHHLEAMRSICQAKRPEVHVELLTVEGKERGPIIVEAVRKQGVSLLVMGQKKRSITWRLLVMWMTGGKGGSGSTVEYCVQNAACMTLAVRRKSRRGGGYLITTRRQRDFWLLA >LPERR12G10770.1 pep chromosome:Lperr_V1.4:12:11914011:11921670:-1 gene:LPERR12G10770 transcript:LPERR12G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRSGLLKKAHEIAVLCHVDVALVVFSSKGKLFHYASQHTSMERILEQYNRHILYQGNGTERCHELEGSMSYGDIKLRARIETLKKSQRNLMGQELDTLTLREIQQLENQIDTSLRNIRSRKNHLLLKSIGELRKKEKLLMEKNTILEKGITELETSLHRRFRASRTEVNAPTSNNAADAFVPSLNICCGDSEVPGTMTAPLGWTNSDNSLPWWMLGPSSNGQNISSIRSRKMED >LPERR12G10780.1 pep chromosome:Lperr_V1.4:12:11944846:11948165:-1 gene:LPERR12G10780 transcript:LPERR12G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTKSEQRTFSPLRSSERAARSIDRSPAHTPISSRIPIRSCQLLMGRGVSYGGGQSSLGYLFGGDEAPKSADKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >LPERR12G10780.2 pep chromosome:Lperr_V1.4:12:11944846:11948165:-1 gene:LPERR12G10780 transcript:LPERR12G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTKSEQRTFSPLRSSERAARSIDRSPAHTPISSRIPIRSYGGGQSSLGYLFGGDEAPKSADKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >LPERR12G10790.1 pep chromosome:Lperr_V1.4:12:11949907:11952661:-1 gene:LPERR12G10790 transcript:LPERR12G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAQREKSAVAAAVASSSSSVVGTSVPPAAAAAGGERWGAAIGNLTELGANVEALQKLLAKKAVFVDDDIFSKASLAADQARTIKILDQRVQCLERELDAAISAAARARTEKRQAEAAQRAAELRVQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >LPERR12G10800.1 pep chromosome:Lperr_V1.4:12:11952818:11955985:1 gene:LPERR12G10800 transcript:LPERR12G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKLGNLLKKATSSSPALYQSIRCMSSSKLFVGGLSYGTDETSLRQAFSNYGQVVEARIIMDRETGRSRGFGFVTYTSSEEASAAITALDGKDLDGRYIRVNTATERTGGFRSGGGGGYGGGGGYGGGGGYGGGGGGYGGSGGSYGGGDSGGYGGYRGGGGGGYGNRGGGGGGYGVAEAAAGGDTFSGMNLGGDDGFGGNPAGGSFGTAGGSTGGDLAGDSFEGSKNDELMDDLFKDDEPDNYANKQS >LPERR12G10810.1 pep chromosome:Lperr_V1.4:12:11957413:11960967:-1 gene:LPERR12G10810 transcript:LPERR12G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTILMGPRVSHPTSGPSDQHEGLPLSAVRFRPLANEANYYPHSAAAAAGLRPRASSSNRRSMAQMGSQCIGRQQSFSVPRLSSTRPGLHYRLAIGHVNMSFRGVKHSNRLSFEAAALEVPKSMPSANSESSLTLKEAMMAWSSADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGSVTFEEALAARLSLFKPSLGQVEDCMEKRPPRISPGIAELVKKLKAKNVDVYLVSGGFRQMIKPVAMQLGIPPENVFANQLLFGASGEYVGFDPAEPTSRSGGKAVAVQHIRQKCGYKTLIMIGDGATDLEARQHNGADLFICYAGVQMREAVAAKADWVVFDFDELMAYLV >LPERR12G10810.2 pep chromosome:Lperr_V1.4:12:11957413:11960967:-1 gene:LPERR12G10810 transcript:LPERR12G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTILMGPRVSHPTSGPSDQHEGLPLSAVRFRPLANEANYYPHSAAAAAGLRPRASSSNRRSMAQMGSQCIGRQQSFSVPRLSSTRPGLHYRLAIGHVNMSFRGVKHSNRLSFEAAALEVPKSMPSANSESSLTLKEAMMAWSSADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGSVTFEEALAARLSLFKPSLGQPVAMQLGIPPENVFANQLLFGASGEYVGFDPAEPTSRSGGKAVAVQHIRQKCGYKTLIMIGDGATDLEARQHNGADLFICYAGVQMREAVAAKADWVVFDFDELMAYLV >LPERR12G10820.1 pep chromosome:Lperr_V1.4:12:11961119:11966015:1 gene:LPERR12G10820 transcript:LPERR12G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAASLLLPIAAAAARSRRVSLVRCRGMASSLLGAGLAAAGRVGVVQMTSEAASAGVKFLCFPEVFSFIGSKDGESVKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGLDDSHQYNTHVIIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDSIVTVDTPFGRLGLTVCYDLRFPELYQCLRFKHQAQVVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADIDLSKVEAVRTKMPISEHRKFDSIWKTS >LPERR12G10830.1 pep chromosome:Lperr_V1.4:12:11969981:11975070:1 gene:LPERR12G10830 transcript:LPERR12G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAAAPKRCYYEVLGLSRDCSPTEIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERAYYDSHRSQILFSDPSSGAAAGASASPVPDLFAFFNTSAFSGFSDSGRGFYKVYGDVFDKVFAQELSYARRMGMPADSVDTPPVIGNLDSPYSQVTAFYNYWLGFGTVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMAVKKKAEEEKKKAEEAARKKEEDRRKKERAMAYQEPEWARVEEEDEAAFFEDDEEEEMRVKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVAELRMVFEEEEEALKEAEEESEEVDVGFDFEPAQESEESEFSDAAEELADELSESLEVRDEENGDKKLDNGEQKVGSYDETSVLEEMLSRRKNRKSSFIPPQEEASPDGAMDEDNDDDDTSYEINNVKKKGRRRQAAKKGQVEGTHADIGQGRKNEIQPEESRHDNDENGAGDEMEGPSSNQDSASASKDNQQKGNTLNAKKNKKNKKGTEKNIAIPAEQKNTSKGKKQKEVSKAPSNDCETCGGTFDSRNKLFSHLEETGHAMLKTRQKNR >LPERR12G10840.1 pep chromosome:Lperr_V1.4:12:11973841:11976737:-1 gene:LPERR12G10840 transcript:LPERR12G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLGCATSLVTCQKVFEGPLLNSLVSINQGLRMYIIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGELGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDDRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLSGSTNNYKLGENGSVEPSKDVIQKDAPKDLENGASPNKYVVKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFRAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIIAVAVLMASSGHRSTK >LPERR12G10850.1 pep chromosome:Lperr_V1.4:12:11985211:11988437:-1 gene:LPERR12G10850 transcript:LPERR12G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDIGGAIGLMAVSLVLLGTWPVVLAVLERRGRLPQHTYLDYCITNFLAALLIAFTFGQIGADTPETPNFLTQLTQMQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSTVMANHSSTNRDNENEELSKPLLEKDQPKDSEEPKPEVTQVAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKEGVPHMVVYTAFFYFSLSCFAIGIVLNVWFLYHPMVGVPRSSLTAYLNDWKGRDLALIAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRKPL >LPERR12G10860.1 pep chromosome:Lperr_V1.4:12:12001691:12008145:1 gene:LPERR12G10860 transcript:LPERR12G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKNNTAGPHRGGGAAGGGGGGGGKKKVGSGGASSRGATTLERLREGIARQREEEERREAEERRAEAERVAAEERARREAEVERERAEVERKRRVEERRRRRGEERVREEARRREEQRRRLGVTVVAADGDGGGDRRRPVYESRKAKLQVKIPHGVAQSEADRGELPTLIEQLEEEQRDASSVVVAAVTDVVELGVERKTGSSEEDGNDTISGGDDDSWEDKSLDDFDVQESGGNSPSVTMEEIEGKDVTSASQVVNPIDVCDEVEEDGILDSEDAGAIECDRMLREPICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYIPVEYIKERAKPREGIVIKVPGLLVIDTPGHESFSNMRSRGLSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLSGWKHCPDAPIKKALENQSGDVKREFEWRLTKVVTQLMENGFNTALYYDNHKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMVEKLTFVNTVECTVLEVNDDKDLGTNIDVVLINGVLHKGDQVNVCTKQGPVTTTIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVAWGLKYAIPGTPLFVLKPGDDLGQSEAKSQRNGNEEGNMMQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVGGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEAHAFASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAADAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVCVGGTVHGLGRISSMQASNGNQIDSAKRGVVVSVKDEMNDENWQLIRRLKKLLEIA >LPERR12G10860.2 pep chromosome:Lperr_V1.4:12:12001691:12008500:1 gene:LPERR12G10860 transcript:LPERR12G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKNNTAGPHRGGGAAGGGGGGGGKKKVGSGGASSRGATTLERLREGIARQREEEERREAEERRAEAERVAAEERARREAEVERERAEVERKRRVEERRRRRGEERVREEARRREEQRRRLGVTVVAADGDGGGDRRRPVYESRKAKLQVKIPHGVAQSEADRGELPTLIEQLEEEQRDASSVVVAAVTDVVELGVERKTGSSEEDGNDTISGGDDDSWEDKSLDDFDVQESGGNSPSVTMEEIEGKDVTSASQVVNPIDVCDEVEEDGILDSEDAGAIECDRMLREPICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYIPVEYIKERAKPREGIVIKVPGLLVIDTPGHESFSNMRSRGLSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLSGWKHCPDAPIKKALENQSGDVKREFEWRLTKVVTQLMENGFNTALYYDNHKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMVEKLTFVNTVECTVLEVNDDKDLGTNIDVVLINGVLHKGDQVNVCTKQGPVTTTIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVAWGLKYAIPGTPLFVLKPGDDLGQSEAKSQRNGNEEGNMMQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVGGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEAHAFASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAADAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVCVGGTVHGLGRISSMQASNGNQIDSAKRGVVVSVKDEMNDENWQLIRRLKKLLEIA >LPERR12G10870.1 pep chromosome:Lperr_V1.4:12:12006973:12010558:-1 gene:LPERR12G10870 transcript:LPERR12G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGATGGGDGAGVAAAPSTAASARYRSKGASRFVWSHPGLSGLLPGDDMYAVEDRGGAVTLMLVSLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAMTFGQVGGSKPGMLSFFTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSLDVVVGTTVNYFLDGRINRAEILFPGVVCFLIAVFLGSAVHSSNAKDEEEKLRMSGFRNAFELSTMKLLPDQEALEDVEHDDFDTGTADFIIQVEKRRSIKVFGSSRFLGLGLVFVAGVCFSLFSPAINLAINDQWHILNDGIPNLTVYTAFLYFSVSCFAIGIGLNAWLLYHPMAGVPPSTIGAYVGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQHILGSYPLWRVPEIVEKDLPVARLHADDVCRGSGASHGFSST >LPERR12G10880.1 pep chromosome:Lperr_V1.4:12:12020336:12021496:-1 gene:LPERR12G10880 transcript:LPERR12G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCTSRRAPVEVANPSSPTSNTRSKKKPQLE >LPERR12G10890.1 pep chromosome:Lperr_V1.4:12:12034581:12035667:-1 gene:LPERR12G10890 transcript:LPERR12G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESYGSQTHLPGNYFCDSTDSPGSSKLEEQDKDFRAFAGREIGSTVDMSKSLNEDNAGVVVLELGT >LPERR12G10900.1 pep chromosome:Lperr_V1.4:12:12044369:12045152:-1 gene:LPERR12G10900 transcript:LPERR12G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSFYVLLYEVGLAEAVASIVSWLERLNRLTQLYEQLEVAKARLDEEMDKLDIIPKLYGSRWTDEAIFLRA >LPERR12G10910.1 pep chromosome:Lperr_V1.4:12:12046673:12047237:-1 gene:LPERR12G10910 transcript:LPERR12G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALVGSDSGAFLKEEMLQGVDGGSDLVGEGSKDGGIRVVGDEEIVVAGNDWGGAGLVEAFFVDGIEQVTKVGHRRQVDGLRISAADEGFWLLRHRWEILSGNERDLSDNVGVPGPRPSAGCIPPRPGHLPRQEKLRPRLQNQDRLRCRRLLLPPIP >LPERR12G10920.1 pep chromosome:Lperr_V1.4:12:12053005:12056682:-1 gene:LPERR12G10920 transcript:LPERR12G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTSIWRVKTNQPMNPKVLASSAWVRFISKEPDEWKVPLRVIPNKSIGGIGSLKKINTKLSKKH >LPERR12G10930.1 pep chromosome:Lperr_V1.4:12:12064534:12067087:-1 gene:LPERR12G10930 transcript:LPERR12G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSCVESVAQNVEKAGSPGTCDNDNRVVAAPLDAAVRWWRADSRMGKRVGLIRFILIPEIMSTSPKMVSAAAAAEINQAAMNHELKDLCINQNDEENVPISSSIAQNHPIYIADDVSRALDTIEEILWKGNPMLEAHERLDIHAQWFFQEEQIATIEKIIVIDNTLDKKRCRLNVVRAAKGWYRNKLATVSVSVADGEAGWGQGLPPPSCHG >LPERR12G10940.1 pep chromosome:Lperr_V1.4:12:12079693:12080448:-1 gene:LPERR12G10940 transcript:LPERR12G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVPIFLSRLDASLVDPATVTYLQDLVNFLHRRCFHIACDGQIAAMEDPTFDIFRLRPEPSLLAGVPDQVAAAIATLEELLQQGSPVLAAYTRAAARIRRAEQQQQEAFNAAMSELHDAECAVADYLDAYDAARAHLAWNRHAIRCSAARVMAVTSSVLIGDEASVAAMMAALVQNLMFYQYREQAMLIGVSRMAPYYYAALRRHQIALARVGAVYSTMDGAGDEERVIRDGARHFNESVAVLCEYMA >LPERR12G10950.1 pep chromosome:Lperr_V1.4:12:12088121:12088458:1 gene:LPERR12G10950 transcript:LPERR12G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDDLFKHRPKPSYIPVDFSQVLDAIEEILWRGNPTLIAHECVEIHAQRFF >LPERR12G10960.1 pep chromosome:Lperr_V1.4:12:12088470:12088761:1 gene:LPERR12G10960 transcript:LPERR12G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGKSPSLRRRPSLSPGTAMTIPWTRKGVVSMPSVQPWYGTTTSLLLVEAIASIVSCLEWLNLLQEEEAMLVATVESLTQLHE >LPERR12G10970.1 pep chromosome:Lperr_V1.4:12:12090878:12091885:-1 gene:LPERR12G10970 transcript:LPERR12G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSDVRDRRILPTRPHLALLVGHRPAVSGLRRPSRTPILGRELLSHRKSQPLRKALSRCDYLLFGTTPNRIFVSD >LPERR12G10980.1 pep chromosome:Lperr_V1.4:12:12093743:12094297:-1 gene:LPERR12G10980 transcript:LPERR12G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFDPATAAYLRDLVKALRGKTFDHACKIQIASAADAFFAAADLFRFRPNPPILTGIHPYVSSAIATIESLLRIGSPTLDTYQRHLIRTEHEQLLAAAMAEFEEVNGLMMGTLRLTTGTAFSDAFGHLEIAKARVDAIHAATERIPGDGGDDHRAALDAARRFQDSAAVLRRFVNVFMPPV >LPERR12G10990.1 pep chromosome:Lperr_V1.4:12:12114322:12115107:1 gene:LPERR12G10990 transcript:LPERR12G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPATMTWSAAAATEDKVVDVAPAAMEYIRHLVNNFKTKSFTVLCFEQNDKHNVTDLFERRPEPTAVPAAVARALDAVEEILMKGSPTLAADERLEARVDRTHQAEMVGHLIEQVAYLDVKIKIATAIGGGETLDKKRRRLNAVRAAMARSRAELAAVSTAADGGWEEAAAAVVSRLERLNVAEEEEAPLAAAVEKMSGLKEELEEAMARLEDEQMKLDAMPKIGESQGREDEATLSRARGRFYLNDIVLERFIESADA >LPERR12G11000.1 pep chromosome:Lperr_V1.4:12:12120828:12121998:1 gene:LPERR12G11000 transcript:LPERR12G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGQDDTVVLASAGVACREGKEAGQQEYDSGNDVDLFQLIP >LPERR12G11010.1 pep chromosome:Lperr_V1.4:12:12132111:12135863:1 gene:LPERR12G11010 transcript:LPERR12G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELILWLFSFASIMLICLSDLEFDYINPYDSSSRINSVVLIEYSLQAALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFTLFIITIYSKIGLELNSRHNRSLKFKLQLQKIGI >LPERR12G11020.1 pep chromosome:Lperr_V1.4:12:12136190:12137515:-1 gene:LPERR12G11020 transcript:LPERR12G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGGSSDGGRPRRSTAVPSCYHRPSAGSCHHVCKYGSAHTFDDQDRDQPRRAAQSKPRKQPPSPSPAPAPATAVVLGKLRSASSRRRVGDLLITKPDKVSKKPVAPAAVGDDDSVVWKDIMAYDSPEKIAVVGEAKKKDGSVIKGKKSVKSSHGKAKIVAEETTGGDNEIAANKKPHGSAKKKNHLIKSKLQAADTTTSPSDISDKSKNTKVIKSSSRTKNVKPPKPKKNLPQIGQQITQQNSPSPSPSPSPSSSDIKEEQKPPKPLAEEAKSGKPAPAPPPPAHRRAKSMSITGTKSVRFPFTRHASKSSTTFKVIRSKSSRTTLSPPESTPAPATRLKFFRRGDAGSNSSNNGSGIQLKIRSLRRRGSSRSGGTAATGFSVPAVVLRHQKTLEKKRSRRLYNSVIEETAGKLVKARKSRVKALVGAFESLISKIGK >LPERR12G11030.1 pep chromosome:Lperr_V1.4:12:12142835:12151623:1 gene:LPERR12G11030 transcript:LPERR12G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTTTLTVVARHRRPGSARQQESTKVWPLLLESGRTKVLFGGTAKAGHLLQVSGKTEVSFECSAEARPVLQGLWQFDLEKLRKELNDTSRNTGKLKMVMRQVDEKLNANKELQKDIEEKLAANAESKLEGDHEDQIKLSIRLNELNESIEEYCSMMVVLMSILVSCVTSLVLGFAIIIYGFFVHVQSNNELKVKELMESTNEKKESLAATEDEVRRLKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTWGERRMKRNLKNHVDLCRKLDIVAFEQGVDVAGGRDYFLKGYGVLLNQALINFGLAFLQNRGFNLLHTHLSHVKGNNE >LPERR12G11030.2 pep chromosome:Lperr_V1.4:12:12142835:12149823:1 gene:LPERR12G11030 transcript:LPERR12G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTTTLTVVARHRRPGSARQQESTKVWPLLLESGRTKVLFGGTAKAGHLLQVSGKTEVSFECSAEARPVLQGLWQFDLEKLRKELNDTSRNTGKLKMVMRQVDEKLNANKELQKDIEEKLAANAESKLEGDHEDQIKLSIRLNELNESIEEYCSMMVVLMSILVSCVTSLVLGFAIIIYGFFVHVQSNNELKVKELMESTNEKKESLAATEDEVRRLKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTWGERRMKRNLKNHVDLCRKLDIVAFEQGVDVAGGRDYFLKGYGVLLNQALINFGLAFLQNRGFNLLHTHLSHVKGNNE >LPERR12G11040.1 pep chromosome:Lperr_V1.4:12:12167460:12168599:1 gene:LPERR12G11040 transcript:LPERR12G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSVRDGTLSLGDFVASAKALIEKWKGIDVDDSLPDWQWKSFCKMGVPSEEEGYLALEGVYNNHGERQVQSSSDSFHVYDYHVVYSFSYKVPVLYFQGHQAGGQLLTIDEIKEDLPSHSLKLLGESKWTFITREEHPHFSRPWFILHP >LPERR12G11050.1 pep chromosome:Lperr_V1.4:12:12168615:12169061:1 gene:LPERR12G11050 transcript:LPERR12G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLEGIEDKDRLVQYLPAWLSVVGQAVGLKIPLELYGLELNLLIWTALEYAERYLKTHLLPD >LPERR12G11060.1 pep chromosome:Lperr_V1.4:12:12171794:12176252:1 gene:LPERR12G11060 transcript:LPERR12G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRCLSELARRRRPDRTVDAMRVGLQCLMSTSSSTKAPDTHRLSNTYATSCANVPEVAPNETPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPNSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICMHLSELKGLKTLAMTTNGLVLSRKLPRLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDTAIELGFKSVKVNCVVMRGINDEEICDFVELTRYKPINVRFIEFMPFDGNVWNVKKLVSYAEMMDKVRGRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAFKNLNLNVKRKKAKHAGMFDIAKTANRPMIHIGG >LPERR12G11060.2 pep chromosome:Lperr_V1.4:12:12172087:12176252:1 gene:LPERR12G11060 transcript:LPERR12G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRCLSELARRRRPDRTVDAMRVGLQCLMSTSSSTKAPDTHRLSNTYATSCANVPEVAPNETPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPNSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICMHLSELKGLKTLAMTTNGLVLSRKLPRLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDTAIELGFKSVKVNCVVMRGINDEEICDFVELTRYKPINVRFIEFMPFDGNVWNVKKLVSYAEMMDKVRGRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAFKNLNLNVKRKKAKHAGMFDIAKTANRPMIHIGG >LPERR12G11070.1 pep chromosome:Lperr_V1.4:12:12181300:12184872:1 gene:LPERR12G11070 transcript:LPERR12G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESSDAGASKTYPQQAGTIRKNGYLVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLKLPTDDNLLNQIKAGFGEGKDLVVTVMSAMGEEQICALKDIGPK >LPERR12G11080.1 pep chromosome:Lperr_V1.4:12:12190190:12196717:1 gene:LPERR12G11080 transcript:LPERR12G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGISDMVTGPTNNDNVGFQSAEVSQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAAEQNEQSNDTTSGMSGVKRDQEAIYGMSEQLSGLSDGDDMDDGESRPHEADDKEGDNKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSSQQNVHNMSSNQASLTRADYNNINQRPIGVLQFKSEE >LPERR12G11080.2 pep chromosome:Lperr_V1.4:12:12190129:12196717:1 gene:LPERR12G11080 transcript:LPERR12G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPAMGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSETMHHMSAGVNMTGISDMVTGPTNNDNVGFQSAEVSQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAAEQNEQSNDTTSGMSGVKRDQEAIYGMSEQLSGLSDGDDMDDGESRPHEADDKEGDNKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSSQQNVHNMSSNQASLTRADYNNINQRPIGVLQFKSEE >LPERR12G11090.1 pep chromosome:Lperr_V1.4:12:12199314:12200280:-1 gene:LPERR12G11090 transcript:LPERR12G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARRIVRMALHSFLSYHHLHPAAALLALPFSAAALLSRSPPPPASSFLLRRLRRVFLAAGFPPSSSQLLFLLNQRLSSSILSAIATLPFSMTSLLLAKSLAVLITVRRRRSRGAGKTPWREAAARWPVMARTQAACYGATLLAHAAVFAAMLAARSGWSGXXXSAAGVIVYSVALANAAAVCNLAAVVAAAEHAGGGGGGIDAILKSILLVRGDAATAVAVALPATLATAAVEGLFQLRVARPYAAGGGVTSAMVMEGILIAYIHSMICVLDTVITCMVYKTCKASVSRYYYSYSDDDGGDDLLELEEKGDLDA >LPERR12G11100.1 pep chromosome:Lperr_V1.4:12:12201626:12208209:-1 gene:LPERR12G11100 transcript:LPERR12G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEVVLYSNLANPIPKPTRKPRAAAAPRAPAMSATAAAIRSGDVLACPVVALRRATAVSFRMRRGAAMRGVVAAASAEGAEAVEGGEKGKGKKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGKEYQRVLLHRMLSWLKPGRKNVFLQI >LPERR12G11110.1 pep chromosome:Lperr_V1.4:12:12208411:12211393:1 gene:LPERR12G11110 transcript:LPERR12G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRWGMRSWSWARVGLRQSLWLSVSIF >LPERR12G11120.1 pep chromosome:Lperr_V1.4:12:12210467:12210957:-1 gene:LPERR12G11120 transcript:LPERR12G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNTIAKSAGLLREIKNKQSSNLMSKVKPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDTETASSPTSNDATVFEHPFV >LPERR12G11130.1 pep chromosome:Lperr_V1.4:12:12214499:12217655:1 gene:LPERR12G11130 transcript:LPERR12G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAAQEEEATVVAKVYGGGSRAPSLRLPPLSGAGPSGSGGAALAGRGKQPRLQPKEPEEEDDDEEDHMEVDLDKLPSGSSDPDKLAKMNAILSQFTEEQMNRYESFRRSGFQKSNMRKVGPHSQLSLVLLASITGSQKISHPTTIVVSGIAKMFVARIVMTERKDSGPIRPCHIREAFRRLKLEGKIPRRTVPRLFR >LPERR12G11130.2 pep chromosome:Lperr_V1.4:12:12214499:12217858:1 gene:LPERR12G11130 transcript:LPERR12G11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAAQEEEATVVAKVYGGGSRAPSLRLPPLSGAGPSGSGGAALAGRGKQPRLQPKEPEEEDDDEEDHMEVDLDKLPSGSSDPDKLAKMNAILSQFTEEQMNRYESFRRSGFQKSNMRKVGPHSQLSLVLLASITGSQKISHPTTIVVSGIAKMFVARIVMTERKDSGPIRPCHIREAFRRLKLEGKIPRRTVPRLFR >LPERR12G11140.1 pep chromosome:Lperr_V1.4:12:12219181:12224130:-1 gene:LPERR12G11140 transcript:LPERR12G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKMKLTAQMLLFIICALFPGAHRATCSIAYGEEIDHMFLLDFKKSISTDPHGVLASWNDSSHFCEWTGVSCRNIKHLRRATILDVSNLGLVGTISPSLGNMTFLTVLNLSHNSFLGEIPSLGHMRRLKILNFESNSLQGRIPSELANCTNLRELYLLMNHFVGEIPTDIESLLKLSILDLSRNNLSGIIPPSLGNISGLSMLITTENLLEGRIPNELGRLSHLTVLAIGSNKLSGGIPQSIFNISSLKAMSLERNQLRMAYLPSDLGTTLHNLKLIYLDYNQFAGPIPPSLSNASQSDDKQSWMFMDVLKNCSSLQTLALFQNQLAGKLPSSVGNLSSELQFLLLGKNKISGSVPSSIGNLQGLTNLGLDSNNFDGLITKWIGNFKVMQKLFLSGNSFVGPIPSSIGNLSQLFYLTLASNKFEGSIPATIGQLQHLQILDFSHNELNGSIPVDLFNLPAAITFDLSHNILNGILPREIGNAKQLSEIDISSNKIYGKIPETIGNCESFETIIMGNNLLEGKIPSSLANLKNLQLLDLSHNSLSGPVPGFLGSLKMLHTLDLSHNYLQGEVPRNGVFTNATAFILTGNQNLCGGVTELHLAPCPVVPSRKRRLPHSLKIVILVACPMLVLALIVVVLLFRKKKLEQKSLMVPSVLDMHLPQISHMDLAKSTNNFSPSNLIGKGAHGSVYRGFIGHLQTDVAVKVFNLEMQGAEHSFLVECQTLRSINHQNLVTVLTACSSIDPRGNEFKAIVYEFMPKGNSDELIHSQRSNEHVVRHIILAQRLNIAIDMANALDYLHHGTRPPVVHCDLKPSNVLLDDDMGAHISDFGLAKLRNDVSLSAGCSTSSVGLRGTIGYATPEYATGGHISTTGDVYSFGILILEMLTGKRPTDAIFTEGLSIISFVQMNFPNNITTIIDEYLQEDGDNLNNKTQSTCHGRVHDCIQSMLEIGLACTQQLPKERPNMQEVARKLLATRVAYHRSSGC >LPERR12G11150.1 pep chromosome:Lperr_V1.4:12:12227479:12236531:1 gene:LPERR12G11150 transcript:LPERR12G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAGGRHLLLPLRRVPQPQPWTHARSHLISSASKPKTPPPPSPPPLPPPPVVAPSSAAAFVPPSSRRGGAVGAGVVAWYLGSIEARPVLTKSVTAAAIFTVADLSSQMITLGSEDSIDLLRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVVNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIIARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKAYVDVATIY >LPERR12G11150.2 pep chromosome:Lperr_V1.4:12:12227509:12236381:1 gene:LPERR12G11150 transcript:LPERR12G11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGSEDSIDLLRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVVNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIIARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKAYVDVATSS >LPERR12G11150.3 pep chromosome:Lperr_V1.4:12:12227479:12230300:1 gene:LPERR12G11150 transcript:LPERR12G11150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAGGRHLLLPLRRVPQPQPWTHARSHLISSASKPKTPPPPSPPPLPPPPVVAPSSAAAFVPPSSRRGGAVGAGVVAWYLGSIEARPVLTKSVTAAAIFTVADLSSQIDMHQIRTRVEPSVQFRSPADAEYARNTLHGRNIYDGCCRMDFHLGSQIPTTSENLSSTSSNISLATPLSQTIEKLRLQFQKLEVMVKEMVVEECARQIVTKEEMTPSAASPSIQLSSSMLSWWSKR >LPERR12G11160.1 pep chromosome:Lperr_V1.4:12:12234176:12234731:-1 gene:LPERR12G11160 transcript:LPERR12G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMTEMQSISAQAQVKADTRTKPRMEMDQQWYRNSGEKKAPFAEDFDLELTLATGTGRKQEKPSNSYSGATASSSTSAESESEQRFPESNVTLRFKNESKRHDDQLMQSPWLYQCLSLKMA >LPERR12G11170.1 pep chromosome:Lperr_V1.4:12:12245324:12247842:-1 gene:LPERR12G11170 transcript:LPERR12G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAGAGSGEPSSSSRAGKEKDEDGGSGKEWEGEVDFDLSGAAVGWVEARTSCPHLTSMPAAGADDLARVPPPDSPCSRCHHPAENWLCLICKDILCSRFINKHMLYHYQETGHCLALSFSDLSVWCFACDSYLDVQAILELRPVYEVAHLLKFGERPPFRSLDVLDLSIGHNGSTSSQS >LPERR12G11180.1 pep chromosome:Lperr_V1.4:12:12247972:12250354:1 gene:LPERR12G11180 transcript:LPERR12G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWFNVNFIQILIGQLISQFMFSSMNGLWQGVRTYVYKCVKFVFKKTAVQFISVK >LPERR12G11190.1 pep chromosome:Lperr_V1.4:12:12251654:12253068:1 gene:LPERR12G11190 transcript:LPERR12G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVECASYCSSLEAICEDSEMIAHLQSLFWSSSDANPFLGSSNNSFSLSSSSSFSLISNGSYDTMGTELVNNSTNICFEYRDDSLFSLEETSSIGNKRKNQMDTGHEQMMNHSKEVCTAISDLFNLVSDLIMQCLQKQKRRQRINERLKILQELIPNGTKVDISTMLEEAIQYIKFLHLQIKLLSSDEMWMYAPLAFDGVNNRLHQNNSLSLE >LPERR12G11200.1 pep chromosome:Lperr_V1.4:12:12254410:12257133:-1 gene:LPERR12G11200 transcript:LPERR12G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYFSSSSRKTVADHAGGGATAAGGDFKWQEQQLEKRSRKGMKHAYDASNKDDLVLVVSLDAITKIGWRAAWFLGDGVSSISPARALSPPRPAELAHCRRHPRDLDACGGSSVTTATHGISPPAGARPSPPPHAGSSLLVRDEGG >LPERR12G11210.1 pep chromosome:Lperr_V1.4:12:12260468:12260763:1 gene:LPERR12G11210 transcript:LPERR12G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADRVLCVRVSNLLYPVTKDLPHREDRRAPNVTIVEASIQFQSRAVHEQLSMAIYDGR >LPERR12G11220.1 pep chromosome:Lperr_V1.4:12:12262055:12265132:1 gene:LPERR12G11220 transcript:LPERR12G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGDSSSEEEEEERCDLFRCFCKWRKRCSPRIPRVYPGRDYVCISSDAAAGDGTPKWSVLIGCTSAGEPFHNLRTHRFRVADSGRVMGCSDGLLERFRGVSPDDDEHTVFSSATAAMAPVGRNICIICAHYPSFHNNDHHHQEADLLLPKAFLMDSVDKSLTQLPSLPYPDHGSYASYHSVSAHGELWMPAITMETGPFGIKTRLAIYQLDRTTTNNYSWAMIRDIDFPYRRPSVSSGPPLHGYAVIMDRYILLSFVDRSFFCFDCATNTLSRVTKLDDETNVYVPIRGRAVHVHSSSDNGIYFLEGATLFRYSYSPEAEAGKPLKPPQMVVTICPYRKEGYGFVVHLRDNMLCTVWINMSKDCKCATRHVLITTLLVGCRLGKDGFEPKDVEVLHSTCRRIGMLRSMPPETSSYDRFCFLQEFLDDSLDIDHSAFSTTRGLQVDKVQQKMLPCCRSPYNSIFSYQLHFTIVHRNFLIGTSRAITLEDCKVMTKPNLYIVCQVGQCSLLYKISNSRGKLAAACHEKVLQPQFSVQTVRPRDDARMIDDPYSWHFVHQGSKIYGIPSSPLQSHYEFDLNTNLHNLLECKRPNACFSMVSRAGQHIVALGATLQDVYILDQYTRDWVPCQTSSRSLDLAREVKIAGFVDLIDDALVVSDYDSPDCFLLDLKKREWFPVNHLDIYDYVTGTPLNGRCRYAKGFIYMCTQTGIFAFELVKESDLSYRLDTPTLLQFQWERFSDSRLMSLDLIYEDIDASGAMVFCVVEGHTRLAHLYRSVSSDHELTITTVQVNLKGSERGVRIPVGIKHVDIAISSIKQEGWILTNYAFAV >LPERR12G11230.1 pep chromosome:Lperr_V1.4:12:12266426:12268805:-1 gene:LPERR12G11230 transcript:LPERR12G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDIWKTHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHQKWLNNTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPTIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDITSVSTSVKGVGVIGDVKAIDLQSPISSLIGRQVLKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTKEGLQEALEEQRIILAAAVAANSTAAESSPVAGPQENEKVDKIYEPLGINIQQLPRDSSATSTDQPFGPEFHVDMVEGVNNVEEHQFLIGMSPVREGQETNGDLNNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >LPERR12G11240.1 pep chromosome:Lperr_V1.4:12:12274663:12276706:-1 gene:LPERR12G11240 transcript:LPERR12G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCACIGGAAEKERRAEADRAEAQEARAKAAEAAQRRQEQFDKSAAGRAAKAQMKAMKEAKTSSNQGEPVLKWQMG >LPERR12G11250.1 pep chromosome:Lperr_V1.4:12:12279701:12282826:1 gene:LPERR12G11250 transcript:LPERR12G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAGLAGVTPVRQQRAGRGSGRAAAGGGAGELPPMAACGRKRKIGLSSMLGATAKERVESLVDELQLLECFLKDTDIMDLRQDETAKQWLNSLRDVAYDAEDLVESAMLQQQGDRFYSLHHPIDSFMFAKKVEEIMSKICDMVDRRASYAAMIRELENESGEEETSTSSRHRRRPPPSPALFLRWRRSSHHMGNSTIFGLEQDTDMIMERLLHRKITLASLVFIKARAVQEGSTSSGQATSSKPTDSGSSSSNRSHFDDPATLLLLNIISTQIGGNFHQEDDDVAAARSDIFEFLLDKRYLIVLDDVWRIETWHELIDALPMSRNGSKILMTTRSKEIAICADPASSPHELNPLSDELSFRLFLSKVFPLGHLHQTSCPPELQDLGRQLSKKCGGLPLALVVLGGLLSGKVNQHIVWSRILNSMNWSDNEAGKQCLKVLALSYNCLPYHMKLCFLYLGAFREESEISISKLTKLWIGDELIPQLGGNTKEDTADDYLNELIQRCLMQPVLLEHKQQPTRVCVHALLRELAISEGRESRFLYCEHSQVVSKMEMKTYRCLALHLGPSKRYDLLDFGKLRALLINPRTTGTQTICVGHQVLRPFFKLIPRWCTINYQWQTVWNMPYIRIIEVQGLQMPMDALQESIKSSLIHLRYLCLRNTCLDAFPFNESKFPSLQTLDIRETSIEKLPDAILDT >LPERR12G11250.2 pep chromosome:Lperr_V1.4:12:12279364:12282826:1 gene:LPERR12G11250 transcript:LPERR12G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAFVSSLVDRLSTMAMSGLSSMLGATAKERVESLVDELQLLECFLKDTDIMDLRQDETAKQWLNSLRDVAYDAEDLVESAMLQQQGDRFYSLHHPIDSFMFAKKVEEIMSKICDMVDRRASYAAMIRELENESGEEETSTSSRHRRRPPPSPALFLRWRRSSHHMGNSTIFGLEQDTDMIMERLLHRKITLASLVFIKARAVQEGSTSSGQATSSKPTDSGSSSSNRSHFDDPATLLLLNIISTQIGGNFHQEDDDVAAARSDIFEFLLDKRYLIVLDDVWRIETWHELIDALPMSRNGSKILMTTRSKEIAICADPASSPHELNPLSDELSFRLFLSKVFPLGHLHQTSCPPELQDLGRQLSKKCGGLPLALVVLGGLLSGKVNQHIVWSRILNSMNWSDNEAGKQCLKVLALSYNCLPYHMKLCFLYLGAFREESEISISKLTKLWIGDELIPQLGGNTKEDTADDYLNELIQRCLMQPVLLEHKQQPTRVCVHALLRELAISEGRESRFLYCEHSQVVSKMEMKTYRCLALHLGPSKRYDLLDFGKLRALLINPRTTGTQTICVGHQVLRPFFKLIPRWCTINYQWQTVWNMPYIRIIEVQGLQMPMDALQESIKSSLIHLRYLCLRNTCLDAFPFNESKFPSLQTLDIRETSIEKLPDAILDT >LPERR12G11260.1 pep chromosome:Lperr_V1.4:12:12282855:12283449:1 gene:LPERR12G11260 transcript:LPERR12G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSICCLYNLQTLCGVLVSNDQIAREFSELTCLRKLQIELKAWNPILSKACYILLSRLEDYFPGCITSITLSASRIETDQLKILGESRCLWELKLKDDAFLLSELSCPRNSFPELRYFKISSLTNLEACQIETGALQNLVRFSIHNCSKFRSTIDVLEHATRLQVLKLKGIELLPDIADSCRNKNVSVITKT >LPERR12G11270.1 pep chromosome:Lperr_V1.4:12:12284567:12285136:-1 gene:LPERR12G11270 transcript:LPERR12G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRTPSMGGSGGRGLRRPESLTRSPPATALAKTESMKKREKSKSNKKASKRARLRAGISAALRDLHHLAAHRHRSGGGGQRGGHDDGAVVSVAPPVVGAVSADCSVGARPASAAAGSESGLSWAVAVAVVVVLACVVALGRGPAICCCTCAAWLCGGRAADQPACRRRWSGGGHGRVQRQAGFLQQ >LPERR12G11280.1 pep chromosome:Lperr_V1.4:12:12287545:12290746:1 gene:LPERR12G11280 transcript:LPERR12G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCTACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEAKLYCKHHHIQLIKEKGNFSQLENDNEKASQSGSVEDEDSEY >LPERR12G11290.1 pep chromosome:Lperr_V1.4:12:12293079:12295699:-1 gene:LPERR12G11290 transcript:LPERR12G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPAAAAGTVLEDLPEDALLSILALLSPPDAAAAACACRRLAAAASSPSLPLALALRLGLPLPRPLLAGGDADPAAAARILRSLHRLRRLLGLWRRLPSTSVSRSASSSSLAAFEWAPRGTLAASLLAPSPHGLAVAKSPFVTLSIDESGDTVAAAVGEDGPVCVNFVGNNHIVVEPAAASSGEDDDEALERGSPPEVVYMHFANRRSPGAGSRKRSKQGRRRGRGMEAEHFVRIADAEPTEARPLQGLWKGISESRTLEFYLVTYDDIGGITCRQVSDTRGQTSGFTPIFWTINTTFIEQPFSEKELDHYSGREHIQGVNSDHSGTENRAVSRILCIHSSYDVVDPHLSAPLDDMRNVEGRIWLYEDGTFGFGFAGSNSIIDLKHVSSNGCILDALH >LPERR12G11300.1 pep chromosome:Lperr_V1.4:12:12298458:12302429:1 gene:LPERR12G11300 transcript:LPERR12G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEESMASPTTSSCGTCKCSANYDKKKKKKDKKCELITYEELPDWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLCLTIFTAMVIPSDGRSNFARSNTTMRDYYWGDLMVMANMTGVLRHEALAACLLLNPADLSEHEQISTSCPTNTSSFSSSFSIYHHNHHQQQQHAVQDAATTVVTEPITRWPMYAYLCGAMVCLLASTACHLLLCHSPRVASLTLRLDYAGIASLIVTSFLPLVHYTFLYHPRLRLAYTVSIVTSGIVAVSVSLVPAFQAPRLRHVRAAVFSGVAVSGVIPVVHKLVIYGNVVREAVTSTRCEAAMGVFYGIGVVVYTARVPERWFPGKFDLVGHSHQLFHLLVVAGAYAHYLGALEYLKWRDTIKC >LPERR12G11310.1 pep chromosome:Lperr_V1.4:12:12304004:12315831:1 gene:LPERR12G11310 transcript:LPERR12G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRRKEENEPRSPTARKQPTRHRRRSPPPYAVLALVPLKQYPFFLAQLTTFGYVAVYFSILYWRYRTGVVSRDMLALPKRRFVAIGMLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIFGCFLVASGVILAVASGVNEGQFLAEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPVLSNFRGIKFAELPAYLNGGAECFLNVNDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGAVVLLMGLIIYNLPQSTKKQSKTELAAMLPGPAIPILSQSFLVWQLIFSALILGRTYSMRQIFGCLLFASGVILAVASGANEDQFMSEVKFIWLALMVASSVFQAGASILKESVFIDGAKRLKGKQPDIFVVNSGIKFAELPTYLNGGAECFLNVNDSLIDCGGAPFLPLLFILVNMVFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLLYIPDAQN >LPERR12G11310.2 pep chromosome:Lperr_V1.4:12:12303969:12315831:1 gene:LPERR12G11310 transcript:LPERR12G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAAIGAASASVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSILYWRYRTGVVSRDMLALPKRRFVAIGMLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIFGCFLVASGVILAVASGVNEGQFLAEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPVLSNFRGIKFAELPAYLNGGAECFLNVNDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGAVVLLMGLIIYNLPQSTKKQSKTELAAMLPGPAIPILSQSFLVWQLIFSALILGRTYSMRQIFGCLLFASGVILAVASGANEDQFMSEVKFIWLALMVASSVFQAGASILKESVFIDGAKRLKGKQPDIFVVNSGIKFAELPTYLNGGAECFLNVNDSLIDCGGAPFLPLLFILVNMVFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLLYIPDAQN >LPERR12G11320.1 pep chromosome:Lperr_V1.4:12:12311384:12319084:-1 gene:LPERR12G11320 transcript:LPERR12G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVRWRDGCEAPIHGEDKMPIVNVAASSSTTGRRPGATASQATTPATTGMTNWSAEQLIQLFVQDTTQLASNEMARKARGKRLKLAKLGEAKTQVGLYYPPPLGMHGSSFFAEEKEQWWRRRRSRRLIQQGSFESGRRICDELRLSLVDCPERYRCRGGYEGILDFGIAVEKFHDLIRRIESRRLEEEKGRNAATTLFSVLKGRIANKFERSVACGGRDSLAENLFTLHDGVAELYRHLQRGVLSHHLQTGGDPSLGDDTSRSSQRNLRLWTTKKDVFGRERETEQIVQWLIKQPAAENSEIINADHIRLFAILGVAEFFTSKLLQHALTGKRLLLVLDDVWADYKEDTWRALAATLRNCKSGSCILLTTRLQSVVDIAAKAIGSPAGCLQLDELGENDNFLLLMSRLPPEVYSEDCTHLRLIGEQIAKHTEGCPLVTVNVASWLGSHMETHHWNDFLEKGWQKMGLADIFASLQLSYDHLSPHLQNCFRYCSIFPKGYRFNQVELANMWISSGLPISSLRQGGGCAEDVGEEYFNTLVRKFIFFRMLQVDLDGNLKEHYAMHSLMHDCAVFVSRYECARVDDDCFQHVQPATVHLFVSYCGNLAGLSNLAHLRTLIIQGEFDLDQEAEHMLGNLLSNSKHLRMLYLDVPSLSHALDIISGLSQLRYLFLYSCGKSHLQRIFELNHLQVFHLKYFTSKEEDLVGIQISHSLRCLHIPDSIVSKILQMGMPTTLQELHGFEVAENDDHKLSVLSTLTNLQRLSLKNLQNVKNCEEATEVKLKDKPYMRFLSLSWNKFLSNPDYIDHQIIDCLEPNKKIQQLHIHGYNGVQLPKWIENSILIHLVSLELDYCMKWRSLPSFKELRSLKYLKLEHLFQLGSVVDEQYGSKQSDTTFLPPFLSTLIVRWCPNLKKLPPLPCSLEKLILKHVGLIALPRIQDACVDLTSLDGLFEQQRYLRCLKALLVKHCEKLCHLPAKGFTELHHLGFLGIVSCPMLNVKTEGRLLPISLMNLDFNQCGLIESSVLMSLHHLTYLSSLLLFSCDSVEKLPSDEVFRSMRNLTTMSIAKCKQLLSLGGLGAAFSLKALTIMCCDKIQCSYSSQASCSFKLQNLKIDRQSLLLAEPLKSLTCIVNLHIGDDSAMEFLFEEWLLKNAHSLCSIEIGVAENLRALPSQIEKLESLQNLHIERGPRIQILPQLPVALSKLTIWGCDPQFVKLYTKDVGSDWGKIKNIAQVDMKAYSEDTSYNDDQIQYFDDSYSNRFQQFVVIDY >LPERR12G11330.1 pep chromosome:Lperr_V1.4:12:12322778:12327018:-1 gene:LPERR12G11330 transcript:LPERR12G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASALALAGKVGAKVAGGAGISYLINKALGRIPTKGDLHFRLHQKLPDVEKILYFVNEQPKPSDPVLAGVVENMRDAIQEAEFALDSLEHLDFKSGVKHPSNMSVSSVVSTVSKKLHVVSSIKAVKNLRNALYKLDEALDSAKKSLPLMFTFGRENSQDSASRWETARELTTTVFGRLKEKDEVVEWLGVQTQDHTDKLSVCAIVGDGGMGKTTLAQFVCQDKMVQGYFGDRIIWVHVSKLFDPKVLFERILESFYQHKPSADALDTLQNLSKQLLTKRFLLVLDDAWEDKDNQRWEQFLGPIRNSAPVGGRILLTTRMRSVADAVKCQMSSDTYKHLELPGLDQENTVKLFNHHAFGDLSPSDYFELRLIGEQIAKKVKGCPLIAKTIGLHLRGNTDHAEWISILNHDIHSIDEIATKIREVLKVSYKNLNYEVQVCFRYCSIFPPHYKFKKEELVEMWVSSGLILQIKDDISRENIARKHFNVLSENSFFSLVPRELNVDPSEDYYILHDWMYELACCVSTAECSKLNAAACSTGISRAVRHLYIEGINAEIIYIISKSKHLRTLIIANEGNSIQQDLADDLKKSIKGRTRLRLLKLCANGWFSMNDVIAELDHLRCIYLSATEEPNLSKLFKFCHLEVLHILKIDKENESSSISPNLPHLQKLHLPKSTLSRIHHIGSLTTLQELNGFSVKIMDGQKITELKDLKKLQKAVVLDVQNVTACSEASATELDKKSDLKVLSLEWCADQASSDISILNNLVPDSNLKHLVISGYNGTQPPLWMKSKYLINLVYLKIDGCMEWGELPRFGHLQTLKHLLLKNLPKLKHIASSCYSSGPHGCRDISPDALPPHLITFVVKDCLCLSELPDLPCSLRHLDIARVGMPNLPTLCDHRESVSMVESELSILNIESCDILVSLKGCFLQEKHCRALTVLSLVRCYLLKSLPGADVFQRMSKLEKVKIIECGGLSSLGGLDSLSYLKVLRIEKCTSLTTASSSKLPPVSNKGSNLKFEMLEIDDHLLLVSSPLRNLCLTKGLVIDRSKMAELPAEWMLQNKSHLEHVEVSNAERLDSLPNMHEFRALRSLLLLNTPLLQSLPFMPPNLWVLVIRGCCNELHEKFGRGGSEQAKISYIYRCDIFKET >LPERR12G11350.1 pep chromosome:Lperr_V1.4:12:12334670:12337731:1 gene:LPERR12G11350 transcript:LPERR12G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSNLPRSSKFTNSPHEQVSTLPMEPAAFAATSVLQYSGASFSDNLEEESEMENVGPNKARGIKVKEKAICGSRRPIGGFEQATQRNKKKRSDSNTVQAEAVKQSQPYTMMQGHPEIPINYNYMHMPGNYHVEGASLLQSSGYFTTSEQGQGMQNGEATQTFDTYPYTMFGHFS >LPERR12G11360.1 pep chromosome:Lperr_V1.4:12:12349383:12351446:-1 gene:LPERR12G11360 transcript:LPERR12G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYLEAGCTHHNQNIQNMNQLHSCEEKLNDHMNKACLSSLRHTDDCPDDDNLTNGCSEDEQQPGDLLDRAVSCASVMVLVHNMLKLDYMMQGYCQMWDLRPYIDDNVMQLAWKLPLEAPKALEKMSFSTPC >LPERR12G11370.1 pep chromosome:Lperr_V1.4:12:12380960:12384031:-1 gene:LPERR12G11370 transcript:LPERR12G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKINEKQHMRFLSLSWDKNFNGPEDIDHRIIDSLKPNKEIQRLHIHGYSGVRLPVWIENSLFICLVSLDLECCMKWKTIPSFQKLSSLKYLKLEHLLQLECIGRVTEEQFGSNEPENVLPPVLSTLIIRWCPSLKVLPAIPCTLQQLIVKHVGLSVMPMIHQSYTGTSESSSSPSSVKSCLSLLHIECCEHLISLDEGLLEQQKYLQSLQILVVRHCENLAHLPAKGLTELYHLSFLEIVACPMLKNVKTDGSLWPTSLKKLDINPCGPIDVSALMSMHNLTSLRRFTLFSCSNIEKLPSEEVFSTLKNLNDVSIARCKNLLSLGGLGDAPSLRVLSILCCDKLHHSYSPKAGCSFKLHKLIVDRQAMLLVEPIKSLRYTMELHIGDDYAMEFLSEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLESLQSLHIERAPAMKFLPQLPASLNKLTIGGCDPGFLNLYEMDIGSDLDKIKSIANVDMRAYPEGLLEVNLGQRRTGIKLRGSWDLARSIMSGDKVGHKRTRWTDVVAGDRRKFDVQVKKDANQTSLSRPRDGWSGPGWSWPISAQSTRGSSKKKNLHYRRTEIRLEKGRKTDFIYQCGRISIKLEATAAAARPSP >LPERR12G11390.1 pep chromosome:Lperr_V1.4:12:12384173:12398397:-1 gene:LPERR12G11390 transcript:LPERR12G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTLAQFVCQDKKVQNHFGDMIIWVHVPKRFKLVDLVRKMLESINRNKATTSALDTLQLDLSKELVTRKNDIWGHFLAPLRNNASVGGRILLTTRMGSVANAVEHQMPTDGYKCVKLGGLDNRDIVQILNHHASPNGDLDIIAERIVRNLEGCPFVAKVIGQCLRDNTGHTNWDDFLNKKECRLGDIAPRVMEMLSLRYEDLTLEVQICFQYCSIFPYHYKFRMEELTEMWMSSGLILQSTKGKNDQEKNARDHFNILLKKSFFSLIPRELHPHLIYEIEEFSRFKDTEHNTADVSERVRHLYIEGINPEVVKVISKSTYLRTLIMANEEWPLEKGLADNFKKAIKCVTSLRLLRFDGNGWFDINDAISELKHLRYISMSATSKSNLNELFKLFHLEVLKLLKIEGVEQASVSDICKLPNLQKLYLPKLALSRVPHIGRLTNLQELNGLSVRKEEGYKISELKELNKLQKVFVFDVENVNDCSEASSAELSNKKDMELLSLEWSNRHNRINERILDTLVPCKRIRHLQISGYKGLLPPLWIQRKILTKLVHLKIVGCLKWDKLPSLASLSSLKHVLLEDLPNLKYIGGPDGDGLPPFLVTLVVKECLQLLNLPPLPSGLKHLRIHEVGISCLPASNQMALQNFSTLDPQLCSIDVDSCPNFFSFGSCIIEEEHYKALASLKVVCCSMLKNLPNEEHFRRMSTLERIEVLQCQNLSTLGGLGALSSLKILKIQKCTGLTATSSGIRVAPATRSTLVIDTLEIDDHLLLLENPFRNFYFTRSLIISDGSKMSELPQDWLLQNRSHLEHIKIINAILLSSLPSSMDTFHSLRSLVQCNAPVLQSLPAMPPNLWALHISDCSIHLKDGCKINGSEWEKILSIHNRLLQDLSKVRDEHRLYVNYQGEEIFSHAVVYLEDAIDDIEYRKLEEVRNPTHRNFNTKLSVPSPARTQLEHAIRILESVVRDMPFRRSSRLDYNYYENRMVLFGRDREQQQIVQWLIEEPRPISRNHPCSIFAIVGMGGMGKTDLARLAYYDWKVRMKLDSFAWVSVHDYFSAEEITWDIVASVTGGLTTRQETPAADNIRYNKLLLVLDDVWEDNSLEEWKSLSDSLTDCRPGSRILLTTRMQSVADMAADGLGVEAECLKLGELDEIANRIGGCPLLTIRVASQLTRNMNLQHWNTVLQEGWQHVTDFDFLTTTYNHLPIELQTCFRYCKPSNGDQKEYYVLHGLMHDMAQYVSRGECARVDKDDFRNAKLTTKHLSIVNCRNLNQISDLKYLRNLIIQNV >LPERR12G11400.1 pep chromosome:Lperr_V1.4:12:12404270:12411082:1 gene:LPERR12G11400 transcript:LPERR12G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQDDASPATTRQVWVPGPVIVGAGPSGIATAACLKSRGVPSLVLERDATVAPSWRDRTYERLRLHLPRSFCELPLAPPFPAGTPPYPTRDQFVAYLDAYARAFAVQPRLRARVRAAAYDAAIGFWRVAVVEGDETAETEFLSRWLVVATGENAEAAWPEGMVEMGIGGFRGEVMHTSGYKRGDEFAGKKVLVVGCGNSGMEVSLDLCDNGAATSMVVRDKLHVLPREILGISTFGLSVFLLKWFPIKWVDALLLFFSRLILGNIEKYGLRRPKIGPLQIKSSTGKTPVLDIGALKKIKNGEIKVVPAINCFTENGVEFVDGHREEFDAVIFATGYKSNVPSWLKEEELFSERDGLPRKAFPHSWRGKNGLYATGFTKRGLLGTSYDAAMIAADIAHRWSNALDDHHDQQQQR >LPERR12G11410.1 pep chromosome:Lperr_V1.4:12:12414988:12417317:-1 gene:LPERR12G11410 transcript:LPERR12G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKNGDADVEAPLLAAAASDDDNPSSSAAAGSSFALACTLAASLASIIYGYNRGVMSGAQKFVQSDLGITDAQIEVLIGATSIYSLISPPASRGFLSSIPEIAGNSGILLSYIADFALAGFPPSLNWRLMIGIGAVPPLFLAAAAILAMPETPRWLVLHGHHDAALRVLLRTTSGDSPLAHLRLHQIISSAKASTSPSPSSSPWRDIATPSVRRVAVAILGLQFFQQACGVSALVLYAPRVFHLAGVTSESGELAATALLGLTKTAAIVIPLFLADRLGRRPMLLTSAAAMSLSLLALAASFSLGLSSSISVAAAAAFMAAFSVGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSAVVGMSFISVYEAIGMAATFYLFAAFAAAAWVFVYAFLPETKGRSLEEMEALFADKPPATATAAAT >LPERR12G11420.1 pep chromosome:Lperr_V1.4:12:12435682:12442763:-1 gene:LPERR12G11420 transcript:LPERR12G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLWMRVLATDHGTSTVYAPGIREFHSPGADSFFQRQGNGNREYYIQQVTAHCFAQTRQCYCGNVDDYTVEMLTCDGCFIVEILLRWEEGMAHHDNYVQLMSHSIYYDLLLVDNQIPFFVLARIFQIFKNHNNGNPNIRLVDLVINFFNHRDLPNPYEVRHLLDLQYKLVVGNNRGINIDQPTLNTCPTYCSNICYTPSMPRGIPGANELQDYGVRFNVNENQNIKMFDITFKGKTMKIPRFEINFGSKILLANLFAYDQIKGQSSGNRIARQPTNNIGDVTSYVVLMNALINTRHDVMVLQREGILDNLLSNEEEVATFFNKLGRCALVDVSEHRYTSMFNDVNRYWRNTFNLCKYLAIFRMKHFRNPWTCLSLVGAIMVLVFSCTSMVISILNYRQPGRNTFMRLPIYMREANRGLFEPRALSIGPYHRGKESTRDMEIHKERVIHGFFQRPGNGNLEYYIQQTRQCYCGNINDYTVEMLTCDWCFIIEILLRWKQQTANCDNYIKLMSHSIYYDLSSLFQIFKDHNNGNPNILLVDLVIDFFNHGVPFSWVRSNPSDQPNRPCRPNSHEVHHLLDLQYKLVADNNRGIVNTAVDVSDMPLGIPGANELQDYGVKFRMNKNKDAKLFDITFRGATMEIPRFEINFGSKILLANLFAYDQIKSQSRNRMVGEQGNNQQNIAQPAASDSFGDVTSYVVLMNALINTRDDVVVLQREGILDNLLSDEEEVASFFNDLGRCALVDVSRHRYTGMFNDVNRYWMNTLNIRKCLVIFQKKHLRNPWTCLSLVSAILILFISFTSIVITILNFRRNLHP >LPERR12G11430.1 pep chromosome:Lperr_V1.4:12:12449709:12451692:-1 gene:LPERR12G11430 transcript:LPERR12G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVDESPLLAASSKPSAAAASPPHRNKYPFFGAVLASMTSVLMGYNVAVTSGAQIFMAEDLGISDEQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNAFFLVGPLVMALAGGYAALMAGRFVAGIGVGYALVIAPVYAAEIAPASSRGFLSSLPEIFINGGVMLSYVSNFAFSGLPIHLSWRLMFAAGVVPTVFLAAGVLAMPESPRWLAMKGRRADAKLVLDRTSDSPSEADHRLHEIEHVVAASSNSGGCGGAWSELATNPGVRRVLATVLALQFFQQASGIDSVVLYGPRVLAAAGVSSNTLLLGLNVVFGVAKASSILVAMSLTDRLGRRPLLLASTGAMTLSLAALATAFAAGAERAAVVVVAFVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAVTMAGAFYLYAAIAAASFVFVYACLPETRGRSLEDMEELFHTK >LPERR12G11440.1 pep chromosome:Lperr_V1.4:12:12454423:12457491:-1 gene:LPERR12G11440 transcript:LPERR12G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVVGSSLFQIIRTATSGGMA >LPERR12G11450.1 pep chromosome:Lperr_V1.4:12:12460913:12469105:-1 gene:LPERR12G11450 transcript:LPERR12G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRGSEPCHAMFCRQHFLFSPKSNHPPCPQSSHLSAGDDMVVIDLFVNAAMPTDWRYRRSHATNAGQEADNNEQAVEFACTDPAFILKQPWDWYNMRGTNPCYMAGGVWMHLAVVASSTCSLSITNVYMRALDNIIEWLYAAAGECQAACQTLLYLFLNQKGRKTLFQKREERNEVRTHNQTHKPELQPVGSAEERY >LPERR12G11450.2 pep chromosome:Lperr_V1.4:12:12458774:12468891:-1 gene:LPERR12G11450 transcript:LPERR12G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDLFVNAAMPTDWRYRRSHATNAGQEADNNEQAVEFACTDPAFILKQPWDWYNMRGTNPCYMAGGVWMHLAVVASSTCSLSITNVYMRALDNIIEWLYAAAGECQAACQTLLYLFLNQKGRKTLFQKREERNEVRTHNQTHKPELQPVGSAEERSTGMMYVANISFRC >LPERR12G11450.3 pep chromosome:Lperr_V1.4:12:12460913:12469105:-1 gene:LPERR12G11450 transcript:LPERR12G11450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRGSEPCHAMFCRQHFLFSPKSNHPPCPQSSHLSAGDDMVVIDLFVNAAMPTCLSIRIGDIGVLMQPMLDRRQTTMSRLWSSLAPLAVVASSTCSLSITNVYMRALDNIIEWLYAAAGECQAACQTLLYLFLNQKGRKTLFQKREERNEVRTHNQTHKPELQPVGSAEERY >LPERR12G11450.4 pep chromosome:Lperr_V1.4:12:12460913:12468891:-1 gene:LPERR12G11450 transcript:LPERR12G11450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDLFVNAAMPTDWRYRRSHATNAGQEADNNEQAVEFACTDPAFILKQPWDWYNMRGTNPCYMAGGVWMHLAVVASSTCSLSITNVYMRALDNIIEWLYAAAGECQAACQTLLYLFLNQKGRKTLFQKREERNEVRTHNQTHKPELQPVGSAEERY >LPERR12G11450.5 pep chromosome:Lperr_V1.4:12:12458774:12468891:-1 gene:LPERR12G11450 transcript:LPERR12G11450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDLFVNAAMPTVPYYEALLPNKIGDIGVLMQPMLDRRQTTMSRLWSSLAPLAVVASSTCSLSITNVYMRALDNIIEWLYAAAGECQAACQTLLYLFLNQKGRKTLFQKREERNEVRTHNQTHKPELQPVGSAEERSTGMMYVANISFRC >LPERR12G11450.6 pep chromosome:Lperr_V1.4:12:12458806:12468891:-1 gene:LPERR12G11450 transcript:LPERR12G11450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDLFVNAAMPTDWRYRRSHATNAGQEADNNEQAVEFACTDPAFILKQPWDWYNMSSRWSPPRLAACPSPMCTCERWTTSSSGSMRLLVSVRLLAKLSFTYFSIRKGGKLSFRKGKKETRSELTTRHISLNCNRWAALKKGQRA >LPERR12G11460.1 pep chromosome:Lperr_V1.4:12:12472711:12473984:1 gene:LPERR12G11460 transcript:LPERR12G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALPGWVMLDVYVYRRDDVPFPSDNPTVARGDNSRGDAVGVWFGLDEPPRPSEIYFKWPAGKGDCWVCVLGADGDSVLFQMGYLTASGLMMFDYLVYQSGGGGGPALHRLPPLDGTTFEEAQSRVHAEGSYWVTNQYLRRIDCLDMGIVRRRASQEIAVAELEELQQLPSIFPANRGSSSLDLGNVMWDWESDTVIHMCFVDYYNGVLLCNVFDPSPELLYLEFPVKIPGLDRLRHGRSWPLVYQTIGLTRDHFKFVSVVRDDGLIEEDPAPGCGFTVTSWFLSTEPEGAEMTWVKEHCITSDRLWACHGFATLPRSPLQYPLLSLSMPNMFYFVLTQQGDRSLGYYYDDTWIVAIDMTCGKLSTTFRYIKAVEESTPEEVTERIGYKYWCFEPFLPTEFPSYFK >LPERR12G11470.1 pep chromosome:Lperr_V1.4:12:12475645:12480898:-1 gene:LPERR12G11470 transcript:LPERR12G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNEIIQGQHNRHAKAKRYICYDFYIDEKQGLKLEPDVELIGQFVARNKIAVLACTKGRNRQPKYSMPFNSCPKDMKFPLMTDLGRKSKEAMYSIHSSLTLLSDRSWDFIQMYEHIKFKIPIAQKEEILLKLPYVTIWRTTMYRNSCLLETYTFQSAAYIPLNMEGNQFTERQNQIHEAELMLDLVRNGISHRLQKMKKVTTVEHENILIGWFPLLLPHMQEAIHDVLGEQVLVALFSKGSYGSNVACFKIIYLLIGILSN >LPERR12G11480.1 pep chromosome:Lperr_V1.4:12:12483908:12487545:-1 gene:LPERR12G11480 transcript:LPERR12G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDARRKEEEEGFSSDGGDTTDAESSDEAAAGRRRGVVPSVGAGNPILRRLAVTRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMVQSCLTFGTFSYIIEMLNRQEPALALPSTTVTKGLKAGQRVLPPFTLPLPQETMKGFSSFQNFLSKFEGK >LPERR12G11490.1 pep chromosome:Lperr_V1.4:12:12493947:12499903:1 gene:LPERR12G11490 transcript:LPERR12G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQQAATCERRNQSQFTSSRTSQIKYYVKLQKLEVLYRKVLLSTTTSSSRPHTQTPLFLFSFDNIFFAFSSSFFTVACICHPPELPLVLLPRSHSPPPPRALQSRGERGREKQSERERASSCAGGAAAGERRLARGEIGVAGRIQETGGGFAGGEEGDEPGAVAGAAGFLHLDSRGCWIMA >LPERR12G11500.1 pep chromosome:Lperr_V1.4:12:12500267:12507572:1 gene:LPERR12G11500 transcript:LPERR12G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSVCAAAAAAGSHRRAAAGVASAVSGNSSFSSSSAPPRSVINGEPGVPQLQKRLLSVLAAPKLAGTDNALSLKLKEGSLVGRRYESSAAAVDSSDTPPAEKYEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELDIRIQTDKDNGIITITDSGIGMTKQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGKAESSSYTIREETDPEKLIPRGTRLTLYLKRDDKGFAHPEKVQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEPVEAKKEGDDETKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYDRFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSHSNEELISLDEYFENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEFEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEREKEIKQEYGQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIRGLNAACRTNPDDPEALKAVDILFETAMISSGFTPDNPAELSGKIYEMMSTAMASKWGSQAQFAEPIPHQASPVSNEPIEAEVVEPEPVDANQQK >LPERR12G11510.1 pep chromosome:Lperr_V1.4:12:12509476:12513472:1 gene:LPERR12G11510 transcript:LPERR12G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQQQQQQQPPPPTSSSAAGPQQGMLPPRRPTGLQTSLSLASSEQVGSPDMQEPGSNSDQGHDSATESASSRETWPAEPQNHGSAAAVVATAVSGGGGGMGVRIVEKEKEVGNGSIPKLQVIRGGGGVSRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCANRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSSTGHPEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDSLLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAEKLRKKQQVDEIESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKSEGHQ >LPERR12G11520.1 pep chromosome:Lperr_V1.4:12:12515337:12515915:1 gene:LPERR12G11520 transcript:LPERR12G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERKVKVAEVTLRCVVLSLATLAAALVGTGSQTRTFFSVEKTARFTDMKSLVLLVAAHSAAAAYTLLHLARLFVFSGAGANGGVMAAWSVFSCDQAVAYLMTAATAAAMQSSLMGKKGQTGFQWMPVCGLYGAFCRRVGEGLASAVVAGIAAVLLAGVSAFNLFRLYGGGGKRNGGAAGAGNGINGASTW >LPERR12G11530.1 pep chromosome:Lperr_V1.4:12:12542059:12542385:1 gene:LPERR12G11530 transcript:LPERR12G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPGWVILEPFMLHHKHGGGDDDDEDQGSSTITTRARGTKSRGDDVAVRFTSIHAPPARATAIGSPSSLPTATLAYQLITASGLPSTMFDYLLYRTGGGSPSLSA >LPERR12G11540.1 pep chromosome:Lperr_V1.4:12:12542427:12543288:1 gene:LPERR12G11540 transcript:LPERR12G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHYEGYWVTSQLIRTIDCLDMNILCSFDGGVEEEEVILVAELEIFRSEYIYTLRRVLYYYYPSTTRRPSHQQWEEKQPPIVAANNDHLDWEKLMWDWDTDTVIPFGKSYLCWVDYCIGVLFCNVFDENPVLLYPVKIPGLDRREGWTSVYQSIGATNKDDDNSVMKFVSVVRDDRLVTENLAAGSVDGGITWVQETVVKSDELWALDGFATLPRAPLQYPLMSLDSPSAEGKKDVGYYYDDTWVVAIDMTCKALVATFPYIKAVEQQ >LPERR12G11550.1 pep chromosome:Lperr_V1.4:12:12557470:12559213:1 gene:LPERR12G11550 transcript:LPERR12G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTKTVARSGACVASPPVLPRRLRHHPFLLQAEEEDSSDEEEISIQPPVTVGPSVSPPAMSRPPSLRRRVAFPCCGRIMPSSPAPPPPGHTEVGMRGAGPPAPPPPGRAEAGTSEARPPASPPPGRAEAGTRGVVRGHWPPGRQAGRWHGGAPARAWPMRVLHTSSISIPPTTAKNYYGEPDGNEKNGINDCQGVIMKLISVQTFCRLQCLKPLHRSYLQSNTGCILKSLVPQ >LPERR12G11570.1 pep chromosome:Lperr_V1.4:12:12578452:12581975:1 gene:LPERR12G11570 transcript:LPERR12G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVVSSAMFLTAMAANPLAANLTAGTIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLAKMGPMSKEEKIMAGTLFLTVGLWIFGGMLNVDAVSAAILGLAVLLISGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPSLFAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFIISVVNIIIWLGAGGFWWKMIGLW >LPERR12G11590.1 pep chromosome:Lperr_V1.4:12:12597743:12600951:-1 gene:LPERR12G11590 transcript:LPERR12G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYPSNFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSRGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFVKDGKASACYSILSKTNSEILLQSETNEVEKGTANMLSLDLSSLSGGAPGRTRGLKISSVN >LPERR12G11590.2 pep chromosome:Lperr_V1.4:12:12597743:12600517:-1 gene:LPERR12G11590 transcript:LPERR12G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVGEEAPEFRVESVTLESKDILQNAIDIGTKAYVISRSVDDPKSSITIKILDKLTQTWVVPTVLGTPPNATDSHSAVLINNEKIVIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTEVVAWSKGVIGEGQKPVVISGPSGVGKGTLIAKLMKEYPSNFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSRGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFVKDGKASACYSILSKTNSEILLQSETNEVEKGTANMLSLDLSSLSGGAPGRTRGLKISSVN >LPERR12G11600.1 pep chromosome:Lperr_V1.4:12:12608555:12609557:1 gene:LPERR12G11600 transcript:LPERR12G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSRVMISPVVQGKEQQGIMNNMKNSNMGNNTSLPITGSCFNGGSFLSGNSMNGPSVPEAPTHSTIGIGGNASFS >LPERR12G11610.1 pep chromosome:Lperr_V1.4:12:12611857:12631890:1 gene:LPERR12G11610 transcript:LPERR12G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRFMFMVRNLMVFDILIPQDLSYVLNRHYCYDFNCGNLKELSLGRIITVEEIGLCSLLGKCKSLEKLCLHIVLGVTDSDMVTLAQNCSNLKSITLQLEPAFCDESEGIIFRTALTDESLKALALGCRMLQVVELTTFGCDPTYPEIGFSQEGLVMLFQSCPIRDLVLCGTNIFDDEGMKALSPAQFLETLELMDCRKITDAGMRFLADSPSLINLTLRQCGGFTDDGVGEVVRARKLNSLIVEDCRRVSSIAMQGAAKSVHYDQDSPGYDLLLKSSDKAVLALYIKGVMPDGQEVAVKMLHDTKGDDESFLNEITTISKISHVNIVTLLGFCLNGSKAALIYEYMCNGSPNNADGNFSDRSTAWDSFNYPTDTLLPGMKLGLDVKAGITWDIIAWIRPNNPNSGLCTFKLVQGGMPEFFITKGNRKLYGTEPFNGKEFTGMPHFEEESQDFSSNVIYNPNETSYNYFNNDPKLLSWIIMNEIACKDMGLVWSNGEWRNEWQYPTDVCDDYARCGSFGYFDLNNEYRLYNCLRRFKPWSSRKHWSLQHERGHRCIRVTNLTWDHGDGFFFMNWMKLPDVTRATLYADISLEQYRQVCLKNCSCQAYAVPNINRGVHQGCVIWGDDLLDMRRSLHLVRLYFTMEDLPDVLIIDIVKRIDSTNDLSSLSLGHGNQLDNHGLHILSSYCPSLSDLTLSFCSYIDDTGFGYLALCKKLMTLRLNSVKRITSSGLLVVAVGCKNLSGLHLIDCNAIHGTFEWLKYLGIDGSLEELVVKNCKGISQYDLLLFGPGWIKLRRFVFAVRTLYKIYKPRDPSNVANYHDMVTLAQNCSNLRSMSLQLEPIHCEGPEGRVFRTELTDESPQMPYASEIGFTQEGLVSFFQSCPIRDLVLCGVNIFNDEGMKALSSANFLETLELMDCRRITDAGMRLLAKSQSLVNLTLRLSDCFTDDGVGEVVRARKLDTLIVEGCRWVSQQAVQGTAKPVHYDRHFPALEYLLNLVSDTASCSSGLRIS >LPERR12G11610.2 pep chromosome:Lperr_V1.4:12:12617499:12625490:1 gene:LPERR12G11610 transcript:LPERR12G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALISEITKRIENRSDLSSLSLVSKRLYKIEAETRGSIHVGCGLHPATDVILSLCSRFPNLLKVDINYSGWTRSHGNQLDNHGICILSSYCPGLSDLTLSFCSDISDSGIGYLASCKKLITLRLNSAKIITSSGLLAVVVGCNNLSGLHLVNCNKICGTSEWLKYLGCNGSLEELVVKNCEQISQFDLLMFGPGWMKLKSFMFVVRSIYNIFDPKDRSYVVNCKYRYDFCCENLKELSLERIVTVEEKGLCSLLGKCRSLEKVCLHFIHGLTDSDMITLAQNCSNLRCISLQLEPVFCEEPEGRVFRTPLTDQSLKVLALGCRMLQIVELTMFACEPIYPEIGFTQEGLVSFFQSCPIRDLVLCGANIFNDEGMKALSSAHFLETLELMDCKRVTDAGVRLLADSPSLVSLTLRQCDGFSDVGVGAVVCARKLESLIVEDLLLKSSDKAVLALYIKGVMPDGQEVAVKMLHDTKGDDESFLNEITTISKISHVNIVTLLGFCLNGSKAALIYEYMCNGSPNNADGNFSDRSTAWDSFNYPTDTLLPGMKLGLDVKAGITWDIIAWIRPNNPNSGLCTFKLVQGGMPEFFITKGNRKLYGTEPFNGKEFTGMPHFEEESQDFSSNVIYNPNETSYNYFNNDPKLLSWIIMNEIACKDMGLVWSNGEWRNEWQYPTDVCDDYARCGSFGYFDLNNEYRLYNCLRRFKPWSSRKHWSLQHERGHRCIRVTNLTWDHGDGFFFMNWMKLPDVTRATLYADISLEQYRQVCLKNCSCQAYAVPNINRGVHQGCVIWGDDLLDMRRSLHLVRLYFTMEDLPDVLIIDIVKRIDSTNDLSSLSLGHGNQLDNHGLHILSSYCPSLSDLTLSFCSYIDDTGFGYLALCKKLMTLRLNSVKRITSSGLLVVAVGCKNLSGLHLIDCNAIHGTFEWLKYLGIDGSLEELVVKNCKGISQYDLLLFGPGWIKLRRFVFAVRTLYKIYKPRDPSNVANYQYNYDL >LPERR12G11610.3 pep chromosome:Lperr_V1.4:12:12611252:12618863:1 gene:LPERR12G11610 transcript:LPERR12G11610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLGWMKLQRFMFMVRNLMVFDILIPQDLSYVLNRHYCYDFNCGNLKELSLGRIITVEEIGLCSLLGKCKSLEKLCLHIVLGVTDSDMVTLAQNCSNLKSITLQLEPAFCDESEGIIFRTALTDESLKALALGCRMLQVVELTTFGCDPTYPEIGFSQEGLVMLFQSCPIRDLVLCGTNIFDDEDSPSLINLTLRQCGGFTDDGVGEVVRARKLNSLIVEDCRRVSSIAMQGAAKSVHYDQDSPGYGKLNRSNLAHLYFTMEDLPDALISEITKRIENRSDLSSLSLVSKRLYKIEAETRGSIHVGCGLHPATDVILSLCSRFPNLLKVDINYSGWTRSHGNQLDNHGICILSSYCPGLSDLTLSFCSDISDSGIGYLASCKKLITLRLNSAKIITSSGLLAVVVGCNNLSGLHLVNCNKICGTSEWLKYLGCNGSLEELVVKNCEQISQFDLLMFGPGWMKLKSFMFVVRSIYNIFDPKDRSYVVNCKYRYDFCCENLKELSLERIVTVEEKGLCSLLGKCRSLEKVCLHFIHGLTDSDMITLAQNCSNLRCISLQLEPVFCEEPEGRVFRTPLTDQSLKVLALGCRMLQIVELTMFACEPIYPEIGFTQEGLVSFFQSCPIRDLVLCGANIFNDEGMKALSSAHFLETLELMDCKRVTDAGVRLLADSPSLVSLTLRQCDGFSDVGVGAVVCARKLESLIVEGCHQVSQRAV >LPERR12G11610.4 pep chromosome:Lperr_V1.4:12:12625498:12631890:1 gene:LPERR12G11610 transcript:LPERR12G11610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALIGEIVKRINSTSDLNSFSLVSKRLYNIEAQHRHLIRISCGLHPATDAIVSLASRFPNFLKVDINYSSWKQAHGNQLDNHGLLMLGSYCPMLSDLTLSFCSDIDDSGMCYLTLCKKLLTLRLNSAARITSTGLLAVAVGCKNLSALHLINCNGINGNSEWLKYLGSNGSLEELVVKNCKGISHYNLLLFGSGWMKLQRFMFQVRTLMRSFEFDDPAYVPNCMYRYDFYCESLKELSLRRIVTLKEIGLRSLLGKCKSREKVCLHFVLGLTDSDMITLAQNCSNLKSISLQLEPFHCRERGGFVFRTPLTDKSLKALALRCRMLQVVELTMFACEPSYPEIGFTQEGLVLLFQSCPIHELVLCGANIFDDEEMRALSSAQFLETLELMDCIEITDDGMRLLADCPSLVNLTLRQCDDFSDVGVGEVVRSRKLDSLIVEGCSQVSLKAVQGAAKSVHYDDDYPGYGNLNRAGLMDGSVTD >LPERR12G11620.1 pep chromosome:Lperr_V1.4:12:12615198:12617827:-1 gene:LPERR12G11620 transcript:LPERR12G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKLKVTLRVQTGIKKRKGGVFSATTARKEKNWSETAAADGAEEGRCATSRLPLSSPLPEA >LPERR12G11620.2 pep chromosome:Lperr_V1.4:12:12615198:12615689:-1 gene:LPERR12G11620 transcript:LPERR12G11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEIRACSPIEPTNQPCSNRGSTNPCISYNNNSSPADSNKLNEFNPKITTLVTLRVQTGIKKRKGGVFSATTARKEKNWSETAAADGAEEGRCATSRLPLSSPLPEA >LPERR12G11630.1 pep chromosome:Lperr_V1.4:12:12633025:12640856:1 gene:LPERR12G11630 transcript:LPERR12G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHLRVAGKPEVYSAMSSAFSLDDLPDAVLAEIVKRVVSTSDLNSISLVSKRFYAIESEQRSSIRLGRGILSLIDAMSSLCSRFVNLLEVEIDYSGWAGHMNPLEKYFVESNWPIRSNLISYIEDFGMDCLASCKKLMSLRLNSVSATSSHGLLSVAVGCKNLTTLHIINCNRIVGTDKWLEYIGSVGSLEELVVKNCERISQYDLLKFGLGWRKLKKFEFKFKRCYNRYKARDPYYVDNYQYGYDFCCESLKDLTLATIVTRPEIGLRSILRKCKALEKLCLHYVNGISDHDIITISQNCSNLRSISLWQGMVLCELPDGTGAISRTPLTDESFKALALRSHMLQAVELMFYGCCPDWPSEIGFTQDGLVTLFQSCPIRHLVLNGANFFDDEGMEALSSTQFLETLELIDCVGVTDVGMRFLAQSPCLKNLTLQMCYSVTDDGVCEVAHARKLEYLTVEGCRQKLCRGLLNLFNIKMISQTTTLYMEFRSLLTVLPAISSVFLMEDLPDPVLAEIVKRVVSTSDLNSISLVSKRFYAIESEQRSSIRIGHGILSLIDAMSSLCSRFVNLLEVEIDYSGWAGHMNPLEKYFVESNWPIRSNLISYIEDFGMDCLASCKNLMSLRLNSVSATSSHGLLSVAVGCKNLTCLHILNCNRIVGSDKWLEYIGSVGSLEELVVKNCERISQYDLLKFGSGWMNLKKFEFKFKRTYNTYEALDPYYVDNYQYGYDFCCDSLKDVTLATIVTRPEIGLRCLLRKCSALEKLCLHFVIGLTDRDIITISQNCSNLRSISLWQEILPCELPDGSGIITRTPLTDESLEALALRCHVLEVVELIPDWPSEIGFTQDGLVTLFQSCPIRHLVLIGANFFNDEGMEALSSTQFLETLELRRCVEVTDAGMRFLAQSPCLKNLTLQTCYAVTDDGVCEVARARKLESLTVEGCHQKLCRGLPNLFTTKMIAPTTTLDTDLRSVLSIATFVDHLIIT >LPERR12G11630.2 pep chromosome:Lperr_V1.4:12:12633025:12641355:1 gene:LPERR12G11630 transcript:LPERR12G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHLRVAGKPEVYSAMSSAFSLDDLPDAVLAEIVKRVVSTSDLNSISLVSKRFYAIESEQRSSIRLGRGILSLIDAMSSLCSRFVNLLEVEIDYSGWAGHMNPLEKYFVESNWPIRSNLISYIEDFGMDCLASCKKLMSLRLNSVSATSSHGLLSVAVGCKNLTTLHIINCNRIVGTDKWLEYIGSVGSLEELVVKNCERISQYDLLKFGLGWRKLKKFEFKFKRCYNRYKARDPYYVDNYQYGYDFCCESLKDLTLATIVTRPEIGLRSILRKCKALEKLCLHYVNGISDHDIITISQNCSNLRSISLWQGMVLCELPDGTGAISRTPLTDESFKALALRSHMLQAVELMFYGCCPDWPSEIGFTQDGLVTLFQSCPIRHLVLNGANFFDDEGMEALSSTQFLETLELIDCVGVTDVGMRFLAQSPCLKNLTLQMCYSVTDDGVCEVAHARKLEYLTVEGCRQKLCRGLLNLFNIKMISQTTTLYMEFRSLLTVLPAISSVFLMEDLPDPVLAEIVKRVVSTSDLNSISLVSKRFYAIESEQRSSIRIGHGILSLIDAMSSLCSRFVNLLEVEIDYSGWAGHMNPLEKYFVESNWPIRSNLISYIEDFGMDCLASCKNLMSLRLNSVSATSSHGLLSVAVGCKNLTCLHILNCNRIVGSDKWLEYIGSVGSLEELVVKNCERISQYDLLKFGSGWMNLKKFEFKFKRTYNTYEALDPYYVDNYQYGYDFCCDSLKDVTLATIVTRPEIGLRCLLRKCSALEKLCLHFVIGLTDRDIITISQNCSNLRSISLWQEILPCELPDGSGIITRTPLTDESLEALALRCHVLEVVELMFYHCSPDWPSEIGFTQDGLVTLFQSCPIRHLVLIGANFFNDEGMEALSSTQFLETLELRRCVEVTDAGMRFLAQSPCLKNLTLQTCYAVTDDGVCEVARARKLESLTVEGCHQVSVEALQGAAKSVHYKDDCPDYYTRYGS >LPERR12G11640.1 pep chromosome:Lperr_V1.4:12:12643701:12646388:1 gene:LPERR12G11640 transcript:LPERR12G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKTQDVTTAEEADLISELSDDVLLHIFSFLTSAADVARASVLSKRWRHLWNLAPCLHFAIGPGYFADEKNEEKESYYARRHEAARRRLIAGVDTTVARRVEESRDVDVMDISLVYTSRHNRSLHTTYAIGKKYYFHPHHHEADIMLSRVDTWLRFAERHVKDSFTLVVPLVAPVAAEVAATEARRAAWLEANTDYVTDEGEIIVKEQVIVTEEEEEEVVHVEEGEEQLAMIEEEKQNVEVNKGEVVKLPCSTKDVVISLTLGYATIVVPPTVAGAFHALSDFTLCHAILHGHLLSSSCCPQLRRLRLKQIGGLTLLWLDAADTLEELWLVNIPDLQRLEINAGGLRLLRVFVNAKSSGQIEAMMISTPKLEELACNDLVHPDLLQFTGAATIRCIKKLLLFTHGSSEDAYTNAAAVWLLKNCVTLVHLDLKLFFSMVITNFALTASVPMGGHSISATVARYLAKCNGIEHLSIDIRDGRLVCSDPDCICDQPEDWEEHMIPLENLKDIEIRGFMPFIIEKDFCGYCL >LPERR12G11650.1 pep chromosome:Lperr_V1.4:12:12646409:12656702:1 gene:LPERR12G11650 transcript:LPERR12G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELNNLRIMESQEDGIDVVFDIPGYGGDSSRHDMNETTTSKTAATAAVDRISELGNDMLLHIISFLPSTTDVTRTSVLSKRWRHIHNIVPFTRFAVGPGPFAEDDEDEGKHKAQCHDAARRIIAGVDATLAERDDKGGDVDDLEISLVYRSPDNDKFFKLVPVGRRYYIHDHFHEGDITPSQVQSWLRFAESHVTGSFFLEVPMNATAAVELDLKEALIEKAEEEEDLDMAVAVEEVATVEEEELVEEKVVTDKGEKVVVEEISPAKEVLAEDEIAVAEKAEEEEDVGVAVEEVALEELVVEEVVADKKEEVVVEEVAPVEEVLAEEEMAAAKEEEVAVKNVVPTDEELAEQEVATEEKEETVEEEVAPAEEELAKEEVGVDEEEDEELIEFPSSSRAVAMSLTLGDADIKVPIIRFRAFHALTDFTLCHASINPYKRDDLYLARFVSSSCCPQLRSLHLSHIAGLFRLPLVNVPDTLEELQLSDLPELHRFRVYSPSLRVLRVHDCCLDYDNPNAARVSAPRLEVLDWDNLVFLACQEFIDTPAVRQLKEVHLYSHGGDETNNAAICLLKSCTAVNDLELGLTVRVETTTLAAAASVDRISKLSDDLLLLILGFLPEAKDVVQTSIVSRRWRHLWTVTPTLRFVIRVEDTAANQLVAAVDSIIARRDAITDKADVKDLEISFIYQSYYRRFHLWHSGHAVNITQANITAWLSFAERRVT >LPERR12G11660.1 pep chromosome:Lperr_V1.4:12:12656730:12660503:1 gene:LPERR12G11660 transcript:LPERR12G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQSDGSAQERLVIDLPCSEMLQTMHLTLGHSFLCLCEMTTSAAATSVDRISNLSDDLLLLILGFLPKAINVVETSILSIQWRHLWTITPTLRFVARVGGAAANEIVAAVNSVLAQRDAIADKADVKDLEISFIFQPDYPRFFFGHSYQAVNITQANIAAWLIFAERRVTKLISLELPILMEYNSDGSVQEHLFIDFPCSERLQTMNLTLGHSILRIPDVSTDAFRSLTNILLSRFSLCDGDDLRLGHLLSSSCCLQLRRLQLKYIVGLTNLRLDAAIQLEELSLYSLCYIKWIHIDAPSLRVLVAQNISLHLADEAARIYAPSLLALTCDHPYIRGGQMVLEDAHIMKLCMFTHGLPGQDKNHAVAWFLQQYAGADHLNVERKMQFDKDIPELPNITDLRITVAMSTKDTHTVAASIAKLIAKCTKIAYLSIDMDKKAGDCFDLDCKCHQPKDWNNNMMSLEHLRIVEIHDFLSFNDQIELVCLLLSDAPALERMTVTLHESYDEVYLNDPCCSGRWTPFAREHRGSKFGVATKYEWTPLKRRCG >LPERR12G11670.1 pep chromosome:Lperr_V1.4:12:12673701:12677380:1 gene:LPERR12G11670 transcript:LPERR12G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGIRRYPPGMDDFLGDSGGLHVFTRFCELTGEELTTSHGRLSFPPINLKSALHGSKASDTEHFVEPCANPNPPSLPASLHHDLLPPGSSLSVEDDSNLFSSNGLFSCGFYKVGVNAFIFAVWVNQSADKTVVWAANQDVPVNGIGSRIELLSDGNMVLLDFNSRLVWSTGTASGQMRGAQLVDTGNLVLLGPNGSHIWQSFDSPTDTLLPTQPIPANMKLVSGKYMLSVDNTSLALTYDTVQGRSKYWPRRTSATPSSGGHPLGLDMIGCFSAGGHIKYCASDLSEGVLRRLTLDHDGNLRLYSLRSDSDGSWKISWIALADTCQVHGVCGNNAMCRNSMHPICTCPPGFVYADLSDLSKGCKPTFSISCEKVAKVYFVKMEMVIFWSYFSNYTAPIPFDTCQKSCLDDLHCQAFAYQYGLGECGLKSSLYIGGFAPSESSFTFIKLTADAAVQPTTDYKQQYYPYPSCQGSDSSASTETKAFQWNYLYMPIGSIFAVEAILLPIAWCFLRKRKQESISRDDGFALIRNHFRRFTFKELLTATAKFKHEIGRGGSGVVYRGILDDGKKIAVKKLQNMVQGEMDFLSELSVIGKIYHMNLVRMWGFCSERGHKLLVFEYVENGSLAKLLFDTVSPGSLLLWEQRFQIAIGVAKGLAYLHHECLEWVIHCDEMEPKLADFGLAKLLNRGKDGQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLELVRGLRVSDWTVDGGEEEEEEVEMVFRTTVMMLKEKSRSGDRSWIAEFVDPRLNGNFCRLQALAMVELGVTCVEEERSRRPSMKLAVEKLLEFL >LPERR12G11680.1 pep chromosome:Lperr_V1.4:12:12678766:12686652:-1 gene:LPERR12G11680 transcript:LPERR12G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRVCDCELGFGVRGKGGGGGWAAAAAALEGFLADPWLMRPVVAEGVVVGKEMDGVATVQVEVPVVEAPADDGEEEACRAAMQRQAAAAEDYARRLEGGAAAYGSQTCKFFACAYMLVFNAALSNTPCMEEGDEEDWGQEDLGNAAVKVMCRLCFSGENEGSTKATKMLPCKLCNKKYHRNCLKNWGEHRDLFHWSSWVCPSCRSCEANLFNVLAAWTRLAEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCAACRGECSQIRDTEDAVRELWKRRDIVDHDLMASLRAAAALPSLEDVSPSHPNSDDEKLGAYVTKNDARNTLKFSFKSNSSKPALDSSKQEKSAIKSSGSNKKHSKKKGTQNNKTVTEQDEIFLEKRYEAKSGSFGDQTADVTRDKSSFKNDSSAQSVEKALKSLSAKAAAHNADMIPKVKIKGSKHVKDVVEENAAKSDTGKGTKLVIHIGSRHKGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSGMENASESGTRNRSFGQKQSVNHLNENQGSASFSVNNSPDSLKPSLLKLKFKRPIFEQPSSQASQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKNGSEGKAPAAKRHEQSQGDEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGAVSNVINGTLCLQFDNGNSENIELGKRAIRLIAQRSKGGKR >LPERR12G11690.1 pep chromosome:Lperr_V1.4:12:12687047:12689503:1 gene:LPERR12G11690 transcript:LPERR12G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRRLVPAAADAVARMMSSSSSAAAAAVGAEGGGGGRGGDTLGRRLLKLIYPKRSAAAVLRRWAEEGRTVQKYQLNRVVRELRKYRRYKHALEICEWMRTQPEMKLLPGDYAVHLDLVAKVRDLPSAEKFFEDMPERAKGPSTCNALLHAYEQNGRRDKAEAMLAEMAKAGYLTCALPFNHMMSMYMSSGELEKVPEMIKELRRYTVPDLVTYNIWLTYCSKKNSVKSAEKVYDLMKDDRVIPDWMTFSLLGSIYINAGLHVKGRNALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMREIFRKFSDAEYKCMLTSLTRFGDITEAEKVYSEWESSSGTRDSRIANTILSFYIKNGMIEKAEGFLDHIVQKGVKPCYSTWELFVWGYLGDDRMEKVIECMKNALSSLEKWDPNLQLTRAICSRIEEKCDIEAAEKLLVILREAGYVTTEIYNSVLRTYAKAELMPLIVDERMDQDKVAMDDETRRLLRLTSKYPIGEVSTLMS >LPERR12G11700.1 pep chromosome:Lperr_V1.4:12:12689410:12694203:-1 gene:LPERR12G11700 transcript:LPERR12G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTGTGSCVAHASVLGGGGGGGCGVGVRRPWWDGGVAGGGGGSRLRGFGVVRCCVQEKRPPRVRKTKEERREMVESFVNTYRVLNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNAKALSFEDCPDPESPVTHELGQDSVQILDMSDEDQVGEDTAMDREEVWSSQNNVMSTQQLLGSSNLVEASILNSAVQNGKVAHVACLETSSGKQDEVPCAQSIEIDPISSENQSPSFSHAPDSKSECEMDSQVDSHVATSSLTNGVVSSAEPNAVSTNGSLLQDHVTSPDDCHDGTSDSAVDEAVNCLQPNGVLQASQTLLHEHETIPESVMSNDVQIIDGQFNSTSDAFNSNTSYPVIKDTAKSIEVSEVQRLQDEFEQSTTVADPDQEEKAESLVSHSELDAKVLSNIEDKHGMVEEDNSELKQTASAITAEEHGGKAEHGDSTTTAISRHALCLLTLRCMLTVYNFLHAKTGKVQPKKETNLFWLIIRAFVVAMSKIWAK >LPERR12G11710.1 pep chromosome:Lperr_V1.4:12:12698494:12700925:1 gene:LPERR12G11710 transcript:LPERR12G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNGGVGGERDRSVRVNIEAQASDPVTMSTPFEPQMSPPRSSTHSQNAAGGAVDVINDDDDGNDEHAAARPERRLTMLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSITVILLIEGARIFSRSHELEWQHQSTSSISLIPSSFRLLSRSLLHPNSTARRLRHRHWRWRRWLSWGFLSRQMGRAFYWLQLASATACVGVSAVRLARQDFGETEDARTNRWSALDIFYSLALAEALLFLTERAMWEWEVTYGKILDRVAADCRLAGVVAVRRFFYDAYSRCVEGSIFDGMRMDLVSFAVELLVGGSHDEQRIGVGVLVAVVDEAALRRVGNSAAVVERLIEMLTWKGGEDGAVARKAAADVVAKLASKKRNALRVAGVPGAIESVASLLHADDVECRLLGLLIVKKLARDHDNCTRIGNARGLLDKIIDFSSVGEGDVIMTAARGKAVKRSLQVVKMLAGTTGSTGRMLRREIAEVVFAVSNIRGVLRLAGGGDGQGELRRLAAEALARLAMDADARDKIGGTGGVVSLLLAARRNCDRILRAGGGGATVARLVDALSDEVAGVGAARILTNLCAYAGGEWFSDLHLVTAGAATTLRNVMMTSSDIKLLEVSLGLAAQMARFMGPHELAHHLAGAGVARGEEELVNRLVNVLATHGRPSIKAPRIRRFTVELAVAMMTEGGRRRGAVAVMMAAAGMGPELRHVAETTSELECFHVFSGSAGLCRHAVSLSALVDTALELMGTRGTEDQ >LPERR12G11720.1 pep chromosome:Lperr_V1.4:12:12702100:12712355:1 gene:LPERR12G11720 transcript:LPERR12G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSLRAIWILTPQDAVAFSRRFAVVEKRWRAAWESEGDGGDGTGQEMPLPADYEVAAAFAERRKREGTARGSGIRTSVSSVGSDSWVDDPITRHIISLHINKEDGDGFMLWPVVLQKRGSYYILVLPLVDPQSFKSYERLLKRSDCGTSAKENGNLSSILFNLPCITGALMVAQVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASVSSPVGAPQSESLKGGMRLFDKDSLRNFILGAMPFGTPQDLNYANVTSIRTTGFSADPLPTDQKQPAWKPNLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPINDKQNLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVNSSFRSFSSTAFAEDSDSEQDSTKNGANLDDHIMEKMNKDLQAVDLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSCNGLLQVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPKEL >LPERR12G11730.1 pep chromosome:Lperr_V1.4:12:12716812:12717605:1 gene:LPERR12G11730 transcript:LPERR12G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILCAARTFYSYDLYLDNELGLEVKDDAISITEFKAKNKNMLVFLPKQRKSQNLVKYNNRGHNSSTYEVVGSWGPFSTPFCTQLCKKKLVLSILEGVKENLEDGSCFDQFDEKDLVVRPSGKITKTQKDMILLKLPYHDKWRILAKQNAVLEETVNFRYDQNGCTNYEPLPKFNEKGLP >LPERR12G11740.1 pep chromosome:Lperr_V1.4:12:12722086:12724216:1 gene:LPERR12G11740 transcript:LPERR12G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWIMLDGYVFRNVAPFPDDDPTAAVGHNSRGDKVGVRFLVRAPPQPSCILLNWEEGTGDRDDFSVLAAHHDGVLFVMSYAVSLEHGNAHYMFDYLLYRAGQQPSLHLLPSLGGSVADITSMLAMDGFIRLTNQRLRRMRGLDIGVLWVTMMSLSWPSFKLPDQWEVKRPLITPVSSIAFDLESFMWYWDADTVIPFGRYLCWVDYCFGILFWDVSDENPQLHYLEFPAEGKACSYGCQTVGVTNGILKFVSLISDNDDGRDAENFSPFGSSFSIIGWTLRVIEIDMAWEKETVLKSDLLWSLDGFAQLVHHEPRVQFPLISITEPNVVYLVLRQNGKVEEAGYNYEETWLLAIDMVKKTLKMSFPYIKAEKEDISPEVVAFFEDKYWCFQPFLPAELSKYLNLRCTSLSKQDCMESAVLPQPRQFGCVEYRALDASSYARSIF >LPERR12G11750.1 pep chromosome:Lperr_V1.4:12:12732491:12734425:1 gene:LPERR12G11750 transcript:LPERR12G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSPVTAAAGRPRLAGGGHGAVLLTLLVAAAAAASFPGVESSCQRDNSIVKDISQMPQGSYGLEGLSHATLAGALAHGMKEVEVWLETFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFQNSTFTVPVNDPHQVWNSDEYEDLQVIVVISRPPMKVFIYDDWDMPHTAAKLKFPIFWDEECLAAPKDEL >LPERR12G11760.1 pep chromosome:Lperr_V1.4:12:12734661:12736927:1 gene:LPERR12G11760 transcript:LPERR12G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPTASAAAAAGGGGGRGALLIALLAVAAAFIPVAESSCPRDNSLVKDINKMYQSDYGREGFSHITIAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVVQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLSAPKDEL >LPERR12G11760.2 pep chromosome:Lperr_V1.4:12:12734661:12736700:1 gene:LPERR12G11760 transcript:LPERR12G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPTASAAAAAGGGGGRGALLIALLAVAAAFIPVAESSCPRDNSLVKDINKMYQSDYGREGFSHITIAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVVQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLSAPKDEL >LPERR12G11760.3 pep chromosome:Lperr_V1.4:12:12734661:12736977:1 gene:LPERR12G11760 transcript:LPERR12G11760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPTASAAAAAGGGGGRGALLIALLAVAAAFIPVAESSCPRDNSLVKDINKMYQSDYGREGFSHITIAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVVQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLSAPKDEL >LPERR12G11770.1 pep chromosome:Lperr_V1.4:12:12737380:12739526:-1 gene:LPERR12G11770 transcript:LPERR12G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAMASGMEIFCHMRSHSQSQSSRICVRREVQQMSHNRFYRQRCHGEGTTSCYTNILSHEIPRPKSKLPYTKVGKRVMATSITNDAMERKAHRGSSRLPFPLHKSYTDDRLRLSFVHPSDGANELCGVSNGKNDVKNHWKGDHDQKDIESMENVKSSNGNAREGCRTSGCSGRSIENSALVGI >LPERR12G11770.2 pep chromosome:Lperr_V1.4:12:12739532:12746921:-1 gene:LPERR12G11770 transcript:LPERR12G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSMMRCQVTCTEILGNENGGSHVDMEILPQEVRPESKLKHMHEKRRLGKEPRLPLPPTMPWRGKHIVLDRRDGRLLMSQVPSRLPFSLQASRVDGRFRLSLLHPSDGDDELSIVSNGKKDVEDHEKGTHGKKDVESIENEERFSSFVMPHSMVQNQVMCIELLMH >LPERR12G11780.1 pep chromosome:Lperr_V1.4:12:12753117:12754682:1 gene:LPERR12G11780 transcript:LPERR12G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSSSAAAAAAANGHHAAAAAVAVNGNGNHADATPPPVPGAEAIGVEVAAQRDMKAEKLKALNSILLKEAADRRGQVAELTSRLDELSADEEALAAAERAVAQAALAAPLRAAADEVSALRARLAAVEESLRAAESRGESEAAAREEANARLEEVAVEKGRFVKLLREKEAEVASVSLKVAELGAMVVERLWAELGALTKEKEAAAKAFEAEKAGIMGELEELKRKVEEIQASKEVTEEAGREKDAQAVKLRSELKELHVSMSQLQASCGELDSKRSLLNDEKNSVQEALDTEKAEACKLKSKIETLENCNGEKDGEIGKLKVALEEKKEKIDVLNKDIELLNLAVAEAQRKRKGGIWAWLYAATTTMVAAISFIYATRSK >LPERR12G11790.1 pep chromosome:Lperr_V1.4:12:12758131:12758650:-1 gene:LPERR12G11790 transcript:LPERR12G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHTTLLPKKAGKDKADIGSASQEF >LPERR12G11820.1 pep chromosome:Lperr_V1.4:12:12856563:12857410:1 gene:LPERR12G11820 transcript:LPERR12G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPHHLVVRDRFLYGLDVQMECKSSRLLCPVLYRNQLTPPPFFLSRYYDHNQHREQRSDLDKVYWLNT >LPERR12G11830.1 pep chromosome:Lperr_V1.4:12:12871118:12875980:1 gene:LPERR12G11830 transcript:LPERR12G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSTSGCKGGEVGKKRGGGEEERVSVLEVLMAAVRRSVVACRVEREGGGGGWGEEEGGDAAAAEEEEEMGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQEGLKAEGIFRINPENDQEEHVRDQLNKGVVPDDIDVHCLASLIKILAMPKFGKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAATGEDYTPYSSPASSSQHNDAEYYGSERDMDRSCEMSDMHSEISKSGRQVDYLVRYNTCFDSEQEGVDPPSDIEEGFLRQLECDLEANKREESARKQNEISSEVMAMKDVQAELKVQTKVVAGNTQKEEGAESLQ >LPERR12G11840.1 pep chromosome:Lperr_V1.4:12:12874875:12878559:-1 gene:LPERR12G11840 transcript:LPERR12G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAGGNPPPGLFASAVVHVHANEPFSALSLTMLALHTCSGASVPEENRHTDGSNTISDNTLEQFGFLEQDLNDAGINTEKKQSSTLKCKSVEDIPRTAAVKRCKNMDSMKLVPISNSNSCLTGSQAPRKLPRKGDHPVQLRGNEIFPDAKPPSTWICKNAACKAVLTSDSTFCKRCSCCICHHFDDNKDPSLWLVCSSETGDKDCCEYSCHIECALQHRKVGCINLGQSMQLDGNYCCAACGKVIGILGCWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDKADEWLKSNCQAETKQIDTLPAACRFIFEDITTSSLVVVLKEVASSHAIEGYKLWYWNTQEPHSTRLPEVFPKDRRRILVSNLQPCTEYAFRIISFTEHRDLGHSECKVFTKSVEVIHKNMEHGAEGCSSTAKRDGKSRNGWSSGFQVHQLGKILQKAWDEENGYPNEACKDEIEDSCCQSDSALHDNDQGAHVVSCELDLNESSVPDLNAEVVMPTESFRNENICSSGKNGLKKSNGCGDSDTCAEGLVGEAPVMESRSQSRKQTSDLEQETYLEQETGADDSTLVISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIAKDFRMKFLTWFSLRSTEQEQRVVITFIRTLADDPSSLAGQLLDSFEEIVASKKPRTGFCTKLWH >LPERR12G11850.1 pep chromosome:Lperr_V1.4:12:12879799:12882028:-1 gene:LPERR12G11850 transcript:LPERR12G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAQAELTVYVHPSNAADVRRAVARQLSTLLFSYEDRFDGVLLAHEVLVNDKRAKILSGLVPYFGVPVYANLLLYSPRPDMMIEGKVELLGKGSIHAIVLGVFSAAIMSDDINEKFRFKRKGDRGKFISQSDKHHVIKKGSMIRFSVKRVDTEMNCHITGSLLPPHTGSMRWLSSHDAEYVSEINSGIRRSSNIGIKIEKNEQDQRTLDDEDGVINSEQPPHIKSRKRALEE >LPERR12G11860.1 pep chromosome:Lperr_V1.4:12:12888181:12892456:1 gene:LPERR12G11860 transcript:LPERR12G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPAFSAPRCSCSSSSSPRLPLPRFLPSAQPPAAATLLRGRARLQTSAAAAAAAVEVGGVKIAREGNVEMSILRSDVVKEGDPTNNVPDTIYSKFGLQLHRRDNHPLGILKNTIYDYFDKNFSGQFNKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSGSGMDGTAYAAADLKKTLEGLAEHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVKNKLKVELR >LPERR12G11870.1 pep chromosome:Lperr_V1.4:12:12895216:12898167:-1 gene:LPERR12G11870 transcript:LPERR12G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGHAGVQAPYYALYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQMVQMEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCRYVCNYAFESTNFAFKFASGVIAGAIATALTNPTEVLKVRSQMSTSRISTIRVLKKIIAEEGLKALWKGVGPAMARAGCLTASQMATYDEAKQAMLKWTPLEEGLQLHLMSSCIAGTASTLVTAPIDMIKTRLMLQRECKGARAYKNGFHCAYQVVVREGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >LPERR12G11870.2 pep chromosome:Lperr_V1.4:12:12895216:12898167:-1 gene:LPERR12G11870 transcript:LPERR12G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGHAGVQAPYYALYHFGTSGAAVAAATAGTIFTQMVQMEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCRYVCNYAFESTNFAFKFASGVIAGAIATALTNPTEVLKVRSQMSTSRISTIRVLKKIIAEEGLKALWKGVGPAMARAGCLTASQMATYDEAKQAMLKWTPLEEGLQLHLMSSCIAGTASTLVTAPIDMIKTRLMLQRECKGARAYKNGFHCAYQVVVREGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >LPERR12G11880.1 pep chromosome:Lperr_V1.4:12:12899558:12904547:-1 gene:LPERR12G11880 transcript:LPERR12G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAMVPPAAAAAVAARPSRTTLLCWEGGEYEGDDEPRFEALMLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSAEELRNLVAEIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTATDLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLANFLSTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTVTTTSPLDGRLFVVIGAGGAGKALAYGAKEKGARVVIANPRAQELGNLLGAPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVVDCEFRALANSLVNPDAMKGTGITAHKARL >LPERR12G11890.1 pep chromosome:Lperr_V1.4:12:12907065:12910722:-1 gene:LPERR12G11890 transcript:LPERR12G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSLFSPLLAHGRPSPHSKTQPFLASQLNCARFSASTFASTRAPYPWRILPTPRASGGEQDNRVEELRVPGSWLTPAGAAQESEWLRETLHKWLDNEYCPEPANVDISNTAAWSYYESLASKESDLGEILLKMVGDLQKLSYQESFHGAFSAANAAVRLITQRMESLSDD >LPERR12G11900.1 pep chromosome:Lperr_V1.4:12:12911736:12914023:-1 gene:LPERR12G11900 transcript:LPERR12G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAASSFSPPAARRRLPGQVTNVISSRSLVSFNSQRMNFTSTRSRPSQLRFKICCSAKKETVDRVCNIVKKQLALPEGTAVTGDSKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVVEKAA >LPERR12G11910.1 pep chromosome:Lperr_V1.4:12:12916166:12918397:1 gene:LPERR12G11910 transcript:LPERR12G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGISAAAAYLKRLACTVQEELDEPKRPRDGNEEEEQMLDDLRCYRSNWTELWSEDFGDVEKRTELAPMRYTEGPVPRIVIVTDALEIFSFEVRELNGIFCWPINVFGFISVRDSLDRNRNYIFERTRDNCQTLTAEDSSLVLTGPSRGVLVLDPVEFEIELRVKGTNPSEDKILSAEAFEYNGTTQRTWSGSLRNMMISGVRSILEFKYAHLPLALEATIKIWVSEGLTDFCGKFTARNASINENVILLDSRDEMVAISNDGSIQLSRSVVVVDGDKGTLFVGAHATRSRQSSYACTKFFPGKSGLSRDTLDVGFCRMSIEVAWSLISI >LPERR12G11920.1 pep chromosome:Lperr_V1.4:12:12919973:12922367:1 gene:LPERR12G11920 transcript:LPERR12G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEDLDDLLLQAAGRTGKGGGGAARGSAGQRKRPPSGSQVPLKKRHQPEKGGRGGGGGGGWQDGDDDEDDDDDDFDEGRRSGDDSDSAPSVGSDLYKDEDDKEELEKLSELQREMILAERGAKIDDYKLKQLARASSSKTEKARRDTSPPPPLSRVRSSTRTDRSAAKSSALDELRAKRMRQQDPEGYRNRFKDLIPKSGSSPRRRAGSPPSDGSNDGDNRGRMNDHGRIADDTRDDEFDESPSRLDPLKFEDIKSITLRRSKLVKWFMEPFFEDLVCGCFVRLGIGKTKSGTPQYRLCIVRNVDASDPDRKYKLEGYTTCKYLNVVYDSEANAARWQMTQVSDSFPNEDEFKLWLQGAEKNGLRIPTRQEVMEKKEAIQEAYNFVYSAKTVQKMLQEKKSAVRRPINVAAEKDRLRNELDMALARRDETEAERIRTKLNQLQQQSKPMSNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPEGATAEVPNVNDDNAVAGNEDMKNGSRGGTAATAAALVAAADAGKLVDTNAPVDLGTESNVLHNFELGISLAGLQEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >LPERR12G11930.1 pep chromosome:Lperr_V1.4:12:12923181:12926018:-1 gene:LPERR12G11930 transcript:LPERR12G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAAGKGEPRSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPALDAVAAAGVSGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGTGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEDAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPTFESKHGLAPCMVAPTKIIAGLGLSLGIEILEAPGATGDYRTLLTSKGKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIGQLARLLWEAEKSGQYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAIGEESVLNFPLDDFPLPSVKSGEDVAVNIDVADRKSDQCKAFSGDSVCEFTEIAAARGCLGRFPGSEMMGIIKKFIKAKND >LPERR12G11930.2 pep chromosome:Lperr_V1.4:12:12923183:12926018:-1 gene:LPERR12G11930 transcript:LPERR12G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAAGKGEPRSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPALDAVAAAGVSGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGTGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEDAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPTFESKHGLAPCMVAPTKIIAGLGLSLGIEILEAPGATGDYRTLLTSKGKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIGQLARLLWEAEKSGQYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAIGEESVLNFPLDDFPLPSVKSGEDVAVNIDVADRKSDQCKAFSGDSVCEFTEIAAARGCLGRFPGSEMMGIIKKFIKAKND >LPERR12G11940.1 pep chromosome:Lperr_V1.4:12:12927340:12933503:1 gene:LPERR12G11940 transcript:LPERR12G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFKMASVLKLVMMENHATPDDLTSDKGAAQILHKQLFGAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKLNPNDSMGTDQKVHIKVKLKSQSENKNITNGFELDNGFSNKVQPIGSNTDQRLKTSANTTAVTSIPGGYHRDAPVPLATKMYHSQGNYRLRLELGQLYHQSCSEHSGSYSVPNSSQENGLMASHLSPRDNSSMDVAQKSFVPQMKVKRLFLVSMECISACSLNDVFSCDASPSMHQLLATTREPCPVIPQQVAASVPNQPQATKSQKTDVQVSTVKNEMARSRCSKDAVRHSKNTG >LPERR12G11940.2 pep chromosome:Lperr_V1.4:12:12928399:12933503:1 gene:LPERR12G11940 transcript:LPERR12G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFKMASVLKLVMMENHATPDDLTSDKGAAQILHKQLFGAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKLNPNDSMGTDQKVHIKVKLKSQSENKNITNGFELDNGFSNKVQPIGSNTDQRLKTSANTTAVTSIPGGYHRDAPVPLATKMYHSQGNYRLRLELGQLYHQSCSEHSGSYSVPNSSQENGLMASHLSPRDNSSMDVAQKSFVPQMKVKRLFLVSMECISACSLNDVFSCDASPSMHQLLATTREPCPVIPQQVAASVPNQPQATKSQKTDVQVSTVKNEMARSRCSKDAVRHSKNTG >LPERR12G11950.1 pep chromosome:Lperr_V1.4:12:12938998:12944703:1 gene:LPERR12G11950 transcript:LPERR12G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPEGGRCAGLIKSIICEKCNPFSTALFNAGTATRTVPLLCSSPHSEDSSHTKEDYLYASTPNNQSACFNGHGAPPNTRKLSTSLGGMCLEKINDASYRSMVAHPDGSSKAFFSSQDGKIWLGAIPEKGMLQLDETDPFLDLMTEGYLGSEFRFATYADPTEVRQVFSMGLPYASNHAGQLFFQPSDGYLYVPTGNSGNKGVNPSLSQNKKSLLGKILRLNVDDLPELNEAASKSSCGKYTIPEDNPRSVDSELQPEIWALGLTNPGRCNFDSVKPYHLYCTDDVQGEYKGVDLISKGGNYGWGDVYEEHHGAPPPWAAQGIKSSDGIIFPVMGYKAYSSTGNTTTASIVGGHVYRGYTDPCLYGRYLFADMNTSALWTGMETTDSTGKYTSNAIHFKCSRESPIPCNELTNNPFGSIFSFGEDNKKDAFILTSQGVY >LPERR12G11960.1 pep chromosome:Lperr_V1.4:12:12944649:12946167:-1 gene:LPERR12G11960 transcript:LPERR12G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAGGGYRLELSLACADPTPTPAPEAAMDDLRAAPLQRRWDRRPRPTMGELYSELASLLPGLPYRANKADMVEAAAEHVKVLEDTVAVLEAYRAVQAGGVAGAAGEVSVSYRDTVCFAARLPPPAATRRGALTRVLEAFDRRGVEVYAATVARAGAGGDGAAMVTVTAAAAAPEVVKMIKADIAGIE >LPERR12G11970.1 pep chromosome:Lperr_V1.4:12:12947448:12948997:-1 gene:LPERR12G11970 transcript:LPERR12G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPRDDGAGSRGGGGGGEGPSNKGKGKWGGGRRRNEQRLGGGGGGLSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISKYKRLKKQQNQTSNPPPLPILKEGGDDANDASKLHSKKKKRVAPSLHEEYEKKRAEDEKAKKEHEAIIQAKKEEREKSEARRKDLREKMFKKTRSGQPVMKYRIEHLLETALEGSSK >LPERR12G11980.1 pep chromosome:Lperr_V1.4:12:12950491:12955642:1 gene:LPERR12G11980 transcript:LPERR12G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDANHRPSPEPSAAASDMSGPSVLPLSGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLEGFDSHLGALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAVEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGFLDDLDQRNVYGYLTGMVGCHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIRDQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYISACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGGIERKQSGNIERKQSVDVASGTAATDNGTPADGPPPVTNGDSETSSAPAQSDVQSTATSST >LPERR12G11990.1 pep chromosome:Lperr_V1.4:12:12959687:12963483:1 gene:LPERR12G11990 transcript:LPERR12G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGEEEVVVGDGDAVPLRDVGKMEHGCEHYRRRCKIVAPCCSEMFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYYCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMDCFSEMVEHNKYTCPICSKTALDMSHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPVDSPGSSSPSTSDSSENNP >LPERR12G11990.2 pep chromosome:Lperr_V1.4:12:12959687:12963659:1 gene:LPERR12G11990 transcript:LPERR12G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGEEEVVVGDGDAVPLRDVGKMEHGCEHYRRRCKIVAPCCSEMFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYYCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMDCFSEMVEHNKYTCPICSKTALDMSHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPVDSPGSSSPSTSDSSENNP >LPERR12G11990.3 pep chromosome:Lperr_V1.4:12:12959687:12963660:1 gene:LPERR12G11990 transcript:LPERR12G11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGEEEVVVGDGDAVPLRDVGKMEHGCEHYRRRCKIVAPCCSEMFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYYCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEVTLRTCTLFFMASKIIKLELPVQYLFDSLKGTRVLDCGHTMHMDCFSEMVEHNKYTCPICSKTALDMSHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPVDSPGSSSPSTSDSSENNP >LPERR12G12000.1 pep chromosome:Lperr_V1.4:12:12973102:12973413:1 gene:LPERR12G12000 transcript:LPERR12G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMEKALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >LPERR12G12010.1 pep chromosome:Lperr_V1.4:12:12999705:13000019:1 gene:LPERR12G12010 transcript:LPERR12G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSTEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPVHGRDMERDLARRLGGRASVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >LPERR12G12020.1 pep chromosome:Lperr_V1.4:12:13003905:13006480:1 gene:LPERR12G12020 transcript:LPERR12G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTGNQRRRRRRREKSGSSSSSPPEWILVNKGVYTGNHRGNSTTAVGEGRENLTIQVSLSPAQPPRPSNVLLCCPGVRYPRESEVLFTADDLLLLRVPVAAGPAPSCIGFDECDYLIYRAAGGDGWPSLTLLPNPDPNFHDGDVGILPRAGGEYTVAALVANPTENEYTLTRFDSAAGEGWTTKTDGHAASAAAGENPHQRAATQPPHDHHDHHNRRRIRAMGWVDLWTGILVYDLLRPGSGDSLRHLPLPLPMHAITCNHRMGDELALPCPRSLRGIVSFTKRDTGKPCLRLAGLAGERLPYSDIETQLPAFAVHDWTVTTWTNTHMAGYYEDWHEEFTVRGSDVRISDAVRSQLIASGLLQRKPSRDGETVVEELALHHLYVSQPTPSLNNGEEDDHVVYLMASPKCLHPKAWALALDMRNSTLLNVAEFGTETGPSLGVTYRPSTIQVHESADSSSDWENLRLLLIICNQDGTTGLFGD >LPERR12G12030.1 pep chromosome:Lperr_V1.4:12:13007181:13015248:1 gene:LPERR12G12030 transcript:LPERR12G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDCEDVELVRGALETFVSALTPVETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGAFEKIFSIIREEGFSDGGVVVQDCLELLNNLIRHNASNQMLLKETIGFDPLMAILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGGSPGEPGKDANKTTNQTALAQKNILDYLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVHPALNAIFSIIVRTSVAQEFVAADYVFKCFCEKNPSGQALLASTIAPHPNQAHATFGAASDMPFGSILLQALVSSDDNGDMEGCCRASSVLTHIIKDNLQCKDRVLQIQLETPTPSLGRTEPLLHRIVTCLSIAASAEGENGQNSQPEESYIQPVILRLLTTWLVDCANAVDCLLESAVHLNYMIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLGFDELRRSFAHSSSGQHQHKHLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLSKLETDIRDNIMDIFSRTKTTTAIIPAELEQKNGEVDGEYIKRLKSFVEKQCSEMQDLLARNAMLAEELVRTGGGNTADSSQRPSSGRERVQIEALRQELEGATRRIEALKSENTRIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDAEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSARLAELGEDVDTLLQGIGDDTTLPDDDEDEDDE >LPERR12G12030.2 pep chromosome:Lperr_V1.4:12:13007181:13016005:1 gene:LPERR12G12030 transcript:LPERR12G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDCEDVELVRGALETFVSALTPVETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGAFEKIFSIIREEGFSDGGVVVQDCLELLNNLIRHNASNQMLLKETIGFDPLMAILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGGSPGEPGKDANKTTNQTALAQKNILDYLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVHPALNAIFSIIVRTSVAQEFVAADYVFKCFCEKNPSGQALLASTIAPHPNQAHATFGAASDMPFGSILLQALVSSDDNGDMEGCCRASSVLTHIIKDNLQCKDRVLQIQLETPTPSLGRTEPLLHRIVTCLSIAASAEGENGQNSQPEESYIQPVILRLLTTWLVDCANAVDCLLESAVHLNYMIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLGFDELRRSFAHSSSGQHQHKHLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLSKLETDIRDNIMDIFSRTKTTTAIIPAELEQKNGEVDGEYIKRLKSFVEKQCSEMQDLLARNAMLAEELVRTGGGNTADSSQRPSSGRERVQIEALRQELEGATRRIEALKSENTRIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDAEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSARLAELGEDVDTLLQGIGDDTTLPDDDEDEDDE >LPERR12G12040.1 pep chromosome:Lperr_V1.4:12:13014179:13019136:-1 gene:LPERR12G12040 transcript:LPERR12G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMKIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRADDLAFRCSSDTYDLDDHALVSSRNWAVLSTEGSRPSPRFAHAAAIVGSKMVVFGGDSGHQLLDDTKILNLEKLIWDSVAPKIPWGNSVILVGGKTEPASDRLSVWTFNMETEVWSLMEAKGDIPAARSGHSVTRAGATLILFGGEDTKGKKRHDLHMFDLKSLTWLPLNYKGSGPSPRSNHVAALYEDRILLVFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKRYPETWAFDVVEYKWSVCTVPPSSSITTKKGFSMVPLYHRDKIVLVAFGGNKKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVASHSLASTVEHPPRRESLSESLLQNPNLGNSLHRQFHQSEACSLAQKLQKPIDDDKYDDTDECSCQASTPKEYWSKRTGADIQIDMARVLSSNEENSGTAGSSAKRIARCSSDISHLYNAKITDLIKRSSALEDQLATALASKEQAEKNLSSVINSREQLERRLANKEKEAEVLREKVAGLELAQEESNNLSNTVHADNELHSTRRVLAGEQSRAFQLQDL >LPERR12G12060.1 pep chromosome:Lperr_V1.4:12:13032457:13037013:-1 gene:LPERR12G12060 transcript:LPERR12G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADARSDSRIPVPLRLAQWATHLEYTECDCRRHQTDSLASRRHLVAITSSMAANNLMRKRTYADFLGHINPIRRFKNFDDVEFANVNRQFSIVVKVDAKLNVIVGPRGTKKYYILMDTTTTIAEPYNPPLRFPLYPKHLTKFDNVFERSNKTFVDIAGIVKYWHPVEQVGGRFYRGSFAGCKNSASVAVAHCRTSFTHCSLWIQKSKPHRSINTLTGLTKLV >LPERR12G12070.1 pep chromosome:Lperr_V1.4:12:13044205:13051825:1 gene:LPERR12G12070 transcript:LPERR12G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAQRDNPPHTEIWYIEKNKEKTETLEEGLTKESAEANRHANELLNMLSMESLQMESTLNRKRHKKEKQARKKAERRIKQMANDADNDTVGAPTPEEQRCIENPPLEHKENNDIIPNPESWVAKRFLKFLNDVREDLQGSVAQYLVAHGVDRKKADEAGLKFYGVPPRTTKLGRSIPRGFLKYIIEMHKKGFCWNGDWKVQHMKVINDGQEFIISEIPNYNISKEGMEADFKNFYKILFPFYEHEEVSDSGEKKKVLPCYFREFMVNCKVVPDPLKEPVKLQKFQRFLGAHPAFMSPSTLSSLINHLVKDCDSLREVNDIVYKPLQTAETHQNDWRATVRRLGRPFADVYWHFSIEDHETKLDIVKTSLMIGHYLAKLVTRLLLFITINCDIDGPFSTTWTQFEDSTHDKYITVRALHVAMLVGGYNRVLRGCDATMPCAHPQARNPARRPMEIVHEDYILLLNVRPVLQGFWFGPERHLFWAKNATRPVHHTGAK >LPERR12G12080.1 pep chromosome:Lperr_V1.4:12:13052066:13060325:1 gene:LPERR12G12080 transcript:LPERR12G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHMVGRITTKPGGKPEMGEAFVVDRYNRPLPKVRTSRSEPGEGGHRQLPPGTINVSHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVIQNIVQFVSLPQDEHNRLSPCFGDWCETIPAVGSPSCTNHEAHISKPPPFHGSPERRRRRRRASSFSSSSRLPQIPNLLEASSSPKATSILILLEDGRNPTPTPDLESSSVAARKAREGCLRTAKFGGGMMSAKVSQPVKPASPSSIASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWTRLAVCGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEVQAQPGPSELAGTASLAPCAGHALVPWGNMILCLAGHTREHTESLSVKEFDPQTCTWSTMRTYGRSPSSRGGQSVTLIGDTLVVFGGEGNGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACYADRSLLIFGGGSHSTCFNDLYLLDMQTMEWSRPKHQGITPEPRAGHAGVTVGENWFITGGGNSKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEVYALKSSRKSSVPYEQMDESEANGLASISTAANSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSQIEEKLNQEELQSSRLKQELANVETKNELDLVRSQLSAEEARASQLESEVLDLQQKLLKMEFLEKESESLRLEKDVSSDDSSSGSNQRPGDRGFWRWNG >LPERR12G12080.2 pep chromosome:Lperr_V1.4:12:13052066:13060325:1 gene:LPERR12G12080 transcript:LPERR12G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHMVGRITTKPGGKPEMGEAFVVDRYNRPLPKVRTSRSEPGEGGHRQLPPGTINVSHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVIQNIVQFVSLPQDEHNRLSPCFGDWCETIPAVGSPSCTNHEAHISKPPPFHGSPERRRRRRRASSFSSSSRLPQIPNLLEASSSPKATSILILLEDGRNPTPTPDLESSSVAARKAREGCLRTAKFGGGMMSAKVSQPVKPASPSSIASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWTRLAVCGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEVQAQPGPSELAGTASLAPCAGHALVPWGNMILCLAGHTREHTESLSVKEFDPQTCTWSTMRTYGRSPSSRGGQSVTLIGDTLVVFGGEGNGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACYADRSLLIFGGGSHSTCFNDLYLLDMQTMEWSRPKHQGITPEPRAGHAGVTVGENWFITGGGNSKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEVYALKSSRKSSVPYEQMDESEANGLASISTAANSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSQIEEKLNQEELQSSRLKQELANELDLVRSQLSAEEARASQLESEVLDLQQKLLKMEFLEKESESLRLEKDVSSDDSSSGSNQRPGDRGFWRWNG >LPERR12G12080.3 pep chromosome:Lperr_V1.4:12:13053166:13060325:1 gene:LPERR12G12080 transcript:LPERR12G12080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHMVGRITTKPGGKPEMGEAFVVDRYNRPLPKVRTSRSEPGEGGHRQLPPGTINVSHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVIQNIVQFVSLPQDEHNRLSPCFGDWCETIPAVGSPSCTNHEAHISKPPPFHGSPERRRRRRRASSFSSSSRLPQIPNLLEASSSPKATSILILLEDGRNPTPTPDLESSSVAARKAREGCLRTAKFGGGMMSAKVSQPVKPASPSSIASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWTRLAVCGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEVQAQPGPSELAGTASLAPCAGHALVPWGNMILCLAGHTREHTESLSVKEFDPQTCTWSTMRTYGRSPSSRGGQSVTLIGDTLVVFGGEGNGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACYADRSLLIFGGGSHSTCFNDLYLLDMQTMEWSRPKHQGITPEPRAGHAGVTVGENWFITGGGNSKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEVYALKSSRKSSVPYEQMDESEANGLASISTAANSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSQIEEKLNQEELQSSRLKQELANELDLVRSQLSAEEARASQLESEVLDLQQKLLKMEFLEKESESLRLEKDVSSDDSSSGSNQRPGDRGFWRWNG >LPERR12G12090.1 pep chromosome:Lperr_V1.4:12:13060898:13064537:-1 gene:LPERR12G12090 transcript:LPERR12G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAAVVRNEETEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDDALVARVARLTSRPPHRFLRRGLFFAHRDLNEILDLYEKGERFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEESKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVNKYAFSGGRDSVELHRKLGANLDVDVPVKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQRLITVLSELVARHQRARAKVTEEMVDAFMAVRPLPNMFG >LPERR12G12100.1 pep chromosome:Lperr_V1.4:12:13067249:13069643:1 gene:LPERR12G12100 transcript:LPERR12G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPTTAAAAAMAAGVRHRPHFLHRRGGGLPFSPSSPLPFASRVSSSPTPLRLPPPRFSLSPIPKSLSSSQIPVRSLFTGIVEDVGRVRRIGLSPPPPTPSSGGGESAGVDLEVETKTLLAGTQLGDSVAVDGTCLTVAAIDPDSSTLTFGVAPETLRRTSLGDRAAGDGVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPEGDSVWVTVRAPPEILRLLVPKGFVAVDGASLTVVNVDEEGGWFDFMLVRYTQENIVLPKKKVGDKVNLEADILGKYVEKLLAGRIEAMQ >LPERR12G12110.1 pep chromosome:Lperr_V1.4:12:13075264:13081130:1 gene:LPERR12G12110 transcript:LPERR12G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPIYIYIFCTFLLPAHRSHLFSFLDFPSCFVTVLAQAQIWTTFIIWQSFTSRGDFQVLTMASREEGGNGGGGDSRSSSRRSTRFKDDNEYVEITLDVKPDDTLAIQSIKNGGADMPDHSLATLLAKGSGGLSSKLKAVSTELRRIASSKRGGGGGGSTANGGGDRRRLNRSMTGAASALRGLQFLNSSVVTEGWTEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDALARRRGITAQVLTKDQVREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDISNLEALLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRKLGYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGITHLTCDFPRLLHASDAAYEPMKKYFGQTRIPNYWWFVRGVEGITGVIMVVLMVIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERILRALRSHGFTTVRIEKVAIYPGNVIAIHMSKPHGFKYKSGQYIYVNCGEVSPFEWHPFTITSAPDDSYLSMHIRCRGDWTSSFRAIFAQICRPPVNGQSGLLRADGMSMEHNARFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIQDPEDPSNPSSPLPSLAGKVGTTNGNGGGSGSGRRSFMTNRVYFYWCTREEGSFEWFRGVMNEVADRDNGGMIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPNWRDVFKRVAVNHQGQRVGVFFCGDQTLTPELRRLAQDFSHKTDTKFVFHKENF >LPERR12G12120.1 pep chromosome:Lperr_V1.4:12:13083355:13087681:1 gene:LPERR12G12120 transcript:LPERR12G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPAAPPTAVPDDAPPAPPAAAPAGEEAPSLERKEELLPVEEKISELDESQSKLMGRLKGLKEDLLNWRTSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDTTDSEGNKGSGEGNASEGVSDTPGNLKESTIEDKTVDETAKAESAGDE >LPERR12G12130.1 pep chromosome:Lperr_V1.4:12:13089001:13094497:1 gene:LPERR12G12130 transcript:LPERR12G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVHCSVGNISLFHIGSFRPSREIQIRRFRSTERYSRATSPTHRRLLQPHRAFNLISIYKRSSWSSAQRPRTLSAATVGTDVTVEDQNPSPSGEASEESSEEAAPGAAEASEQAEASTSSTPKTGRNIRTSEMPPLKDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISTLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTGATASRGSPRQAREREEAKNIGESSYVRGQFLDGTVKNTTRAGSFVVLPDGSEGFLPREEEAAALFTLIGQSAMEAGQQVRVKVLNLARGQVTLTMKEGEDDEDDMASLNTELKQGWSRGTNAFELAFRKNKEISAFLDQREKTNVPDVQEAAVASVGTAAVEKDSAVDEKLVEPTASVSVTEPESKEDSSEGSVITEPTEAASTESLSSNGAPESPSAEDKPDEPEESSVVEEVPVTASSESEDNEPAAVTEEVAASSEKTAEVAAAVTEASTATATISPALVKQLRDETGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETEMEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVSRFVRYNLGEGLEKKSQDFAAEVAAQTAAKAAPAPPPKDDKPEETTEVEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPEAVVSKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >LPERR12G12140.1 pep chromosome:Lperr_V1.4:12:13093849:13096598:-1 gene:LPERR12G12140 transcript:LPERR12G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLAPLLLLLLPLLSACVTAAEVAEGRCPRPEEDALPPFVAAALRPTCRASAEGGFPADEVNGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPQIKHLAAEQTNVMPAVLSRYGVRSVPSILLACGPYAFWPVGSKTLDSMVNLYTAVTGQEPIAYLGPRKWSTAGTGNTHHVKHSKSSINESLKSEPYLAFSILFICLKILVVFFPKFFACIKGIWVQYFRHINLGILAKLTQLLECVPHAVDLRKIWSKWRLMGGAMNTRVWASSLASMSFGERSSPRASVLN >LPERR12G12150.1 pep chromosome:Lperr_V1.4:12:13103382:13103777:1 gene:LPERR12G12150 transcript:LPERR12G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFIPILLLLLVLAVSASASSLSAGAGGDHLQLGILSSGSECRGTVAECLAGEEEDEEEAVGSASGDAQRRVLAGRGRGRGRYSYISYGALRRDTVPCSQRGASYYNCRPGGSANPYQRGCSRITRCRG >LPERR12G12160.1 pep chromosome:Lperr_V1.4:12:13107285:13108372:-1 gene:LPERR12G12160 transcript:LPERR12G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVIIAALLALTTAVNALSDAEVSYIAGRQLQSLEASLTAGAGAGGDLLPDDFEFDIRVGVTFANDRLRGAYVALQALRRAIYSDPKNFTGGWVGPDVCAYFGVACAPAIDDPAVTVVAGVDLNGGDIAGYLPAEIGSHLNDLALFHINSNRFCGIIPETFSQLTLLHELDVSNNRFVGVFPEVVLRTPVLRFLDIRFNDFEGELPPELFEKELDAVFVNSNRFVGRIPENFGDSPASVVVLANNRFDGCIPRSVGRMKGTLDEMILLNNRLDGCIPPEIGELANTTVVDVAGNLFVGSLPEEIGDMGKLERLDVSRNQLAGEVPERWRAVTGMRADGDR >LPERR12G12170.1 pep chromosome:Lperr_V1.4:12:13139452:13139880:-1 gene:LPERR12G12170 transcript:LPERR12G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKALTVLAVVAAIACAAAVTTTHADDDRCGSEFGDTVCPDDRCCSEWGYCGSSFDYCGYYCQNGPCLDIRCGFEFGGEVCPDNWCCSEWGYCGLQREYCGDGCQSGSCNGAITGVNRIAAILSKNRTDHSSIIRSVAALE >LPERR12G12180.1 pep chromosome:Lperr_V1.4:12:13171878:13179926:-1 gene:LPERR12G12180 transcript:LPERR12G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLEEILIEGGSLNSSSSNEWAKNLEKLPVDSIRLVEMARWNKLSIYRVPEWFKNMATDKNTYQPKLVSLGPFHHGEKDLEPMEEHKHRALVHIVKRSCRPLKELFTAAIEEVVDELMDAYDNLDPKWREPAGRERFVEMMVLDGCFLLEMWKGLSRKEAPYDYAPNDPVFSLHGMLFLWVGIRCDMLVIENQIPMLALFRLEQIWRGTTPLSEQDINDLVLDFVCDPLRDKDKPRKRIVENKLCLHPLDIYHKNFCGLHPAAPGKEMKWESSIHCAVELKEAGIHLRKCSNTLVIDYKSGVLSLPTVSISHDGTEKIFFNLMAFERLHSDAGSEATDYFIFMDNIINSESDVALLRSKGIIKNLLSSDTEAAQLFNNLSRGAVLNPFSRLHEVRRKVNTHCAKPWNKYMAILKRTYMSNPWLLISLMAAASLLIATFLQTIYTILGFYP >LPERR12G12190.1 pep chromosome:Lperr_V1.4:12:13234498:13234977:-1 gene:LPERR12G12190 transcript:LPERR12G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGNNKILLISSDGEHFEVSEAVASMSQQVSHMIEDDCTENGIPLPNVTSKILTKIIEYCKMHAAVSSETKEAAADEKKLKSFDAEFIAVDKTTLYDLILAANYMSIKSLLDLACQRVADLIKGKSPEEIRKEFNIKNDFTPEEEEEIRKENAWAFE >LPERR12G12200.1 pep chromosome:Lperr_V1.4:12:13363384:13365156:1 gene:LPERR12G12200 transcript:LPERR12G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLTTSLFLSLASLLTVTVTVTGKPHVNHGRFKAGPWEDGHATFYGGRDGSGTTEGGACGYKDKEVESYGTLTAAVGPTLYDNGAGCGACYEVKGSKGTAIVSATNQAPPPVNGMKGEHFDLTMPAFLQIDEEKAGIVPISYRKVACVKKGGIRYTITGNPSYNMVMVTNVGGAGDVVALMVKGNKRVKWTPMKRSWGQLWITEKNLTGESLTFRVMTGDHRKATSWHVLPRDWQFGNTYQATKNF >LPERR12G12210.1 pep chromosome:Lperr_V1.4:12:13392418:13400255:1 gene:LPERR12G12210 transcript:LPERR12G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPWKNSYSRLSPHTPRLQQPNRSLPLPTAIAIRKPTPLPPQQKPSPSLHPRSTPPLPDPPSPESPPPSQDPAGGRAARIGAGSPALCSLMMAPEAEGVSAVEDLERMRAWERDLDVVTRRAEEEAMRRHDAASWLRRTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPSDGSALCAYQYFENLRNFLVSVEHLGLPTFEVSDLEKGGKGVRVVDCVLALKSLSESNKLGRQASCKDGGRKYFILKNTDAFMNKILKGHSVQAIQNEFLEGQNVATNETTTSDSLSILLRTVLLDKKPEEVPLIVESLLTKIIQEYEHRIANQHMVKHVWDCESDMSCSRVYTPSEAESVSTCDQMKMDEREQKYDSHGIVNGDGGAKHFHFPLEALTNFAQQHKQIQELKDALSFIKSGMEQMRLQYSQEFTKLGIHLYTISNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISLSSSVAGTEERTITIITPTKYGKDGRKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIQAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTCTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGTILRGCMHLVDLAGSERVEKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETVSTLKFAERVASVELGAAKANKEGGEVRELKEQIASLKAALARKEGEPENFQSIQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVWNNATPMQKKAIFDISDILSENDSSNLAENCNGIQKTDRVAVRNDQFEDGNSFLELEPNAMQLPTFFYQRHNPGKQRYRNEPVETDHSDSFDATTSCSSDQEMLFLTSNLKANGIARKFAFTPKKPQIKNTKVTAMRNPNPAMKSPMSEKKLQTPIRNSRQLPFSTMGGRTPNGKINTPK >LPERR12G12210.2 pep chromosome:Lperr_V1.4:12:13392418:13400255:1 gene:LPERR12G12210 transcript:LPERR12G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPWKNSYSRLSPHTPRLQQPNRSLPLPTAIAIRKPTPLPPQQKPSPSLHPRSTPPLPDPPSPESPPPSQDPAGGRAARIGAGSPALCSLMMAPEAEGVSAVEDLERMRAWERDLDVVTRRAEEEAMRRHDAASWLRRTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPSDGSALCAYQYFENLRNFLVSVEHLGLPTFEVSDLEKGGKGVRVVDCVLALKSLSESNKLGRQASCKDGGRKYFILKNTDAFMNKILKGHSVQAIQNEFLEGQNVATNETTTSDSLSILLRTVLLDKKPEEVPLIVESLLTKIIQEYEHRIANQHMVKHVWDCESDMSCSRVYTPSEAESVSTCDQMKMDEREQKYDSHGIVNGDGGAKHFHFPLEALTNFAQQHKQIQELKDALSFIKSGMEQMRLQYSQEFTKLGIHLYTISNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISLSSSVAGTEERTITIITPTKYGKDGRKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIQAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTCTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGTILRGCMHLVDLAGSERVEKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETVSTLKFAERVASVELGAAKANKEGGEIASLKAALARKEGEPENFQSIQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVWNNATPMQKKAIFDISDILSENDSSNLAENCNGIQKTDRVAVRNDQFEDGNSFLELEPNAMQLPTFFYQRHNPGKQRYRNEPVETDHSDSFDATTSCSSDQEMLFLTSNLKANGIARKFAFTPKKPQIKNTKVTAMRNPNPAMKSPMSEKKLQTPIRNSRQLPFSTMGGRTPNGKINTPK >LPERR12G12210.3 pep chromosome:Lperr_V1.4:12:13392418:13400255:1 gene:LPERR12G12210 transcript:LPERR12G12210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPWKNSYSRLSPHTPRLQQPNRSLPLPTAIAIRKPTPLPPQQKPSPSLHPRSTPPLPDPPSPESPPPSQDPAGGRAARIGAGSPALCSLMMAPEAEGVSAVEDLERMRAWERDLDVVTRRAEEEAMRRHDAASWLRRTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPSDGSALCAYQYFENLRNFLVSVEHLGLPTFEVSDLEKGGKGVRVVDCVLALKSLSESNKLGRQASCKDGGRKYFILKNTDAFMNKILKGHSVQAIQNEFLEGQNVATNETTTSDSLSILLRTVLLDKKPEEVPLIVESLLTKIIQEYEHRIANQHMMDEREQKYDSHGIVNGDGGAKHFHFPLEALTNFAQQHKQIQELKDALSFIKSGMEQMRLQYSQEFTKLGIHLYTISNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISLSSSVAGTEERTITIITPTKYGKDGRKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIQAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTCTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGTILRGCMHLVDLAGSERVEKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETVSTLKFAERVASVELGAAKANKEGGEVRELKEQIASLKAALARKEGEPENFQSIQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVWNNATPMQKKAIFDISDILSENDSSNLAENCNGIQKTDRVAVRNDQFEDGNSFLELEPNAMQLPTFFYQRHNPGKQRYRNEPVETDHSDSFDATTSCSSDQEMLFLTSNLKANGIARKFAFTPKKPQIKNTKVTAMRNPNPAMKSPMSEKKLQTPIRNSRQLPFSTMGGRTPNGKINTPK >LPERR12G12210.4 pep chromosome:Lperr_V1.4:12:13392418:13400255:1 gene:LPERR12G12210 transcript:LPERR12G12210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPWKNSYSRLSPHTPRLQQPNRSLPLPTAIAIRKPTPLPPQQKPSPSLHPRSTPPLPDPPSPESPPPSQDPAGGRAARIGAGSPALCSLMMAPEAEGVSAVEDLERMRAWERDLDVVTRRAEEEAMRRHDAASWLRRTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPSDGSALCAYQYFENLRNFLVSVEHLGLPTFEVSDLEKGGKGVRVVDCVLALKSLSESNKLGRQASCKDGGRKYFILKNTDAFMNKILKGHSVQAIQNEFLEGQNVATNETTTSDSLSILLRTVLLDKKPEEVPLIVESLLTKIIQEYEHRIANQHMMDEREQKYDSHGIVNGDGGAKHFHFPLEALTNFAQQHKQIQELKDALSFIKSGMEQMRLQYSQEFTKLGIHLYTISNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISLSSSVAGTEERTITIITPTKYGKDGRKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIQAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTCTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGTILRGCMHLVDLAGSERVEKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETVSTLKFAERVASVELGAAKANKEGGEIASLKAALARKEGEPENFQSIQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVWNNATPMQKKAIFDISDILSENDSSNLAENCNGIQKTDRVAVRNDQFEDGNSFLELEPNAMQLPTFFYQRHNPGKQRYRNEPVETDHSDSFDATTSCSSDQEMLFLTSNLKANGIARKFAFTPKKPQIKNTKVTAMRNPNPAMKSPMSEKKLQTPIRNSRQLPFSTMGGRTPNGKINTPK >LPERR12G12220.1 pep chromosome:Lperr_V1.4:12:13399322:13402545:-1 gene:LPERR12G12220 transcript:LPERR12G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSDDAAGGELSRPRRRWRSLVLELKVRKRRSMEGVFGFREFMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRRVSAPAPPRLLVGYNQRPRYQLMFLNGLKPVYTLMKLEAKDGPALKVAIVERFENNQMRIVRFGHLSSAKVEVVVLHGNFNAKNEEQWTPEDFSKHIVCGREKSAQLLTGNLILKLNGGEAFLENATFTDNSSFTSTKKFRLGLRLNNSSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTKSGVDSVQKFLQSYFTDEKKLIQNFTKMSQAAWKTIISHAMTCEVGDNLCLYEAKDNNMGLFFDAIYQLVGVKFGDSYKPINELNQVEKNAVEIMKQLAYENMNGIQFDHKMVNNYPVPLHRFHGGGASVLTNFISNQQIPTCGQYNSALAGQPFESTENFSSFKEASNASLDRSRFVQGQTSNVQFSHQYNAITHHSNQENFLPRPRITPLSIPNTETACFYPDTDACSAHSNIQADQITTHIGQREHNERSHSPEQLYKPFSQDSFFHTDEVMDLMQPHFLLPSNSENFGNQLNLVSNDQSSLQVATRFLPSRTNSFDSSSCDQLIQNFVSQFSGNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPII >LPERR12G12230.1 pep chromosome:Lperr_V1.4:12:13413288:13414080:-1 gene:LPERR12G12230 transcript:LPERR12G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARYADSSTRMDIIATSARRFIDDSND >LPERR12G12240.1 pep chromosome:Lperr_V1.4:12:13421592:13433223:1 gene:LPERR12G12240 transcript:LPERR12G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWDGLRTKDQERVLVLGATNRPYDLDEAVIRRFSCRLMVDLPDALDRERILNVILSEEKLAPDVNLNTLANMTDGYSGSDLMNLCVTAVHCPADEIIDKGDTVCASVSSDSRNMRELRQWNDLYGEDREAGMAAAAAQTVGESQRRQVPWAKLLSQCSQAPHLSISASQIYVGKSEKCGIRLDGLSDNDIACELRYLEQEGLYELEVTGTYDWVMINGERIMNGSKAFITGGDELFFDHDKHAYCKYTECTVFACNHTRGKEVYRTETLIKALAKHFGAKLLIVDSLLWPAAPSSGLSPMAHELGDQQETDIARTTLFHSPSSTKPDSLTATSKKHIFREGDRIKYIGQIQRPCLYAQRGPANGYQGRVMLTFENNISSKIGIRFDKQVLNGNDLGGLCEADHGFFCPAAELHLDSGSEEVNNLAMEELIEVILDESETSNLIVLLNDVEKLLTESTELFAPLRRELPPGVLIIGSHCESRNHKDKSKKPGSHVPKLKGKHEPEHVISENMQDHVESKVHERNEGALKSAVSINKFFPNKISIEPPQNKEQLSNWKQQLEHDAETLKAKANIAKIHKFLICNEIECNNLEELLIKDQLLTDESVDKIVGYALSSHLQNDSPITSEDDKIVLSTESLKHGLNMVQITKKSSNKALKNVAIKDEFEKDILSYVIPPNDIGVHFDDIGALENVKDTLKKLVMVPLQRPELFCKGRLQKPVKGILLFGPPGTGKTLLAKAIATEAGANFINISMSSITSRWFGEGEKYVKAVFSLASKLSPAVIFIDEIDSMLGRRDAEGDRTTMRRIKNEFMVHWDGLRTKAQERVLVLGATNRPFDLDEAVIRRFPRRLMVDFPDALNRERILNVILSEETLAPDVDLKSLANMTDGYSGSDLMNLCVTAAHCPIGEIIEEEDKERNLSRPEGRPEPPDIRPLTMDDFRFALRTVSASVSSGTRNLSELYRWNDLYGEGGSRKKVEFNYYL >LPERR12G12240.2 pep chromosome:Lperr_V1.4:12:13416490:13433223:1 gene:LPERR12G12240 transcript:LPERR12G12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAKLLSQCSQVGASAMGHLLNDNKVTLPSNQRDAIKDGLKQRIISPDHIEITLENFPYYLSAKTKKAPSSGLSPMAHELGDQQETDIARTTLFHSPSSTKPDSLTATSKKHIFREGDRIKYIGQIQRPCLYAQRGPANGYQGRVMLTFENNISSKIGIRFDKQVLNGNDLGGLCEADHGFFCPAAELHLDSGSEEVNNLAMEELIEVILDESETSNLIVLLNDVEKLLTESTELFAPLRRELPPGVLIIGSHCESRNHKDKSKKPGSHVPKLKGKHEPEHVISENMQDHVESKVHERNEGALKSAVSINKFFPNKISIEPPQNKEQLSNWKQQLEHDAETLKAKANIAKIHKFLICNEIECNNLEELLIKDQLLTDESVDKIVGYALSSHLQNDSPITSEDDKIVLSTESLKHGLNMVQITKKSSNKALKNVAIKDEFEKDILSYVIPPNDIGVHFDDIGALENVKDTLKKLVMVPLQRPELFCKGRLQKPVKGILLFGPPGTGKTLLAKAIATEAGANFINISMSSITSRWFGEGEKYVKAVFSLASKLSPAVIFIDEIDSMLGRRDAEGDRTTMRRIKNEFMVHWDGLRTKAQERVLVLGATNRPFDLDEAVIRRFPRRLMVDFPDALNRERILNVILSEETLAPDVDLKSLANMTDGYSGSDLMNLCVTAAHCPIGEIIEEEDKERNLSRPEGRPEPPDIRPLTMDDFRFALRTVSASVSSGTRNLSELYRWNDLYGEGGSRKKVEFNYYL >LPERR12G12240.3 pep chromosome:Lperr_V1.4:12:13416490:13433223:1 gene:LPERR12G12240 transcript:LPERR12G12240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAKLLSQCSQVGASAMGHLLNDNKVTLPSNQRDAIKDGLKQRIISPDHIEITLENFPYYLSAKTKKFLIRNEFECNDLEELFVKDQLLTDESLDKAVGYALSSHLQHNNPNISNDAKIVLSTESLKHGLNMVQITKKSSNKALKNVAIKDEFEKDILSYVIPPNDIGVHFDDIGALENVKDTLKKLVMVPLQRPELFCKGRLQKPVKGILLFGPPGTGKTLLAKAIATEAGANFINISMSSITSRWFGEGEKYVKAVFSLASKLSPAVIFIDEIDSMLGRRDAEGDRTTMRRIKNEFMVHWDGLRTKAQERVLVLGATNRPFDLDEAVIRRFPRRLMVDFPDALNRERILNVILSEETLAPDVDLKSLANMTDGYSGSDLMNLCVTAAHCPIGEIIEEEDKERNLSRPEGRPEPPDIRPLTMDDFRFALRTVSASVSSGTRNLSELYRWNDLYGEGGSRKKVEFNYYL >LPERR12G12240.4 pep chromosome:Lperr_V1.4:12:13416490:13423122:1 gene:LPERR12G12240 transcript:LPERR12G12240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAKLLSQCSQVGASAMGHLLNDNKVTLPSNQRDAIKDGLKQRIISPDHIEITLENFPYYLSAKTKKFLIRNEFECNDLEELFVKDQLLTDESLDKAVGYALSSHLQHNNPNISNDAKIGVLLFGPPGTGKTLLAKAIATEAGENFINVSMPSVTSMWFREGEYVKAVFALASKISPSVIFIDEIDSMLGMRGTVGEPQYMRRLKK >LPERR12G12250.1 pep chromosome:Lperr_V1.4:12:13433870:13439188:-1 gene:LPERR12G12250 transcript:LPERR12G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVRAVAPVALSGSKSAARAAAVDFFARKVVPLGGEEEGEDGAVRKAVGYLPRYMAMKAPEKSEARAMAVEAIVEVVRAMGEIGMMEGFAGYVVAMSKGKAKGRLLAVDLVLAMLPLVVNSEGDDCGLREGSWGLKFVQVLVERCSDTVGGIRARALTNAAQALDVLSERGVEVDRLQEVMRVGNMGLGELLRRRCIDDKAAVRKAALVLITKAIRLIGRPIDESLLSAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAANLSIDDHSNDVEEVFPKGTLDLLESICDGEVAPCIKKICASLGKKKKLKPRLASSLQNIITISESLWLRSRKPIEQWTAPVGAWWLLSEVSSFAPKSVNWEFLSHHWKLLDNVIQDKGKVSSKGEPNSALWAVDRVSLLQTISNVSTELPLEPAAELAHSLLSRIENFDMHLSEIDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAISIIDDYKKEASEAAKGPKFFTPMSGKLKGRKDASAPKSMSQAVAAVFTVGSVILACPNASVQGVIPLLHTIITSGNSEPGPKNIAGAAISFQEIAPSLYIQSWDTMAKICLVDDKLAKRYIPVFVQELERSDMATLRNNIMVAMADFYVRYTAMRDYVKWRAELFLRFLPSLSESQGRPDRGPTLFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPSICTDNSEMDDEGGDGGSTTAAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKHDAAGKGKGKAAAAAAAEAGPSRASPANGGGGKRMDGSARAKAMSVLKEVNRNTPTPPLSSMSVPKVRSMLGGDGGGALTGSRRPAVLESVRRLEPFGSDDEN >LPERR12G12260.1 pep chromosome:Lperr_V1.4:12:13442278:13447711:-1 gene:LPERR12G12260 transcript:LPERR12G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAAPSGSAWSSSRSASGSAAAAASAPPAPSYDDLFGGSAAPPKSTPSPNLDAIFDSYMEPSAGAPQPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSARFDDVFGGSRAVPPPPPAYDDLLGGFGSKPEVKEVQEEKRKPEPAASSAGGFDDLIPGFGGRVPMRARETVGTKEKNASMSASKPASMASDPFDVLGTTSTSKHTSAGVFTDPLDELGRPAKPQGKKQDNTSVDSGLFEDSRTFNKVPKSEPLFTSELNDDLKDRNGPTKDRDSSPVQNVSRKNTTQQPPAEDFENIFPKSQSARYSDVHVDIGTSGSDKYSRNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGLQARRKDDDYPRWSNQNYNHHRSTSNQVGVSSLDELENFAMGKSQRSAYDNAYPFNEGESEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDEARFNTQDHEERYRQERLEREREMRQREEKERDQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEKEARQRAERAAVQRAQQEARERAAVEARGRAERAAAEAKERATAEAKEKAATQARDRAAAERAAVERAQQEARKRAERAAVERAASEARERQAAEARERQAAAAAAAAAREKQAKPDDLESFFGMGARANSAPKQRAPTADTMFNSQTQNRGAATSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQDIEGETEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHRISETMDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKVCMLSKYIHKP >LPERR12G12270.1 pep chromosome:Lperr_V1.4:12:13458335:13462975:1 gene:LPERR12G12270 transcript:LPERR12G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVLARTSRPAPALAATPSPAALRRPASLSFAAASSRPSGRVALRGGRAAARARVSAGRIVASSVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKDLAQEHYKDLKDKPFFPGLIEYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLIE >LPERR12G12280.1 pep chromosome:Lperr_V1.4:12:13467239:13467862:-1 gene:LPERR12G12280 transcript:LPERR12G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLQILSEILDYGEEKASSFSTEDEDIERQEVDVSEFNGKKYVSSSKQQYDGKLQAALLSLCVMICNTMISADTDLGLLFNKIGTGDGGDHTFNFVRNLKEMVERISSHRTAESLAILKLTTNMVTSMMQHRGSYANDELDSLMVALSNASKALSVIDGSMVFASQQDDGTMTRKTAKTLASLVKEAQELVDEQKKNHFLDIVPA >LPERR12G12290.1 pep chromosome:Lperr_V1.4:12:13469102:13470923:-1 gene:LPERR12G12290 transcript:LPERR12G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEAATFAIVLCPLFLVYMFGLYISAGLSLWRLKQHDYGNTNGDATKANLKPALDLLYSLALAQGVLFGYRFICYSWKRDFAKDVVSEYLFDELAATSVYKYVHDTRIGCEKNPSFAKERNFITYAVDLLQSEGSPENYLNGIRIMDTLIGAPRRKGIVLSKQFKCIDCKWYSFSWEDVQSFIQQNIMIRGLLIHSSSSSHILHKLLLSLDSTSPYDTEIRGRAARIVAHLADGIHLEQFPRGIHCIASLLNTCEEQPLLEPFERDWLLRTFGKSKIHESAHLFILPPSDLEEDCIKKKNKREKEDGDSELVTQGLRILKKLAANEENCRIISNTQGLLSKIMAPVTSDLLHQFDHGAWSDVVRESLRVMRQLVNATGETGTMLLEIISGNKEAMATVERILRCDFCKNSYPQLHKQAIRILIEMDASSTMSTGCRESIVKTLVGIFIDEGNVSGLRQFAGEKLATLCLQSRTSAKIVLQAHDDVIGNLTNILGQVKSKSSIPAAKYTGNFFFFGELADRGNKYRISASNRIHNNHRIIAAQVLEGICIHHSNDNDECLKEVMVDVMHKITKSVNSQCNPWRLKL >LPERR12G12300.1 pep chromosome:Lperr_V1.4:12:13488852:13491755:-1 gene:LPERR12G12300 transcript:LPERR12G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHHIYRDAFRDCSKPVLFQCLVVTTIIFPLFIVYTFGVYISTAISLSRLARHNFNGNSADASGANLGPALHVLYLLALVQGVLHTYGVAFILTRHKVVSRVTEEYAVIKSVHRYAAQIWAKCWQDPSSVRGRNLATHAVELMESASVYDYAFHDGVRIVDALIQRSIYSQHQQQHAVIRQILIRSTSSSHILGKLMEAMSWYDDDGSKDGKDMGERAARIVDHFATDIRLNNLPEGIEYISSMIQLSADTRSKDMLLQGLKILRSLAAHNDNCRVMSDTEGLVSRIMAIVSSDLLHSVHHDAWSSVALASMKLVRRLVTAPGVTGANLRREISSNGQAMTSMESILECNECQPPLRKLAIKILTQLAMDASSSMSVEKLAKSLVRIFTDGRIRKSALEALAMLCAKSKGNAVVILQADRNVVVGVLKELLLHSEEIESRISAAEILTHLRINYTEDDEYLVELKKAINDVIPEVLREVLRSGVTGKEIQKGPEADKDRFSPPGTVDIEGQDSNSINTNSSSRPRNDGQHGDVKLMAAFLYLIATIFEISKAKDLVQLADAVAPGDAAFTFAGKLKEMIERSASLDGYHKNNAHQLRIMKLITKMVISMVRNRGSSYTKEDEDLDRLMEYLCDASRAMYDIDGVMSIAGNDHGAKPPFKTLASLVKEARELWDKKKAQASSVNVNLNT >LPERR12G12310.1 pep chromosome:Lperr_V1.4:12:13502889:13507346:-1 gene:LPERR12G12310 transcript:LPERR12G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRGERLGRRNGERQPLRIVCSIVRVLVLESRIVCRSQYISTTIRDECSVKVVMKLFRGWDCMLFVLPVQGFLESYWEKMVEDLAFHVASFIQMGSSFVTILLGENGCSLDNRLMYGVCNWEAHGVNDDDDKDGKDLGKHAAMIVDHFAGDIRLNKLPGGIEYVSSMLQISTDTPSKDILAIDASSSISVQSREKLAKSLVQIFTSDGSKDISIRKSARQALAPGDAPCQSEGNVVVNYPAGRWKCHFVFAKSMMLYMLSH >LPERR12G12320.1 pep chromosome:Lperr_V1.4:12:13507528:13507935:1 gene:LPERR12G12320 transcript:LPERR12G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWCAATAPSSYLSAWGQQGSAQRVRCDGDSDQMHAVSEQSRRMEKRPRRWIPEKRRKATVADAAWTVAPTRCPRGGGRRRQRISDWAVRPCSGAEDV >LPERR12G12330.1 pep chromosome:Lperr_V1.4:12:13508046:13508303:1 gene:LPERR12G12330 transcript:LPERR12G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCGGEGSGPCDALMLAARWIREVMRWIGDDRVDRRRRRGDVSAVHWIGGGGPLMNKRIAGDRRSRRARGSAGCSGRRRGERG >LPERR12G12340.1 pep chromosome:Lperr_V1.4:12:13508458:13518193:1 gene:LPERR12G12340 transcript:LPERR12G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCEHVSREEWEIDPSKLVIRYAIAQGTLGTVHRGVYDGQDVAVKMLDWGEDAHVSEQEISLLRASFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTKDGHFGMSSNICCVVVEYLAGGTLRSYLIKNSRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTASMLLDKTRTVKIADFGVARVEASNPHDMTGETGTLGYMAPEVLEGLPYNRKCDLYSFGICLWEIYCCDMPYPELSFSDVSSAVVHQNLRPEIPQCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEVIDTSKGGGMIPDQPQGCILCFRRHRGP >LPERR12G12350.1 pep chromosome:Lperr_V1.4:12:13527900:13531627:1 gene:LPERR12G12350 transcript:LPERR12G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHADPIDDSRWEEVRSINSYALFMGYMSMAVKGLGYLVVSWTTVILLGGFVSVLGNKDFWSLSVITLVQTAGVFDVFLNEKLGYIGKSFSGFLWAKGRLGTKSRRRGNDVMSWLYGESYWPRSYHLKDVAVWSVWLLHMLVFGTILCPLALLYMFGLIITAALSVWRLIQRDYVSDPNNSNSANLEPALNVVYCIALLQGVLFSHRFALRFARNQLVRKVVRWYKLDDDMDSKLVMAYLRETKIGCEKNPSFVKGRNLVTYAVGLITKSGSSRDDFIAGARILGTILKNGPKSESHGEMIKQLILSTAAASSSSQVLENLMKTVDSSTTHILLGAETREHAARIVAFLADDLRLEQFPRGIHSIASLLEGPRDDDRNFFPVDYKQLMLHGLDILQKLAAHDGDYLCQAIFDTEGLLAKIMAPLRSGLLHDEDNGSHNGAWDSTVRASLKVMRRLVSAPGEIGKQLRLKISADEETVHNLGIILRCQKCAFCAAAAAASVSVGAYLELEFRASKDLLMLHALDIYTQLHEHTLCNRDKREFLVNNLLSIFTRRSYMRDNNGGMAEVGQMLATLCSHGKENASLILNMQYPVIEFLTEELVRVNYYTNKRFGKNYGTNCSAAKILEQLCIQHIDDGEHLKNLKEALIVMVPKVLVKTLCGSRRTDREMLTAVLSLWVTMSRTLMNAEDLPPLINAISKEATDLISIIGELQSMVKEMEKKYTYVTIVERLKILKLVTEILIQIIKYGNPDAIQDTRRLMQSLHNTAMTFSDIEEAIVISGRSSSRETLGSIVKKAEEELNRREPTHELQVVN >LPERR12G12360.1 pep chromosome:Lperr_V1.4:12:13534317:13542331:-1 gene:LPERR12G12360 transcript:LPERR12G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELDDSEWEEVEDINGYALFMGYLSMAVKGMGVLVVLWTTVVLLGGFVSMLHKKDFWSLTIITLVQTAGVFDVLLNEKLRYVQKSFFGFLGTIFFTVYREDPTDGGWRAPLLYFLLFIQLLVLIVILFPLAVLYLFGLLITTGLSLWRLIERDYGNGDGGANLQPALKVLYSLALFQGVLFCYRSVSYIAGKRLAHVVAKNYGFDANEGRTSVVEYMRQTKIGCEKDPSFTKGRNLVTYAVTLMKSESSSGDYVSGARILDRFVANGELRDHHALIRQLVGSSSSSSQVMQRLLQSLRSTSPLDREVREFAARIVSHLASEINLTTFPHGIRCISSLLETTTSEQQDDDSSPSGHYKELMVHGLVILDKLAAEEHNRRVISSTQGLLSKAMAPVSADLLHRIDHGAWFEIVAASLQLMCRLVTAPGDTGAKLRSQIFKNNDAINTTEKIANCEECSEQQRILAIKILTKLPMETEAPSTDSREKITKLLVAIFLDKKKPEPMRELAGEALAMLSDRSETNATIIFKASDTIVHDLTTMLFSGIREYRISAAKILEHLYVCYTIDDDYLKKLTDTMIDLLPKLLTEILLVKWTTQKEKPAEKTEKGTDRLKFSTPNNDIEGQQDGVASEGNGDINEQNEGINEDVDRKLHAALLSLSAAIFGKSISDDKDFTQLAEKIAQGDPAFSFTSKLKELVKGNSKATANCLRILKITSRMIITLIRLDGVYVEAELESLLDSLSSASKKMMELEGFMMFSSSDPSAIKPVNSLVKEAQDLLKEKKEKQAKNLAATPVTSVNENQLIDSHELLVAIFLDKKKPEPMRQLAGEALAMLSDRSETNATIIFKASDTIVHDLTTMLFSGIREYRISAAKILEHLYVCYTIDDDYLKKLTGAMIDVLPKLLTEILLVKWTMQKEKPAEKAEKGTDRVKFSAPNNDIDKMVLLLKQNEGINEDVDRKLHAALLSLSAAIFGKSISDDKDFTQLADKIAQGDSAFSFTSKLKELTMELEGFMMFSSSDPSAIKPVTSLVKEAQDLLKEKKEKQAKNLAVTSVNENQLIE >LPERR12G12370.1 pep chromosome:Lperr_V1.4:12:13558205:13561028:1 gene:LPERR12G12370 transcript:LPERR12G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKVLIRKRKEGVFDAFLKEKLVNIRYAYRGLVSSVKLTVLADAGGPPQVYVVFDQSCGLACLISALRAAVWRQLRAFCGVLVQIVVSAIQALVFAIVLCPLAALYMVGLYFSAAVSLWRLIEHYIIVTDASADAVDPSKANLKPALDVLYSLALAQGVLFCYKTIFSFSEKKIIKHVSDEYKFSNLARDPLLDYLEDTRIGCEKDVAFAGGKNLIVYAVELMESKSPDKYISGTRILDALISLQKPSWWSNYTRLLEQHRLMKQLLTGKIIDKLVQTLTLRGPYDRQTRVCSARILAHVAGEIHLDELPRGMQCIGSLLVTFQEYKTMETYERDWQLDRHGNLKNKEPTDKDSGYTYKVLVLLGLKIVEKFFFADEDNCRAMNRDTDGGVPTMIMAPVSYNGFHDDHHEE >LPERR12G12380.1 pep chromosome:Lperr_V1.4:12:13583541:13587144:-1 gene:LPERR12G12380 transcript:LPERR12G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSLPVVRSFIESVTEKAVDTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTVLQLSYWQVGVSVYSEGTEAKPSQIAKNGLKESKSKRHKAMMSELKEVKRAVNPTEVLLVVDAMTGQEATSLVSTFNVEIGITGAILTKLDGDSRGGAALSIKELQKPVKLLHSGALRQFEVICEYTGLNIVFLTPQILLDALHHAFLTMSVVNLIIFDECHRACGSHPYARIMKVPHESGEFCPGWVTFSPGAL >LPERR12G12380.2 pep chromosome:Lperr_V1.4:12:13583541:13587144:-1 gene:LPERR12G12380 transcript:LPERR12G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSLPVVRSFIESVTEKAVDTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTVLQLSYWQVGVSVYSEGTEAKPSQIAKNGLKESKSKRHKAMMSELKEVKRAVNPTEVLLVVDAMTGQEATSLVSTFNVEIGITGAILTKLDGDSRGGAALSIKELQKPVKLLHSGALRQFEVICEYTGLNILLDALHHAFLTMSVVNLIIFDECHRACGSHPYARIMKVPHESGEFCPGWVTFSPGAL >LPERR12G12390.1 pep chromosome:Lperr_V1.4:12:13597887:13602937:1 gene:LPERR12G12390 transcript:LPERR12G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNFISTTVLAGDNLLHGIDCKPVADDLEPQLGASLSRGSDKPTHELQRCSYDVGPCIMVDAVKQVGADRRHGFGE >LPERR12G12400.1 pep chromosome:Lperr_V1.4:12:13598409:13600751:-1 gene:LPERR12G12400 transcript:LPERR12G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIIHRVFDVFLNEKLRYVGKSFNGLCGTILGMLFKDDTDYGLSGARLLVAYVLLALQLLMFAVLLCPLAVLYLFGLLITTGLSLWRLIQRDYGMSDGDANLEPALNVLYSLALFQGALFLYRFASRFAGKRLASLVAVSYGFKKDDQAGQAVVMEYMHKTRVGCEKDPSFAKGRNLVRFAVELMKPEAMSSVDYVSGVRILDKLLSQEVDMQEHHIMIRQLVGSSASSNQVMQRLLHSLRSTSPRDRDVRELAARIVGHLADEISITSFPHGIGCISSLLETTTSEEQDDDSAPSAHCKTLMVQGLVILDKLAAEEANRRVITSTQGLLSKAMAPVSADLLHRIDHDAWSDIVAASLQLMCRLVTAPGETGTKLRFQVVSNRLAINTMEKIVTCKDCSGLQRILAIKILTQTQLSMETPLSSSTGIDSRKKITNLLLDIFMNETKDTSMRQLAGEALAMLSDQSESSAAVIFKARDTVVHDLCTMLLDDQMDRVYRISAAEILEHLYIRYTKEDDYLKKMIKDMNDVFKTILLFPPKQGEKKTEKEEKETNGHKLPAPNPDLERGQDPVASQDNENFNEQKDDINKKNVVRKLHAALLSLAVAIFEKLITDDTALAQLAGTIAPGDPAFSFPRKLKEMVEGNSEPTANCLRIMKISSRMIISLIKLNKGNVQAELESLVKSLSNASDKMLVLEGFMMFSSSDHSTMKPFTSLVKEAQDLLEEKKQAQIMATTPALRAQIS >LPERR12G12410.1 pep chromosome:Lperr_V1.4:12:13604266:13604514:-1 gene:LPERR12G12410 transcript:LPERR12G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIDDVDSDWEEVEKINSYALFMGYLSMAVKGMGFLVVLWTTVVLLGGFVSMLGKKDFWSLTVITLVQTAGLDCLLTRYL >LPERR12G12420.1 pep chromosome:Lperr_V1.4:12:13611750:13615967:-1 gene:LPERR12G12420 transcript:LPERR12G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDELKASRPQELMNNRYTNNEIEVLVRIGVYWTLMHGVQWYGNATCSGTIKANFGAPPAIFTTRRVTDDEQAKHQAMPMTSHVHYAAMSSKLDLAFDMLVSMNRRWENRDQYMAHEEKPKTKDGLLIKAKKRDGILGAAPPTSRDVVLSLPTKTPPVLVSPKGPPLLPLPTSNTFAATITCEFKVEVDFVVSLQTERVAKEGDTKQEAAAVTAMESLAIAPAPQLFSSLTILAEQEYLDHEPGLGSSSDATLVLIGGEGVNCELG >LPERR12G12430.1 pep chromosome:Lperr_V1.4:12:13616092:13619719:-1 gene:LPERR12G12430 transcript:LPERR12G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRGAASLGRSLTGFLAQEDPANVLRLFADKARQQGGLGHIDFACALRACRLFRGNGKHWRVVPEIHAKAITCGLGRYRIVGNLLIDLYAKNELLPRARQVFEEISARDNVSWVAMLSGYAQNGLGEEALGLYRQMHRSGVVPTPYVLSSVLSSCTKGGLLVQGRLIHAQGYKQGFCSETFVGNALIMMYLRYGSFGLAERVFRDMPHRDTITFNTLISGYAQCGHGEHALEIFDEMRLSGLRPDPVTISSLLAACASLGDLQKGMQLHSHLFKAGMSLDYITEGSLLDLYVKCGDIETALVIFSSGDRSNVVLWNLMLVAFGQVNDLAKSFQIFCQMQAAGIRPNQFTYPCILRTCTCTGEIGLGEQIHSLSVKTGLESDMYVSGVLVDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHEFCKEALSAFKEMQKCGIWPDNIGLASAISGCAGIKAMRQGLQIHARVYVSGYSADVSIWNALVYLYARCGSSKEAFSSFKETEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKYNVFTFVSALSASANLADIKQGKQIHARVIKTGHTFEIEVANALVSLYGKCGSIEDARMEFSEMSDRNEVSWNTIITSCSQHGRGLEALDLFNQMKKEGTKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSHDHGIRARSDHYACVVDILGRAGQLDRAKKFIEEMPIVADAMIWRTLLSACKVHKNIEVGELAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMKDRGVRKEPGQSWIEVKNVVHAFFVGDQLHPLADQIYNFLTIINDRVAKIGYKQEKYHLFHDKEQEDKDPNALVHSEKLAVTFGLMSLPPCIPLRVIKNLRVCNDCHAWMKFTSEVMGRKIVLRDVYRFHHFNNGSYIQMKNPKERRVLKR >LPERR12G12440.1 pep chromosome:Lperr_V1.4:12:13620813:13621802:-1 gene:LPERR12G12440 transcript:LPERR12G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKPVMLVGIDDSDHSYYALEWTLQHFFAPGQPQQYHLVLLTAKAPASAVIGIAGLGTAELLPTLELDLKRSSTRVIEKAKEMCSKVIDASYEVLEGDARNILCEAVDKHHADVLVVGSHGYGAWKRVVLGSVSDYCSHHAHCTVMIVKRPKHKTHN >LPERR12G12450.1 pep chromosome:Lperr_V1.4:12:13623805:13625617:-1 gene:LPERR12G12450 transcript:LPERR12G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSAAAAAGEGRMTMVVGVDESEHSYYALQWTLRHFFAGGGGQPQYRLAVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIDKAKELCAQVSDALFEVIEGDARNVLCEAVERHQAEMLVVGSHGYGAIKRAVLGSVSDYCSHHAHCTVMIVKKPKHKH >LPERR12G12460.1 pep chromosome:Lperr_V1.4:12:13626008:13631061:1 gene:LPERR12G12460 transcript:LPERR12G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDIGRVCKRAIVHGGQIRTPSSPPTSFHNYRSPTNASTMKAQPLPMPDLSRSSSALGVAPAGLSDESTPHHRVRPSAVQCRRSEECTHQGWRADVIIFPWGVCGIMRQAGELKLMHGSTGHIVN >LPERR12G12460.2 pep chromosome:Lperr_V1.4:12:13626008:13631061:1 gene:LPERR12G12460 transcript:LPERR12G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDIGRVCKRAIVHGGQIRTPSSPPTSFHNYRSPTNASTMKAQPLPMPDLSRSSSALGVAPAGLSDESTPHHRVRPSAVQCRRSEECTHQVKFGRSYLPNTRADVIIFPWGVCGIMRQAGELKLMHGSTGHIVN >LPERR12G12470.1 pep chromosome:Lperr_V1.4:12:13637113:13642742:1 gene:LPERR12G12470 transcript:LPERR12G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETQRYGGGAGAGGDGIGGLSLDLLGQVLDRVREPRDRKSCRLVSRAFARAEAAHRRSLRVLRREPLPRLLRAFPSLERLDLSACASLDDASLSSSLSGIRRVCLARATGVGWRGLEALVAACPRLEAVDLSHCVGAGDREAAAVAAAAGLRELSLEKCLAVTDMGLAKVVVGCTRLERLSIKWCREISDIGIDLLSKKCLELRSLDISYLKVGNESLRSISSLEKLEELSMVCCSCIDDDGLELLGKGSSSLQRIDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMGQSFLSNLAKLKDTLTVLRLDGLEVSSSVLLAIGEGCNNLVEISLSKCNGVTDEGISSLVTRCSQLRVIDLTCCNLLTNNALDSIADNCKMVERLRLESCSSISEKGLEQIATCCPNLKEIDLTDCGVNDAALQHLAKSSELLILKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKILNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLMSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >LPERR12G12480.1 pep chromosome:Lperr_V1.4:12:13638090:13638302:-1 gene:LPERR12G12480 transcript:LPERR12G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGRDWKEEERLVVDLSGDEEEGEDGDEGEVVGDWEERTAARGEEAARQHGKEHQSAIQMKIAATVRL >LPERR12G12490.1 pep chromosome:Lperr_V1.4:12:13645123:13654855:1 gene:LPERR12G12490 transcript:LPERR12G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCGGGGDGSGAAREEEQRGGGGMRRARVYVRAPHGAGALLIVGGAIVGAAVFAWCRRRRDGGGKNDGGEKHKKEEGLDGGVVEDEQADAQKLRQIYEDLSRDDNIEVGINGSDGKATEELYQIHKDDEIVPYESVSEAADKYDHNSVRECTEFTAEVSPSVEKYCHNSDRECAEITANGMHTKSVTENDKNSSKNGVENEVTDIEGVENSDDSTLILSSPDVAHEEHDNHISAAQDTTSAETTATAECMTHREQFSEVKMTETAEVKPAEEIETTPISETAEETETAQLDETAEVKPAEETENLAMAETVELKLTEETVAMAETVEVKLTEAIAMAESTELKLAEETETTAVAQTTEVKMEEENEFEQEEEKTKEELVEPESSPAYSSMPSLLKRTEKKVNSGWNETGMKLEQDFTNGNLKEHELTKGGAISTMVRRTDSMAILALMFAVTIAITIVMRLYARINIYNSWPGSNVIDSASITTLTDLLDLLVFVDQQLPKLGEEGGIVRATPALLSTGAAALPGLTDLAHQLTASAMYSRSGNPNPAAAAAAASFPSFPFPFHFLFFFFPWQLVLAARCCVGLMCEQSRSEKPTYFFVLVFFFLNFFLFSSWSHITWRRRRSVLIFLEPFAMIFFFVKLLYLCKYLGFRLVHQVEKILSQL >LPERR12G12490.2 pep chromosome:Lperr_V1.4:12:13645123:13648813:1 gene:LPERR12G12490 transcript:LPERR12G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCGGGGDGSGAAREEEQRGGGGMRRARVYVRAPHGAGALLIVGGAIVGAAVFAWCRRRRDGGGKNDGGEKHKKEEGLDGGVVEDEQADAQKLRQIYEDLSRDDNIEVGINGSDGKATEELYQIHKDDEIVPYESVSEAADKYDHNSVRECTEFTAEVSPSVEKYCHNSDRECAEITANGMHTKSVTENDKNSSKNGVENEVTDIEGVENSDDSTLILSSPDVAHEEHDNHISAAQDTTSAETTATAECMTHREQFSEVKMTETAEVKPAEEIETTPISETAEETETAQLDETAEVKPAEETENLAMAETVELKLTEETVAMAETVEVKLTEAIAMAESTELKLAEETETTAVAQTTEVKMEEENEFEQEEEKTKEELVEPESSPAYSSMPSLLKRTEKKVNSGWNETGMKLEQDFTNGNLKEHELTKGGAISTMVRRTDSMAILALMFAVTIAITIVMRLYVPSQAT >LPERR12G12500.1 pep chromosome:Lperr_V1.4:12:13653377:13662713:-1 gene:LPERR12G12500 transcript:LPERR12G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGAMNTLLPKLGQLLLDEYNLQKGVKGEIKELEQEMTSMNIALRKVADIPADQLDEQVKTWANDVRELSYDIEDAVDTFMLKGKGHEPATSFLKGLIDRTTNLVKKGKTNHQIHGIIKDIMDQVKMVSERRDRSTVVDVDPRMEVMYRKATELVGISGPKTELTKRLLEHDGSSRQQSNIISIVGFGGLGKTTLANSLLQELKAKFDCHFFVSVSLNPDINRIFKNILLQLDEKKYAHIDEAWEMMQIIGKIRDFLKNRRFLCVIDDVWKESAWETIKLAVQDAKLGSRIIVTTRNKVVAEYAGGGVYELKPLSDDDSRNLFYKRIFDSKDDCPTDLHAVIEKILKKCGGVPLAIITIACLLASKPRHSQEWENVNRSISSGLEDSLDVGKMRKILSLSFNDLPFHLKTCLLSLSKYPEDEMIRKDVLIWSWLAEGFITEETQHAGTSLQEIGESYFSELINRSLIQPMGTPYFSKEDGKVHACKVHDMVLELINLLSAKKLFCTTYLSDGQQACKKKKKKIRRLSLHNSKSSYASLEAIEELSKVRSLSIFGKVDSIPILSSFHVLRVLHLEDCSGLDKNHLYDLGKLRLLRFLRMGQYSATELPESIGKLESLETLDIRANILGIGQSPLVLFPKSFGKLRKLVRLFASRVKLAQGLMLGDMKSLQELVVEPTAEVIKEIGSLKELRTLRIVFSSFETFELLESIYMLLPRLSNLQDMEMTTSIHEEDLTFDMQQIPSGLQRFVCHLNMKALPSWINSSMLSSLTTLSIRLNFECLQPHQLDHLAELPSLSFLKLDLIVGSRRLQQKLTIYRGACAFRSLKHFHFYSGSMVPLFQPGAMPQLERLYLEIRSQLVRGDLNDLGLENLHSLQHVTIYVDSYVQDWPERLGKGKEGKLAAAAAAAGLGFPDLEYMAEAVSWWARSVKPGRAAAPVDKSAGVARTMPYSSEDNKQRPPEGFTSRSLRPSIPISEQQNIHNQELTQR >LPERR12G12500.2 pep chromosome:Lperr_V1.4:12:13649835:13653370:-1 gene:LPERR12G12500 transcript:LPERR12G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATGAMNTLLPKLGQLLVDEYKLQKGVKAEIKELEEELTSMTPALRKVAMIPADQLDEQVKIWASDVRELSYNIEDAVDTFMLKSSKGHRPSTSFKGFIDRTTNLFNKAKTNHQIHGVIKDIMDQVKKVSERRDRCRVDDIAARPAVVDVDPRLEAMYRKVTELVGISGPKNEVTKRLLEHNGSSTKQSNIFKNILLQLDDKKYAHIDESWEMKQLIDNIRDFLKNRRFLCVIDDVWKESAWETIKLVVQDAELGSKIIVTTRNKVAAERASGGVYEMKPLSDDDSRNLFYKRIFDSKDDCPADLHAVTDKILKKCGGVPLAIITTACLLASKPRHSQEWAKVNKSISSGLENNLDVGKMRKILSLRKYPEDELISKDVLIWSWLTEGFITDETRPTRTSLQEIGESYFSELINRSLIQPMDSPLYDLEDGKVHACKVHDMVLELINQLSAEEDFATTYLSDGQQVDKRTFTTQKKKIRRLSLHNNNKSYDSPEAREELSKLRSLAIFGKVDAIPPMSSFHVLRVLQLEDCSRLDKNHLNDLSKLRLLNFLRMGHCSASELPESIGKLVSLETLDIRSARKPGIEKSHEVLFPLSFAKLRKLVRLFAGRVKLAQGLMLGDMKSLQELVVEATPEVINEIGSLKELRTLKITFSTIKTLELVECIHMLLPRFSNLQDLEMTLAYVPHVTFDMPQIPSGLQRFVCHLDMKAFPSWINPSMLSSLTTLSIWLGFEFLQPHQFDQLAELPSLRFLRLDFLARLHQLQKKLTVHRGACAFRSLKHFHFYSGSMVPSFQPGAMPHLERLCLEIRSQLVRGDLNDLGLENLHSLQHVTIYVSHFRDWPERTEAKAVVREALKDYPNQAVLELL >LPERR12G12500.3 pep chromosome:Lperr_V1.4:12:13649835:13653370:-1 gene:LPERR12G12500 transcript:LPERR12G12500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATGAMNTLLPKLGQLLVDEYKLQKGVKAEIKELEEELTSMTPALRKVAMIPADQLDEQVKIWASDVRELSYNIEDAVDTFMLKSSKGHRPSTSFKGFIDRTTNLFNKAKTNHQIHGVIKDIMDQVKKVSERRDRCRVDDIAARPAVVDVDPRLEAMYRKVTELVGISGPKNEVTKRLLEHNGSSTKQSNVISILGFGGLGKTTLANSLLQELKAKFDCHFFVSVSLNPDINKIFKNILLQLDDKKYAHIDESWEMKQLIDNIRDFLKNRRFLCVIDDVWKESAWETIKLVVQDAELGSKIIVTTRNKVAAERASGGVYEMKPLSDDDSRNLFYKRIFDSKDDCPADLHAVTDKILKKCGGVPLAIITTACLLASKPRHSQEWAKVNKSISSGLENNLDVGKMRKILSLRKYPEDELISKDVLIWSWLTEGFITDETRPTRTSLQEIGESYFSELINRSLIQPMDSPLYDLEDGKVHACKVHDMVLELINQLSAEEDFATTYLSDGQQVDKRTFTTQKKKIRRLSLHNNNKSYDSPEAREELSKLRSLAIFGKVDAIPPMSSFHVLRVLQLEDCSRLDKNHLNDLSKLRLLNFLRMGHCSASELPESIGKLVSLETLDIRSARKPGIEKSHEVLFPLSFAKLRKLVRLFAGRVKLAQGLMLGDMKSLQELVVEATPEVINEIGSLKELRTLKITFSTIKTLELVECIHMLLPRFSNLQDLEMTLAYVPHVTFDMPQIPSGLQRFVCHLDMKAFPSWINPSMLSSLTTLSIWLGFEFLQPHQFDQLAELPSLRFLRLDFLARLHQLQKKLTVHRGACAFRSLKHFHFYSGSMVPSFQPGAMPHLERLCLEIRSQLVRGDLNDLGLENLHSLQHVTIYVSHFRDWPERTEAKAVVREALKDYPNQAVLELL >LPERR12G12510.1 pep chromosome:Lperr_V1.4:12:13667169:13670833:-1 gene:LPERR12G12510 transcript:LPERR12G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITTGAMNTLLPKLGQLLLDEYKLQKGVKGEIKELEEELMSMTTALRKVAAIPIDQLDEQVKIWASDVRELSYDIEDAVDSFMLKSNKGHRPATSFKGFIHRTTNLFNRAKTNHQIHGIIKDIMDQVKKVSERRDRYRVDDIAARPTVVDVDPRLEAMYRKATELVGINGPKHELTKRLLEHNGSSRKQLKIISILGFGGLGKTTLANSLFQDLKAKFDCHFFVSVSLNPDIKRIFKNILLQLDETKYTQIDEAWEMNQIIDKIRDFLRTRRLLCVIDDVWKESSWETIKLAVQDAHERSRIIVTTRNKAVAEHAGGGIYELKPLSDYDSKHLFYKRIFDSKDDCPSDLCDVTQKILKKCGGVPLAIITTACLLASKPRHSQEWETVNKSIGFELGDSLDVGKMRKILSLSYNDLPFHLKTCLMSLCKYPEDELIRKDVLIWSWLAEGFITAETLPAGTSLQEIGESYFIDLINRSLIQPMKNPHYNPEDGKVHACKVHDMVLELINQLSVEENFATTYLSDGQQVGKRTCTTHKKKIRRLSLHNSNKSYASLEAREELSKVRSLTVFGKVDSVPPLSSFHVLRVLQLEDCSGLDKNQIGDIGKLRYLRFLRLGYYSATELPESIGNLESLETLDIRGPEVPTRESTFLHRWREYVEVLLPVSFFKLRKLVRLFAGRVKMAEGLMLGDMKSLQELELVASPEVIKEIGNLKELRTLRIIFWRAKKRELVESLLMSLPRLTDFQDLEIIDNFSGIIDTQQIPFGLQRFLCSLGMQAFPSWINSSMLSCLTTLSIRLCFNYLQPHHLDRLAELPSLRFLRLHFLFNLQQIKPKLMTIHRGACVFRSLKYFHLHSLMIPSFQPGAMPQLERLCLHIWSHLVRGDLNDFGLENLHSLRHVTIDISNGMDEAKHKETKAVVKEALKDYPNQAVLELVDHQEVIKDCELKALVKVEGFKVAGFFP >LPERR12G12520.1 pep chromosome:Lperr_V1.4:12:13671320:13671595:-1 gene:LPERR12G12520 transcript:LPERR12G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRCPLKSEENNTPMFDSDPLVSSQRTHTDTHTARRARDDEAVNPRPIVSRATTDDHVAALLPVVVASAAYGHLPRQHQEFLIIFPHGAN >LPERR12G12530.1 pep chromosome:Lperr_V1.4:12:13678767:13685250:1 gene:LPERR12G12530 transcript:LPERR12G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAATSSSSYSDTSGSSSNSSSSSGSDRRRRRHRSSSHRKEAGKSSSSALKVRKDRSSSSSYDSDHEGKSRKHKKSSRSRKSRDRERSKDRHSKRDKSKHKEFLGRDKDEGVQRSAISGKKIMMKLDKSKEDKQAESKRNELLKFLNASYD >LPERR12G12540.1 pep chromosome:Lperr_V1.4:12:13682238:13685343:-1 gene:LPERR12G12540 transcript:LPERR12G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHQLLPKPLPPFPTFVAILRDAFFYSSTSFPPFLSHLFRRERDPRFGLAVHPRHVSFDTSIHPSIHPSLLLPQPSRLHHNNLRRPPPLKKKKTSTEAHSSAAAAARRAPDMEMGEGITAKYQRDSPIRAIGFESSSSIQTVAEVGWEATAAPAPQSVVHNAENVAGKREASAPEKKECDYRNGKWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTSEDDHSQVEDVGKNYGLVIARHAKRPDGWAYRFRRTNTTILYYWSATLCDLEPLRKSNPAAGYAMHLDRPPAFLQKNIHRFHVLVLNTGHHWNRGKMKANKWEMYVTRAPSHDRDIAVIWKSKNFTIHSVVRWLDDQLPSHPHLKVFYRSLSPRHFFNGEWNTGGTCDSINPLAKGNSVFQNHSDDAEAEGAVRGTRIKLLDITALSRLRDEGHISRYSIRGREGVQDCLHWCLPGVPDTWNEILAAQL >LPERR12G12540.2 pep chromosome:Lperr_V1.4:12:13682240:13684663:-1 gene:LPERR12G12540 transcript:LPERR12G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVKVPSLGRMLLTWKWEKGSLQNTSEILRSEPLALSHPVQSKYTDHNIFKEEIFSSSDSLVQTVAEVGWEATAAPAPQSVVHNAENVAGKREASAPEKKECDYRNGKWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTSEDDHSQVEDVGKNYGLVIARHAKRPDGWAYRFRRTNTTILYYWSATLCDLEPLRKSNPAAGYAMHLDRPPAFLQKNIHRFHVLVLNTGHHWNRGKMKANKWEMYVTRAPSHDRDIAVIWKSKNFTIHSVVRWLDDQLPSHPHLKVFYRSLSPRHFFNGEWNTGGTCDSINPLAKGNSVFQNHSDDAEAEGAVRGTRIKLLDITALSRLRDEGHISRYSIRGREGVQDCLHWCLPGVPDTWNEILAAQL >LPERR12G12540.3 pep chromosome:Lperr_V1.4:12:13682238:13685343:-1 gene:LPERR12G12540 transcript:LPERR12G12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHQLLPKPLPPFPTFVAILRDAFFYSSTSFPPFLSHLFRRERDPRFGLAVHPRHVSFDTSIHPSIHPSLLLPQPSRLHHNNLRRPPPLKKKKTSTEAHSSAAAAARRFKQLKLVCLALFVVLLTWKWEKGSLQNTSEILRSEPLALSHPVQSKYTDHNIFKEEIFSSSDSLVQTVAEVGWEATAAPAPQSVVHNAENVAGKREASAPEKKECDYRNGKWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTSEDDHSQVEDVGKNYGLVIARHAKRPDGWAYRFRRTNTTILYYWSATLCDLEPLRKSNPAAGYAMHLDRPPAFLQKNIHRFHVLVLNTGHHWNRGKMKANKWEMYVTRAPSHDRDIAVIWKSKNFTIHSVVRWLDDQLPSHPHLKVFYRSLSPRHFFNGEWNTGGTCDSINPLAKGNSVFQNHSDDAEAEGAVRGTRIKLLDITALSRLRDEGHISRYSIRGREGVQDCLHWCLPGVPDTWNEILAAQL >LPERR12G12550.1 pep chromosome:Lperr_V1.4:12:13689573:13698145:-1 gene:LPERR12G12550 transcript:LPERR12G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVIAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKKVYARLESDFNTRRRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAALLNPGCKALTQIVQDDVFREFEFRQYIFACQSKFLFKLHRPIEVAARGYAFVVSFSKTLALQEFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNLSELYDSGLDANSKHSPNKSASNYMTRTMSGPVTSESSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESALFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNLAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLKLSASSSVDEGLKAIKSSDARVLVPGRNIITFNIPPQKPGSYLLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFENPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLTIEESQMIEIETYGGDLEHVGCTDASRTSSSSTDTRQVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTVARGASPASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQSQVKATVHVKDVWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSGIGDLDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEDLLFKIAVKLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMRWRVERLKNPEDASLFADEILYQVDANPQNWMVAGRKCGHVSLSNTQGSRIEITVTCVPLVSGYVHPPQLGLPDVGEANISCNPAGPHLVCVLPPTLSTSYCVPA >LPERR12G12560.1 pep chromosome:Lperr_V1.4:12:13700366:13705530:-1 gene:LPERR12G12560 transcript:LPERR12G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEGMATAAGTAVLVYLVLSGRLCGDAAGEEDGTISSAVAAAVEARRRRKEEARERREQRRSRRRRRWPERAPGGWGEAAAVAARTVRETYGETLGKWPLGDIAFGIKYYMRLQGNLQHEYAGSSSQLLEGPGVKEEMISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVAQDGRVSKLVLGQAHCGMVVAARWIAKQAIPCLNEAVEQFPDYGVKIIGHSMGAGIAAILTYILRENQKLSSSTCLAFGPAACMTWDLAESGKDFITAIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLKPNSSKAKVKSSSNSHDVAKKRATLACWSCVAAHKQSIDSAKRSTHEMPNQTDVDVNDEKTDSQVAKVVSISIEELDLGDSDNDDDTDRRENEPAVYGKDADQAMELLETLTNKPHESSSSSKYQEPSQLYPPGRILHMVGLPSVEPNRTSEQGDREEVLALYETPRHLYSKIRLAKSMIREHYMPKYIRTMELLIDKFAEKEEDIDNDQLGSL >LPERR12G12570.1 pep chromosome:Lperr_V1.4:12:13722083:13726472:1 gene:LPERR12G12570 transcript:LPERR12G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVVVSLMVVVAAAAAIGEAASPAARCAAREEGRRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGTIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSDDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIKSLLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPTSDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSKGILFKTAPGRGGYIRDVVVSDVQMEDVHVAIKLTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGISGDPFTNICLSNINFSIADSTESTSWSCSNISGYSELVFPEPCADLHHPSSNSSICFQLLSYHALAAA >LPERR12G12580.1 pep chromosome:Lperr_V1.4:12:13742579:13749595:1 gene:LPERR12G12580 transcript:LPERR12G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSCGMAPACVSDEHAVAVSAERLWKVFMDASAMPKVCAALVNDIAVEGDGTPGTIYTMKLNSGSIYKTRVAVCDSATHVLKSDVLEVESKVEKLKSHSIETKLETNGDCSCVAKLKVEYELEDGSSLVPEKEKMLIDGYYGMLKMVEEYLVAHPMAPATVSSEQAVAASAERLWKALLDVPAMPKICAGFIDGVEVEGNGEPGTIHTLKLNPVAGVGSSFKTKLVACDNATLLVKSEVLEAESKVGKLKSHFKETKVESTGDNSCMVKLKVEYELEDGSSLSPEKEKMFAEGYFGVLKMIEAYLIAHPEEYA >LPERR12G12580.2 pep chromosome:Lperr_V1.4:12:13731445:13742840:1 gene:LPERR12G12580 transcript:LPERR12G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISVVEVTGRPLMAPSCISDERAVAVSAERFWKVFSDPPSWPKVCAGFFDAIEVEGDGGPGTINILKFNPAIKNGSYKTRVVARDNTAHFLKSEVLDVPIGRAGKLKSQLTETNLEATGASSCMVKLKVEYEREDGSLFSPEQEKIVLDGYFGMYKMIEDYLIAHPAEYLKVAARSPPMAPVCISDERAVAVSVERMWNVFSDRPAMPKICAGLVDAIEVEGDGGPGTISTMTLNPAAEGGGLYKTRVVACDNTAHVLKLEVLDVPVGKVDKLKSHSTQTKLEAVSANSCVAKVTVEYELEDGGSLSPEKEKIILDGYYGMLKMIEGYLVAHPNEYA >LPERR12G12580.3 pep chromosome:Lperr_V1.4:12:13730176:13731593:1 gene:LPERR12G12580 transcript:LPERR12G12580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSISDERAVAVSVERVWNVFLDPSSLPKVCAGLVDAVDVEGDGGPGTVTTMTLNPAANGGGSYKTRVVARDNAAHVVKSEVLDVPDGKVGKLKSHSTETKIEATGTGSCLAKLNVEYETEEGGSLSPEKEKAILDGYFGMLKMIEDYLVAHPTEYA >LPERR12G12590.1 pep chromosome:Lperr_V1.4:12:13750997:13752220:1 gene:LPERR12G12590 transcript:LPERR12G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCVSDEHAVAVSAERLWKVFMDASAMPKVCAGFVDDIEVEGDGSPGTIYTMKLNPGAGVGSTYKTRVAVCDSATHVLKSDVLEVESKVGKLKSHSTETKLEGTDSGSCVAKIKVEYELEDGSSLSPEKEKTIVDGYYGMLKMIEDYLVAHPAEYA >LPERR12G12600.1 pep chromosome:Lperr_V1.4:12:13754755:13757635:1 gene:LPERR12G12600 transcript:LPERR12G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVCDEHAVAVSAERLWKAFMDASAMPKVCAGFVDDIEVEGDGSPGTIYTMKLNPNAGVGSTYKTRVVVCDGATHVLKSEVLDVESKVGKLKSHSTETNLEATGSDSCLAKLKVEYELEDGSSLSPEKEKTIIDGYYGMLKMFVDYLIAHPAEYA >LPERR12G12610.1 pep chromosome:Lperr_V1.4:12:13759571:13763659:-1 gene:LPERR12G12610 transcript:LPERR12G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGTPVTVAVSPVRSPGDGVVRRGTPRHSIGSSTATLQVSPVRRSGGSRYAADESAEFVHYTVHIPPTPERAASVAASESATAAAEEVLRQQRSYISGTIFTGGLNLATRGHVLNFSDDKTAAAAAKSGNMSCKMRGCDMPALLNSGRPPCDCGFMICKECYLECLAGAGNCPGCKDPFSSASGDDTDGDSAAATDDEEEAISSSEERDHLPLTSMARKFSVVHSMKIPGGGGAGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHGHGGGAGAGFVGVDEPPNFGARCRRPLTRKTSVSQAILSPYRLLILIRMVALGFFLTWRIRHPNPEAIWLWAMSVTCEIWFAFSWLLDSLPKLCPVHRAADLAVLSDRFESPTSRNPKGRSDLPGIDVFVTSADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEAMAETASFARVWVPFCRKHGVEPRSPEAYFGMRRDFTKNKVRVDFVKERRKVKREYDEFKVSINSLPESIRRRSDAYNAGEEIRARRRQQEDGEAAAEEIAAAVKATWMSDGSQWPGTWTTAAADHSRGDHAGIIQAMLAPPTSDPVLGGDDGGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGIDPSDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHRGWLGRRKIKLFLTKKKSMGKKSDKMMDNDTEIMLPPIEDDDCGVTAGGDIESSSTAMLPRRFGGSATFVASIPVAEYQGRLLQDTPGVHHGRPAGALAVPREPLDAATVAEAISVVSCFYEEKTEWGKRIGWIYGSVTEDVVTGYRMHNRGWRSVYCCTSRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAVFASPRMKFLQRVAYFNAGMYPFTSLFLIVYCLLPAVSLFSGKFIVQRLSATFLVFLLVITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVMQGLLKVIAGVDVSFTLTSKNSNSGDVGGEEGNEEDAFAELYEVRWSFLMVPPVTIMMVNAVAIAVASARTLYSEFPQWSKLLGGVFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIDGGGGFSFP >LPERR12G12620.1 pep chromosome:Lperr_V1.4:12:13766535:13770714:1 gene:LPERR12G12620 transcript:LPERR12G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAWERLTADSRLQDALIMLEAAEGSGDEDDEEEESGACAEVPCPFCDEEFDGFGLCCHIQEEHQEENRAGVCPICYDGVGMDLVGHITSQHPSLFKGKWKSRRASHASHSSTRATLKKDARQSLYHYGGSLRAASHNTEPDPLLSSFVGNFTGIDLPKDVQQELSDGTDKKCDSLEQKPVESDDEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >LPERR12G12630.1 pep chromosome:Lperr_V1.4:12:13771332:13776387:1 gene:LPERR12G12630 transcript:LPERR12G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRPEEEEEGQQAVLKKRCRSFDLEIRGCRHLQELAASCVHRIEAALESAISRIPEEVTKALTSFLIHAPSFCRTLADQTQPPRYKLRFTSGLTNEVFTKNGICDINGEPLKISVDVKNPQEAGLHHLISAKIRVVVLDGDFNKNDQGCWTSEEFIKHIVQPRDKVGAVLTGELELRLKNGEAYIHDATFVDNSKFMRSGKFRLGAMVIDDLGERVQEGITEPFVVKDRRGEGYKKHEIPSLDDDVWRLKKISKDGVFHDALKGSGISNVKDFLRSYYMDEQALRKILIKATDKIWTTIVDHAKKCDPGRELYSSVVESHNIVLFFNSVYQIVWVKQGGHYIPFSDLDKTVQDQVGQLSKSAHENMSNLVPISQCDFQEMRMLEPKFSDQMQGHMDPNLAGFIQGNIDQEMRNCHEASDQQGNSCGHPRLCKLTRIGSVRVTRLASMTKNDEDSFDISVLLNSGPELYGANTDTNDIAGSVTIHCPSTSANEITGSVVVRQASFKMDPACDIPHFPYNGASVPQFYQEQQMLEFDASFCSELADAPMNSTHNSFQESEYHETLPDLPED >LPERR12G12640.1 pep chromosome:Lperr_V1.4:12:13781535:13786365:1 gene:LPERR12G12640 transcript:LPERR12G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRELILARRGSEKRLRVAVPGASAAAGGGGGTAIASPGTKLLRKIVLVMLFLLRMSEKVTVVESISQIGRMRLHNVHGVIIRKLETMQERMENMQEKMDGISHEVKQLKHLHYNHHAGQHLGLQPNQEYIATGSNTNLQLRFLNSPKLPIYTEKDITDESNEAIRIGIFEGENMIQDGPLSKVKVELVVLRGDFFKNGRVNWTEEEFNNHIAQGRNGRGFVLGGDCSVWLKNGESCFGRFRFKEGSSRTCTRMFIIGARVSKSENTGVRVQEALMKPVTVLDRRNEANEKRYPPNLDDEVFRLEEISKDGTYHKRLKRDKIFKVRDFLKAMNKNAKKLREEVLQMKRKTNSWNKMVGHARECCLRDQHELKAYKNEEENVMLFFNCVHQLVGAAFGGDYVVYDNFDSAQKTIRKRNVVVAQMLVNKLKERAHAELEEISSDYVLKNNIPEPVSSTSANAACPLNPFDHEMLHQAENLCNAGTFYSDVICGSTSNPHDYQDQAAMPLPDWQQNLQRMINSADTIDWSSFERIVLDGSPQAVSSVQHQIHQLDESMPSATLAWTVASQSHVQQGQEPSRSPFPGSGHNNNC >LPERR12G12650.1 pep chromosome:Lperr_V1.4:12:13786682:13789733:1 gene:LPERR12G12650 transcript:LPERR12G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATGGFRGRMEHYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSSSKE >LPERR12G12660.1 pep chromosome:Lperr_V1.4:12:13793588:13798721:1 gene:LPERR12G12660 transcript:LPERR12G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRPRLTLPTGGAAEEPPAKRPRRPPASAAAEGIPSPRRSLLRQGVLVVVFLIRACRKDAADDDGGVSQIGLVVRNELCRYLGPILSGFSTRFSKLESKVESRLESMGQRIEDLNYKVDQITPLRPSQCNCNHQKPMHEAEKERTSAEGLVTNEGEAQSKSLRLRFLNKMKLPVYHDDEIKAENNKAIRIGIFNGEQMIKSGPLSNVKLEILALEGNFSGGSMGSWTSEEFNEHRACTRDGRDNVLAGECTVHLINGEACLGAIKFREGSCRARKGKFILAARVCDGERIGVQVQEAVMVPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCSRLADNGIETVENFLKALNKDPDNLANILRIKKESKAWEKMVTHARECSLVGKNELKSYYDMQTNMVLIFNCVHSLVGAFFDGNYIPSDRLNSAQKIRVNKLKGEAYQLLDVLPFSYIMEGGFPMRSPMNTNDGDHHAAYHGTEAVEGLDHAQIEPSSANTNYEHNHQDQSTGQFGKEQFCSSIVADWRQGSFAQPSSSHQTNHVYPEAEVNYTNQTICGAAFDYQFQEAPNGTSEAALGSINFYSNQYHISTTAAACIQWCSRIIKSSEHAEPGASCSNIAQQLALRAINFYSRQSSTATAMAASKSIPGKQLLTRQKSSRIKNVNIEVNTNGFT >LPERR12G12660.2 pep chromosome:Lperr_V1.4:12:13793588:13798721:1 gene:LPERR12G12660 transcript:LPERR12G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRPRLTLPTGGAAEEPPAKRPRRPPASAAAEGIPSPRRSLLRQGVLVVVFLIRACRKDAADDDGGVSQIGLVVRNELCRYLGPILSGFSTRFSKLESKVESRLESMGQRIEDLNYKVDQITPLRPSQCNCNHQKPMHEAEKERTSAEGLVTNEGEAQSKSLRLRFLNKMKLPVYHDDEIKAENNKAIRIGIFNGEQMIKSGPLSNVKLEILALEGNFSGGSMGSWTSEEFNEHRACTRDGRDNVLAGECTVHLINGEACLGAIKFREGSCRARKGKFILAARVCDGERIGVQVQEAVMVPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCSRLADNGIETVENFLKALNKDPDNLANILRIKKESKAWEKMVTHARECSLVGKNELKSYYDMQTNMVLIFNCVHSLVGAFFDGNYIPSDRLNSAQKIRVNKLKGEAYQLLDVLPFSYIMEGGFPMRSPMNTNDGDHHAAYHDHVLYNDLILGSVCQFKALKQLKAWTMLRLSHHLRTQTMNITIKVYPEAEVNYTNQTICGAAFDYQFQEAPNGTSEAALGSINFYSNQYHISTTAAACIQWCSRIIKSSEHAEPGASCSNIAQQLALRAINFYSRQSSTATAMAASKSIPGKQLLTRQKSSRIKNVNIEVNTNGFT >LPERR12G12660.3 pep chromosome:Lperr_V1.4:12:13793588:13800242:1 gene:LPERR12G12660 transcript:LPERR12G12660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRPRLTLPTGGAAEEPPAKRPRRPPASAAAEGIPSPRRSLLRQGVLVVVFLIRACRKDAADDDGGVSQIGLVVRNELCRYLGPILSGFSTRFSKLESKVESRLESMGQRIEDLNYKVDQITPLRPSQCNCNHQKPMHEAEKERTSAEGLVTNEGEAQSKSLRLRFLNKMKLPVYHDDEIKAENNKAIRIGIFNGEQMIKSGPLSNVKLEILALEGNFSGGSMGSWTSEEFNEHRACTRDGRDNVLAGECTVHLINGEACLGAIKFREGSCRARKGKFILAARVCDGERIGVQVQEAVMVPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCSRLADNGIETVENFLKALNKDPDNLANILRIKKESKAWEKMVTHARECSLVGKNELKSYYDMQTNMVLIFNCVHSLVGAFFDGNYIPSDRLNSAQKIRVNKLKGEAYQLLDVLPFSYIMEGGFPMRSPMNTNDGDHHAAYHDHVLYNDLILGSVCQFKALKQLKAWTMLRLSHHLRTQTMNITIKVYPEAEVNYTNQTICGAAFDYQFQEAPRFDQMELQRQRLEASTSTQTNTTFPPQQQLAFSGAPGSSNQVNMQSQGQAAAILPSNWPSEPSTSTQGNLPLQQQWLPQNQYQGNNF >LPERR12G12670.1 pep chromosome:Lperr_V1.4:12:13799018:13804854:-1 gene:LPERR12G12670 transcript:LPERR12G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLARSAGPPDLLDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATSTSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSKTPTRVVMYMPEVSKTHMGNTMRLGCRRTFFRRTDCLTSKLSPHVDERHRHRYEVNPAYVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTDGGR >LPERR12G12670.2 pep chromosome:Lperr_V1.4:12:13799018:13804687:-1 gene:LPERR12G12670 transcript:LPERR12G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSRPAPAPAAEEEAERSPPAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLARSAGPPDLLDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATSTRGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSKTPTRVVMYMPEVSKTHMGNTMRLGCRRTFFRRTDCLTSKLSPHVDERHRHRYEVNPAYVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTDGGR >LPERR12G12670.3 pep chromosome:Lperr_V1.4:12:13799018:13804687:-1 gene:LPERR12G12670 transcript:LPERR12G12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSRPAPAPAAEEEAERSPPAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLARSAGPPDLLDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATSTSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSKTPTRVVMYMPEVNPAYVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTDGGR >LPERR12G12670.4 pep chromosome:Lperr_V1.4:12:13799018:13804687:-1 gene:LPERR12G12670 transcript:LPERR12G12670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSRPAPAPAAEEEAERSPPAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLARSAGPPDLLDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATSTRGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSKTPTRVVMYMPEVNPAYVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTDGGR >LPERR12G12680.1 pep chromosome:Lperr_V1.4:12:13811573:13812445:1 gene:LPERR12G12680 transcript:LPERR12G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAADSGELLAAAGGGESACSTPFVSAPSSPACDAAAAGFPSGGAGFFSAPASPAHHGGAGAGEADFDFDFSSRFPSPAHAAMSSADELFHNGQIRPMRLASLLLRPQPPAIPTDDDPPPPPPAAADQRGGRLRSRSVHRRSRSHSPFRAWLSPPSPSPSPEASASRSSSSSSTASSGSWSSSSRSSRKWRFLKDLLHRSSSDGGGKNPPLPTPPPAATSKASLFSPPAAAAAVRGRRRSAHERLYAARRAEAEEMRRRTFLPYQQGLLFGCLGLRSGAVAAAGKFRS >LPERR12G12690.1 pep chromosome:Lperr_V1.4:12:13817965:13819599:-1 gene:LPERR12G12690 transcript:LPERR12G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHASSRATEEPGIGHLSLNKQGYCGLPRAATPGGMTTSGIRLIEEISPKRRKTPSLGCAKLLETDEDKNPSYGGYGSGSTICVNTVPGEDSAWPRSSNWSGYEKTSMLNNSTDQNGNLDS >LPERR12G12700.1 pep chromosome:Lperr_V1.4:12:13821873:13824143:-1 gene:LPERR12G12700 transcript:LPERR12G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGAHSGSGSVSGAATAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKMFPAYFMLISVCAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLEM >LPERR12G12710.1 pep chromosome:Lperr_V1.4:12:13824945:13837199:-1 gene:LPERR12G12710 transcript:LPERR12G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSAEEELTAQEMALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLYANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSQISGEYLEKFDIIVVSCASIKTKLLINDHCRKRTKHIAFYTIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELTYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYELSEGRSAFEASLSDLPAVLALRKEMCDKMSLSESQIPTSLLERLLAAGMKQHPPVSAILGGILGQEVVKAISGKGDPIKNFFYYDAADGVIIIGTTRIGHTVLQAKERRAMRPITLLPRGKGIEGYCFQQGTKFRGDRLQLTGKFNYLTLLYLATPQMPLLGLYL >LPERR12G12720.1 pep chromosome:Lperr_V1.4:12:13841405:13845575:-1 gene:LPERR12G12720 transcript:LPERR12G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRALPNLLRSGANRRRRRHQIPPKSNFSTKSATNPSHTTAAADPALAASILSDDHHWFRRLNAEFAAALPLLGPRFVVRALLSTDPPLHCVRLYVWASRFGAHFARDGAVRRALVDALWRRGPVVLSPRLVAEVRGCGCEISEELLCVFIESWGKLGLAGYAHEVFVQMPRLGLRTSTPVYNALIAASVRSGAVDAAYLRFQQMPADGCRPDCFTYNSLVHGVCRRGIVDEAVRLVRQMEGEGIRPNVFTYTMLVDGFCNAGRVEDAVRVLDRMKEKSVAPSEATYRTMVHGVFRCLGGDKAYKMLSEWLGCGPSVHPSACQTLLYCLSKNAMEKEAVEFVKKMSARGYFFDSTTFSIVMSCGLKCLEVSDLCELLDAFIKNGGNPGFDVYIMIIKTLLRCKNFSKANHYLGHMVLKGLLSSVTSYNMVIDCLVKAGALDKAEEFVKEMQVKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCHTHQLDDAFVCFDEMAEWGVQPTAQTYNVLMHALCSVGHVNKAVDLLNKMKIDGITPDAYSFNALILSFCRMRKVDKAQSIFNAMVKFGVVPDSYTYNSLIKALCAERRVNEAKELLHARECSSCSTSNNQLYWPVVCALTKVGRFSEAGDLMNKSFSRNTQSSCGSKQIIESEVAVQWSETRQQLLLIVTVFALLAGATALGPSSPIADAMLTAVKAAHLLCFSTFWGVTIWAIIIGGIIMFKMRGKVFPVCFTVNVACTVTSAAAFAWLNCPWPAAVAPLAECRQLVVLLAAAGFDLANLLIFTPWTLEVSDY >LPERR12G12730.1 pep chromosome:Lperr_V1.4:12:13847739:13848759:1 gene:LPERR12G12730 transcript:LPERR12G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRGAVSLGRSLAWFLAQERSTQRPAPLRGQGKAARATGAHSLNPPSNVVMSKQPVVLIDMYSKYGWLEKARRFLEMLKEKDMVSSTCMIAGHVHHEYCKEDLAAFKETHKCVKYNVFTFVSALSDSASKCGSIEDSRWTFLKCPRDTRSPGILLLQASHNIDVIWRL >LPERR12G12740.1 pep chromosome:Lperr_V1.4:12:13848774:13853654:1 gene:LPERR12G12740 transcript:LPERR12G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDCIEPNEVTFEAICVLWRKALVTSNLSHEHGIHPRSDHYACVVDIFEQTGKLDRAKKLLRKSNSLHNPDAMVHYVLLSNAYAVTGSGSIGIRSAASVGCSVPPASVLIRVSSPLDPQGIITLDTYHVVTPSPVSVCASSPFDPQQSISLSTYSDISASAAQDECCNLTSAATPA >LPERR12G12750.1 pep chromosome:Lperr_V1.4:12:13849910:13853460:-1 gene:LPERR12G12750 transcript:LPERR12G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDGLHSVANSSLEQTSVMGEAFTIGLGRPNGKTAYFWESLPSFNTYLLDLANAQLETDHSNADVAISVTLRFASAILFVLYSVILYPVGAVYLYGLFFSPVLALTRLAQHDYGNGDADSSKANLKPALILLYCLAMLQGIIFLLGASSTILERIMVNVLIKYYELEEEWGYKSIQEYIVRTRNRCFKDPQSAKGRNLITFAVGLLESESPEKFHSGVWMMDRLIKKHKECFKELTEKQTQHLEELTEEQKQLYLGKLKECQLHVKLNRVSKSSFNISDWQIARFKSSNQEKLFTRMRDMFIEQKDLKTKLIESASSKNIIKTLLQKLGQRRTGNVGTRECIARIVLYVAGEIHLEQFPREIQCISSLLETSERDWRHHKAEAPTLSPAQSSSEPQEGESTNAAGGASITTQCRELILQGLYIIKKLTKNDGNCIVISNNKCLLSRIMFPVSSDLLHLIDHAMWHDLVMGSLKVMCRLMVAPKETGSKLRAVIASNREAISSMKGMLSCDTCGLKLKKVAMEILTQLFMDTSLKMDPASKGSFTEMLLHMFNGASKDSSRKLAGEALARVSLTSESLAMIILQVNNYVVDNLIKTIRQEKNRKYRIIAAKILEGLCIHYNKNDDYRKKLEKAMIEVMPEVLREILCCGSKGEEAQTETGDGVTTDVENQNSNSEGNRRNNSTSYSQQNDEKPEDGKLQGTLLSVITKVSRVIIPCGSRGEETRIRTEAGGTEHPTEAADLENQKGISEDNERNSISSSQKNDGKPGDVKLQAALLSLVEKVLNNLVSEDQILGIQLDPLGPGDTALSFVDMLKEIVKKNSQPTPNCLRILKLTSKIVISMMRHGSCYLQEDLEGLMESLSRASKLMVELDVFMLFSSGDDAETKPLQTFTSLVKEAKQLVDNRKDELPSTSMLYQEIQTE >LPERR12G12760.1 pep chromosome:Lperr_V1.4:12:13855721:13856029:-1 gene:LPERR12G12760 transcript:LPERR12G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVDPPSNSSKSSSREKSLLRIYREFASGEHLELKRSRRPEVRLVNRYAVMWGYQMLAVRAIGYLVLVWCTVVLLGGFVTLLAKKDFWCLAAITVIQSAG >LPERR12G12770.1 pep chromosome:Lperr_V1.4:12:13864115:13869103:1 gene:LPERR12G12770 transcript:LPERR12G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTGASAPSTSGGAGSGSDTSSYTRRLSRVVLGVTGWVVGDLLIDLYAKNGLVLRARQVFKESGAHGNILEPASAAADVMELMSSKQSKLINRLLDGVVTVAAIEDVYQHPFPKTHPRITE >LPERR12G12780.1 pep chromosome:Lperr_V1.4:12:13866535:13871329:-1 gene:LPERR12G12780 transcript:LPERR12G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFTKDEMFLGQIHQVQGMILRLPGWNTVELLKAGRLFEDCNGASAGTACNMDDDGDSSGTDCIVEAFFRLCAILAFILTVMSLFMLLPLILLYVLGLYLSATLSLWRLIQRDYVGATTNGSTTNLTPALIVVYSLTVIQCVIVIFKIALATTEETIAIDTARRMRFDCQAFASVRGHIRETKIGCEKDPSFADERNLVTFGLELIESRSHDNFLSGVRIIGGILRRPLPEPGELRNIHQLEMPAMVLGAASADETASGRVPILQNCEAYKRDQKLPGLYERDWLLETYERDWLLENHELSWFQDMSLAIFKRWENPVESWKKSSDDKQNDGEEDGDDALTSCTELVLQGLVIFQKLAGDEGNCRMIYNTQDSVGIQAIGEKDQAPLACSIVKRSLQVMCNLVTAPGEVGKRLRAEVSNDKEAIRGLRRILECRTCDVELHKGAMQILTQLSLDGSSGMDSKSIGDFIKQLIGIFTVSRNDISVRKSAGEAMKMLCSKQESNGEAGSKLSCEISKNKATISSLQRVLECRTGNVELRKQAIQILTQLFLDVSSGMDADSKRNFIEKLIGLFVGGESGSSVRDVESGSSVRESIVEAMEMLCLERESDTLTLLRTNSNLDVDQRKQAIHILTRLSFDVSPGMDAESRRNFVENLINIFNSSNSDNSVQQSAGEAMEMLCLESEKDFPIILSIRMDQNIVGKLQEILLRANEKKGCRISAAKILKRLCSHYTKDGKCHLEDTQKEDMIRVMPKILNEILCYGPTEIPETDGENQNNVSCNHRHINGILKDFFLKLRKAEGSKDRSSLEPEVDEENPSNNIQNNGQGNYCSFQDQQEEVSKLLAALLSFSVTVCDKLIGRDESLVRLLTREPAPISKVTVNMKKTEVAQGDDTFSIPRKLKKMVDSNSQTTSDCLKIMKLTCKMLISMMDHNDHYGNEDLDSLMKSLEHATSEIMSNLEDIIILTNGNHGTESQVKSTLAYLVKKTRELVDKKKGMKAPESENASTSSAQES >LPERR12G12790.1 pep chromosome:Lperr_V1.4:12:13886105:13891257:1 gene:LPERR12G12790 transcript:LPERR12G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAYFPKLKQLTLSNVIIADSTLHGLLSQCPVLESLVLAGNRGCRLLRISSLTLRSIGVSNSCFKDGMLEEVIIEDAPLLERLTPHTMRDGGFVIRVIQAPKLKTLGYLSHRISILELGTMVFQKMVPASMCNVSRTVKILALDTAPDLDVVINFIKCFPCVEKLYIVAFIQGNFKNVRRYVSLECLDVHLKMVEFINYQGNMTDLNFIKFFVVNAQVLESMKFVARHDKCDAKWIKKQHEKLQLYAGARASRGVTFDFQANYQACSLVHMKHISDLSTDDPFDRSLCRCRDEEM >LPERR12G12790.2 pep chromosome:Lperr_V1.4:12:13886105:13891257:1 gene:LPERR12G12790 transcript:LPERR12G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAYFPKLKQLTLSNVIIADSTLHGLLSQCPVLESLVLAGNRGCRLLRISSLTLRSIGVSNSCFKDGMLEEVIIEDAPLLERLTPHTMRDGGFVIRVIQAPKLKTLGYLSHRISILELGTMVFQKMVPASMCNVSRTVKILALDTAPDLDVVINFIKCFPCVEKLYIVAFIQGNFKNVRRYVSLECLDVHLKMVEFINYQGNMTDLNFIKFFVVNAQVLESMKFVARHDKCDAKWIKKQHEKLQLYAGARASRGVTFDFQANYQACSLVHMKHISDLSTDDPFDRSLCRCRDEEM >LPERR12G12800.1 pep chromosome:Lperr_V1.4:12:13898531:13900693:-1 gene:LPERR12G12800 transcript:LPERR12G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRCLRISSLTLRSLGVSDNLYINEGKLEEVIIEDAPLLERLIPQYICWGDFVIRVIQAPKLKILGYLSDKFPHLSLEPWFSSLSNVMRTVKILALDTSLDLEVVIDFLKCFPCVEKLYIVAKQDNFKNVQRYDSIECLDLHLKMVEFIHYEGNMSDLNFTKFFVVNARVLQSMKFIVRRDKCDAEWLEKQYQNLQLYASATRGITFDFQATYKAGCGDS >LPERR12G12800.2 pep chromosome:Lperr_V1.4:12:13900702:13900987:-1 gene:LPERR12G12800 transcript:LPERR12G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMLGSHWFNNLQEFELFYAEKSNFQFRDLCSVGLLLYVFSEFVRDLNHFNFQWRLLISHTSSSSPYAMSRSRIALSIIFCHNALSWIAWY >LPERR12G12810.1 pep chromosome:Lperr_V1.4:12:13901591:13902721:-1 gene:LPERR12G12810 transcript:LPERR12G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNVIIETDSSEVRRVVVEKGRDRSIYATQIKELKQKLRLGDSTLPLPLTGGGGGGFAWRPVAENSRRIQLLNAEKATAEGTTPQRM >LPERR12G12820.1 pep chromosome:Lperr_V1.4:12:13941903:13947552:1 gene:LPERR12G12820 transcript:LPERR12G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQNFQLVIPLLLLLPHGRWAADSTTDHGQFVYNAFTAANLTADGAATVTQNGLLMLTNGTIQMKGHAFHPSPLPFRDPNAKNATARSFSTTFVFAIYGQYEDLSAAGLAFFVATDMAVLSTALPGQFLGLVNGSDNGNRSTGLFAVEFDTLFNADIGDMNSNHVGVDIDGVTSRVAANAGYYDDDTGMFRNMSLVSRKAMQAWIDYDGVAAEITVTIAPLGVPRPKKPLLQTTINLSDAIVPDSGSGTAYVGFSSATGMVFSRHFVLGWSFSLDRSAPSLNISELPALPPTGPKPRSKTLEIVLPIASAMMVLMVGISCYTFLRRQVKYAELREDWEIPFGPHRFSYKDLFSATRGFSDKRLLGAGGFGRVYKGVLHKKAEDVDVAVKRVSHESKQGMKEFVAEVVSLGRLRHRNLVPLLGYCRRKGELILVYDYMPNGSLDEYLYGRSKDTLQWPQRFHIIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGADAYTTHVVGTMGYLAPELGHIGKATPATDVFAFGAFLLEVTCGRRPVEQDQHNNRVMLVDRVIEHWRKGLIVSVVDSRILNGYNSQEVSLVLKLGLLCSHPLPNMRPTMRQVVQYLDGSMVLSDLSPAIFYFTEMERMHPKDDLNHGIVSTTSMGSISDISGGRATKHPSKIMYPLPISLVVLLLVTHVASSAADGEFIYEGFASANLALDGLAVVSPNGLLVLTNATNQAKAHAFHPTPLHFRNKSGATTTKARSFSTSFVFAIVSRYDGLSDHGLAFVVTPTTNFSKANAGQYLGLLNATNGTASDRILAVELDTIINPEFRDINGNHVGIDLNSLMSEQARPAGYYDDRADGTLKELKLNSRKPMQVWVDYDGNGRQLNVTLSPVKMPKPTKPLLSISVDLSEQLADAMLYMGFSSATGVVPTRHYVLGWSFSLDGPAPHLDFSKLPSLPRVGPKPRSKVLGVMLPLCTALLIAAVLAIIFSVIQRRRRYAEVWEDWEDEFGPHRFSYKDLFHATDGFKDRNLLGVGGFGRVYKGVLRVSNFEIAVKRVSHDSRQGVKEFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMENGSLDKYLYDQHMPTLSWHERYRIIKGVAASLLYLHEDWEQVVIHRDIKPSNVLLDGELNGRLGDFGLARLYDHGIDPQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVTCGQRPISRDDSTNQVALVDWVIEHHQNGSILDVVDSRLLGEYETGEVILVLKMGLICAHPLSNLRPSMRTVMQCLDSGQLVPDPSPSFMNYRMMTLMHNQGFDSYIMSLSSNPSMASIGASCVTVLSEGR >LPERR12G12830.1 pep chromosome:Lperr_V1.4:12:13969085:13971979:1 gene:LPERR12G12830 transcript:LPERR12G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGYLSDRISTLELGTMVFQKMVPVSMSYVMRTVKILALCTAPDLGVVTNFLKCFPCVQKLYIVALNRGNLQNVLRYDSLECLDLHLKMVELISYEGNMADLNFIKFFVLNARVLQSMKFVARRNKCDAKWLEKQH >LPERR12G12830.2 pep chromosome:Lperr_V1.4:12:13969085:13971979:1 gene:LPERR12G12830 transcript:LPERR12G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSMSYVMRTVKILALCTAPDLGVVTNFLKCFPCVQKLYIVALNRGNLQNVLRYDSLECLDLHLKMVELISYEGNMADLNFIKFFVLNARVLQSMKFVARRNKCDAKWLEKQH >LPERR12G12840.1 pep chromosome:Lperr_V1.4:12:13981317:13984027:1 gene:LPERR12G12840 transcript:LPERR12G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLSHPRGGGARLASGGATDRLTRLNWEGTTAQQQMSVLTRAKKRRLEEESSRPDLAPKGGEDLISCLPDDILVP >LPERR12G12850.1 pep chromosome:Lperr_V1.4:12:14021252:14028026:-1 gene:LPERR12G12850 transcript:LPERR12G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALDYTKSALAEEVALQLGVQRDQAFIRDELEMMRSFLMAAQDEQDGNKVVKTWVKQVRDVAYDVEDCLQDFAIRLGKKKPYWWLSPRTLQERRCIAKEMKELRGKVEDVSQRNMRYQLIKDFVSGSTCTGDALRGSTARATMSGMYEARWQQEKAKTDLVRLVNYNAEDYKVIAVWGSSSSDSRDISIIGRAYDHLKRRNKFECCAWVDLMHPLQPTELLQSIARQFYIRSLQEASEAKVLRRIALMNEDCLADEFVAFLRDKCYLIVLNDISTIQEWEQIKTYFPENKKGSRLIVSTQQVEVASLCAGTDNMEPEHMQLFANQTLYAFHCESAKDGTNSLEERSSSLNVGTSCANNSTDGHNLTRTETMVAAFKESELIGRVDEKAKIIELISKGSQHLEKISVWGMGGIGKTVLVQEVYRSEKVKGIFDKVACVTIKRPFNLRELIRSLVKQLEDPKTSGRKETEKASEKKEPSLTEILEGKKYLIVLDDVSSMSEWDAIESHFPATEIGSRIIVTTRSESVAKRCSGDDEEKRYKLTNLGNKDAKDLFMKKVFKNTQNLDGLDPELVEEAKLILRKCSGLPLAIVTIGGVLASQPKTALEWRKLNDHISAELEMNPGLETIRTVLDVSYDGLPYHLKSCFLYLSIFPGNHKISRGRLVRRWTAEGYSREVFEKSAEEIADSYFFELRDRSMIVPAQYSIKSSRGTESCQVHDLMRDIAIKKSKEENLVLRLDGGCMLHSRTTVRHLAITNSSWEGDEDELETTVDMSRIRSLTVFGEWRPFFISDKMRMLRVLDLEDTQGVGDHQIKQIGKLIHLKYLSLIGCDITCLPDSLGNLRQLETLDLRRTKIVKLPKTIINLQKLNYLRSGDRKGHIYKKIAEKLPELMRNSICICTARFVMRCLRCSAAAFSAWEAESDTCKEQSAHHIIDMRLDRHGVLAPRGLRRLTALRTLDVLNITKERTALEDISSLTQLRKFGVIGVNKINRQKFFSSLGALTRLESLSVHSEGEPGLSGCEGRDGKFSPPKGLKSLKLHGNLVELPKWIQQLNNLVKLTLSSTRLNNHLAATQVLGELPNLAILRLWNKSFEGGELHFPERSFGSLMVLEIISLGDIKYIKFEQGAADKLELMLLIVEGGLKFSGLKFLQGIKEVQLNDFSKTLEEKERFKMDLVTQLSENSNKPILKVM >LPERR12G12860.1 pep chromosome:Lperr_V1.4:12:14050143:14054161:1 gene:LPERR12G12860 transcript:LPERR12G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETAESTNAPAPTTIGDAPAAQEVSHLRRITEAMSNLGFGSDDDVHEVPACITAMIDRDSVESHRLFRARRTAMEMLWDRGYSVPEAEIARTLPEFRAWWGESSEIQCLTFTTTLISNPCKKVQIVFCAPDPVKVATIREIYLRTKGENLSRLILVLQSRILSKAKEAIKEVFKFKVDIFEVTDLVVNITKHVLTPKHEILTEDQKAKLLKDYKIEDSQLPRMLESDAVARYYGLDKGTVVKVKYNGELTGNHVAYRCIS >LPERR12G12870.1 pep chromosome:Lperr_V1.4:12:14054880:14057114:-1 gene:LPERR12G12870 transcript:LPERR12G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRFHILAVDDSLPDRKLIEIKTSSFQVTTVDSGSKALEFLGLQDHEDSPISVQADQLEVAVNMIIYDQGNKPQTGRVVLRKK >LPERR12G12880.1 pep chromosome:Lperr_V1.4:12:14064738:14084830:-1 gene:LPERR12G12880 transcript:LPERR12G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTALIQDVYRSEKVPGIFDKLACVTIMRPFNPNDLLIGLLKQLVDPKTLEGKLPSLASILEGKKYMIVLDDVLSATEWDAIKSQLPTTKTGRRILVTTRDESIAKHCSGGQEDKVYKLDTLGHDDAKNLFTKKVFKEPTNLDELELHQEAKLILKKCGGLPLAIVTIGGFLASRPKTISEWRKLNEHISAELHMDPKLGTIRTVLDISYDGLPYHLKSCFLYLSIFPEDRKISRNRLVRRWAAEGYSRDVCGKSVEEIADDYFSELIARSMIIPTQRSTYRSRGIGSCQVHDLMRDIAISKSKEENLVLRFDGDHTLHNQGTFRHLAITNSSSDRVGVDLEAIVDMSRIRSLTVFGEWRSSFISDKMRLLRVLDLEDTKNLCYHHIKHIGRLLHLRYLSLRGCEYVTFLPDSLGKLRQLETLDIQDTLIVRLPRTIINLRKLNYLRAGQGAMRLDYNGVVAPRGLRRLTALHTLSVVDIAPKPSVLQDISRLTQLRKLGVTGVNKKNNKKFLSALAALSRLESLSVRSEGKPGLWGCLDVADDKFSPPKDLKSLKLYGNLVELPKWIRQLKNLVKLNLRGSFLKDHGAAIQVLGELPNLAILCLLKGSFQSSEGGELHFLQESLPSLMVLELDLGGSKYVKFEEGAFCKLEILKLLLSFAEVETKFSGLEFLPSIKEVELYYYFHHSFEGAADRLKVDLQAQLSRNQNKPVLRTSGGEESKAMEATVLSIGKLVLNGAVNYAKSTVVEEVSLQLGVQRDHAFIRDELEMMQSFLEAAHDQRDDNKVRDVAYDVEDCLQDFAVRLGRKKSSWWLCPRTLWERHRISKGMKELRDKVEDVSQRNLRYHLIKGSKPTVVDAMPSGTARLTMSGMYEAQWQEEKAKADLIRQVNHEVEDRRVIAVWGTCDYHRVTSIVGRAYDHLKRSNKFECFAWVDLMHPLPLTELLQTIVRQLYIRSLQESGKATPACQLLMRTSMVKEDHLADEFNTYLSDKCYLIVLNGLSTTKVWEQIKMLFPDNKNGSKIIVSTQHIEVAILCAGTEEVATDHIQLFADQTLYVFHYKVPQDRAYSMGSTSSLNVAISYRNNSADGKSLTRMQTMVAAFRESDLIGRASQKEEIIELILKDSQHHRIISLWGMGGIGKTALIQDVYRSAEVQGIFDKLACATIMRPFNPKDLLTSLMNQLQNTGTSLADILEGKKYLIVLDDLLFTAEWDAIKLHFPAGETGSRIIVTTRHENVAEYCSGDQKENMYKLDILADTDAENLLMKKILKKCGGLPLAIVTIGGFLASRPKVASEWRKLNDHINAELEMNPELWDIRIVLNISYNGLPYYLKSCFLYLSIFPEDYEISRKRLVRRWTAEGYSRGLWDKSAEDIADSYFFELLDRSMMLPTQKADYSSKGADSCKVHDIMREIAISNSKEENLVLRLDGSYRSHSQVTVRHLVITNDSREADEGELESTVDMSRVRSLTVFGYWRPFFISDKMKFLRVLDSEGADGVYDHHIKQIGKLIHLKYLSLRGCEKIAFLPDSLGNLRQLETLDIRQTGIIRLPKTIINLRKLNYLRAGERNSAYALTSNKLCSLTLVWSLFRAILVDKVSNVDENMNTVYAACTTFWCIALPSIAMDLNSLGVVAPRGLRRLSALHTLGVVHIAHDSSSVLRDIKKLTQLRKLRVTGIDEENSQKFFSTLSALINLESLSVSSEGALGLEGCLDADDMFSDLRSLKLYGSLVELPNWIQQLRNLVKLKLDGTLLKDCKDAIQVLGKLPNLAILYLASLWFEDGELHFLEESFPTLMVLDLDFGARKDVTFDKGAVQKLELLLLSSCGLKFLPSIKEVRLKGLDYRFNDKEDADRWKEDFLAQLSQNPKKPFLNVRGTF >LPERR12G12880.2 pep chromosome:Lperr_V1.4:12:14064738:14084830:-1 gene:LPERR12G12880 transcript:LPERR12G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTALIQDVYRSEKVPGIFDKLACVTIMRPFNPNDLLIGLLKQLVDPKTLEGKLPSLASILEGKKYMIVLDDVLSATEWDAIKSQLPTTKTGRRILVTTRDESIAKHCSGGQEDKVYKLDTLGHDDAKNLFTKKVFKEPTNLDELELHQEAKLILKKCGGLPLAIVTIGGFLASRPKTISEWRKLNEHISAELHMDPKLGTIRTVLDISYDGLPYHLKSCFLYLSIFPEDRKISRNRLVRRWAAEGYSRDVCGKSVEEIADDYFSELIARSMIIPTQRSTYRSRGIGSCQVHDLMRDIAISKSKEENLVLRFDGDHTLHNQGTFRHLAITNSSSDRVGVDLEAIVDMSRIRSLTVFGEWRSSFISDKMRLLRVLDLEDTKNLCYHHIKHIGRLLHLRYLSLRGCEYVTFLPDSLGKLRQLETLDIQDTLIVRLPRTIINLRKLNYLRAGQGAMRLDYNGVVAPRGLRRLTALHTLSVVDIAPKPSVLQDISRLTQLRKLGVTGVNKKNNKKFLSALAALSRLESLSVRSEGKPGLWGCLDVADDKFSPPKDLKSLKLYGNLVELPKWIRQLKNLVKLNLRGSFLKDHGAAIQVLEREESKAMEATVLSIGKLVLNGAVNYAKSTVVEEVSLQLGVQRDHAFIRDELEMMQSFLEAAHDQRDDNKVVRDVAYDVEDCLQDFAVRLGRKKSSWWLCPRTLWERHRISKGMKELRDKVEDVSQRNLRYHLIKGSKPTVVDAMPSGTARLTMSGMYEAQWQEEKAKADLIRQVNHEVEDRRVIAVWGTCDYHRVTSIVGRAYDHLKRSNKFECFAWVDLMHPLPLTELLQTIVRQLYIRSLQESGKATPACQLLMRTSMVKEDHLADEFNTYLSDKCYLIVLNGLSTTKVWEQIKMLFPDNKNGSKIIVSTQHIEVAILCAGTEEVATDHIQLFADQTLYVFHYKVPQDRAYSMGSTSSLNVAISYRNNSADGKSLTRMQTMVAAFRESDLIGRASQKEEIIELILKDSQHHRIISLWGMGGIGKTALIQDVYRSAEVQGIFDKLACATIMRPFNPKDLLTSLMNQLQNTGTSLADILEGKKYLIVLDDLLFTAEWDAIKLHFPAGETGSRIIVTTRHENVAEYCSGDQKENMYKLDILADTDAENLLMKKILKKCGGLPLAIVTIGGFLASRPKVASEWRKLNDHINAELEMNPELWDIRIVLNISYNGLPYYLKSCFLYLSIFPEDYEISRKRLVRRWTAEGYSRGLWDKSAEDIADSYFFELLDRSMMLPTQKADYSSKGADSCKVHDIMREIAISNSKEENLVLRLDGSYRSHSQVTVRHLVITNDSREADEGELESTVDMSRVRSLTVFGYWRPFFISDKMKFLRVLDSEGADGVYDHHIKQIGKLIHLKYLSLRGCEKIAFLPDSLGNLRQLETLDIRQTGIIRLPKTIINLRKLNYLRAGERNSAYALTSNKLCSLTLVWSLFRAILVDKVSNVDENMNTVYAACTTFWCIALPSIAMDLNSLGVVAPRGLRRLSALHTLGVVHIAHDSSSVLRDIKKLTQLRKLRVTGIDEENSQKFFSTLSALINLESLSVSSEGALGLEGCLDADDMFSDLRSLKLYGSLVELPNWIQQLRNLVKLKLDGTLLKDCKDAIQVLGKLPNLAILYLASLWFEDGELHFLEESFPTLMVLDLDFGARKDVTFDKGAVQKLELLLLSSCGLKFLPSIKEVRLKGLDYRFNDKEDADRWKEDFLAQLSQNPKKPFLNVRGTF >LPERR12G12880.3 pep chromosome:Lperr_V1.4:12:14066482:14084830:-1 gene:LPERR12G12880 transcript:LPERR12G12880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTALIQDVYRSEKVPGIFDKLACVTIMRPFNPNDLLIGLLKQLVDPKTLEGKLPSLASILEGKKYMIVLDDVLSATEWDAIKSQLPTTKTGRRILVTTRDESIAKHCSGGQEDKVYKLDTLGHDDAKNLFTKKVFKEPTNLDELELHQEAKLILKKCGGLPLAIVTIGGFLASRPKTISEWRKLNEHISAELHMDPKLGTIRTVLDISYDGLPYHLKSCFLYLSIFPEDRKISRNRLVRRWAAEGYSRDVCGKSVEEIADDYFSELIARSMIIPTQRSTYRSRGIGSCQVHDLMRDIAISKSKEENLVLRFDGDHTLHNQGTFRHLAITNSSSDRVGVDLEAIVDMSRIRSLTVFGEWRSSFISDKMRLLRVLDLEDTKNLCYHHIKHIGRLLHLRYLSLRGCEYVTFLPDSLGKLRQLETLDIQDTLIVRLPRTIINLRKLNYLRAGQGAMRLDYNGVVAPRGLRRLTALHTLSVVDIAPKPSVLQDISRLTQLRKLGVTGVNKKNNKKFLSALAALSRLESLSVRSEGKPGLWGCLDVADDKFSPPKDLKSLKLYGNLVELPKWIRQLKNLVKLNLRGSFLKDHGAAIQVLGELPNLAILCLLKGSFQSSEGGELHFLQESLPSLMVLELDLGGSKYVKFEEGAFCKLEILKLLLSFAEVETKFSGLEFLPSIKEVELYYYFHHSFEGAADRLKVDLQAQLSRNQNKPVLRTSGGEESKAMEATVLSIGKLVLNGAVNYAKSTVVEEVSLQLGVQRDHAFIRDELEMMQSFLEAAHDQRDDNKVRDVAYDVEDCLQDFAVRLGRKKSSWWLCPRTLWERHRISKGMKELRDKVEDVSQRNLRYHLIKGSKPTVVDAMPSGTARLTMSGMYEAQWQEEKAKADLIRQVNHEVEDRRVIAVWGTCDYHRVTSIVGRAYDHLKRSNKFECFAWVDLMHPLPLTELLQTIVRQLYIRSLQESGKATPACQLLMRTSMVKEDHLADEFNTYLSDKCYLIVLNGLSTTKVWEQIKMLFPDNKNGSKIIVSTQHIEVAILCAGTEEVATDHIQLFADQTLYVFHYKVPQDRAYSMGSTSSLNVAISYRNNSADGKSLTRMQTMVAAFRESDLIGRASQKEEIIELILKDSQHHRIISLWGMGGIGKTALIQDVYRSAEVQGIFDKLACATIMRPFNPKDLLTSLMNQLQNTGTSLADILEGKKYLIVLDDLLFTAEWDAIKLHFPAGETGSRIIVTTRHENVAEYCSGDQKENMYKLDILADTDAENLLMKKVVSWQAAQKWLRSGGN >LPERR12G12880.4 pep chromosome:Lperr_V1.4:12:14084832:14089623:-1 gene:LPERR12G12880 transcript:LPERR12G12880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGRSVLNGALGFAKSTLVEEVSLQLGVQRDHAFIRDELEMMNSFLMAAHDEKDNNKVVMTWVKQVRDVAYDAEDCLQGFAIRLGRKKTISWWLSPHTLWERRLIAKQMKELRGKVEDVSQRNMRYQLIKGSKPNAATDVASNNTARETMSDTHEARRQNKKAIYNLVQLVNKKVDERRVIAVWGTSGDHLGDTSIVGKAYDDMKRTNTFECCAWIDLVHPFKPAEILQAIVRQFYTRSLQEAGEATSGCQLLRSMLMKKDHLDDEFYIYLSDKRYLIVLNDLSTTENWKQIKMCFPYNKKGSRIIVSTCQVEVATLCAGTEEVAPKRMQLFTDQTLLYAFYYQTLPTDIMGADDGITESTSILDAASINNSIEGMNLTRTKTMIAAFKESDLIGRICEKEEIIELILKDTQQNKIISV >LPERR12G12880.5 pep chromosome:Lperr_V1.4:12:14064738:14066455:-1 gene:LPERR12G12880 transcript:LPERR12G12880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELWDIRIVLNISYNGLPYYLKSCFLYLSIFPEDYEISRKRLVRRWTAEGYSRGLWDKSAEDIADSYFFELLDRSMMLPTQKADYSSKGADSCKVHDIMREIAISNSKEENLVLRLDGSYRSHSQVTVRHLVITNDSREADEGELESTVDMSRVRSLTVFGYWRPFFISDKMKFLRVLDSEGADGVYDHHIKQIGKLIHLKYLSLRGCEKIAFLPDSLGNLRQLETLDIRQTGIIRLPKTIINLRKLNYLRAGERNSAYALTSNKLCSLTLVWSLFRAILVDKVSNVDENMNTVYAACTTFWCIALPSIAMDLNSLGVVAPRGLRRLSALHTLGVVHIAHDSSSVLRDIKKLTQLRKLRVTGIDEENSQKFFSTLSALINLESLSVSSEGALGLEGCLDADDMFSDLRSLKLYGSLVELPNWIQQLRNLVKLKLDGTLLKDCKDAIQVLGKLPNLAILYLASLWFEDGELHFLEESFPTLMVLDLDFGARKDVTFDKGAVQKLELLLLSSCGLKFLPSIKEVRLKGLDYRFNDKEDADRWKEDFLAQLSQNPKKPFLNVRGTF >LPERR12G12890.1 pep chromosome:Lperr_V1.4:12:14091805:14094982:-1 gene:LPERR12G12890 transcript:LPERR12G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLATLGMWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHEPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFPESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVNLAESNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >LPERR12G12900.1 pep chromosome:Lperr_V1.4:12:14098114:14101093:1 gene:LPERR12G12900 transcript:LPERR12G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKSVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >LPERR12G12900.2 pep chromosome:Lperr_V1.4:12:14098045:14101093:1 gene:LPERR12G12900 transcript:LPERR12G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKSVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >LPERR12G12910.1 pep chromosome:Lperr_V1.4:12:14100372:14102500:-1 gene:LPERR12G12910 transcript:LPERR12G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVPLLFSPLAAALTTPPPARRLAAAATATAAGADQAPAMSAATGEYPVPVSPPYPAASKDVELRRAMTASSRSAAYSSAAVVFEDEWLAVVDKPAGVYCDALLSALPCSSGDAATRPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPMWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMNTRFEVLGINGKGQFRESSNLEIDETESITVQEKAADLTSNSDVKNSMILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIAWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTME >LPERR12G12920.1 pep chromosome:Lperr_V1.4:12:14108341:14113476:1 gene:LPERR12G12920 transcript:LPERR12G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKENPVLTACQAPSGRITRAQSAASRGRIGLVPSVPLPARTERKQIAKGKAKRGALDENTSAGIATSAPQPKRRTVLKDVTNVGCSNSNRKYTTATKTQPKSKRTQRVKQFPSKKQPVKKVPKLPPLAAGTSVVNDSKSSEENQKVDLLAQKENPTDLLEDEGLLSLQNIERNRDSSCHEAFFEARNAMDRPELSDSKAGDSCGLGIIDIDKDDGNPQMCASYAADIYTNLMASELIRRPRSNYMEVLQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLVGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMESLVLNDLGFHLSVPTTKTFLRRFLRAAQFSRKAPSLTMGCLANYLAELTLVDYSFLKFLPSVVAASAVFLARWTLDQSDLPWNRTLEHYTSYKSSEIQTCVCALRELQHNTSNCPLNAIREKYRQQKFECVANLTSPELVQSLFS >LPERR12G12930.1 pep chromosome:Lperr_V1.4:12:14118077:14122382:1 gene:LPERR12G12930 transcript:LPERR12G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLKNDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELENPVLEKASKAVWELILDNDGLGIEITDTVEKVFCRLGGIEMMPPPPSTSGSHQERERNMSVDGGENSKEMDTPEPSSSTSSRKRPYADTTRKGAGAVPNGGATSQHDGSEDSNQKI >LPERR12G12940.1 pep chromosome:Lperr_V1.4:12:14121692:14126370:-1 gene:LPERR12G12940 transcript:LPERR12G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTKTVDFNAERALAKDFLNNFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDSDDEFLQRVTENTKRYIGIFADAIDELMPESTEAYVVDEDRDILMTQRVDEGADGGADGTDPQQKMPPEIRREVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMEIDLEMARHVVHVHQNLESPALGAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >LPERR12G12950.1 pep chromosome:Lperr_V1.4:12:14134285:14134734:1 gene:LPERR12G12950 transcript:LPERR12G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLSPAGSSDGGLSPSPSPSSPAAADNRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVAARTADIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPVDDPLLRPWQIPCPAAHMLQY >LPERR12G12960.1 pep chromosome:Lperr_V1.4:12:14136094:14139576:-1 gene:LPERR12G12960 transcript:LPERR12G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPEIEAFSFSSLSRRRRRRLSSSSSSGGKASELNSKQMAGGRIAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >LPERR12G12960.2 pep chromosome:Lperr_V1.4:12:14136094:14138597:-1 gene:LPERR12G12960 transcript:LPERR12G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQASELNSKQMAGGRIAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >LPERR12G12970.1 pep chromosome:Lperr_V1.4:12:14139686:14141833:-1 gene:LPERR12G12970 transcript:LPERR12G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKLDNPLTKKRRSSSTARRRPRPESNPAVDQQLQYTTTSPSSTASDHQDDANSDGEEVQRRELYLNAPNPRSSHKTKGCNQLHSEGSGGGKTGKLKLKIGGLRRSVVAKPSSDVLDSRSLPAKPPRSGDLQQRQKHVNQADSSRLASSRDKKTKKKKSIEDALTPEQPAKVQGEPSSDHVRKSRRLAKKPIMDSGLDEEYDTNILDDLESSDGMEVYIREPTKKAGSSSKKNATKKAKSRSTVYEIDNDFVTSRSNRDGKKKSRESTDVGNTEEEEPTSDSELDARNRKQKAVTESPANVRSEPLTTRRRALQSWMDGSSNSAIEFPDGLPTAPSRSKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEEKKQKEREEKERAARAQTLPENTIRWVMGPSGTVVTFPEEVGLPSIFNSKPVSYPPPREKCAGPACTNAYRYRDSKLNLPLCSLKCYKAVHGNA >LPERR12G12980.1 pep chromosome:Lperr_V1.4:12:14146041:14148117:-1 gene:LPERR12G12980 transcript:LPERR12G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLLAAVAVVLIAVAPPATEAVCVPRGKPFPSPPAKVTPPKPPTTPSPAKPSPPSPGKPTPVAPAGGDIVKALCAKTDAPILCQMSVIPPPPAKPPSDGAGVLRAAMSAVRSKSAAAKSAAAALAADPKTPALAKGPLKDCEESYDDIAYSLDHAEKAMAAGDKDTTGTMLDTVRTDVDTCDQGFEDREDDDVPPLLAKQDDELAKLASICIAIKIYDETN >LPERR12G12990.1 pep chromosome:Lperr_V1.4:12:14158889:14160992:-1 gene:LPERR12G12990 transcript:LPERR12G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPCAARPRKGSSKNNKNMALNGTTTAIATPKSEVLIREYDQERDLEAIEKLERSCEIGTGKGFAIVTNMMGDPLCRIRLFPLHIMLELVGVARGCVKRVFTGVSTNTTTVLAGYILGLRVSPSHRRKGIGSTLVNSLESWASRHGARHVVAAADAANAASRALFSPRRGIEVLSLPTTARRRRMTWPCIGGGGSAECGEFGFVMAYGIDGEGGEAEVRRLVRALWGHAGGEARRGEGGEWCKAMVVEAEEGDAVARHVLRGGRRRRRRAWRVDDVWMVKSWGGGRDGAAVAATARRRFVDPKDF >LPERR12G13000.1 pep chromosome:Lperr_V1.4:12:14164381:14170042:-1 gene:LPERR12G13000 transcript:LPERR12G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAAALLLASPPPQQQQSRLLQRRHLFPAPALLRLRRPAPASSSCPPAGGPLRLSTLVPSCYITNVEVGVTHANEEEALDDHPPLLPSCAIPVVHLRDVPDSTPFPLHERAPHPTDFEELPVLSEGEQHTLAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVFKPWFIALVAAGAVERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVMLGQLINRFSCHALDSSRTSSDESICANLLDVRKIVQNGLSALRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALIVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEAIDCNVASQIMFGGRRVTGHKLVEYSCLTAKLWVIHDIGWLLDQLNEQYWSVVTIHLLDHHTK >LPERR12G13000.2 pep chromosome:Lperr_V1.4:12:14164381:14170042:-1 gene:LPERR12G13000 transcript:LPERR12G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAAALLLASPPPQQQQSRLLQRRHLFPAPALLRLRRPAPASSSCPPAGGPLRLSTLVPSCYITNVEVGVTHANEEEALDDHPPLLPSCAIPVVHLRDVPDSTPFPLHERAPHPTDFEELPVLSEGEQHTLAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVFKPWFIALVAAGAVERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVMLGQLINRFSCHALDSSRTSSDESICANLLDVRKIVQNGLSALRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALIVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEAIDCNVASQIMFGGRRVTGHKLVEYSCLTAKLWVIHDIGWLLDQLNEQYWSVVTIHLLDHHTK >LPERR12G13000.3 pep chromosome:Lperr_V1.4:12:14164381:14170042:-1 gene:LPERR12G13000 transcript:LPERR12G13000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAAALLLASPPPQQQQSRLLQRRHLFPAPALLRLRRPAPASSSCPPAGGPLRLSTLVPSCYITNVEVGVTHANEEEALDDHPPLLPSCAIPVVHLRDVPDSTPFPLHERAPHPTDFEELPVLSEGEQHTLAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVFKPWFIALVAAGAVERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVMLGQLINRFSCHALDSSRTSSDESICANLLDVRKIVQNGLSALRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALIVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQCDCNVASQIMFGGRRVTGHKLVEYSCLTAKLWVIHDIGWLLDQLNEQYWSVVTIHLLDHHTK >LPERR12G13010.1 pep chromosome:Lperr_V1.4:12:14173143:14177093:1 gene:LPERR12G13010 transcript:LPERR12G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPDDYCHVLRELGDRDKSAVRAFEVFHVALPLVGNGAVDKGKLLTASIGALGKMGRPDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRAGHLEDARAVFDEMIHLGIGCDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYDEALKLCEKMKSMRIQLDRVCYNTLLAIYVKTGKYAEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGIAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVLTEDDPEVGDMGIVGVYGGQIVRVANPVSRGGRSATDVPMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINRRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRITGASTLRHVIETLLNSIGAPFQVERFNIGRFVSRSTVVAAWLRESGTVNILLLHDERVQQASASNLVPRLQALQL >LPERR12G13020.1 pep chromosome:Lperr_V1.4:12:14180287:14184688:1 gene:LPERR12G13020 transcript:LPERR12G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRPPAADPPPDVRAAFHSACGGGGGGGEGLRRFLAQVQGEPPAEVDRIVALLTASAAGIAARIGRAGNPAAAAPSLEDFFGFLFSADLNPPISTQVHHDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDLPIIKALQRGVRVIELDMWPNSAKNNVDILHGGTLTAPVAIIRCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGDLLYIPSSEPVNEFPSPESLMKKIIISTKPPQEYKKFLKSQDNQNIKAGIANLADEGSLRRIDSNAEESDGKDELDDPDEEDSSDDDDPKFQQDTACEYRELITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQRNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPEFLLRTGPNDEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGNRYKSVRLLMRFDFL >LPERR12G13020.2 pep chromosome:Lperr_V1.4:12:14180287:14184717:1 gene:LPERR12G13020 transcript:LPERR12G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRPPAADPPPDVRAAFHSACGGGGGGGEGLRRFLAQVQGEPPAEVDRIVALLTASAAGIAARIGRAGNPAAAAPSLEDFFGFLFSADLNPPISTQVHHDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDLPIIKALQRGVRVIELDMWPNSAKNNVDILHGGTLTAPVAIIRCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGDLLYIPSSEPVNEFPSPESLMKKIIISTKPPQEYKKFLKSQDNQNIKAGIANLADEGSLRRIDSNAEESDGKDELDDPDEEDSSDDDDPKFQQDTACEYRELITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQRNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPEFLLRTGPNDEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGNRYKSVRLLMRFDFL >LPERR12G13030.1 pep chromosome:Lperr_V1.4:12:14186729:14188907:1 gene:LPERR12G13030 transcript:LPERR12G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKQQLTRKATIAFLKSAECTSGIYNLCRSDYKVCKRNNSVSKLGTHTTWNDHPKTWYHLKRTFLEECAVMEAFGEVKQKAEDAGLLHCTK >LPERR12G13040.1 pep chromosome:Lperr_V1.4:12:14191300:14197688:1 gene:LPERR12G13040 transcript:LPERR12G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGEELLKKIRQLEVGQAQLKQEMSKLIPDRRRSQSREGRGGGAGAGGGRGLTEKQYPRVLESMGQNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAIATNTPFYDEDGSLVGIVCVSSDLSTMEEVVSAPSISTRPNPESSRTYGEASCSNSNRKASLLSLSKSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRTDENGIEREGGSGESHCSDRDTKEEPTSSGTTTPRGDAPRGAFATEESSPGKSVKTNSDESEGKVGLHRILSSKAEALLNKKGISWPWKGRDNDGPDGKNQVTWPWLQGEQDSSQNHQKFSDSTITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKHETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNIQTQMQRNASAAAKNNIEE >LPERR12G13050.1 pep chromosome:Lperr_V1.4:12:14199423:14202142:1 gene:LPERR12G13050 transcript:LPERR12G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPAAAAAATEEVVMKLTEVYPTGEDSELFDAIRKRNSAAIASLLDPSVELPIKDSSNADDAVWYLNVTDKAHHITGNLNLLADLMPVHDRWVRIQGSPVSPPMQVFARGSVNHKGFVLQDVWYVPECKVNAVSAQELGELGLQIIVSKAKGTFEVMDCDGVLFGKGRRINRLFELEFLNTISGEVPWYIASNATQHMTGDMFLLTEFTSTRPGLPVRTHTGTLLQVQGKGFLRTKHLAVPEVSYVPGLTENIISLNQLTDSGLDDIFLPDVCLVVRRNDAQEIVGMASHSGGQMYRINYLRIAPN >LPERR12G13060.1 pep chromosome:Lperr_V1.4:12:14203720:14211493:1 gene:LPERR12G13060 transcript:LPERR12G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWMDPLIKKGYEKPLEEADIPALGVEDEAGTRYSMFMEKTNAGQSSLVWVIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGYVIVLGLLFSKCLESVAQRQWYFRTRTVGIQVRSLLSAVIYRKQQKLSCFASTKHSSGEIMNYLIVDTYRVGEFPFWFHRTWTTVLQLGIALTVLYNAVGPATIASVLVIMLTVMLNAPLAKKQQNFQNKLMEAQDMRLKTMSESLANMKILKLYAWENHFKGVIEQLRELELKWLSSFQLGKAYASVLFWASPALVSVATFVACYFLGVPLDPINVFTFVSAPRLVQDPINHIPNVIGSVIQARVAFSRINEFLGASELQKGQVGMEYHEHNQYPIVIKSGNFSWSRSENSDLRNINFMVKEGTKVAICGQVGSGKSSLLAAILGEIPRTDGMVQVCGKIAYVSQNAWIQTGSVQDNILFGSTMDWPRYEETLKLCSLVHDLEVLPFGDLTQIGERGANLSGGQKQRIQLACALYHDADIYLLDDPFSSVDTHTARSIFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLMYFFGSWINEIYDARGTSAILSTDTNIAFTSGQLAQNSWLAANVQNPSVSSDLNVVDLDVPFTLSFSISATLNAYINLGVLCFITWPVLFVAAPIIIMAVKLQRYYLASSKELMRINGTTKSLIANHLAESISGAVTVRAFRQEDRFFARILELVDNNASPSFHCFAATEWLTQRLEIMVAAILSSSAFVITLLPPGTLSSGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERLSQYMDIVSEAPDILEDNQLPANWPSVGGDKIGIVGRTGSGKTTLINAVFRLIEPSGGKIMIDGQNITTVGLHDLRSRIGLIPQDPILFNGSIRYNLDPQGHFSDEQIWEVLGKCQLFEAIKEKEGLESLVVDGGSNWSMGQRQLLCLGRVLLRRSRILILDEATASIDNATDAVIQKTIRTEFKDSTVITIAHRIPTVMDCTRVLVVNDGEMVEYDQPQKLMQTEGSFFKKLLNEYWRQISRAGL >LPERR12G13060.2 pep chromosome:Lperr_V1.4:12:14203720:14211131:1 gene:LPERR12G13060 transcript:LPERR12G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWMDPLIKKGYEKPLEEADIPALGVEDEAGTRYSMFMEKTNAGQSSLVWVIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGYVIVLGLLFSKCLESVAQRQWYFRTRTVGIQVRSLLSAVIYRKQQKLSCFASTKHSSGEIMNYLIVDTYRVGEFPFWFHRTWTTVLQLGIALTVLYNAVGPATIASVLVIMLTVMLNAPLAKKQQNFQNKLMEAQDMRLKTMSESLANMKILKLYAWENHFKGVIEQLRELELKWLSSFQLGKAYASVLFWASPALVSVATFVACYFLGVPLDPINVFTFVSAPRLVQDPINHIPNVIGSVIQARVAFSRINEFLGASELQKGQVGMEYHEHNQYPIVIKSGNFSWSRSENSDLRNINFMVKEGTKVAICGQVGSGKSSLLAAILGEIPRTDGMVQVCGKIAYVSQNAWIQTGSVQDNILFGSTMDWPRYEETLKLCSLVHDLEVLPFGDLTQIGERGANLSGGQKQRIQLACALYHDADIYLLDDPFSSVDTHTARSIFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLMYFFGSWINEIYDARGTSAILSTDTNIAFTSGQLAQNSWLAANVQNPSVSSDLNVVDLDVPFTLSFSISATLNAYINLGVLCFITWPVLFVAAPIIIMAVKLQRYYLASSKELMRINGTTKSLIANHLAESISGAVTVRAFRQEDRFFARILELVDNNASPSFHCFAATEWLTQRLEIMVAAILSSSAFVITLLPPGTLSSGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERLSQYMDIVSEAPDILEDNQLPANWPSVGGDKIGIVGRTGSGKTTLINAVFRLIEPSGGKIMIDGQNITTVGLHDLRSRIGLIPQDPILFNGSIRYNLDPQGHFSDEQIWEVLGKCQLFEAIKEKEGLESLVVDGGSNWSMGQRQLLCLGRVLLRRSRILILDEATASIDNATDAVIQKTIRTEFKDSTVITIAHRIPTVMDCTRVLVVNDGEMVEYDQPQKLMQTEGSFFKKLLNEYWRQISRAGL >LPERR12G13060.3 pep chromosome:Lperr_V1.4:12:14203720:14211493:1 gene:LPERR12G13060 transcript:LPERR12G13060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWMDPLIKKGYEKPLEEADIPALGVEDEAGTRYSMFMEKTNAGQSSLVWVIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGYVIVLGLLFSKCLESVAQRQWYFRTRTVGIQVRSLLSAVIYRKQQKLSCFASTKHSSGEIMNYLIVDTYRVGEFPFWFHRTWTTVLQLGIALTVLYNAVGPATIASVLVIMLTVMLNAPLAKKQQNFQNKLMEAQDMRLKTMSESLANMKILKLYAWENHFKGVIEQLRELELKWLSSFQLGKAYASVLFWASPALVSVATFVACYFLGVPLDPINVFTFVSAPRLVQDPINHIPNVIGSVIQARVAFSRINEFLGASELQKGQVGMEYHEHNQYPIVIKSGNFSWSRSENSDLRNINFMVKEGTKVAICGQVGSGKSSLLAAILGEIPRTDGMVQVCGKIAYVSQNAWIQTGSVQDNILFGSTMDWPRYEETLKLCSLVHDLEVLPFGDLTQIGERGANLSGGQKQRIQLACALYHDADIYLLDDPFSSVDTHTARSIFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLMYFFGSWINEIYDARGTSAILSTDTNIAFTSGQLAQNSWLAANVQNPSVSSDLNVVDLDVPFTLSFSISATLNAYINLGVLCFITWPVLFVAAPIIIMAVKLQRYYLASSKELMRINGTTKSLIANHLAESISGAVTVRAFRQEDRFFARILELVDNNASPSFHCFAATEWLTQRLEIMVAAILSSSAFVITLLPPGTLSSGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERLSQYMDIVSEAPDILEDNQLPANWPSVGGDKIGIVGRTGSGKTTLINAVFRLIEPSGGKIMIDGQNITTVGLHDLRSRIGLIPQDPILFNGSIRYNLDPQGHFSDEQIWEVLGKCQLFEAIKEKEGLESLVVDGGSNWSMGQRQLLCLGRVLLRRSRILILDEATASIDNATDAVIQKTIRTEFKDSTVITIAHRIPTVMDCTRVLVVNDGEMVEYDQPQKLMQTEGSFFKKLLNEYWRQISRAGL >LPERR12G13060.4 pep chromosome:Lperr_V1.4:12:14203720:14210644:1 gene:LPERR12G13060 transcript:LPERR12G13060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWMDPLIKKGYEKPLEEADIPALGVEDEAGTRYSMFMEKTNAGQSSLVWVIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGYVIVLGLLFSKCLESVAQRQWYFRTRTVGIQVRSLLSAVIYRKQQKLSCFASTKHSSGEIMNYLIVDTYRVGEFPFWFHRTWTTVLQLGIALTVLYNAVGPATIASVLVIMLTVMLNAPLAKKQQNFQNKLMEAQDMRLKTMSESLANMKILKLYAWENHFKGVIEQLRELELKWLSSFQLGKAYASVLFWASPALVSVATFVACYFLGVPLDPINVFTFVSAPRLVQDPINHIPNVIGSVIQARVAFSRINEFLGASELQKGQVGMEYHEHNQYPIVIKSGNFSWSRSENSDLRNINFMVKEGTKVAICGQVGSGKSSLLAAILGEIPRTDGMVQVCGKIAYVSQNAWIQTGSVQDNILFGSTMDWPRYEETLKLCSLVHDLEVLPFGDLTQIGERGANLSGGQKQRIQLACALYHDADIYLLDDPFSSVDTHTARSIFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLMYFFGSWINEIYDARGTSAILSTDTNIAFTSGQLAQNSWLAANVQNPSVSSDLNVVDLDVPFTLSFSISATLNAYINLGVLCFITWPVLFVAAPIIIMAVKLQRYYLASSKELMRINGTTKSLIANHLAESISGAVTVRAFRQEDRFFARILELVDNNASPSFHCFAATEWLTQRLEIMVAAILSSSAFVITLLPPGTLSSGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERLSQYMDIVSEAPDILEDNQLPANWPSVGGDKIGIVGRTGSGKTTLINAVFRLIEPSGGKIMIDGQNITTVGLHDLRSRIGLIPQDPILFNGSIRYNLDPQGHFSDEQIWEVLGKCQLFEAIKEKEGLESLVVDGGSNWSMGQRQLLCLGRVLLRRSRILILDEATASIDNATDAVIQKTIRTEFKDSTVITIAHRIPTVMDCTRVLVVNDGEMVEYDQPQKLMQTEGSFFKKLLNEYWRQISRAGL >LPERR12G13060.5 pep chromosome:Lperr_V1.4:12:14211255:14214987:1 gene:LPERR12G13060 transcript:LPERR12G13060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWASVPRNWLRLAPPGDSDEEEEKEVVDTVAPVPVDSETPAAAAAKGAQTLLSSSIVLSEMDWASSVPRNWLRLAPPGDSDEVEKKKEVDTAAPVPVDSETSSSSSAAAAKRGQTLFSSVDLSKMDWASSVPRNWLRLGPPGDTDEVEKEKEVVDTAEAADSETSAAAAAKRGQTPLFSSIVAAGMEDWASVPRNWLRLGPPGDSDEVEKEKEKEKEVVDTVAAEAADDVPPDSENPAAKGGQMAVAPPASAVSDEDLEEEMEQTVAPMPVGLENPPMAPVSADQETPVAEVADSETPAAKAEQTVEPTSVDSENPPPPPLVLASSETLVAEVGVVARDVPPDSRTPAAEAVPRAPPASLDLEAPPLVSSSSGNSEEEDSARAFHDLLMKLTADISPLVSSVPANPENRAIPSPPPRRGRGRGRLKWRNHRRVPSPHHGRDCSGLASNADLFIYLFKDNVCSCDRETNRVALNVALDPRLDCTLINTCRGIEIWYSDNDVHHHVSGNLDLLSNLSPVSDCWIKFMNPEAQLFQALARGSVNYNGIILDDVWYIPSMMNIVSTSHLAAQGLRCDLTKNVWTFKRFDESLAGQARVYAGNCIVVDFINTSTTSETNWYLLSSAKEHMTGNLELLTDYRPIRPSCAVRTHTGSILQVCGKGSLKKTNIFSIPNISYVPGLNKNLISISQLTDGGYTVRCEFNGCKILRRDPPHMVVGQALKLPMEVKS >LPERR12G13070.1 pep chromosome:Lperr_V1.4:12:14218106:14221187:1 gene:LPERR12G13070 transcript:LPERR12G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTVPASWKTPAAKAAVVAPHVPSSDSRTPAAEAVSRAPPASTSKSSDSVASPALVPSSSGNPEEDDHEALWNKLLDDFFKDSPVSSVPASTVNQAGPSTIPAAAARPRPRPSQMAQPPAIPVAPPRTGPAPPPKRPAHAMPMAPRPPGIAAQMTRPSTDSGDADSGRRTNGVEDGYEHQADGSTAVAQSDDSGAAEAGRHQSLDSGELYGDCGDSGEIYADARGGPQQGGSQRSPQRQSAAAWLQMLICSFACSRITETNRVALNVALNPRLDCTLINIRRDKEIWYVSNDVHHHVSGNLDLLSNLSPVSDCWFRWMIPKPHLFQALARGSVNRNGVILDDVWYSPDMVNIVSTTHLFAQGLRCDFTTDVWTFKHFDESVAGRAHVRGKKTFVMDFINTSTTSEANWYLLSSAQEHMTGNLELLTNYRPIRPSRAVRTHTGSILQVCGKGSLKKTNIFSIPDISYVPGLNKNIISISQLTDGGYTVRCEFNGCKILRRDPPHMVVGQALKLPMEVKS >LPERR12G13080.1 pep chromosome:Lperr_V1.4:12:14230731:14235826:1 gene:LPERR12G13080 transcript:LPERR12G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAASSSSSAAAAATTPQGVTDRRGIPAATFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTNSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAA >LPERR12G13090.1 pep chromosome:Lperr_V1.4:12:14239603:14242940:1 gene:LPERR12G13090 transcript:LPERR12G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIITNLFHGGGAAATSPPHVTIHRRLPPAGGAGAGAAIVVDVEGALLLLRSSSLSSSLFPYFMLVAVEAGSFLRCLTLLLLYPFISLVAGAAAAGEDAAVRAMAFVAFFGLRESRFRAGPAVLPRWLLDAVAKESLDAITPRRTSPPETSPAAARRRVIWVSRMPRVMVEPLLREYLTSSSEEVVVVTREMKVVWGFYTGVMEDFAGDGGGEVESPEVRKVMEGVGGGDVIGFSGGSSMGFLRSSLVSLCKEVYVVSNEEKSKWRPLPRREYPRPLIFHDGRLTFLPTPLATAAMLVWLPFGATLVVVRLTIAFFLPYCLATLLLAATGTSWRLRGTPPPPPPRSTGNNKQRGQLYVCNHRTLIDPVYISIVLDRPVRAVSYSLSRLSDLLSPIGATVRLRRDRAHDSAAMAHLLDDEGVHVVVCPEGTTCREPYLLRFSPLFAEMGDTVVPVALTTETSMFHGTTAGGWKSMDALCYLANPRTCYTLEFLAAVDTTPVREGRMASTELANDVQRRLAETLGYECTMLTRKDKYHVLAGNDGVIRRHCRDTAK >LPERR12G13100.1 pep chromosome:Lperr_V1.4:12:14242989:14246362:-1 gene:LPERR12G13100 transcript:LPERR12G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVIGGLPIRKPSPAQPIFHLILSPTPSPISLRVPHPKTPGKNLRLLSSPATMEATAAPRPLSLLAPKPHQLRLGLQRVAAFSGFPGRRLAVAAAAAKKRRGKGGDEEGEERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDDGQIAPEFADAGEEKLYEFLNIQMESDLNLQRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQSKFINDFKALLDKDGRIIRHLVMKRDEAITEDCPPPPEFHTLRAQQYMDDEYIDDEDDGEEEQEQEDWDDNAEVESGGYEDDDVEADDEPEIILVDELERDNSENIRRRNRTLKVEKYAAEKVSP >LPERR12G13110.1 pep chromosome:Lperr_V1.4:12:14246831:14251128:1 gene:LPERR12G13110 transcript:LPERR12G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAQEGGGGGRYGVLLYYKYAEVPDAAALAASYESRCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMRSNALFEGTDFKLASCEDPVDERVARECGFTSLSVRLVKELVTLCSNPSLETPQITCAGRHLSAAEFHSVLQNAAGADLDSETPAEKNGVVVLDARNVYETRIGKFHVPNVETLDPEIRQYSDLPLWIDEHEEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQRYLELFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSSRCRCSNCRMLVLDSTKEYICELCRKNGKQCCQTLPRQDCRTESELIDSSDFGNQAITNQIATSTIPRSNGCEQLKKVKILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYKPNPDHNSDGSSLPSGTSKRKFAWLVAPNSTCHPEQSWKIADAPFDPLQYLQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMGALFCRQQQKNCGSLKLRFGIFCSGYPAPIGDFDSDPIRLPSLHCFGNGEGQDRQIANRFMLANRGTRTCYCLQVTYIRLKVEVQIWPTRMHLSTCKFGPEIARKFGL >LPERR12G13110.2 pep chromosome:Lperr_V1.4:12:14246831:14250933:1 gene:LPERR12G13110 transcript:LPERR12G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAQEGGGGGRYGVLLYYKYAEVPDAAALAASYESRCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMRSNALFEGTDFKLASCEDPVDERVARECGFTSLSVRLVKELVTLCSNPSLETPQITCAGRHLSAAEFHSVLQNAAGADLDSETPAEKNGVVVLDARNVYETRIGKFHVPNVETLDPEIRQYSDLPLWIDEHEEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQRYLELFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSSRCRCSNCRMLVLDSTKEYICELCRKNGKQCCQTLPRQDCRTESELIDSSDFGNQAITNQIATSTIPRSNGCEQLKKVKILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYKPNPDHNSDGSSLPSGTSKRKFAWLVAPNSTCHPEQSWKIADAPFDPLQYLQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMGALFCRQQQKNCGSLKLRFGIFCSGYPAPIGDFDSDPIRLPSLHCFGNGEGQDRQIANRVSTELVDLFDKRCCSVIEHDMGHIIPTRPPYIDKIKEFLSNFI >LPERR12G13120.1 pep chromosome:Lperr_V1.4:12:14252032:14257248:1 gene:LPERR12G13120 transcript:LPERR12G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVQLLRRSLRAREAVPRFLSASQNFVQRAYNTSVVDVGQPTPKSHPELLAEGEITPGITSNEYTSRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYPFRQNGEYLYITGCTQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAEHFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTQSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSRSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDRRVKAGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGASINEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSNSMMDAHELRAACS >LPERR12G13130.1 pep chromosome:Lperr_V1.4:12:14261436:14262047:1 gene:LPERR12G13130 transcript:LPERR12G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYTGDEAGQIKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQKKKVDHYFAGAGRHVTYGAEVSAVAEKGRLKKITGVKAKELLIWVTLHEICVDDPPTGKLVCKAIGGLSRSFPVEAFEADGPPPQPKNNLAAGEVVAKKESNGEEKKEEEKEEEKAIDEIDEKMKEMNKGEVVQAEGVAAKN >LPERR12G13140.1 pep chromosome:Lperr_V1.4:12:14263675:14271921:-1 gene:LPERR12G13140 transcript:LPERR12G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSSRSNNSPVILATISVLALLISSSPRPASSQNQQATFAINPGAAADAAGNKASPDAGDGSFGEFITENVQHYALSEKKYAGKEKGVDGELSAAEAGAARFVVSADGKGKFKTISDAVKAVPEKNKKRVILDIRPGTYKEKVVIPYTKPFITLVGNPKSPATITWDDTAATRGKDGAPVGTVGSATVAVEADYFVASGIVFKNNAPMAAPGQKGGQAVALRVFGSKVAFYNCTIAGGQDTLYDHKGLHYFKNCVIQGSVDFIFGFGRSLYEDCTIVSVTKQVAVLTAQQRSKSIAEAIDTGFSFLRCKITGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPVGWDGWNIQKPEHSGIYYGEYKCSGPGALPSKRIGWSLVLNDVQAKPFTGTHFIYGHSWILPPPKSS >LPERR12G13160.1 pep chromosome:Lperr_V1.4:12:14285374:14289426:1 gene:LPERR12G13160 transcript:LPERR12G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVGGGRLPPCRAGPRARFSCRCGSHNAVPRRNVLSTMLSTSGIFLLGPKQLALAETTGGTFREYIDTFDGYTFFYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSYASSNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEKVFKEEEGDLRRVMDSFRVIKSA >LPERR12G13170.1 pep chromosome:Lperr_V1.4:12:14285440:14295805:-1 gene:LPERR12G13170 transcript:LPERR12G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGIRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMSNAIDDKESSQVTSSSIDAQGPSVSPNDGVTVSDKSEEQSTTTGKKSASKNKQSSSNAKGSNSAQPNKLTLKTILGEALAYGPPLAEHIILDAGLLPSAKVGKDPEGSIDDHTIQSLVGSISKFEDWLVDVMSGQKIPEGYILMQNKVAAKKNTAPLEGSSASQKIYDEYCPILLNQFKSREYDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDYSVKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDMPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDDEALPDVEAEPQKQESNAELDDELELLDSDNETGKEKLDDGSSLDNTNVDKVDHSIPSNALGNAGSTEQLSEIQTVENNTTSTSKEQTSDYAVSSQLEDLLDKNLGLGPAKVLGRSSLLSSSPLSVAEDIDDLDMKKTSVREKPYISKADRRKLKKSQNIGDSTSDSPNGETAKKPGNSQQEKGKTNAKPANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGKASQKDKPSEDLDGAAAAQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEVNLADANVGRGKDAMDRSSAPAAGSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPNDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFVHTVDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEKYVSTSSSDLYPALRRQENRARGPARHGGRRPPPTAAAAAAAAMVRWRRGELAVDGWIG >LPERR12G13170.2 pep chromosome:Lperr_V1.4:12:14285440:14295805:-1 gene:LPERR12G13170 transcript:LPERR12G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGIRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMSNAIDDKESSQVTSSSIDAQGPSVSPNDGVTVSDKSEEQSTTTGKKSASKNKQSSSNAKGSNSAQPNKLTLKTILGEALAYGPPLAEHIILDAGLLPSAKVGKDPEGSIDDHTIQSLVGSISKFEDWLVDVMSGQKIPEGYILMQNKVAAKKNTAPLEGSSASQKIYDEYCPILLNQFKSREYDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDYSVKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDMPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDDEALPDVEAEPQKQESNAELDDELELLDSDNETGKEKLDDGSSLDNTNVDKVDHSIPSNALGNAGSTEQLSEIQTVENNTTSTSKEQTSDYAVSSQLEDLLDKNLGLGPAKVLGRSSLLSSSPLSVAEDIDDLDMKKTSVREKPYISKADRRKLKKSQNIGDSTSDSPNGETAKKPGNSQQEKGKTNAKPANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGKASQKDKPSEDLDGAAAAQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEVNLADANVGRGKDAMDRSSAPAAGSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPNDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFVHTVDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKERQDRQENRARGPARHGGRRPPPTAAAAAAAAMVRWRRGELAVDGWIG >LPERR12G13170.3 pep chromosome:Lperr_V1.4:12:14288774:14295805:-1 gene:LPERR12G13170 transcript:LPERR12G13170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGIRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMSNAIDDKESSQVTSSSIDAQGPSVSPNDGVTVSDKSEEQSTTTGKKSASKNKQSSSNAKGSNSAQPNKLTLKTILGEALAYGPPLAEHIILDAGLLPSAKVGKDPEGSIDDHTIQSLVGSISKFEDWLVDVMSGQKIPEGYILMQNKVAAKKNTAPLEGSSASQKIYDEYCPILLNQFKSREYDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDYSVKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDMPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDDEALPDVEAEPQKQESNAELDDELELLDSDNETGKEKLDDGSSLDNTNVDKVDHSIPSNALGNAGSTEQLSEIQTVENNTTSTSKEQTSDYAVSSQLEDLLDKNLGLGPAKVLGRSSLLSSSPLSVAEDIDDLDMKKTSVREKPYISKADRRKLKKSQNIGDSTSDSPNGETAKKPGNSQQEKGKTNAKPANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGKASQKDKPSEDLDGAAAAQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEVNLADANVGRGKDAMDRSSAPAAGSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPNDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFVHTVDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKES >LPERR12G13180.1 pep chromosome:Lperr_V1.4:12:14297827:14300554:-1 gene:LPERR12G13180 transcript:LPERR12G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMSLLTSIKTRHRIAMEIQNLKSRVVQSSDRRARYKVDDSIVSKPGTTGVDPRLLALYADVAGLVGIDGPRDELVDLLMEKDMGNCLKVVSIVGFGGLGKTTLAGEVYHKLEGQFQCQAFVSMSQKPDMKKILINILMSQKPDMKKILINILSQVSQQECIGKEACSEQQLIDVPRKFLKDKRCPHHLQKVCDEILKKCGGMPLAIITVASLLADKASDREEWMRVRNSICSTIGKNPDFEEMKKILLLSYNDLPYHLKICLLYLSVFPEDYVIKRDRLVRRWIAEGFISSDLEHDQEEIGQCYFADLINRGMIQPVGIQYDGQAKACRVHDMILDLIISKSAEENFVTLLGDQNNKFAQQDKVRRLSIDCRSRKHIMLPPMTVASHVRALTIFGSADPVPPPSNFRFLRRSAGKQIPQTYWEAFSVKYMRLSIRRIDALPEQLGDLYYLETLDLRGTIINKLPKSIVRLQRLACLLVDGLELPEGIGNMRALQELSFIKINRYTSASSLQELGSLSRLKILDLNCCFSIYTDHLVTSLTKLGVSSLRSLKVQIYSCSFFDPLSNSWFPPPYLLQEFQMTTDYYFPKIPKWIASLDHLSYLDINVNPVEDIVFQILGNLPALAFLWISSKATTGGRLTIGSDKFLHLKEFNFTCWNSREGLVFEAGAMPKLEKLHIPYNACDASYVHGELDFGIQHLSSLKHLHANIVCYGAKVGVIEALECAIRNTAGQLPSVSTLQIRRWGCEDVINDEKQQIGEPEASSSN >LPERR12G13180.2 pep chromosome:Lperr_V1.4:12:14297825:14300554:-1 gene:LPERR12G13180 transcript:LPERR12G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMSLLTSIKTRHRIAMEIQNLKSRVVQSSDRRARYKVDDSIVSKPGTTGVDPRLLALYADVAGLVGIDGPRDELVDLLMEKDMGNCLKVVSIVGFGGLGKTTLAGEVYHKLEGQFQCQAFVSMSQKPDMKKILINILMSQKPDMKKILINILSQVSQQECIGKEACSEQQLIDVPRKFLKDKRCPHHLQKVCDEILKKCGGMPLAIITVASLLADKASDREEWMRVRNSICSTIGKNPDFEEMKKILLLSYNDLPYHLKICLLYLSVFPEDYVIKRDRLVRRWIAEGFISSDLEHDQEEIGQCYFADLINRGMIQPVGIQYDGQAKACRVHDMILDLIISKSAEENFVTLLGDQNNKFAQQDKVRRLSIDCRSRKHIMLPPMTVASHVRALTIFGSADPVPPPSNFRFLRRSAGKQIPQTYWEAFSVKYMRLSIRRIDALPEQLGDLYYLETLDLRGTIINKLPKSIVRLQRLACLLVDGLELPEGIGNMRALQELSFIKINRYTSASSLQELGSLSRLKILDLNCCFSIYTDHLVTSLTKLGVSSLRSLKVQIYSCSFFDPLSNSWFPPPYLLQEFQMTTDYYFPKIPKWIASLDHLSYLDINVNPVEDIVFQILGNLPALAFLWISSKATTGGRLTIGSDKFLHLKEFNFTCWNSREGLVFEAGAMPKLEKLHIPYNACDASYVHGELDFGIQHLSSLKHLHANIVCYGAKVGVIEALECAIRNTAGQLPSVSTLQIRRWGCEDVINDEKQQIGEPEASSSN >LPERR12G13190.1 pep chromosome:Lperr_V1.4:12:14302989:14306042:-1 gene:LPERR12G13190 transcript:LPERR12G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVCASHGTIGSLLWKLSALLSDEYNILAGVKRDIMFLKAELESMDAFLKKMSAVEDPDEQSKYWIKEVRELSYDIEDIIDTSMFSLGYESNRKPCGFKRFAGRCMDFFTNVKTRHWIAKKIQCLKCCVIEASNRRARYKVDGSTSNLSRRNIDPRLPAFYKEMTALVGTDGPRDKLIEMLLEGEGALVNQLKVVSIIGFGGLGKTTLANEVCQKLEGQFKYQAFVLVSQKPDIKEILRHTLSDLLTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEIQSYHTFSLDLLLDSWFPPPRLLQKFEMFINYYFPRIPKWIESLDYLTYLDINIDPVDEETFQTLADLPSLIFLWISSRAATPKERLIISRNGFQCLKELYFTCWESKTRLMFEAGAMPKLEKLRVPFNAYDVCSLHGVMDFGIQHLCSLKHLHVEIVCHQAKIQEVEALENAVKSAAELLSDELTFELSMWDEKEIIDMDHEPTEDDFGTIT >LPERR12G13200.1 pep chromosome:Lperr_V1.4:12:14306473:14307646:-1 gene:LPERR12G13200 transcript:LPERR12G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDHLPKSQLFPLPSLRSGEPSPPAAAMSTSGGFPGRGFSPHAAAFFGRSRETERMPIVLARQEGVEMGISLIGCSCGGRLKKPECVGTLGLREPISVT >LPERR12G13210.1 pep chromosome:Lperr_V1.4:12:14310019:14313485:1 gene:LPERR12G13210 transcript:LPERR12G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHAEEYNLIHSLNPTENIMASALTGAMASVISKLSALLGEEYTKLKGLHKEVEFMKDELSSMNALLHRLAEVDSDLDVQTAEWRNQVREMSYDIEDCIDDFMHRLGHIGISEASGLAHRVAQQLKELKVRRQIANQIQELKARIEDASKRRMRYKLDERFFEPSITRAVDPRLPSFYAESDSLVGIEMPTAAVIKLVMEGEVASLQQLKVISIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRNILCQVNQQVYDSTSAWDEENLINVIRAFLKNKRYLVVIDDIWSIQAWKTIRCSLILNNFGSRVIATTRNLTIAKSCCSPQQDHVYEIMPLSTANSKSLFLKRIFGTEDICPPQLEELSYEILKKCSGSPLAIITIASILTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCLFPEDCVIETDQLVKRWIAEGFIIAEGRQDLEEIGESYFNELVSKNMIQPVKVRYDGQVESCRIHDMILDLLTSKSIKENFATFLGDQNQKLVLQGKVRRLSLSYYSQENIMVPSTAISSSCRSLSIFGFAEEMPSLSKFRVLRVLDIEHGEDMESNYLEHVTRILQLKYLRLNVRSIGALPEQLGELHHLQTLDLASTKLRKSPKSIVRLQNLSCLRISNLELPECIGCMHALQELSQIKITKNSLASSLQELGSLTRLRILGLCWCISDIHGSSETLVDSLVSSLRRLGRLNLRYLCIQSSFKYSIDFLLDSWFPTPHLLQKFQMGTCYYFPRIPVWIASLDNLTYLDINLNPVEEEALEILGNLPALLFLWLTSKSVAPKQKLIINSSIFMCLKEFYFTCWNIESGIMFQRGSMPKLEKLHLPFHAGTAVDFGIQHLSSLRQLVVEIICSGATIQQVESLEEAIRKTAGLLPYCPTVEIRMWDDEKMVEEQEEKDMAEIQSLAIKIKVSAEDT >LPERR12G13220.1 pep chromosome:Lperr_V1.4:12:14314028:14318862:-1 gene:LPERR12G13220 transcript:LPERR12G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGESDNGSEVSPTAAGAGAAAVAAVASPVKAKARALMELETTSASAASESSEARVVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTERWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSNYSLASEGVEYARRAVTNADSSDGHLKSAALHFLGSCLAKKSRIASSDHQRSLLQTEALKSLNESFSLDRHNPDVIYDMGIEYAEQRNMHAALKCAKEYIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRMRAKLKVAQSLPMEAVEAYRTLLALVQAQRKAYGTIKNGTEEDDDKVSEFEVWQGLASLYASLSYWRDAEICLQKAKALKSFSATTLHAEGYTREVREQTQDALAAYFNAVSTELEHVPSKVSIGALLSKQGPRYLPVARSFLSDALKLEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >LPERR12G13230.1 pep chromosome:Lperr_V1.4:12:14342202:14343166:-1 gene:LPERR12G13230 transcript:LPERR12G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRHNAARLRDGRGRGPRGSGRKKLLPRRPIWEEKKRPSCSLNPTFPLLFSSIGSASLTAAPAQARTQHSINHRPTKERSDQAVKPATR >LPERR12G13240.1 pep chromosome:Lperr_V1.4:12:14351854:14357059:1 gene:LPERR12G13240 transcript:LPERR12G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGAASIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFISSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIKEMPHVPLLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQDAYQQMQTPLIYQQQPVKGLNELKDSTVQMASSMGNIDAPVDETIFDIEKEIDDLLPIEVKEQRLSNFLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDIALARTQSAEVLDGIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISEKAYSPRITELRHDRSPLGGRGSPRTGEIRQSKLGEGSTPK >LPERR12G13250.1 pep chromosome:Lperr_V1.4:12:14359765:14361157:-1 gene:LPERR12G13250 transcript:LPERR12G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLLVSMVREQFKKNMHETDPEKIQKMKDE >LPERR12G13260.1 pep chromosome:Lperr_V1.4:12:14362919:14371244:1 gene:LPERR12G13260 transcript:LPERR12G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIPAKNLISEKTTTTPEAETHIDVIIETRNAARIGLGARKVIIIPKYVMQRVKNLQDIFKEGILTFHKSIGKEFSHLRKK >LPERR12G13270.1 pep chromosome:Lperr_V1.4:12:14366672:14371708:-1 gene:LPERR12G13270 transcript:LPERR12G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPPRCASPEARERIAAASPATARRLAASLYDSLRHHGLRREADRAFRDAAAAEDDEGAGGEEEEEEELTGMACLLKDGFVRYLRGFATITPPIPKQVVKFCGITYSAKIETAAFRYETFGNKLLECFVQPVRSLSLGQQRSTKLHILNGIDGYIMPGSMTLLLGPPGSGKSTLLKILAGRIDPGKDHGLTGMTMYNDRTAYEVQKSRLIAYVCGPLNKHIPFLSVRETLEFARDCTQGLQPENFTPQMRKFFAYALVEGQDPFLEYVLQILDLKKIENHLVSNISETDRDKLTTAELALGTYSVMLYDQPLASSDASMTYDLVNTIRTISRIQKSSAVMTLNYLSQETFDLFDRIILLGEGHVLYQGPRQDAVTYFAQLGYMKPPHVESWEFLQDIAAENSMQYLVPRSAPRSFEELVNFYYSSDNYQDIIRVIGMSKEYSTYWVESEPGIGLSLRKPTAFNSDDSSDDQEMEIVVAKLLNKSRTSSGVESTGNIQIGDVVTGISVNEEPMQYLAARPMFDKQYHLDQTFATLRHARGHVRLQLERFDNKAS >LPERR12G13280.1 pep chromosome:Lperr_V1.4:12:14378894:14387938:1 gene:LPERR12G13280 transcript:LPERR12G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTPTTTPNAWNSSSLLSPKNDGSSSSPSHFNGRPSSGGGSRPSTAGSESLDSPSAWGSSSRPSTASGTLPSNHFQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSLSHGHGFTLSTGDFPTLGSEANSQRGHSSKGRPTSSSGKEAVENEQGKSITAGPAEEILPSNSQSVDNTKTEQHVYDGGAPFVATSLPNEAQQPQPYPANFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGHPGGGFPVEQFAYYGQFPPNPEAAARQGPGHGGYQPKNGDAYLSMPPNSYMMNQPVIPVRPVYQGAMSGYYGPPRANFNNPNVRDSPFVGGPHQPGILNQFPNQHEKFHPGHPQSRPGKHEIAPNEHLESDRIHVIQRGQPRILHDNLRGPREVERNAQLAPPLLPHPNGNRMDVNKRPDIRESFNEKNRVLMKSAPDHRGSAGSSHLSIPESVHSHPKGADDGTFRKKLKEDNSVVLEQQPVIKKNVALIEKIESLNNKARIVDARNITDPFSSKQAKEKQQKSTGSKEDQKLPNVPADGVVVEPSESESTEIIKAGKLGESTRDRTHRRGDSSRSSHHGPTKDRLTNNSAGEGLRENSATDDQPPEDALKLAPVLVTDDMPTSLDFESQRAKMRELAAQRAKQLQVEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDAPAEIVDVQQKQKAGFEETAKSASLAAESSAVACNALQPPNDPKHTEHSVQSKSLTLTHALGVGKDPTVHNASSSARNSEHEAQKGVAQSHDTNVSKPRQSYRRRQVVSEEKIPSEKSSGAISMESGKKIVEAILDTSTAVVTSYDDALAHNKRSARHSRNKKKVDEAPVTSKHPPVVLNEQHAVKVPSDSKTQTVNEPKTQTAGVIISSSIVPTEGTVVTVGSITVGGISFGSLNQECVKSADEAHASTSNIHPRRQQAKRSGKNQQVIRPIERPQGNEGVVWAPVKPSGQSEQSGEAMRHTGVVASTQPAVQNTNDGENVTRTKRAEMERYVPKPLSKELQQQNLGQNLPSEKSREDNKIHDKEIVEKSTGAKPETAPEAKKLEGKKASKGHGKSHPSWRRRNADESTLAGPNATELADNYQESHELQKHTDQRQPLEPDKQADAPAKNNSTPAETVPSVVTAAKEHGAASRQRRQHVKAQRNEGGTYPNENKDQTPAPAAPGIDSNSHERRNMSRSDVKNSGTVPQSRAHWKPKTVSQSQSNSHGNNAKDGHVDGATPQDSVNSILAENSGGNGEKYARSEEGKGEKRHVDDYHKSESHENSEQQQQQLGHAPRRQGQHNGRFHRGGGTNRGRGYDAGKPSHGANAERRRGGTHLEYQPVGSYNKPTDFQQNPSVDEQTEGAPVHRERVHNRGPRPAGQFVKRNPASAPAANSYQDE >LPERR12G13290.1 pep chromosome:Lperr_V1.4:12:14390453:14394245:1 gene:LPERR12G13290 transcript:LPERR12G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSVVSDRLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQVHSVGLLADLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLNPAESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDTATANPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >LPERR12G13290.2 pep chromosome:Lperr_V1.4:12:14390281:14394245:1 gene:LPERR12G13290 transcript:LPERR12G13290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSVVSDRLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQVHSVGLLADLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLNPAESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDTATANPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >LPERR12G13300.1 pep chromosome:Lperr_V1.4:12:14395099:14399724:1 gene:LPERR12G13300 transcript:LPERR12G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCIWAGLAVPAKFLSVLPHSEENRIVSLPANMDFMTFNHRGRALPSDPELKLKT >LPERR12G13310.1 pep chromosome:Lperr_V1.4:12:14407655:14417151:1 gene:LPERR12G13310 transcript:LPERR12G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIWSVTGFHGIDEIWVLPRRPTTPPSLSHNVSSQTSRSPAFPVTCGPHQSASLRPESSLARSSYIDRRRGTHSVGCNLHATRRLSRPAPADMSGPRPAAAAAAAAANPSASSSPSQAAHPPLPPLPPPPPPPPSRSAAAAAARVREEGEVSSGADDDEPLRPRFAAPSNVSRYADSSAQLLPANFPGKGSNSLSYSTVFPHKTVAPSYKKTARVNQGLFKPGTNRNLSWQKPVSSDNLVITFSDDDSGSDSGKSKQDKSRGRKGSPQGTHKTGTNAHTGIMREEAQQKIHAAKVGSATANWSAAPLTLRNSGVGRGSSVTYVRRDPPVRQVTPPKAANKDGNVVGVSSTVHNLETLRHKIAARENELLKVKRPMSPGLLKDSGLPTGQTRLPSEKRGFEASSIEAYSRLNDGRPTKRLKSNQECSNNQVLVSQIPSVPTGKSLGTSNVQSSERNEHIEHGITMDCDVNEPMHAVTEPLDGHHSGATKNLSSSKMQHIVQDPDNHDTGKHPVKHAAPPTANEQSVAGDANTSVRAGSGVETSSMQVNDRMLSTWNGQHVMPADTSTVSNLRPHMRSAVENAEVNCGGQIGTTGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRSLIEANEKCAILRRKREVCSAQVHGFIAENSSLAQPLSIQNAGHGPVMPSLVNSQTNADCHIPGNQGGRSGTPYPDESPQQPVDKHEARSHNFHELAFSTGDPKFASTVNINSIPSDYMEDDVPFPSSKRARSDCISNLDDHTEETIHVYRVENRQNSGESVQDYELLEASLRSRLVERFGKKPYLNNAGEATEELSFGKVSEIEHEKISAYVGPPVQEANENFMTNIEGTVELGNDGHEKGADSSNAPSIGNYDHEENTSSFGELCMPLSVTHPILPSAAPQSAARHMKWHIFPGLHKKVLDDKNDCCTSNAASVATAGVPDVAHDIAKDNAKMHPTTRKDKDIVSSGIDPFWPFCMYELRGKCNDEECPWQHVEHHSWRKSKHTKHSKSPVLGWIPHGLFLHILPVPTYRIGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPLPLSVQRVLPSDAPCLQAGDDSIANFDRDRQLLNFRMLDSRKNKIVQGSVDAELFLEGALIVYFGKVNKPDRLKALLLLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTADTDVELKDRSAFILDIFLQMVYFLCMSGNVEKAISRFCGILPTATPDCCDKLLADVISCLTISDRSIFWISCLYVSIYRKLPDEIIDQLEFLKDLPHALVWSPIELTVGNRSQILVLLNYAAGQIAADINDSVKNGDPSYLRLSQFLAVNHINCLAALEGFQSCANVLVKYMEEYPMCPQILVFSARLNGMYGSCPGLKGFDELILDWPKEVQGIQYLWNQYAEHALAENIELAERVLTRWLEECEKDGGMQTDGATDAMEISNEESVQPSISSTQVGCGTLASEDQIFWLLNLSLHRMIENNLPEAKVAVDKALKLAHTGSYEHCLREHAAIHSMEKPPSSTDIQTKATFSLISGYLVDQRNLPTKELLSRRFLQNVKKHKLRQLIDDTIGPASADSSLINSVLEVCYGPSLLPEKIDEVKYLVDFVESVMEVLPANYRLALAVGKCVVKHCTGPDPTSVGTRFWASSVLINSIFRAVPVAPESVWLEGANLLEKLQAAETVKRFYQQATSVYPFSFRLWHAYLNSCKASGSSTDSIAEAARQRGIELNVAPS >LPERR12G13310.2 pep chromosome:Lperr_V1.4:12:14407655:14417151:1 gene:LPERR12G13310 transcript:LPERR12G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIWSVTGFHGIDEIWVLPRRPTTPPSLSHNVSSQTSRSPAFPVTCGPHQSASLRPESSLARSSYIDRRRGTHSVGCNLHATRRLSRPAPADMSGPRPAAAAAAAAANPSASSSPSQAAHPPLPPLPPPPPPPPSRSAAAAAARVREEGEVSSGADDDEPLRPRFAAPSNVSRYADSSAQLLPANFPGKVAPSYKKTARVNQGLFKPGTNRNLSWQKPVSSDNLVITFSDDDSGSDSGKSKQDKSRGRKGSPQGTHKTGTNAHTGIMREEAQQKIHAAKVGSATANWSAAPLTLRNSGVGRGSSVTYVRRDPPVRQVTPPKAANKDGNVVGVSSTVHNLETLRHKIAARENELLKVKRPMSPGLLKDSGLPTGQTRLPSEKRGFEASSIEAYSRLNDGRPTKRLKSNQECSNNQVLVSQIPSVPTGKSLGTSNVQSSERNEHIEHGITMDCDVNEPMHAVTEPLDGHHSGATKNLSSSKMQHIVQDPDNHDTGKHPVKHAAPPTANEQSVAGDANTSVRAGSGVETSSMQVNDRMLSTWNGQHVMPADTSTVSNLRPHMRSAVENAEVNCGGQIGTTGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRSLIEANEKCAILRRKREVCSAQVHGFIAENSSLAQPLSIQNAGHGPVMPSLVNSQTNADCHIPGNQGGRSGTPYPDESPQQPVDKHEARSHNFHELAFSTGDPKFASTVNINSIPSDYMEDDVPFPSSKRARSDCISNLDDHTEETIHVYRVENRQNSGESVQDYELLEASLRSRLVERFGKKPYLNNAGEATEELSFGKVSEIEHEKISAYVGPPVQEANENFMTNIEGTVELGNDGHEKGADSSNAPSIGNYDHEENTSSFGELCMPLSVTHPILPSAAPQSAARHMKWHIFPGLHKKVLDDKNDCCTSNAASVATAGVPDVAHDIAKDNAKMHPTTRKDKDIVSSGIDPFWPFCMYELRGKCNDEECPWQHVEHHSWRKSKHTKHSKSPVLGWIPHGLFLHILPVPTYRIGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPLPLSVQRVLPSDAPCLQAGDDSIANFDRDRQLLNFRMLDSRKNKIVQGSVDAELFLEGALIVYFGKVNKPDRLKALLLLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTADTDVELKDRSAFILDIFLQMVYFLCMSGNVEKAISRFCGILPTATPDCCDKLLADVISCLTISDRSIFWISCLYVSIYRKLPDEIIDQLEFLKDLPHALVWSPIELTVGNRSQILVLLNYAAGQIAADINDSVKNGDPSYLRLSQFLAVNHINCLAALEGFQSCANVLVKYMEEYPMCPQILVFSARLNGMYGSCPGLKGFDELILDWPKEVQGIQYLWNQYAEHALAENIELAERVLTRWLEECEKDGGMQTDGATDAMEISNEESVQPSISSTQVGCGTLASEDQIFWLLNLSLHRMIENNLPEAKVAVDKALKLAHTGSYEHCLREHAAIHSMEKPPSSTDIQTKATFSLISGYLVDQRNLPTKELLSRRFLQNVKKHKLRQLIDDTIGPASADSSLINSVLEVCYGPSLLPEKIDEVKYLVDFVESVMEVLPANYRLALAVGKCVVKHCTGPDPTSVGTRFWASSVLINSIFRAVPVAPESVWLEGANLLEKLQAAETVKRFYQQATSVYPFSFRLWHAYLNSCKASGSSTDSIAEAARQRGIELNVAPS >LPERR12G13320.1 pep chromosome:Lperr_V1.4:12:14417763:14418705:-1 gene:LPERR12G13320 transcript:LPERR12G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFSRPSQEQQKSCLAAAGGFNYDAPLHGASKPKSSSKLSAAEATEASDKAFVERGFFVNRSRVLVGSGATTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDDSNRNSPGNGKGGSSFAYGSGTLQGHMLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYAQLRQRHFAHHSGQAVLRHVASQSKDTR >LPERR12G13320.2 pep chromosome:Lperr_V1.4:12:14417763:14418705:-1 gene:LPERR12G13320 transcript:LPERR12G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFSRPSQEQQKSCLAAAGGFNYDAPLHGASKPKSSSKLSAAEATEASDKAFVERGFFVNRRHHIQSCQICTSLLEAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYAQLRQRHFAHHSGQAVLRHVASQSKDTR >LPERR12G13330.1 pep chromosome:Lperr_V1.4:12:14420977:14421387:1 gene:LPERR12G13330 transcript:LPERR12G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVEAWDRWWTGGGGAAALRHAAAWAAALALAVSVAALRHAAAWAAALALAVSVASFAPEAAFVWALTSSGEGCGGGAVRVPLDGGGDFVCVPARMAVRSGADIVVPPAFAGLAVGASAWFVRALAIGRRLDDY >LPERR12G13340.1 pep chromosome:Lperr_V1.4:12:14422767:14426223:-1 gene:LPERR12G13340 transcript:LPERR12G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRSRSMAAAAGLLRLAVVAVAAVVAGGDVAAGRQMVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPLEAAADEVAGGRSGRFTNGYNLADIIAQHVGFKMSPLAYLSLTTPGRRSNLRRGIVGANYASSGSGILDFIGNGTISLGEQVKLFADTKAMMVAAGEVNGERINHLLSQSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVTTYIEHIKTLYKLGARRLGILDVLPLGCLPISRVPMENGECSGEDNWQARLFNRLLRRKMAAAVTASMPDLKYSIGSIYYTYYDMIVDPTLAGMKEVARACCGDGKLNAEADCSASANLCQDRNDYVFWDKVHGTQAAYQQCVHGFFHGSLRYAEPISFTQLVTSSAVDLARSSLSMNRTAVI >LPERR12G13350.1 pep chromosome:Lperr_V1.4:12:14429660:14433126:-1 gene:LPERR12G13350 transcript:LPERR12G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGQASDEEAAAAAPLLAAASGGRRSHAGDVHVLSSAFLFIFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFAVAASPVVAWMGPKLALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGSFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIVPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAVVQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGSFLLLTLVVEKPSTVRS >LPERR12G13360.1 pep chromosome:Lperr_V1.4:12:14434512:14435082:-1 gene:LPERR12G13360 transcript:LPERR12G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDILPQVPGRRRRFLPGDVLPLTDAGVDVICPGGGEAPLSLFGLVVWSSVGFKAEDGFVSFVVDRIFPIFVDLLPFLAGSSISLVKAASKIHRRLSDARFLVSLVGFGEEAGGCAKRRGPKTVHEAGDEVVVLTTDVVVLSSPLLYGEFYGQGDDKLSAMEGSGCRGNDA >LPERR12G13370.1 pep chromosome:Lperr_V1.4:12:14436130:14436819:-1 gene:LPERR12G13370 transcript:LPERR12G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAELAVAARGDEEEAAPLVSGGRGRGLSTVASQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSAVVRRMGSKRALVLGTSGYLLFIAANLVPTCLAGIGVEGNGGMGKQGVG >LPERR12G13380.1 pep chromosome:Lperr_V1.4:12:14438406:14442592:1 gene:LPERR12G13380 transcript:LPERR12G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAAATIAAAAATSFATAGATAAAAARSRSRIPLRGNPSPRRGVAAMAVSAPRSAAAAAFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAVKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKDRKVLHVDDLKLSDIGPKFEHHEMFPARINTEFVEVLSRSHLKMRVWERGAVLSLVALWGMLICSWLNFVGIQKCVVDLPGGPLEIEWREDDNHVYMTGPAEAVFYGSAVH >LPERR12G13390.1 pep chromosome:Lperr_V1.4:12:14446217:14447157:-1 gene:LPERR12G13390 transcript:LPERR12G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETTSMIIGVDEQDLHGGSGCITSIMKSTPADMDGYPMDQIWMEIEAPNVLPGPCFDEGKDNASNSLSGPLLPYSMWDYYCPETCLRMDDEIKVAPQFGYGKGVGPCY >LPERR12G13400.1 pep chromosome:Lperr_V1.4:12:14456111:14460828:1 gene:LPERR12G13400 transcript:LPERR12G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGLTGPLHRLPFFLPHPFAAGAADEAAGNGRRRPISWRISDFRPAGVGYGSMGRSLCTRFRVLSSHKVIRCTMYTSKAFRMGGSVAFAVATSDTECCCCWTEPCTVMDTASAFNVCRQTLQLCEVEQQKEKSVPNLCQMRS >LPERR12G13400.2 pep chromosome:Lperr_V1.4:12:14456078:14460828:1 gene:LPERR12G13400 transcript:LPERR12G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYQRGCQTASKRLPANAAALRSRATEGEIGAESLPDAVVGIEWIPAICKESTYTLRLVFSFFFYDYIKDTPQHTYKGVVLHMFS >LPERR12G13400.3 pep chromosome:Lperr_V1.4:12:14456078:14460828:1 gene:LPERR12G13400 transcript:LPERR12G13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHKHTGPFVMGPLGLTGPLHRLPFFLPHPFAAGAADEAAGNGRRRPISWRISDFRPAGGQWLNSCS >LPERR12G13410.1 pep chromosome:Lperr_V1.4:12:14457051:14476104:-1 gene:LPERR12G13410 transcript:LPERR12G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAETFRRRRRRRRLMLTSLLLAVITDAVVVVVDGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDGGAGANAGINPPYTDRDLAARYLTVRYFPGAAAGERGGCYTLRRLSPGGRYLVRATFYYGDYDGAAATRLPVVFDLHVGVNRWTAVNVTAADAIYIFEAVVSPTADFLQVCLVNISLGTPLISGLDLRPLKAELYPEATANQSLLLLNQDRPPAKFAFNRYQFWRPASYYKLFRYKYDPYDRLWQPYGDDPSWTNITVAAAVDVTNITRSGDPSPILRSATTPANSSTRCLDFPWTSDSDADSLLLLYFAELQPAARRRFDVVVDGGVAVRRGYSPRYLAAEVVRATVKGGAAVAARRHVASLVASPDSTLPPLVNGLEIYTVQPMTELGTNDRDAKAMMAIRDKYELKKNWMGDPCAPKAFAWVGLNCTYASSVPAWITTLNLSSSVLTGPVDLPFGDLQSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNNFSGLIPHNLLQKRQNGSLVLRIANNANLCDDDGNNACASENKQSKRILVIAIAVPIAVAILLFVAAILHLHRRLNNHETWMANNARLISPRERSNVFENRQFTYRELKLMTANFKEEIGQGGFGTVYLGYLEDGSPVAVKMCSKTSSQGDKEFIAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRERSNVFENRQFTYRELKLMTANFKEEIGQGGFGTAQHLIRVHHRNLVSLIGYCKDKKHLALVYELEYLHKSCQPPLIHRDVKTRNILLTADLTAKLADFGLTKAFPFASAADGDVTHVTTQPASTLGYLDPEYYHTSRLSDKSDVHSFGVVLLELLTGRPPAVPVNDSGDGESVHVAVWVRRRLAEGDIEGVADPAMGEFYEVNSVWKVAELALWCKERPARERPDMAGVVAELRECIELEEASRMSRFHYYGYGTDDGSSSTVMTTTSSATTVAASDERIGELRKESFISIDCGIAENTTYSDDSTRGLRYVSDFGFVDAGAGLNSGINPPYNDPSMAARYLTVRYFPIGASHRGCYTLRSLTPGGKYLLRSSFYYGNYDGENILPAFDLHLNGHLWATINVTSAGRAYIHEVIVVAPAGYLQVCVVDRGLGTPFISVLDLRPLAAEMYPEADAGHSLALLSQFRPGVALGFNRYHFWPADYQFYRYPFDPYDRIWQRFGTDFSWTNITTSDTINTTNISGEVPSIILQSAATPATNVSRIDISWTNSGDPSSNNNITYLLLLYFAELQRLPANALRQFDILVDNVSWNGSRGYVPRYLNAEAVSMTVQGSVQQQQHSVSLVATANATLPPILNAFEVYMVQRMTEFQTNVGEAKAMMAIRDKFGLKKNWAGDPCTPEAFYWDGLNCSYSTTGPAWITALNMKSNGLTGPIDASFGDLKSLQYLDLSSNDFSGSIPIGLLQKQQNGSLTLRTHNNPNLCSNGASNCEPKNKGRKRLIIISIVVPIFIATSVFAIVMLLLRRMRNKQDTWTENNSRHNSPRDGSNILENYRQFTYKELKIITVNFKEEIGQGGFGTVFLGYMDNETPVAVKMSSKKSSQGDKEFLAEARYLSRVHHRNLVCLIGFCKDKSHLGLVYEYMQGGNLEDRLRGGAFLDSTLTWHQRLKIALDSAHGLEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTKVFTDEFTTHITTQPAGTLGYLDPEYYNTSRLSEKTDVYSFGVVLLEIITAQPPAVPINDTESIHIAQWVRQKLSEGNIESIADPRMGGEYDINSVWKVAELALNCKEKPSRERPSMTDVVAELKEGLELEVSHALGYCSSVTTSTNNLSATSVDLKTDYDETSDPRQQSVVELGQAGNASETHVQGPTPR >LPERR12G13410.2 pep chromosome:Lperr_V1.4:12:14457051:14476104:-1 gene:LPERR12G13410 transcript:LPERR12G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAETFRRRRRRRRLMLTSLLLAVITDAVVVVVDGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDGGAGANAGINPPYTDRDLAARYLTVRYFPGAAAGERGGCYTLRRLSPGGRYLVRATFYYGDYDGAAATRLPVVFDLHVGVNRWTAVNVTAADAIYIFEAVVSPTADFLQVCLVNISLGTPLISGLDLRPLKAELYPEATANQSLLLLNQDRPPAKFAFNRYQFWRPASYYKLFRYKYDPYDRLWQPYGDDPSWTNITVAAAVDVTNITRSGDPSPILRSATTPANSSTRCLDFPWTSDSDADSLLLLYFAELQPAARRRFDVVVDGGVAVRRGYSPRYLAAEVVRATVKGGAAVAARRHVASLVASPDSTLPPLVNGLEIYTVQPMTELGTNDRDAKAMMAIRDKYELKKNWMGDPCAPKAFAWVGLNCTYASSVPAWITTLNLSSSVLTGPVDLPFGDLQSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNNFSGLIPHNLLQKRQNGSLVLRIANNANLCDDDGNNACASENKQSKRILVIAIAVPIAVAILLFVAAILHLHRRLNNHETWMANNARLISPRERSNVFENRQFTYRELKLMTANFKEEIGQGGFGTAQHLIRVHHRNLVSLIGYCKDKKHLALVYELEYLHKSCQPPLIHRDVKTRNILLTADLTAKLADFGLTKAFPFASAADGDVTHVTTQPASTLGYLDPEYYHTSRLSDKSDVHSFGVVLLELLTGRPPAVPVNDSGDGESVHVAVWVRRRLAEGDIEGVADPAMGEFYEVNSVWKVAELALWCKERPARERPDMAGVVAELRECIELEEASRMSRFHYYGYGTDDGSSSTVMTTTSSATTVAASDERIGELRKESFISIDCGIAENTTYSDDSTRGLRYVSDFGFVDAGAGLNSGINPPYNDPSMAARYLTVRYFPIGASHRGCYTLRSLTPGGKYLLRSSFYYGNYDGENILPAFDLHLNGHLWATINVTSAGRAYIHEVIVVAPAGYLQVCVVDRGLGTPFISVLDLRPLAAEMYPEADAGHSLALLSQFRPGVALGFNRYHFWPADYQFYRYPFDPYDRIWQRFGTDFSWTNITTSDTINTTNISGEVPSIILQSAATPATNVSRIDISWTNSGDPSSNNNITYLLLLYFAELQRLPANALRQFDILVDNVSWNGSRGYVPRYLNAEAVSMTVQGSVQQQQHSVSLVATANATLPPILNAFEVYMVQRMTEFQTNVGEAKAMMAIRDKFGLKKNWAGDPCTPEAFYWDGLNCSYSTTGPAWITALNMKSNGLTGPIDASFGDLKSLQYLDLSSNDFSGSIPIGLLQKQQNGSLTLRTHNNPNLCSNGASNCEPKNKGRKRLIIISIVVPIFIATSVFAIVMLLLRRMRNKQDTWTENNSRHNSPRDGSNILENYRQFTYKELKIITVNFKEEIGQGGFGTVFLGYMDNETPVAVKMSSKKSSQGDKEFLAEARYLSRVHHRNLVCLIGFCKDKSHLGLVYEYMQGGNLEDRLRGGAFLDSTLTWHQRLKIALDSAHGLEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTKVFTDEFTTHITTQPAGTLGYLDPEYYNTSRLSEKTDVYSFGVVLLEIITAQPPAVPINDTESIHIAQWVRQKLSEGNIESIADPRMGGEYDINSVWKVAELALNCKEKPSRERPSMTDVVAELKEGLELEVSHALGYCSSVTTSTNNLSATSVDLKTDYDETSDPRQQSVVELGQAGNASETHVQGPTPR >LPERR12G13410.3 pep chromosome:Lperr_V1.4:12:14457051:14476104:-1 gene:LPERR12G13410 transcript:LPERR12G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAETFRRRRRRRRLMLTSLLLAVITDAVVVVVDGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDGGAGANAGINPPYTDRDLAARYLTVRYFPGAAAGERGGCYTLRRLSPGGRYLVRATFYYGDYDGAAATRLPVVFDLHVGVNRWTAVNVTAADAIYIFEAVVSPTADFLQVCLVNISLGTPLISGLDLRPLKAELYPEATANQSLLLLNQDRPPAKFAFNRYQFWRPASYYKLFRYKYDPYDRLWQPYGDDPSWTNITVAAAVDVTNITRSGDPSPILRSATTPANSSTRCLDFPWTSDSDADSLLLLYFAELQPAARRRFDVVVDGGVAVRRGYSPRYLAAEVVRATVKGGAAVAARRHVASLVASPDSTLPPLVNGLEIYTVQPMTELGTNDRDAKAMMAIRDKYELKKNWMGDPCAPKAFAWVGLNCTYASSVPAWITTLNLSSSVLTGPVDLPFGDLQSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNNFSGLIPHNLLQKRQNGSLVLRIANNANLCDDDGNNACASENKQSKRILVIAIAVPIAVAILLFVAAILHLHRRLNNHETWMANNARLISPRERSNVFENRQFTYRELKLMTANFKEEIGQGGFGTVYLGYLEDGSPVAVKMCSKTSSQGDKEFIAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYELEYLHKSCQPPLIHRDVKTRNILLTADLTAKLADFGLTKAFPFASAADGDVTHVTTQPASTLGYLDPEYYHTSRLSDKSDVHSFGVVLLELLTGRPPAVPVNDSGDGESVHVAVWVRRRLAEGDIEGVADPAMGEFYEVNSVWKVAELALWCKERPARERPDMAGVVAELRECIELEEASRMSRFHYYGYGTDDGSSSTVMTTTSSATTVAASDERIGELRKESFISIDCGIAENTTYSDDSTRGLRYVSDFGFVDAGAGLNSGINPPYNDPSMAARYLTVRYFPIGASHRGCYTLRSLTPGGKYLLRSSFYYGNYDGENILPAFDLHLNGHLWATINVTSAGRAYIHEVIVVAPAGYLQVCVVDRGLGTPFISVLDLRPLAAEMYPEADAGHSLALLSQFRPGVALGFNRYHFWPADYQFYRYPFDPYDRIWQRFGTDFSWTNITTSDTINTTNISGEVPSIILQSAATPATNVSRIDISWTNSGDPSSNNNITYLLLLYFAELQRLPANALRQFDILVDNVSWNGSRGYVPRYLNAEAVSMTVQGSVQQQQHSVSLVATANATLPPILNAFEVYMVQRMTEFQTNVGEAKAMMAIRDKFGLKKNWAGDPCTPEAFYWDGLNCSYSTTGPAWITALNMKSNGLTGPIDASFGDLKSLQYLDLSSNDFSGSIPIGLLQKQQNGSLTLRTHNNPNLCSNGASNCEPKNKGRKRLIIISIVVPIFIATSVFAIVMLLLRRMRNKQDTWTENNSRHNSPRDGSNILENYRQFTYKELKIITVNFKEEIGQGGFGTVFLGYMDNETPVAVKMSSKKSSQGDKEFLAEARYLSRVHHRNLVCLIGFCKDKSHLGLVYEYMQGGNLEDRLRGGAFLDSTLTWHQRLKIALDSAHGLEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTKVFTDEFTTHITTQPAGTLGYLDPEYYNTSRLSEKTDVYSFGVVLLEIITAQPPAVPINDTESIHIAQWVRQKLSEGNIESIADPRMGGEYDINSVWKVAELALNCKEKPSRERPSMTDVVAELKEGLELEVSHALGYCSSVTTSTNNLSATSVDLKTDYDETSDPRQQSVVELGQAGNASETHVQGPTPR >LPERR12G13410.4 pep chromosome:Lperr_V1.4:12:14457051:14476104:-1 gene:LPERR12G13410 transcript:LPERR12G13410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAETFRRRRRRRRLMLTSLLLAVITDAVVVVVDGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDGGAGANAGINPPYTDRDLAARYLTVRYFPGAAAGERGGCYTLRRLSPGGRYLVRATFYYGDYDGAAATRLPVVFDLHVGVNRWTAVNVTAADAIYIFEAVVSPTADFLQVCLVNISLGTPLISGLDLRPLKAELYPEATANQSLLLLNQDRPPAKFAFNRYQFWRPASYYKLFRYKYDPYDRLWQPYGDDPSWTNITVAAAVDVTNITRSGDPSPILRSATTPANSSTRCLDFPWTSDSDADSLLLLYFAELQPAARRRFDVVVDGGVAVRRGYSPRYLAAEVVRATVKGGAAVAARRHVASLVASPDSTLPPLVNGLEIYTVQPMTELGTNDRDAKAMMAIRDKYELKKNWMGDPCAPKAFAWVGLNCTYASSVPAWITTLNLSSSVLTGPVDLPFGDLQSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNNFSGLIPHNLLQKRQNGSLVLRIANNANLCDDDGNNACASENKQSKRILVIAIAVPIAVAILLFVAAILHLHRRLNNHETWMANNARLISPRERSNVFENRQFTYRELKLMTANFKEEIGQGGFGTVYLGYLEDGSPVAVKMCSKTSSQGDKEFIAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRGFISIDCGIAENTTYSDDSTRGLRYVSDFGFVDAGAGLNSGINPPYNDPSMAARYLTVRYFPIGASHRGCYTLRSLTPGGKYLLRSSFYYGNYDGENILPAFDLHLNGHLWATINVTSAGRAYIHEVIVVAPAGYLQVCVVDRGLGTPFISVLDLRPLAAEMYPEADAGHSLALLSQFRPGVALGFNRYHFWPADYQFYRYPFDPYDRIWQRFGTDFSWTNITTSDTINTTNISGEVPSIILQSAATPATNVSRIDISWTNSGDPSSNNNITYLLLLYFAELQRLPANALRQFDILVDNVSWNGSRGYVPRYLNAEAVSMTVQGSVQQQQHSVSLVATANATLPPILNAFEVYMVQRMTEFQTNVGEAKAMMAIRDKFGLKKNWAGDPCTPEAFYWDGLNCSYSTTGPAWITALNMKSNGLTGPIDASFGDLKSLQYLDLSSNDFSGSIPIGLLQKQQNGSLTLRTHNNPNLCSNGASNCEPKNKGRKRLIIISIVVPIFIATSVFAIVMLLLRRMRNKQDTWTENNSRHNSPRDGSNILENYRQFTYKELKIITVNFKEEIGQGGFGTVFLGYMDNETPVAVKMSSKKSSQGDKEFLAEARYLSRVHHRNLVCLIGFCKDKSHLGLVYEYMQGGNLEDRLRGGAFLDSTLTWHQRLKIALDSAHGLEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTKVFTDEFTTHITTQPAGTLGYLDPEYYNTSRLSEKTDVYSFGVVLLEIITAQPPAVPINDTESIHIAQWVRQKLSEGNIESIADPRMGGEYDINSVWKVAELALNCKEKPSRERPSMTDVVAELKEGLELEVSHALGYCSSVTTSTNNLSATSVDLKTDYDETSDPRQQSVVELGQAGNASETHVQGPTPR >LPERR12G13420.1 pep chromosome:Lperr_V1.4:12:14478093:14480067:1 gene:LPERR12G13420 transcript:LPERR12G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDFGERNGYLRGVVTDIIHDPGRGAPLARVAFRHPTRHGRQKEQFVAAEGMYTGQAVYCGRRAALSIGNVLPIRSVPEGAVVCNVEQHVGDRGAFARASGDYAIVISHNLDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGQKTGLIGARRTGRLRGQAAATAAKVDKAT >LPERR12G13430.1 pep chromosome:Lperr_V1.4:12:14479449:14482469:-1 gene:LPERR12G13430 transcript:LPERR12G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDTEQSCQVHDDFMGCHAARATYHSSSSLASETTSPPCTNETGLLARRSITSDSGGVTNVLVVTSSVGMIDRVHGHTTHLRPAVPLHPVLVVGVPCLEHWLLSPASAGNLADHGTAAAGDNLLGTRGELDPARK >LPERR12G13430.2 pep chromosome:Lperr_V1.4:12:14479449:14482469:-1 gene:LPERR12G13430 transcript:LPERR12G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSYTGLSSVSDTEQSCQVHDDFMGCHAARATYHSSSSLASETTSPPCTNETGLLARRSITSDSGGVTNVLVVTSSVGMIDRVHGHTTHLRPAVPLHPVLVVGVPCLEHWLLSPASAGNLADHGTAAAGDNLLGTRGELDPARK >LPERR12G13440.1 pep chromosome:Lperr_V1.4:12:14483015:14494258:-1 gene:LPERR12G13440 transcript:LPERR12G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGEMIGRAVANRIASMISDLVLEEATLLWGFKDDIDGMKESMRDLEALMQDADDKARQGGRDGAAVREWLRKLKSVAYDVEDVLDGLNAAQLIKNSQSKFQIFLSQNNQLIQKITTAHNMKSLRGKIDKLEKEGQKLNIVRHEVHPKGYRDSKTFAVIIDDDMDIGMLGRDAEKEKIIRLLLNTEAKEDISIIPVVGLGGIGKTTLAQAIFTDKRVNIFDVRAWVYVSKEFDLLGIGKAIIRGANTNINLDNCNLQFIQDHLKKELTNKRYLIVLDDLWEEYGDNLEKLKQMLQHGSKGSKIIVTTRSASVVQMLCTGYLANKWRICPVTEPNYINLVVLSPDDCWKVMKRRVFGPNDEQSGLEEIGRQIALRCGGLPLVANALGQVMSEHRTIEAWEDIRDRKIVLDFKIDHQRDTLEHLMLSYYYMKPDFKMCFTYLAAFPKGFIMDSNCLIQQWSALGYIQARHHGQSCINYLLGMSFLQLAKSSSVSPVHTKSVRKLTMHDLVHDIATIIATDEFLVIDATKPTTWDKANKHYCRHARLINYNKQSKVFKHIPSKVRTIFIREWTEMQLPQKSFSQSKYLRILDLSGGSDQIHSFTRSMMPSPICRLILLGYLDVSGLPITSLPKSFCALQNMQTLILSNCSLEKLPANIGNLQKLCYLDLSRNGKLKKLPPSIKNLVEVFLLNLSGCSKLEELPESIHNLKCLQQLDMSYCYALEKLPDNFGNLPAISYINFTNCSKLTKLPKKLILKSLEHLNLSGCKELESLPEDFGNLHRLELLNMSDCYKIHELPDTFCQLKHLKDLNLSDCHDLKQLPEFFGDLSELRSLNLTSCSKLNLNLSYCIRIEDLPSSFGDLQLQVLDVTGCYSLLDLPDSISDMTSLTLLNIATGQSDVFDKAQTIKEHLNLPGVVEHYAHEIDNAECSSIVELGQLHCRELVVQHLEDVKQPEDARRANLRDMMELRKLILSWRPWRLGDVRNMGNDNNDNLVLENLVPPRTLENFILYGYMSKDFPCWMSSISSYLPYLIYLRLSNLATCDPLPAFGQLPNLRIFSMQDMPNIRKIGKEFYGEEGNCKKLRIIWLQRMYNIEEWWTTRSGEEDQEFLIPNLHVLEVIDCPKLSFLPYPPRGISNEVASGSLTTATAWPSSISH >LPERR12G13450.1 pep chromosome:Lperr_V1.4:12:14499921:14500112:1 gene:LPERR12G13450 transcript:LPERR12G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVHKSWRRRPRRRVGKLRTSSLSKRTPYLAHQLSMRVLDTQGSGGPPFRFGTGVRCTKA >LPERR12G13460.1 pep chromosome:Lperr_V1.4:12:14503744:14504352:1 gene:LPERR12G13460 transcript:LPERR12G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERGDNKPAVGRLAGVILLLRLASLCFAAAAAAVFAATDGAELLRAAAAFRFLLAANAVVAVYSAFEVAAAAWEVSKGVTLLPEPMQLWFDFGHDQGFGYMALAAAAAAAREAAACGTHGGAACVQGGIAVGLGFAGFAAVAAAAVATGYRLACFLATGSRSPASSSPPPY >LPERR12G13470.1 pep chromosome:Lperr_V1.4:12:14508595:14514408:1 gene:LPERR12G13470 transcript:LPERR12G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTQILLSAQSADGAIRKHAEENLKQFQEQNLPGFLFALSSELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGAKAQIKALLLQTLSSPVASARSTSSQVIAKVAGIEIPQQQWPELITSLLSNIHQVQPNVKQATLETLGYLCEEVSPEVVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYEKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVKDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLAPFFQDIVQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTAATDDETLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPHILHFLDTLYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSVSSKKFLDECLASDDPMIKESADWARIAISRAVSG >LPERR12G13480.1 pep chromosome:Lperr_V1.4:12:14516334:14517029:1 gene:LPERR12G13480 transcript:LPERR12G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLTFLAVLLLAAASATTSPASMASATTLTIHNLCPHPVWPLITPNSGLPSISDNTARLDPNSLLSLPFPSSPWSGRVAARTGCDAAASTCETGSSPPSTVAQIAVHGGGSLDQAAYSVSLVDGFNVPMVISPQQGGAGQCPALGCAADLNCDCPPAQRFSDGAACRGPPEYFKRRCPLTRTTASDVEPVPQSCRGPGELKVVFCAPAVVTVAGAGEDDMVIRTVVADS >LPERR12G13490.1 pep chromosome:Lperr_V1.4:12:14520672:14521163:1 gene:LPERR12G13490 transcript:LPERR12G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFALLLLAAMAATAISTTSGAYTGCATPRKITIQNLSGRDLHLTEQPLANSPSLFPAAGLVLRHGTHAEFPTCIWTGRVAAPEAGAALVEFHVAPDGGAWYQVDNKQYGAAVRVTVTPHGRPLQGHCPAAGCRDHGQCFADRVPGGNCHAVDELKIIYYSA >LPERR12G13500.1 pep chromosome:Lperr_V1.4:12:14523573:14526637:1 gene:LPERR12G13500 transcript:LPERR12G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVSMAVCYALPVLVSLLVVRFAYVKYCSGHPHLKLPASAAGMRCLIVLGSGGHTAEMVNVVSTLQKDRYTPRYYVAALTDNMSLQKARVYELSLVQREVDKEKAIENAQFVQIYRSREVGQSYFTSIATTLLATLHTMWIIIRIRPQVILCNGPGTCIPLCVSSFVLKVLGLGWSSIFYIESIARVKKLSLSGLMLYKLRIADQFFVQWPQLQKKYPRACYAGRLM >LPERR12G13510.1 pep chromosome:Lperr_V1.4:12:14530549:14531250:1 gene:LPERR12G13510 transcript:LPERR12G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLPFLLLAAAMAVTSSPASAAATKLTMHNLCPYPIWPLITPNTGFPSISDNTARLDANGHGLISYNFPPTFWAGRLVARTACSSPPSRCETGTSPPATVVQLVVHSPEAADLAAYSVSLVDGFNVPAVVSPQAIGGGGLCPALGCAVDLNDGCPRSQRVVGGGGGVVACRGTAGYFKGRCPLTRTTGSDVEPVPQRCLAPGELKVVFCQPSMVAGDGVPEIIRTVVVADN >LPERR12G13520.1 pep chromosome:Lperr_V1.4:12:14533356:14534158:-1 gene:LPERR12G13520 transcript:LPERR12G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNLAVAFAVAAVVVTAAAATAATTKLTMHNLCPYPVWPLVAPDRSAIPSISNNTFKLDAHGAGLVFLTIPTTPCVSLVDGFNVATVVSPHEIGGGKCPALGCAVDLNEGCDLEQSVYGAGRRVVACRGPAAYFRERCPLTRTAGGGVEPLPQHCLAPGEIKLVFCQDSMVGGGGGYGEPEIIRTVVADN >LPERR12G13530.1 pep chromosome:Lperr_V1.4:12:14536208:14537534:1 gene:LPERR12G13530 transcript:LPERR12G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPYAAPPPINAAAIFMAVLAVPPPPPTLQIWPGGMDLRWGQIHGTAEPPSRTAGDDADSSSSLSNLTSLLAASSHVSGSSPKACACLGHPAATDCNLGDGI >LPERR12G13540.1 pep chromosome:Lperr_V1.4:12:14539852:14544170:1 gene:LPERR12G13540 transcript:LPERR12G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDASVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDDKIASKLPAEDKKKIEEAVEAAIQWLDGNQLAEADEFDDKMKELESLCNPIIAKMYQGAGADMGGAAGMDDDGVPPSGGSGAGPKIEEVD >LPERR12G13550.1 pep chromosome:Lperr_V1.4:12:14546972:14549049:1 gene:LPERR12G13550 transcript:LPERR12G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQIQQQPLHCLLGGGGAGAGDHHHLMPPPSALAPLPISNSVTGDTAVSAPASGGGISSSSARPPPPATPAAAASSPAVVSMSERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSSSSSSATSSRHVGAGGAVAATSSSSTTSTSTTATTTSAADVIAGIQGFPSAAALEAALQEAGYHHHHNHNHHHGNLQLLQPPPAFLPTGMNGYHFADGDGGVIADGGFPRGVVASGLLAQLASVKMEEHGVGNGGFVGGHEQYWTGGGGGGGWPAEFLSGFSSSSSGNVL >LPERR12G13560.1 pep chromosome:Lperr_V1.4:12:14554645:14560759:-1 gene:LPERR12G13560 transcript:LPERR12G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEEGEPPAETRVPAAAERVAAAVEAVIAAPGEYRNAYRRQLLALSRRIRLLGPFAEELRESRKGEKGEEMEERALAPLAAALEAALELLRLGRDGSRISLVLERDNVMKKFQGVISQLEQALCNIPYNELDISDEVSEQVELVHAQLTRAKERIDMPDDEFYKDLLSLYDKNYDPSAELAILERLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDPRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQRMSTSSLTPNYVLRSLIAQWCEANGMEPPKRSTQPNKPTPACSSSERATIDALLSKLCSPDPEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAVIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESRPQASAQVPSQATPEQIVENDIPEQLDSPASQYPMVV >LPERR12G13570.1 pep chromosome:Lperr_V1.4:12:14579484:14582516:1 gene:LPERR12G13570 transcript:LPERR12G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRDNRLDYRVFFSTRGAFRPLGWNGLLTVLVYFSVVLVLLLKYYYYAHRGGNSFFMVALIVVMAVFIAIGQVLSSGALKLVRNPLIRSAISLWSPLLAILLMGLCVLNSRAHYNSDFDFECKNSTRWIMYTGLFLPVLVLTISKLQFPCIIKLVESGMSSRQKQYMLAFWRRVILNLCMLAAIVMLLFNFRGIYVKLGIIQHQIFALLLVSFGNLQIPAAVLRVVLAVVRLKSISKDYSNPKENEINLAKSLKIFYGIVLGQGILYTVACLLEVFSFIPRRFLIRRAGFKGKRGVRYVNLYYAYAFEKYMRGAMLAQKKISLVTFAMDSINSSDKSSKKLDGVQVLHIFLTKEEFRAKTIQKLTTSRETMSSLFSMLGWTSDGDEDIRLFAAKVTAEIAGSLRVVRIPGAVQLVASLLDNIDHQPKDHFLLCDSQEATQECPTQEAVIEHNSSQIAKFFTYILIPVDEPTNTSDQQNSSLMSYWKQKTKCWSIPEDEPSTNQDYNLERLVHGLIILERLASFDSENCMEISRSTGLISKMIDFTSDRNDMGTWSLRVLRRLSSTKGKLGVTLRYKILEHPFLLTNLTDILDDSGISSHELMELAAEILKNLAMDRTISEDIKQIRVIISSLMRAFLSRNASTTSTNSSHLLRKISGQALALLAMESSNNCLAMLRESGYEFIKELTTMFHHDLYKFTAASLLGNICDHAQSELSNSDLRELFYILRKVLEGIMGAQGAELEICKVIPENFAQELEHGQIKEIFVQRLVNALNANMKASAHCPGIRRVIVQHAIYLMECNSRYANDFHRCHMVDTLSMVERTPSRAENYRLFSGDTGLMEHVTPLSSLVARAKEIMGHEWLRGISSIT >LPERR12G13580.1 pep chromosome:Lperr_V1.4:12:14586663:14587010:-1 gene:LPERR12G13580 transcript:LPERR12G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAFTPAHAPPPRRVHTCYSPPAAAAARQVMKRREEEQAERLAEAGLIQFTALPEPRTATRTAAAAATARSPWRCRVCQVDCGGRDGFREHCMSDEHYAGLQLFVLHSNLFH >LPERR12G13590.1 pep chromosome:Lperr_V1.4:12:14594361:14595717:1 gene:LPERR12G13590 transcript:LPERR12G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPRANGGGGGWLHAAWLALTGGVNPAQLFMAEEEVAGGDGSGGGSHYELVRTEDSDDGGEETRWGSGSEPASEADLFIPSRKEDLKTTTNSPESVLARGELRVRKPEFWRWSAAKKIIIAAGNSDGDGESESTAAAAPLIVTRRRGENRINDAEMKEEEDHPFCFGRHGRMESSSSSFLLLSSS >LPERR12G13600.1 pep chromosome:Lperr_V1.4:12:14596101:14600775:1 gene:LPERR12G13600 transcript:LPERR12G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSVSHFVPLRPPAHSKKRAIFPLPPQGKRFDTQSPGCLEGLFNFLALNQRLQMPKMIAYRKHNEGNRVKVPKPKNCSEKDEPVPKGANSVSPTAKVGTFIWRTLMLKKRAPRKDKKKSDSPASSPSSSRLTRSRSIHHSKCFDYVVPDELASHYHSMIESNSNEVGSCHSAPPLLEESAKGPIFQEACESSGSKHSFDAEAPCEAVPQRTNDDTEASSKQKSRDSATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSESLPRPGGSSGKRNPIFDRSDSENNRRPEIQMSPSRSKSDIDAAKVIGTRMPDGVEISSVSFTESKSLRKSGNTSNRFKAIRKKIKDAVKENRKEIARITKDGVFHKLPYGQKMSEFMKPSTEKYVLEEKQMRRSYSIAESIDKYSTLYESISRDSKISPERLSTIFKGDASLKDKKPPLSMKRITSLPEMRLYSPHQDALPEVSDSQIVPKTHNLESGCFSSYQTDSFSICTEGNYYPDDITERIADIYSEHNYGESALLGSLEEDLRNILRSPSLPSVARSFSHRRINSLPSFDRSFFQDRVTGFTEHSIADLEPTFEHMQLEDDDWLVKPPHPPGPYASSFEDDEWLVRPLQSSGVDTTDHEDEEWLVSTSQMSGGNAADFEDGEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFQYVKDILKKSGFSCGDTDWYAANQPVSPVIFEEAECSCQEIDMANDEPHSVVRRMLLFDLINKVLMDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWAKVSCYLSLQWKSDLTVEDIVAHDVMMKDSWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >LPERR12G13610.1 pep chromosome:Lperr_V1.4:12:14603827:14605122:1 gene:LPERR12G13610 transcript:LPERR12G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNNLSLFFRIHHSSSIHNLQQSEKMSCGGNCNCGSSCKCGSGCGNMYPDLAEKITTTSTSMVLGVAPAKENFEAVGKSAESGEAAYGCSCGSSCKCNPCNC >LPERR12G13620.1 pep chromosome:Lperr_V1.4:12:14608408:14613359:1 gene:LPERR12G13620 transcript:LPERR12G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCSCGGGCGKMYPDLAEKNTVTSAATMILGMAKQGSRWLPAPVRLPMAAAVAPAASATPATVKICSEFISKLSISHCKQVPEEFTRDPKIKMSCGGSCKCGSCGCGGGCGKMYPDLAEKITTTATTVLGVAPEKGHFEGVGKAAESGEAAHGCSCGSSCKCNPCNC >LPERR12G13630.1 pep chromosome:Lperr_V1.4:12:14613547:14615335:1 gene:LPERR12G13630 transcript:LPERR12G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAVMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >LPERR12G13640.1 pep chromosome:Lperr_V1.4:12:14616923:14617648:-1 gene:LPERR12G13640 transcript:LPERR12G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKAAKTKSKSSKKSTKTKAAASPAPAPSPPATSLDALFKPCADVKGLRFGAQIVTRALTVRRASPLELPHLLRATAGDASGGAAASFAPTTTAYIPTSFTILAHHAWHTLTMGLGTRNSKAVVFVFESGAAKAAADAAWPHVLPLGDVGRRLIRAAPGSLEMARFKFRKGCVTFYVYAVRAAAGRGFARGDELRAVVEAVARLKDFLDHTAMLALPGQRSIDFAAAGGGGAATVGKAC >LPERR12G13650.1 pep chromosome:Lperr_V1.4:12:14620213:14620572:1 gene:LPERR12G13650 transcript:LPERR12G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTPAATALLLLLYVVAAAATVAAGGVNVNSRYAVAAAASSWGLYGSHDAAAVLEADEAAAAISLAVGGPAKVSYNTVYPYGAAYCPTLACNTPVAAAKGNTAASSSSWLAAAPPAAN >LPERR12G13660.1 pep chromosome:Lperr_V1.4:12:14637788:14641447:-1 gene:LPERR12G13660 transcript:LPERR12G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLPMVEEKPTDRRGRPREPYHGPTHHLGKDRDLRMESANMKRRRAAGETNRRHTLGRRIGVL >LPERR12G13660.2 pep chromosome:Lperr_V1.4:12:14638328:14641484:-1 gene:LPERR12G13660 transcript:LPERR12G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREGRGEIGKDGWRSQQIGEDDPGNLTMDQLITWAKMKRRRAAGETNRRHTLGRRIGVASFFCC >LPERR12G13660.3 pep chromosome:Lperr_V1.4:12:14638328:14641447:-1 gene:LPERR12G13660 transcript:LPERR12G13660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLPMVEEKPTDRRGRPREPYHGPTHHLGKDRDLRMESANMKRRRAAGETNRRHTLGRRIGVASFFCC >LPERR12G13670.1 pep chromosome:Lperr_V1.4:12:14653151:14653507:1 gene:LPERR12G13670 transcript:LPERR12G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNYRPPATGIAPILLLLLFAAAAAAAGGRVVIVAASGKSRVGDYAGVMIGRRLEDVVAPELFPGGGIVVGAGGGSVGYGSFDPSKPACPRDGGCAGKKPGEPYTRPCTYQNQCERP >LPERR12G13680.1 pep chromosome:Lperr_V1.4:12:14654778:14668422:1 gene:LPERR12G13680 transcript:LPERR12G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLATFPTAAKYWKQYVEAYMATKDDESTKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSEGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPHEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYATWHAKNGSMDSAIKIFQRAVKALPDSEVLKYAFAELEESRGAIQPAKAIYESLVAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPSCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTFGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDIVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANKGDKSVVLTTGATLDKGAARVGSNTKLLPQSGKVVRPEISRMVIYDPRQMKGPDFSTSSGYTKEVDEILKRLSPQMMSFITNLPAIEGPSPDTDIVLSVLLQSTLPVGHNVDKSGLQVPGPSTSDLSGPGKSGLNQNGSIHRPPRDGQSMKRKNSERGRRQEDDDTTTTVQSQAMPRDIFRLRQIQRNRGMGSSQSGSAALSSGSVFSGDQSASSG >LPERR12G13680.2 pep chromosome:Lperr_V1.4:12:14654676:14668422:1 gene:LPERR12G13680 transcript:LPERR12G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLATFPTAAKYWKQYVEAYMATKDDESTKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSEGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPHEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYATWHAKNGSMDSAIKIFQRAVKALPDSEVLKYAFAELEESRGAIQPAKAIYESLVAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPSCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTFGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDIVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANKGDKSVVLTTGATLDKGAARVGSNTKLLPQSGKVVRPEISRMVIYDPRQMKGPDFSTSSGYTKEVDEILKRLSPQMMSFITNLPAIEGPSPDTDIVLSVLLQSTLPVGHNVDKSGLQVPGPSTSDLSGPGKSGLNQNGSIHRPPRDGQSMKRKNSERGRRQEDDDTTTTVQSQAMPRDIFRLRQIQRNRGMGSSQSGSAALSSGSVFSGDQSASSG >LPERR12G13690.1 pep chromosome:Lperr_V1.4:12:14664829:14666514:-1 gene:LPERR12G13690 transcript:LPERR12G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRERQRWRPEEDAILLSYVRQYGPREWNLVSTRMNAALDRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPPPEPSPDERGRYDWLLENFAEKIVKERPVAVATVAPLLMATPMLPPWMSSPAPPPPSPSVTLSLASSAAAAAAAPPSVPPPSAPAPWLQPPHQPPPPPSGEAIGELVECVRELEEGHRAWAAQRKEAAWRLKRVELQLESERAARRREAAEEFEAKVRALREEQAAAVERADAEFRDRMAGLRRDAEIKEQKMAEQWAAKHARLAKFLDHFAAGGAGRR >LPERR12G13700.1 pep chromosome:Lperr_V1.4:12:14683101:14685732:-1 gene:LPERR12G13700 transcript:LPERR12G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYSGGGGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRLNDSILQVTESFNFTGYLNFGRAEDIRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSYYGHTPLLQDGEMTIQLPHRERTRTQNLLGVSQKNMMETRSGDHTLLPVEMATLVMLITVMRRGRPHLTATDPLHNGGRPGPPPDRLLDHAPGPPMFLPPAATDRSLNECNGIAA >LPERR12G13710.1 pep chromosome:Lperr_V1.4:12:14692030:14697510:1 gene:LPERR12G13710 transcript:LPERR12G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETRGVDGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEVAQERERFLNEQHAMVGNDVVLEVMKEKLEAADNELAAAKEEISRMNKELEFVKKKLRDSEAKINQAEQQIGVAAELVQPRGVVKISVEKQNHLPQGLMADAGEIELTEGHQNEGLVTPNAGQTSGALVSKDDDLETVREELIKGFLEMDSGGRKLGIKEMGELNEKVFQAACLAKLPPEEAGSASYDLYSLWQKQLSDLSWNPFKTITVDGNCKEIVNVDDDKLQGLQRELGEDACKAVVNALTEMKEYNVLADRSIAYELWNYKEGRKATLRECIEYVFNQVRQLTVTKRRKTRRW >LPERR12G13720.1 pep chromosome:Lperr_V1.4:12:14698877:14701551:1 gene:LPERR12G13720 transcript:LPERR12G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRNEGEDLGGRMKLIESKIESKLFFHKDAMVEYMDMKSVARELSEEKERMEQEHHQKLVTVSKELLEPVQEELDAAKSELLDSKEQIVKVMEELVETKGQLVQKCREMEALRKKIKRSEAIAAVFLKTKGVQTRSMCKRKKLSQACPGNGCLEHDLNAQQSGRRQVMKHPTVGHPASKDDDLEILRDKLIKGFIEIDAGHPVGIKEIGVLNDNPFQPACDEKLPPEEADMTASELNSLWQELLNDKSWNPFHIITVDGNRQVEVINVDDDKLKDLRMTWGEGPYKSITDALVERKEYNVDGPGVFDLWNYKEERKASLGECIDFIFDQVKQLKLIRRKSPRLQRSVC >LPERR12G13720.2 pep chromosome:Lperr_V1.4:12:14698693:14701551:1 gene:LPERR12G13720 transcript:LPERR12G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRNEGEDLGGRMKLIESKIESKLFFHKDAMVEYMDMKSVARELSEEKERMEQEHHQKLVTVSKELLEPVQEELDAAKSELLDSKEQIVKVMEELVETKGQLVQKCREMEALRKKIKRSEAIAAVFLKTKGVQTRSMCKRKKLSQACPGNGCLEHDLNAQQSGRRQVMKHPTVGHPASKDDDLEILRDKLIKGFIEIDAGHPVGIKEIGVLNDNPFQPACDEKLPPEEADMTASELNSLWQELLNDKSWNPFHIITVDGNRQVEVINVDDDKLKDLRMTWGEGPYKSITDALVERKEYNVDGPGVFDLWNYKEERKASLGECIDFIFDQVKQLKLIRRKSPRLQRSVC >LPERR12G13720.3 pep chromosome:Lperr_V1.4:12:14699069:14701551:1 gene:LPERR12G13720 transcript:LPERR12G13720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRNEGEDLGGRMKLIESKIESKLFFHKDAMVEYMDMKSVARELSEEKERMEQEHHQKLVTVSKELLEPVQEELDAAKSELLDSKEQIVKVMEELVETKGQLVQKCREMEALRKKIKRSEAIAAVFLKTKGVQTRSMCKRKKLSQACPGNGCLEHDLNAQQSGRRQVMKHPTVGHPASKDDDLEILRDKLIKGFIEIDAGHPVGIKEIGVLNDNPFQPACDEKLPPEEADMTASELNSLWQELLNDKSWNPFHIITVDGNRQVEVINVDDDKLKDLRMTWGEGPYKSITDALVERKEYNVDGPGVFDLWNYKEERKASLGECIDFIFDQVKQLKLIRRKSPRLQRSVC >LPERR12G13730.1 pep chromosome:Lperr_V1.4:12:14704187:14711006:-1 gene:LPERR12G13730 transcript:LPERR12G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESESEATGSNMVSSSAAAATATKESAVSRAMAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVLCARLLLDGGHGVAASPVDARDQLTRTPLHVAAEAHSARCVELLLSKNARPDLKLVDGRPLHALEIALLSRKVQVKWSLDDSIEDLLSSLQEKDLSTVKLLAEKTRDAGEVAYRYAMEGRVAALAMLLLVVEEKISAPVSVVIEGVRTKKSIYYSVVDEALSIGDAPARDGNERRKALLCEIQLFNHFGAALWRDRIIDKRSLPPLLKAAKVRDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANAAPWNRSSHPKKSVAKKESRKEFLDDDFLDYDTDDLDERCTGLKTSASHRDFRSAQGGKSKSHFAPKQGSKFVPQPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRIGDRMQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAELQRTAPFKHHSKEVPKVTHHLLDGELNEEHNIRKRRPLPEKCLPSWFFIFRKWLPGFLVDASERLGERYPLSSLKSDFRAICRMELDHGMLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDRQSPRSPLTTNITEDSPRNTDSQQGDSCSESNAQSQPGDECSRSNAESQQDSVSTDNGSLLSEVTVSTTKPDSIESIPTGKSELIDPVPTRKPDLIEPAVTARKPDLTVPTRKPHVSEPVPTRKPIVIETVPTRKPIVIESVPTRKPIVIEPKIVSEPMRKTDLLESGLARTIGSIGSRPTTCFVDCPVERPAVTPSNCEAEMRFSFFQSQWDRFLAPNPKSDYCIICRSYDAGMQLVPCLHKICVACMMRFNVRACMTCGTSVSGVKSSPAQDARYQYMGGMDRASDQRCQLMVVCRGAEAIARCSPCMHSIACRGCLLASVTLLKNCTTCGCMIEHFMFG >LPERR12G13730.2 pep chromosome:Lperr_V1.4:12:14704187:14711006:-1 gene:LPERR12G13730 transcript:LPERR12G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESESEATGSNMVSSSAAAATATKESAVSRAMAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVLCARLLLDGGHGVAASPVDARDQLTRTPLHVAAEAHSARCVELLLSKNARPDLKLVDGRPLHALEIALLSRKVQVKWSLDDSIEDLLSSLQEKDLSTVKLLAEKTRDAGEVAYRYAMEGRVAALAMLLLVVEEKISAPVSVVIEGVRTKKSIYYSVVDEALSIGDAPARDGNERRKALLCEIQLFNHFGAALWRDRIIDKRSLPPLLKAAKVRDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANAAPWNRSSHPKKSVAKKESRKEFLDDDFLDYDTDDLDERCTGLKTSASHRDFRSAQGGKSKSHFAPKQGSKFVPQPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRIGDRMQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAELQRTAPFKHHSKEVPKVTHHLLDGELNEEHNIRKRRPLPEKCLPSWFFIFRKWLPGFLVDASERLGERYPLSSLKSDFRAICRMELDHGMLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDRQSPRSPLTTNITEDSPRNTDSQQGDSCSESNAQSQPGDECSRSNAESQQDSVSTDNGSLLSEVTVSTTKPDSIESIPTGKSELIDPVPTRKPDLIEPAVTARKPDLVVPEPTRKPDLLETLPTRIALVPEPVPTRKPIVIETVPTRKPHVSEPVPTRKPIVIETVPTRKPIVIESVPTRKPIVIEPVPTRKPILIEHVPTRKPILIEHVPTRKPNVVDPAYLTQKIVSEPMRKTDLLESGLARTIGSIGSRPTTCFVDCPVERPAVTPSNCEAEMRFSFFQSQWDRFLAPNPKSDYCIICRSYDAGMQLVPCLHKICVACMMRFNVRACMTCGTSVSGVKSSPAQDARYQYMGGMDRASDQRCQLMVVCRGAEAIARCSPCMHSIACRGCLLASVTLLKNCTTCGCMIEHFMFG >LPERR12G13740.1 pep chromosome:Lperr_V1.4:12:14714653:14722979:1 gene:LPERR12G13740 transcript:LPERR12G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGTYEDDILYDASFGATEDKFIKYQITRWILLSIVLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLCLTPHAIVYKVTKPVTFPCFGVLRNEKHVILHSVSDIVVEQGYLQSFFGIYSIRIENIGVRRPASDDIKIAGVIHPHDFRKAVLVHLLNTRNMKLSQKAYVHDDHQSTSSNPVATSSVAPLGDLLLEKLDEVEISVKKMQALVEGVETSRIKA >LPERR12G13740.2 pep chromosome:Lperr_V1.4:12:14714653:14718191:1 gene:LPERR12G13740 transcript:LPERR12G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGTYEDDILYDASFGATEDKFIKYQITRWILLSIVLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLCLTPHAIVYKVTKPVTFPCFGVLRNEKHVILHSVSDIVVEQGYLQSFFGIYSIRIENIGVRRPASDDIKIAGVIHPHDFRKAVLVHLLNTRNMKLSQKAYVHDDHQSTSSNPVATSSVAPLGDLLLEKLDEVEISVKKMQALVEGVETSRIKA >LPERR12G13740.3 pep chromosome:Lperr_V1.4:12:14714653:14718265:1 gene:LPERR12G13740 transcript:LPERR12G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGTYEDDILYDASFGATEDKFIKYQITRWILLSIVLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLCLTPHAIVYKVTKPVTFPCFGVLRNEKHVILHSVSDIVVEQGYLQSFFGIYSIRIENIGVRRPASDDIKIAGVIHPHDFRKAVLVHLLNTRNMKLSQKAYVHDDHQSTSSNPVATSSVAPLGDLLLEKLDEVEISVKKMQALVEGVETSRIKA >LPERR12G13740.4 pep chromosome:Lperr_V1.4:12:14714653:14718265:1 gene:LPERR12G13740 transcript:LPERR12G13740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGTYEDDILYDASFGATEDKFIKYQITRWILLSIVLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLCLTPHAIVYKVTKPVTFPCFGVLRNEKHVILHSVSDIVVEQGYLQSFFGIYSIRIENIGVRRPASDDIKIAGVIHPHDFRKAVLVHLLNTRNMKLSQKAYVHDDHQSTSSNPVATSSVAPLGDLLLEKLDEVEISVKKMQALVEGVETSRIKA >LPERR12G13740.5 pep chromosome:Lperr_V1.4:12:14714653:14718265:1 gene:LPERR12G13740 transcript:LPERR12G13740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGTYEDDILYDASFGATEDKFIKYQITRWILLSIVLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLIENIGVRRPASDDIKIAGVIHPHDFRKAVLVHLLNTRNMKLSQKAYVHDDHQSTSSNPVATSSVAPLGDLLLEKLDEVEISVKKMQALVEGVETSRIKA >LPERR12G13750.1 pep chromosome:Lperr_V1.4:12:14729173:14732358:1 gene:LPERR12G13750 transcript:LPERR12G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTAADSFPIAGDDVTGGGIGIHDAADDFFYLSDLAPASPSSYLNLPPSPHPPGDHQGGGGGGGDSSSSAAAAAGPEDLVLPFISRMLMEEDIDDKFFYDYPDHPALLQAQQPFLEILSDPSSTSISDDLLRLSSPTSSDTSAAAVNSYPSAAPVELDPAEFFANSDLMSTAFLKGMEEANKFLPSEDKLTIIDLEASSENYLKGVEEARRFLPSDDRLNLVGFNGVTVKKEEAVDVVTVAAAAGRGRKNRYDDEELEMEGGRSSKQTAVQGDDVAARAMFDQVMMPSHENCTEMMEKLRIAMREEAAKNEASNGGGKGGSNGKTRGARRGGKDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARLAGTGSLVHQSLMAKRTSAVDILQAYQLYMAAICFKKVSFMFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRITGIDLPQPGFRPTERIDETGRRLSKYAQEFNVPFKYNSIAAAKMESIRKEDLNIDPDEVLFVNCQCHFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHSIVNGSFSAPFFVTRFREALFYYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACYEGDGYPKLLKL >LPERR12G13760.1 pep chromosome:Lperr_V1.4:12:14738517:14738705:1 gene:LPERR12G13760 transcript:LPERR12G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLTKKGSRRSEQGRASRGSTRSVHGRLASLVKEQRARFYIMRRCVTMLVCWRD >LPERR12G13770.1 pep chromosome:Lperr_V1.4:12:14739424:14742338:1 gene:LPERR12G13770 transcript:LPERR12G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGSGNGDDSVAAPTPDPGRGKKRPPSPATLPSDGEDSDDSWAVSDSGSEDEEEDDDDEEGEEGMHRPFTVDDFPRLSSDHSVQTAGLPARGICISTSVLFEFQLCVRNDDSPEAEDEPKGDTLIEGCTEFTNMFESTSFIKTVRLYGEKCGLDLKFLVLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSDVIRLFRGIAQSGHKISSVVAVVRRSYLDLCIEGSPRDTGLGEKLPSIRWERRFDAGFHGVVDEVVKLGDFTTICVKIAWKALHKLSSLRR >LPERR12G13780.1 pep chromosome:Lperr_V1.4:12:14744589:14747149:1 gene:LPERR12G13780 transcript:LPERR12G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWFGSDYRLDDESEINVNSARSVDCSNGCRCLSMNLVQFIDMKISGYHHTQPGRAKIFGFFAARDDMEPLRNYVFRRAIDNFEAVSVKRKTGLASLSLTSPARGICITSRVLFEFQLCIRTNDSPEAEDEPKGDILIEGCTEFTNMLRSKSFIETVRLYGEKCGLDLKFAVLVNAVQATVDVEIIHSPASGLGLKLFAKTSGFSDVIHLFRGVVQSGCRISSVVAVERYSHLDLCIEGSPTDIGLGERLLCARWERRFGAGFHGTVDEVVKLGDFTTISVKVTWKALKKRSPPMA >LPERR12G13790.1 pep chromosome:Lperr_V1.4:12:14757986:14758369:1 gene:LPERR12G13790 transcript:LPERR12G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSWLTTSPTRYWQHRCAHSSRVVHGSGTPDVIARPPRRLFSVIFLNDCCDRITVFIYCASSCTPVLGVLPCAHDHSMASLVRLAAWLVCSSSAFDFGYIDHGYSTRGYHDHDFLAPLAFGYID >LPERR12G13800.1 pep chromosome:Lperr_V1.4:12:14763965:14766915:1 gene:LPERR12G13800 transcript:LPERR12G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGSGDDSVAATPDPGRGKKRPPSPATPPSDGEESDDSWAVSDSGSEDDEDDEEDQGMHRPFTVDDFPRLSSDHSVQTEGLYDIPNLRLRGPFPLSLFRAFNDPLTDKRRHWFGSQYRLDDESETNANSAGTIDCLNGCRCISMNLVQFIDMKISGYRHTQPGRAKIFGFFAARDDMEPLRNYVFRHAIDNYEAVSVKRKTGMACLSLTSPARGICITSRVLFEFQLCARIEDSPGAEDEPKGDTLIEGCTEFTNMFRSESFIKTVRLYGEKCGLDLKFLVLVNAVQATVDFEIIHSPACGLNLKVYAKTSGFSDVIRLFRGTAQSGHKISSVVAVVRRSYLDLCIEGSPRDTGLGEKLPSIRWEHRFGAGFHGVVDEVVKLGEFTTICVKIAWKALDKLSSPRRWRSFSVL >LPERR12G13810.1 pep chromosome:Lperr_V1.4:12:14790720:14791155:1 gene:LPERR12G13810 transcript:LPERR12G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTSGLAWGEGIHSSLTLSPFNPSMWLDMEHVGCRTSSLVGRPAVWRWSSGCALKVAGAGGESPVFGPATTTPADATLLLGRENLALDFRDEQRGTIGVVFFMEALCGSFIIL >LPERR12G13820.1 pep chromosome:Lperr_V1.4:12:14794765:14798514:-1 gene:LPERR12G13820 transcript:LPERR12G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKRPASPGSPPSDGDDSSISDGGWTVSDSGSDEEECDEEEYRGAYRPFTVDDFPRLGSGFLEQTGTLYEIPDIHLRGPRPLSLFRAYKDHVIIENGNHVFADTYQLSDESEVSVGSITNVDCSNQCHCFPATLVQFIDLKIAGYRHTQPGHAKIFGFFAARDYIKPLRNYIYRHNVDNCEAVSVKQKTGMARLSLTSPARGCTEFTEFHRSESFVETRRLYGEKCGLDVKFAVLSNAVQAMIDVEILHASIGGLNLKLFAKTSGFSDVIRLFRGVVEAGYKMSSVVAVEVHSYLGICIEGSSTDNDDLDVVKWESRFDASYHGTVAEVVKLDDLVTISVKISWEAVHDRRQC >LPERR12G13820.2 pep chromosome:Lperr_V1.4:12:14794765:14798514:-1 gene:LPERR12G13820 transcript:LPERR12G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKRPASPGSPPSDGDDSSISDGGWTVSDSGSDEEECDEEEYRGAYRPFTVDDFPRLGSGFLEQTGTLYEIPDIHLRGPRPLSLFRAYKDHVIIENGNHVFADTYQLSDESEVSVGSITNVDCSNQCHCFPATLVQFIDLKIAGYRHTQPGHAKIFGFFAARDYIKPLRNYIYRHNVDNCEAVSVKQKTGMARLSLTSPARGICMTSHVLFEFELFIRTSEDEAKGDILIAGCTEFTEFHRSESFVETRRLYGEKCGLDVKFAVLSNAVQAMIDVEILHASIGGLNLKLFAKTSGFSDVIRLFRGVVEAGYKMSSVVAVEVHSYLGICIEGSSTDNDDLDVVKWESRFDASYHGTVAEVVKLDDLVTISVKISWEAVHDRRQC >LPERR12G13830.1 pep chromosome:Lperr_V1.4:12:14800563:14802593:1 gene:LPERR12G13830 transcript:LPERR12G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGSTGGESAATPFPGRGKKRPPSPETPPSDGEESDSDDSWAVSDSGGSDDEEEYCEGDDQGMCHPFTVDDFPRFSSDHFVQTHELYQYPDIRLRGPSPLSIFRVYNDPVSVREGKHWFAKEYRLDNESETSVNNVVTVDCSNKCRCIPMSLLQFFDLKISGYRHGIGRLSLTSPARGIVVSSQALLEFKLCVLTECPPEDEPNFETLIEGCTEIYMYDSESFVKSGRFYGEKCGLDVKYAVLINAVQATVDTKILCAPICGLNLKLFAKTSGFSDVICLFRGVAGQGHRLSSVVAVSRHSYLDLCIEGSSIDNALSQKLSRARWNCRFNACYHGTVDEEVKLDDFTTISVKITFKPVGMGQSLK >LPERR12G13840.1 pep chromosome:Lperr_V1.4:12:14803152:14806432:-1 gene:LPERR12G13840 transcript:LPERR12G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLEADRDGGSTPSSDPGSFSDRTDPSDDDDDAGAGGDDDDDGPKGTWPQSFRQSIDMMSVVPSPAMSTIIAASPNLTKLSNTIREGSSFFLRKVTTSSTLGREGSLPLTKPLLPPSLSQLSTTSQPPPPPVVGESTDSLAPLRPPAASQGEIPVVPSSACLKSSYIDLPPPSTKCGQKQAILNGLNVLCGVGILTTSYGIKQGGWFSLILLPLLGGCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSVVLYLELYASAVEYITLLGDSLASVFPSADLAFTGIYLNAHNLFAITMALAILPSVWLKNLSLLSYLSAGGVIATTTVIVCLFWVGIGEGVGFHPGGTALNMTHFPVALGLYGYCYSGHSVFPNIYSSMEQRSKFTFVLLFCFILVTVVYAGVAVTGFLMFGESTMSQFTLNMPQQYIPSKIAIWMTIINPYTKYALTLTPVALSIEEALPKRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPLWEVVLCISIILLGILCACVGSYTSISQMISR >LPERR12G13850.1 pep chromosome:Lperr_V1.4:12:14812413:14813151:1 gene:LPERR12G13850 transcript:LPERR12G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQEGGEGSSSHDQYYFDRMETMSDLGFIGSDVDYQESGKSIWSNLAGDSDGDGDDAGDWTIPANLMQQM >LPERR12G13860.1 pep chromosome:Lperr_V1.4:12:14813433:14824481:1 gene:LPERR12G13860 transcript:LPERR12G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCGGGRRRRRTPAGGRMGRAATPGRVRRPPRLDADWMYQVNTYPLQMKRCLLRLQAYHFLGSEAAKHFGNIDTAMQASGKPSYKAIYGSCSSGQMIGLGESQYKLARASSSQRGYTDNFSDATGIEQLKLQKQTSADGSTESRSELSRDLIGSGKGSPLTKSGKHLEQQMVKALSAQPYHPVFPVSQGVSSCSSSSQHVVSSSGEAKYTGNSGQEMQVASQIAREPSHRALYGNRNPYQSTGLGDHFDKFTATSSFEGVNTGSNTFSGMNLPTNSANENTKYNQLKLQKQIGGYQRGEFGSGLNRDDVGDLTVTGVTQKVQVGQNMVKALSADPYHPVFSASHGTSSSSPSQYHMSRQLLDHPEYHPRIHHTHPSLQETIKDVSTSMTPQIRESCYQEKFCIAGSSASVQGMDDVGHSNPVMPLLHRTAFSTRDIENKSPTLGGRLHTDVSQIHSTLGHQTAANSMQIQYASQVARRPSQQPLHADVPETHSAFGHQSAANNIRRPFARQVTRQASHRSLYESINRSLRTGSSGDSQIELFRPFSPRTISSDVNSGELPRINLQSGTMMGRADFNRQNPPRQIALHENTASRPFGTYPEFPRTSFLSQQNSAFSQLNTAIRRLPGSGSSSTRLRQSAEQSAMMGTPRPFNRISGGARPLPGSPLSSISRGSSSGGSSNYRGTVSSPIYHPGGYNTNSTLPLRMNPYAREAYATSALPQATRRSHQVYFDSVEGSSQCVQEMGLGETRNPNMLTAQQQLRMHVQRQLEGQLERQLERQHHRQLRRQLKMELHRQHGRHHERTIGSEAQSFYGNDEPSLRRDQPEEFLEIVRKHEAFFLSFYDPAPIPAKVMIALNQCYAVDAEREIPHAESDPTPVRGTPRHGHQNDTSGSERSDMDLAHRQDSKRLNNKELNALLFQGKFNSLNQGNYRLFDIEGHVLKCSIDNCGSRFIQQKLTTATPEEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPPQRREITACLFGNVLSLSCQQYGCRVVQRAVELGDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFAEGMYGHVVELSVHPYGCRVIQRVLEYFDDPLIQENFLDEIIEEVYYMAQDQYANYVVQNILQHGKAVMRSKIIKKFFGRVMAMSTQKFASNVIEKCLIFGSYDEKQKIINEVIGTTELITSDGTEGLMDMVNDQYANYVVQKVIETCDEWQRKLILACLRMHRSKVRDLTYAKHVVARLERLIEIGERKTLQNPKRSRQHGKQPLVRETKEDTF >LPERR12G13860.2 pep chromosome:Lperr_V1.4:12:14817907:14824481:1 gene:LPERR12G13860 transcript:LPERR12G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTPRPFNRISGGARPLPGSPLSSISRGSSSGGSSNYRGTVSSPIYHPGGYNTNSTLPLRMNPYAREAYATSALPQATRRSHQVYFDSVEGSSQCVQEMGLGETRNPNMLTAQQQLRMHVQRQLEGQLERQLERQHHRQLRRQLKMELHRQHGRHHERTIGSEAQSFYGNDEPSLRRDQPEEFLEIVRKHEAFFLSFYDPAPIPAKVMIALNQCYAVDAEREIPHAESDPTPVRGTPRHGHQNDTSGSERSDMDLAHRQDSKRLNNKELNALLFQGKFNSLNQGNYRLFDIEGHVLKCSIDNCGSRFIQQKLTTATPEEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPPQRREITACLFGNVLSLSCQQYGCRVVQRAVELGDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFAEGMYGHVVELSVHPYGCRVIQRVLEYFDDPLIQENFLDEIIEEVYYMAQDQYANYVVQNILQHGKAVMRSKIIKKFFGRVMAMSTQKFASNVIEKCLIFGSYDEKQKIINEVIGTTELITSDGTEGLMDMVNDQYANYVVQKVIETCDEWQRKLILACLRMHRSKVRDLTYAKHVVARLERLIEIGERKTLQNPKRSRQHGKQPLVRETKEDTF >LPERR12G13860.3 pep chromosome:Lperr_V1.4:12:14813433:14819153:1 gene:LPERR12G13860 transcript:LPERR12G13860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCGGGRRRRRTPAGGRMGRAATPGRVRRPPRLDADWMYQVNTYPLQMKRCLLRLQAYHFLGSEAAKHFGNIDTAMQASGKPSYKAIYGSCSSGQMIGLGESQYKLARASSSQRGYTDNFSDATGIEQLKLQKQTSADGSTESRSELSRDLIGSGKGSPLTKSGKHLEQQMVKALSAQPYHPVFPVSQGVSSCSSSSQHVVSSSGEAKYTGNSGQEMQVASQIAREPSHRALYGNRNPYQSTGLGDHFDKFTATSSFEGVNTGSNTFSGMNLPTNSANENTKYNQLKLQKQIGGYQRGEFGSGLNRDDVGDLTVTGVTQKVQVGQNMVKALSADPYHPVFSASHGTSSSSPSQYHMSRQLLDHPEYHPRIHHTHPSLQETIKDQPSYAIASPHCFFHQGYREQISHLGWQVAY >LPERR12G13860.4 pep chromosome:Lperr_V1.4:12:14819203:14819911:1 gene:LPERR12G13860 transcript:LPERR12G13860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQYASQVARRPSQQPLHADVPETHSAFGHQSAANNIRRPFARQVTRQASHRSLYESINRSLRTGSSGDSQIELFRPFSPRTISSDVNSGELPRINLQSGTMMGRADFNRQNPPRQIALHENTASRPFGTYPEFPRTSFLSQQNSAFSQLNTAIRQYQEVLVLFQEVLFLPYLEVLVLVVAQTIGVLFLLQYTIRVDTILIPPCH >LPERR12G13870.1 pep chromosome:Lperr_V1.4:12:14824663:14826798:-1 gene:LPERR12G13870 transcript:LPERR12G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHPKPNLAVLLLHLLFHLAASQELTFTYSGFHTTTTTTTTNNPNLTINGAATELRPDGILRLTNETSRLIGHAFYPSPLPLLAGAAAGEKKAASFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLAGALPSQYLGLLSAGDVGNFSNHLLAVEFDTVQDFDFGDINDNHVGVDLNSLVSNASASASPVNIKSGDTILAWVDYDGDAKLLNVSVAPAAATAGRKPAAPLISFRVDLGEIFVDEMFVGFSASTGLLASSHYLMGWSFRLGGGGAPPLDVASLPSLPRLDGGSGGTPRRFDYSDLHRATRGFRDLVGSGGFGKVYRGVLPGGVDIAVKRVSHESRQGIREFVAEIGSIGRLRHRHLVHLHGWCRRHGDLLLVYDFMPNASLDKHLFSPDHVIAKRLTWRIRYNILRHVASALVYLHEEWDHVVLHRDVKASNVLLDADMAGKLGDFGLAKLYEHGVENPSTTRVVGTLGYLAPEVARTGKATTAADVFAFGALVLEVVAGRRPISSEEVVLVDWAWERYAAGGEVVDARLGGEFDAGEAAAAVKVGLWCSHPSPPARPTMREVVRFLDGGDPAEVPEPPPPLRPVCSGEVGYYDFMHSYKSSSSPAAAAVDGVTTTQTSVATFPYSPLSMRSSHVSI >LPERR12G13880.1 pep chromosome:Lperr_V1.4:12:14833397:14834848:1 gene:LPERR12G13880 transcript:LPERR12G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKQNITGVLLLLHHLAATLAASQEFTFTYSGFRNSSNLTINGAATELRPDGILRLTNETSRLIGHAFYPSPRRSSKHRVAVDKTASPASAAAAGGAPRRFDYSDLHRATRGFRDLVGSGGFGKVYRGVLPGAAATDIAVKRVSHESRQGIREFVAEIGSIGRLRHRHLVHLHGWCRRHGDLLLVYDFMPNASLDKHLFSPDHVIAKRLTWRIRYNILRHVASALVYLHEEWDHVVLHRDVKASNVLLDADMAGKLGDFGLAKLYEHGVENPSTTRVVGTLGYLAPEVARTGKATTAADVFAFGALVLEVVAGRRPISSEAVVLLDWAWERYAAGGEVVDARLGGEFDAGEAAAAVKNGLWCSHPSPATRGPR >LPERR12G13890.1 pep chromosome:Lperr_V1.4:12:14834961:14836730:-1 gene:LPERR12G13890 transcript:LPERR12G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLCGEADEWMDGRRRGTQIGEKESNYTDKWVRKRGHTLTCDDLIDSGEYGNVATDVIVVVTPSAAAGEDDELYECTKS >LPERR12G13900.1 pep chromosome:Lperr_V1.4:12:14839038:14840114:-1 gene:LPERR12G13900 transcript:LPERR12G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSGGSRDEFGRAVARAAVAQALEAAGFDCAHRSAVDALVDVVLRYVVHLGRSAASNANLAGRALANEYDIIQALEEIGADFDGFVGAATSDRCLVGSGVVRDLIDYVETMPEVPFVRPLPRFPVPRVEPQSAESFAVAGREPGMKHVPEWLPVFPDPHTYIRTEVWTEEAAKAKVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPVITEDTREKGKEIQVAGSKRNPFLEPALPPGEKVVSEVAMLPEGKKLSVLDAFAPAIQAATRMDIDSGTGWDNNQNQKSVVPKERAPVHLKIGIDKKPLAATLNSKALDLREDPSFLKEELKDERKRRAGMILRASMENPQELTQL >LPERR12G13910.1 pep chromosome:Lperr_V1.4:12:14840918:14845917:-1 gene:LPERR12G13910 transcript:LPERR12G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGVVVAPSAAAAAAGIDPSDEGKGVTVDELKEALRRRGPDSLGCERLRVCADGRILGSYGCDCGSGDGVDVGVTELCFIGATLQLRGAEPISQPMVAQSGSVLVRSTEESMSLMIRMTPNHYYRHWNLVVPVTAMLLLEMKHVAVVEEGSKTMWFGRDAFGRRSLLVHWPTHDDSRFILSSVSPPSYASNNSASTVKGVESAADNDFSEPTNMSYWEELPCGMYSIQLKGLEKSGMCMKEACIFEVRKHDWTNSSLDELIQWKRKSIVPTVDDLTSHKNSVEDYRSSQSFRNSTEAYRVLTALRESVKLRTNLNRLFQDDLTKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKYRISALAGLKELQRISPIRRWRLVEIDTALTNLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSLCNMQDGSRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEMAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHHHAR >LPERR12G13910.2 pep chromosome:Lperr_V1.4:12:14840918:14845917:-1 gene:LPERR12G13910 transcript:LPERR12G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGVVVAPSAAAAAAGIDPSDEGKGVTVDELKEALRRRGPDSLGCERLRVCADGRILGSYGCDCGSGDGVDVGVTELCFIGATLQLRGAEPISQPMVAQSGSVLVYNGEIYGGIHVPDDQNDTQSLLSSLESCCSCDCHALVRDEACGCCRSVAKSVPQMLSTIKGPWALIYWQAGRLENNVVWPRCIREKKPLASTVKGVESAADNDFSEPTNMSYWEELPCGMYSIQLKGLEKSGMCMKEACIFEVRKHDWTNSSLDELIQWKRKSIVPTVDDLTSHKNSVEDYRSSQSFRNSTEAYRVLTALRESVKLRTNLNRLFQDDLTKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTALTNLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSLCNMQDGSRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEMAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHHHAR >LPERR12G13910.3 pep chromosome:Lperr_V1.4:12:14840918:14845917:-1 gene:LPERR12G13910 transcript:LPERR12G13910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGVVVAPSAAAAAAGIDPSDEGKGVTVDELKEALRRRGPDSLGCERLRVCADGRILGSYGCDCGSGDGVDVGVTELCFIGATLQLRGAEPISQPMVAQSGSVLVYNGEIYGGIHVPDDQNDTQSLLSSLESCCSCDCHALVRDEACGCCRSVAKSVPQMLSTIKGPWALIYWQAGRLENNVVWPRCIREKKPLASTVKGVESAADNDFSEPTNMSYWEELPCGMYSIQLKGLEKSGMCMKEACIFEVRKHDWTNSSLDELIQWKRKSIVPTVDDLTSHKNSVEDYRSSQSFRNSTEAYRVLTALRESVKLRTNLNRLFQDFCIGRAEGTSENLPYPWRLVEIDTALTNLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSLCNMQDGSRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEMAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHHHAR >LPERR12G13920.1 pep chromosome:Lperr_V1.4:12:14846619:14847280:-1 gene:LPERR12G13920 transcript:LPERR12G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASLSPTTVTSTTTTARPRRLLLPNNITAMATQKPTSGTRRGTTVYFPVGEKPGPPQTTSGRKSPSPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVEKLGLLSKAEELEVLSAATDPGTPAALLGIAAALLAAGPAVVFFVPEEYAWEVAVQAVAAVVCVVGGSAAFAASSFVSRLQSSSS >LPERR12G13930.1 pep chromosome:Lperr_V1.4:12:14847652:14849980:1 gene:LPERR12G13930 transcript:LPERR12G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRCPNRPIKVGPRYTAALTPSRRKNPFARSELGSETLAANPHTKRREREERNHGVGGGGGGEAQGVLGLAGQRRGEVGAQLQVDEGWWTVCCIHLRDAQLR >LPERR12G13940.1 pep chromosome:Lperr_V1.4:12:14851208:14853713:1 gene:LPERR12G13940 transcript:LPERR12G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVVVDFFAPSRSELGVVEKLKALWDSQVNDEEAWPFNYLQGGISRGVLRWILGAARCGLVSSSLLKLMKAGGLFVASIFVMRNFGDLMAI >LPERR12G13950.1 pep chromosome:Lperr_V1.4:12:14861932:14862240:-1 gene:LPERR12G13950 transcript:LPERR12G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKEEEKTDKPWNGRRILGVAAAGAFAGAVAAGAFFLLSAIGESSRDTDKTADDDDPPTRTMKAPGTGGKVIISRDKFEDDTAGYFRDQRKDKAAVEAFK >LPERR12G13970.1 pep chromosome:Lperr_V1.4:12:14887831:14890820:-1 gene:LPERR12G13970 transcript:LPERR12G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGRHNKNLEAGKNSFHRMILGQLVGDFGFEEENVPCNTPRSSVHSRLAGSTGRTVASTSAASVSPGEYVRDPGSILSLQPWIFKRSGGSQNNEEKMMLASGGREVGKGKNLMDAFRDGSAVEASPRSPGLGSGPGRGRGALRSRRSRRHLIKPLVPMENSYVPQLYSEDFEIDECIFGPVPSPSSARPFIVTDGRRVISKSRYEPVPVPFNIGFEKEGCRNSSKMAESIIGIAPLPKQKKSKMEKQEFHNAGICLSAFLSSRASKPTGLLDRLHIFSTGVSIGIISSTLSNKNELDTLKSTVKRMENLVQDLHDELEMRDGLTVKELPNEMPVKHDDDGSKAHATDSEPMSKIEEELEAELARLELNITAKRLEEQTFDFSEVDQDLIGDIVQGELKIDMAHRNLSDYSSESAHGRDSRESSPDCTRDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDFGSPNQESPVYIQETNSLAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSGEPNRTEESDRDDEDESEDNDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >LPERR12G13980.1 pep chromosome:Lperr_V1.4:12:14896368:14917189:-1 gene:LPERR12G13980 transcript:LPERR12G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLAHVSARQGEREKPREEIEGTKAVFFVGVALARPPQPPPISSPSELPRAEQGRRGFLLRILPHRMYKMMYPSWGDISAFLSEYWSIIIATVVFASVGGVTIYYAVNQLNKNISLSLMKAIRARARKNKKLKDKVPASSHIWRKEVSARSKGLKCCVCLKSVSSPQYMGGAIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCSGAFLAGSPIWYCMWCQRLVHVDCHNNLSKETGDICDLGPLKRLILSPLSVKELHWTGAAGLFSSITHGANELASNVRERIRSRSKRYRNGTVSVDSDSSGTIDPPSDIEGDSQEANNVAKRREDHANGELPEVHESSESESDKQLMTDNTTGRPNNQHEDSHVQINQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELSKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWILDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKIKIKDNQGKLMLPPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNIMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIRSYMGGVDLWKNEDDVSDDFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTAMPIQVDGEPWSQEPCTIDVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENRGIISASQKRTLLHEIASRLLIKIGGLKQMMFLIMAAIRWVVLAYVIIIGCATIVQGDKQPLSRIAIERATAAAVDSASVKAHPTVLGLKGQSSDWVVVEFFHPKPSDDDWIGVFSPSGFSSEICQPENYGDLPPYLCTAPVKFQYANFKNDAYNISGKGSLRLQLINQREDFSFALFSGGLSDPKLISVSNKVSFENPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGDQIVSPAGTLTFNRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHKLLDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDISYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGYNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRLKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLANRCVQDGSNHYSGRFNATTHVIVGGGGASLSPFRDNVPYWSFYRDHDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDILACSIDNCPRTTLAISDADDTDTVNGRRSSMIRLWVVLTWLIVCATAAHPGEQPLSRIAVERMVLAVDESAHVKASPLVLGLKGQTSEMVEVEFFHPNPSNDDWIGVFSPADFSSAICEAYDVPQYPPLLCTAPIKYQFANFNNGGYNKSGKGYLKLQLINQREDFSFALFSGGLKKPKLVAVSNKIAFVNPKAPVYPRLAQGKSWDEMTVTWTSGYHIKEAIPFVEWGAKGGHRILSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRIVIFGDMGKAEADGSNEYNDFQPGSLNTTYQIIRDLEHIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGKVDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSCTYYEEEGTFEEPMGREALQELWQKYKVDLAFFGHVHNYERTCPVYQSQCVANGSDHYSGPFTATTHVVVGGAGASIYDSEFTTSNIKWSYYRDFDYGFVKLTAFNHSSLLFEYKKSSDGNLLWVVVTWLTVCAAAHPGEQPLSRIAVERTVLAVDESAHVKASPLILGLKGQNSEMVEIEFFHPNPSNGDWIGVFSPADFSAAICEPENKRQYPPDLCTAPIKYQFANFKNDGYNESGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYPITEAVPFVEWGVKGGRRFLSPAGTLTFDRTTMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYAYRLGHRPPNGTHIWSKSYSFKASPYPGQDSLQRVIIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPETGSFYGSLDSGGECGVPAQTIFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIDQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEEGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVINASDHYSGPFKATTHVVVGGAGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRNYRDILACSIDNCPRTTLAT >LPERR12G13990.1 pep chromosome:Lperr_V1.4:12:14918731:14929659:1 gene:LPERR12G13990 transcript:LPERR12G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTANSPATRRARARRPFAVVTTTPPETARNRENVDDEAVSFSQCLRRFGKLAGGGDGKPAKKCPLSSSSSASRRRRRISKKFLTPAAAPYDAPRAPLSSPFDEVEESGERRRGVVVVGGGGGGEGSESRKKRTAAARRSVALREAMATLPEHGDGRVRYLVDTFERLLSMASDPGATTTTARRRRKKMTSWPPPSPAAARQVEIDVSYPSIASSSSEVSFPIINGVRLRRSDARESPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTEKRGKMKEESLVQRMKNKLLEEERLRNPFAQGLPWTTDVPEVGETSSNSPPATRPAVAASDLEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.2 pep chromosome:Lperr_V1.4:12:14918731:14929853:1 gene:LPERR12G13990 transcript:LPERR12G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTANSPATRRARARRPFAVVTTTPPETARNRENVDDEAVSFSQCLRRFGKLAGGGDGKPAKKCPLSSSSSASRRRRRISKKFLTPAAAPYDAPRAPLSSPFDEVEESGERRRGVVVVGGGGGGEGSESRKKRTAAARRSVALREAMATLPEHGDGRVRYLVDTFERLLSMASDPGATTTTARRRRKKMTSWPPPSPAAARQVEIDVSYPSIASSSSEVSFPIINGVRLRRSDARESPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTEKRGKMKEESLVQRMKNKLLEEERLRNPFAQGLPWTTDVPEVGETSSNSPPATRPAVAASDLEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRYVEDRNTLARYPDSRSRQEELIHFVRYPEPRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.3 pep chromosome:Lperr_V1.4:12:14918731:14930172:1 gene:LPERR12G13990 transcript:LPERR12G13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTANSPATRRARARRPFAVVTTTPPETARNRENVDDEAVSFSQCLRRFGKLAGGGDGKPAKKCPLSSSSSASRRRRRISKKFLTPAAAPYDAPRAPLSSPFDEVEESGERRRGVVVVGGGGGGEGSESRKKRTAAARRSVALREAMATLPEHGDGRVRYLVDTFERLLSMASDPGATTTTARRRRKKMTSWPPPSPAAARQVEIDVSYPSIASSSSEVSFPIINGVRLRRSDARESPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTEKRGKMKEESLVQRMKNKLLEEERLRNPFAQGLPWTTDVPEVGETSSNSPPATRPAVAASDLEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRYVEDRNTLARYPDSRSRQEELIHFVRYPEPRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.4 pep chromosome:Lperr_V1.4:12:14921109:14929659:1 gene:LPERR12G13990 transcript:LPERR12G13990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.5 pep chromosome:Lperr_V1.4:12:14921109:14929853:1 gene:LPERR12G13990 transcript:LPERR12G13990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRYVEDRNTLARYPDSRSRQEELIHFVRYPEPRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.6 pep chromosome:Lperr_V1.4:12:14921109:14930172:1 gene:LPERR12G13990 transcript:LPERR12G13990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRAASHGEYDEQDRGAKGTEVFVGGLARSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWSLEEFEQLVRKTLQDVVSVDLAMARNHDSTMAKRRLNRGFAFVRFSSHAKPLNRTGVKHSQQLMAAARILRIGSRTDFLLGGLRPSINWAEKESHVDDDEMAKVKTAFVGNLPVNVTEEYLTRLFEHYGEVVRVAVSRKGQYPVGFVHFATRTVSVARPVVENDKKRTREEVNTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRVSDIDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIKSLNGLPEKAAVAVLNQFLISGADKHNKGDYFTSLIAKYEAETFGSAPTLQGFSAYFPRNLEIPSKRFRHQDYEYTASSSSRYSSLGDYPSSSYVDNPASSQSRNRRYVEDRNTLARYPDSRSRQEELIHFVRYPEPRLAHEPRQDTGRHLDLGYIQERSSNIERSAQVAISSREGGYLSGSRYNTDIGPELSPRSSAEYSTSRQQARFDPFTGEPYKFDPYTGEPIRP >LPERR12G13990.7 pep chromosome:Lperr_V1.4:12:14918731:14921374:1 gene:LPERR12G13990 transcript:LPERR12G13990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTANSPATRRARARRPFAVVTTTPPETARNRENVDDEAVSFSQCLRRFGKLAGGGDGKPAKKCPLSSSSSASRRRRRISKKFLTPAAAPYDAPRAPLSSPFDEVEESGERRRGVVVVGGGGGGEGSESRKKRTAAARRSVALREAMATLPEHGDGRVRYLVDTFERLLSMASDPGATTTTARRRRKKMTSWPPPSPAAARQVEIDVSYPSIASSSSEVSFPIINGVRLRRSDARESPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTEKRGKMKEESLVQRMKNKLLEEERLRNPFAQGLPWTTDVPEVGETSSSSQRAKISPQAN >LPERR12G14000.1 pep chromosome:Lperr_V1.4:12:14936099:14937136:1 gene:LPERR12G14000 transcript:LPERR12G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEFHGGATTAARAARVLRASVATFFHDYHCYTSVAGLLVLPFSAAVLAAGAMASSSNSSPELMRATTARLRSMFHAAGFPPSSPFFALLVVKLSQTAFTFAASLPFSLTFLLLAKSCVAAMVVGAIPHRRRGAERDGVAPERGVTRVVRSWPAMAATYMAGAFVAMSANAAVFSLMFLAFNLADLLGFGESHSLTVAMSAAGAIVYSVAIGVATVVCGLAVVVAAVEHHQSIGPFAALIRACVVIRGRVPVALALAVPTNLAAAAAEALFQLRVVSPWRRNGGGVAGAGAGVAGEAFSIAYIHAVCVVMEMIVSCVFYRSCKRSEADEVRELEPDEKGDLQA >LPERR12G14010.1 pep chromosome:Lperr_V1.4:12:14952917:14958281:1 gene:LPERR12G14010 transcript:LPERR12G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRPQKLCCIYRRYRGKVLSNVPIVRASDAGSCAAPGEIHLEASAGTRRKSDGSNLTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAVSNSAGTQLMHCEDASCIADAIQKFERIFDGSCVAQAVGQYLKRDAKKMDRPNQADIQESEKTKIPSPDSCDVSDAKVEETKTRNEGIKILTKLRRGEDACNTLKSSKDGDKAHDSIFKSLTPVCTPRHANKVQPLVVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIRPRAGLLIPQTSDKISESCWSILEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHVELPSAGPSDKIPSLLIVNIQMPTYPAAMFLGDSDGEGISLVLYFKLNENFEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLANPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYFEIDLDVHRFSFISRKGLEAFRERLKNGVIDLGLTIQAQKQEELPEHVLCSVRLNRVEFVDHGQIPTLLPCDDDN >LPERR12G14010.2 pep chromosome:Lperr_V1.4:12:14953633:14958281:1 gene:LPERR12G14010 transcript:LPERR12G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRPQKLCCIYRRYRGKVLSNVPIVRASDAGSCAAPGEIHLEASAGTRRKSDGSNLTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAVSNSAGTQLMHCEDASCIADAIQKFERIFDGSCVAQAVGQYLKRDAKKMDRPNQADIQESEKTKIPSPDSCDVSDAKVEETKTRNEGIKILTKLRRGEDACNTLKSSKDGDKAHDSIFKSLTPVCTPRHANKVQPLVVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIRPRAGLLIPQTSDKISESCWSILEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHVELPSAGPSDKIPSLLIVNIQMPTYPAAMFLGDSDGEGISLVLYFKLNENFEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLANPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYFEIDLDVHRFSFISRKGLEAFRERLKNGVIDLGLTIQAQKQEELPEHVLCSVRLNRVEFVDHGQIPTLLPCDDDN >LPERR12G14010.3 pep chromosome:Lperr_V1.4:12:14952917:14958930:1 gene:LPERR12G14010 transcript:LPERR12G14010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRPQKLCCIYRRYRGKVLSNVPIVRASDAGSCAAPGEIHLEASAGTRRKSDGSNLTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAVSNSAGTQLMHCEDASCIADAIQKFERIFDGSCVAQAVGQYLKRDAKKMDRPNQADIQESEKTKIPSPDSCDVSDAKVEETKTRNEGIKILTKLRRGEDACNTLKSSKDGDKAHDSIFKSLTPVCTPRHANKVQPLVVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIRPRAGLLIPQTSDKISESCWSILEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHVELPSAGPSDKIPSLLIVNIQMPTYPAAMFLGDSDGEGISLVLYFKLNENFEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLANPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYFEIDLDVHRFSFISRKGLEAFRERLKNGVIDLGLTIQAQKQEELPEHVLCSVRLNRVEFVDHGQIPTLLPCDDDN >LPERR12G14010.4 pep chromosome:Lperr_V1.4:12:14957433:14958747:1 gene:LPERR12G14010 transcript:LPERR12G14010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARQDSDVAVNASATCAHCFIISLFSVSYAAAAVLAPPPPPLLFVLGTRFAVAVDVGAVVFFAQAKPVEAVSCTGPENRDGAGGSAAWRLLAITGNGCSSPPAAARGRDSSAWTWPCFWPAAEEVAEEVSCMLLWARERRLDDAASPAAAAAWLLLRAAALMPLLLESSRSLASISL >LPERR12G14020.1 pep chromosome:Lperr_V1.4:12:14957768:14961197:-1 gene:LPERR12G14020 transcript:LPERR12G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSLAAPSIWTRPVRLEPFDADGDGDAGGEEAFPAKRTTEAAADRRFVTVRLGNMPRNIEAEQIAAGWPSWLSSVAAEAIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREIVILRRLHSHRNVVSLLGLISSRSSPSVYLVFDYLHHDLAGLSSHPDLSFSPSQIKCYMKQLLMGLEHCHKRGVMHRDIKCANLLVSDGGELKVADFGLANVFQPEADRSPAIPMTSRVVTLWYRPPELLLGATAYGAAVDLWSAGCVFAEMHLRRPVLQGRTEVEQIHRIFKLCGSPGEDYWRRRGNGGGGGGVFRPQQPYESRMRETFRGMMDEPAFRLLETLLSVEPSARGTASEALDSEYFRTEPYACDPASLPKYAPYKEMDAKLREDSRRRGINAAARNNNHAAAAAGDAASSKRLSRAHKSMQDTSSATSSAAGQKHGHVHAEESLPRAAAGGELQPLPVIASKRHAADPPAPSRFSGPVQLTASTGFAWAKKTTAPTSTATAKRVPRTNSNGGGGGARTAAAAYETEKREMMKQWAQVADAFTATSESYVIRDPAAATTKKHLKSSKKNKVAGGERRMDRVGFSGPLLSQPRRIEELLHSHEQQIRRAGRRSWFHKGSKRDQH >LPERR12G14030.1 pep chromosome:Lperr_V1.4:12:14966378:14971499:-1 gene:LPERR12G14030 transcript:LPERR12G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLFVSWLEEVGKGGIFFWILEGSRPVWASLGDTVRSLPGMGTKVESKTYLPGYFSMADSSVNSNGNWLSYHEESKPSAHVSDSFTITTANRSPDYDKEMLKRTMLVHEATFRKQVYELHRLYRTQKDLMAQFQREECNGYPRTTDTLQARSYSSQATSGDVKRVWQVMPPTSGHDIRQSSINFGKGSDEGSSHMEKSWITGLHPQHVSNTNVLNKTAEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDNMKEKRTGEASGSNFFGANEEIKRNNSFNHKTDHQNVSMAWFKQEQSGVNFSAAHYLPRCNVFNQPIAAPSSSNAAVKSPWQSSNTSYTANGHYSSVYTPFAQNGFFNGFSMDSINTPMATHHYHHNQRPSKFPGEPQCQKHSPLLGINLNDDINLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQDQPSCANVSSQILLGSTGFSEGINAAAERNIEPLTKHETDMEIHKKNDTDARNLIDLNAAPFMDEPDITVHLSEGGTVPQQPDDPSEDSLARSAAESLVAMCKDVFQAGSHLADTLHWLADLAIAPKEDAMVCSSESDSDDDFEALTLQLQETKGYELYSTPKTTMQQKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPGLASLSKHEVSEDLHTLGRSAPSKRGGRNGVSRGRRRARSVVTTVEEVEVSPPPALVPPPPPPADLDADALGITGWGRTTRRCRRPRCPPANNSSLRPA >LPERR12G14030.2 pep chromosome:Lperr_V1.4:12:14966380:14971499:-1 gene:LPERR12G14030 transcript:LPERR12G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLFVSWLEEVGKGGIFFWILEGSRPVWASLGDTVRSLPGMGTKVESKTYLPGYFSMADSSVNSNGNWLSYHEESKPSAHVSDSFTITTANRSPDYDKEMLKRTMLVHEATFRKQVYELHRLYRTQKDLMAQFQREECNGYPRTTDTLQARSYSSQATSGDVKRVWQVMPPTSGHDIRQSSINFGKGSDEGSSHMEKSWITGLHPQHVSNTNVLNKTAEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDNMKEKRTGEASGSNFFGANEEIKRNNSFNHKTDHQNVSMAWFKQEQSGVNFSAAHYLPRCNVFNQPIAAPSSSNAAVKSPWQSSNTSYTANGHYSSVYTPFAQNGFFNGFSMDSINTPMATHHYHHNQRPSKFPGEPQCQKHSPLLGINLNDDINLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQDQPSCANVSSQILLGSTGFSEGINAAAERNIEPLTKHETDMEIHKKNDTDARNLIDLNAAPFMDEPDITVHLSEGGTVPQQPDDPSEDSLARSAAESLVAMCKDVFQAGSHLADTLHWLADLAIAPKEDAMVCSSESDSDDDFEALTLQLQETKGYELYSTPKTTMQQKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPGLASLSKHEVSEDLHTLGRSAPSKRGGRNGVSRGRRRARSVVTTVEEVEVSPPPALVPPPPPPADLDADALGITGWGRTTRRCRRPRCPPANNSSLRPA >LPERR12G14040.1 pep chromosome:Lperr_V1.4:12:14983959:14985812:-1 gene:LPERR12G14040 transcript:LPERR12G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAAASSSYSALRPPPRWDAPSHRRLVEHHLASLPRGLPRLAHVRELHAQVLKQGLHLNARTAARLVSAYALLRLLPSSRRVFDSAAAAFPSQSDSAFLANTLLRAYALGGATRDALAAFTAMPPRSRDGFTYSFLIKAVSAAGVAPVRAVHSHVVKLGSVEDTFVGNALIDAYAKNGGFGDARKVFDGMTARDVVSWNTAVAAVVREGDVGGARRMFDEMPERDTVSWNTMLDGYTKAGEVEAAFELFQRMPERNVVSWSTIVLGYCKKGDLEMARMIFDKMPSKNLVTWTIMVSACAEKGLVDEAGKLFAEMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFCKCGCVNRADYVFDTEIVEKDLVSWNSIIGGFAMHGHGERALELFAQMKQQGFNPDAVTMINVLSACTHMGFVDEGRQYFSNMERDYGIVPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEIIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELDEAFHEFTVGDRKHQDSDQISEMVDRLSSHVKHVGCVPAGHELLVQ >LPERR12G14050.1 pep chromosome:Lperr_V1.4:12:14988425:14994083:1 gene:LPERR12G14050 transcript:LPERR12G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVLKIPSPRAHFAAAAASDHQFPASLRVSPVVAASRARVLAGRAAPALLPAASKKAAVASGDGEQSDGSNVLSLESIRSSLIKQEDTIIFGLLERSQFCYNPDTYDPNASGIVGFNGSLVEFMVQETEKVHAMMGRYKSPDEHPFFVVPEPVLPPLQYKNVLHPAAASINVNRTIWTVYFDMLLPRLVREGSDGNCGSSAFCDTIILQDEDKLMETLTYVKVEDNVKNRVKNKAMTFGQVVNLDASPANDTPLKIEPELAAELYCRWVMPLTKRVQVQYLLRRLD >LPERR12G14060.1 pep chromosome:Lperr_V1.4:12:14996188:14999477:1 gene:LPERR12G14060 transcript:LPERR12G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNPTMEMALDNSESLNSPCVQEFSAEVSERVEAGTLPCDVDKCLMTDDNIVACCLDRESSEGAVSIELEMPLAIQDSDASEDCNADVILTSECFGKTGEQLLVNDVCHGSENELAGSEKRNTQIVMVSEMHEKSGHGTESKSLHKESVKPKAKQALTTGRNNFSSQKTGRTSDRKASGTTVESSNGSKVVRTTKANRDKKSSSTVASNVPKVNKIRVISPANVIDQSSKPTRLSKLKALIEKHDPSPSVNSVKQIDRKMIVKNVVKNAHVWQKNVEEKVILSPVKLSRSINMSAKSLLNRKMRAIKKEKAASPMNSNKKVYGAETVDADTKKKNLKSASPKVRKVEVNKKEILSQKERSSTPRTENTSRTKSATISSTIQTQPPRKLTFRRGKVLNLQSNSESNNTPRRLRFRPAKTVEDSNRSKESTTRGGRTTRKSDNNATSSGSKDSGSSKPEIVVLRHQDVCEKKKNDQGLFNNVIEETANKLVEARKSKVKALVGAFETVISLQERKVVACLQLKLQL >LPERR12G14070.1 pep chromosome:Lperr_V1.4:12:15001846:15005999:1 gene:LPERR12G14070 transcript:LPERR12G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLIPLLLCLPTLIRSEDYSDVTIVIRGSETIASTSDEFICTTIDWWPPEKCNYDQCPWGQASILNLDLTNPVLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSRVSSGLFGFSQGCITMERWDAINNLFVDTGAVITFGLNALQGRQQMGKGVWGGAWNSSNAREFMEYTASMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKSIINELYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLGQTSDTFRDLQMTIQRHGSWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTNTYVPNPDYYRQGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDGSFVHGLKRTVSWVGSKASDGLQKREEYHLTPQDGNPFARTVLLNGAPLQLTEDGDIPSLAPDLVSVNSPIYVAPLSIAFVVFPDFEAEGCER >LPERR12G14070.2 pep chromosome:Lperr_V1.4:12:15001560:15005999:1 gene:LPERR12G14070 transcript:LPERR12G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLIPLLLCLPTLIRSEDYSDVTIVIRGSETIASTSDEFICTTIDWWPPEKCNYDQCPWGQASILNLDLTNPVLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSRVSSGLFGFSQGCITMERWDAINNLFVDTGAVITFGLNALQGRQQMGKGVWGGAWNSSNAREFMEYTASMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKSIINELYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLGQTSDTFRDLQMTIQRHGSWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTNTYVPNPDYYRQGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDGSFVHGLKRTVSWVGSKASDGLQKREEYHLTPQDGNPFARTVLLNGAPLQLTEDGDIPSLAPDLVSVNSPIYVAPLSIAFVVFPDFEAEGCER >LPERR12G14080.1 pep chromosome:Lperr_V1.4:12:15006725:15010736:-1 gene:LPERR12G14080 transcript:LPERR12G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISSSGSTSGGGGGGGGGGGGSNGGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFKKCSSLDCLGRRLGPRLLGRGNDGSMRVVRDLYRVLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDKELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYFHFVLASDNILAASVVVSSTIRSSLKPDRIVFHVITDKKTYPAMHSWFALNPLTPAIVEVKGVHQFDWLTRENVPVLEAIETQHTIRNRFHGNHLARTSGDSPRDFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQCDLSSLWDIDLAGKVNGAVETCRGGDTWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRRTSIKDKYHHWVKENLNSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWSRHVNYSNEFVRNCHIMEPQL >LPERR12G14090.1 pep chromosome:Lperr_V1.4:12:15014275:15015198:-1 gene:LPERR12G14090 transcript:LPERR12G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGPQKDMRCKICGKTFKYTDWVAQKQHCEMQSKSPGNAQGSIEPSQKQTAQGEQHVNNPASGYAQGGINNAPRVDAPVSSSELLTSAAEGLVKFALRCHLELSPDQVLMMCSDKSSSSAECCGGDERRGRVHPIAGLEEDRNRDAIYQEVTNRVIDSDATQKQKRKPDLSTDDLQENVKADTGIHGHGHPSVRQMQNKRLGLNLVPHNSSVHEVTTLRVSSIGTSSDEEVKTISGGVDINNPEHTDSSVIQMQETLGPNLLPQNDSSDHEAQIPGVSSMDDLNVQVSADTNSDKEMKTVNGGQL >LPERR12G14100.1 pep chromosome:Lperr_V1.4:12:15017272:15019530:1 gene:LPERR12G14100 transcript:LPERR12G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRVPRTKRAKRELLKQAPKIVENGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKFSKKNDSIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLARTYDHHIYDLVEVGVENYKSIESYVYDKKLSPKLGSKPFFAFIGEHFESVEELKHLKEVVENLNLAGVDRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRHPVEGLKKEAMRTADHAKKMKNVTKDPVQGKLGKVYIPDQQIAKMSLTNDVKGLKRECREAKKNKDHSKKQKINPE >LPERR12G14110.1 pep chromosome:Lperr_V1.4:12:15020920:15022215:-1 gene:LPERR12G14110 transcript:LPERR12G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQICGDPFDKRSDLNEHERICSIKDNPVEVECIYCQELIKNNYSRKQHELQCKKNLHRQTEPSGSAQGGTDLFSGIHITRQKRTARIELLPEQRVKKPASDYAQGVIDDAPGNPQKPTTSEVKADPSVNVPGDRDPSVMQRQKEKLGDSSDHKTQTDSFSPIDDLSDIATNSEKQIKTIDGGVDTRLPENRHPSTMQTQSKLPDLNLLPHNDSFCSMDDNALVRADTDSDKEKNTDNDGVLADTNSDKDITGNGGVPADKNSNEQKKTDNGGVPADTNSDKEKKTDNGGVPADTNSDKEKTDNGGADINNPQHKDPSVMEVQNKLDLNLPPRNDSFSSMDNLKTLSPIDTNSDKETNTINACVDTNSDKETNTVDTGVDANSDKETNTVNAGVDINDPDDQDPPAKPMGNKLGLNLLPRSDSSDSSV >LPERR12G14120.1 pep chromosome:Lperr_V1.4:12:15024763:15027505:-1 gene:LPERR12G14120 transcript:LPERR12G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPRKYCCKQCNKSFRSGNALGGHMSFHLHIENQAKSMSSHTTVVDLHVPLMSLCDDKRLLLSPKTQCRMCSKVFSTSKSLKDHTRLHGEMKMMTKPVEEAAGLIEALDFAESMQNVTVFSSVKRKRSFRSKRQTPALSLEEIDAADALLLLSERFHKTSAYEDCYLGDKEDSSSGSIMLAEVNLNVPDHCLVRSVDSKEPINDNNSAYESRYGKSDKENCLVPIVPKEMDLNDFDHEPVGDAEFRKPRTNNNSEENKFGDLSAAVKDKSHRCSTCGKSFWSGQALGGHMRRHYVRKCNRRGGVADSPDSVVMKERKLKLGLDPNLLDISLPALTDGDCISLAVKSEPKP >LPERR12G14130.1 pep chromosome:Lperr_V1.4:12:15029208:15030132:-1 gene:LPERR12G14130 transcript:LPERR12G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIRDICRSKDGARYSGMKEVQEILGKETGRFMVCYSLLSVTPAITDKTQGRWLQQGKIHVQPSPVPMQISPFFLSDQRPTKKHKLPSNVWKYFAKIKTNDDKHKLVYAACNCCNKILKADSQKDGTKHLWDHVATCRRKHPQQYSSLELCQSMGTWDGQCISNHVIIPSNQACSNFGPMSPGFFLTGLVPNLLLNLH >LPERR12G14140.1 pep chromosome:Lperr_V1.4:12:15040067:15040804:-1 gene:LPERR12G14140 transcript:LPERR12G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEEPPSTFDPSMIELGDISVDDYSLDGFCIALDFTGADFTSGCNPAENNGSAAAAAAAPAIVENVGPKGNGPSVIRRGGGSRRRRRSGVWEHMELYPSGNLASCKYCYKVLTANSEGGTSHLLRHVNKCRLARIGKPSI >LPERR12G14150.1 pep chromosome:Lperr_V1.4:12:15049202:15066208:-1 gene:LPERR12G14150 transcript:LPERR12G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEGKCFSKREIGTITSEYKTMIGQGAFGEVYRGTLQDGSMVAVKKINLTAGHRIKENFVKEVSIHSQINHQSVTRLIGYCFEEDALMMVIEYISGGNLSDFLHNNDRPLCLGTRLRIATECAEALCYMHSYMHARVIHGDIKPANILLDQHLNAKISDFGISRLINTDKTLYTHYVMGSIGYMDPLFAQDGRLTTKSDVYSFGVVLVELITRKRPRSEDGKKTLVESFTSSPRDMFDKEIVDQSNMKVLEGIGKLAGECLLLDNLKRPEMTDVAERLWDLRKDLDRREEKMTLFFWPTKNKTTVVAPASNPAAITKLVRLGSAAVAPLQLEVEDLLSSSAEVLGNGVLGTTYKVKLESKITLVVKRLKSFGDYLLSTEQREFERRATAIGAIDNKLVLPLRCYGFIKDEKLLVYDYMPMGSLAIQHGGDDVRLGLWTVVAWAWRRQSEAGRHVDGAERGGLPCDAWREAVCRTWASGRRAGISWERRSTIALTVARSLAAIHSAGEQVCHGNIKSSNVFLTQAYGARLSEHGFPTLLAASPSLPRLSMSWYHAPEVTDIRCLSQKADIYSLGVLLRELFTGKLPEALDRSRSVKSVAIDEWISEDSMSPLPSGDTGGDGSRSSLHRPPSSASPPPEWPPAKPASHKDGGGGPTPSCAFTAVGGRRCVGGGGQIHSRFGTRGACAPSGAVGRLWRSLEVALEVVGCVDLVAASFSLLCLWLAALPAGCGTDPRRRGGLLVVAGVDLMPSAGSGGSGRSQAAVVAGTGSGIRGARASARSSPARIWCGDAKAGVSPVAAAERPWLTQTQGAGMAYWSSPAWFWQFWGRLRLACGSDAGGGCWWQRFGASGRFVVAGVNLAELPALFEVPRKN >LPERR12G14150.2 pep chromosome:Lperr_V1.4:12:15049202:15060926:-1 gene:LPERR12G14150 transcript:LPERR12G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHIKGGDGQEAKQLLFFQNMDIPEGKCFSKREIGTITSEYKTMIGQGAFGEVYRGTLQDGSMVAVKKINLTAGHRIKENFVKEVSIHSQINHQSVTRLIGYCFEEDALMMVIEYISGGNLSDFLHNNDRPLCLGTRLRIATECAEALCYMHSYMHARVIHGDIKPANILLDQHLNAKISDFGISRLINTDKTLYTHYVMGSIGYMDPLFAQDGRLTTKSDVYSFGVVLVELITRKRPRSEDGKKTLVESFTSSPRDMFDKEIVDQSNMKVLEGIGKLAGECLLLDNLKRPEMTDVAERLWDLRKDLDRREEKMTLFFWPTKNKTTVVAPASNPAAITKLVRLGSAAVAPLQLEVEDLLSSSAEVLGNGVLGTTYKVKLESKITLVVKRLKSFGDYLLSTEQREFERRATAIGAIDNKLVLPLRCYGFIKDEKLLVYDYMPMGSLAIQHGGDDVRLGLWTVVAWAWRRQSEAGRHVDGAERGGLPCDAWREAVCRTWASGRRAGISWERRSTIALTVARSLAAIHSAGEQVCHGNIKSSNVFLTQAYGARLSEHGFPTLLAASPSLPRLSMSWYHAPEVTDIRCLSQKADIYSLGVLLRELFTGKLPEALDRSRSVKSVAIDEWISEDSMSPLPSGDTGGDGSRSSLHRPPSSASPPPEWPPAKPASHKDGGGGPTPSCAFTAVGGRRCVGGGGQIHSRFGTRGACAPSGAVGRLWRSLEVALEVVGCVDLVAASFSLLCLWLAALPAGCGTDPRRRGGLLVVAGVDLMPSAGSGGSGRSQAAVVAGTGSGIRGARASARSSPARIWCGDAKAGVSPVAAAERPWLTQTQGAGMAYWSSPAWFWQFWGRLRLACGSDAGGGCWWQRFGASGRFVVAGVNLAELPALFEVPRKN >LPERR12G14150.3 pep chromosome:Lperr_V1.4:12:15049202:15066208:-1 gene:LPERR12G14150 transcript:LPERR12G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEGKCFSKREIGTITSEYKTMIGQGAFGEVYRGTLQDGSMVAVKKINLTAGHRIKENFVKEVSIHSQINHQSVTRLIGYCFEEDALMMVIEYISGGNLSDFLHNNDRPLCLGTRLRIATECAEALCYMHSYMHARVIHGDIKPANILLDQHLNAKISDFGISRLINTDKTLYTHYVMGSIGYMDPLFAQDGRLTTKSDVYSFGVVLVELITRKRPRSEDGKKTLVESFTSSPRDMFDKEIVDQSNMKVLEGIGKLAGECLLLDNLKRPEMTDVAERLWDLRKDLDRREEKMTLFFWPTKNKTTVVAPASNPAAITKLVRLGSAAVAPLQLEVEDLLSSSAEVLGNGVLGTTYKVKLESKITLVVKRLKSFGDYLLSTEQREFERRATAIGAIDNKLVLPLRCYGFIKDEKLLVYDYMPMGSLASSSGRRAGISWERRSTIALTVARSLAAIHSAGEQVCHGNIKSSNVFLTQAYGARLSEHGFPTLLAASPSLPRLSMSWYHAPEVTDIRCLSQKADIYSLGVLLRELFTGKLPEALDRSRSVKSVAIDEWISEDSMSPLPSGDTGGDGSRSSLHRPPSSASPPPEWPPAKPASHKDGGGGPTPSCAFTAVGGRRCVGGGGQIHSRFGTRGACAPSGAVGRLWRSLEVALEVVGCVDLVAASFSLLCLWLAALPAGCGTDPRRRGGLLVVAGVDLMPSAGSGGSGRSQAAVVAGTGSGIRGARASARSSPARIWCGDAKAGVSPVAAAERPWLTQTQGAGMAYWSSPAWFWQFWGRLRLACGSDAGGGCWWQRFGASGRFVVAGVNLAELPALFEVPRKN >LPERR12G14150.4 pep chromosome:Lperr_V1.4:12:15049202:15060926:-1 gene:LPERR12G14150 transcript:LPERR12G14150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHIKGGDGQEAKQLLFFQNMDIPEGKCFSKREIGTITSEYKTMIGQGAFGEVYRGTLQDGSMVAVKKINLTAGHRIKENFVKEVSIHSQINHQSVTRLIGYCFEEDALMMVIEYISGGNLSDFLHNNDRPLCLGTRLRIATECAEALCYMHSYMHARVIHGDIKPANILLDQHLNAKISDFGISRLINTDKTLYTHYVMGSIGYMDPLFAQDGRLTTKSDVYSFGVVLVELITRKRPRSEDGKKTLVESFTSSPRDMFDKEIVDQSNMKVLEGIGKLAGECLLLDNLKRPEMTDVAERLWDLRKDLDRREEKMTLFFWPTKNKTTVVAPASNPAAITKLVRLGSAAVAPLQLEVEDLLSSSAEVLGNGVLGTTYKVKLESKITLVVKRLKSFGDYLLSTEQREFERRATAIGAIDNKLVLPLRCYGFIKDEKLLVYDYMPMGSLASSSGRRAGISWERRSTIALTVARSLAAIHSAGEQVCHGNIKSSNVFLTQAYGARLSEHGFPTLLAASPSLPRLSMSWYHAPEVTDIRCLSQKADIYSLGVLLRELFTGKLPEALDRSRSVKSVAIDEWISEDSMSPLPSGDTGGDGSRSSLHRPPSSASPPPEWPPAKPASHKDGGGGPTPSCAFTAVGGRRCVGGGGQIHSRFGTRGACAPSGAVGRLWRSLEVALEVVGCVDLVAASFSLLCLWLAALPAGCGTDPRRRGGLLVVAGVDLMPSAGSGGSGRSQAAVVAGTGSGIRGARASARSSPARIWCGDAKAGVSPVAAAERPWLTQTQGAGMAYWSSPAWFWQFWGRLRLACGSDAGGGCWWQRFGASGRFVVAGVNLAELPALFEVPRKN >LPERR12G14160.1 pep chromosome:Lperr_V1.4:12:15071646:15074568:-1 gene:LPERR12G14160 transcript:LPERR12G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHPNPPNGLAGAGPLPPEVKLTIVNRRRRGGSKPSLEEWKRRGRERGLESDRQREGSGAERPPAEPIPPRLQHAEADREHHTSSLVSGELKLLGLTARALCRRRMLVDTAMVLGAAKLLDERKEHIKILQELVDIQWLPPRRAPSCIDTHELPSSGFRVEASISFLVKAF >LPERR12G14160.2 pep chromosome:Lperr_V1.4:12:15071646:15074568:-1 gene:LPERR12G14160 transcript:LPERR12G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHPNPPNGLAGAGPLPPEVKLTIVNRRRRGGSKPSLEEWKRRGRERGLESDRQREGSGAERPPAEPIPPRLQHAEADREHHTSSLVSGELKLLGLTARALCRRRMLVDTAMVLGAAKLLDERKEHIKILQELVDIQVKAF >LPERR12G14160.3 pep chromosome:Lperr_V1.4:12:15071646:15073970:-1 gene:LPERR12G14160 transcript:LPERR12G14160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAAKLLDERKEHIKILQELVDIQWLPPRRAPSCIDTHELPSSGFRVEASISFLVKAF >LPERR12G14170.1 pep chromosome:Lperr_V1.4:12:15071866:15072354:1 gene:LPERR12G14170 transcript:LPERR12G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMSKKSPKTALNENQEADGSLDTEATGRKLMSVDAGGGSPWGKPLATRTTRKFYPLLWLMMGMGIGIKKSKQTQLQSNTTSRFCRTQQEKKRSMKRMRWEKKRTLGTFLVCSDEEEKHWTDDCPDGGVNQKIESFYQTAHKIFPSQLSSCNNQQKQRGKN >LPERR12G14180.1 pep chromosome:Lperr_V1.4:12:15078894:15083424:1 gene:LPERR12G14180 transcript:LPERR12G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAADAAAAAAALDGGEPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQWGV >LPERR12G14190.1 pep chromosome:Lperr_V1.4:12:15085853:15087334:1 gene:LPERR12G14190 transcript:LPERR12G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATPSPAPPRRRPLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPILGFLILPILWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLYGGAAALSPAARSLAVVALTAALTYLNYRGLHLVGISALVLTAFSLSPFVALVVIAVPKIRPARWLAVDFAAVELRGYFNSMFWNLNYWDKASTLAGEVEDPKRTFPKAVFGAVGLVVGAYLIPLLAGTGALPAETAAEWKDGFFSEVGARIGGGWLRIWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFAARSPHGTPTASILASATGVVILSFMSFQEIVEFLNFLYGIGMLAVFAAFVKLRIKDPDLPRPYRIPVGAAGAAAMCVPPVALIVTVMCLASARTIAVNAAVAVAGVALYYGVERMKVAGCVEFLAPVPNESLHGSSSSTAASDDDDDVEDVRAVLLAGAGEQHDAGEGVSVSKEN >LPERR12G14200.1 pep chromosome:Lperr_V1.4:12:15093647:15095547:1 gene:LPERR12G14200 transcript:LPERR12G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCWIVEFLRHEVGASNLRCAAVGALPAASRVCSPASCCCIFVGVSKMQPPKKPARMNTMELKTQLAKKLGPERFEYYSHVLKKFLSGHLGKVEFDKICVAALGRENIKHHNNLLRSILSNVYSGAGPPPPSRQATTGNSQTSTVSVSNGAVKNHGMIAGLRRGPMVGNRERRFDRPSPLGKSPLGPQGTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPLFVQSRSPIRAPLGVPKAQNSQSSMPPSEICYSNGELPEAQGLLSLLENKLKAEGLTLTPECADVLNSGLNAYIVKLLKCCLGVAKSRGKTVRTIPGCPSNVSTVSVVNGVLTQGSSGLAGRSYQASLLDLQTALVCNPQLAGGNSSQVLDKIAAHLLDR >LPERR12G14210.1 pep chromosome:Lperr_V1.4:12:15105414:15108384:1 gene:LPERR12G14210 transcript:LPERR12G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPETEPPDPRRRLCRAASGGPRRRNSFSGQEHAHGNKRDTTKFVASAGNITEQPGSMFSSSRLNRTVSDHGRLPDSVWQARERLLQRLNSVDLSGRRQNTSSSEAIHAGITPAVSTTADSIFGSLTSCFHTDVSVAPCKIQKRAAETFNISDEHMLITHCSEPATTQEEASCKGTNDDELAGPSVECSICLERCGDADGIIELHCKHIFHSACLERWLRSRSDCPYCRSRVLLPAEGSEMTPNPALQNLSRP >LPERR12G14220.1 pep chromosome:Lperr_V1.4:12:15109030:15114204:1 gene:LPERR12G14220 transcript:LPERR12G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAELKPHASGEYSIAVAQANAKLEDQGQVVTSPAATFVGVYDGHGGPEASRFLSSRLFPYLHRFASEQGGMSTDAIKRAFHATEEEFLHMVKGSWLKQPQIASVGSCCLVGAIANNVLYVANLGDSRAVLGRRRPDGRAVVAERLSNDHNVAEEEVRKELTEQHPDDSHIVMYTRGVWRIKGIIQVSRSIGDVYLKKPEYARDPIFRQHVCPIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMKYTDIKHIERGTRRHFHDDITVVVVYLDHHKHGLQPKSGNRNSFRFTNAPVDIFTGNSDETDHHPLSLNLAMDGAVG >LPERR12G14220.2 pep chromosome:Lperr_V1.4:12:15108884:15114204:1 gene:LPERR12G14220 transcript:LPERR12G14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLARPAERCLGRGGGGGGGGGGGDGLMWHAELKPHASGEYSIAVAQANAKLEDQGQVVTSPAATFVGVYDGHGGPEASRFLSSRLFPYLHRFASEQGGMSTDAIKRAFHATEEEFLHMVKGSWLKQPQIASVGSCCLVGAIANNVLYVANLGDSRAVLGRRRPDGRAVVAERLSNDHNVAEEEVRKELTEQHPDDSHIVMYTRGVWRIKGIIQVSRSIGDVYLKKPEYARDPIFRQHVCPIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMKYTDIKHIERGTRRHFHDDITVVVVYLDHHKHGLQPKSGNRNSFRFTNAPVDIFTGNSDETDHHPLSLNLAMDGAVG >LPERR12G14230.1 pep chromosome:Lperr_V1.4:12:15119552:15121796:-1 gene:LPERR12G14230 transcript:LPERR12G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTTKKQGFFSALRDEVARGLSPARSRRRSASSAAAAADVAAAMRYAGAGGEMLAPLMEGPDPDSSDGGGGGGGGGRAVSAARREGWGRWVRGQLVRAPSTAVVAAAGTRRNDLRLLLGVMGAPLAPVHVAAGEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATEFETGGRVVRNRMAARTAESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPHGEKSKVGLLQCHSAKVAALEKADDNVAWSGALQREFK >LPERR12G14240.1 pep chromosome:Lperr_V1.4:12:15138027:15143203:-1 gene:LPERR12G14240 transcript:LPERR12G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLPLHLLLIPLLSSLPTCPSSSPHHHHHHIVTTLPGYDAAGDHPNPIPFRLETGYVTVDDNHNSELFYYFFESENDPTRDPILLWLTGGYRCSVLSAVFFEVGPVRLALHPYRPYDLAAGDLPRLKYHPYGWTKAASVLFVDSPVGAGFSFSGDPDGYLVGDVSASLQLKRFLSKWISDHPDYLSNPFYIGGDSYAGKIVPFLVHKISEDIDAGVWPIVNLKGYLVGNPGTGESIDLDSRVPYAHGAGIISDQLYETIMEHCGGEDYYSNPKNAICEQAMKRFNELLNEGSSGNILKNYCIYDSDIHHGTIPPFPPRECIEQTYGNYLIYFWANNNITREALGIKKGSVGEWLRCHNGDLPYSMDIKSNIKYHRSVTTKGYRALVYSGDHDALVPFLGTQTWVRSLNFSIVDDWRAWHVDGQSAGFTITYMNNMTFATVKGGGHTAPEFEPEKCLAMFQRWISNEPL >LPERR12G14250.1 pep chromosome:Lperr_V1.4:12:15144620:15145145:-1 gene:LPERR12G14250 transcript:LPERR12G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSARHGYWRRQTCMASLPYIQCVVKETFRLRTVGPVIPAHEAMEVSTVAGFCVSRGTMILVNAWAIHRDIDVWDASEEFSPERFLDDDAGGGTVTTITVSPMLPFGLGLAVRIVGMTVAALVQCFEWDVGDVGAVDMAEGGGLTMPMVTPLAAVCRPREFVKSVASASI >LPERR12G14260.1 pep chromosome:Lperr_V1.4:12:15146595:15148437:1 gene:LPERR12G14260 transcript:LPERR12G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLFRPEEDSGVVGVRSAAYGFVGNVIMEMVAGEGMGEANVARFKALTESALAASGAANRQDFLPFYGFSISAGSCSRRLAGIAKDRNDFGQGIVDGFRRRHRSSPPERRTVVGDLLRLQKSLPELYGDDVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPDVLKKAKAEIDSIVGTSRLLQESDLAGLPYLRCIITETLRQYPPAPHLVLHEALQDCMIAGHDVSCGTMVLIDVYSMQRDPLVWEDLRSSCQRGSRVSKPTKGNG >LPERR12G14270.1 pep chromosome:Lperr_V1.4:12:15150653:15156429:-1 gene:LPERR12G14270 transcript:LPERR12G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTHPETMKKARAEIDANVGTTRLVEEADMASLPYIQCVVKETLRLRTVGPVIPPHEAMEECTVDGYRVTRGTMILVNAWAIHRDADVWDAPEEFRPERFWDDITGGGEVIALPFGLGRRRCPGEGMALRIVGVTVAAEDDADGDTAGAYEPTEKIIVSIADGPNLDDDDDDDATFTSTSTSPSPTPPFSWRKLWLYTGPGFLMSIAFLDPGNLEGDLQSGAIAGDTLLWLLLWATAMGLLVQLLAARVGVATGRHLAELCRDEYPDWARRALWIMAEVAMVGADVQEVIGSAIAIKILSHGWLPLWAGVVVTALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWVRSLITRSFAIVPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGRNTQAVTWTVATLLIAINGYLLLDFISSEIRGLLSGSILGLAVLAYASFILYLILRGTELPNQIVTAIQNMVRGTGGLKISLYSDFNFKSAACLHEGHYSPNLLIPDLLQPAPTTRSNKNAAANN >LPERR12G14280.1 pep chromosome:Lperr_V1.4:12:15165625:15169704:1 gene:LPERR12G14280 transcript:LPERR12G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPASSSATSGKGRGISLLGVRSPSPASVAAAVWGGGLRGRRLVGVVAAASPDELHARGRAHRWGAYERSALWNFIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLTSSIMTGYTLFNAQYRLSLERTLELSVEGTECQNRDSSEDIHNIISVERPAMFLSLPEDVGLTLELEMADEKLFGNMDGLGNLSIEAKELILGMQSRLDSMEKELHELKKKNSSQQMQQFSGEEKNELLYYLRSLSPEKVVELSESSSPGVEEAVHSVVHGLLATLSPKTHTKSPSTSENMAGGALNFGAEDDDFAEVVEDVSLPFQPLISFPRDRLARLLFWCMMLGYYIRVAASNPGV >LPERR12G14280.2 pep chromosome:Lperr_V1.4:12:15165625:15169848:1 gene:LPERR12G14280 transcript:LPERR12G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPASSSATSGKGRGISLLGVRSPSPASVAAAVWGGGLRGRRLVGVVAAASPDELHARGRAHRWGAYERSALWNFIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLTSSIMTGYTLFNAQYRLSLERTLELSVEGTECQNRDSSEDIHNIISVERPAMFLSLPEDVGLTLELEMADEKLFGNMDGLGNLSIEAKELILGMQSRLDSMEKELHELKKKNSSQQMQQFSGEEKNELLYYLRSLSPEKVVELSESSSPGVEEAVHSVVHGLLATLSPKTHTKSPSTSENMAGGALNFGAEDDDFAEVVEDVSLPFQPLISFPRDRLARLLFWCMMLGYYIRGKECQLELTHLLAISSDALS >LPERR12G14290.1 pep chromosome:Lperr_V1.4:12:15170882:15175111:1 gene:LPERR12G14290 transcript:LPERR12G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENATPASAARLTRGAAVAGKRSAAAAAAGDGAAAKRKRVALGDLPTLSNAVILRQPAAVVVKPPPKPANEPVAEVEPPAASAAAAAAASSADLPGDGSGGSFPSSASTSTTSSAASSGGDPHFASDIYTYLRSMEVEGRRQAAADYIEAVQKDVTANMRAILVDWLVEVAEEYKLVADTLYHAVSYIDRFLSAHPLRRNRLQLLGVATMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDVLKLLKFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLTIDPYTNPWSKKMQKLTGYKVSELKDCITAIHDLQLSRKCPSLTAIRDKYKQHRFKCVSTFLSPVEIPASYLQDLTE >LPERR12G14300.1 pep chromosome:Lperr_V1.4:12:15174138:15174713:-1 gene:LPERR12G14300 transcript:LPERR12G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADETSVNKESSEQQLTSEQDDGATWLSLTLGTKGSPEEATAETEAEAANCSESLPPKPSTPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMVMGLPLEAHAAFMHSLRVNQSSVIQKTSQQAPTRTAPRFYEGSISWLPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >LPERR12G14310.1 pep chromosome:Lperr_V1.4:12:15179007:15186280:-1 gene:LPERR12G14310 transcript:LPERR12G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQAFVLTPLLLLLFTWWLLFNVFSDAGDLRRRRRRAIPMSHAAAWPTPTSSPFFSFLLLCASAPQCFTLHDAALAGRPSLPSRRLLTDDDPTIATSPYGPRWRHLRRLATVHALSPHRLSLSSSDRDAAARAMARRLFRPEEGSDSVGVRSAAYGFVGNVIMEMVAGEGMGEADVARFKALTESALAASGAANRQDFLPFLRFLDFGRLSRRLAGIAKDRNDFGQGIVDDFRRRRRGRSSPSTTTPEARRTVVGDLLRLQESSPEVYGDDVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPDVLKKATAEIDSIVGTSRLLQESDLAGLPYLRCIITETLRLYPLAPHLVPHEALQDCVIAGHDVPRGTMVLVDVFSMQRDPLVWENPEKFMPERFEGVKADERKWMMPFGMGRRKCPGEGLALRTVGIALGVMIQCFRWERVGNEKVDMSEGSGLTMPMAVPLTALCLPRVEMESVLKTLCAIAHSIVSADVSVPAKITASIAAAAGDHGDGGGAAVLVAIVFIAVLLLAVAVLVRSSWDDGGGAPAPPSSPAMPVIGHLHLMKKPLHHSLAALAGGEAMVSLRLGARRALLVSTHATAAACFAADDAALAGRLRLLAGDVLGYGYTTVVWASHGDHWRALRRFFAAELSSTAKSLAADRRADVASFVAAIAGDGGGDKVTLRPRLFELMLNVMLRAVTGRRNGGGETRRLQEMVESFAASGAPSVGDFFPALRWLDRLRGVEAALRKLQARRDAFVACLVDDHRRRHDAGDRDEDKKGIIDALLTLQETDPDHYTDNVIKGIVLVLLTAGTDTSALTIEWAMAQLLTHPETMKKARAEIDANVGTTRLVEEADMASLPYIQCVIKETLRLRPVAPVIPAHEAMEDCTVGGFHVRRGTMILVDAWAIHRDANVWDAPEELKPERFLDDADSDVVTAVTGPPMISFGLGHAHRWPDGRSTRAVLRVGCQISRCHRHGRRRRTVDADGGAADSCMSAPGVRQECGLCFYLNI >LPERR12G14320.1 pep chromosome:Lperr_V1.4:12:15180684:15181471:1 gene:LPERR12G14320 transcript:LPERR12G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLTHPETMKKARAEIDANVGTTRLAEEADMASLPYIQCVVRETLRLRTVGPVIVPHEAMEDCTVAGYCITRGTMILVNAWAIHRDTNVWDAPEEFRPERFWDDIAGGGAVAAPPMLPFGLGRRRCPGEGMALRIVGVTVAALVQCFEWDVGDVGAVDMAEGGGLTMPMVTPLVAVCRPFA >LPERR12G14330.1 pep chromosome:Lperr_V1.4:12:15189476:15195818:1 gene:LPERR12G14330 transcript:LPERR12G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAVYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEEDVHAAAGLDGVVFVRIFVFSIRVFTAAAVMGVGVLMPVNFLGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAAYTITGITCYLLYYEYKYLSGKRLEYFTTSKPLPQHFTVLVRAIPVTGDGSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDSENICTKLANLKSVRHTSGDPPRRFLGIFGRNDIVGKYQKRLEDLEENVRMEQSDATRSRQEVAAAFVSFRSRYGAANAIYIRQSDNPTVWQTEHAPDPHDVYWPFFSTSFMERWISKFIVFVASILLILVFLLVSAFVQGLTYMEQLEQWLPFLKNILEIAVVSQLVTGYLPSVILHFVSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFYDPKEIPKRLAVLVPAQASFFITYVVTSWTSVASELTQTAALLYHLWGSCAKCCKRDDSKPPSMHYHSEIPRVLLFALLGLTYFIISPLILPFVLIYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHNGTIFSLVLMHIIAIGVFTLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEQSKPEMAEFFSNLVTAYSDPAMKPIQRSLNSDDRTTPLLSSA >LPERR12G14330.2 pep chromosome:Lperr_V1.4:12:15189476:15195818:1 gene:LPERR12G14330 transcript:LPERR12G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAVYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEEDVHAAAGLDGVVFVRIFVFSIRVFTAAAVMGVGVLMPVNFLGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAAYTITGITCYLLYYEYKYLSGKRLEYFTTSKPLPQHFTVLVRAIPVTGDGSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDSENICTKLANLKSVRHTSGDPPRRFLGIFGRNDIVGKYQKRLEDLEENVRMEQSDATRSRQEVAAAFVSFRSRYGAANAIYIRQSDNPTVWQTEHAPDPHDVYWPFFSTSFMERWISKFIVFVASILLILVFLLVSAFVQGLTYMEQLEQWLPFLKNILEIAVVSQLVTGYLPSVILHFVSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFYDPKEIPKRLAVLVPAQLFNVYSPKYDTGGRFWPIVHNGTIFSLVLMHIIAIGVFTLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEQSKPEMAEFFSNLVTAYSDPAMKPIQRSLNSDDRTTPLLSSA >LPERR12G14330.3 pep chromosome:Lperr_V1.4:12:15189476:15195818:1 gene:LPERR12G14330 transcript:LPERR12G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAVYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEEDVHAAAGLDGVVFVRIFVFSIRVFTAAAVMGVGVLMPVNFLGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAAYTITGITCYLLYYEYKYLSGKRLEYFTTSKPLPQHFTVLVRAIPVTGDGSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDSENICTKLANLKSVRHTSGDPPRRFLGIFGRNDIVGKYQKRLEDLEENVRMEQSDATRSRQLVTGYLPSVILHFVSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFYDPKEIPKRLAVLVPAQASFFITYVVTSWTSVASELTQTAALLYHLWGSCAKCCKRDDSKPPSMHYHSEIPRVLLFALLGLTYFIISPLILPFVLIYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHNGTIFSLVLMHIIAIGVFTLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEQSKPEMAEFFSNLVTAYSDPAMKPIQRSLNSDDRTTPLLSSA >LPERR12G14340.1 pep chromosome:Lperr_V1.4:12:15199970:15201103:1 gene:LPERR12G14340 transcript:LPERR12G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSPILLSPPSTDRKRKAPDAADDHPPAPAMRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEDAARAYDEAACLLRGSNTRTNFWPRPSPPPMSPVATPTATPALPSKVTDLLLLRLKARHNTNQQLAGAVAETAVEKTAVPTVAEEVFVRGRGHGEEEEEYGLGLFHVDDFLSDECSNENSSFSFSSSGSGGDCNSSSSQEIDEDEELDFQFMDEHAAAAAPACSPFEVVAAELGIAAAVDGEAAATATAWMEYERKMSASLYALTGVSECLRIRAAAGGGGSAARESQLSGLREACRKKRQRESSSSSPSPAKVAAQQKQEESPHPPPMEIGGGGDGMSEAASGADGDVLMWSSLDLAPICHMA >LPERR12G14350.1 pep chromosome:Lperr_V1.4:12:15201935:15205144:-1 gene:LPERR12G14350 transcript:LPERR12G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAGLLALLAAAVAAAFRRIRRRLPSPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPGLPCAVFTGDADASPDALAARALDRFGVRLLRPPQVVRLNKRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRGKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERPTTPPILISVAQFRPEKAHGLQLEAFAIALEKLGPEFPKPKLRFVGSCRNKEDLERLQKLKDRSTELQIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLEEDGHQTGFLASQKEEYAEAIVKVLRMPEAERQEMAALARKRAQRFSEKRFHEDFTDAVRPILSATSE >LPERR12G14360.1 pep chromosome:Lperr_V1.4:12:15210621:15211973:1 gene:LPERR12G14360 transcript:LPERR12G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLLFLVLLCSSTALITSTNGVAAAAGAGGGTGLRMKLTHVDANGNYTVAELFRRAIVASKERLAFLDAFAGGGVGMPVHWATLQYVAEYLIGDPPQRAAALIDTGSDLIWTQCSTCLRKVCARQTLPYYNSSASNTFAAVPCAARSCAAASDIIHFCDLAGGCSVIAGYGAGVVAGSLGTDKFSFQSGSTALAFGCVTFTRIINGALQGASGIIGLGRGELSLVSQIGATRFSYCLTRYFHHDGENGGAGGGGHLFVGGAAASLGGEAVARTAFVKGPKGSPFYYLPLVGLTVGNTRLPIPSTAFDFREIAPGFMAGGVIIDSGTPFTSLVRNAYDALANELSARLNGSLVPAPGGDADTLCVARRDVARVVPRLVFHFRGGADMVLPPENYWAPVDKAAATACLAIVGAGYRSVIGNFQQQNMHVLYDLANAEFSFQPADCTALQI >LPERR12G14370.1 pep chromosome:Lperr_V1.4:12:15221058:15221240:1 gene:LPERR12G14370 transcript:LPERR12G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNCNTSSTFIPLPEEAAMRGGCRIDDDFLDHNPSRSVSVTTSGVITLVCIDTNNRGSG >LPERR12G14380.1 pep chromosome:Lperr_V1.4:12:15226539:15229588:-1 gene:LPERR12G14380 transcript:LPERR12G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRWHTAAQPRPTRGFSPRTKHGFSYSSDKVRLDRNHLQLGLPSTSNNANHCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLYSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVSGNVEKEAIDDETEEPSEAINAFGIYSRIPN >LPERR12G14390.1 pep chromosome:Lperr_V1.4:12:15231763:15236637:-1 gene:LPERR12G14390 transcript:LPERR12G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVITVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIEISDIPGGPAAFEICANIFRTWKDSIIVLQTTKSLLPMSENLKVINHCVDSIASKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKRVILAIKAKGRISSEVIGEALRAYAHRRLFSSLDNAVSNGLDCTRHCAALETIISLLPSEEGSVPSSFLLKLLRAACMLGSDEACRDNLIKRIGAKLDEASVSDLLIPANSDENAMYNVELISTMLEEFMAQHCDDDSAKLQEDDQEAMDGDSMNNVPSSSKLAIAKLIDGYLAEIAKDPNLPLLKFVTLAEMVPLVSRPVHDGLYCAIDMYLKEHPGLSKSEKKRLCSLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQIRSSSSAAAGDTRSVLPRDDIVGGGNNSYGGSSRSAATTATEDGVPTTTASDVGSFRSMSLANNNNNNNNKSGGDQGKKAAAAAKGMMPKKILSKLWSGKTASSGGGGENSSSDTSETSPGSVNVDHETRSTPSRNTRHSVS >LPERR12G14390.2 pep chromosome:Lperr_V1.4:12:15231763:15237729:-1 gene:LPERR12G14390 transcript:LPERR12G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKFFLPLECGPIQRDMKYMKLGSKPDVFQTEGNNIRFVATELATDIVITVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIEISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPMSENLKVINHCVDSIASKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKRVILAIKAKGRISSEVIGEALRAYAHRRLFSSLDNAVSNGLDCTRHCAALETIISLLPSEEGSVPSSFLLKLLRAACMLGSDEACRDNLIKRIGAKLDEASVSDLLIPANSDENAMYNVELISTMLEEFMAQHCDDDSAKLQEDDQEAMDGDSMNNVPSSSKLAIAKLIDGYLAEIAKDPNLPLLKFVTLAEMVPLVSRPVHDGLYCAIDMYLKEHPGLSKSEKKRLCSLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQIRSSSSAAAGDTRSVLPRDDIVGGGNNSYGGSSRSAATTATEDGVPTTTASDVGSFRSMSLANNNNNNNNKSGGDQGKKAAAAAKGMMPKKILSKLWSGKTASSGGGGENSSSDTSETSPGSVNVDHETRSTPSRNTRHSVS >LPERR12G14390.3 pep chromosome:Lperr_V1.4:12:15231763:15236637:-1 gene:LPERR12G14390 transcript:LPERR12G14390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVITVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIEISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPMSENLKVINHCVDSIASKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKRVILAIKAKGRISSEVIGEALRAYAHRRLFSSLDNAVSNGLDCTRHCAALETIISLLPSEEGSVPSSFLLKLLRAACMLGSDEACRDNLIKRIGAKLDEASVSDLLIPANSDENAMYNVELISTMLEEFMAQHCDDDSAKLQEDDQEAMDGDSMNNVPSSSKLAIAKLIDGYLAEIAKDPNLPLLKFVTLAEMVPLVSRPVHDGLYCAIDMYLKEHPGLSKSEKKRLCSLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQIRSSSSAAAGDTRSVLPRDDIVGGGNNSYGGSSRSAATTATEDGVPTTTASDVGSFRSMSLANNNNNNNNKSGGDQGKKAAAAAKGMMPKKILSKLWSGKTASSGGGGENSSSDTSETSPGSVNVDHETRSTPSRNTRHSVS >LPERR12G14400.1 pep chromosome:Lperr_V1.4:12:15248714:15249490:-1 gene:LPERR12G14400 transcript:LPERR12G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVLDVAVAVVSSPSPPASSSDGGGAAGEGKALGGHKASHRIKPSPLPATADEEEVEIKPAITTPATITTTPTSSASAGVAGGERAAAHECNVCGKAFATGQALGGHKRRHYDGTIGSAAAPAPASKMAKASAVERRGFDLNLPALPEIAAAGEWCTAATAAEEDEVLSPLAFKKPRLMIPA >LPERR12G14410.1 pep chromosome:Lperr_V1.4:12:15260029:15267020:1 gene:LPERR12G14410 transcript:LPERR12G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPMMHPPVGAGAVHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLIQTLIGTRLPTVMNASFAFVVPVLSIAKDFERNDFVSSHQRFTHTMRTVQGAMIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPHYFSHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFHWGTPIFTAGHSFGMMGAVLVSVFESTGAHFATSRLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFTNKNSMRNIYIIGLSLFLGISIPQYFNEYTASAGTGPARTNAGWFNDIINTVFASGPTVALIVASILDNTLEARGHESDRGLSWFMPFLHRRKGYSDPRNDEFYSYPIRFHDIIPSRFL >LPERR12G14410.2 pep chromosome:Lperr_V1.4:12:15259161:15267020:1 gene:LPERR12G14410 transcript:LPERR12G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPMMHPPVGAGAVHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLIQTLIGTRLPTVMNASFAFVVPVLSIAKDFERNDFVSSHQRFTHTMRTVQGAMIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPHYFSHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFHWGTPIFTAGHSFGMMGAVLVSVFESTGAHFATSRLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFTNKNSMRNIYIIGLSLFLGISIPQYFNEYTASAGTGPARTNAGWFNDIINTVFASGPTVALIVASILDNTLEARGHESDRGLSWFMPFLHRRKGYSDPRNDEFYSYPIRFHDIIPSRFL >LPERR12G14410.3 pep chromosome:Lperr_V1.4:12:15260874:15267020:1 gene:LPERR12G14410 transcript:LPERR12G14410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPMMHPPVGAGAVHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLIQTLIGTRLPTVMNASFAFVVPVLSIAKDFERNDFVSSHQRFTHTMRTVQGAMIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPHYFSHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFHWGTPIFTAGHSFGMMGAVLVSVFESTGAHFATSRLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFTNKNSMRNIYIIGLSLFLGISIPQYFNEYTASAGTGPARTNAGWFNDIINTVFASGPTVALIVASILDNTLEARGHESDRGLSWFMPFLHRRKGYSDPRNDEFYSYPIRFHDIIPSRFL >LPERR12G14420.1 pep chromosome:Lperr_V1.4:12:15303538:15304230:1 gene:LPERR12G14420 transcript:LPERR12G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPLPILTSSFGFARTPWQSARGPWRERGERLDREAAVTRAEEDLAVWKRDAVAREKAIAEREAAVEGRKVAVDLEHTVDLGTRIMAASVTRLHEAARDVGVVRRFDSLASASLGGLASQVDALAKGIRGVPEEVDEVAKDSSYDLAHQVATVILPSYQARDPNFDPYIPTEDFPAGTEESARRRVVDAVDSIMVGFDGTPAAFQLAYQDDPSDDGDAEDASSDPPAA >LPERR12G14430.1 pep chromosome:Lperr_V1.4:12:15322146:15332055:1 gene:LPERR12G14430 transcript:LPERR12G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLSHQLAPWPPLLKASKCLEHNTHQSNTAIVTYALSSIASYSPIFSVSGEFVGQPVAVVINHVEVAEGGGMSTLWSTNGFLGRAVWEFDPNHGTPDDHAAVERARREFTDHRLGRRESADVLVRIQFAKQNKDQRRERIPPIKLGENEQVTEEIAMASLRRALDEFSSLQADDGHWPGDMSGVMFIMPGLNEDGGWATLMVSSSTMFGTCSNYITRRILGEEPSNEQLTRGRQWIVSRGGATLVPQLGKIWLSILGVYEWSGSNPFFPELWLAPQFLPFHPGKFWGLTRMVYLPMAYLYGKKFVGPITPTILSLREEIYTAHYDGIDWAQTRNACAKEDLLCPRTLLQNVVWSSMYKLVEPVASRWPMSKLRGRALDKLMEHIHYEDENTQYLCFCAISKALNMICCWVEDPYSDAFKRHLARVPDYLWISEDGMKAQVYDGCQSWETAFIIQAFCATGLVNDYASTVARAHKFMKNSQVVRDHPGDQSYWHRHRSKGSWTFSSADNGWAVSDTTAEALKAVLLLTKIASNVVGDPIERERLHDAVDCLLSFVNKDGSVSTFECRRASTWIEISRMHLLGASSFGVVQRTIPWLSLGTWGVCFTYGAFFSVKGLIAAGRTYGNSSSIRKACDFILSKQLNTGGWGESHISNETKVYVNIEGDRAHAVNTAWAMLTLIFAGQMERDPTPLHRAAKELINMQLETGEFPQQEHVGCFNCSFFFNYPNYGNLFPIWALGEYCRHLRSKRQ >LPERR12G14440.1 pep chromosome:Lperr_V1.4:12:15366709:15367548:1 gene:LPERR12G14440 transcript:LPERR12G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGSPGELDYRAALLSRQTTMQRRWQDSRSSDDESRTSSPPDPRPVPSPRAALKAVPRLNPPAPTSPCLEACCVAFDGFATMLVVSFSAPPGFASPPPCLTHPKRLSAITFLRPAYHDMRAKESRLQLALVALVIGDRQDVSPKEAHQALVRQCGLHGNSFSVRHFAPENLFDNMDDRARLLGQGQIPLIGYKLLGKPWSSLAHAAGGKLWYRVRLHLEGVPAHLATAAAILGPSCDKLILLLRQCPAPSAAAPPCAGDRHLLP >LPERR12G14450.1 pep chromosome:Lperr_V1.4:12:15418299:15424074:1 gene:LPERR12G14450 transcript:LPERR12G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEELAGEAVKELLRAVKGTFFCRSTAERLRRNVEPLLPLVQHPQHHPHRSAGELAELAAQIREALELARRAAAAPRWNVYRTAQLARRMEAADARIARWLSRHAPAHVLDGVRRLRHEADARIGRLERRIEEVAAAQISPLPPAISVPFSLPPPPPTTTTKSMAAMGIEAAPAKGMAMGMMELEFSSSSEKEEMEEEGVVGKGLKVGKEKVKEMVMSGGGGGGWEAVGICGIGGSGKTTLAMEIFKDHKVRAYFSDKIFFETVSQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTQTYEMELLDEEAALSVFCRAAFDQESVPKTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQYVPAALYFLSKHLIIGIRQTEIFDIRLLCRNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRRLVMPRREESLPKDWQRNKDIAFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTVSATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKMDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALRGLPPSVCSLKRLKYLDISQCIDLRDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >LPERR12G14460.1 pep chromosome:Lperr_V1.4:12:15423092:15425245:-1 gene:LPERR12G14460 transcript:LPERR12G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDGDRYELVREIGSGNFGVARLMRNRSSGELLAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMRNIPADLMDDGTPSNQYEEPDQPMQNMNEIMQILAEATIPAAGSRGINQFLTDSLDFDMEEDMDSDLDLDIESSGEIVYAM >LPERR12G14470.1 pep chromosome:Lperr_V1.4:12:15437417:15440159:1 gene:LPERR12G14470 transcript:LPERR12G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSSSAAEPGFFFGGGDMRTLAARTAMARLAAGAGAGEKSARFEECIRSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVEQRRRTAAPAPAKVTKAKAEEEEEEEEENDKRSWMSSAQLWSCGSHATVSTSNYSNGKKLQQQKVSNPFMPLTTSPAFAKSPEKSGLAGAGATPLPAVPDLSLSSPAAAIDAPAAPSATSSAVTDIAGAAAQRQQQQAVQRKARRCWSPELHRRFVAALQRLGGPQTATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGGGDHQTAGGGLWPPPPEQYTTSQHSTSQSGSPQGPLQLTVSSSHAVSVTAGDSCDGEGEEEDGKSESYSWEMQNGAKASSSS >LPERR12G14480.1 pep chromosome:Lperr_V1.4:12:15440949:15444835:1 gene:LPERR12G14480 transcript:LPERR12G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADEVFKSAALDMDVLYRAGETHQRSEDGAGVVVSGNVARSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSKRSVEDKDLIECLENGVDFWTDYSKVQYHPQSLYELCGSWTDFDKFDNYGSAQEVVSDWSQMEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPVTLGSSRNQRETITRALHNAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYVQDEKPFHSSAICAAAMHSVSIPFRLQRMGPTTDLRHSSGNLDVGELMHILSDQGRQNMVTALDVAMPAPSLADRDVMGNIEMKLHSLTPEISDGDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEGRETKPKFSHLSVSLCPLPIPLPFPSIFRGNIGRHGEILNDHSDGSQPKGSLNIESIPMAARLRSSNAILPFIERRSGSLQKHGVARGAIGSLILRDWGFGKEEVEDMGEHLSKLLRPFHPEMELTSDSD >LPERR12G14480.2 pep chromosome:Lperr_V1.4:12:15440949:15444835:1 gene:LPERR12G14480 transcript:LPERR12G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADEVFKSAALDMDVLYRAGETHQISSMSGNVARSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSKRSVEDKDLIECLENGVDFWTDYSKVQYHPQSLYELCGSWTDFDKFDNYGSAQEVVSDWSQMEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPVTLGSSRNQRETITRALHNAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYVQDEKPFHSSAICAAAMHSVSIPFRLQRMGPTTDLRHSSGNLDVGELMHILSDQGRQNMVTALDVAMPAPSLADRDVMGNIEMKLHSLTPEISDGDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEGRETKPKFSHLSVSLCPLPIPLPFPSIFRGNIGRHGEILNDHSDGSQPKGSLNIESIPMAARLRSSNAILPFIERRSGSLQKHGVARGAIGSLILRDWGFGKEEVEDMGEHLSKLLRPFHPEMELTSDSD >LPERR12G14480.3 pep chromosome:Lperr_V1.4:12:15440949:15445008:1 gene:LPERR12G14480 transcript:LPERR12G14480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADEVFKSAALDMDVLYRAGETHQRSEDGAGVVVSGNVARSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSKRSVEDKDLIECLENGVDFWTDYSKVQYHPQSLYELCGSWTDFDKFDNYGSAQEVVSDWSQMEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPVTLGSSRNQRETITRALHNAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYVQDEKPFHSSAICAAAMHSVSIPFRLQRMGPTTDLRHSSGNLDVGELMHILSDQGRQNMVTALDVAMPAPSLADRDVMGNIEMKLHSLTPEISDGDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEGRETKPKFSHLSVSLCPLPIPLPFPSIFRGNIGRHGEILNDHSDGSQPKGSLNIESIPMAARLRSSNAILPFIERRSGSLQKHGVARGAIGSLILRDWGFGKEEVEDMGEHLSKLLRPFHPEMELTSDSD >LPERR12G14480.4 pep chromosome:Lperr_V1.4:12:15440949:15445008:1 gene:LPERR12G14480 transcript:LPERR12G14480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADEVFKSAALDMDVLYRAGETHQISSMSGNVARSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSKRSVEDKDLIECLENGVDFWTDYSKVQYHPQSLYELCGSWTDFDKFDNYGSAQEVVSDWSQMEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPVTLGSSRNQRETITRALHNAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYVQDEKPFHSSAICAAAMHSVSIPFRLQRMGPTTDLRHSSGNLDVGELMHILSDQGRQNMVTALDVAMPAPSLADRDVMGNIEMKLHSLTPEISDGDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEGRETKPKFSHLSVSLCPLPIPLPFPSIFRGNIGRHGEILNDHSDGSQPKGSLNIESIPMAARLRSSNAILPFIERRSGSLQKHGVARGAIGSLILRDWGFGKEEVEDMGEHLSKLLRPFHPEMELTSDSD >LPERR12G14490.1 pep chromosome:Lperr_V1.4:12:15446487:15452383:1 gene:LPERR12G14490 transcript:LPERR12G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLSLRSEYVVPEEVKAAGFQICAEELGSIVEGHDSKKLITHGGVTGIADKLATSPTDGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIQEVNNPKDASSLCSDLPETVVKTLMESVFNNTGGEVVVDQDGKYQILGTPTETALLEFALSLGGNFKEKRGETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFIDETGAVVPLDKTTADKLNGIIESFANEALRTLCLAYREMEEGFPVDEQIPLQGYTCIGIVGIKDPVRPGVRESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKNLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLEGPDSEIVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFMAVLTSTVVFQFIMVQFLGEFANTIPLSRLQWTASVLLGLIGMPISAIVKLLPVGSS >LPERR12G14490.2 pep chromosome:Lperr_V1.4:12:15446487:15452383:1 gene:LPERR12G14490 transcript:LPERR12G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLSLRSEYVVPEEVKAAGFQICAEELGSIVEGHDSKKLITHGGVTGIADKLATSPTDGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIQEVNNPKDASSLCSDLPETVVKTLMESVFNNTGGEVVVDQDGKYQILGTPTETALLEFALSLGGNFKEKRGETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFIDETGAVVPLDKTTADKLNGIIESFANEALRTLCLAYREMEEGFPVDEQIPLQGYTCIGIVGIKDPVRPGVRESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKNLDELLKLIPKIQVFYAYIILLT >LPERR12G14500.1 pep chromosome:Lperr_V1.4:12:15461055:15462841:1 gene:LPERR12G14500 transcript:LPERR12G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLVAAVVLDHHPAVAGDAPAPAVKFWEKALPGIPMPKSIADLVKEGSDHSPLADTKQHSNDTVLHPDICLSYRVNCPPGSAEAAAVEASGLFFHETAARAGATMTVFLPPASTKPFISRDVADKIPFHVSDDVLTMFNIAPGSTEAAKMRDTLRGCDAPPLTGELKACATSLEAIVEAADRMLGVGGGGMWAAASALDADGLPQRKYAVESVAPLDGGDARHVACHGVRWPFAVFQCHATAVGETKAYTVTLRSGGATVVMAALCHRDTSGWDPQHPAFAILGTHPGGAPVCHFMPYSNLLFGKKPTNA >LPERR12G14510.1 pep chromosome:Lperr_V1.4:12:15469198:15469993:-1 gene:LPERR12G14510 transcript:LPERR12G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNYVIDYIPWRRRYGSRCSRDFRPSNAANKPLPGGISSPLYMPKPFEHSFYQARPSNPVDAARWERRAAQHEEIERNIRFLDRAYYATIFAVFASIVAYEEHRKYRIRKQYYAMYGRLL >LPERR12G14520.1 pep chromosome:Lperr_V1.4:12:15471462:15472229:-1 gene:LPERR12G14520 transcript:LPERR12G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYVFDYIPWQVLKGLQAIKCCQQTAPRRNFLTAMPKPSEASFYKARPGNPFDASRLGHRTLHEKMEKGIRSVGRACCTTIIVVFTSIVVYREYQSYKISKRKNALCGRML >LPERR12G14530.1 pep chromosome:Lperr_V1.4:12:15483857:15485434:-1 gene:LPERR12G14530 transcript:LPERR12G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQCSPAYGGGFSLTCQEDGADLGDGVVDDDDDLFLFYNAAAAGVGDDDDEEEYLEQMVSKEASFCSSSGDAAATGEAPGDDGDGDYAFRQARLAAVKWILETRGFFGFGHRTAYLAISYFDRFCLRRRVDRTAMPWAARLLSIACVSVAAKMEEYRSPALSELDAGEFCSGSIRRMELLVLSTLGWRMADVTPFDYLPCFSSRLHRGGEGGGAGAAGRVAVNAIGFIFAIAEGGSVLDYRPSTVAAAAILAASYGTPLTKEALESKMSSISPSCLIEKEHVHSCYSMMVGDMNSNRRSSKRSLQCSDSNEITTSTYDSVLVDDVTDTAAFTAVTAMNKRLRLEPPRIR >LPERR12G14540.1 pep chromosome:Lperr_V1.4:12:15488588:15494956:-1 gene:LPERR12G14540 transcript:LPERR12G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGPSRPNRTDELGRLSLSRDCGKCGAAAVAVAGGLGLCGECFRAHLFGKFKLAVTSNAMVRPTDSLLLAFSGGPASRVALQFIHEMRSKAIESWDASNSQALPVFGIGVAFVDESIMCSKPKDEIEMAIEDIKSIVSGLSAGVKAMHIAPLEDVFSTESEDGEGRLKEAVDMIGDDTGREDFLRCLRMLSLQKIAMGNGYTKIMLGSCASVIACHVLSATVKGQGYSLPADVQYVDTRWEVPVILPLRDCLAQELTLLCELDSLKTQQYLNSLSNGINSLVASFIERLREENPSREHTIVRTAQKLKPFSFNKFSADGYHDFLPSRLRPKFQKVDSDESTFSETLCLICGSPFSESELQNLEGTKHKAQKNIDLHTAHCCQSCNFQIIPSSENLNEHFFSLLPKLWTKRVDTISASHSLLRPNLVIFPCFQMAAIGDPAGQLALVHEEEQYTSGHCKANSRVTMKF >LPERR12G14550.1 pep chromosome:Lperr_V1.4:12:15497463:15498755:-1 gene:LPERR12G14550 transcript:LPERR12G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLIADMSWNVFDLPSHGEESEMMAQLLSAFPIHGEEEGNQELPWFDQSSNPCHYDCNASSSAYSNSNASSFPAPSEYEGYCLSDSNESIAPHDLSMVQVQGAAEFLSVIPNNYFDLYGNGEQCHKDLDSISGTKKRKHSAEEEFHGQTRGSKCAKKAEPKRAKKAKQTVEKDTSVTIPNGSCCTSDNDSSSSQGAADAGATSKGKARAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLRSDDMWMYAPIAYNGMNIGLDLNIHR >LPERR12G14560.1 pep chromosome:Lperr_V1.4:12:15505609:15513121:1 gene:LPERR12G14560 transcript:LPERR12G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGHVDVEQWRGENVTRFQNEGCYGGVRLLMAICKVFYSHCIQHGITLKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHQIKVETRPNLILDAEKELGIVAGLQDRDFRKEHMDTMGHGVYTPLGINLLPPLYLIYANNTSDSGKVHSSVRQRWLDGEEFIVSSMEEVAQLALDGHKALQMFGDDVIGMVNIKMVEVARSVGAAAKFTGSGGAVVALCPDGQAQLLLLQKACQDAGFLLQRIQVAPSCSFSSSFCSELSSVMLLPYIHTYISLCSECVRLALPARNIV >LPERR12G14560.2 pep chromosome:Lperr_V1.4:12:15505609:15513121:1 gene:LPERR12G14560 transcript:LPERR12G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGHVDVEQWRGENVTRFQNEGCYGGVRLLMAICKVFYSHCIQHGITLKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHQIKVETRPNLILDAEKELGIVAGLQDRVHSSVRQRWLDGEEFIVSSMEEVAQLALDGHKALQMFGDDVIGMVNIKMVEVARSVGAAAKFTGSGGAVVALCPDGQAQLLLLQKACQDAGFLLQRIQVAPSCSFSSSFCSELSSVMLLPYIHTYISLCSECVRLALPARNIV >LPERR12G14570.1 pep chromosome:Lperr_V1.4:12:15513756:15516211:-1 gene:LPERR12G14570 transcript:LPERR12G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPPPIRLLLLPATRFCCLGSAWGGGFGGGARSVRVERRGRQRAVVMAAAGGGDFEARVARIASTIRVIPDFPKPGIMFQDITTMLLNPEAFRDTIELFVERYKDKGITVIVGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLCAAVNLLERAGAEVVECACVIELPELKGRDKLGSKPVFVLVKAD >LPERR12G14580.1 pep chromosome:Lperr_V1.4:12:15519922:15533511:-1 gene:LPERR12G14580 transcript:LPERR12G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAMRRTPAKASSSSSSSASAAAAAASEAGNDENAPVDPSDAAAAAVVAAAGVDSARPPLLAIQPPQSSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGNEPLPPTPYSAATTATTPGRHRGKATAAAEGGGGSTQSTPTKSVTKAAAYSIGMSASRPPMSGGGQRLGFSMGGGRGTPLSLAPVTMVNTVDVPHFELREDPSFWMENNVQVVIRVRPLNNTEKNLHNYNRCLKQESAQSITWIGQPQSHFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRSDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDMRKGVYVENLTELEVGSVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQHVPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDALDRRSMQDLRISNKQLKLLEETLAGAFRRELVAETTVKQLEAEIEELNRLVYERENDTRSAKMKLKFREDKINRMEALVRDKLPAEPYLLEESRALLKEIDLLRANIDKNPEVTRFALENIRLSNKLKSYHQFYNEGEREILLNEVSNLRNQVLRILEGRAEAEQQNNFQANFEDKELHSSSLATNAETLHAELKSTSQELETCRGKLQVCLEANKKLAREIIDLQNELSNIRSSNREGHSNVVEKFPSASNQYDSHAHEKKDQSFHEGFMINTDDVLNLQLELDIIKTILAEERTARAEVEKRTTCLDDELKAANIRILQTHRQSETMQSELSDARSVIEALESQQIMLINELDELKESNQQSLEDLNKKDMEIARLNNELDVHHRQEFLAMEEPKVKLKRMKASLEKAHNLNTRYQRDQASHSSAQQEMDEVRRQVEVETAEEELVSLQQRLDASTQNELLANQRLDEVQLDNKQLNDRLLEVMKESECFSALIEEKETKIGMLTSDWDKLASDIGNYLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQGRLKEADDIRFDLDLKLRSLRGAMQAINDTHQQEKNDQEKVVSLLRSQISNERCVHQQQLEELQRIQLLLDESIETSVQKEVIEQNHVSLQRVMYEETHQLKSQLDQSKRDLTQLLSETQSKKQSFERLKNEESSVLLKMLSDVLKAKGIIHEFETGSNALQSSFSVDREEDICQNSDLNVEDRVDCGPTEVFQAGEKHNSDVLCKLSKEIECLVHKMQMLQSQLVNLLQEKENAKEYHFQSQRTIKNVSAEVLQLKSEIVDKEKCYEYRLKEMEIKMQEREHDTASSFISWNKEREALELEVSEAKSLAVQKSFEASTLLSKFEEAQDTISDADTTVKALVEANEKAKLQIEKFKENEALLLSEKERLQTEVSSLKMLLDVKDQTYEVMEKKFAASLVEANDLAIELEDGIRHLQNLLLEKLEFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQHGLCESNSVISKLREHNDKAKSELEMCSVLKGKLLLDINHNFSRIAKKEQEATELNSRLDAFGKKILKLQAQEEAMLARSNSMYNELSILVEEIHSTNKNALAAESKEKEELFRQLDEALLCNAILKDIIQEDIGVLEVNNAMLANYMKGRSEFELCSRLADYHNELVTTNTIAKDIESFVLSSELVQHKAQLQKQELKFVDVLDGLTTEATLSRVDQDLGSAVIFSLLEDNNKIMIYLDNLKRNKEELMESLHALSEENLKLKSVVGSLESSIVSSQTEVDGKTKALMELQYSHATMLDALRSENDLLKHEYLDIVCKEQKMVELVSSLDAQKLFGTIEGRLEQVADQVQMYMSDQLKMATKVSSELDFIQMSIEELITHNGFLQSEIIRKDELAKGLSFDLSLLQESASVAKDQADQLIQLTEAIESLEQELASKSDELVDAVSGRQLLEAQILKSNEKVSCLEEQLANKFDELKLVSMEKDELRSKLNHIEGISYTMEDELADKVKAIERLEEELIELRSLLDVRSTFLQNLQNDFAELLDEKKYCDTQVLILNEKLEMTQALAEESEAIATEAKQMAEERKLHAEEKDEEVKLLERSIEELERTVCALENKVDIIKEEAERQRMQREELELELQKVRQQMLAVPSSGQVISSLEDGMVDFTELSRHSREIHNELLAAQESIRILQKDVAEKETEIAQCKAHISELNIHSEAAAREYKQKFIELEAMAQKVKNDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELSAAKQRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMANWAALVDSQQKLDPQESAVCHAHESKEQSNELMKLKSQLDELIEERQSWLDEINQRQSELGQARITIEKLRQKEHYMVAEIELLKAENANGKTIIFNLEDEVKKLMRQQNLQVQINHHEENTLLKKKNEELNTKLQKLGAVVARTKEELARYRVSDGKDPYQQMEEEELLRNRLEESEEERSKLAENLSSLCSTVLKCKLLHEKTRLSELRSESSSLSSGVREGCSRSPSDLPLRRKRTEAVWQ >LPERR12G14590.1 pep chromosome:Lperr_V1.4:12:15536996:15537580:-1 gene:LPERR12G14590 transcript:LPERR12G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQSPFPQQQQTAPAMAMAMVAGGGGGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPYHPHHRRAINKQANYTHNSVIASSAPPQQEGGSGISVWSALMLGGAPVLEGEQFGFGGGFGRQVMWQAGRVMDQGYSSPPALWAQELAAVAAPVEMAVTGGVPQLM >LPERR12G14600.1 pep chromosome:Lperr_V1.4:12:15553068:15555630:1 gene:LPERR12G14600 transcript:LPERR12G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHQTGHLNVAAACPCDDDAAAAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSLSEIPLLPHAISTFLSMYPDFATTADVDRLRADHYSHLAGRVCLDYCGFGLFDSSWDSSSSSFTLSELNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARGKGAKTRAAWFRWPTLKLCSTELRKEIVGKRRGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGQFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDVDCLKEDPEEEIFENGRRNHFRQVSEIQEEPEVEEVACQHAMNGGVDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVDEMDGALSMGRRVSFSTEANIITDRLHRASDAAEASGYAFRDDDGCVSDGYDDAQDWSRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKNGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEAVQKIAEKNGISLGIGFLSHIKLDLNHKQLNGAFDIPEASFYKNGRRDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLAIAADHSEGQT >LPERR12G14610.1 pep chromosome:Lperr_V1.4:12:15558717:15568911:1 gene:LPERR12G14610 transcript:LPERR12G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEARNNHRMRKSFTTCKECISYHYWNHMGEHKKSFLYVMIGDCVAVPAKFANSIRRQISEVVKLEVPNALRYILYTFDDINFGEFETEEGISLMGNRTMHSWSLGGTIPKMFAKNFQGHLFGAVKLEVPDGKTYDVEIAKEHNELVFRSGWEVFASAYELEHGDFLVFGYSGNFHFKVWIFNPSSCEKELSCVVMNRSISDDNHRQSPRSDRMHKSCTTCMDCITNHYWRHMDDRERYFFKVILSVSDIKDALAIPKKFAANVRGKISEQVRLEVSDDASSSEDSKVSMHSGGLQRSKKFRYVLPMMYNMTSAQKAEVNALEKKIQPQIPLYITAVEKTSVASGSLVFSKDYAVRYLLDQNKTITLCQSGGSKTWHISLDIDTDNLYAISTGWLDFFRDNLLQEGDICVFEASKSKKGVTLTFHPFKESHCPKPPEEESQRKKIQSIQPEIPVFLAVMRNSNCTGARSLVSWKLIPVDLKFFSVTCASRYLPDEDQIMRLRLPKTKYKWKAAFQLNRNTNLRMLTKGWGKFVNDNKLDVHDICLFQLMTNKKKLTMTVHIIRKEDSKSICCCCVVSGSTYIYGCFPAGSLKPSFGIVLLELIGKDIEEPENSGDIKKKSTKSFYNSTTMCDMNSAQKAEVDALEKKIQPQIPYYITAMDKASVAAGFMQDMQINLDINTDGPYALSTGWLDFIQVNQLQEEFAPASTKSDMNGVMKIGDHSIFVSRSTRIADQQKRKIKEILQVIQYENPVFAALMRRCSVGHSNMCIMPFSSDYAKNYLPHLDQTIRLQLPKRNNTLLKKKKRNNAWETEYQIKEGRHWLVQGWRKFVRDNKLEIDDICLFQLMKDEEQVTMMVHIIRKQQDISSYKIEQDS >LPERR12G14620.1 pep chromosome:Lperr_V1.4:12:15571310:15573944:1 gene:LPERR12G14620 transcript:LPERR12G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHAVCKSYVADYYWLHMDDHEKSFIKFMIGGYKNSMTIPEKFSRNLRGKISGIVKLETRNAQNVLSSEEIEESADSGGVQNSTKPFYCLVTMSSNMTSEQKAVVDALQEDIKPQIPFYITAMHKATMADGSLAISKDYAVKYHLHKNESIRLCHYGGSKTWEINLDINTDGPYALSTGWSEFIKDSKLQEGDICLFEASENEIGMKLTFHPFKGKHRSKTPDRDDIPTTLCIMAFSREYAKKYLPNLDQTIRLQLPGKNTTWETAFEIKRRINKQTRWLVRGWKQFVYDNKLEIGDICLFQLMKDEEVTMTVHIIRKEQDSWFRFLTPINHLQETL >LPERR12G14630.1 pep chromosome:Lperr_V1.4:12:15582238:15582471:-1 gene:LPERR12G14630 transcript:LPERR12G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVPPKMTRHARQYQDGEERSTPDRFVGAIISDLEASTTQEDQDGYLASESDNSRAIYVMDGDDASASASLTPAQ >LPERR12G14640.1 pep chromosome:Lperr_V1.4:12:15584899:15597130:1 gene:LPERR12G14640 transcript:LPERR12G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKGKSSHSLFDPLTVLTANFTRDQDYGNSILKKHAKVMAEKGCESCSRWQEHFYREHMDVSRIRFFKILTEDCSHGISIPEKIAERFSGEITKGFNLKAPSGETWRIGIEKVADELFLKSGWEDFAEAHELQEKDLLIFTCKGNSNGICSFDVLIFDASGCEKVSCFFTGKTDSYMFKNFNNTDGQDAEQYLLSDSEDTNTPPYLVVSPHKGSTSIKLSGKTKNSPRKEPEDPNSSRCHVKHDMIEEEDNDYDEHTDDEHDDYSYSRFASYLNVKERKQIFSLVSLQPGNPVFVTVLKTANIRRKSLLIVPTGFADDHLDSRSHEIVLIRQNKKEKWYVKYYHGSTTRGFNCHRWIKFLRENRMQDGYICIFELMKGAKRVTMTVHVIGKVMAEKVCASCSKWQEHCYREHMDVCRIRFFKILTEDCSHCISIPEKVAERLSGEITKGFNLKAPSGKTWCVGIEKVADELLLMSGWEDFAKAHELQENDLLFFSCKGNSNGICSFDVLIFDASGCEKLSCFFISKKYSYICKHFNNTDSQNAEQYLSSDCEDTNTPPYLLGSPHKDSTSKKLSGKTKTNPSTSTGKEPEDPNSSRCHVKHEMIEEEESDDDEHTDDEHDDYSYSRFASYLNSKERKQIFSLVSLQPGNPVFVTVLKTANIRRKSLLVVPSGFADEHLDSRSHEIVLIIPNKKEKWYVKYYHGNNTRGFICHRWFKFIRENRLQDGYICIFELMKGAKRVTMTVHVIGKVDNRNVCGALYDAGEVMADKGCESCSKWQEHYYREHTDGSRICFFRLMTGDFAHRVSIPEKFAKNFNGQIIKGFNLKAFSGGTWHVDVEKVADEMFLVSGWEDFAKAHELKENDLLFFTCNGKGSCSFDVLIFDASGCEKMPDFFTSKKYNYMCKHFNNISGQNVEHCLLSDSDDTSTPSYMAGSHKAATSKKVNGKTKISPSKEPENVKQEMIEEEESDGDDEHVDYYYSRFANYLTSEEREEIFSLTSLQPGNPVFVTVLRTGHVHRKNILIVPAGFAANHLDRKSHEIMLVRPNRKEKWYAKYYHANNTRGFSGYGWIKFICKNKLQEGYVCIFELMKGAKRPTMTVHVISKINNRFVLLG >LPERR12G14640.2 pep chromosome:Lperr_V1.4:12:15584899:15597130:1 gene:LPERR12G14640 transcript:LPERR12G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKGKSSHSLFDPLTVLTANFTRDQDYGNSILKKHAKVMAEKGCESCSRWQEHFYREHMDVSRIRFFKILTEDCSHGISIPEKVAERLSGEITKGFNLKAPSGKTWCVGIEKVADELLLMSGWEDFAKAHELQENDLLFFSCKGNSNGICSFDVLIFDASGCEKLSCFFISKKYSYICKHFNNTDSQNAEQYLSSDCEDTNTPPYLLGSPHKDSTSKKLSGKTKTNPSTSTGKEPEDPNSSRCHVKHEMIEEEESDDDEHTDDEHDDYSYSRFASYLNSKERKQIFSLVSLQPGNPVFVTVLKTANIRRKSLLVVPSGFADEHLDSRSHEIVLIIPNKKEKWYVKYYHGNNTRGFICHRWFKFIRENRLQDGYICIFELMKGAKRVTMTVHVIGKVDNRNVCGALYDAGEVMADKGCESCSKWQEHYYREHTDGSRICFFRLMTGDFAHRVSIPEKFAKNFNGQIIKGFNLKAFSGGTWHVDVEKVADEMFLVSGWEDFAKAHELKENDLLFFTCNGKGSCSFDVLIFDASGCEKMPDFFTSKKYNYMCKHFNNISGQNVEHCLLSDSDDTSTPSYMAGSHKAATSKKVNGKTKISPSKEPENVKQEMIEEEESDGDDEHVDYYYSRFANYLTSEEREEIFSLTSLQPGNPVFVTVLRTGHVHRKNILIVPAGFAANHLDRKSHEIMLVRPNRKEKWYAKYYHANNTRGFSGYGWIKFICKNKLQEGYVCIFELMKGAKRPTMTVHVISKINNRFVLLG >LPERR12G14650.1 pep chromosome:Lperr_V1.4:12:15599128:15599517:-1 gene:LPERR12G14650 transcript:LPERR12G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPSAASAATRGLRAPPPLAPPRPRLLPSSPISAARPLAAMMGSPLTTAALLARLTAHPAATARACCELSQGILFRRTCQDR >LPERR12G14650.2 pep chromosome:Lperr_V1.4:12:15599128:15599517:-1 gene:LPERR12G14650 transcript:LPERR12G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPSAASAATRGLRAPPPLAPPRPRLLPSSPISAARPLAAMMGSPLTTAALLARLTAHPAATARACCELSQGILFRRTCQDR >LPERR12G14660.1 pep chromosome:Lperr_V1.4:12:15619426:15625224:1 gene:LPERR12G14660 transcript:LPERR12G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAPPQPSSSSEEAPHPDLSLQISPPSPSPAPATDDDHRRMRKPLHQPVQTAHGFKKNSGGGGGGGKRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQAREMGFLMRRGLIAEVDVFDGFNNNMVNTTSNNTIARKSQSPGEQRLQDIQNGWPHYLASSEPDHLLMEQRLMQNIWKGAAPNDQHHQETTTAVLHHEHRRRLDEIIAGRWPPPASTTNTSSSSGDTTPSSLDWLLHQKNQKHNNDDGDGYTTARRDHHQAAASGVKDPSLEMSLGRQGWQITMENCNSSVESSSSKELTLLKCL >LPERR12G14680.1 pep chromosome:Lperr_V1.4:12:15725751:15727200:1 gene:LPERR12G14680 transcript:LPERR12G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIELMWGNELTAETTDRIEEDSVASRSGRRCPDFFIFLVVVVGVDEGTILIRTAHQHSLLADLVVLKMEDQVTIQNAFDEEGEMALPMLTMMTMGGDTDGSDHEDADIEHDMGVAVYQFGGAGALYVTQFG >LPERR12G14680.2 pep chromosome:Lperr_V1.4:12:15725751:15727200:1 gene:LPERR12G14680 transcript:LPERR12G14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIELMWGNELTAETTDRIEEDSVASRSGRRCPDFFIFLVVVVGVDDLVVLKMEDQVTIQNAFDEEGEMALPMLTMMTMGGDTDGSDHEDADIEHDMGVAVYQFGGAGALYVTQFG >LPERR12G14690.1 pep chromosome:Lperr_V1.4:12:15781757:15782029:1 gene:LPERR12G14690 transcript:LPERR12G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATATACSSGGLHAAAGGEWLGEISAALQGKWQAMMVSARGGEQRRETRRVAGGVERRKGEGDVGACGGAMSDSTVFLLLDHFAPS >LPERR12G14700.1 pep chromosome:Lperr_V1.4:12:15782666:15786764:-1 gene:LPERR12G14700 transcript:LPERR12G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSAAAGGGGVGEYSFAAEYDGPPLPSYSLPRAIPLDLSRIPLASLSSPSASPPPLTASPLPVVRPLTPSSLCSAIHAHRAAGTSSSAAAGGGGGGGSGVAAGGGGGASAAVDSPTSVIENHHAALHHSAELPSTPSDDENADEALPSKAPVVTFAETSGSLLQSSEDGDENDNEEDEFDEEEYGDEALPVRSSGSLSPARWRGEAKSRGCFRCGKVGSFWARGDKESCLACGARYCAGCLLRAMGSMPEGRKCLDCIGRPVAESKRESLGRGSRVLRRLLSAPEVELVMRSERECVANQLRPEDVYVNGAKLSPEELVVLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKANNHCGEEPVHMVNRLIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSKPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAISDRTTCQSDPSSSDQCEPELSNEVHEYSISPRLKAFSDWILKAMAIGNLEDIFPAASREYAPLVEELWKDSAIQATYKRRSELPFLPSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDANGNVVNKMMESRQLFESIALHPIFEQMDFLLLLTKFDILDQKIGKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGSTLAQMAGHYIAVKFKSLFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETMYSEEPSSYSLT >LPERR12G14710.1 pep chromosome:Lperr_V1.4:12:15791085:15796692:-1 gene:LPERR12G14710 transcript:LPERR12G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTGVPSDGSDLVSLVRLNREIRISTTYPPKNYTGKHFTIFQPSNEAHNSAHDPTVTTGGRERRLRCKAAQHLNPSTKIEFGPAPFGAACKNDFNTGSLRASLAKNRSDFCGEAQMYFLSSSCFRSCVQSRNHLVPGVQELWNTWEIHSLILLSLFLQVILFLFAGKAQLVTGAKHHPMASLPVSRLGGHLRAGSHRGPCDLLEAGQRAVESPFAELGHPSNAPCSAGWQPAQPALKKNLFSPINSYTKIHSAQPFSAQFSQPNRAMVAGYVVAKVPLPDVRLRAAMVIMFLSGSFKYAERTLSLYRASPASLRSKALHNLSLTLNTIREEQGKPFASAWEMDIGMRWVNSDISNLFEAISVGVGQFDLHDLEFLSVDAPLNRVQSILQVDSVPDILERKFMLNPCRCRAYDYIEKCLESSYRDLYTKQPVRATIYNYSRPSCGSRRDKRLGARCVLCIFTLVMLSYSLLQLFSAPIALVLLKTTKKWGGISTADVTVSYILLVGATILEMAYIMPAWTKKRWSQGLAQYNMIKWQLRAAAVLDEPNMIAFVLWQRIRKRLKSLIHDLILVVPRAIREFILDNLLSHGTRREWNCASSRGQLALRRWTSSYNDPNSTLDSSTGSSGGVDFPTSVLIWHIATDIGGDTAAAANSSSGLDKVKTKKEMSRQLSNYVMYLIFKCGVMLNSKSQLIHIKACEVITANFLSAAAVNEKIAAVRIFEGSGYKDEAILVVDHQQQTGTSTASSGSSTSHDVLKRKLMPSFEGAIYYPVMPRARDVARVLLSINNEADRWGLIADVWAEMMYYTAPRCGSAFHYEHLSTGGEFITHLLCLMRLLGPFLPIPDAASPT >LPERR12G14720.1 pep chromosome:Lperr_V1.4:12:15807773:15809206:1 gene:LPERR12G14720 transcript:LPERR12G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHNKLLQFLRPVDTAGVAADDDEDPPSMAPSPMSSSASPYVMSPWVNLPGIGGDGFVSGAGNVAGDKTGLIGSLVKENGHIYSLAAAGDLLYTGTDSKNVRVWKDRREFAGFKSGSGLVKAIVVAGDGRIYTGHQDGKIRVWRSSETADGAAAVPRRVGSLPRLAHVLRAAVRPAGYIDTGRRRNSTVWIRHFDAVSCLSLDAGAGILYSASWDRSFKAWRVSDSRCLDSVAAAHDDAVNTVAAAGFDALVFTGSADGAVKVWRREVVGPRGATRHAMERVLRRGDGAVTAVAVAAEARVVYVASSDGAVTHWQFRRRHGAVGGGALPPPRNGGVMRGHKTAAVLCLAVAGRVVASGSADRTICVWRREEGAEHVRLAVLAAHTGPVKCVAVDEENGDGDGDGGGERRWVVYSGSLDGSVKVWRVSSTPDATAQTPARMTPAAASAWKRTPPSPLAAWTPYAAARRRDGECRAVV >LPERR12G14730.1 pep chromosome:Lperr_V1.4:12:15812980:15815275:1 gene:LPERR12G14730 transcript:LPERR12G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASKTDGPGLISWLGRIAAWTISAWIARKPKRLQFFGKVFPLSSLTTTAPPPPRLSQSPPVTEPGGMEADQVVEPDQEEGPVTLVSAEGEEFQVADVVALDSPIINRLIGHGRRLSLPSIRSKTLRKVIEYAEKISTTRIATTKPLDVDNMDPWRMKFFSNMDPTALAANHLEMTGLLRMACERSAEVMAGRTPEDVQKLFNLRPIFSPTEEAEIRENNKWAFD >LPERR12G14740.1 pep chromosome:Lperr_V1.4:12:15815725:15816126:1 gene:LPERR12G14740 transcript:LPERR12G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRERDPAFTMEVDRMMQGLVDADDDPYAAELRYARMSEADRGEIRFLRSAFVGLDRACRRAATRAPTPLARRRIISYRLEGGRLVKVSDGVGFPTDGGGVGESPSSSAAAGAGGSSEDELCSALRSAARV >LPERR12G14750.1 pep chromosome:Lperr_V1.4:12:15827815:15846356:1 gene:LPERR12G14750 transcript:LPERR12G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEAARARLLALLRPWLAAEPDELRVELGLLRTRAVARGVELDAAVLGGDDDAVPGSFPVRVDRVAVAEVELVASPWSAPGLEAVVRGVDVVLTLREPAPRKQRPDIKDWAAKERKRVIASIDPLNKSIFPLQFTVMEGEMLHEKIEDLVSSLEDKFTSVFSSALLNCSQVRFDDVTIQVRYLDDSHLVILRAGGLQFGPEHAFRCSLFRGLVGTKMPSRKRNHLFVKFAYFELLLKDNDHIECTASFTGTTASIRLDNFQLTAFGIHVASVYWEIAPKAIPSLMVILAITSQKEDYKSRSGRELWKLAAQKLEIAIASHRFSLSKAISCASFWQRYVHAYVLLLSLVGYPSDKVIRRSCSRAQSTRKVRETIRDHLKTVIELEEKIPTEAIARGRNVARSKLIVSQQQSEQQLSKSLLVSYIFSGNRGCTSCAQAFPRASEDSEIEVQLSIHLGELSVTFLPLGDHFTSTPKLDNGNKAYHIDVPAMHLVTKSSYILYTAGCTTWSFFFVIGELKARLSGNPKLLQVANGSITRRNSSFGTDGFAEDTDSKTILWSDSAGMHPYSGKKPDESVSYNSDSSIALLQSDMEELWSLWTVVSTFYNDSGVMHHEKPSVIFELKSSLIDPYKSTSGFQQCRLTVGRVNLDLDYLCASSTCLLYRQFVHYKELKELTEKPAEFWNISDCSTKCTSGVLDNLRSFNQRMKLVISDAIPENTLQISALIAGPIIRLQFDKRNMLQNSKDKQVPLFPRMNNKSCITLSLAYVECVIWPASLSSLTPLKADSHVKKSHNTFAGVKEQPEHHLLALESAGHAYSGTAVHACLRFSDLTLMVDHIEENQQSHIFGPMSTNFQLSTSRKTVNSFFVAGSILSINIEGRVVGCMAFLFMDELFAICQLIESMQRLAFDSDLVDIKYSQCFIGRLASHFKGSTMGSGVDYIIQEEAVGSRHTELMVEMELDLEPMHIILNGSRDELILNSAVFSNVDINYISSSPVLEGIEALGVWFCSRSSSLKFLLDGQCIDILVSFSGFQSIVFENQPQMSICNDILQSRTMLCSSPYDKNQLTIPDCVIHLYAGPNKDILINEKLQDESISGCNTDSLAVYYFIQLEFTEVYVGDYSMHNYLTEVNKPSKQKITLLIHDDLQIVKCKTKGGLIFLETLSLAKFVFCCKIYFRLLIDLSSRAASNSIKVSVASVSAGNDSTVTNRSSVSIGVHPQCEESQLSAFKCLDVDFSQLSLTLAIADESGRYQGLTLDVDAILQLLNLGMKIVFEVKNISVSSISIMHSTSHVQFREPAPRFRSSKSVVYPSQSEIQEHLPFIEADNVLTYDNDAPSSSNSTMESSTSNPSQEFSSQKSYILRHFSTYLKLEKKEHGDSSMMRSSGDWFGHGSVSGLEVTMSLSSIEMISSLIAPFHGILSSGSTQKEIQTGGTSHQELLDNMDYTIPDGAIVAIRDLDQQMYVSVKNTGSKSQVVGTYHYSLSGEHALFKVKHHKGWRSDASCISLISLYAKTNEGKELALGFSHGSDLVEVSSSVDKPSSLWTTIPLRFDSFEDESDDGKSCKIISRMSYHLINKKNNYGIAFIDGLLEFVKKPGNPFKVKVLDQSLFSDVARTFVPNVNLDNNTYLNVENELPFAVRDSFETGVNSEHVIINVDKIIFTITHEVLDTGNVFPLVQNCINDTRIVTQIFPSKIRILSALKVTMQYFNAQKYMWFTNLVPVTIYRRMPLRFFFHLKQADIFINELSVDILLYVVGKLNVMGPYAVKSSAIFPNCCKIENNSRLTLVCHFHNNEDAIVSGQQSTSVFLRNLAFEDNHPHDQSVVSISLFKEGVFSTAPINVSLLDSGIFAWRTRVLSLKDSRSFSGPFVVAKVSQNSEEGLSLSIQPLLRIYNKSDFPLELRFQRPHKSIEEAAFVTLRSGDMIDESTGVFDLMDLSGGSKRALMSLALGKPEISKHSENFGQTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSINSMKSSFSSLSCHVSSNGQHVTDLHFLVHTLSREVPLRSTNGSPVFDRNASVALQLQREIFIYPTVLVYNFLQTDIHVILTDCEPENTREDDFDTIGKQATITSGSSAYLYVNPAKFTFSVTLISYSSKSKAVNSTDWVKRMQKQTSRAQFLDVELEFVIGTGRFHSSLRLLRQEKGFLEVVLFTRYTLHNTSDYPLLCTDPHKKSLPMSGTEKETINLPPQDGCILASMSMSSWFTRSSKLRIGLHNEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESASAVAVRQCFVEDDIDGVTIEAKQKATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYCWSGPICVSSIGRFFVKFRRSQGMVTDDMKRGTLQDGKLKQFASVDVIQETASFVLHFTKPPKAALPYRIENCLNEASIMYLQKDSVESDVLHPQESEQYIWDDLRLPRKLVVHTPALREIKIDKISPWKPFLKMSQTSRLNIDSSFNNGLSSGKQRFGESFGLRVFKIGYEVYADGLTRVLRICEHADIPKVDNIQHPIANVQFRITCMCIHLLEKDQQGEEKAQSPSTILAGRFQHVSADSVITDRYRHISLAIHSFNVDEKWEGASFGSILRRSKLQDTLSENILRMIITLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPPGTPSTQYYFRHFEVHPIKIIASFRPGSPHATYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVVDDQVILKNLPPNSALINEIVENVKGFLVSKGLLKGDSSTIRPLRRLRNEPEWRIAPTVLTLAEHLFVSFAVRMLHREASKAIAGVVSKAKKPTGDEGDGESSSSSSIGALARRSRVWTVGRFAISGVVAYIDGRLCRHIPNPIARRIVSGFLLSFIDNRNE >LPERR12G14760.1 pep chromosome:Lperr_V1.4:12:15847275:15854207:1 gene:LPERR12G14760 transcript:LPERR12G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQVGSSNRKNLNVAYEDLTKILGLSRFDSENLSDMSSSGLPMQYALDPTNLVHMRSIPPESGLKSAEISSDNLQDMSSNCESPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNILWSELVQKTLKVYNQPHIIKYQLPDEDLDALISLSCDEDLQNMMEEYSSLEKSNASPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKKSTSSNSLMSQSNHHLDNSPLPCRDSPVRQSDRESGAKVLGGNSLTEPPSQFFIAPLTQPTVADSSASTSTSLNRQRTMKQSRMRLSADKSTFNQEHENKSEVYNRSNLKTMFPDHQHKKQNDTDTDIGVGTSPHHFHIQSQAKDLAVPRNESGLSSHTNYDMPIPLGAPFYSENVSMHPENAVLPAEGMIHAFSDPLLKDRKQARAANLSLATDSHIAPSFSQEIYQTEEELERKLSVARPDFVPVKPADVARTQEPKCLVPNHTDHLYNKGIDGAISVEPTRQESLSSNVRQVHDGGSSVKQQDKPYNQESRAGPNVPPQFTFVDTGFNSYHARGTRMCSDELDALESSLPASIPATDHSRSFIRDECSVGSQVENSDRRTQIDKLNPGHPIADYGTTGYVYGNDKFASGPHAILPINAFDAFTSQISLANRESIVYQNGKLDQPSVHDSSLTTSPLIGISDSGVNNLPSSQNPFPACVLSRDVPLEFNTAPSHVVNGFDHTSINNECMKPKDKRHNNVQMEASVIVEDVTDHVPSGIPSSRPLVPHVEVAAEERQQAISSSLKDDDARSDGPELTNEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGTIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSANPDIRPSFTEVTDRLRAMSSTLQAKGQSQGSK >LPERR12G14770.1 pep chromosome:Lperr_V1.4:12:15856503:15857138:1 gene:LPERR12G14770 transcript:LPERR12G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSKNSSPARHDGVDGDCGAAMPVITSCIYLHRPEPGAGALDKDAVLRRIRHRRRANRLRESLQSLLLTAAPETAEKDGERLTWLDDAFSSP >LPERR12G14780.1 pep chromosome:Lperr_V1.4:12:15858147:15862457:-1 gene:LPERR12G14780 transcript:LPERR12G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAIPAVAIMPSPLFLWRFKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYAKVFDLAQTHLSHREIWRVLLYAILLIILFSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSVAIPLVLVFPYLCRLFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDQWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTLFTIAALEILRRFQWVFFRVENEWNKMTAKQNLEMSSDMPSEGDRLLDSNSHTV >LPERR12G14790.1 pep chromosome:Lperr_V1.4:12:15866327:15867109:-1 gene:LPERR12G14790 transcript:LPERR12G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVVHFSHPQHRLRLIHSDAPFRCDGCREVGIGARYRCPFPACDHDLHRQCAIPISPPPPPLRHPFYPRCSFVFLPRAPGPPSAHRYCNACGRDVTGFLYHCRSCGFDLHPCCASLPHVLDVAGGGVRLYLHPKAAAACHRCGNRGRSWTYRSGCKSYSLHVACVVDLLVDSWHAVGRNKGGGGGGNLYDAVRVPVIRGAGKSSHGGRGGGGGYWGRKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >LPERR12G14800.1 pep chromosome:Lperr_V1.4:12:15870658:15871836:1 gene:LPERR12G14800 transcript:LPERR12G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFGRDAAAPPPSSSSSSLSPPLIAMLAVVGAALLVVLYARLISRLFRAARRRWRRRCQRRRLLMMIPAGSSPAAGDDSSFAAFTTYDNYYHTFSPYGLDDAAIKSLPSAQFVKNSSFSSAAMSARGGGGGGGERECAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVASPVVRAARRLRPSLDDLLFFHPVPQPSQSGGAADAAAAEITPASPDHVGPRDDFLLKRSYSFGFERHLLAVDAPSTASPPWRYRFNLAGGGDGANRRSFWSKRWPSPFGGGGAAAARVFSFRSYRSAAAKSSPFSRRRGGIGGGGGVAGVPGAPGGGFFMSLASEPPSILAAARRTRAAAAASSRLRCGDPEALLSPDRLSR >LPERR12G14810.1 pep chromosome:Lperr_V1.4:12:15875301:15879727:1 gene:LPERR12G14810 transcript:LPERR12G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFFSCSSASHCGAALPFPAPPRSRRRRSGLAGEGRCGGAPRNAALVAAVDRRSRKALKLIVLHEIDSPMFVRCEFFDLHQQLVPFAESWVWQQSIVARRKGLVGTDEDHLDTLIALQHPPVYTLGTDSTEDYLHFNVNDAPFEVHRIDRGGEVTYHGPGQLVMYPILNLRYHQMDLHWYFRSLEEVIIRALQSAFSIKASRVEGLTGVWVGNKKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQMASDGKEIDETALIDKAYRSLLKEFTEVFQLSLHHNPDWNLQ >LPERR12G14820.1 pep chromosome:Lperr_V1.4:12:15877247:15882209:-1 gene:LPERR12G14820 transcript:LPERR12G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAACLLLFFLSILPDETLSATTAVSGAGGGSGCQRLCGGMVVPYPFGFSGSCPIMLSCAVDADGNSTAALIPPTNSSSSSSTSYAVGTFSSANSTFVVSMPPSCVRGVADARRWLSGDNYGVTSHTGLFVRGCRNASSTNCTVPVDTIWSTLRTAGDCAGANETVPASVTCVATVSTEAERASGVGVMFAEWDKVEHELRCDRLLTSVYGQTPATGGSTFSLEFAVAQMGWWLNGSCNHTDLAAGVRCAGNATCHDVKTPSGGWGHRCRCLPGMDGDGFDAGEGCRFPAKKSSMKKVLIIVGGILAGVVAAGVLLCCVRRRSSGGGHRGFDKLTAKKLLSEAASSSGVPVYTYHEVARATNSFSHTHRLGTGAYGTVYVGKLPSSSPSPSPSLVAIKRLRRRLHDDGEDDAAIAVLLNEVKLISSVSHPNLVRLLGCCLDRGEQILVYEFVPNGTLSNHLAAGDLPWRARLGVAAETAAAIAYLHATRPPILHRDIKSSNILLDGDLRPKLADFGLSRAVGGLQASMSHVSTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFGRPADEVNLASLALDRIGKGRVDDIVDPALVDRGDEWVMLSVRHVSELAFRCLAFQKDVRPCMSEVAAELHQIRDAAPASVPVVARTGVGRPMTVDVGFNGMDTVTAATKRTYPTNILHLAPETEGAPEEHRMCFKVECNKCGKLTWSGCGKHVASVYDGIEKGKHCSCKPWPGVDTKSDGSTSTPKEGEAKA >LPERR12G14830.1 pep chromosome:Lperr_V1.4:12:15883659:15884528:-1 gene:LPERR12G14830 transcript:LPERR12G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGFVFLLFLFFSAAGEPCRRRCGGLVVPYPLGFSGSCPIMLSCAVDDADGGKSTAALMIGDGGSNATSSSDSSYSVVSFNQTASTFVVSLRPRCDRTVSGARRRLSGANYGVTSSTGMFLRGGCHGGGGATTAACIVPAEVMSTMLRTVQCPAGENSSSPALTCVASMPPNATAAEKGVGLYFERWGEVEEPQCDNLLTSAFYGVTPDGVFSLELAMAEMGWWLNGSCTAGDGGRCAANATCHDVQTPTGGWGHQCRCHAGMDGDGFAAGDGCHFPGAGESSSSL >LPERR12G14840.1 pep chromosome:Lperr_V1.4:12:15888500:15889498:-1 gene:LPERR12G14840 transcript:LPERR12G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGHLLVVLLVLLILPDVSGAGEPCSRRCGRLVVPYPFGFSSTCPIKFSCDELNSTASLILPSASAAAGNATTAAADQSPPYSVVKFNPATRNSTFVVSVPVACGRSVAGARRWLAGANYGVSSRTGIFLRGCRNSTTANCSVPVEAMLRTISCGGGAESPAPPLTCIASISSSPNSTAAFVEWGKVKEPMCDNLMTSAYGETSPEGIFSLEFAMADMGWWVNGSCGGGGAAGDGGQCAANAACREVRTPNGGGIGHRCECLPGMIGDGFAAGDGCYFPGECSRSILSKAKLFRWSWVSGALFKACHVSASRESRGCGVRSITRGLSQS >LPERR12G14850.1 pep chromosome:Lperr_V1.4:12:15899719:15902826:1 gene:LPERR12G14850 transcript:LPERR12G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPPKRVEQPSPAPARMRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHKPSPAPPPHKTPPSRAPSVQNAPSVTDEPPPPPPPEPETAAPAAESEEHKICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCKSSAFFAEKLAASASGGGHGCVEIHECDDAEIYVETVGLMYCDETKHKLLKQNVSRVLRIMKVAESLDFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQRKAYGVSPLLKRVTCDNINSPTDTLSHIMEMVLKSTDDRARREMKALVLNLLKDNNHCTDGSSDICSELLYSSCRWCLDRLRVLFAEASEPDFSAQLTRQITLETDNLLWLVEILVNQHICDDFVTLWASQSEIAELHGKLPVASRHSVSCITARLFVGIGRGEMLPSKNTRLLLLQAWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQARCGG >LPERR12G14850.2 pep chromosome:Lperr_V1.4:12:15899719:15902826:1 gene:LPERR12G14850 transcript:LPERR12G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPPKRVEQPSPAPARMRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHKPSPAPPPHKTPPSRAPSVQNAPSVTDEPPPPPPPEPETAAPAAESEEHKICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCKSSAFFAEKLAASASGGGHGCVEIHECDDAEIYVETVGLMYCDETKHKLLKQNVSRVLRIMKVAESLDFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQRKAYGVSPLLKRVTCDNINSPTDTLSHIMEMVLKSTDDRARREMKALVLNLLKDNNHCTDGSSDICSELLYSSCRWCLDRLRVLFAEASEPDFSAQLTRQITLETDNLLWLVEILVNQHICDDFVTLWASQSEIAELHGKLPVASRHSVSCITARLFVGIGRGEMLPSKNTRLLLLQAWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQAR >LPERR12G14860.1 pep chromosome:Lperr_V1.4:12:15904600:15913424:1 gene:LPERR12G14860 transcript:LPERR12G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSSGAIMMEAGMKVIHQTTRDLEEDEQLARALQESLNTDSPPRQNVPVENVPSERVPVREPPPLLFPSSGSRTCAGCNNRIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDVKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSVNVASTSVASSSSSSSIPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAAIRAVDRYGLRSTLNHMKMTGSFPY >LPERR12G14860.2 pep chromosome:Lperr_V1.4:12:15905047:15913424:1 gene:LPERR12G14860 transcript:LPERR12G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWNWTHLISSVSSQRRAIVCELKLWFSEVLETCSIGEKLMGFQSSGAIMMEAGMKVIHQTTRDLEEDEQLARALQESLNTDSPPRQNVPVENVPSERVPVREPPPLLFPSSGSRTCAGCNNRIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDVKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSVNVASTSVASSSSSSSIPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAAIRAVDRYGLRSTLNHMKMTGSFPY >LPERR12G14870.1 pep chromosome:Lperr_V1.4:12:15911926:15913176:-1 gene:LPERR12G14870 transcript:LPERR12G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSSWSDLPADLISEVLLRLPSLGDLVRLRAVCRPWLAAGARPQHQIPPPSPWFAHHAGTLFDNHGAPVRICSTPILRHGVSDYLAVDNLAFLVRNHGGEGGGAGRGICSLVNPISQSAEETPLPDLAEAVLRAMKDSNSFTPDNITMPYAKVIMSSPLDSSDPFVAALILEGYYVAISAFKRHDVIGFGSVPWDEIYMNIPGKGSKMYLVDIAFSNGWLYTLTVNEGLYVFGSDSVFHQCIVDDIDQRQIYTKPYYSDDDYLVLRYLVDCNGRLFLMRRWMGFSWNAELGGDVARTCRFEVFEADLTTIPCQWRKVDGLGGQAIFVDHKCAKFVRASKCVGGVQEDCIYFMNRFYANPCREYSGLSIDPLADSGVYNMRNGEITPLLPEAATAKLRLQHQYLSWFFPAHV >LPERR12G14880.1 pep chromosome:Lperr_V1.4:12:15913702:15913947:-1 gene:LPERR12G14880 transcript:LPERR12G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQALPPAHRLITGREQWLPDHLHQSPALKSVPSPTRHTSMNDIGSNNNVAGADELAFDLQHNKRERRGERNGCERVRKG >LPERR12G14890.1 pep chromosome:Lperr_V1.4:12:15915540:15916800:-1 gene:LPERR12G14890 transcript:LPERR12G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVESPWPELPADLIGQVLLRLPSRVRLGAVCRPWRAGARQQRRHLPPPMPWLALRDGTLFDLDGGAPVRCVTPILRRGVTDRLPGLPRARRRRWLLSAMLNSRFYPDKVKTPHFKVILSSSSSPLDSSPEYPHVAALILPLEGNYVAISSCKGQGAIGFRPATDCTKEEEEEDQTVCLDSEWMPLTDIAFFDGKLYAITWKEGLYVLDPNSDCLNVSSVFHQCIADDPEQHGIYFNTDHQYIVLRYLAERDDRLFMVRWLMMPPLDTNPYQWRRMDMTYRFEVFEADLTTNPCQWRKVDSLSGQAIFLGSECAKFVRASKCVGGVQEDCIYFMHRSFDNPSNEFFGPSVDPLGDSGMYNMKNGEITPLLPEALMTELRLKRQFLTWFFPADV >LPERR12G14900.1 pep chromosome:Lperr_V1.4:12:15917576:15921352:1 gene:LPERR12G14900 transcript:LPERR12G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRWPWLLVVAWVSGVAAASSSSSSQTGSSQKFINCMPCSKRYVADAFDTFTSQLAQHRDLAETSDSADSCKGLDYDLGVPVLSELHRQLVGEGSHRRLVYHVKFVNCADAMVNFLDSNDANLVVIENLPNGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSTVEIHVDLALSASMSCNLVIDLPLHARYPPLDASGYATVEFGSPDMLLRYRKKDTLPDSCSWLLKDHDATSVEKAVWRIPCGDEAHIGFVSSITFLSALVCSISIVIAALFF >LPERR12G14910.1 pep chromosome:Lperr_V1.4:12:15923235:15928253:1 gene:LPERR12G14910 transcript:LPERR12G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLSVLFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKLYDLKQQGYIERQEVKQMVVATLAESGMNLSDDIIENIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >LPERR12G14910.2 pep chromosome:Lperr_V1.4:12:15923235:15923700:1 gene:LPERR12G14910 transcript:LPERR12G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQKPTNTNSSSRPRRERLQVYNHTPNSSSSPNSPPCDPCPSLPYPSPPSRRRIHPSSSSSAAAAAAEIGAPKDWAGAVACRDDDD >LPERR12G14920.1 pep chromosome:Lperr_V1.4:12:15932521:15942173:1 gene:LPERR12G14920 transcript:LPERR12G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLVEDYTGDGRSSSTEELPSDQQSHHSGESLAEWRSSEQLENGTPSTSPAYSDTEDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCHHLSLFLCVANHDKLLPGWSHFAQFTIAVINKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVDDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRTKLSRLIEDKLRWSSFNAFWLGMDPSVRKYMTKEKTETILKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNRKGIPKLVEMVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKGSQNRTKDGSSGDDFNKDSIERDDRRLVELGWKTLEFFALAHIFNRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDMKEIVIESSTPDDRIIDDLSSQAEEITSNADNPEEVSDISDNRDDSSDLLHIDLEDGESSPVNWETDASETQGTVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNKDHDTRSGLAQGGLSSVPNGIVGSSSTSGNSKDLRYEHEDDKFVSQKKQNAHRHTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLNSRASEASGAVTATTEMGVTITPSAQLASNKGPLTIQTAQNEKSVPVARNPQMPTASKSEAQKQASLVSSVTATQVITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAIGRLGNEPSASAPSYIPRSYRNAMMEKSSVSTSSSTHQTSSSEQRVAQSQSMFASSPFILSPEKMAGDDKSSLRQGLMFGTVKPETLNQWREESSHQASSSSSSSSSSNDHGTVSSSNGVEFEKFYLFGKPRSKQSLSEISARSTPFQPQGLVSDEFPHLDIINDLLDDEHCDRIALRALQRSQQGFSRQYSMPNSTSTPDYGMFAQSDPYLFDQSEQYYEELPRFYSSLNGTSRGLRERSYSHIDLPSYSNGQFDDMMMNQWPYNQTDLDLSLPPNFGADINGYPYQSGDYLNSANGVSIFPSYRPANGH >LPERR12G14930.1 pep chromosome:Lperr_V1.4:12:15940759:15945562:-1 gene:LPERR12G14930 transcript:LPERR12G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHQAAGLMEADGAESFICKLQLSVSHGLPHATPVPELRTQEHELVKSVFQVLQGFDTALLYWDSNALGYCEKAGIYVSHLSQMSLRAVLKPFLFAATCLKQVELFVGRRLRKEALKEEEQLFISADRTITLLGLTDSMSGLCSGAEHLYQVVQGAVPDAFWNSGTHMASSEVAVHVVNHLFKKLNEVCLVEDGEGKPYHMLLVIFAGSLLPYLQCLNSWLYDGILDDPYEEMFFYANKAITIDQPAFWEMSYVLRVRGSQADSLSTLADSDFIRKKESSNQETTAAGTFMKSRNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQDAHQIRPCEVEFSVDQHGNYISREKFRQGISTSRIQDKHIIFEDIIEESKGQFGNDARKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNTKFVHSKGSVLETDEACGENRSEKTWLKLLRDATSGRKHDGTKKTLASNSVMRDSTFVAGDHQDISSIAIERHFNLSSYENPAITACQEMLQRNTNTWSDLNISKSFHLPPLNDENMRKAIFGDRHAAGTSPGDTRSTTYFPRLDGTDYKFGFQFDDSEYQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLSAPDSLVVSLTKHDTRNDEETASTSRKGRGFGIEALDMLNFTYKVSWPLDLIINTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTTHNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHDAYLCSIHRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYVSDSGTFSAIPGSRQQ >LPERR12G14930.2 pep chromosome:Lperr_V1.4:12:15940759:15945562:-1 gene:LPERR12G14930 transcript:LPERR12G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHQAAGLMEADGAESFICKLQLSVSHGLPHATPVPELRTQEHELVKSVFQVLQGFDTALLYWDSNALGYCEKAGIYVSHLSQMSLRAVLKPFLFAATCLKQVELFVGRVRLCGHGTPTLSAFASSVDSWLMRLRKEALKEEEQLFISADRTITLLGLTDSMSGLCSGAEHLYQVVQGAVPDAFWNSGTHMASSEVAVHVVNHLFKKLNEVCLVEDGEGKPYHMLLVIFAGSLLPYLQCLNSWLYDGILDDPYEEMFFYANKAITIDQPAFWEMSYVLRVRGSQADSLSTLADSDFIRKKESSNQETTAAGTFMKSRNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQDAHQIRPCEVEFSVDQHGNYISREKFRQGISTSRIQDKHIIFEDIIEESKGQFGNDARKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNTKFVHSKGSVLETDEACGENRSEKTWLKLLRDATSGRKHDGTKKTLASNSVMRDSTFVAGDHQDISSIAIERHFNLSSYENPAITACQEMLQRNTNTWSDLNISKSFHLPPLNDENMRKAIFGDRHAAGTSPGDTRSTTYFPRLDGTDYKFGFQFDDSEYQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLSAPDSLVVSLTKHDTRNDEETASTSRKGRGFGIEALDMLNFTYKVSWPLDLIINTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTTHNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHDAYLCSIHRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYVSDSGTFSAIPGSRQQ >LPERR12G14940.1 pep chromosome:Lperr_V1.4:12:15951111:15954035:-1 gene:LPERR12G14940 transcript:LPERR12G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLPAAPRATAAPAALRFAAAAASSSGEAGGGARPSRPPPPPRRPRRGKPFSRQSAIKKSFHQEQVVFSTPVPSDPSVAIIGGGASGLACASSLSSRGVRAVVFDTGMHGLGGRMATRTVAAGDEQHQLMFDHAAQFFTASDERFQRLVDGWIDKGLVREWGGLIGELESGGHFRPLPSSTPRYIGVDGMRPLADAILPQSDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAVVIAHNGKCANRLLSTSGLPLLTKQMKRLQLSSVWALLAAFQDPLPIPQNDLYGTFEGAFVKDVDSLSWMANNSRKLFPLQIDRPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLRGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPLGRAGICGDWLTGSSIEAAVLSGMTLANHVADYFASSGEQPEEFAIGLHENLNKVEGHDIGQFPGLDTQPQIAEAQLTPSA >LPERR12G14950.1 pep chromosome:Lperr_V1.4:12:15954759:15958783:-1 gene:LPERR12G14950 transcript:LPERR12G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGSLVPLAAAVLVLLVAAGAGAAGHDDEAAARRTMEEFAGFPASDGVDGERSSSSAAAAFYVDSDGLQRQIDELASFSDSPAPSVTRVLYSDKDVQARRYIKGIMNQLGLTIREDAVGNIFGHWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAINMLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELAQSLKETVDNQNVSFFDAADSAGYKMHPEDLHNVFLKKDNYFAFIELHIEQGPILEKEGIQIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNTVIEKVHQSATEISKKRGVVLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMAKLSLQ >LPERR12G14960.1 pep chromosome:Lperr_V1.4:12:15959493:15966426:1 gene:LPERR12G14960 transcript:LPERR12G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVLVASSLMCVCVWGCSRENMEGHIENPEEHELTSNLDNEEQAIPLGDVPEEYKEDPEITYDEESKDPGYEESGIPYNEDQVNMNDGNVGHQHEEDQAIPSEEEHAFGGEAQGEQQANAETDDKKWPGWPGESVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAVMISAKDEPDAPVPPAVDGLLRVHKRITDGLDGEPDQPQRAAGTVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILENVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEMQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPQPPAQPVDAGYGSYPPPYGGTSYGSPPGGAGPHNGGSYGGAPPPYPPSYGY >LPERR12G14970.1 pep chromosome:Lperr_V1.4:12:15968633:15970900:-1 gene:LPERR12G14970 transcript:LPERR12G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSPAEAIVQSCKPRRGNFLVWLSSPAAMMEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGSGSRGGGAGHARGRMAGGRSKPAAVLREKGFLDSSSSSSGGAHQLGMMMMSNAATPSTSSAHLRNRIGGGGGLPDSTRGLDLVSSSSKGGHHQFEPPKMVQPLSVQFQFGATAHRYPFQQHQQQKLQAEMFKRSNSGISLKFDSPSATGTTMSSAFMSSLSMDGSVASLEGKPPFHLIGGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >LPERR12G14980.1 pep chromosome:Lperr_V1.4:12:15980703:15982914:-1 gene:LPERR12G14980 transcript:LPERR12G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPYSGDLGDAAGADIFTLDQMFGDFGYTCDDLFELAWEETGGGETMPASSGVPCCHLLLPQTTAAPESEMPLPEDEMAAWLSAIVTGDDAVEVAVVTGDPAANSGEEFRERIENKLPTRTEERRVKRRRSKINEKFKMLQQLVPGCDKLSLNAV >LPERR12G14990.1 pep chromosome:Lperr_V1.4:12:16000267:16001761:1 gene:LPERR12G14990 transcript:LPERR12G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWIYPIAPGDELTAVDRQMLVASGEEHGVKVYDQAPAPAKDGGDQRWLTPEIRMEKVHTHLEGICVPETRCISNHERRKTSRARRSSRYSETHNLTEKRRRCRINEKLKTLQQLVPGCDKSNQASTLDKTIRYMKSLQQHLQVDRFSHISAHSSYFYIGFT >LPERR12G15000.1 pep chromosome:Lperr_V1.4:12:16011365:16014965:1 gene:LPERR12G15000 transcript:LPERR12G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQDSAAAGLFTGDDSGKSTAAAENEDFSDMLRDFDYSNSKDLFELMWQSGANFDQPPAVSYRSQSPSLPPPETTVMDDQIIAAPSEEEMAAWLYPIVSGDDRQLENVDGWRAAPPEKKSTEIERPNAALTTINKDTSNDDSGDRKKQASSSGGAKTRSPHAAGAHNLTEKRRRLKITERLRTLKRLVPGCDKSHILTLLGRHIFQTDHASTLDQTIQYMKSLQQQVNTMSFIGSPPPPPPAAIYPAAVHPRYITPPIVLADGPPPRSPAMVPFAGAMLPYPPYPAAVLLPPPPMYRPAATAAPAAHRHGSGRSGRISKSSTSSSSLGKKQ >LPERR12G15010.1 pep chromosome:Lperr_V1.4:12:16030623:16034549:1 gene:LPERR12G15010 transcript:LPERR12G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQDSAAAGFFTDNVDDSGKSAAIAAAAAENEDFSDMLRDFDYSSDDLFELMWQLGRANFEHGDGGRGAVADQPSTVSYHHLSQLPPETITGDPIIAAPSEEEMAAWLCPIVSGDDSRQREDVDGRRAAPLPEKKSVGIDRQEAAPTTTNKDTASDDSGGRKNQASSSSGGTKTRSHHAAGAHNLTEKRRRLKITERLRTLKRLVPGCDKTDQATTLDQTIQYMKLLQHQVNTMPPPVYPRYMTPPPQMVLAAAAGPPLPPPAAMVPFARAMIPYPPYPAAVLLPPPPMYRPAATSASPAAHRHGSGRSGRISKSSSSTLRKKQ >LPERR12G15020.1 pep chromosome:Lperr_V1.4:12:16045055:16045633:-1 gene:LPERR12G15020 transcript:LPERR12G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFSPRVLFVVVFLVVAVANLAGGARSGAGDTVSDSCDAIRDFVDVAFCETALRSSSGAAATDRHGHLLIAADLAAKRGDSARSTAAAAAAAGGEMRDGMEACEMLYGSSSVPAVRMMRRYAAARRWEAARSLMWLSSQAGIGCAAALGGDGGAAAAATGMDKENEDFRKLAAMATALLNSVAVGSSG >LPERR12G15030.1 pep chromosome:Lperr_V1.4:12:16046800:16051599:1 gene:LPERR12G15030 transcript:LPERR12G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALVLAVVLAAAVDAGAGAGGGRTALDGVCSDLGGYYVTPELCKSALCGGDTSSRPRKRRGAAAGRAVAASVESVLQQHSPNTSSSIGNYSSAPAAAEGIRSCVELYGGAVAALEWAARSVAAGRYRGAREVLQAAQYVSLGCEGMAGGHGGAVAGEAPLPRENERFGSMAMVAHAVVASMVGPD >LPERR12G15040.1 pep chromosome:Lperr_V1.4:12:16052912:16081358:1 gene:LPERR12G15040 transcript:LPERR12G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFDLRRFEDSKGQNLLRLAAKHGCMESCKFLVEESGLDVNSVCHQGDTPVLLAASDGNIDVLTYLLDRGGDPAIPDERGITPLHRAAQYGHVEAITLLLSKGVLVDPMFYGGTPMHLAALQGQDQVLKVLLKHGADPNRVFGHMISPLMTACQARSLKCMKLLIEAGVDVNDRRSGEGITPLEFAVYLGWTDVVKFLLEAGADPNIPGEDGEIPIMVAAANDQRELVEILFPRTKPIPCHPDWSVDGIIRTMRFTCIEPQDAVPVEKLVSDAKSNGKEAFVKGDYLSSMYFYTQALENVPLDATLFANRSLCWLRLREGEKALCDAQQCRTLCPGWSKAWYREGSALSLLKDYEGAVANTPVLLATCDGNIHVLRYLLDRGGDPALPDSRGVTPLHCAARHGHVEAIRLLLSKGLHVDPMYYGGTLLHLAALLGQDQALKVLLEHGADGGVDVNGKSSGEGITPLEFAVHHGWTDVVKFLLEAGADPNIPNEDGEIPIMVPAANGQLPVEKLVSDAKSNGKEAFAKGDYLSSMHYYTQALEKAPLDATLFANRSLCWLRLGEGDSALLDARNCRRLRPGWSKAWTDYKGAVGAFVEALKLDPVNDEIENAFRTFWNRRSPASPAMALSPTAATVFQAAIDGNLHILRKMAKKVDLRGLKDNEGLNALHFAANHRHLESCKFMVEELGLDVNSVSNTGRTPILLSSMDGSIHVLRYLLDCGGDPTIPDDKGAGADVNGNSFTGPRPTPLAVAVNDGSADIVKLLLGAGADPNIPNEHGRIPIMEAAARGQRELVEILFPRTKPILCFPDWSVNGIISTMKFTHIKPQDAVTVEEQVSDAKSKGKEAFGKGDYLIAIYFYTMCINPHMMPPCLPTGVFAGCGCEKETDYEGAVDSFGEALKLDPVSDEVTNAFREALECLKRAARSEELWRVTWTCGQFKYKNGLNALHFAASHGHLDCCRFLVEESGIDVNSAGHKGKTPLFYAAIDGNIQVLRYLLDHGGDPAKPEERGGTPRHNAADNGHDEAVRLSSCGSCELSWAPLHLAASKDHDKAMKVLLELGADPNRVVNHVFSPLMMACCGHSLNCVKLLVEAGADVNGNSTTGPTPLTEAVDDGLTDIVKFLIGAGADPNIPDEDLLLSALNIRGGEFLSWWQQLEVNESLLKFYFPRPCLPDWSVDGIIRTMRSTPVEPLDVVPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAIDKSPLDATLFSNRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMEDYKGAVDAFGEALKLDPRSEEVENALKEALECLQRAAHSE >LPERR12G15040.2 pep chromosome:Lperr_V1.4:12:16052912:16075425:1 gene:LPERR12G15040 transcript:LPERR12G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFDLRRFEDSKGQNLLRLAAKHGCMESCKFLVEESGLDVNSVCHQGDTPVLLAASDGNIDVLTYLLDRGGDPAIPDERGITPLHRAAQYGHVEAITLLLSKGVLVDPMFYGGTPMHLAALQGQDQVLKVLLKHGADPNRVFGHMISPLMTACQARSLKCMKLLIEAGVDVNDRRSGEGITPLEFAVYLGWTDVVKFLLEAGADPNIPGEDGEIPIMVAAANDQRELVEILFPRTKPIPCHPDWSVDGIIRTMRFTCIEPQDAVPVEKLVSDAKSNGKEAFVKGDYLSSMYFYTQALENVPLDATLFANRSLCWLRLREGEKALCDAQQCRTLCPGWSKAWYREGSALSLLKDYEGAVANTPVLLATCDGNIHVLRYLLDRGGDPALPDSRGVTPLHCAARHGHVEAIRLLLSKGLHVDPMYYGGTLLHLAALLGQDQALKVLLEHGADGGVDVNGKSSGEGITPLEFAVHHGWTDVVKFLLEAGADPNIPNEDGEIPIMVPAANGQLPVEKLVSDAKSNGKEAFAKGDYLSSMHYYTQALEKAPLDATLFANRSLCWLRLGEGDSALLDARNCRRLRPGWSKAWTDYKGAVGAFVEALKLDPVNDEIENAFRTFWNRRSPASPAMALSPTAATVFQAAIDGNLHILRKMAKKVDLRGLKDNEGLNALHFAANHRHLESCKFMVEELGLDVNSVSNTGRTPILLSSMDGSIHVLRYLLDCGGDPTIPDDKGAGADVNGNSFTGPRPTPLAVAVNDGSADIVKLLLGAGADPNIPNEHGRIPIMEAAARGQRELVEILFPRTKPILCFPDWSVNGIISTMKFTHIKPQDAVTVEEQVSDAKSKGKEAFGKGDYLIAIYFYTMCINPHMMPPCLPTGVFAGCGCEKETVLYWKHDAAKHSALDGPRSGTARAQLSIYFCNLIIFLHFIPQSC >LPERR12G15040.3 pep chromosome:Lperr_V1.4:12:16072104:16081358:1 gene:LPERR12G15040 transcript:LPERR12G15040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSINVQDYEGAVDSFGEALKLDPVSDEVTNAFREALECLKRAARSEELWRVTWTCGQFKYKNGLNALHFAASHGHLDCCRFLVEESGIDVNSAGHKGKTPLFYAAIDGNIQVLRYLLDHGGDPAKPEERGGTPRHNAADNGHDEAVRLSSCGSCELSWAPLHLAASKDHDKAMKVLLELGADPNRVVNHVFSPLMMACCGHSLNCVKLLVEAGADVNGNSTTGPTPLTEAVDDGLTDIVKFLIGAGADPNIPDEDLLLSALNIRGGEFLSWWQQLEVNESLLKFYFPRPCLPDWSVDGIIRTMRSTPVEPLDVVPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAIDKSPLDATLFSNRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMEDYKGAVDAFGEALKLDPRSEEVENALKEALECLQRAAHSE >LPERR12G15050.1 pep chromosome:Lperr_V1.4:12:16082225:16088005:-1 gene:LPERR12G15050 transcript:LPERR12G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPASPAAAKPAKEEVEEKEGKGKEVVVVEDDEDEEEEEDGDEEEEEKPRRGRGRRMRGRAKRKRAAASSTAAASEVVMVKREALARCMTCPLCRRILRDATTISECLHTFCRKCIYKKLNDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRAKLFPLKRKKVNAEEAESLISLPAKRKERSISSLVVNTPEITPKSLTGRRTRATTRKSAAALRDLGPIIPPVKKEVDNTNKNADNSSLLDSLSKAPQTRRQVLSNAETSSHPSSKDKGGDNKDMDKSELWSPLNSLVEAASKAKSLRSSPAARGNQTNDSPNSVNATRTKAREYLLKSKLQDDKKEVPVATVPFKRKGPGRGRKPLQPSAPAVPSPAASKLNKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARPLQTVVGSSGGDYVMVISYGRPKASKS >LPERR12G15060.1 pep chromosome:Lperr_V1.4:12:16091760:16092777:-1 gene:LPERR12G15060 transcript:LPERR12G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEVEEKEGKGKGKGKEVVVVEDDEDEEEKEDGDDEEEEEEEEEEEKPWRGRGRMRMRGRAKRKRAATSSTAAAMTSEVVMVKREALARCMTCPPCRRILHDATTISECLHTSQHA >LPERR12G15070.1 pep chromosome:Lperr_V1.4:12:16099291:16104965:1 gene:LPERR12G15070 transcript:LPERR12G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVPPPPLRCPSWWSPASPSHHLHSFFFFRRRGAAMARPPVLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLEENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITSEEDGLKACNTLHDAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDRAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >LPERR12G15080.1 pep chromosome:Lperr_V1.4:12:16108706:16109357:-1 gene:LPERR12G15080 transcript:LPERR12G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAASMKSPLPVAAAAAAATAGAGDAKSPLFCPKPRRPVAPLRSAAGMDLLDLLLSKEESGVTAVPSPFCGSPPRRASNPVVHDSRFGVDCPPMPAWPPAMVSSAVAAASPAAPVAVVIHRPTPRPAAPPMSPRGGGCTARARFAFQPAAVRVEGFDCLDGGRGRRGHGITAMA >LPERR12G15090.1 pep chromosome:Lperr_V1.4:12:16121120:16125359:1 gene:LPERR12G15090 transcript:LPERR12G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVDATMLACVAFSCPNLQSLEISMADGAANRMAGDELTRFVSEKRSLSVLKLDGCGNLSFLNISSSSLSTLWLSDLSSLSKSVINCPNLNELSLGFTQQNNDSTDLISLMDGLGRTCSNLRNLHISSVHLSNDVVFALESANLRGLCMLSLILGSKITDAAVASIVRSHASLDLLDLSGSSITDNGLGMICKAFPCTLTRLLLALCPNITSCGIQVATAQLPLLQLMDCGKSLCVSSQPEAERSYFGEIFGGIKFCSKLPIQRKQQPNYQKLIIKHANLKKLSLWGCSALDALYVNCPELSDLNLNSCTNLHPERLLLQCPSLKDVHASGCRDMLIGAIRNQVLNEFTSIEPRVPCKRLADGSKRVQVPHFMLEQQFEEKWGGGSKRSQCTVHLS >LPERR12G15100.1 pep chromosome:Lperr_V1.4:12:16129253:16136178:1 gene:LPERR12G15100 transcript:LPERR12G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVRVGGYLSCGGYCPITTTRTKSIVAMKGMPCSSIGSGCARLRIALPQRSIRAAMQNRRQIVPCYQRQEASAPAAEIDAKSMEEVYEALAEHLFSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLVSTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLKTLREEGSVYAPSFDHGVGDPVENDIFVKPQHKLVIVEGNYLLLEEDVWSEIRALFDEKWFIDIDIDVSMQRVLKRHIATGKEPDVAAWRISYNDQPNAELIMKSKKAADLVIRSVDLSS >LPERR12G15110.1 pep chromosome:Lperr_V1.4:12:16133821:16138823:-1 gene:LPERR12G15110 transcript:LPERR12G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLGFDATELRLGLPGGGGGDGDSSPAARSSSGKRGFSETIDLKLKLEPAATAVEEIKEELAAEKEADVVAGDNRDDGDVSPAANSGGGGMKRSPSQSSVVTAAASDPEKPRAPKMFVESCKRLRIMKGSEAIGLDNLYCFYQEMAE >LPERR12G15110.2 pep chromosome:Lperr_V1.4:12:16134982:16138823:-1 gene:LPERR12G15110 transcript:LPERR12G15110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLGFDATELRLGLPGGGGGDGDSSPAARSSSGKRGFSETIDLKLKLEPAATAVEEIKEELAAEKEADVVAGDNRDDGDVSPAANSGGGGMKRSPSQSSVVTAAASDPEKPRAPKMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >LPERR12G15120.1 pep chromosome:Lperr_V1.4:12:16146069:16147740:1 gene:LPERR12G15120 transcript:LPERR12G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGGEMENLKATELRLGLPGLTEEEEITKPTPPSTPRAGSKHGDLMLVGDVPFEMFVSTCKRLRIMKGSEARGLGATRD >LPERR12G15130.1 pep chromosome:Lperr_V1.4:12:16151823:16158811:1 gene:LPERR12G15130 transcript:LPERR12G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVPLPSFQFSPIPLPPFFPIAVAKFSLKKKKSSSATGGGKGGAFTRMKTGGGDPGAYAVVLKTKLDLYCAAVAKSMEAKSQESSLGYLNSQASDTSQLVSQASFNGYGSTGVTNSNGIHNDDDQGKPANSGTSKELSEDDGDLEENIDPANAKRTRRMLSNRESARRSRKRKQAHLTDLESQVSQLRSENASLQKSLADMSQKYKHSTTENSNLRADLNTMRRKVNIAEEAVRRVSGTGLLLFTTSETPASSIPFSSGLSDAASAATAAAALMEDDWANSSLPDEAIPVPSAAMVSTSPSMRRVASLERLQKRIRGDVVHSEAASTLSVPEVVGSDK >LPERR12G15140.1 pep chromosome:Lperr_V1.4:12:16160797:16164567:-1 gene:LPERR12G15140 transcript:LPERR12G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIMYLHYNYVLPVFTNSRIPSSAVEPAVTNQAPPPPKITGGMSSIKAAKHRAGGGPPAAAASKHGFRGVHRRAYGRWAAEIRDVIKGDRVWIGTYDTADDAARAYDAAARKIHGRHAKTNFPFSDHPPPPPPTKTTKKRQPKKRNINVTTAAAVLLLLRRRRRKL >LPERR12G15150.1 pep chromosome:Lperr_V1.4:12:16167157:16170529:-1 gene:LPERR12G15150 transcript:LPERR12G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLNLAPDEGDKEDLPALNEAIHVDAPIHEDDQVEGDDQGGGNRVLLPVDLNFDASELQEDMQPDGDDAFEQVFNVNGGPNPAVFPFDPNFYVYEDDLQMHSVT >LPERR12G15160.1 pep chromosome:Lperr_V1.4:12:16192354:16195408:-1 gene:LPERR12G15160 transcript:LPERR12G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRRPDNQHHAGVLQPPPPPLSVLEMACQLGEEDEDEEEEDDDDDGQEFEEEFRRFNMMEDEKDDDDDDEIIAAVSPLRWPSLAVVRARGVLSSSSSAVGPLVTNLVAPPPPEIRKAAKRVTGGRGANNKRPSPPPASKHGLRGIHRRAYGRWAAEIRDVIKGVRVWIGTFDTADAAARAYDAAARKIHGRNAKTNFPFSRRSNDHPPPPPTTKTNSKRRPKKQRIIVNTDRRRPPPPPPPQAVVDYNDGAGDYHPAAAQAMEVSDGWEFEPFMQEFLLSGSIPPLDDQYYAGDQDHGAGGLWSFF >LPERR12G15170.1 pep chromosome:Lperr_V1.4:12:16220077:16221343:-1 gene:LPERR12G15170 transcript:LPERR12G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMDTKNNKKLLLGKYEIGRLLGRGTFAKVYLARPVIAGAAGVKVIDKAEVMSTPGMAPRVLWEVAAMRRLRHHPRVLRLHEVLATRSRIFLVLDLAIGGDLLSRLAAFTRGSKRSTLPECAAWKVFVQLVDALAYCHARGVSHRDVKPQDLLLDAHGNLKLSHFGLTALFSGDGHLLRTTCGTPAYAAPEVLLAGSGGGYDGAKADTWSCGVVLYVMLAGRLPFDDGNIAEMCRAARRRDYGELPPSVSPPARRLVSRLLDPNPNTRVSVQHLAAHHSWFKRSLSLDSHQSPATAPALAMMNAFDIISMSPGLDLSELFVSNRKGRTESRFMTTASPEKTMEKIGLAGGKLGYVVVVGKKKGLDDFMPVGMAAMSVEMSEVASPLMLVEMRLEAAGDGDGDGDGDGDGEGGEGFG >LPERR12G15180.1 pep chromosome:Lperr_V1.4:12:16232784:16236230:-1 gene:LPERR12G15180 transcript:LPERR12G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPKFVSARRSARRHALADLNSSRTARLAANDDNDFVDPPSRRVNVAAKFVALVSRCVPSAITPIFRRLNKVKTDLVKEMGFGGLLHMPLITNRRDFSYWLLSRVSPTRSAIKLSNGDFLPFCTNDVTKVFGIPCEGEELDSPSPDVVAHVKTLIARRLGVTDFKDVNKEILEEVILKYHKGFMSDDDKDAFKTAFLILVMMKFLAPQANLDNICPRYFYALVDTSEISKFNWSAYVLNEILAAAEAAQKKIADGQRCGYINGCVIFLQIFYLDNLDLGHLSFQHGILPRIALYDNESLKQRYKMDMRSKSVYKATEYGKLKLLYGQKEMYVTPDQVTSIFCVVICRMLWQMKFPEYCLRNTEIYTLNLSQIPQEREELVTVTEGSKNCTSANMSSHNQDQSSHGYTCSLDNVVGETGIDVNQKIPQTPSLGNDVGDGRKVGDDSTDVTANKSINLNRTIRQETPTSPQFVPIRIYTGIDIEPPSFDLNIDWSPSKKTDTPISPRSISREIAAASLDVLADIYYENSQGEIKPYHGSKRDLPEPRVLQFEGNIGSQSQNTLTSSPLDLIPDQVRTRAEGVRDVLIVWIRSYQETSHLKSVWISNFTHTPQTLTGAQMRTILWGNEKLEHCTCVVYTRRMLEIELEKFGGMLAYGWRHFLEPDFYLAAIAGNEWWDPKAFREQFIGDSIKYDVSKCTMPIMDKMNTSFRNLQLEVDLANYSKPRCNWHMLCTGKENMAKKYTQFESKFQLLSHARTYKEFEEQWLNIGREYGMQSENAYIQNAQMLADEPELQDSHKNTLTWINKDDKILVGATLEHQGNDNVEEIDDAAHPPTEEIG >LPERR12G15190.1 pep chromosome:Lperr_V1.4:12:16246100:16248682:1 gene:LPERR12G15190 transcript:LPERR12G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAEATSSVMQLSTFTKNSTIPVSVNLKMPKAEIKVPVDIVAMIDVGQSMQNHDGSTNTTRLGLVKQAMKNAINNLAGGHYPNGNRLSLLAFDDKAEVYAALADMNNKDKRDEYLKIVDGFIPGNKTRFSNSLARAAQILDGRKAGEKNRLAFIILLSDGNDSLETLTPNMIPKSYPIHSFGFTSESEAGSGTYTLINEYFNVLINKLDQLSKKLTSISTDAHGVVISDVSSVDGGDVFEVSIGVDQLSADVFLGDIASDEERDFTVKMEVPLLQGSSDVKRMILPAPLQQLDPSINRGAISFGPAKLIDDKTNGNDATGLYGAVPLLNVKCSYKRSLDSVDETVGTDVVVAWTRPLFPPRPN >LPERR12G15200.1 pep chromosome:Lperr_V1.4:12:16255025:16255501:1 gene:LPERR12G15200 transcript:LPERR12G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQISELREAFSFFDKDGDGCITLEELDTVVRSLGQNPTREELAEMIRDVDADGNGTIEFAEFLALMARKKKASSSSSSHVEEEDDADEELREAFKVFDKDQDGLISAAELRHVMISLGEKLSDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >LPERR12G15210.1 pep chromosome:Lperr_V1.4:12:16278506:16284471:-1 gene:LPERR12G15210 transcript:LPERR12G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSGRPLAGGELRPPEPPRDPLEFLSRSWSASSGAGAPAMLLPIAAAAAPAVIAEEDVSGELDGGGASSAAAGNSFSFASAATSQLIMDRIMSQSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDIDDSKFCRAVSTPKPQPYRGGGGGGKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAACVEAAESLGAERDHLAAAVASAVNVRTPGDIATITAAAATALRGAATLKARALKDVWNVAAVIPVEKNTIAAGGHHKSNGHKHQHQQQQQNHKENHRHGGNGSNTSSSFSDELAIVDGDDSNNFLSICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVANTITKKKKNVVVDVCRDVTAWPGRHLLPGGEHRRYFGLRTAEHRVIEFECTSQREYDMWTKGVARLLAVVDGRKRFV >LPERR12G15220.1 pep chromosome:Lperr_V1.4:12:16292072:16293261:1 gene:LPERR12G15220 transcript:LPERR12G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWLRSFLTGGKKDRKCSDNKADTFTAPTPSSKEKRRWSFRRPAAAPSPAPEKIAGVDEFAVDVAVAAAAAIRIQSAFRSYLARKALCALRGMVKLQAMVRGQLVRRQADVTMRRMQALVAAQRRARADRLRLLDAAASPRPSTASTARRCTSPHHRRSRRRALLVEEEVDVKVVEVDDNGGGAARVVSPTRSSAAVTEESGRFDGDYAFSVSGGAAASGRRNHHHHRGGEEVFVRNYMSNTESSRAKARSQSTPRQRRHDRFHHQASGGASASPSPSCGGGGERRRRASLDPRELVGGGRMERCGSQASAAAAAWPWAVRMDRSTASIAGGSDCGKLL >LPERR12G15230.1 pep chromosome:Lperr_V1.4:12:16295103:16305062:1 gene:LPERR12G15230 transcript:LPERR12G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEAEAVQLIDGEGEFAGESAERFMASAGVAVCGLSYAVVSIMGPQSSGKSTLLNQFFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVLDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVEVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFSCLTSDAEWMDLENAVQSGPVPGFGKKLGYTVDVHMQEYDKEAIYFDEVVRTAKRQLLKSRVLNLIQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAASVKDITESSLNEFDQGCADAVIKHADWDYSKMLEKVRHDIEDHTLSIREKKLSELTNHAKEKLRKALVEPVESLFDAAGHTTWASIRNLFKRETEAILPEFQKNFAGFEMESASSEGMVSNLRDYARSIVDNKAKEEAGKVMMHMKESHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMAAIRWDEKPDRIENILTSTLLDGSVTSKGASSSSDPLASTTWEDVSPKYTLITPSQCKSLWKQFKAETEFTITQAVSTQQAHRRGNGRLPPPWAMVAIAVLGFNEIMVLLRNPIYLFLLFVGYLLFKALAVQLDINREFQNGMVPGIISVTAKLVPTLHNILNKVATDQQQQQQGRHQEAAAEAPQPHPQQQQQQPQPPPPLLLSPRSPVSELRRLHVPFSPVRKAASPSPSSSPSSTVSSPRNVGEDQKPRQIVEPNNESNNAYSILMVYTVQLELEGYKGGKTGYTVVDMALPAYACKKPQHAPCRRPPEIHAAIVFFLQSSSDLPVGER >LPERR12G15230.2 pep chromosome:Lperr_V1.4:12:16295103:16305062:1 gene:LPERR12G15230 transcript:LPERR12G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEAEAVQLIDGEGEFAGESAERFMASAGVAVCGLSYAVVSIMGPQSSGKSTLLNQFFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVLDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVEVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFSCLTSDAEWMDLENAVQSGPVPGFGKKLGYTVDVHMQEYDKEAIYFDEVVRTAKRQLLKSRVLNLIQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAASVKDITESSLNEFDQGCADAVIKHADWDYSKMLEKVRHDIEDHTLSIREKKLSELTNHAKEKLRKALVEPVESLFDAAGHTTWASIRNLFKRETEAILPEFQKNFAGFEMESASSEGMVSNLRDYARSIVDNKAKEEAGKVMMHMKERLKKFIRYLSLYQSIARSSELVLVFARFTTVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMAAIRWDEKPDRIENILTSTLLDGSVTSKGASSSSDPLASTTWEDVSPKYTLITPSQCKSLWKQFKAETEFTITQAVSTQQAHRRGNGRLPPPWAMVAIAVLGFNEIMVLLRNPIYLFLLFVGYLLFKALAVQLDINREFQNGMVPGIISVTAKLVPTLHNILNKVATDQQQQQQGRHQEAAAEAPQPHPQQQQQQPQPPPPLLLSPRSPVSELRRLHVPFSPVRKAASPSPSSSPSSTVSSPRNVGEDQKPRQIVEPNNESNNAYSILMVYTVQLELEGYKGGKTGYTVVDMALPAYACKKPQHAPCRRPPEIHAAIVFFLQSSSDLPVGER >LPERR12G15240.1 pep chromosome:Lperr_V1.4:12:16303769:16307364:-1 gene:LPERR12G15240 transcript:LPERR12G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEVIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRTMVPIHQSLTDKVKKMTFPGDLADSARRRMARRNSLGNERTVTFSKPSPERNSISSTRSIKEYTTTQSVKELSVESSEAGDEVTSKAIITKTASILRTPKSLPAKSYTTRNQLEPPKTSYTRTNRSEVPSRTTPNKSIRSARRVSLPLSTYETPSKRSISILEQLESPDVSVNAPRIDRIAEFPLASSEDPLLPIHKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >LPERR12G15250.1 pep chromosome:Lperr_V1.4:12:16313772:16317829:-1 gene:LPERR12G15250 transcript:LPERR12G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGAAAALKDQGNEQFKAGNYLKAAALYTQAIKLDPENPTLYSNRAAAFLQLVKLNKALADAETTIKLKPQWEKGHFRKGCVLESMEHYEEAISSFRVALQHNPQNTEVSRKIKRLTQLARDKKRALNVENMRSNVDVAKNLEPLKTELAEKYGDAELAQSIFSFVVNVIESAIKVWHDTEKVDARVNFLLDDQKTDTEKYAPVVNIDKAFESPHTHSSCFTFLREYSEDSFSKAACMVAPKNIISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRALCRSPELLDISIHEVLPRIFKETA >LPERR12G15260.1 pep chromosome:Lperr_V1.4:12:16321193:16327389:-1 gene:LPERR12G15260 transcript:LPERR12G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRRAQRKMAEAFPDEFELDLDDDLPAETSSTETDGDNRDMTPFFLSFINAGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLEKSERAESEVVCLKEALSQQEAEKEAAFSQCQQSSDRLQILKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERTNQNLHLELDKLKNDSKEKHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKSGEASKVNDIEASKVRLQNDLEKIREENRKLEEQNNSSTSAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKALQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETMKHHEGVKALYVDNLMQLERTLERNAHLEISLSAATTEVEGLREKKVALEESCKHLNSRINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEIIRRKLQDSEESTQALHNLNSALRSEKRSLLHEVDSLNGALLNLEAQFAELEGYHLDLQLEKNKALNEVNKLQEMLRLEREAHKELNYSGKTQFSAIQKQLGLLLEEGRHREKELQEEEHKIFEAQTEIFVLQRCLGDMAEANSNVSGQLQKQKDLCKLQEETLTFLSQNNHKLTEGIGSVMEELHLDDKYGSLDVMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNEKHDLLKISCELRKEMEARTRKVDELKGEAKFLVRQLTELQESRQLLQAEVIKLIEENSSLSGKLYDSREKEKSFEDDFSTLLGEAISTDILGVVFRSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRRRTMRRDTKLLKSGRKSQQESTVNIEQRKEVDHAGLEKSNEMLREKLHKLQSEVQVLRSKEQPVIDVKSCDAEITKLLVNMQMATANAALFKEKVLELIASCESSEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVDALEKQTMSLAKDCLPSNKLRMEEFSLSPPQLSKIAVKPTDTHHHHQQQEINAMKMVKDMELQKLHGTIKALQKVVTDTGVVLEQERLDFSTNLNDARKQIELLKLRDMAAAAAADSDAASSELTVDRRMLKDIQLDLVQNTNSRHRRRKHQQQPESDKMLVEDFRPPRRSCSSEMSQMSTTVVKDLSVVVDDGNLSSATAMTSSSAIEAPHREWKKKVIERLAGDGRRLADLRSIVGELRSSAEESESPELEDVAAQMADAEAAVTQLIDANSKLLKKAEDFTAGDVAADGDLRSRSQRKILERVRKMSEKIARLEQETQRFQHVLLRHEEDXIRSSGGRRGFSLLSICTAAAATVAGSGAGRRAA >LPERR12G15270.1 pep chromosome:Lperr_V1.4:12:16338357:16347393:-1 gene:LPERR12G15270 transcript:LPERR12G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSGVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRAESQTTTTITKGERTEAASEPFLRRRKSTSRSRRRPRFRPASASPVMASSGDPSRVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRRKADEGEGGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCYPCYNKSIHGVPRSAPALPLKAVQTSEAGHDSLEQIIRSPMDLVRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPITQVRPDSWQITRRPTDEVRGEAWGYLRRPSEDGTSDVMEGHADPKFPRDNKLPDNHVDRYSIPRCIEVMNGMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMSSLV >LPERR12G15270.2 pep chromosome:Lperr_V1.4:12:16338357:16343148:-1 gene:LPERR12G15270 transcript:LPERR12G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSRVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRRKADEGEGGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCYPCYNKSIHGVPRSAPALPLKAVQTSEAGHDSLEQIIRSPMDLVRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPITQVRPDSWQITRRPTDEVRGEAWGYLRRPSEDGTSDVMEGHADPKFPRDNKLPDNHVDRYSIPRCIEVMNGMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMSSLV >LPERR12G15270.3 pep chromosome:Lperr_V1.4:12:16343148:16347393:-1 gene:LPERR12G15270 transcript:LPERR12G15270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSGVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRPPPSIGGESASPDPMSAAAVSATPPPEESDPPNPPPPPPAGSDDPDDDDPLPRVSRKPPPPSPKGNGPKQQANPSSAAANPPRVAGAARVSGRPRPHL >LPERR12G15280.1 pep chromosome:Lperr_V1.4:12:16350256:16352211:-1 gene:LPERR12G15280 transcript:LPERR12G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSKFWRLAALVPQVQRPDQPIPFRQKVIYTTIALHLFGCKPTSIADPLYWLNLILASNRNTLLAHGIVAILVPEIVMKFVVPTEIAIPDTSAPGTCILLNGAQKLLGILITVIGVITFYVQAQHFTFNTVLVMLQILCSGIIVIYLDDVLQKGYGLLSGTSFGNILWKAFSPMSIIYPEQGTEFEGAVVAWVHLLMTRTDKLSAISKAFYRQNLPTVTDFLATCLFVPLAILFQGVYIILPIRTQNNFQDECHIKLTHFLYGPIVLHRILLALPYAISKVLYMKYSGNIQVNLLGEWNGHIPVGGIMYYLTTPPNLAYLHNDPFHAFIYASFVLITCVFFSMWLILCLPAKGVFNGFVVLNEEQRLRIANADSRTVNEIFSGVMKAACVGCFFAGALIIYADLVGVLGSGTGIMLAVTALYPYVDGSASEIGPFGF >LPERR12G15290.1 pep chromosome:Lperr_V1.4:12:16354077:16359532:-1 gene:LPERR12G15290 transcript:LPERR12G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAPRAATAAAVEDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLLIRDDSVQLSQESHSPNSVRTRTGATSSIRMIRCKNIVSENCSIACCLNESNSSKTSQSIQLCGGSAESDKNQNSDGKKDLTTEQDSTNLEFVLLDQNGPEKMDSLLLGNIVSDNQLSEQQKDGLGSFDAVYMIAHQPNSDMLRLAPPFESETQLVSETLETDDVYCESLLNGGPSGSHIQNSAPDPHLYWAGAVEGSATDYTPQMLPGACQSQLVPNDQISNKLNEPSDYMPIDQNVSSQNLRGMRRRCLFNEKAGASNKGVKNTPVRHSTNLTTPRRKTSSSDNKLKILRTPPCALPGIGLHLNALTAVPKEKAVIHNETQSSLNQASNVLSAVGSSPPIADLHTVNDDSSQATMVAYVDESSQGSPKKKRHKFDNGDGTSCKHCSCKKSKCLKLYCECFHAGVFCSDPCSCQGCLNKPSNMEAVLSIREQIESRNPLAFAPKVIRTEPGQELAGGVGCSVNCRCEGCKNAFGRREVEGVTLLSIEDAKRGCREKNEGMKEETIDNDKQLVIYQDSTNLTPAESVLTTPSVADCRPLAALPPLSSKKPRSSTKLGGYSSRLEGPLKSDIVLSPFESYAEMMLGDGTSNTLKGELSPQNSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDITNENPNTSSLAP >LPERR12G15300.1 pep chromosome:Lperr_V1.4:12:16361349:16367463:1 gene:LPERR12G15300 transcript:LPERR12G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLRRHRSRTLLARLLSSSSPPPPEDGAKGRVVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRASLHVRAADEAVRLGPPPARESYLNSRAILDAALRTGAKAIHPGYGFLSESADFAQLCAAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKHEADKIGYPVLIKPTHGGGGKGMRIVQRPQDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLTQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTTTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELSGHSAFEKGLVGTHFIERYQNDLLSTSPQALSGSHEAAQLGAILAAACICKKDHISSEVSLRDKTLSVWYTHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYKQMLRAEQLPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVIEAMKMEHVVKAPRAGYVEGLKSTAGQQVFDSSVLFTVKESIAN >LPERR12G15310.1 pep chromosome:Lperr_V1.4:12:16367850:16371195:1 gene:LPERR12G15310 transcript:LPERR12G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSVVPSAAGEDAYVKADEVDLTSLDLVVEERMADRFLKLNGGGGGGPKAAWEIDLSKLEIGHVVAHGNHGTLFRGEYYGQDVAVKLLDWGEDGASTEDQIAHFRTSLKEVVAVWHEIDHPNITKFIGASMGTTDLNIPENVPDKSSSKGARTARPDRACCVVVEFITGCTLKQYLIKQFKKNKKLAYKEVVRLALDLARGLSFLHSKKVVHRDVKTENMLLDSQLNLKIADFGVARLEAIDPRDMTGTTGTLTYMAPEVLAGKPYNRKCDVFSFGICLWEMYCCDMPHGDLNVSVADFSSAVVHENLRPVIPDYCPKEMASIIQRCWDANPLVRPEMQEVVGLLEGLDTSNGGGLAPETKQPSGCFCFFRPRAAA >LPERR12G15320.1 pep chromosome:Lperr_V1.4:12:16371975:16377684:1 gene:LPERR12G15320 transcript:LPERR12G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRIEIDWDDVLPNGAGDVEVSFASDAAPVRPGGAARAAAEEVDVVMMTDGDLRTAIAESRSLGGRFKGILSGGGEGNRRRRKLLEDEARRRAAAKDGDGRRSAAQDVYAFNNEDQLEEYESRRSYGKSSPIYSTKENYGQLGVQTCRSFKQIVKQKPFSVDKMYSSKPCPSTLYGHKLRVHAIDPDEKTDDEKSQPSNTYTLRNSTKRWKEKHQACLPDFQKVDMLISDKASIPKKVRDVVLLDDEDGQLEAQVDCEMHDIWKESKIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINYYIQYLKRTKLHDDDCRDRFYIFNTYFYSKLEEALLGKGEFVKLRRWWKGVNIYHTAYIILPIHGTAHWSLVIICIPSKESNSGPIILHLDSLEMHSSAKIFDTIGRYLEAEWCHLQKNDPSNISISEEIWEDLPRNIQKEKVQVPQQRNEYDCGIFMLYYIERFIREAPERFTRDNLGMFSRSWFQPEDASELRQRIRELLLEEFESARLDDALSEAGTTDGSDNEDTIKIGEPKAAAAASSDSPEMIVEIGDTGISNEEIKDAAASSNEEINDAAASGEGSFSICTSADKLTGCVLSEAATLSDSAVNDEEGDDITKAESVGSQNEQDIAVLSPRTWKNSEEKTHRPREPEICCDSSDSETDDVKIIEVPSQRTNKQKCKGCISE >LPERR12G15330.1 pep chromosome:Lperr_V1.4:12:16378874:16386004:-1 gene:LPERR12G15330 transcript:LPERR12G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEEALAPERGGDGDDGDLAPAAIVAGGGGRRAEREENAVDRDRDGDEKEKEKKEDDDDGDGIAQANRWFKCPICFCPAQNPVVTVCGHILCEACLSDYMATSIVETTKCPLCQGPIQHLPRASELLSRIVSKLCLTEGKGDETSEKGEGIVLEDVPKQQPSKPPMKGWNRGRLGINYGSQQHVTCHRPSFMGHMGGMRGEGGRTPHTKTSDQTWQHKPSLTHAFPIRGKRYSCKECNTPGFDLCGDCYLTGSTAEGRFNQKHTLAHEMSLNDSFLFPNVLNILNDRREAVQDNPGHEVDINHMFLFPDFVPRVDDAAVWEDDEEWEEDEEMGGGEDQDSGEE >LPERR12G15330.2 pep chromosome:Lperr_V1.4:12:16378874:16386004:-1 gene:LPERR12G15330 transcript:LPERR12G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEEALAPERGGDGDDGDLAPAAIVAGGGGRRAEREENAVDRDRDGDEKEKEKKEDDDDGDGIAQANRWFKCPICFCPAQNPVVTVCGHILCEACLSDYMATSIVETTKCPLCQGPIQHLPRASELLSRIVSKLCLTEGKGDETSEKGEGIAFPIRGKRYSCKECNTPGFDLCGDCYLTGSTAEGRFNQKHTLAHEMSLNDSFLFPNVLNILNDRREAVQDNPGHEVDINHMFLFPDFVPRVDDAAVWEDDEEWEEDEEMGGGEDQDSGEE >LPERR12G15340.1 pep chromosome:Lperr_V1.4:12:16390370:16391548:1 gene:LPERR12G15340 transcript:LPERR12G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFLLIVLFYILLVLLLMPMLAEGYTKLNSVEEAADALGALGRFQHLVDVYEERGQVAAAGRIARVLRGCAIGGVIGLLAFIASFSGFDTTEPPAPPPSVRPPAPEEEIDDDVYPGAGIQLVLVLSALVLVTIALRALLRH >LPERR12G15350.1 pep chromosome:Lperr_V1.4:12:16391986:16393890:-1 gene:LPERR12G15350 transcript:LPERR12G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSKFWRLAALVPQVQCPDQPIPFRQKVVYTTSVLAIFLVASQLPLYGINHRPRTIEPDPLHWMYLIVAANRNTLLTHGILAILAPEVLMNFVVVTKTIILDTSTPETRILMSRAQKLLGILITILGAVSFYVQSYHFTVNTVLVMLQILCSGIIVIYLDDVLKKGYGLLSGTSLFTATSSCGNILWKALSPMSIIYPGKGAEFEGAVVAWVHLLITRTDKLSAMSKAFYRQNLPNITSFLATCGFVPLAILFQGFYITLPVRTGSIFQAECRIKLSNFLYGPIVLHHFFVPLPYFISKVLYMKYNGNILVNLLGPWNRSNHFGQSIPTGGIAYYLTTPPTFVDLHRDPLHAFIYVSFVLISCVILSEWVILCAPVKGIYNGFVVLKEERRLRVAQPDSIHVNEILSYVRKAACVGGFLAGALIIFADLIGVLGTGTGVMLAVTALYPYFDGRASEVGSFGY >LPERR12G15360.1 pep chromosome:Lperr_V1.4:12:16402963:16407100:1 gene:LPERR12G15360 transcript:LPERR12G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSAGGGGVEDAAAAMRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSPHLIRKVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEELLSKSVKIAREARDMFLKEQLDRSMQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWFSFNSKDGIHIVSGDSLIECATISNGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDADKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHCVSHLPVA >LPERR12G15370.1 pep chromosome:Lperr_V1.4:12:16413656:16417828:-1 gene:LPERR12G15370 transcript:LPERR12G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSRAAAAAAAVSLRGGARSHHILSSSSSYLPKETLLPLLLILTTAASLLAVVLPRRSAPSSISPGGRVGWARAAEFGCRASAPATAALGPFGIAARCNATSSSSAVSSEAAASSLPGTGPVVSADWLHANLRDPDVKYYISLSNVFVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDTQTHQLIDARGKPRFDGTVPEPRKGIRSGHVPGSKCVPFPQLLDSSQMLLPPDELRKRFEQEGISLDKPIVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >LPERR12G15380.1 pep chromosome:Lperr_V1.4:12:16424746:16426179:-1 gene:LPERR12G15380 transcript:LPERR12G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRVEHQLHRPRRHIWSTLRTAEDCGGANEIVPSSVTCVARASCSRSGTRSNTSSAATGWRRWGGGSTAAAAPAAAGAPGTRPTPSGALGRVVHVALFRLRLPRFKTKPLPCSESPDAAGVAGLTRRPPPLRFSPRRRPFASRPSPARLRVGGGAAPPFSGNGPPAPSRAVTMKCSKAGTVNGGQEEVAIGRVVMPLAPSWTETTVQIFR >LPERR12G15390.1 pep chromosome:Lperr_V1.4:12:16426215:16426424:-1 gene:LPERR12G15390 transcript:LPERR12G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVFLVLLLSLLFHESSAAEACNRRCGAGGMVVPYPFGFSGSCPIILSCVDADGNSTAALVRGVGGG >LPERR12G15400.1 pep chromosome:Lperr_V1.4:12:16428794:16439649:-1 gene:LPERR12G15400 transcript:LPERR12G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQITADLYFSSISIPSQLDTSIETQIRTSAVVSSEKESANSFITHNGTTGLVEGINNDAGLTEVIGNSAEPTECIDLNKTPARKSKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTPAGQPPQEQAASSHRRSERKPARRSLNFGSEVLQSESQVPVEEISTGPKGQSIPCTNQRDLQSQFACHVVSSTSSAHTSASQMVNAHLFPPENMTNGVLLDLNNSTSQLQNEHAEFVDSPALPSHLGVRETSGTNSLLELCAGMSNSNVPDLNSSISLMQSMSTDFAQYLLASSQASVRETQMANEMLNGPRITENPVTPSHCIKRTALKGHLNQISYAKEAVINDQMPRGYRLAQNPILLPKHVEGHSVMDNSSELVTANDYLKLAGSPFSKNGAPNGQHNIGDSMNIHTLDTRRESNASSGSRISPCVNFNQQNSGWAPVGAADIARSQAPYFSESHKRMRTDYFKNCPNGVVGHFATPSTNLSNNENANVVSAMNSTVFTLADAQRLITREKSRASQRMISFRSSNNNMINRPEMAQQHCRSALHGSVCRESSDVPEKQFRLITEEFTQLPSMRNNLQRENYIPQTGSWQLQSLEHDMVKGPNLSGELHKHVASPQVVIQRSVCVTPPDVLGRSTSGEHLSTPIAPTHTSACKDTLKALSCPPESSRDIIRPSVNPIGPSSADVPRTDNHQVEVSEETVTAKLPEKLKVGRPRKELKPGEKPKPRGRPKKAKAIDQELASKVSHTNQLQNEYTSCSNGPYAGDASVGRAVNADRVGESISGAIVSLLDPLDLIIQKIKVLDINKSEEPGTADPHGALVPYNGEFGPIVPFEGKSKRKRPRAKVDLDPVTALMWKLLMGPDMSDGAEGMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPVKPEVSENPANEMFHTISENGVCSELFGDSVKLQGDILVQEASNTAGSFITTEDKEGSNSVELLGSSCGDGVDCALGVYSSTYEKLPARLHATRRPAVRIGNPVEAEDGSLEDVVSSQNSAISSQNSPDYLCHMSDHMFSGTMLNFTAEDICSRNVPKATSISTTYTELLRMQELNNKSNETIDSSEYHGVPVPCRNNSQVLNGIQNLSSKYQPLHSSVSYHQNGQVHLPGIVHVSHLEQSVYTDLNRALDSNVAQTSYPSPCPGTACNNETKKADSLSSLLYGINGLDKTTSSLSDPIPGADMISPGIDNCFQPLSSEKISFSREQSSCEEYLSRKEAEAAFVKHRAISNLQGDYTVRTEQNGVPIDGSKAKKPRVGAGKKRTYDWDMLRKEVLFSHGNKERSQNAKDSIDWERIRQAHVKEISDTIRERGMNNMLAERIKDFLTRLVKDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEENSLVTSGTPIAAETFHQTFLNSRPVSQLEWNANACNHGMNNHEPIIEEPASPEPEHETIEMKESEIEDGFIDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVKQLNQLMHLSRAAIHKRVANCVIREVQAQKVRGTLLVFADHDTSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKAASSAPGRDDE >LPERR12G15400.2 pep chromosome:Lperr_V1.4:12:16428794:16439649:-1 gene:LPERR12G15400 transcript:LPERR12G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQITADLYFSSISIPSQLDTSIETQIRTSAVVSSEKESANSFITHNGTTGLVEGINNDAGLTEVIGNSAEPTECIDLNKTPARKSKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTPAGQPPQEQAASSHRRSERKPARRSLNFGSEVLQSESQVPVEEISTGPKGQSIPCTNQRDLQSQFACHVVSSTSSAHTSASQMVNAHLFPPENMTNGVLLDLNNSTSQLQNEHAEFVDSPALPSHLGVRETSGTNSLLELCAGMSNSNVPDLNSSISLMQSMSTDFAQYLLASSQASVRETQMANEMLNGPRITENPVTPSHCIKRTALKGHLNQISYAKEAVINDQMPRGYRLAQNPILLPKHVEGHSVMDNSSELVTANDYLKLAGSPFSKNGAPNGQHNIGDSMNIHTLDTRRESNASSGSRISPCVNFNQQNSGWAPVGAADIARSQAPYFSESHKRMRTDYFKNCPNGVVGHFATPSTNLSNNENANVVSAMNSTVFTLADAQRLITREKSRASQRMISFRSSNNNMINRPEMAQQHCRSALHGSVCRESSDVPEKQFRLITEEFTQLPSMRNNLQRENYIPQTGSWQLQSLEHDMVKGPNLSGELHKHVASPQVVIQRSVCVTPPDVLGRSTSGEHLSTPIAPTHTSACKDTLKALSCPPESSRDIIRPSVNPIGPSSADVPRTDNHQVEVSEETVTAKLPEKLKVGRPRKELKPGEKPKPRGRPKKAKAIDQELASKVSHTNQLQNEYTSCSNGPYAGDASVGRAVNADRVGESISGAIVSLLDPLDLIIQKIKVLDINKSEEPGTADPHGALVPYNGEFGPIVPFEGKSKRKRPRAKVDLDPVTALMWKLLMGPDMSDGAEGMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPVKPEVSENPANEMFHTISENGVCSELFGDSVKLQGDILVQEASNTAGSFITTEDKEGSNSVELLGSSCGDGVDCALGVYSSTYEKLPARLHATRRPAVRIGNPVEAEDGSLEDVVSSQNSAISSQNSPDYLCHMSDHMFSGTMLNFTAEDICSRNVPKATSISTTYTELLRMQELNNKSNETIDSSEYHGVPVPCRNNSQVLNGIQNLSSKYQPLHSSVSYHQNGQVHLPGIVHVSHLEQSVYTDLNRALDSNVAQTSYPSPCPGTACNNETKKADSLSSLLYGINGLDKTTSSLSDPIPGADMISPGIDNCFQPLSSEKISFSREQSSCEEYLSRKEAEAAFVKHRAISNLQGDYTVRTEQNGGEHFQSGYSQQDDNVGCQTATISNLYSSNLRQNQKANTEVLQGDSSNLIENSKEAKKTSPEVPIDGSKAKKPRVGAGKKRTYDWDMLRKEVLFSHGNKERSQNAKDSIDWERIRQAHVKEISDTIRERGMNNMLAERIKDFLTRLVKDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEENSLVTSGTPIAAETFHQTFLNSRPVSQLEWNANACNHGMNNHEPIIEEPASPEPEHETIEMKESEIEDGFIDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVKQLNQLMHLSRAAIHKRVANCVIREVQAQKVRGTLLVFADHDTSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKAASSAPGRDDE >LPERR12G15400.3 pep chromosome:Lperr_V1.4:12:16432068:16439649:-1 gene:LPERR12G15400 transcript:LPERR12G15400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQITADLYFSSISIPSQLDTSIETQIRTSAVVSSEKESANSFITHNGTTGLVEGINNDAGLTEVIGNSAEPTECIDLNKTPARKSKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTPAGQPPQEQAASSHRRSERKPARRSLNFGSEVLQSESQVPVEEISTGPKGQSIPCTNQRDLQSQFACHVVSSTSSAHTSASQMVNAHLFPPENMTNGVLLDLNNSTSQLQNEHAEFVDSPALPSHLGVRETSGTNSLLELCAGMSNSNVPDLNSSISLMQSMSTDFAQYLLASSQASVRETQMANEMLNGPRITENPVTPSHCIKRTALKGHLNQISYAKEAVINDQMPRGYRLAQNPILLPKHVEGHSVMDNSSELVTANDYLKLAGSPFSKNGAPNGQHNIGDSMNIHTLDTRRESNASSGSRISPCVNFNQQNSGWAPVGAADIARSQAPYFSESHKRMRTDYFKNCPNGVVGHFATPSTNLSNNENANVVSAMNSTVFTLADAQRLITREKSRASQRMISFRSSNNNMINRPEMAQQHCRSALHGSVCRESSDVPEKQFRLITEEFTQLPSMRNNLQRENYIPQTGSWQLQSLEHDMVKGPNLSGELHKHVASPQVVIQRSVCVTPPDVLGRSTSGEHLSTPIAPTHTSACKDTLKALSCPPESSRDIIRPSVNPIGPSSADVPRTDNHQVEVSEETVTAKLPEKLKVGRPRKELKPGEKPKPRGRPKKAKAIDQELASKVSHTNQLQNEYTSCSNGPYAGDASVGRAVNADRVGESISGAIVSLLDPLDLIIQKIKVLDINKSEEPGTADPHGALVPYNGEFGPIVPFEGKSKRKRPRAKVDLDPVTALMWKLLMGPDMSDGAEGMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPVKPEVSENPANEMFHTISENGVCSELFGDSVKLQGDILVQEASNTAGSFITTEDKEGSNSVELLGSSCGDGVDCALGVYSSTYEKLPARLHATRRPAVRIGNPVEAEDGSLEDVVSSQNSAISSQNSPDYLCHMSDHMFSGTMLNFTAEDICSRNVPKATSISTTYTELLRMQELNNKSNETIDSSEYHGVPVPCRNNSQVLNGIQNLSSKYQPLHSSVSYHQNGQVHLPGIVHVSHLEQSVYTDLNRALDSNVAQTSYPSPCPGTACNNETKKADSLSSLLYGINGLDKTTSSLSDPIPGADMISPGIDNCFQPLSSEKISFSREQSSCEEYLSRKEAEAAFVKHRAISNLQGDYTVRTEQNGGEHFQSGYSQQDDNVGCQTATISNLYSSNLRQNQKANTEVLQGDSSNLIENSKEAKKTSPEVPIDGSKAKKPRVGAGKKRTYDWDMLRKEVLFSHGNKERSQNAKDSIDWERIRQAHVKEISDTIRERGMNNMLAERIKDFLTRLVKDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEENSLVTSGTPIAAETFHQTFLNSRPVSQLEWNANACNHGMNNHEPIIEEPASPEPEHETIEMKESEIEDGFIDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYDLIPLAKSIL >LPERR12G15410.1 pep chromosome:Lperr_V1.4:12:16448550:16450169:-1 gene:LPERR12G15410 transcript:LPERR12G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARMLAARAFSSTATSGGVSMVQGASRGIGLEFVRQLLKRSDEGHVVATCRAPDSAVELQKLKEEHSRRLTVLPLDVTDESTIEAAATSIGETHGSLNLLINATGILSIPNVIHPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTISVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNAKKSDNGKFFAWDGQEIPW >LPERR12G15420.1 pep chromosome:Lperr_V1.4:12:16452377:16452862:-1 gene:LPERR12G15420 transcript:LPERR12G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFRNVLLRKCKSLSRSLSRSSSYSNLRSMSTRELAADAGDVGSGGGSDGAVVFVGSSRRRYVISNKHLSHPLIAALIDGDAPAGAAAAAGGGDVAGGGRKLESCGGGEIERRKTKKPIAVNCEVVLFDHLLWMLDNAVDLRAGDDDDDAMRELAQLYAY >LPERR12G15430.1 pep chromosome:Lperr_V1.4:12:16457044:16459098:1 gene:LPERR12G15430 transcript:LPERR12G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKKFGGGRPPMGTPSLAWSSVVVVVSLLAGTSIVHNIYKPDMTIPPVESTGTTRFGKNESKVQKHAANSLKPRVWSNYWNY >LPERR12G15440.1 pep chromosome:Lperr_V1.4:12:16459455:16465893:1 gene:LPERR12G15440 transcript:LPERR12G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGNHLLVCTGRTVSVFSASTGMLMSELEGHEGYVTAVVVAPPPAASAAAAKLASYCWTAGLDGFLIYWDFAAGELVRKVPVGFPVHSMAIPNITRVSKGVDIYTPFAFVTVEDTSKPSNEKLRGQLRIYDLTKGRRVGSLLAETPKPEKIVASSSGEYLGITNKRKIHLWRIPTKDFKPDKIRKIKLKHTKNLNTLAFHPSERIVAGGDVTGRILIWRGFGNAKFCASDGAKSKLDEDKGDMRGNGDADTCTTWHWHSGGVKFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSSNLGGCYRDVYGFDYSNKLVAVPTEDYSMQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGNLMCTVDVKLPEEELGGFVTLKFWNQGSHAGQYFLSTVIYEPHSDSGISAIAFRPGKNMAVSSSFGGNFKVWIQSTLLQPSEKNQSGWRCQSVGSYKNKPMTAAAFSSDGSVLAVAAESVVTLWDPDNNALVGVIAEALSPITKLSFVGTSPFLMSLSQSSKPQVAVWNVPNLFMQWSYILFAEAACCSSSRSEFAVLALLSCPDGGTLEEQDGVILLFDAENPKPVSSWSVKKARGGSIAFLKGDSSLDANSEDMIDGDASLLVYLNGSHEYVIFDPRSTEELHIGKSARKNIQTEEPGPIGYAAIFGELPKLESKKDVPEIPFIPSERPWETIFSGSSHVLPPLTKLCPAFLASLLEKRPVANE >LPERR12G15450.1 pep chromosome:Lperr_V1.4:12:16466217:16467134:-1 gene:LPERR12G15450 transcript:LPERR12G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDETTAAAAAAARWGDMDADCLVEILRRLPLDDVSSSTPLVCRSWRAASRDPSLFRALDLAAGAAARFMPWSPLGAAFASRYGVTRFTFSGYLRLCLSLAAAESGESAVVEHLTLPPLLAAGDLDLISLRCPNLRSITLPPLSAAAADDARLPTAVTNWPHLEHMELTHLPSSFPATAAMLAASCPKFSSLKIAGGAIREVDAAAIAASLAPRRLRRLSLDGCYLPRRELLAVIHGFRGGGGEMTWLSARRCVGFDEGDEEVAREAAVIARFEIGGSRLVDKFDFGDVDGQDDDTSSYVDVM >LPERR12G15460.1 pep chromosome:Lperr_V1.4:12:16475614:16478505:1 gene:LPERR12G15460 transcript:LPERR12G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLGDFFPHEESDGSGVEWLSVYVEDCLSTSASCTNPLSAELAPIKMASQGAAKPKLPPRSSSNPRKRKRSLASVISDTDDQQCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQQQEQDEEEDYKVSAGMQFQEEQPVITCSYCLSSQTPQWWKGPEGPTCNACRLRIEAGNGITTIGKKRYGQAIDREQDMGNQRDRKKTKKAVYMNDELPPEEPVKRCTHCMSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTYVSDIHSNSHKKVMQLRQSVSYPRK >LPERR12G15470.1 pep chromosome:Lperr_V1.4:12:16485573:16488208:1 gene:LPERR12G15470 transcript:LPERR12G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSPSEDVEFESAAVACEPATKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAPPVMFPAAGVHQYMQRMSAAVGMGPPHMASLPRMPPFMAPPATVQSSPVSHIPAVTMADPYARCLAVDHLQPPSPMHYLQGMSFYQLAAAKNLQQQQQQQNMAAAASPGGFTPVVAAPALTPDNILHKRYGV >LPERR12G15480.1 pep chromosome:Lperr_V1.4:12:16486709:16490367:-1 gene:LPERR12G15480 transcript:LPERR12G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGRRQDFSFGSWALPFPARLVGLAGIDGPHNFSLGMWKRINVVRSQCRRSHHRREAAG >LPERR12G15490.1 pep chromosome:Lperr_V1.4:12:16494208:16495535:-1 gene:LPERR12G15490 transcript:LPERR12G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASRPPTPPPPTPPPSAGAAAAAAQWLSPRVSFSLDDASGGMMRGGESAAAAVGKGNADFEFLLAAGCSAVSMLPADELFSGGKLVPLRLPGMASSSSSSVGVVEEGIGVATAAAATVETAAETEIPRVEETAAAAEVVVETKIPARRWRDLLRLRKQQASSSSSSSSSSAMK >LPERR12G15500.1 pep chromosome:Lperr_V1.4:12:16499918:16510335:1 gene:LPERR12G15500 transcript:LPERR12G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPAIGSGGRGEYSCETADQTREWMDAIAAFLRLHRPLLEAHVVNFFKDRLWEILDAEWMECLRREPVERLLVLPSGCEHWPSSLRDFVLTARSLVLPREQKSPRPLLPDFLVASINTVLAQGMNSKKKHEIETLAAMVHGVTKRCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKKHYAAKCMGKQKLGVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPSDSSPRIEKPNHSIPPLVLAGLHACGDLSVNMLRVFVSCEQVKALISIGCCYNLLSEECHEDTKTCPGFPMSKAAKLSNLVLGKSIRDLGCQSAERWRSLTKEIALQNFDIHAFRAAFQMVLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMEARMAMGKTDDLSCFTQKEQIMSKDGSEPTDPNGFKEVDINYLPELSTGFADSVVSGAALVPDDICLDNSQKFTLFKDFTVSALGRLGCDLVEDVSLLEIWKDVHPFTEFIGPFWCLRAALGPLVETYILLDRLLFLQEQGSVIEASLFPLFDPTMSPRNMAIIAWKLSTNSSKMAVRMTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLNTRRCHAKPQAVVV >LPERR12G15510.1 pep chromosome:Lperr_V1.4:12:16512321:16520110:1 gene:LPERR12G15510 transcript:LPERR12G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKCSSLFVERKGKTETKEKKKLAKGEEEIERREDRVSDQELKKIMSMSILSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPAVASVGGKEWYFFSLRDRKYATGQRTNRATISGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKTGGGATSKSRNLSSTIVHESSTPTSSPPLPPLTDTTLAQLHASMNNTITSSSGAAAAVAALEQVPCFSSFSNNIASNNNGSNSNSATVAQQCYLPIVTGNNGMSYLDHGLPEFGSFLDTQNCDKKMLKAVLSQLNSIGGEVLPSLLPEMAASAAVSSSWMNQF >LPERR12G15510.2 pep chromosome:Lperr_V1.4:12:16512321:16520110:1 gene:LPERR12G15510 transcript:LPERR12G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSILSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPAVASVGGKEWYFFSLRDRKYATGQRTNRATISGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKTGGGATSKSRNLSSTIVHESSTPTSSPPLPPLTDTTLAQLHASMNNTITSSSGAAAAVAALEQVPCFSSFSNNIASNNNGSNSNSATVAQQCYLPIVTGNNGMSYLDHGLPEFGSFLDTQNCDKKMLKAVLSQLNSIGGEVLPSLLPEMAASAAVSSSWMNQF >LPERR12G15520.1 pep chromosome:Lperr_V1.4:12:16516633:16519999:-1 gene:LPERR12G15520 transcript:LPERR12G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKGKKPSEQAAACRIMQLKDKLINLQPVLRAFVFLATAVAAVIMGLNKQSYTTVVAIVGTKPVTQTFTAQFKDTPAFVFFVIANAIVSGYNLVVLVTRRLLQRRTQSDLDFVGHQFCHSGIDGSARKARKHDAIEDWNMSLSGCCYPDASTELIHPRRAHSSRSSHLRKQAWQNLTPNGVQLTQHRLEHLLVTVLSVQEAPKFRQAMIQVAHAIVTCDNWEIALLCHSSTVAVTAIVVTGNVIAEAGEAGHLLKCGDGSGGTGGGGDGVVHGGMELS >LPERR12G15520.2 pep chromosome:Lperr_V1.4:12:16516633:16519999:-1 gene:LPERR12G15520 transcript:LPERR12G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKGKKPSEQAAACRIMQLKDKLINLQPVLRAFVFLATAVAAVIMGLNKQSYTTVVAIVGTKPVTQTFTAQFKDTPAFVFFVIANAIVSGYNLVVLVTRRLLQRRTQSLVVHLLDMVILTLLATSSATAASMAQLGKHGNLHARWNPICDKFGSFCNRGGIAIVLSFISVVLMLVLNLLSAAANSSRSNHDAIEDWNMSLSGCCYPDASTELIHPRRAHSSRSSHLRKQAWQNLTPNGVQLTQHRLEHLLVTVLSVQEAPKFRQAMIQVAHAIVTCDNWEIALLCHSSTVAVTAIVVTGNVIAEAGEAGHLLKCGDGSGGTGGGGDGVVHGGMELS >LPERR12G15520.3 pep chromosome:Lperr_V1.4:12:16517800:16519999:-1 gene:LPERR12G15520 transcript:LPERR12G15520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKGKKPSEQAAACRIMQLKDKLINLQPVLRAFVFLATAVAAVIMGLNKQSYTTVVAIVGTKPVTQTFTAQFKDTPAFVFFVIANAIVSGYNLVVLVTRRLLQRRTQSDLDFVGHQFCHSGIDGSARKARKHDAIEDWNMSLSGCCYPDASTDD >LPERR12G15530.1 pep chromosome:Lperr_V1.4:12:16527569:16530014:-1 gene:LPERR12G15530 transcript:LPERR12G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAICHAVTSTTPPPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNTGMNTMRPLPPTVHAPNGTPYTVPSTSAPTAQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKK >LPERR12G15540.1 pep chromosome:Lperr_V1.4:12:16531714:16534260:1 gene:LPERR12G15540 transcript:LPERR12G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMDGDYEGGRFGRDGEFYYERRRERAPQTRDDALYGVFADGDSDYDSDSDAGKRRRRKRRRDGGSEPDLSRPVQFQSAGQERETAARRRKAASSSFSNAGEPPPAVGSLESNTVVAKMMKMMGYKKGMGLGKNEQGRAAPVEAAVRPKNAGLGSVEGFKEPKTFLTKENLPPPPPPPSASSKKEQQRWSKKASAKKGTVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKRQLQVMETIAGVLEQVRVDDTAGVLSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAYPLLIRVFQGWQPLQNPLFGLEVMSSWKDLLQGDQAYDFSGDVGSIAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYRLSSVLHVWQAHDASAYAVLSPWKDVFDPASWEDLIVRYIIPKLKMALQEFQINPANQKLDQFNWVMIWASSIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYPTYHAVPGAAMADLSFKESIQAYAMEQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLMEMNRKARPR >LPERR12G15550.1 pep chromosome:Lperr_V1.4:12:16538412:16540693:-1 gene:LPERR12G15550 transcript:LPERR12G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPAFWRSKKSRSQIVQLDKDIPIISNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYMGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNIKHYNLVKLHGCCVDGDQKMLVYNYLENNSLAQALFGKTPLPSGFSNHGNSHSSIQLDWKTRVKICIGVANGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDSRLPLQDQFLLERAWTLYESGDLESLVDSTLKGVFDTDEAQRLLKIGLLCTQDTPKIRPSMSMTVKMLKSECTIGDKIMRPGLITDVMDLKIRTVEPVQFSVSPPKSPSENNSQVSTLAVAGSTVVEHSL >LPERR12G15560.1 pep chromosome:Lperr_V1.4:12:16545544:16548571:-1 gene:LPERR12G15560 transcript:LPERR12G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNQPGRFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPINQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILERVPRERQTLMFSATMPTWIRKLTQKYLKNPVTIDLVGEDDQKLAEGISLYSISSETHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDISQVQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGTAIVIYNYQQSRAIRMVENDVGCKFTELPKINVEGSDLTMSGGFDSFGGGGFGREGSGSYGRPRSSGGRGGFGNSSSRGGGFGDSGFGRSGGGFGRSGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGGFSDSGFGNSGGGFGDSGSGRSGFGRSGGFGDSGSGRFGGGFGNFGGNNSGQSGGFGSS >LPERR12G15570.1 pep chromosome:Lperr_V1.4:12:16553916:16555546:-1 gene:LPERR12G15570 transcript:LPERR12G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPVVDLGKLSYEIFSLLETNFLFGAGAGTPGRPVVDGGGKVRILSIDGCGSGPGDALLAAAALVRLEAALRDKSGDPDARVADFFDAAAGAGAGGVLAAMLFMKGDDGGVRPKYSASDALAFVAASLAKGGGAWRGGAGGGGGGGIIRRWWPAALMFRRAERSSSPSLKRIFGEATMRDTVAPLLVPCYDLATAAPFLFSRADAVESDSFDFRLRDVCAATCAGGGAAAAVRSVDGRTSIAAASGGVAAMGNPTAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSGSGGGASAASATPFSGGWRTPLPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPAYKTKGMSAAEVKKAAAMADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRRASPGLPNVVIKQSNPTPARLSSATTASSATTAATARTSMPSPAASLDSGGH >LPERR12G15580.1 pep chromosome:Lperr_V1.4:12:16566303:16567397:1 gene:LPERR12G15580 transcript:LPERR12G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPYPPLTRRKIASLKLLIPCVLVLSVSVIIVTQYFQNISYLLRPLWDTPPRPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVNEPDPGSRHQPFHVEASHRRSLNVLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLMLADAGWHCSFCFKDINEFTFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIKNADKFRFLLPGGCLRSG >LPERR12G15590.1 pep chromosome:Lperr_V1.4:12:16567957:16568499:1 gene:LPERR12G15590 transcript:LPERR12G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFNFILEKMGILKTSSLCFLLIMPLLLTPGTVTEGCGDTFFSRTYSTLFCRKGPCREHCNNEGADGGTCIFFFLFVRCICKEKCS >LPERR12G15600.1 pep chromosome:Lperr_V1.4:12:16569108:16571515:-1 gene:LPERR12G15600 transcript:LPERR12G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKNSPLNQFEGVKTHLYRLGAEHENGTLKSFAYADQGSPSLLDTIILSQWEDFAWKGHLGYDVTACNLKVIEGGWSFVVQLNNKWNSCVLKDYDKFLGPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANACPVEYGHILLVPSVTNQLSFFWDKRMFSLVARIASEVNSAAFRVFFDNCTSTMPHRTFFQACYFANPLPVESASTVAIYDGKATSGVCLYEIVDYPMKSLAFTGNDVNTLANFVGEVSLTLHDNNTAYSLLVSNNGTKVKNLATGSCLSAWECCGYFVYRAKYDFDRASEIEISNRIASVTLQDSAFENLKSLCCAIADDLVM >LPERR12G15610.1 pep chromosome:Lperr_V1.4:12:16571825:16572877:-1 gene:LPERR12G15610 transcript:LPERR12G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLIITARSTVDKDRASARFTGSPTQPRPSPPLLPAHPRAWSDDDRPLCRRQTLLVLELHGPSFYDPRPCWKLL >LPERR12G15610.2 pep chromosome:Lperr_V1.4:12:16571825:16572877:-1 gene:LPERR12G15610 transcript:LPERR12G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding FLIITARSTVDKDRASARFTGSPTQPRPSPPLLPAHPRAWSDDDRPLCRRQTLLVLGCPAELHGPSFYDPRPCWKLL >LPERR12G15620.1 pep chromosome:Lperr_V1.4:12:16582260:16585336:-1 gene:LPERR12G15620 transcript:LPERR12G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQNYIISMRREFETKLWENQNFISTLNKNWKEKISEIAALRDELSTVLSVVSASESGVLSSHGSLEKAEELNFLKIKDDNESSLTEKTADSGELMLDIPDFSLLKHMPGEEVTNFLRSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEVIKSKLLRSISKLDEITSREDNPYYGYYNDEEICRFKDRIEFLFHENEHLQGLLADKRMEAKHLSLRVLDAERKMAQHSLSELKLAKQIEKLSHELEDLKIESHIKDSLELSILREIFDNYENQIDGGNQEEIFLRELLVEKEELLSIMYEDRQKLKYENNQLLAIAGSTLMQQHEQVNLVNDLTIFREKVCEQELLILESKSESNSMKSCLHEALQQINVCKQEIHGLTDSLTSMSIALEEAKEQNASLDATIQEMKKTPAPSINSHKGEAGHLEYALVSMEKLSKAYSDFENRLAQSMKRNETRLTSIICQFNPLVQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEILKQVQKALKGESI >LPERR12G15630.1 pep chromosome:Lperr_V1.4:12:16588060:16592784:-1 gene:LPERR12G15630 transcript:LPERR12G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQAWGWVLSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRTCVSSGRLVLAALRQWRGCAARGILEMASLGPASVFVILWSCFVCMTSPACALYALGAAGAVIHYMGYTPGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQCYEGSTEERHFEETKHPDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDVMKRIMDGSTHYEVLGVPRNRSIDQKILKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEILSDYTKKNSYDDQLRKEESRKMTQGSRVVSQQTGVEFLPEESRRIQCTKCGNFHVWICTKRSKAKARWCQDCSELHPAKDGDGWVENRFSSSFKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIHEDEFELWLQQALASGVFSDSPKRRKSWSPFKLPQKGIKSWRRSS >LPERR12G15640.1 pep chromosome:Lperr_V1.4:12:16598572:16600682:-1 gene:LPERR12G15640 transcript:LPERR12G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAAAAALLPRRLNPNPNPKPYLLKRLASSTTTSSSARTTPPNPQIPISGAAAATAAEVESNPTPLFLRPAEHPIPATSLAAFRRRAESLIPPTAPHLHRHLRWLLADAAGEDPALLRLPLGDLEALWIRHVRDRRPFQYVVGNEHWRDMVVAVREGVLIPRPETEAVVEMVGNVEGFEGGWWADLGTGSGAIAVAVARMMGPKGRVFATDVSEVAIEVARLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFISTKWDSSFCDVGAVLDFADIKRFVTGYRR >LPERR12G15650.1 pep chromosome:Lperr_V1.4:12:16601426:16602944:-1 gene:LPERR12G15650 transcript:LPERR12G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILHAAAVAAFCAVSQKFNLLGTWITTSNGGDGVAVAYPVGGVACYVTPPETKTMMDYPGIVHELLMRLIHGVFVAASCTVMSMAWAKMSGTLSVKGSRRRVIGRDYVVAEETSRQIDRVIPAAAAVGVLAFYAGAIGAIGGAGFDEILDD >LPERR12G15660.1 pep chromosome:Lperr_V1.4:12:16603313:16603543:-1 gene:LPERR12G15660 transcript:LPERR12G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFAPVVTSWVVVRLLAALLFRPGDNLVANNPGVMNASRLVVGIAAAAAESAAAACVLAPGTRGDAVTIVIIFL >LPERR12G15670.1 pep chromosome:Lperr_V1.4:12:16604606:16610436:-1 gene:LPERR12G15670 transcript:LPERR12G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRERLSSSSLSPTAAGAPQVDTGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRSVQTQLHNPSAATTDTSCESVVTSGQHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKIVGSHVSPNPLFSNVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRANPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCCGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKTDVPSATRTLDLASALEVGPGGASRASTDASGACNTRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEAITLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDTPHCLAFMLVNWTFM >LPERR12G15680.1 pep chromosome:Lperr_V1.4:12:16626674:16628640:-1 gene:LPERR12G15680 transcript:LPERR12G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNGKNSKVKGQFAYPNIDPYYGSIYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSKDKQDGVASHDSGQPSTSPSSVNQNKKSKTCN >LPERR12G15690.1 pep chromosome:Lperr_V1.4:12:16635582:16638673:-1 gene:LPERR12G15690 transcript:LPERR12G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGGEVHRRRGESKFFPEESFVSWGAYGRALMETGPRLRDRITARSADAVEIHEVKGRSGAEMRRSLTWWDLAWFGVGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHNPNDFRIHAAALSADYSRLDPIAVAVIAAICLLAVLSTKGSSRFNYILSILHVVVLAFIIGAGLSKANIHNLTDDFSPFGARGVFAASAVLFFAYIGFDAVSTMAEETKNPARDIPIGLVGAMAVTTAVYCVLALTLCLMQPYREIDADAPFSVAFTAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMAPPFLAEVHPRLGTPVNATVAMMAATAIIALFTNLDILSNLLSISTLFIFMLVALALLVRRYYLSGETTSSDRNKLAACLAVILSSAVATAAYWGLSGDGSRWAAYAVTVPAWIAATLCLQIFVPAARSPEKWGVPMVPWLPSASIFINIFLLGSIDGKSFMRFGIWTAALLAYYFLVGLHASYDTAKAIAAEAAACKVEEGKAVDGGGAGN >LPERR12G15700.1 pep chromosome:Lperr_V1.4:12:16640960:16650103:-1 gene:LPERR12G15700 transcript:LPERR12G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVPDPGMGVVGEAVAEPRRGRKLLLIEEDGEEDADMEQSSACSVPSCGDTASCSGRGKAESTTGMFAAMQENACSIDNNGMVYPPQSGLGYSAGQNGTYGGGGGYIQQQNLEGCMYVNQDGQMCGPYSPEQLYEGLSSGFLHHNIAIYAVFGGKMANPVPLSSLKQFLSQWNSNAAAATTNESVENKKAAPVNKLLLPANLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGAERSESTTNDSGSLKTLMDDVVNDINHQLHAAIMKSARKVLIDEIFSSVLPEMIACRKAEKQKAAKLKSQAAKTDNASEKNTLSIWSGLLYDPVMDYCDEWLKRKNEFNLLSTVIPLASDSQKMHDRDEMLPKANCDSDAPESEMDFPPGFGPNRESAEHNSGSLFGVEHGTYKTHGKSESTSTLFSGPLARVQVMLANELYVAAKEELFDHFKEVIAEEITKCLCSGLEDDINQEVTRTPVHAPEPSSSPGIFVHETPSLTEIAQDGRSNIGEMDRDEMLDTIPCPADMTASETSTVPDVTTDKMLVPYVEHESCTSSYASIFEKLDVHERAEFDESFDEVPPGTETGLAPLVIMEKNKYQPSKSVDLVPDISRYTNWALVRKILHDSVLKECRSLFSDDLSNCIDSWYARKHSVAKTKDESLRPKEYTYYRKRKFRKNCEVESSEKPMDEQLSRPLSELVERKVNIKNVQASSKAGISPRVSVVEKPSKKRAKTLHNDDINLNIQQDLKLFSDKVPKRNRSSHSTSKHLVDNKVPVENKTPSTMPAKKRKQKNLAAESKVKEKPLILHGCEVLIGNRTTSTIPVKKRKKNLSCESNLKAKQLILYTESVGCEAPIENRTTSTISVKKRKQKNLSSESNLKKKPLVLCPESFGCARASVSGWEWRDWARNATPSERAQVRGYRSRRLLVAPENNVLKSSQVKGASARTNRAKLRNLLAAAEGTDLLKITQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGQLIRRQVSDIREAQYEKSGIGSSYLFRLDDEYVVDATKRGGLARFINHSCDVPRINELGVKLIIEKFAAEILYLDLLIGVRVNNGAKAN >LPERR12G15700.2 pep chromosome:Lperr_V1.4:12:16640960:16650103:-1 gene:LPERR12G15700 transcript:LPERR12G15700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVPDPGMGVVGEAVAEPRRGRKLLLIEEDGEEDADMEQSSACSVPSCGDTASCSGRGKAESTTGMFAAMQENACSIDNNGMVYPPQSGLGYSAGQNGTYGGGGGYIQQQNLEGCMYVNQDGQMCGPYSPEQLYEGLSSGFLHHNIAIYAVFGGKMANPVPLSSLKQFLSQWNSNAAAATTNESVENKKAAPVNKLLLPANLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGAERSESTTNDSGSLKTLMDDVVNDINHQLHAAIMKSARKVLIDEIFSSVLPEMIACRKAEKQKAAKLKSQAAKTDNASEKNTLSIWSGLLYDPVMDYCDEWLKRKNEFNLLSTVIPLASDSQKMHDRDEMLPKANCDSDAPESEMDFPPGFGPNRESAEHNSGSLFGVEHGTYKTHGKSESTSTLFSGPLARVQVMLANELYVAAKEELFDHFKEVIAEEITKCLCSGLEDDINQEVTRTPVHAPEPSSSPGIFVHETPNTIPCPADMTASETSTVPDVTTDKMLVPYVEHESCTSSYASIFEKLDVHERAEFDESFDEVPPGTETGLAPLVIMEKNKYQPSKSVDLVPDISRYTNWALVRKILHDSVLKECRSLFSDDLSNCIDSWYARKHSVAKTKDESLRPKEYTYYRKRKFRKNCEVESSEKPMDEQLSRPLSELVERKVNIKNVQASSKAGISPRVSVVEKPSKKRAKTLHNDDINLNIQQDLKLFSDKVPKRNRSSHSTSKHLVDNKVPVENKTPSTMPAKKRKQKNLAAESKVKEKPLILHGCEVLIGNRTTSTIPVKKRKKNLSCESNLKAKQLILYTESVGCEAPIENRTTSTISVKKRKQKNLSSESNLKKKPLVLCPESFGCARASVSGWEWRDWARNATPSERAQVRGYRSRRLLVAPENNVLKSSQVKGASARTNRAKLRNLLAAAEGTDLLKITQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGQLIRRQVSDIREAQYEKSGIGSSYLFRLDDEYVVDATKRGGLARFINHSCDVPRINELGVKLIIEDCDCVRVNNGAKAN >LPERR12G15700.3 pep chromosome:Lperr_V1.4:12:16640960:16650103:-1 gene:LPERR12G15700 transcript:LPERR12G15700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVPDPGMGVVGEAVAEPRRGRKLLLIEEDGEEDADMEQSSACSVPSCGDTASCSGRGKAESTTGMFAAMQENACSIDNNGMVYPPQSGLGYSAGQNGTYGGGGGYIQQQNLEGCMYVNQDGQMCGPYSPEQLYEGLSSGFLHHNIAIYAVFGGKMANPVPLSSLKQFLSQWNSNAAAATTNESVENKKAAPVNKLLLPANLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGAERSESTTNDSGSLKTLMDDVVNDINHQLHAAIMKSARKVLIDEIFSSVLPEMIACRKAEKQKAAKLKSQAAKTDNASEKNTLVLKGKGVGASTCGGDPSVAVKSTAIQSNFADTLSAVWQTVYDESMKSIWSGLLYDPVMDYCDEWLKRKNEFNLLSTVIPLASDSQKMHDRDEMLPKANCDSDAPESEMDFPPGFGPNRESAEHNSGSLFGVEHGTYKTHGKSESTSTLFSGPLARVQVMLANELYVAAKEELFDHFKEVIAEEITKCLCSGLEDDINQEVTRTPVHAPEPSSSPGIFVHETPSLTEIAQDGRSNIGEMDRDEMLGMAETARDEMLDMTEMTRDEMSDMAGMTVDTIPCPADMTASETSTVPDVTTDKMLVPYVEHESCTSSYASIFEKLDVHERAEFDESFDEVPPGTETGLAPLVIMEKNKYQPSKSVDLVPDISRYTNWALVRKILHDSVLKECRSLFSDDLSNCIDSWYARKHSVAKTKDESLRPKEYTYYRKRKFRKNCEVESSEKPMDEQLSRPLSELVERKVNIKNVQASSKAGISPRVSVVEKPSKKRAKTLHNDDINLNIQQDLKLFSDKVPKRNRSSHSTSKHLVDNKVPVENKTPSTMPAKKRKQKNLAAESKVKEKPLILHGCEVLIGNRTTSTIPVKKRKKNLSCESNLKAKQLILYTESVGCEAPIENRTTSTISVKKRKQKNLSSESNLKKKPLVLCPESFGCARASVSGWEWRDWARNATPSERAQVRGYRSRRLLVAPENNVLKSSQVKGASARTNRAKLRNLLAAAEGTDLLKITQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGQLIRRQVSDIREAQYEKSGIGSSYLFRLDDEYVVDATKRGGLARFINHSCDVPRINELGVKLIIEDCDCVRVNNGAKAN >LPERR12G15710.1 pep chromosome:Lperr_V1.4:12:16652691:16665145:-1 gene:LPERR12G15710 transcript:LPERR12G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEKSALKAGIAKHGTGQWCTILKDPEFSNVLRYRSNIDLKLHSGVRDPEPRTEFVTDESTEPSVEMDEDPTTGRTTGPTIRRTARKSAGQRYKKARNEELQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKTTTTTTAATTPVVKKPRSAPKHEGGAGGHSTSTAIINATVTSDGDEDIVDAVPLATSSSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIPKEPIKLDREDVVTLTKSQVDAELARMRTMTADEAAAAAARAVAEAETIMAEAEAAVREAEAAEAEAVAAQAFAEAAVASMKNRSSAKLSLTRIKIFERTVQPTLKKRSDNFLGTEVLGLEAFIALSQLHQGNEVRMIGHDHRINDSFYQQTSCDPHRYCTTGLPSDVHVEVGEISFHLHKFPLLSKSAILGRLIEENSDRDECIIKLSNIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTEAFFNQVVLRSWKDSLQALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDVSSLSFPTYKRLISSMESRGIKQEIIAGSLTYYAKKFLPGLNRRQSTGPMPLTAATLSDGEQRHLLEEIDRMLPLQKSLISTNILLWLLRTAMILKVDRACISNLEKRVGIQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTTSAGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAALPEYARPLDDGLYRAIDVYLKSHPMLPESDREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNIEASSRTLRGGNAGDVGGGWATAAVRENQALRVGMDGMRMRLMELERECSDMRQDIQKLGRGGGRRRHRRLAASGVAAGKDGGGGWTARVQRLIKPKMMKLQMCSAQQDAVPAAVAVTGSGERRQKEEEEEKIEEKLQVKVEEDEDDGDGDGDGCLIHHT >LPERR12G15720.1 pep chromosome:Lperr_V1.4:12:16665194:16665904:-1 gene:LPERR12G15720 transcript:LPERR12G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQSTVTEHHEQAGSHPATSINPPSPLPPWSREAAATSTTHPPRSNAQGGSPPATPWVCACRRPAASGCGEL >LPERR12G15730.1 pep chromosome:Lperr_V1.4:12:16667712:16670663:1 gene:LPERR12G15730 transcript:LPERR12G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVSLAAAAAMAESKEKKSKNKSKSKADNASDPAPDAKRAAVVVAVAAFLDSSGFPRALAALQSEANLEADSWRSSTVSLEELVSKFLDSSNSAPGASINGSVEQEKVAGGVAEDAGKKKKKKGVDTQVIEAENKAAEPSAVEKPAENVDVETKEKKQKKKKSKKLENDEDVEARLEKAESAIINKIEATETKEDNKNSLVDGAPVEKGKKKKKGKSTPGTSDKVDTGITAAEADDAKGKSEAVETEKDTNENKSKKKAKKSKENVQVVENKEVAGKDSVPKSNADNSGMEVDKGENGVAPSDNVVVGKKRKLEEVEGSNPPAKGDNTDNQKVGNGSSEDDTKPNKRQKKSSEPKTVNAFQRVKLEDVKFADERLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >LPERR12G15740.1 pep chromosome:Lperr_V1.4:12:16674790:16677772:1 gene:LPERR12G15740 transcript:LPERR12G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGSSHGSPKINDAKKSPRASPVRFAGANDDDDEDDHPKNSLLPQELDEGNFSWLKIISFSDRSDSSRSRSDASHGRAAAGGGNGYDSGGGFEFCRAERSPAIATATAPPFLRQVPSKWNDAEKWLAGRHVVHSNPIFSKKSAAAVAVAGGGGRVAPESASSEAKKSIGSALTEPSSSSPPSVSAPAPATSRNKRPRVSALAAVSMRDVGTEMTPAASKEQSRSGTPAGAATPSLSPLCSVPSSPRSGSGGASSAATATSSERELQIRTRREIAALGLQLGKMSIASWASKEEELAAGASPEKRPRPAAGEVDGGGEEEKRREFEARAVAWQEKQKCKLALRYQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRMSALSHKAEGKQARVEARRSRQAARLARQVDRIRETGAAPSRLRRCCSWLFL >LPERR12G15750.1 pep chromosome:Lperr_V1.4:12:16678726:16683457:-1 gene:LPERR12G15750 transcript:LPERR12G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSAADAPQALPETDDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITCISDLDSVRWPNSYWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFSGGGTDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRMDSPLLGFKPDTYQQMAAAALQEIRSGDPLKQPSAALQYQQTQNLNGGLNSLFANHVLQQMQYQSQQSPLQAVQPGHFQNTVDSGFLQGQFPHLQLHNPQQLLKEQDLQQQQRQQVLQEQSHQEMPQQLPSDHRIGDVASESGSAPQAQSSLLGGPSFYHQNLLEGNSDPSLHLHNNFDNFSSQETSNLLSLPQSGQLMASDGWPSKRLAVESIHPEVQSMHLKIEKVNHQTSMSHFPGTFPPQSARGCSIVQGCEANAENRLLSSSFELQDGMTSVRSDASRETDSLAIPLLRYSGADLTTENTLATSNCLGESGTFNSLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACEDYSVSHNMQNISGNIASVAPLDY >LPERR12G15760.1 pep chromosome:Lperr_V1.4:12:16690457:16694754:1 gene:LPERR12G15760 transcript:LPERR12G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLSGRARARRRVAPLLAAAAFLYLLFVSFKLAGLGIAAVDPSSAVIRTATFIGGAGELEEPAPATTTTTTTVAAGYGRITGEILRRREEATRRWGRGGNFSELERMAEDAWEKGGKAWDEACAFTGEVDSIVSRDGGEEETKCPASIAIGGGDGETVAYLPCGLAVGSAVTVVGTARAARAEYVEAMERRGEGNGTVMVAQFAVELRGIRAVEGEEPPRILHVNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSDDDDQVDGLIKCEKWDRRDSVDSKETKTSSWFNRFIGRAKKPDMRWPYPFSEGKMFVLTIQAGTEGYHISVGGRHVASFPHRMGFSLEDATGLAVTGGVDAHSIYATSLPKIHPSFSLQQVLEMSDKWKACPIPEEPIQLFIGILSATNHFAERMSIRKSWMQFPAIQLGSVVARFFVALSHRKEINAALKKEAEYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYLGNLNLLHRPLRHGKWAVTYEEWPESVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNTTIPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >LPERR12G15760.2 pep chromosome:Lperr_V1.4:12:16690457:16694754:1 gene:LPERR12G15760 transcript:LPERR12G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLSGRARARRRVAPLLAAAAFLYLLFVSFKLAGLGIAAVDPSSAVIRTATFIGGAGELEEPAPATTTTTTTVAAGYGRITGEILRRREEATRRWGRGGNFSELERMAEDAWEKGGKAWDEACAFTGEVDSIVSRDGGEEETKCPASIAIGGGDGETVAYLPCGLAVGSAVTVVGTARAARAEYVEAMERRGEGNGTVMVAQFAVELRGIRAVEGEEPPRILHVNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSDDDDQVDGLIKCEKWDRRDSVDSKETKTSSWFNRFIGRAKKPDMRWPYPFSEGKMFVLTIQAGTEGYHISVGGRHVASFPHRMGFSLEDATGLAVTGGVDAHSIYATSLPKIHPSFSLQQVLEMSDKWKACPIPEEPIQLFIGILSATNHFAERMSIRKSWMQFPAIQLGSVVARFFVALSHRKEINAALKKEAEYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYLGNLNLLHRPLRHGKWAVTYEVCSPFYNVNFMDSHVSGHFKHGRFQQEWPESVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNTTIPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >LPERR12G15770.1 pep chromosome:Lperr_V1.4:12:16695295:16695555:1 gene:LPERR12G15770 transcript:LPERR12G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGRVKDLDSGHTGGAAEELDGAAASSRTGRCFSAAVCDGWGRNAEAAAGAIEQIGEAGDRGRGANRQLRAHRSTACGGRVQRG >LPERR12G15780.1 pep chromosome:Lperr_V1.4:12:16697234:16700663:1 gene:LPERR12G15780 transcript:LPERR12G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGKKFGKGPRELTGAVDLISHYKLLSHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKNESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKEKDKDKDKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKVSVVKIHRSRDFVLQFP >LPERR12G15790.1 pep chromosome:Lperr_V1.4:12:16702124:16704135:-1 gene:LPERR12G15790 transcript:LPERR12G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGGDEQQSSLEDPKFRAELTRYGLMVDAAYNTFGNNHNVNSYESVLSDEIAKINANKACASEEGAVKRRYVVTAHLYATIDALPNWVDALPLPAGGLDKPYWFGFVAVDKLDDGGLWDIIVAWRGSATVADWMMDMRVVNRVPFGSDGAGEVAEGFYKVYTTSYSDVETTQLGKVVSAKEQVTDLVLGGALALMAAHDIAVAANANSDDQNNSAFQVRAVTFGAPRVGDDAFHNALTSRVKVYHVAVHQDIIPKLPMSLEYKDNSHYNIELDNGSGSMFNLMEAHSLNMYLHLMTIRNTAVVPKLSKPNTEASPINQSWVTRLISLYVGWQTGSTLIERPHMENESGYIHLPVPKLNAELDKLMGPRIM >LPERR12G15800.1 pep chromosome:Lperr_V1.4:12:16718670:16720160:1 gene:LPERR12G15800 transcript:LPERR12G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRAAAALPKPEMKELSPSPSPSPAKKAAAAAEMERFSDEDTTAPNSSLSSAASSAAGSLARCSTLSRLSFDCSPSPPPPPQSTVASPSSPPPPSRPHRSGDAAWAAIRAAAIAAAAPLGPRDFKLLRRIGGGDIGTVYLCRLRHHSPESIPSPLFAMKVIDRRAVAKKQKEHRAAAEKRILRRLDHPFLPTLFADFEFESYSCAVTEFCAGGDLHTLRHRLPGGKFPIAAARFYAGEILLALEYLHLIGVVYRDLKSENVLIRGDGHLMLTDFDLSLLSPSSSTIAVDQVNSDDEDDADVSASCFPLLRRRKGSRRKGDTWRVRVVVEGRSGSMVGTHEYVAPEVAAGGEHDVAVDWWAYGVFVYELVYGRTPFAGESNEATLRNIVRKPLEFPGGVVAGEEAAARDLIGRLLEKDPARRLGSRRGAGEVKAHPFFRGVNLALLRSSRPPFVPGAGGVNAASAAAMRRSQSCKVAAAAATKKVFDGGRFDMF >LPERR12G15810.1 pep chromosome:Lperr_V1.4:12:16744649:16747384:-1 gene:LPERR12G15810 transcript:LPERR12G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHKFVAGCRGMARRRVIQLQSPWLLAYYCTGEGRGKRTKQAVCGENGKFLKHNHRFLIYNFYVQHIPVSVLVKHQEKAIPNGPISNYRCSPLSSFMYEPKLSDKILLPEAVPPNLTDHGIEAWIESPYLALVHLKHHPEEGGVGRYGGLAAAHPCTWCAIGCAVDALAVGVAAGHIWAHGTFVAAYEP >LPERR12G15810.2 pep chromosome:Lperr_V1.4:12:16743192:16747384:-1 gene:LPERR12G15810 transcript:LPERR12G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHKFVAGCRGMARRRVIQLQSPWLLAYYCTGEGRGKRTKQAVCGENGKFLKHNHRFLIYNFYVQHIPANIF >LPERR12G15810.3 pep chromosome:Lperr_V1.4:12:16744649:16747384:-1 gene:LPERR12G15810 transcript:LPERR12G15810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHKFVAGCRGMARRRVIQLQSPWLLAYYCTGEGRGKRTKQVFRCSPLSSFMYEPKLSDKILLPEAVPPNLTDHGIEAWIESPYLALVHLKHHPEEGGVGRYGGLAAAHPCTWCAIGCAVDALAVGVAAGHIWAHGTFVAAYEP >LPERR12G15810.4 pep chromosome:Lperr_V1.4:12:16746757:16747384:-1 gene:LPERR12G15810 transcript:LPERR12G15810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHKFVAGCRGMARRRVIQLQSPWLLAYYCTGEGRGKRTKQAVCGENGKFLKHNHRFLIYNFYVQHIPVSVLVKHQEKGVGQ >LPERR12G15820.1 pep chromosome:Lperr_V1.4:12:16744151:16747235:1 gene:LPERR12G15820 transcript:LPERR12G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCRKGVCHNTPGGYLCKCKLGTRSDGTSVSSIAVMALACLLVMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIQILTEKDIKKATDNYREDRVLGVGGHGMVYRGTLDDHKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLMGCCLDVHVPMLVYEFVPNGTLSELLHGADCRSPIPLDIRLKIAAQSAEALAYLHSSTSRTILHGDFKSANILLDDQLNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHSLTDKSDVYSFGVVLLELMTRKRAIYANSINEKKSLSYTFLLMFDQNTHRNMLDIEIIDQESMVVLEKLSILAAHCLQPRGDDRPTMREVSECLQIIRRLPMHPASDHKGDSRAHDNIEESSTMVVHFDEMMYKNMETSKLTEESY >LPERR12G15830.1 pep chromosome:Lperr_V1.4:12:16749628:16752806:-1 gene:LPERR12G15830 transcript:LPERR12G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVLSTTPVFVVIVGHGFEGGPSPVPGKGSGIHSGGGWSRPTFGSTERRGIKWWFQSSRIQSKQREASFRQRMLSLRSSEQLTRFSQNDIIRFSDKVLTCYHSFNMAKRSRSEDKDSLHILQSICTGAVPDGPVMDDRNTSIGPLIDKTEVPNEVLLPEPVPSDLYKHGVEAWVEVPHGALVHHQDRCKIWDDGGLTTAHSSAEGAVGCALDALAVGIAATHIQIRVVVHPMTMKRLRVVEGTNGVPSRVNPVVELSGMVVFDVKQV >LPERR12G15830.2 pep chromosome:Lperr_V1.4:12:16749628:16752806:-1 gene:LPERR12G15830 transcript:LPERR12G15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVLSTTPVFVVIVGHGFEGGPSPVPGKGSGIHSGGGWSRPTFGSTERRGIKWWFQSSRIQSKQREASFRQRMLSLRSSEQSICTGAVPDGPVMDDRNTSIGPLIDKTEVPNEVLLPEPVPSDLYKHGVEAWVEVPHGALVHHQDRCKIWDDGGLTTAHSSAEGAVGCALDALAVGIAATHIQIRVVVHPMTMKRLRVVEGTNGVPSRVNPVVELSGMVVFDVKQV >LPERR12G15830.3 pep chromosome:Lperr_V1.4:12:16749628:16752806:-1 gene:LPERR12G15830 transcript:LPERR12G15830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVLSTTPVFVVIVGHGFEGSSFSLLPHAAAAPHATTRSPPNREIFVWVGGPSPVPGKGSGIHSGGGWSRPTFGSTERRGIKWWFQSSRIQSKQREASFRQRMLSLRSSEQSICTGAVPDGPVMDDRNTSIGPLIDKTEVPNEVLLPEPVPSDLYKHGVEAWVEVPHGALVHHQDRCKIWDDGGLTTAHSSAEGAVGCALDALAVGIAATHIQIRVVVHPMTMKRLRVVEGTNGVPSRVNPVVELSGMVVFDVKQV >LPERR12G15830.4 pep chromosome:Lperr_V1.4:12:16747470:16752806:-1 gene:LPERR12G15830 transcript:LPERR12G15830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVLSTTPVFVVIVGHGFEGSSFSLLPHAAAAPHATTRSPPNREIFVWVGGPSPVPGKGSGIHSGGGWSRPTFGSTERRGIKWWFQSSRIQSKQREASFRQRMLSLRSSEQVLPSFLHTGVVLDNTYYVFSYLTQWSLAHIAAGGVDAGCRRLAGERRVWPLGDEAAGLAVAAPSLVNAKIA >LPERR12G15830.5 pep chromosome:Lperr_V1.4:12:16747470:16749447:-1 gene:LPERR12G15830 transcript:LPERR12G15830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLPHLSGQPGNEIVGSEAVVDVAIVWKTMSMRRNDAACMVAELEVLPSFLHTGVVLDNTYYVFSYLTQWSLAHIAAGGVDAGCRRLAGERRVWPLGDEAAGLAVAAPSLVNAKIA >LPERR12G15830.6 pep chromosome:Lperr_V1.4:12:16748150:16752806:-1 gene:LPERR12G15830 transcript:LPERR12G15830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVLSTTPVFVVIVGHGFEGSSFSLLPHAAAAPHATTRSPPNREIFVWVGGPSPVPGKGSGIHSGGGWSRPTFGSTERRGIKWWFQSSRIQSKQREASFRQRMLSLRSSEQVLPSFLHTGVVLDVGNNCP >LPERR12G15830.7 pep chromosome:Lperr_V1.4:12:16748150:16749447:-1 gene:LPERR12G15830 transcript:LPERR12G15830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLPHLSGQPGNEIVGSEAVVDVAIVWKTMSMRRNDAACMVAELEVLPSFLHTGVVLDVGNNCP >LPERR12G15840.1 pep chromosome:Lperr_V1.4:12:16747475:16752671:1 gene:LPERR12G15840 transcript:LPERR12G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHTSFLLMLIVFHTMATSTTASEPTISLPGCPDKCGNISIPYPFGIGAGCAATSLSSYFTITCNDTFQPPRPMVRDKLETREVISISLEHGEVRIYGPVSYTCFTSNTTMPDNSTTGFTLEGTPFVPSTTRNRFMVIGCNALGIIGGYMHNNPDLYVGGCYSYCQGIKSTSNGALCTGMGCCETTIIPDLTDFAAILVMNQSTVWDFNPCFYAMLVEVGWYRFRQQDLVGHLSFVNERANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSNCVQASNSQGYLCKCSEGYEGNPYLPNGCQDIDECKLCMEDPKYKELYPCRHGICQNIPGGYMCKCGIGKRSDGTNYGCQPALGHVERVVAGLSVSAVVVMALVCLLVMKLQRRKHRKEKDEYFKQNGGLRLFDEMRSKQVDTIRILTEKEIKKSTENYSDDRVLGCGGHGMVYKGTLDDGKEVAIKKSKVIGDYCREEFVNEIIILSQINHRNIVKLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDRQTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKPMDKNDFIMFVQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKAFYNDNLNEKKALSHIFPLMFHQQRLCDMLDYEIIEDKVMVVLQKLAELAMHCLNPRGADRPTMKEVAERLQILRRLHMQLVSKSGPVRVHYCFKGSSMSVPLDPMKYQSMETAKLVLDADIAR >LPERR12G15850.1 pep chromosome:Lperr_V1.4:12:16754989:16757272:-1 gene:LPERR12G15850 transcript:LPERR12G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSASYAPSTVPHPSPARIRPLAPARGAVPSGLALSTTTTTKRRSISAVTNPRRLRCAASSSSAAARPMTQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKARQKEGLKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRIGGVACLIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQKCIIVLKSPSVPLQLGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >LPERR12G15860.1 pep chromosome:Lperr_V1.4:12:16757779:16761550:-1 gene:LPERR12G15860 transcript:LPERR12G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGEVTLSSSSLVFLGTGCSSAVPNARIAQKFPYLVRKKLKDGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLAHDGLRVHIDLNVIFNKYINVLVLEILLH >LPERR12G15860.2 pep chromosome:Lperr_V1.4:12:16758595:16761550:-1 gene:LPERR12G15860 transcript:LPERR12G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGEVTLSSSSLVFLGTGCSSAVPNARIAQKFPYLVRKKLKDGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLAHDGLRVHIDL >LPERR12G15870.1 pep chromosome:Lperr_V1.4:12:16763683:16768392:1 gene:LPERR12G15870 transcript:LPERR12G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSRTRLHDPARRVMRLMIRRGVRRGPQQFAQLMLSYSRAGKLRSAMRVLQLMQKDGCAPDISICNMAVNVLVVAGRVDKAVEFAERMRRVGVEPDVYTYNCLIKGLCGAWRVVDALEMIGVMLQSGCPPDKISYYTVMSFLCKEKRVEEVRGLLQRMRNDAGLYPDQVTYNMLIHALAKHGHANEALEFLKESEGKRFRVDEVGYSAIVHAFCLNGRMKEAKDIVGEMISKGCRPDVVTYSAVVDGFCRIRELDQARKMMKHMYKNDCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKEGKPAEAKDFMEQCQNKGCTINVVNFTTVIHGFSRQGDLESALSLLDDMYLSNRHPDVVTYTVVVDCLGKKGRLKEATGLIEKMLNRGLLPTPVTYRTVIHRYCEKGNVEDLLNLLDKMLARQDMKSAYNQVIEKLCAFGKVNEAYSLLYKILKTTSVRDAQTCHILMESFLNRGLALQAYNVACRMFRRNLIPDIKLCQKVDNQLASEKNKPVPGQLMVKFAERGLLKQAKQDSN >LPERR12G15880.1 pep chromosome:Lperr_V1.4:12:16769071:16772580:1 gene:LPERR12G15880 transcript:LPERR12G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTTTSETLSLAPLFSYPAHNGPIRCVAASPRAGLAASGGSDDTVRLYDLAAAADLGPLLDPSAAVSAVAFHSLGPVPRNLLAASDDGLLHLYDADGGGGGFPLLASLRVFPRHHEPADGIAVHHSGRVALAVGRAGGLAMVNLVRGRRSFSCRLERPATAVAYAEDGDGGDRFVMASEGKVTVHDSEDARIIHELDCGKKVLAVAPAKKGILYTGGEERGIAAWDLSSGKVTSRIEGAHSTRVKGIVVFDNKNDGSELCNLVASASSDGMIRVWDSRMIAKEKPTPLAEANTKARLTCLAGSSLKFSSDPSYDSLELTVSRKRADVELKC >LPERR12G15880.2 pep chromosome:Lperr_V1.4:12:16769071:16771340:1 gene:LPERR12G15880 transcript:LPERR12G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTTTSETLSLAPLFSYPAHNGPIRCVAASPRAGLAASGGSDDTVRLYDLAAAADLGPLLDPSAAVSAVAFHSLGPVPRNLLAASDDGLLHLYDADGGGGGFPLLASLRVFPRHHEPADGIAVHHSGRVALAVGRAGGLAMVNLVRGRRSFSCRLERPATAVAYAEDGDGGDRFVMASEGKVTVHDSEDARIIHELDCGKKVLAVAPAKKGILYTGGEERGIAAWDLSSGKVTSRIEGAHSTRVKGIVVFDNKNDGSELCNLVASASSDGMIRVWDSRMIAKEKPTPLAEANTKARLTCLAGSSLKFLTELRIWHKARKTLVNLI >LPERR12G15890.1 pep chromosome:Lperr_V1.4:12:16771752:16776264:-1 gene:LPERR12G15890 transcript:LPERR12G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVSGRGGGDPGAGEVDGSGGRRGEESPVVEAAASVRKTVKMSETCDFIPYVEDDHDDDGDCEEVDSAAEMPSAQHPLGSAGSSSGGVPCDGMHDTPDYIRRGAARHRIAPLELFSAPSPASPAAAAAALEASPNNGVAVLEVVSSTIGEGGANETALGSESVRDTLHIEEEEVMLRKFGKEQRGVCGKCNCCGQLKQQYSLLLREKDECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSHWCQLLNDLSEKFKALKTDHQILLQESLECKKFVVDAAQMTTTIQQHVSQYANLECEFKDLKEKFTEETKERKDLYNKLIELKGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATTKRLEVRQVAEGVHHVPGLVEARVTDMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVRGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRLRITVLKNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLESKNKAKDLFTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHNQHQSMKQENPPYLMRSPMSERNLNSTTEKPALLHKDLGIAKQMFSDSNTDTYSFNNLMSLSSEKENNNPDPQQSKSRRVSLCDGASAYSVPNKARRVSLYSVPHQQQQPAASRRESLLPLPRRNSLMLPLPLPKPAAAAAPLDMITEQCASPPAIVANNDNNSRGRNKRIINSILRRSLQKKVIIRPPLMAAQSGRRAGVGGAGNHGGGGGGMMRARRVPATVGRGGVQQNREKERGWNNGTSLRL >LPERR12G15900.1 pep chromosome:Lperr_V1.4:12:16778105:16778575:-1 gene:LPERR12G15900 transcript:LPERR12G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDAPSSSPPKSRRSSRSSSIGGGISSSEYISLRDVLVDGGNGNVGGGSWREYSCQDIHEFDASNIGIRNHLLKHAASAYLQSAVVVAPREQGWCLARLWRRLRWNNGGGRGRGRVLMRACSWHGCVDDPAELLASFLARSARRLAAFLAGIWA >LPERR12G15910.1 pep chromosome:Lperr_V1.4:12:16779956:16781492:-1 gene:LPERR12G15910 transcript:LPERR12G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVSRPPHCDPICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >LPERR12G15920.1 pep chromosome:Lperr_V1.4:12:16787715:16788623:1 gene:LPERR12G15920 transcript:LPERR12G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFAVAGNNNGSNNKKRPRGALAAVAGNNGASSPATAAAWRTSRVARAAAGGKDRHSKVVTSRGLRDRRVRLSVPTAIAFYDIQDRLGVDQPSKAIDWLIRAAASAIDSLPSLDCSSFLLPSPPIPTPATATADADGDNDVTSETSKSSSVLSLAAGNGNGNGNENVEQQQQQQATMAYYAAAAHMAAAPAMAFEMAPAMPHHHLAFSQELNATVAFERGTLQSNAVAAASLWPPPAAASQHQQYPFLLQRFAAAPSEVAGLPFFLAGGGGGGGGAAGAPVAANVGERRLQLWDFKEERKS >LPERR12G15930.1 pep chromosome:Lperr_V1.4:12:16807103:16813120:1 gene:LPERR12G15930 transcript:LPERR12G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVCPAAMKATSQGAFQGENPLDYALPLAILQICLVVVVTRALAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHHVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVVAVALFLRPVLMWMVRRSPEGEPVKEVYICATLAIVLAAGFVTDTIGIHALFGAFIVGIVVPKEGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACIGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDESFAIMVLMALFTTFITTPIVMAVYKPARPSVPYKRRTVDPAGSGDADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAISLVQRARRNGMPFFNNNSSSGANSNNDDQQMVVAFEAFEKLSSVRLRPMTAISDLDTIHRDVIDSASAKRAAIVVMPYHRTLHHDGAFRSLGAPYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVAFSVAVLFFGGADDREALAYATRMAEHPGVAVTLERFRPNRVAGDEDVAADEAAVEAFKAKVGMVKDGSVRFDDRMAQTKSEVMEAINSLSMFNVFVVGRMPPTAPLVEKPDELGPIGSYLASPEFKTSASVLVIKRYDPATNPASKRYDPMARPPVATEEDSLDDVAGGVAGASVVPVARSPAVSDDVV >LPERR12G15940.1 pep chromosome:Lperr_V1.4:12:16813599:16818568:1 gene:LPERR12G15940 transcript:LPERR12G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHPLLRLLLLRPPPPPPPTSPPFPTTRRSSFSGAAAVALLLLAGSPPPPPARADIDGGGEDIDESRVVRLFQEASPSVVFIKDLVVGRNSARESGKLQAEGGDDGEEEGATVEGTGSGFVWDTAGHIVTNYHVVAKLAGDGSAFHRCKVFLEDSSGTSYSKEGTLVGCDPSYDLAVLKVDVDGDRLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >LPERR12G15940.2 pep chromosome:Lperr_V1.4:12:16813599:16818568:1 gene:LPERR12G15940 transcript:LPERR12G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHPLLRLLLLRPPPPPPPTSPPFPTTRRSSFSGAAAVALLLLAGSPPPPPARADIDGGGEDIDESRVVRLFQEASPSVVFIKDLVVGRNSARESGKLQAEGGDDGEEEGATVEGTGSGFVWDTAGHIVFLEDSSGTSYSKEGTLVGCDPSYDLAVLKVDVDGDRLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >LPERR12G15950.1 pep chromosome:Lperr_V1.4:12:16826855:16827670:-1 gene:LPERR12G15950 transcript:LPERR12G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDAEKKMSNGKKNKQQQQMMKVSISILVMALPVMYVSFLRVPPATLFRDTTFWFLMSNSIIVVIAADSGMLFFAGKPPSDDHLVVSGEFDLPPPMAVVVKSQEAIVVSSDDDLGALMVVAGGDADVIKPEEEEDSSAAMMLVPYDGEVYGRRRMTASKSLADDDGAGRRRRRRARRSRSQSQAMVAVPAPPVMEKRVVVREEKLLRRTATEPPETETEETTATATSSEYSKLSDEELNRRVEEFIAKFNMEIRLQLQKEEEQSLLAAA >LPERR12G15960.1 pep chromosome:Lperr_V1.4:12:16832286:16836171:1 gene:LPERR12G15960 transcript:LPERR12G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASAASSLQYAPHGVGAASTKKPRSVVPAATARGRRGSVRARGGMAARAAANAEAEAESKSGGHEVLLFEALREGLMEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQYKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >LPERR12G15970.1 pep chromosome:Lperr_V1.4:12:16841980:16853405:-1 gene:LPERR12G15970 transcript:LPERR12G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSTRASTGGVEPRFRQVGFVTITNPNPTAAAASSSSSSSSPQGSDVYPGSLSPVMIPPPRIPDHHLADDAADSLLPSSPPPPSSSRGLDAAASDLDGDYDDDDDDVDVSWARHPPAALLESKEDLPDTQNQCTPVPVQQKQKLSKAERWAIQEAQRLSRKLIDTGSTASSAMSKQSKTVKTPLSKHLPQTMPPVASDKKTSERPPDRDKKKDVPPPRIQFDDAHRVEKAKKRSLVNQAEAQNRVELFRHLPQYAHGNQLPDLESKNFHLYPVHPSVYKVGLQYLSGVISSSNVCCMAMLLAFREAIKDYSTPSAKTLNRDLTSKISGYVSFLIECRPLSISMGNAIRFLKNRIAKIPLALSESEAKASLQSDIDRFINEKIIVADQVIVSHAITKVRDDDVLLTYASSSVVEMIFDHAHELGKKFHVVVVDSCPNNEGQELVHRLVAKGISCTYTHINAISYFMHEVTRVFMGASSILSNGAVYSRVGTAVVAMVAHAFGVPVLVCCEAYKFHERVQLDSICSNELGDPDVISRVPPEREDLGHLKNCTYNENLQLLNLKYDTTPSDYVSMIITDYGMLAQTVAHIIADAERSICKGPLSQSQVAKRSPPSLTIVDEDGFVVDLSLTLGPTSPPPSSPIAPSSGSSNGGDRGRGGGSNSGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPTGAVGTTTAMDTPDIAAMSNMALHSCRPHQGSHVDIATLLAAPPRYAADRANASVGVGIGVDGGDSSVGWHQRQRDADGAGEKQRQVDLNLKL >LPERR12G15970.2 pep chromosome:Lperr_V1.4:12:16849996:16853405:-1 gene:LPERR12G15970 transcript:LPERR12G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSTRASTGGVEPRFRQVGFVTITNPNPTAAAASSSSSSSSPQGSDVYPGSLSPVMIPPPRIPDHHLADDAADSLLPSSPPPPSSSRGLDAAASDLDGDYDDDDDDVDVSWARHPPAALLESKEDLPDTQNQCTPVPVQQKQKLSKAERWAIQEAQRLSRKLIDTGSTASSAMSKQSKTVKTPLSKHLPQTMPPVASDKKTSERPPDRDKKKDVPPPRIQFDDAHRVEKAKKRSLVNQAEAQNRVELFRHLPQYAHGNQLPDLESKNFHLYPVHPSVYKVGLQYLSGVISSSNVCCMAMLLAFREAIKDYSTPSAKTLNRDLTSKISGYVSFLIECRPLSISMGNAIRFLKNRIAKIPLALSESEAKASLQSDIDRFINEKIIVADQVIVSHAITKVRDDDVLLTYASSSVVEMIFDHAHELGKKFHVVVVDSCPNNEGQELVHRLVAKGISCTYTHINAISYFMHEVTRVFMGASSILSNGAVYSRVGTAVVAMVAHAFGVPVLVCCEAYKFHERVQLDSICSNELGDPDVISRVPPEREDLGHLKNCTYNENLQLLNLKYDTTPSDYVSMIITDYGMVRCSLTIYFSINSSCLYTNYILPMLSLFLSPAFFFLKNLNIILSMLTKLQIVRA >LPERR12G15980.1 pep chromosome:Lperr_V1.4:12:16879052:16880947:1 gene:LPERR12G15980 transcript:LPERR12G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFTANSPFSCSIRRATPSSPATAARRTNSVRFTPPRAAANSVLITPSSSSSTAAVRSPKYVPPSPKPIPEDTSSSPSPSPAKSRRDPATSPAPAANDGLNFFQRAAAMALDVFESSFITNILEKPHPLPRSADPAIQIAGNFAPVGETPPTKSLPVSGRIPPFLDGVYARNGANPHFAPVSGHHLFDGDGMVHAVRLRNGAAESYACRFTDTARLRQERRLGRAVFPKSIGELHGHSGIARLALFYARGLAGIVDHSHGTGVANAGLVFFNGRLLAMSEDDLPYHVRLTGDGDLETVERFDFDGQLNCAMIAHPKLDPVSGEMFALSYDVIKRPFLKYFYFDSDGVKSPEIAIELDQPTMIHDFAITENFVVIPDHQVVFKLGEMFRGGSPVVLDKEKKSRFGILPKYAKSSSEIVWVDVNDCFCFHLWNAWEDEENSGEVVVIGSCMSPADSIFNDDGGAGGEGNLESVLTEIRINTVTGVSRRRAVLPPASQVNLEVGMVNRNLLGRKTRFAYLAVAEPWPKVSGFAKVDLVSGEMVRFDYGEGRFGGEPCFVPVDVAGAAASPAARGEDDGYVLSLVRDEVNGSSELLVVNAADMRLEATVELPSRVPYGFHGTFVNAGELAAQA >LPERR12G15990.1 pep chromosome:Lperr_V1.4:12:16881853:16906137:-1 gene:LPERR12G15990 transcript:LPERR12G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGKDTPESIHLQLMKRDFGTTKN >LPERR12G15990.2 pep chromosome:Lperr_V1.4:12:16881947:16906137:-1 gene:LPERR12G15990 transcript:LPERR12G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding LASGPIYPPPQILGSLATEARLSLPLSSPPRRISSSSFRALGEHFRSLRRRRRRQAIAASDPSRRRAGDRGGKRRRSPSS >LPERR12G16000.1 pep chromosome:Lperr_V1.4:12:16913459:16926161:-1 gene:LPERR12G16000 transcript:LPERR12G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAPRHHQFVLLRRVAPVSVSMCVSRWREGASLMRLGIGDYSASAGRRRRRRRGVVRVRAGMDIASAVDVINDLGFDTLTFLGVTVLVVPAFRVLKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYNATEPIVILGFGEMGKVLAKFLSAPLSFGLEKDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGISSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFLSKLVRDSMELQAQEALNNIENKDIDIMKPLELRVSDLVERNGNGSRMIAQEDSLRLRYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSDDMNT >LPERR12G16000.2 pep chromosome:Lperr_V1.4:12:16913459:16926161:-1 gene:LPERR12G16000 transcript:LPERR12G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAPRHHQFVLLRRVAPVSVSMCVSRWREGASLMRLGIGDYSASAGRRRRRRRGVVRVRAGMDIASAVDVINDLGFDTLTFLGVTVLVVPAFRVLKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYNATEPIVILGFGEMGKVLAKFLSAPLSFGLEKDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGISSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFLSKLVRDSMELQAQEALNNIENKDIDIMKPLELRVSDLVERNGNGSRMIAQEDSLRLSSRPNIPVIEAPLDDLIPDLKVDNEQTGYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSDDMNT >LPERR12G16010.1 pep chromosome:Lperr_V1.4:12:16928145:16935764:-1 gene:LPERR12G16010 transcript:LPERR12G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDGPGCRCGHTLTAVPAVGEEGSPGYVGARLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLIPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGKYSADAAGGDASVELTRRCRHATAAVGDMIFIYGGLRGGVLLDDLLAAEDLAAAETTSAANHAAAAAAATDIQAGREPGRYAYNDEQTGQPATVTSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEHSPDREQSPDATPSAKQNSSLIKPDHALSNNSTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQVANNDRGSLAWI >LPERR12G16020.1 pep chromosome:Lperr_V1.4:12:16938800:16948755:-1 gene:LPERR12G16020 transcript:LPERR12G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGSNARVRSPSLPISLPPAVSPSGAQVGDAEDEVDAQIPRSSGRRWAPWWEGCPRIVGFCLDGYEGDRGQRFGDLYNRTSLGCSLVLLLTVVSFLIEHPRKLDKKGPVGRTGLIAGKAASKIGYCAQLEACNGPQVSECSATSLDLPKKNLKKKDSPNGRKWKKCTLLRDARGRFLPRESNREDTEESTNSVEFNKSCFMDPQAPDFATILSIVKGRKSREHCNRIRRLKDPDFVSLMDAMNNTGCATVDDGPYDVVKKSELMSVILPSCICICFVIHLQAMAGGGGGGKKEKGEGLGRALIRQRNKAAAAVKERGDALAYARRKAQPLESVIEVSDIDAVLERAAEADRLHSALADAAASVSSSSDLVIDLDATGETDEERRRMQKEQEALHATSLRVPRRPPWNSRMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLELYAKEIDEHKRTMLLVNKADLLPMNIRKKWADYFKARDILYVFWSAKAATATLEGKQLGGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRAAATKEDRKTSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSIVEEIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGVEDEMGQEGTTVTEGPTTSAPNESDGPDSDEDEQDDTTDPAQPDIRHVLRDLESFDLATEGSKSGGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVVRVYQKPAVNLSTVSASERI >LPERR12G16030.1 pep chromosome:Lperr_V1.4:12:16951437:16954688:-1 gene:LPERR12G16030 transcript:LPERR12G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKNHEGFGQVAAMASNGGASLPWLLYGEPLGQGRPAMSPEGVVPRAQTPLDPPAPTMDRGVPEVLNFSMVQGKGDKGSEHSTTIALQSPFAEYNGRFELGLGQSVVPSNYPYADQHYGLLSPYGMRSMPSGRMLIPPNMPADAPIYVNAKQYAGILRRRRARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKETNGKTASGGRKVMDIIPSLRTAASPSSEMQHSEQCNPSSVSSLSGSEVTSIYEHEDVDHFHSFDHLRTHFFTPLPSIMDSEHGAGNPFKWTAASDGCCDLLRA >LPERR12G16030.2 pep chromosome:Lperr_V1.4:12:16951437:16954197:-1 gene:LPERR12G16030 transcript:LPERR12G16030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKNHEGFGQVAAMASNGGASLPWLLYGEPLGQGRPAMSPEGVVPRAQTPLDPPAPTMDRGVPEVLNFSMVQGKGDKGSEHSTTIALQSPFAEYNGRFELGLGQSVVPSNYPYADQHYGLLSPYGMRSMPSGRMLIPPNMPADAPIYVNAKQYAGILRRRRARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKETNGKTASGGRKVMDIIPSLRTAASPSSEMQHSEQCNPSSVSSLSGSEVTSIYEHEDVDHFHSFDHLRTHFFTPLPSIMDSEHGAGNPFKWTAASDGCCDLLRA >LPERR12G16040.1 pep chromosome:Lperr_V1.4:12:16963856:16964974:-1 gene:LPERR12G16040 transcript:LPERR12G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPYAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADESDDLALFRRAVLLSSTPSKNPSFSSSGAGVFGRRRQQQPKVAFLFLTNSDIVFSPLWEKYFAGNHHLLNLYIHADPSTAAAVAAALTPSFRGHVIVGSKATQRASATLISAARRLLATALLDDPSNHFFALLSQSCIPIHPFPTFYKTLLSDNNKNPQIGRNRNRRRSFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKMPCLMKRKNSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYLPEEVSGELIRELRKSNGTQSEYMFARKFAPECLKPLMEIADSVILRE >LPERR12G16050.1 pep chromosome:Lperr_V1.4:12:16969133:16972806:-1 gene:LPERR12G16050 transcript:LPERR12G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVGIKKHEKTQTSESSGVRSSTAQLLHKQEDSMDTECAVAVDDLTAQTEPLACDTNVQTTSNCISSPSTTLQVPQTELDTREHHAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRISLESQVTQKKASEQNAHEDNVREIEERWCDGIGSAEEMQAKVLKRQAAAAKRERAMAYALTHQWQAGSRKQKAATLQGLEVDENQWSQNWLERWMAARPWENRLLDSNAKETAPIGDDKQADENEAKAPNKPKGKVPISTIHSNGSNKKKVANHKKSHSDVSGSSSGQSPGVLPSNSLGSSKLKPKPSDEISEEVNSQPSHLASRSTSNPKERPRQVNASSKKRLSLPNSGTSGGGVGKGTTNGRTNQSMSSKNTAKVSSKLESKQHRPNPTNTSVKRVEVTA >LPERR12G16060.1 pep chromosome:Lperr_V1.4:12:16972836:16974116:-1 gene:LPERR12G16060 transcript:LPERR12G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNKSEVGGAEQYIADKKEESQGPAQKRFCQQIIGRKASKRVEKHRAKKEIQKGGAWSPRTQPNPLLFTAALRKKEEGGLVGRDFDPLFAAAQVLLC >LPERR12G16070.1 pep chromosome:Lperr_V1.4:12:16976848:16978465:1 gene:LPERR12G16070 transcript:LPERR12G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPAPNGDRQYNDLLGAALDAGADEIKRAFRRAAARHHPDKGGDDEAFKEIARAYHVLAGAVYDHSGEDAVNASAAAAGEPSYDEFVDTFTGDVVKFVDLSLEEFYHGVTKKFSVTRDVTCVNCHGEGNTIASPAACGECGGAGYKVAAEGRKKKTTMMMGFRRGGGGGRETCAACGGGGEVSEGMQRCTVCGGRKVATEKKVIELVVGRGAPDGHRITFAGEGDVTEKGIAGDVVMVLRQRNHAKFTRKGDDLFYQHELSLAEALCGFRFFLTHLDGRRLLFTSGAGAG >LPERR12G16080.1 pep chromosome:Lperr_V1.4:12:16981850:16983388:1 gene:LPERR12G16080 transcript:LPERR12G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATEEKAQVEAALVERLAAEQAVVDRIPMPDGGEDKQLSNGLLMLNGIILEGTLLIEQKLQSAVRSVTLHKSWLNKLCNVQITYAFVSDAVEATISVQLPRGDFYGQITACTNTIKDSILLHDNSKLLGPGDGVMVADDHGNGCVRLLRQVMAICFSDTLIMTVVADQADGTDYTRETVKFTAAVNGGEEAQIGCGVATLLVKVNWSLMNPKEYKSALPSE >LPERR12G16090.1 pep chromosome:Lperr_V1.4:12:16988009:16988401:1 gene:LPERR12G16090 transcript:LPERR12G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLIRRLSRVGDCSSSSSPPPFSPAPRRGGAGKRPSLSSAAAAREGVPEGYVPVYVVGEVAGGEEGTAAERFVVRAELLGRPALAELLRRAAQEYGYDHHGPLRIPCRADVFRAALAAAGDEDDDGDSE >LPERR12G16100.1 pep chromosome:Lperr_V1.4:12:16989985:16998071:-1 gene:LPERR12G16100 transcript:LPERR12G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGGGSAGKEAGTVGGGALLELTPHKLAVCHLVQIFAPPPQAGVSAPALPFPFESVSHHNRLGLFLFALTREPPLVELLRQLKAVDGLVDDWLSDKLTSNLSALNSPDDLFNFFDELRGVLSAPEGASVEDVFLDPVSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYGLVEDEDFNSEIEMSNFMDANVHVRDGIFDKYNQGYAPGGHIGEGSSLVHAPASLHGFDEANTFKTDDNPSPTCLRSRWQLEAYLNQQADVLEKDPSSVPLNSFNATMSQLQKLAPELHRVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSHITSPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSRIGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGSSNDNGSFSTSWLRNLSAASNSWCSRSTKSRKLLTNDFENFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPSSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFNQAANVANSLFSTCYKYNMQVENASVLLLLAEIHKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSVSKDPFAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPCNEEDSLAY >LPERR12G16110.1 pep chromosome:Lperr_V1.4:12:16999952:17002773:-1 gene:LPERR12G16110 transcript:LPERR12G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSALWTAHHHLFLRPSNTTHRRRPVPPSAAVRPLAASLVATAPVAAATRRTAAEEAVYEVVMRQAALVEEAAAPTRRRWAEDAVAGWGLLADAYDRCGEVCAEYAKTFYLGTQLMTAERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEDDIFRGKVTDKWRKFMKGQIHRARLFFDEAEKGVVHLDSASRWPVLASLWLYRQILDAIEANDYDNFTKRAYVNKAKKLLSLPVAYARAAVAS >LPERR12G16120.1 pep chromosome:Lperr_V1.4:12:17008931:17009392:1 gene:LPERR12G16120 transcript:LPERR12G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFTVAAPGKASPEKDTDAVTIGEALEAAGATPSTGSKPVDLADAAALESAEMRATGLAGVLSGGVAARAQKAADVNMRRPRHDDGGGEDQCMTLISDVADGATLALPYDKVATREDAGKVAAVATRNAGDGGKGVAQAMAAAAEMNEGRMD >LPERR12G16130.1 pep chromosome:Lperr_V1.4:12:17022172:17027231:1 gene:LPERR12G16130 transcript:LPERR12G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVQVQRTPSPIRYTYTGPRSYLLRAPPTVTRRTVDQTFLYCHLHPLLPHTPLLYKTSSPPASSKSRHFHLRRSSRKRKDCSFAVRSIPDEMGEASTDIAKDVTELIGKTPLVYLNRVTEGCVGRVAAKLESMEPCSSVKDRIGYNMINDAEEKGLITPGKSVLIEPTSGNTGIGLAFIAAAKGYRLVLTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVQKAEELQQKTPNSYILQQFENPANPEIHYKTTGPEVWKGTGGKIDGFVSGIGTGGTITGTGRYLREQNPKVKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLNVGLIDETIQVSSEEAIETAKDLALKEGLLVGISSGAAAAAAVRLAKRPENEGKLFVVVFPSFGERYLSSVLFQSIKKDAENMVVES >LPERR12G16140.1 pep chromosome:Lperr_V1.4:12:17028173:17030884:1 gene:LPERR12G16140 transcript:LPERR12G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVASKGTFSGLKQYVKDLDSNTLPPFLARVCDPVKPCSFSEEEMLCIFEIAAQAHGRKIVPHIGLIISALVRIFSLVTESSQNFASCSNVVCAISRYTIDPLVTTEEEKSMILGLLCRPLSDCLMSAKVESVSFGSALCVAALVQSNNWRFASSELVNDVCLKVSGALEEAHGQTVAHLNLVVALSKQNLLTLEPYGRSLIWSGLQILDESTRANSSQMIISSIQMIHSIMKGFDVGIISSEISSIICAMERFQDDTIPDIRIAAFEASETAKVLVGRQKESEYGNSLSQLANYSVRNGRKGSHSHSLMEGMDIRDDSSCDSPSCEVHSVHLSTEFDSQHSVGQSVGVSGSTHVRQRLWCNKSEKSHGTSNYDFFHSVVRDTHDASGFMAHSNSVDPVKPGRRLSDALTRVADPCYVCSPVHAANHCSQISRVQVLSGDIRMNSTPRKQLHSCTFCRDPEQDGRPLLESPSIQHCSGPCKNILQFKRSSELEERKEYRDSIRQDNQRHMQNTDALIEDLKFPADDDENFDGAAKPPCQECQAVKEKKAGGKKRNPNHSRYPFFLFVCVVAIIVLLFSWWKEDYKELYVVPT >LPERR12G16140.2 pep chromosome:Lperr_V1.4:12:17028451:17030884:1 gene:LPERR12G16140 transcript:LPERR12G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVASKGTFSGLKQYVKDLDSNTLPPFLARVCDPVKPCSFSEEEMLCIFEIAAQAHGRKIVPHIGLIISALVRIFSLVTESSQNFASCSNVVCAISRYTIDPLVTTEEEKSMILGLLCRPLSDCLMSAKVESVSFGSALCVAALVQSNNWRFASSELVNDVCLKVSGALEEAHGQTVAHLNLVVALSKQNLLTLEPYGRSLIWSGLQILDESTRANSSQMIISSIQMIHSIMKGFDVGIISSEISSIICAMERFQDDTIPDIRIAAFEASETAKVLVGRQKESEYGNSLSQLANYSVRNGRKGSHSHSLMEGMDIRDDSSCDSPSCEVHSVHLSTEFDSQHSVGQSVGVSGSTHVRQRLWCNKSEKSHGTSNYDFFHSVVRDTHDASGFMAHSNSVDPVKPGRRLSDALTRVADPCYVCSPVHAANHCSQISRVQVLSGDIRMNSTPRKQLHSCTFCRDPEQDGRPLLESPSIQHCSGPCKNILQFKRSSELEERKEYRDSIRQDNQRHMQNTDALIEDLKFPADDDENFDGAAKPPCQECQAVKEKKAGGKKRNPNHSRYPFFLFVCVVAIIVLLFSWWKEDYKELYVVPT >LPERR12G16150.1 pep chromosome:Lperr_V1.4:12:17034819:17036555:1 gene:LPERR12G16150 transcript:LPERR12G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRRRIHNATAAGAAFLALAVVFLVFNDVTVDGYEVFITSSAAAASSPEIGCRELQKLEDDAARCRYLRTHPPCSPEGYIDYLRLLYCGFGRRSPSPSSPPAMGFVALGLWLLVLFYLLGDTASEYFCASLEGLSAVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFASGGDDGGDVGLSGVIGGALFVSTVVAGVVSIVSSRRRDAAAVVIERRGFVRDVCFLLVAICYLLAVMLTGAVSLLAAVSFLSLYAAYVLLVSASHCCASDDDAIIIDDDVTKIPNSHLTAPLLLIDDDDDVIIDTSPPPPHLLPVSSSTASSTRHRTLARRAADVIASPLYLPRRLTIPDVAEHRWSKPTAVVTSLLSPILLAAITLPATLAAAAVAGVVLAAATAVGTDADSPPKSRRSRLPFLAGGFAMSVLWSYLLAGELVSLLVSIGVAAGVEPGVLGATVLAWGNSMGDLVANVALASGGGGGGGGAETAVAGCYAGPAFNTVVGLGMSLTVAAAARRPEPYDMPATAAVYVAVAFLAAALVWALVVLPARGMRLDAVFGGGLIVIYFVFLCVRLAILTPIGLT >LPERR12G16160.1 pep chromosome:Lperr_V1.4:12:17040484:17044287:-1 gene:LPERR12G16160 transcript:LPERR12G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRVSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGIDTVPALVGPVSYLLLSKPAKGVEKSFSLLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLVETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHCRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKITEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >LPERR12G16170.1 pep chromosome:Lperr_V1.4:12:17051949:17060890:1 gene:LPERR12G16170 transcript:LPERR12G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISIGETGGPVSAALLKNLFDHAKEAIDQSIESEDGALTHFEVFTALSYLLFSRENVNIAIIEAGLGGARDATNVIQSTELAASVITTVGKEHLPALGGSLQSIAVAKSGIIKQERPVIIGGPFSSDIEQILRDRAFLTQSPIISACDPGIRSITTCIGWDCGKPYQSCDIVVEIKKDIPLFIELHDVKLQLLGDHQRQNALTACCTALCLRELVKDGIYLIHQSKLV >LPERR12G16170.2 pep chromosome:Lperr_V1.4:12:17051949:17060890:1 gene:LPERR12G16170 transcript:LPERR12G16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISIGETGGPVSAALLKNLFDHAKEAIDQSIESEDGALTHFEVFTALSYLLFSRENVNIAIIEAGLGGARDATNVIQSTELAASVITTVGKEHLPALGGSLQSIAVAKSGIIKQERPVIIGGPFSSDIEQILRDRAFLTQSPIISACDPGIRSITTCIGWDCGKPYQSCDIVVEIKKDIPLFIELHDVKLQLLGDHQRQNALTACCTALCLRELVKDGIYLIHQSKLV >LPERR12G16170.3 pep chromosome:Lperr_V1.4:12:17051934:17061545:1 gene:LPERR12G16170 transcript:LPERR12G16170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGGEDGQLGEFSEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISIGETGGPVSAALLKNLFDHAKEAIDQSIESEDGALTHFEVFTALSYLLFSRENVNIAIIEVIIGGPFSSDIEQILRDRAFLTQSPIISACDPGIRSITTCIGWDCGKPYQSCDIVVEIKKDIPLFIELHDVKLQLLGDHQRQNALTACCTALCLPHTEASAKALSDVIKTVKPRGPLALVVGMANDKEHFAFAEQLLSGPRPDIVLLMEASIAGGRSRSMSVSSLKEVWMAAAHSQGISYSDIGTITSIEAPIIASRPAASRSSSSDKPMLIGCSSAPFSRDLIRVASRLIQSQADGDAGLICVTGSLHLVSSVLEQLGQ >LPERR12G16170.4 pep chromosome:Lperr_V1.4:12:17051934:17061545:1 gene:LPERR12G16170 transcript:LPERR12G16170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGGEDGQLGEFSEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISIGETGGPVSAALLKNLFDHAKEAIDQSIESEDGALTHFEVFTALSYLLFSRENVNIAIIEVIIGGPFSSDIEQILRDRAFLTQSPIISACDPGIRSITTCIGWDCGKPYQSCDIVVEIKKDIPLFIELHDVKLQLLGDHQRQNALTACCTALCLPHTEASAKALSDVIKTVKPRGPLALVVGMANDKEHFAFAEQLLSGPRPDIVLLMEASIAGGRSRSMSVSSLKEVWMAAAHSQGISYSDIGTITSIEAPIIASRPAASRSSSSDKPMLIGCSSAPFSRDLIRVASRLIQSQADGDAGLICVTGSLHLVSSVLEQLGQ >LPERR12G16180.1 pep chromosome:Lperr_V1.4:12:17064846:17070700:1 gene:LPERR12G16180 transcript:LPERR12G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEASGGAMRALMRRKQVDSDRVRAAAGGQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPDSLPWFLARHELPWLDVVVDPCAAALVFVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGVNGMLSGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNQHTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGPSVDEHHEQESSVIESIKDPLILKKLETSMEETKRRKAAVCSIASVCVGVVVLTTSASATFLPFMLRCFICVFGGLLLLAGLGVLCWIDQDDKRHDFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >LPERR12G16190.1 pep chromosome:Lperr_V1.4:12:17070201:17072963:-1 gene:LPERR12G16190 transcript:LPERR12G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIWSLCSSLTAVLAHAEDSSRDLSDVLSRRPMLLGNPPRFDSPFLLKLGFCEISPLKFDFDFARHAETAASAFLQGLERRVEAAGPDLSRLESMAFGTVSFEELLGHCGEALAICSRHADAVERRLVSYGYVPPEVEASKSEDLEGDGDEEKLLGSVLRSNATLATLSSQDDDLSGRAEILFRKPESVADVRKNMMEAEPALPPKETNCQGDAQGMIKTSKEEFEKLPPYLKTLASWEELQEAISELNSYFGSDKAQGSLALNQDDVGAIVSGRKGRSFLLILLRLNQLTMENIDGSIFYNVRKNDS >LPERR12G16200.1 pep chromosome:Lperr_V1.4:12:17073860:17077881:-1 gene:LPERR12G16200 transcript:LPERR12G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIHYSRVLRRRAVAGDDDLADAVFWSAGGGAPLLYDFSQQQQEQEDHKPPLPVSPPPSPTSLPVSPPSAAAECLLTLQQCSGVVRWGVRKRVRYVGRHLTTTTATAAEEEEESSTKSTKKMKTEIDSPEQEKKQETAAADEKETSDDLADNDDHKPATTTATATEKKKKRNRKRNRATVAHRRRARAKKIRRADADADADEKKKKKNLPSPKSEKLEAAATEEDSKSAVAAALPPARGGMVDRWKATRYATAEASLLRIMRARGAVAGKPISRGELRKEARAHIGDTGLLDHLLRHIADKVAAGGDDRFRRRHNAAGALEYWLEPAGLAAVRRDAGVDDPYWVPPPGWKPGDPVSPEAYAMEAKRQVEQLAAELAGVKRHMDQLTSNVMQVSKEMKSEADKSYNSWQEKYACMEKANGNLEKKIVSLEEKYNNAREANGKLKEELLFLKEKYGSVVENNTRMEQQMAALSTSVLCLKDDLLWLNTEEQHRLEKEEADLYVKEPWDDNDDDKQKDDAAAAAILCAANQQPAGDGDGDGDDVSNGSSGKRASRKCSLRITKPQATFQWPTTSLPVFSPELAAPTSPPMTPTVAAGAGSLANFATMDELYEYMIAGGLPTPPSASSTNNAGAGKLPLLPSPASPSTTCAAWLRHTDAPAACRASPAKTMAVAGLDAGAGGGNVGTELALATATY >LPERR12G16210.1 pep chromosome:Lperr_V1.4:12:17079443:17083758:-1 gene:LPERR12G16210 transcript:LPERR12G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNADLLIGRKAGVPRMKRKTPSELRGEQLKRRASDKPSNDQSLHSAPFDRPSNGFRNPEQPKISKYINTRVTEVFPVKKSRNLGKENLKDALQNNDKAPKSADAVTTSESSLPCAYGDSAKLDSAVLSQTEAAKPSFKKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLNDSNIQVGLVPSKSLDVCPSEITIPGKRAPLDLTLKTTLQFVSSSSVKWCHKLSTSFGRSSITGPQSYHPGTQNSGHSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSDVDNTTQSLLSFTGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPMPFQNGCLHIPEVKCREMRKADIGLASSGFDAEDSGSAFASVTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLTMATEPSSMGLNAAFSCISASSQSKTNSSECCESLGIPDATLIPSLRSSSSLRRLSYKDEEYIAYTTV >LPERR12G16220.1 pep chromosome:Lperr_V1.4:12:17083838:17084605:-1 gene:LPERR12G16220 transcript:LPERR12G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTFPLLPREGSKSPPNFSPNPPLRSAPKLTSTSRLLSSLFKIPSSPRRRRHPSPPLPPLPEPSRTFFLEGGAARTAGPKAASGV >LPERR12G16230.1 pep chromosome:Lperr_V1.4:12:17092540:17093006:1 gene:LPERR12G16230 transcript:LPERR12G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGKNSMGLIALALASTGIIIISYHLHRRFHADLRRLAAARAQQQQQPERRRRERVRRVRFADDVVEPSSDGDEYRRRRFAGGRPIPPPPPPAMMIEDASSSTSSPARPFARPRRAR >LPERR12G16240.1 pep chromosome:Lperr_V1.4:12:17097280:17103255:-1 gene:LPERR12G16240 transcript:LPERR12G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAILVRRTRRHEVFDVMPDRLNMQQKDSGGGGGGGVARRVMLDGSGREMDSPRFRAILRATSGRRKRAPEVKSFSHELSARGPHHHHHQRIKPRGGIGGVGGGVTNSPEEFIGAIKTKFIRLKDEVDAELGVFAGDLVGVLENLGENENDSNNDDEEQQRVALEDLLVVAQRCAEMSPEEFWVKCEGIVQSLDDRRQELTAGILKQAHTRVLFILTRCTRLLQFRKEGGGYVAVGDKHQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSPGRVMSSSSDTGDSRGSGGKISSWKKLPSPAEKNLVHKGAESTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEIPSAYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFEAKSPHIAECNHHETARGSTSSLTESDGSTDHDNLSHLLTVPSTELFSEGALTPTSGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDTNTDSLGPIDEDGPMEGSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVAFIPSTDDEYDTSYFACRHTWDDQMNVASNEYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAACRSFLANELPHTY >LPERR12G16240.2 pep chromosome:Lperr_V1.4:12:17097670:17103057:-1 gene:LPERR12G16240 transcript:LPERR12G16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRLNMQQKDSGGGGGGGVARRVMLDGSGREMDSPRFRAILRATSGRRKRAPEVKSFSHELSARGPHHHHHQRIKPRGGIGGVGGGVTNSPEEFIGAIKTKFIRLKDEVDAELGVFAGDLVGVLENLGENENDSNNDDEEQQRVALEDLLVVAQRCAEMSPEEFWVKCEGIVQSLDDRRQELTAGILKQAHTRVLFILTRCTRLLQFRKEGGGYVAVGDKHQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSPGRVMSSSSDTGDSRGSGGKISSWKKLPSPAEKNLVHKGAESTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEIPSAYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFEAKSPHIAECNHHETARGSTSSLTESDGSTDHDNLSHLLTVPSTELFSEGALTPTSGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDTNTDSLGPIDEDGPMEGSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVAFIPSTDDEYDTSYFACRHTWDDQMNVASNEYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAACRSFLANELPQFVALLWPMTNW >LPERR12G16240.3 pep chromosome:Lperr_V1.4:12:17097523:17103128:-1 gene:LPERR12G16240 transcript:LPERR12G16240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKDSGGGGGGGVARRVMLDGSGREMDSPRFRAILRATSGRRKRAPEVKSFSHELSARGPHHHHHQRIKPRGGIGGVGGGVTNSPEEFIGAIKTKFIRLKDEVDAELGVFAGDLVGVLENLGENENDSNNDDEEQQRVALEDLLVVAQRCAEMSPEEFWVKCEGIVQSLDDRRQELTAGILKQAHTRVLFILTRCTRLLQFRKEGGGYVAVGDKHQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSPGRVMSSSSDTGDSRGSGGKISSWKKLPSPAEKNLVHKGAESTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEIPSAYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFEAKSPHIAECNHHETARGSTSSLTESDGSTDHDNLSHLLTVPSTELFSEGALTPTSGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDTNTDSLGPIDEDGPMEGSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQVANGKPCAKIRSDWCRRDDEYDTSYFACRHTWDDQMNVASNEYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAACRSFLANELPHDEEFARNA >LPERR12G16240.4 pep chromosome:Lperr_V1.4:12:17097670:17103128:-1 gene:LPERR12G16240 transcript:LPERR12G16240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKDSGGGGGGGVARRVMLDGSGREMDSPRFRAILRATSGRRKRAPEVKSFSHELSARGPHHHHHQRIKPRGGIGGVGGGVTNSPEEFIGAIKTKFIRLKDEVDAELGVFAGDLVGVLENLGENENDSNNDDEEQQRVALEDLLVVAQRCAEMSPEEFWVKCEGIVQSLDDRRQELTAGILKQAHTRVLFILTRCTRLLQFRKEGGGYVAVGDKHQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSPGRVMSSSSDTGDSRGSGGKISSWKKLPSPAEKNLVHKGAESTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEIPSAYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFEAKSPHIAECNHHETARGSTSSLTESDGSTDHDNLSHLLTVPSTELFSEGALTPTSGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDTNTDSLGPIDEDGPMEGSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQVANGKPCAKIRSDWCRRDDEYDTSYFACRHTWDDQMNVASNEYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAACRSFLANELPQFVALLWPMTNW >LPERR12G16240.5 pep chromosome:Lperr_V1.4:12:17097670:17103057:-1 gene:LPERR12G16240 transcript:LPERR12G16240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRLNMQQKDSGGGGGGGVARRVMLDGSGREMDSPRFRAILRATSGRRKRAPEVKSFSHELSARGPHHHHHQRIKPRGGIGGVGGGVTNSPEEFIGAIKTKFIRLKDEVDAELGVFAGDLVGVLENLGENENDSNNDDEEQQRVALEDLLVVAQRCAEMSPEEFWVKCEGIVQSLDDRRQELTAGILKQAHTRVLFILTRCTRLLQFRKEGGGYVAVGDKHQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSPGRVMSSSSDTGDSRGSGGKISSWKKLPSPAEKNLVHKGAESTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEIPSAYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFEAKSPHIAECNHHETARGSTSSLTESDGSTDHDNLSHLLTVPSTELFSEGALTPTSGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDTNTDSLGPIDEDGPMEGSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQVANGKPCAKIRSDWCRRDDEYDTSYFACRHTWDDQMNVASNEYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAACRSFLANELPQFVALLWPMTNW >LPERR12G16250.1 pep chromosome:Lperr_V1.4:12:17103633:17104127:1 gene:LPERR12G16250 transcript:LPERR12G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARVQHQHRRSPAVETRESRRRRGEQHQKQPRCGEVAGGTATDCAAVCCCFPFAVVELVVLAAVRVPAALCRRAVFGSSSRRRRHRHQRSRCRRRKEIDEFLAMNAAAAGKVKKTAAPAETTPAVEHLVGGVDDDVEKEIWASFYGGGFWRSPSQREDGR >LPERR12G16260.1 pep chromosome:Lperr_V1.4:12:17109197:17109871:-1 gene:LPERR12G16260 transcript:LPERR12G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDLGRRFPLPLALTRGAAWARAQLRPVARRLGPTFAGWRLWREDEDEPDDARAGGRRRGGASPSPRCSGCDSETATRGREDDGESTAHRREDDGEPTASGLENDREHDRGVRPTWRESSYVVD >LPERR12G16270.1 pep chromosome:Lperr_V1.4:12:17112875:17118889:-1 gene:LPERR12G16270 transcript:LPERR12G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQITPAEHVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMQHSAPAPQDHHHHHLQESMSGCFRDQSGYPEFGLSAASSSSKLRLQPPSAAAMVSYSQQNQQLEQALHLQRRGNGEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPAHEGGKKLVEVDAIPTPSSKKIQGFYS >LPERR12G16280.1 pep chromosome:Lperr_V1.4:12:17134460:17147252:-1 gene:LPERR12G16280 transcript:LPERR12G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDEDPPAPAAAGEPPSTSLAASAAAADHGDDDDRVFLVLHRWWREAQEGAGIEGATSYGMKVLSMFMSDQAFXPPAPAAAGEPPSTSLAASAAAADHGDDDDRVFLVLHRWGAGVPYAAAQAGPTSYGMKVLSMFMSDQAFTLRRADDLLHPDAPSSSRSYALVAADLFSKARAWHVDSGKNEGKKSLFTEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSEPVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHFYDLSEPTANGANGKKDELALTLGHSMSNGSIMGMDLDSSGSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTPKLVDYFLGDFYKEINPHNPLGMKGELAYAFGDLLRKLWALDRTPVAPRQFKGRLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICRKVSITFDPFMYLSLPLPSTTMRTMTITVFSTDGTAGPSPYTVSVPKSGDTKTLIDALSIACSLRGDERLLVAEVYNSSLIRYLEEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKTFGTPLVSSLPDTINGSTIFDLFQKVMTPFRASKDDSSVADHIIGKSNLVDETADVDMNSDATESTSINNNICEDEIMTEDGMQFYLINERFPEQRMKIEMDQPIRLTGSQKRLHVIVCWQDNGLEQYNFGSLDSLPEIYKAVLFSRRPQETCSLYACLEAFLKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGNRGQQMSNHYQLYAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPISEESIKTSAAYGVKRNGDRKVTARKMDEAASPGHQQAQHSGDVNSDMTCCGLEYHARSATRKKKGKAGYCKYLAAVSSLPVICT >LPERR12G16290.1 pep chromosome:Lperr_V1.4:12:17157916:17162787:1 gene:LPERR12G16290 transcript:LPERR12G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRMWPWPPPARKFRVRLVVRRAEGLTPPPPPPPASSWPEASPDAASPPPATEAKVAVEVRWKGPKASPLGSLRRVMHSNRTRLEAASSSPEAASPPAAAAATWEEEFERVETFTATSHRKAGVFHPWELSFSVFYGSNKGPKGELILGTASLNLAEYTSAAEEVEIILPLSVPNTSSESSPSLHLTLSLVELGPPHQSPDASQRTAATAPMSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRKTRKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDDDLNGKEQDDVLGGSIVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHWKSEASYSVEQEAPSTAEEPVVSISRKSLLPWRKKRKLNLRLLKVLKNKGEPLLKKGNGEEGGDDIDYDRRLLTTSDEHTLEGSDSINSMESIFGDDNFVVGNWESKEVLSRDGQLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDVMPIRSQFDSLIREGSLEWRKLCENETYREQFPDKHFDLETVLHAKIRPLTVAPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCNQAYILKFDENTTIHKVPAENKEASPDSSGHLKDSSDSSSTDQDNRTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIMASTPLHHRLQIEFHYTESCPEEIPLPAPLPVLEAPFEFSWPEPPPAMDVTLSPAVVVV >LPERR12G16300.1 pep chromosome:Lperr_V1.4:12:17165604:17169975:1 gene:LPERR12G16300 transcript:LPERR12G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEEQQQQAVAAVGEVAGEAGKEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVAPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEEKDAETEKEAKEAPSEDAAKEDTAVETKPAEEAKETPSEDAAKDTDVEMKDAGKTTDADVPAPAPVEAEKEVEKPADSEAAPPALSEGEKKEEAKPAEPEAAAPSSNPAENSVAAPTTENSVATPAEPAATKAAAPVENSAEKGPQQESQAPKESSPVNNGQLPSGASAVKCT >LPERR12G16310.1 pep chromosome:Lperr_V1.4:12:17169516:17170169:-1 gene:LPERR12G16310 transcript:LPERR12G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEVAFIGVSVGAVVAMAVLLHMCARSGAPAAATASRTRRDVAAIDVTGGGGGLDDGAIKALPKTVYGEAAAAAAESGCAVCLGEYAAGDELRVLPWCGHSFHRQ >LPERR12G16320.1 pep chromosome:Lperr_V1.4:12:17170439:17178056:-1 gene:LPERR12G16320 transcript:LPERR12G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYILIGVSMAALVTISAVMMICSDRRRRRRRSPSQRSIDDVELGIVAGGQPPPAAMGLDEAVLAEYPTTVYSCSSAPAPEKKPEEEADVIVSGGGETATGCAVCLAEYEDGDELRRLPECGHEFHRRCVDEWLRRRPTCPVCRSSPPARRSTAVDGGASALRGQKRLAHGGEPIRLAFYGKIACREKKRRERTKKQNPTEIIDVSEASSRKTTKLVLVRRCVQNLLNQCGDKNQSSGKSCQLLSIPPSNSRDMAGNNVVWQPQVLDEMLLYYKEKIKNEGRQMIFKETHLEKFAQQINTKFGTRFTQRQVYHKFHKMKSQWKLIMEAKNLSGANFDDVEKRILYDEIEHLRMTNAKDKRAKYINVPIRWYDEMEFIFQDKHATGEYDNFIGDKNGARTDVDPALHYDSDCLPDEDNNNGSGSSKRRPRGKGDKGKRIRDDDTAANNITDAMNGMSDTMRFTHTTHPNEALFKIIDSMEEYPTFIRLDVQTFLATNEKVAGMLKGRPFLAIKEYVDRWIAQNYPMKPVKDEDSNSVWT >LPERR12G16330.1 pep chromosome:Lperr_V1.4:12:17183063:17191230:-1 gene:LPERR12G16330 transcript:LPERR12G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVVFAAVEQGGWGSSAGFRHHDGDGSQRRRHGQPLLQLSKTATRQQTSMGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDNSGDDFKALVYEFMPNRDLDKWLHPTIDDDMSFSRVLSMSERVRIALDVAEALDYLHHHGQAPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGAKDNYFQRTSISVGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGGRSIRNYVATAYPDRVMEIVDQSLLQLKEKDMSEKKMEICITSVLRVALQCTEHSPRARMLTGDIIRELISVRDTNEVAHLPECCAGNK >LPERR12G16330.10 pep chromosome:Lperr_V1.4:12:17179777:17183027:-1 gene:LPERR12G16330 transcript:LPERR12G16330.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCRKRQSQCLLITAFFIHTVHALPICTTQSSDEKALLEFKAAISSDPNGVLDTWVSTNGSMNATDNICRWHGVSCHSRQHPGRVTALELMSNKLMGVISPSLANLSFLHTLNLSGNRLTGNIPTELGRLAHIQVISLGRNSLIGDIPLSLTRCSHLTHLELPLNGLHGEIPANFSNCRVLKVFNVSVNSLSGGIPASFGSLLKLEFLGLHRSNLTGGIPPSLGNLSTLLGFDASENYNLGGSIPKTLGRLTKLNFLRLAFAGLGGEIPVSLFNISSLRTLDLGNNSLSGILPPDFGNTLPRIQYLNLYNCQLQGSIPPSIGNATELRRIQLQSNNLQGSVPPDIGRLKYLQKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFQGALPASLVNLTIGIEKIFMNENKISGAIPREIGKFRNLDVIALADNALTGTIPDTIGGLHNMTGLDVSGNKISGEIPPMLVANLTQLAFLDLSENDLQGSIPDSFANMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFTGPIPSEVSRLSSLGILDISNNRLAGEIPQALAGCQSMEYLFLQGNQLGGRIPQSLVSLKGLQHLDMSHNNLYGPIPDFFTTFQYLRYLNLSYNQLDGAVPTTGVFNDTRHFFVAGNRVCGGVSELQLPKCPDHSGKGSQRLGTVLIVSVSVGSVVALVLIAGTFFMCVLKPLKQVVQSNESSPLPILMEQHWKLSYAELHRATDGFSAANLIGIGSFGSVYKGVLGSEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKVITACSTVDRNGDDFKALVYEFMPNRDLDKWLRPTIDDESSSRVLTLSERVRIALDVAEALDYLHHHGQVPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGANSNSFQHNSVSAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTANRPTDDFFQRGKSIRGYVATAYPDKAMEIVDQALLQLQEKDMSAKKMEVCLMSVLRVALQCTENSPRARMLTGDIIRELIYVRNYEG >LPERR12G16330.2 pep chromosome:Lperr_V1.4:12:17179779:17191230:-1 gene:LPERR12G16330 transcript:LPERR12G16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVVFAAVEQGGWGSSAGFRHHDGDGSQRRRHGQPLLQLSKTATRQQTSMGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDNSGDDFKALVYEFMPNRDLDKWLHPTIDDDMSFSRVLSMSERVRIALDVAEALDYLHHHGQAPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGAKDNYFQRTSISVGIKGTVGYIPPEYGMGGDVYSYGILLLEMFTANRPTDDFFQRGKSIRGYVATAYPDKAMEIVDQALLQLQEKDMSAKKMEVCLMSVLRVALQCTENSPRARMLTGDIIRELIYVRNYEG >LPERR12G16330.3 pep chromosome:Lperr_V1.4:12:17183063:17191230:-1 gene:LPERR12G16330 transcript:LPERR12G16330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVVFAAVEQGGWGSSAGFRHHDGDGSQRRRHGQPLLQLSKTATRQQTSMGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGMLPPDFGITLPIIQFLSLYNCQLIGSIPPSIGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDNSGDDFKALVYEFMPNRDLDKWLHPTIDDDMSFSRVLSMSERVRIALDVAEALDYLHHHGQAPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGAKDNYFQRTSISVGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGGRSIRNYVATAYPDRVMEIVDQSLLQLKEKDMSEKKMEICITSVLRVALQCTEHSPRARMLTGDIIRELISVRDTNEVAHLPECCAGNK >LPERR12G16330.4 pep chromosome:Lperr_V1.4:12:17183063:17189719:-1 gene:LPERR12G16330 transcript:LPERR12G16330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDNSGDDFKALVYEFMPNRDLDKWLHPTIDDDMSFSRVLSMSERVRIALDVAEALDYLHHHGQAPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGAKDNYFQRTSISVGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGGRSIRNYVATAYPDRVMEIVDQSLLQLKEKDMSEKKMEICITSVLRVALQCTEHSPRARMLTGDIIRELISVRDTNEVAHLPECCAGNK >LPERR12G16330.5 pep chromosome:Lperr_V1.4:12:17179779:17189719:-1 gene:LPERR12G16330 transcript:LPERR12G16330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGMLPPDFGITLPIIQFLSLYNCQLIGSIPPSIGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDRNGDDFKALVYEFMPNRDLDKWLRPTIDDESSSRVLTLSERVRIALDVAEALDYLHHHGQVPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGANSNSFQHNSVSAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTANRPTDDFFQREKDMSAKKMEVCLMSVLRVALQCTENSPRARMLTGDIIRELIYVRNYEG >LPERR12G16330.6 pep chromosome:Lperr_V1.4:12:17183063:17189719:-1 gene:LPERR12G16330 transcript:LPERR12G16330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGMLPPDFGITLPIIQFLSLYNCQLIGSIPPSIGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDNSGDDFKALVYEFMPNRDLDKWLHPTIDDDMSFSRVLSMSERVRIALDVAEALDYLHHHGQAPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGAKDNYFQRTSISVGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGGRSIRNYVATAYPDRVMEIVDQSLLQLKEKDMSEKKMEICITSVLRVALQCTEHSPRARMLTGDIIRELISVRDTNEVAHLPECCAGNK >LPERR12G16330.7 pep chromosome:Lperr_V1.4:12:17179779:17189719:-1 gene:LPERR12G16330 transcript:LPERR12G16330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSKWKSQCLLIMAFFIHAVHVLPICAAQSSDEQTLLEFKAAISSDPNGVLDTWVSTNGSMNATDSICRWHGVSCNSQQHPGRVTALELMSSNLMGVISPSLVNLSFLHTLNLSNNRISGGIPSELGHLPRIRVISLGGNSLIGSIPASLTNCVHLTHLELSQNILHGEILANFSNCRDLRVFNVSVNSLSGGIPASFGSLSKLQFLGLHRSNLTGGIPLSLGNMSSLLAFDASENYNLGGSIPDTLDKLTKLYFLRLASAGLSGAIPASLFNISSLRTLDLGNNDLSGMLPPDFGITLPIIQFLSLYNCQLIGSIPPSIGNATELRVIQLQSNSLQGIVPSDIGRLKDLAVLNLQFNQLEDKWDKDWPLMTALGNCSRLFALSLSSNKFQGVLPASLVNLTIGIQRIYINANRISGAIPLEIGKFRNLDVFVLADNALTGTIPDTIGDLHSMTGLDISGNKISGEIPPMLVANLSKLAFLDLSENNLQGSIPESFQRMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGKLSSLGILDLSDNRLSGEIPQALAGCQSMEYLFLQGNQLVGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFFATFQYLRYLNLSYNQLDGAVPTTGVFNDTKNFFVTGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVLASVGSVVALVLITGTFFICVLKPMKQVRQINDTSLSILREQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGILGSEEEEVAIKVLNLLQHGAERSFLAECEVLRSVRHRNLVKVITACSTVDRNGDDFKALVYEFMPNRDLDKWLRPTIDDESSSRVLTLSERVRIALDVAEALDYLHHHGQVPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGANSNSFQHNSVSAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTANRPTDDFFQRGKSIRGYVATAYPDKAMEIVDQALLQLQEKDMSAKKMEVCLMSVLRVALQCTENSPRARMLTGDIIRELIYVRNYEG >LPERR12G16330.8 pep chromosome:Lperr_V1.4:12:17179777:17183027:-1 gene:LPERR12G16330 transcript:LPERR12G16330.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCRKRQSQCLLITAFFIHTVHALPICTTQSSDEKALLEFKAAISSDPNGVLDTWVSTNGSMNATDNICRWHGVSCHSRQHPGRVTALELMSNKLMGVISPSLANLSFLHTLNLSGNRLTGNIPTELGRLAHIQVISLGRNSLIGDIPLSLTRCSHLTHLELPLNGLHGEIPANFSNCRVLKVFNVSVNSLSGGIPASFGSLLKLEFLGLHRSNLTGGIPPSLGNLSTLLGFDASENYNLGGSIPKTLGRLTKLNFLRLAFAGLGGEIPVSLFNISSLRTLDLGNNSLSGILPPDFGNTLPRIQYLNLYNCQLQGSIPPSIGNATELRRIQLQSNNLQGSVPPDIGRLKYLQKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFQGALPASLVNLTIGIEKIFMNENKISGAIPREIGKFRNLDVIALADNALTGTIPDTIGGLHNMTGLDVSGNKISGEIPPMLVANLTQLAFLDLSENDLQGSIPDSFANMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFTGPIPSEVSRLSSLGILDISNNRLAGEIPQALAGCQSMEYLFLQGNQLGGRIPQSLVSLKGLQHLDMSHNNLYGPIPDFFTTFQYLRYLNLSYNQLDGAVPTTGVFNDTRHFFVAGNRVCGGVSELQLPKCPDHSGKGSQRLGTVLIVSVSVGSVVALVLIAGTFFMCVLKPLKQVVQSNESSPLPILMEQHWKLSYAELHRATDGFSAANLIGIGSFGSVYKGVLGSEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKVITACSTVDRNGDDFKALVYEFMPNRDLDKWLRPTIDDESSSRVLTLSERVRIALDVAEALDYLHHHGQVPIVHCDLKPSNVLLDDDMVAHVGDFGLSRFVLGANSNSFQHNSVSAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTANRPTDDFFQREKDMSAKKMEVCLMSVLRVALQCTENSPRARMLTGDIIRELIYVRNYEG >LPERR12G16330.9 pep chromosome:Lperr_V1.4:12:17189833:17191230:-1 gene:LPERR12G16330 transcript:LPERR12G16330.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVVFAAVEQGGWGSSAGFRHHDGDGSQRRRHGQPLLQLSKTATTSTKGIRLFEKYAILAGRKDGFLSSCSENQHPHTSLNVALVINKH >LPERR12G16340.1 pep chromosome:Lperr_V1.4:12:17196669:17200225:1 gene:LPERR12G16340 transcript:LPERR12G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPASAEFGATPEMERFLCERLVDAAQPIAERFRALFSLRNLRGDAPRRALLRAARDSSNLLAHEAAFALGQMQEAEAIPALEALLKDLSLHPIVRHEAAEALGAIGLENSIPLLEESLAADPAVEVQETCELALRRIQEQKNTSAAESATISPFLSVDPALPAKQGLSVQQLRELLLNEQESIYERYAALFALRNDSGGAAVSAIIAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFTKDPEPIVSQSCEVALSMLEYERSGKSFEFLFMQTPGVQQES >LPERR12G16350.1 pep chromosome:Lperr_V1.4:12:17200752:17204384:1 gene:LPERR12G16350 transcript:LPERR12G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGRRYEDSKAAAGDGVVTISTRRKAAYTAAALLVFLVAGHLPLYGVKNLKFYYKDDNDQYRYFPDPYYWINCMFASSPCTLMNLRIVPLLVSELFLCIFSTLMRIIRMPVAIDEISCSLKSTDDPTPQIKYTLNPSYMTYVPTILQPLLFSFPLTSTSQELSMKYGETNRLVNLLGKWQEMCKYPCHYVPISGIASYITTPPSLGEIIRADPSSLLYALFLLTGCAFLSALCFIICSSSKIYVVRLHVGKPQRTRLSPEQLPDKDSISPKQCRRYMTIAAIFVGFCVGVLTLLAGFLGLDGPAIMLAVTVIHSVVQGRKTDQIGAFGL >LPERR12G16360.1 pep chromosome:Lperr_V1.4:12:17205778:17206489:-1 gene:LPERR12G16360 transcript:LPERR12G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGIGVAADCKKTFLELQRKKAHRYVIFKIDDKGKEVVVEKTGAVTDSFDDFMDSLPEADCRYAIYDFDFVTQENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIEILRDRAH >LPERR12G16370.1 pep chromosome:Lperr_V1.4:12:17212811:17213713:1 gene:LPERR12G16370 transcript:LPERR12G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLKRGRLSRFLSSLRPPSRPGPLPVQTGFPTSLADLLVNNHGRLLLTNPKRRHRCPSPPSPSPTTPHVAAAVDPTPPLQIKEQSVLEDEVAVAVRLRPELLAVGVATAALVMVLIWSRWFVAALTVASLTLLWIEPVRSNSVTSRRRRTPAAAAAAKLPDLRGRGEVSPIREVESTTNSTERKGKRRWLKKIIVKKNKKQPPAPAAKEKDFPVVVSGEVEQPDAGEVISNAVAEEQQQELPPPAIGRRGGALAPLAAVVLIGLVGGKLPAVALTLLFTVLFSSVERREEIDEKVMN >LPERR12G16380.1 pep chromosome:Lperr_V1.4:12:17217715:17221601:1 gene:LPERR12G16380 transcript:LPERR12G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKSPLAVVSNSSEFSPRPPAAGVHLRPSILGCYLDIWSHIHSLMPMHDAARAACLSRSFLCSWRCRPNLTLEWKMNIDSILRNHSGIGLKDLKFDFGDVTFPDVDRWLQVAVTPGIEVLILTQCSEYNFPCSVLSDGVRNSIRDLQLDTCVFRPTSELGPLRRLTNLELSTVSITGDELECLLSNSLALEQMKLFDCNEIIFLKVPCVLKQLNRLNVISCYMLQEIDCKAPNLSIVDILGENIKLSLGQPLQMKELSLDHSNVICYACAELPSIMPNLERLTICSDTEAVNAPMLLTKFLYLKHLTIEISRSTDSPSFDCLSLVSFFDASPSLETLFLNLRQMIMEHESVFGGSSHLRQLPEHQHNCLKIVEMASFSSAKSLVELACYIVKNAVSLECLKLDTFCDWKRCCGEANQRCAAISNDVLKEAYRAVKAIILYIEDKVSPTTKLTVVEPCTRCHSSE >LPERR12G16390.1 pep chromosome:Lperr_V1.4:12:17222606:17226439:1 gene:LPERR12G16390 transcript:LPERR12G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLSEVALMVVFGICLWTLIETNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSNPGKYLKKYHLNHHFRIQNMGFGITSTLWDHVFGTLPSTKTIAKKSS >LPERR12G16400.1 pep chromosome:Lperr_V1.4:12:17226868:17231211:1 gene:LPERR12G16400 transcript:LPERR12G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPADAVTKEMEGLLIGKKSRSQKTHEPSSKEGKVADSNGSHSSPPEDDDDEVQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATKDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKKLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >LPERR12G16400.2 pep chromosome:Lperr_V1.4:12:17226986:17231211:1 gene:LPERR12G16400 transcript:LPERR12G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPADAVTKEMEGLLIGKKSRSQKTHEPSSKEGKVADSNGSHSSPPEDDDDEVQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATKDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKKLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >LPERR12G16410.1 pep chromosome:Lperr_V1.4:12:17233028:17233562:1 gene:LPERR12G16410 transcript:LPERR12G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAISSTAIAVVAVVLLAGAANAATFTITNRCSFTVWPAATPVGGGVQLNPGQTWTVNVPAGTSSGRVWGRTGCNFNGGRGSCATGDCGGALSCSLSGRPPMTLAEFTLGGGGKQDFYNLSVIDGFNLAMSFKCSSGVGLTCRDSRCPDAFLFPSDNSKVHSCNGNSNYEVVFCP >LPERR12G16420.1 pep chromosome:Lperr_V1.4:12:17235559:17236099:-1 gene:LPERR12G16420 transcript:LPERR12G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSTAIAAVLLLAATLAGAANAATFTITNRCSFTVWPAATPVGGGRQLNQGESWQINVPAGTSSGRVWGRTGCSFNGAGRGSCATGDCGGALSCSLSGQPPLTIAEFTIGGGANRDFYNLSVIDGFNVAMSFSCSSGPTLTCRDRGCADAYQFPNDNSKLRNCNGNSNYQVVFCP >LPERR12G16430.1 pep chromosome:Lperr_V1.4:12:17239122:17243053:-1 gene:LPERR12G16430 transcript:LPERR12G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWPGRVQQCRERHVLANKDGVSGRLIHGARRRPCPPGSDPRRRRERSNRCSFIVWPAAIPVGGGVRLDPGLTWTITVPAGTSSGKVWGRTGCSFDGAGRGSCATGDCGGVLSCSLSGRTIGRRKFAMATATTKSLSGQPPLTLAEFTIGGGGKQDFYDLSVIDGFNVAMSFSCSTGVTVTCRADKCPDAYLFPTDDKKTHACNGNSNYQVVFCP >LPERR12G16440.1 pep chromosome:Lperr_V1.4:12:17254892:17255227:1 gene:LPERR12G16440 transcript:LPERR12G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRLLTIITVCIAATAPGATATIKGWHPIKDINDPHIQELGHWAVSKTNKVTPSIPLTFSKVTSGEEHYQFLTTEYLLHINASIYGVIHSYTAVLIEEVSKKRTLLSFK >LPERR12G16450.1 pep chromosome:Lperr_V1.4:12:17262642:17263184:-1 gene:LPERR12G16450 transcript:LPERR12G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVLVAVLLVLTAGGLANAATFTMTNQCSYTVWPAATPVGGGTQLEQGQTWTIDVPAGTSSGRVWGRTGCSFDGAGNGNCQSADCGGKLECTLSGQPPLTLAEYTLGKAGGSDGSDQDFYNLSVVDSFNLPMSITCSTGPTLTCREDKCPEAYNFPSDDTKVRTCATNSNYQITFCP >LPERR12G16460.1 pep chromosome:Lperr_V1.4:12:17268810:17269529:1 gene:LPERR12G16460 transcript:LPERR12G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRIAAAALLLLAAIAAVATISDAITITVTNRCSYTVWPGALPGGGVRLDPGKSWTLNIPSGTRAARIWPRTGCNFDGSGRGKCLTGDCRNSLSCSVSGTPPTTLAEYTLGTPGVGDGLDYFDLSVIDGFNVPMSFQPSGNGAAGKCSRRRGPNCAVDITAQCLPELKVPGGCDSACGRFGGDTYCCRGQYEHNCPPTKYSKFFKEKCPDAYSYAKDDQSSTFTCPAGTNYQIVMCP >LPERR12G16470.1 pep chromosome:Lperr_V1.4:12:17277350:17278935:-1 gene:LPERR12G16470 transcript:LPERR12G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVGGQIRETNLPIPLSFGEIIYYWACSFWAGNDGKCVTDKDVESDEAVWALYERWCKSFNKERDHAEMVRRFYIFRFEAWTVHGWNSYVHKDLYALDHAKKQRDLGKHVCDWYLQQELCQDADGGSSC >LPERR12G16480.1 pep chromosome:Lperr_V1.4:12:17279101:17279662:1 gene:LPERR12G16480 transcript:LPERR12G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLSAAAALWLCCCSLPLITAAVDNSTTTLPKIPIEGVLPPLHGDDVPAAEVASALPVPIPDGNPVEGAFSNGGLGGNQAFFPGSSGYGTAAGLGAGGFGPGGLGPGTYGYNGPLYFNSAPAAAGSCAGAAAVLMVLSAAVLIMGF >LPERR12G16490.1 pep chromosome:Lperr_V1.4:12:17312284:17315924:-1 gene:LPERR12G16490 transcript:LPERR12G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGRCFLLRASPLAPTPPEISMSITTSSSAAASPPLRAAVPPSSGRRVPRPLRCSPSSSQGSASPDIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFIKSVLREKLKALEVFAASDSLPLRPGVEKFIDDALNEGVPVAILTRYGRNGEKTLRSIIEKLGQERTSKINIVGKEEVERSLYGQLVLGEGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASESSEKIIVTLRAGSEYVGRDVQNCILIAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >LPERR12G16500.1 pep chromosome:Lperr_V1.4:12:17317949:17320678:-1 gene:LPERR12G16500 transcript:LPERR12G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKMLERIKESGSPSPGGAAGILEDTGTDHDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIEIIKEIDIYKHDPWDLPKASHGGVQMLQGSSATEKDECCYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSNNNSSSAIAGAGNCIGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSSSSPSPADYDFSTASLPNANLQDAEIWTICRIFKRRMTYTKGRASINNTMINKRSHQLQLQQIQQQQQQQYYYHDHQYRRHYTSMASDAETTMTTMSQSQSHTVVTDEFRHRQTSSQFILDFQAGMTSSSSSTVTAGVVQPPAAATATGWGGEQVNFRDGGGSSSGSSWDELGRIMEMSTNSANCYM >LPERR12G16510.1 pep chromosome:Lperr_V1.4:12:17328065:17335789:1 gene:LPERR12G16510 transcript:LPERR12G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAQTYAQENGLFFMETSAKTAINVNNVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMVSSSSCCS >LPERR12G16520.1 pep chromosome:Lperr_V1.4:12:17332076:17334618:-1 gene:LPERR12G16520 transcript:LPERR12G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLSDAEGGLACNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPETEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLLVALQNGIRKIFACTNSEKLYFQARLAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCPICCEEKRGAQMIKMGCSHTFCYSCLTAYTQEKLQVSKVAIRCPQLRCKYHITLSECKSFLPVSCYDSLERAFAEAGPSDMERFYCPFPNCSVLLDLSQHFSRASSSSQSDLNCVECPECHRDICINCGVPWHIMMGCDEYQSLPVDERDAGDLSLHRLAQNNRCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLAELPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >LPERR12G16530.1 pep chromosome:Lperr_V1.4:12:17345001:17354100:1 gene:LPERR12G16530 transcript:LPERR12G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSEEWVMIDANNPADSSDDDLSIIALSPTCSDVDDTDLDADDDDLYDLEIDDNDLYPPPTRPLSGLFYHNLTTTTKEPGYFAFDPLRAAAKRLIPDPHFSSFPEPVSLLASTRGLVVLRGLDSGFYYVSNPATFRRVRLPLHTRDHGRYPSVVLSFENPASAAAGDGIEHYHVVVAYNLGGGVWAFESFSSRTWEWRVGHAISVVETVVAESGVGAHGRAFWRTAIGFILCYDPETGYADEFPAPAEVETRRKWELGEMDGNLSVTCMDDSLTEVAVLYLNMERLLAGGFVTPPPWSWAGQFDGGMLRGREDAELRRSQGAAEVVMWDPRDERVVAMDFDGRITRTIGPLSDEDYEADFIPYVASRAEISSEQLSPKCRIPDYDESDNAFNGSATAAQLH >LPERR12G16540.1 pep chromosome:Lperr_V1.4:12:17349729:17354145:-1 gene:LPERR12G16540 transcript:LPERR12G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLISGARRRRHHHHHCSGFSTEAPSSSPLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGLIGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSKYDAEAYPRYEEKLEGFCKFMDFVIDSAPPELRHEYHLSMVDRMKDKVDKSVFWSKMFGVVMQQGQKDMVDFFDLLLSPASKILDNWFESDVLKATLATDAVIGAMAGVHTPGSGYVLLHHVMGETGGQRGVWARTKTIASGMSKVVSQILVHKTSWRVQGVVLADGTEVLTPIVLSNATPYKTFVDLVPANVLPHSFLSDIKTADYSSATTKINVAVDRLPQFSCCKDFNREGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPVKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAAFVVLEDLRTK >LPERR12G16540.2 pep chromosome:Lperr_V1.4:12:17349729:17354145:-1 gene:LPERR12G16540 transcript:LPERR12G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLISGARRRRHHHHHCSGFSTEAPSSSPLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGLIGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSKYDAEAYPRYEEKLEGFCKFMDFVIDSAPPELRHEYHLSMVDRMKDKVDKSVFWSKMFGVVMQQGQKDMVDFFDLLLSPASKILDNWFESDVLKATLATDAVIGAMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQILVHKTSWRVQGVVLADGTEVLTPIVLSNATPYKTFVDLVPANVLPHSFLSDIKTADYSSATTKINVAVDRLPQFSCCKDFNREGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPVKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAAFVVLEDLRTK >LPERR12G16550.1 pep chromosome:Lperr_V1.4:12:17357794:17359125:1 gene:LPERR12G16550 transcript:LPERR12G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGFEQAAAAADTAEAAATPMGIGGTERWGPRGQLSSSSFELLFMIVVIIYRYLSVRVFDRSMDLCVFRVVVAHLCF >LPERR12G16560.1 pep chromosome:Lperr_V1.4:12:17379788:17381392:1 gene:LPERR12G16560 transcript:LPERR12G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFFMQWAMDTLHQLPSDTAAAAYATDAGVDAAAGAFPSLQALRNSGAGGFRDLTVQVDQQVHRASSWSSSDSPGAAAAAGGWSPSPHVITGGGGAWGSRPMSWNFSAASAHPTAEESGGGAPAPAVAMETATARAAAAAATGKKGGGSSSAAAAAPGYVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKTMEDSSGSRSAMEVVRKPCRLQHSGEDNNGGEGSSSSTTAALRLPEIEARLSEKSVLLRIHCGNSRGMLVRLLSEVEDLKLGITHTSVMPFPASTVIITITAKAS >LPERR12G16570.1 pep chromosome:Lperr_V1.4:12:17386128:17390504:-1 gene:LPERR12G16570 transcript:LPERR12G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQGGGDAAARRMERLASHLRPTSSQMEEVPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGIDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPRDVNVPVVGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVQLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINK >LPERR12G16580.1 pep chromosome:Lperr_V1.4:12:17399975:17402983:1 gene:LPERR12G16580 transcript:LPERR12G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFSLILPFFLLAGAFHAAAAAAAGVAAVSEVAALMAFKNALTIPPTAADFFSRWDAAAASPCNFTGVDCRNSAVTAVAVEGLGVAAASVPFDVMCASLTSLSKLSLPSNSLAGVIAGVEGCVALEVLDLAFNGFSGEVPDLSPLVKLRTLNVSQNAFTGDFPWPSLVSMPELTVFAAGDNGLLTPTSNFPNEITKLTNLKKLYLSAANIGGVIPSSIGNLVNLVDLELSDNHLAGEIPPAITNLTNLTQLELYNNSLHGELPAGFGKLSKLQFFDASMNKLTGGLAELRSLTNLVSLQLFYNGFSGEVPPEFGDFKELVNLSLYNNNLTGELPANLGSWAAFDFIDVSTNSLSGPIPTNMCKRGTMTRLLMLENRFSGEIPATYGSCTTLKRFRVSKNAMSGDVPVGIWALPNLNIIDLADNQFTGGVGAGIGKAASLNSLYLAGNGFSGEIPPAIGDAASLESIDISSNRFSGEIPASIGRLSRLGDLNISRNGITGEIPASIGNCSSLTTVDLSVNKLAGVIPPELGTMPGLNSLDISRNFLSGEVPASLAGLKLSYLNLSDNNLVGAVPSPLAIAAYGESFQGNPGLCATNGAEFLRRCSPAGSSSAGTARTAVTCLLAGLAVVLAAVAAVMYVNKRRRDAAVAGAGAGGKVFGKKGSWDLKSFRVLAFDEHEVIDGVRDENLIGSGGSGNVYRVKLGTGAVVAVKHVTRTRSTSTTASAAAAMLRSPSTARRTASVRCREFDSEVGTLSSIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYDRLHGGGGGGLRWPERYEIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILAVTQDDVASSGGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRTPIMTEYGEGRDIVDWVARRLDSRDKVMSLLDTVIGEEWEKEEAVRVLHVAVVCTSRTPSMRPSMRSVVQMLEAAAIGREFSTVTSVKVKVIP >LPERR12G16590.1 pep chromosome:Lperr_V1.4:12:17413091:17416814:1 gene:LPERR12G16590 transcript:LPERR12G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLSSPPSPILFSCLAILLIVVFVSGDVGAAALDAQATYLSQMKQEFTGPAMAGWDFSNSSSPAINYCKFQGITCDAAGNVTAIDVTSWRLSGRLPSGICKSLPALRELRLAYNDIRGGFPATLLNCTAPASLEILNLSFAGVSGAVPDISPMTSLRVLDMSNNYFAGAFPAAAIANLTNLEVINLNENPGFHDWRPPESWLSRLKNLRILILSTTSMRGGIPAWIGNVTSLTDLELSGNLLTGEIPATIARLHNLQLLELYYNNLTGVVPDELGNLTMLTDIDLSENRLTGVIPESICALPRLRVLQMYTNKLTGVIPAVLGNSTQLRILSVYRNQLTGELPGDLGRYSGFNVLEVSENQLTGPLPPFACANGELQYILVLSNLLTGAIPASYADCRPLLRFRVSNNHLDGDVPAGIFALPHASIIDLSFNHLTGPIPATIAGATNLTSLFASNNRISGVLPPEIAGATTLVKIDLSNNQIGGSIPDSVGRLNRLNQLSLQENRFNGSIPATLADLHSLNVLNLSYNALAGEIPESLCSLLPNSLDFSNNNLSGPVPLPLIREGLLESVAGNPALCVAFRLNLTDPALPLCPKPARLRGMRGLAGSVWVVAVCALVGVVATLALARRWVLRSRHDAEHDGVAASSPASSSSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEQNHGHGGCLDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGAGGWGFGFLDWPTRHRVAIGVAQGLAYLHHDLLYSIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTITIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGETRDIVQWVSGKVAAGAEAEALDKRLEWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPTTGRTAKDAGNKDSSGEHKL >LPERR12G16600.1 pep chromosome:Lperr_V1.4:12:17421782:17422499:-1 gene:LPERR12G16600 transcript:LPERR12G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSRFVAVVAKKNKSPLIRRRFASSARHDDAEEAAKWEKITYLGIGVCTLLAAYNLSTGPDGFFEKKKHSDDHH >LPERR12G16610.1 pep chromosome:Lperr_V1.4:12:17423601:17425569:-1 gene:LPERR12G16610 transcript:LPERR12G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKGGGIPLLGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTEMTEVHGRTFGVWTLLTCTLCFLCAFNLENKPLYLATFLSFIYALGHFLTEYLIYNTMAVANLSTVGFFAGTSIVWMLLQWNSHGNSSGPQAVKQS >LPERR12G16620.1 pep chromosome:Lperr_V1.4:12:17431129:17431609:-1 gene:LPERR12G16620 transcript:LPERR12G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHRNASLLLLLMQLALLLTTAIITHLETKNKGGVAAAMLMHKKEEEEASAAGSRPPSCEGRCRSSCGGGHCHPVQVPVTPSDTTTAMKQQQEEAAEAYVDHSNYKPLSWRCKCRIN >LPERR12G16630.1 pep chromosome:Lperr_V1.4:12:17438622:17441133:1 gene:LPERR12G16630 transcript:LPERR12G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAIFGIGTGGGLCGCGSAAVRMRRRLLALSHDDLGRVDPLASSARAVCRAEEDARQPAVVFTSGMAVTVWTQWGAEGHADAGGWRRPCECCVVFDDGTGQRSTRTRWVVLAAVKRGPWTAEEDARLRSYMEQHAGGGAGAGNWMAVPSKAGLRRCGKSCRLRWLNYLRPGIRHGGFTADEDRLIVALHAAVGSRWSLIAGHLPGRTDNHVKNYWNTRLKKRVEMIFSFSPNAGNYQPSPPSFSRYGGAGDYSSSSAQSESMDEMMVNWCSQINQLELQDELLLQNVQPQ >LPERR12G16640.1 pep chromosome:Lperr_V1.4:12:17442960:17447192:-1 gene:LPERR12G16640 transcript:LPERR12G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEELLAAAGLDAVVFNRILVFSIRIFSLAALLCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVQEYKHIARLRLHHHTRATPNLSHFTVLVRGIPKATKESCSNAVDDFFTIYHASSYLFHQVVYKVGKVQKIMTGAKKAYKKFKHFTDTTVDHSCRAISYRCCLCGASSNSFQLLRTGLEQNQGKSDLQDSSLKLDDQECAAVFVYFRTRYAALVASEILQTSNPMKWVTDPAPEPDDVYWSNLWLPYNQLWIRRIATLLGSIIFMLLFLIPVTFIQGLSQLEQLQQRLPFLKGILEKRYMSQLVTGYLPSVILQIFLYTVAPLMILFSTLEGPISHSERKRSACCKVLYFTVWNVFFANVLSGTVISQLSVLSSPKDIPVQLAKAIPGQATFFITYVLTSGWASLSSEVMQLFGLIYNFVRKYILRMEEDTEFVPSFPYHTEVPKVMLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFKTSPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDSEDIPLEKIQIVGRGGDQGSSSGKSNGKETSEESGVELSHPTLNGLPISRLRHAVKSITFLVRLQKRGLSG >LPERR12G16650.1 pep chromosome:Lperr_V1.4:12:17455101:17455538:1 gene:LPERR12G16650 transcript:LPERR12G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEEAAAAPPSEQKPAATAVDGDMPPETPKKAPARRLSVPPPPGRKTMPAASKSFSKNRAVRPEDDPFLAAYLACTKSTGGGGGGGKKGGSFARESKGQRGRFTWAGLGHGLGLGLSCKSSNGVVENSMVKMAKLPEVHPKDA >LPERR12G16660.1 pep chromosome:Lperr_V1.4:12:17459847:17460275:1 gene:LPERR12G16660 transcript:LPERR12G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANTWLEKKPARELTTDDSPLCHCRGSQSEGREEVVVVVVACRVAVVDVAETKTATIAAVVRKMTPKSRCSQTVEDEQSHRVCQTHGRLGTNAHNGVANTV >LPERR12G16670.1 pep chromosome:Lperr_V1.4:12:17460418:17460711:-1 gene:LPERR12G16670 transcript:LPERR12G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYCVVPLGHNISALVVFTVVRASTSLSSALVVISRQVLCRLLRAPPPRLPQAATVGRSRPVVQLHWLPMLPSPRWSYYFTFVFDRHTSSSTSALP >LPERR12G16680.1 pep chromosome:Lperr_V1.4:12:17460784:17461147:-1 gene:LPERR12G16680 transcript:LPERR12G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCLQSRTNNVIGFASAHRLADNYACFYIVIGIDSSPSSSRPVHGRLDHPAKYTTTTRFFTMFVFNHVYYAIKLWVTTVSSSRATVSLHVVHLH >LPERR12G16690.1 pep chromosome:Lperr_V1.4:12:17468281:17468733:-1 gene:LPERR12G16690 transcript:LPERR12G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFLSDSALEEFLADIGFGIPSSPPASSSPATSAAAPATEEEMRRRRLRRRISNRESARRSRARKQRHLDELRGKAAALRAGNRCLAARLRGAAARAALVSLANARLRAEAAALSRRLAAARRALAIRRLYAAASAAEMRTLASLIA >LPERR12G16700.1 pep chromosome:Lperr_V1.4:12:17475065:17475427:1 gene:LPERR12G16700 transcript:LPERR12G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRQLLISILFTATIATAAADNPARFPPAYGYGGGIPPGFFGNNPGGGWGGGYGYGGGGGGVEVPTVVCSEKGPCYGKKVTCPKRCFWSYSSSGNGYGGGGGGGSCYIDCKYKCTATC >LPERR12G16710.1 pep chromosome:Lperr_V1.4:12:17476271:17478486:-1 gene:LPERR12G16710 transcript:LPERR12G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTRRRRRRQPSPDAPLPSDTIKDGAANPEYNLDEPTMEEKLAALNLPNGGGDGRAGDGGMEQPLVPPSADSVHVLLKQALRSDDHASLLNCLYNKDHKVIVNSVSLLTPADAVKLLKFFISLMQSRGAVLVCLLPWLQILLSQHMSSIVSQESSLLLLNSLYQLIDARTSTFKSSLQLSTSLDYLFSEIVDDESDEEERPPPIIYEDKETDDEESEVDDMKINEETEDMGGVTDACEHSDGSEVMSD >LPERR12G16720.1 pep chromosome:Lperr_V1.4:12:17479248:17484412:-1 gene:LPERR12G16720 transcript:LPERR12G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDILPLPDLFEKILGEDRYNWPPEACLLVAADEGNLRRIKEIAATLNDEGLGIPATVARTTFHGMSAMHAASELHVYRYLIEVANMDANKPDSTPDRKTPLEQAIAGGHLPAVRYLIDHGADIHVERERNITVLHTAAKKGRTEIVKLLLSRGAHVDGKSNYTTPLYLAATKGYESTVRVLLEYKADPNKAVASGRETPLAAALSATSLPCVKLLIQAGADVNDKNNPLALAAEGGLTEAMKWLLEAGANPNCPDMMKTSDLKQQGNDAFEKHDYVNASEWYTQALKVDPCDATLLSKRCVCWLRMGEGKKALEDAKKCIENRPNWSEAYQRLGEALMLKKKACVVFTRGLELDPLNDEMDKLFWEAMDLKQ >LPERR12G16720.2 pep chromosome:Lperr_V1.4:12:17479248:17484412:-1 gene:LPERR12G16720 transcript:LPERR12G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDILPLPDLFEKILGEDRYNWPPEACLLVAADEGNLRRIKEIAATLNDEGLGIPATVARTTFHGMSAMHAASELHVYRYLIEVANMDANKPDSTPDRKTPLEQAIAGGHLPAVRYLIDHGADIHVERERNITVLHTAAKKGRTEIVKLLLSRGAHVDGKSNYTTPLYLAATKGYESTVRVLLEYKADPNKAVASGRETPLAAALSATSLPCVKLLIQAGADVNDKNNPLALAAEGGLTEAMKWLLEAGANPNCPDMALKVDPCDATLLSKRCVCWLRMGEGKKALEDAKKCIENRPNWSEAYQRLGEALMLKKKACVVFTRGLELDPLNDEMDKLFWEAMDLKQ >LPERR12G16730.1 pep chromosome:Lperr_V1.4:12:17492998:17496688:1 gene:LPERR12G16730 transcript:LPERR12G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAVVVINGGNVKPSSRNLPLKFAKPLLLFAVLAAAAFLLLTGGVSSYRLTVPDILSASSRQPRCAQYQPEDDDAAAMTLERWMRRRRWPAASAWHNMTDEELLWAASMEPLVRKYPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGDRRLFSVYVHSTPGYRPEFSPSSVFYRRQVPSKVAQWGQTNMFDAERRLLANAMLDGDNERFVLLSESCIPLHGFPSVYTYLTASRHSFVGAFSDPGPHGLGRYRPGLSPEVSPEQWRKGSQWFELDRRLAAAVVADGVYYPKFREHCRPPCYADEHYLPTVMSIVAPAAIANRSVTWVDWSRGGAHPATFGAGDVGEGFVRRVAADQSKRCLYNGQPSEVCSLFSRKFAPSALQPLLALPPSVLGY >LPERR12G16740.1 pep chromosome:Lperr_V1.4:12:17498898:17499773:-1 gene:LPERR12G16740 transcript:LPERR12G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAYWLAEHPSIVSFRWSPTGLWFSTWAFLLTFLASYVTLCLTLDAILAAVHRRRHRPLPLPLGPIPAAHAFLMAAVSAVIFAGMLLSALAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLLAVLARRRRGATARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFLAGAGAGLPAAGARGVALACQCALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWVFNSLLNAALLWVFLHCYAKRGVCDDDGSNKKLM >LPERR12G16750.1 pep chromosome:Lperr_V1.4:12:17505538:17513025:1 gene:LPERR12G16750 transcript:LPERR12G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGNGHAFHGGHAHAAPRHGPPGQQQQADVYLKALLILAGSTSRSFFSLHSAPVRMEDVSDVALPQALELLLGRNRDAWPRGAAFLVAAYHGDVREIKRMAKELDEDGKGIDEIVAHTTYLGMNALHAASWLAKLPAYRFLVEELKMDVTRADTTQGYTPVEHAVFHGRLPAVRYLLDHGADLHQVRTNGDITLLHSAAVKGYSEVVKFLLSRGANVDAESKMGTPLALAAYRGYDSTVKILLEHNADPNKVSDSAFGSPLDMAFTSSSVSCVKLLVQAGADVKGEGPNNYLARAAEKGLTEAIKCLLEAGANPNVPDSLGRMPIELAAEYGTWEDVEILFPFSSVIPTVANWSVDGIINHVQSEIKQLEDDKFVKRRRSDLKQQGDAAFKKQDYLNASVFYTQALKVDRFDGTLFSNRSLCWVRMGDGERALDDANSCQKLRPKWAKSYYRQGAALMLMKDYERANTALGKALELDPESEEIEKLYWESMELCG >LPERR12G16750.2 pep chromosome:Lperr_V1.4:12:17505538:17513025:1 gene:LPERR12G16750 transcript:LPERR12G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDEDGKGIDEIVAHTTYLGMNALHAASWLAKLPAYRFLVEELKMDVTRADTTQGYTPVEHAVFHGRLPAVRYLLDHGADLHQVRTNGDITLLHSAAVKGYSEVVKFLLSRGANVDAESKMGTPLALAAYRGYDSTVKILLEHNADPNKVSDSAFGSPLDMAFTSSSVSCVKLLVQAGADVKGEGPNNYLARAAEKGLTEAIKCLLEAGANPNVPDSLGRMPIELAAEYGTWEDVEILFPFSSVIPTVANWSVDGIINHVQSEIKQLEDDKFVKRRRSDLKQQGDAAFKKQDYLNASVFYTQALKVDRFDGTLFSNRSLCWVRMGDGERALDDANSCQKLRPKWAKSYYRQGAALMLMKDYERANTALGKALELDPESEEIEKLYWESMELCG >LPERR12G16760.1 pep chromosome:Lperr_V1.4:12:17513453:17515995:-1 gene:LPERR12G16760 transcript:LPERR12G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYTRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGSGHPSTMSQDPSARESDMLASHQGQRSFGHVKDMKNEMLMHMMDGAQGSASELIHDDAHTGSQLEFGVLNNHSSSSVPSVQGKGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDTLRGDNIRMKNFQSDASRAVRNSRYLKAAQELLDEVVSVWKSIKQKAQKENADSGKADGKETDGGPKSEGVSSNPQESDANAVPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGELEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRAQICETSQLSESRTSMGTMNVGGAPVGFQNEANPDDSFMNLMMKDQRSNEADGGLLLHNTVSQQSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLGVPNAQPSFPGVTEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >LPERR12G16770.1 pep chromosome:Lperr_V1.4:12:17522620:17529924:-1 gene:LPERR12G16770 transcript:LPERR12G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLVKRPSKQRCVVQIEGEETLTSHVVSFPFFREAAAGDQGGVPRWAAGQVLKLRAKKAAAIMGSAGGEVSHWNADVNGISLHVAEQGPADGPAVVLLHGFPELWL >LPERR12G16780.1 pep chromosome:Lperr_V1.4:12:17530200:17534513:-1 gene:LPERR12G16780 transcript:LPERR12G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGEVRHWNADVNGISLHVAEQGPVDGPAVILLHGFPELWLSWRHQMAALAGRGFRAIAPADAAAYTVLHLVGDVVALLNHLRLPKVFVVGHDWGAQVAWHMCLFRPDMVRAVVAIGIPFFPRGDKPMTEAMAGRDGFYAMQFQEPGRAERAFARYDVATVLKKFYSIEIDVLTAPPGVEIIDFLEARSSPLPWMTDEELGQYAEKFQKSGFTGPLNYYRMFDTDWELTAPWQDAKITVPAKFIYGDKDIGLKSFGTEQLVKSGAFKYFVPNLEVVTIEGHHFLQQEQAEKVNSEILSYFDKFSGALIKQSQKYTFEMAALAGRGFRAIAPDLRGYGDSSAPADAAAYTILHLVGDVVALLNHLRLPKTEIFRGDWEVLVVGHDLGAQVAWHMCLFRPDMVRAVVAIGVPFFPRGPKPMTEALAGRDGFYIMQFQEPGRAERTFARYDVATVLKKFYSIEIDDLTAPPGVEIIDFLEARPSPLPWMTEEELGQYAEKFQKSGFTGPINYYRMFDTSWRLTAPWQDAKITVPAKFIYGNKDIGLKSFGTEQFVKSGALKSFVPNLEVVIIEGHHCLQQEQAERVNSEILSYFDKFTGENT >LPERR12G16780.2 pep chromosome:Lperr_V1.4:12:17530200:17534513:-1 gene:LPERR12G16780 transcript:LPERR12G16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGEVRHWNADVNGISLHVAEQGPVDGPAVILLHGFPELWLSWRHQMAALAGRGFRAIAPADAAAYTVLHLVGDVVALLNHLRLPKVFVVGHDWGAQVAWHMCLFRPDMVRAVVAIGIPFFPRGDKPMTEAMAGRDGFYAMQFQEPGRAERAFARYDVATVLKKFYSIEIDVLTAPPGVEIIDFLEARSSPLPWMTDEELGQYAEKFQKSGFTGPLNYYRMFDTDWELTAPWQDAKITVPAKFIYGDKDIGLKSFGTEQLVKSGAFKYFVPNLEVVTIEGHHFLQQEQAEKVNSEILSYFDKFSGALIKQSQKYTFEMAALAGRGFRAIAPDLRGYGDSSAPADAAAYTILHLVGDVVALLNHLRLPKVLVVGHDLGAQVAWHMCLFRPDMVRAVVAIGVPFFPRGPKPMTEALAGRDGFYIMQFQEPGRAERTFARYDVATVLKKFYSIEIDDLTAPPGVEIIDFLEARPSPLPWMTEEELGQYAEKFQKSGFTGPINYYRMFDTSWRLTAPWQDAKITVPAKFIYGNKDIGLKSFGTEQFVKSGALKSFVPNLEVVIIEGHHCLQQEQAERVNSEILSYFDKFTGENT >LPERR12G16780.3 pep chromosome:Lperr_V1.4:12:17530200:17534513:-1 gene:LPERR12G16780 transcript:LPERR12G16780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGEVRHWNADVNGISLHVAEQGPVDGPAVILLHGFPELWLSWRHQMAALAGRGFRAIAPADAAAYTVLHLVGDVVALLNHLRLPKVLVVGHDLGAQVAWHMCLFRPDMVRAVVAIGVPFFPRGPKPMTEALAGRDGFYIMQFQEPGRAERTFARYDVATVLKKFYSIEIDDLTAPPGVEIIDFLEARPSPLPWMTEEELGQYAEKFQKSGFTGPINYYRMFDTSWRLTAPWQDAKITVPAKFIYGNKDIGLKSFGTEQFVKSGALKSFVPNLEVVIIEGHHCLQQEQAERVNSEILSYFDKFTGENT >LPERR12G16790.1 pep chromosome:Lperr_V1.4:12:17535687:17540590:-1 gene:LPERR12G16790 transcript:LPERR12G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQMSPPSGFKYRVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >LPERR12G16800.1 pep chromosome:Lperr_V1.4:12:17542238:17553893:-1 gene:LPERR12G16800 transcript:LPERR12G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRVVVVVLLLAAGAAAAGVTSPYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANEVGSSTVKYGSSPEKLEHTAEGVHTRYEYFNYTSGFIHHCTLRNLKHGSKYYYAMGFGHTVRTFSFTTPPKPGPDVPFKFGLIGDLGQTFDSNSTLSHYGANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDFAPELNEFLPFKPFTHRYPTPFLSSKSTSPLWYSVKIASAHVIVLSSYSAYGKYTPQWIWLQNELAAGVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDLVLAGHVHSYERSRRFSNIEYNIVNGKATPAANRDAPVYITIGDGGNIEGIANNFTVPQPSYSAFREASFGHAMLEIVNRTHAHYAWHRNHDGAKAVADAVWFTNRYWMPTNDDESISRHSLDTPLYRFTVDGVPVDTYLTRFVWDEGKYPTMSPLKDIVGGIQSQVSKIEDDMKHRNTIILAVRDLSNLVKPEDMVTSEHLVTLAIVSKYSQKDWLSSYESLDTFVPKSSKKLYEDNEYALYTVTLFAKVVDNFKARDFEYSPEAQESRKKELEKLLQEQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSAKRAKKVRSILEELCGRQYDVGVAGLGGETESHPYVSFTINFNHLAMAAPRLLAAVALLSLTLAAHGGVTSTYRRRRALQVPVPDMPLDADVFRPPPGFNAPEQVHITQGDLTGNAMIVSWVTPKLPDSNTVRFGLRPDNLSSTVSGSFRRYTFGRSNIYRSGFIHHATISGLTHSTKYHYSVGTPSPATSRVFSFTTPPAPSPDARIKFGLIGDLGQTFHSNATLSHYESNGGGDAVLFVGDLSYADNHPNHDNNRWDTWARFVERSAAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFAASGSTRPLWYSVKMASAHVIVLASYAAYGKYTPQWTWLKNELAAGVDRTLTPWLIVLVHSPWYSTNGYHYMEGETMRVQFERWLVDAKVDLVVAGHVHSYERTHRVSNVAYDIVNGKATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSAFREASFGHATLEIMNRTHAYYEWHRNNDGVKVVADSAWFTNRYWLPTDTN >LPERR12G16810.1 pep chromosome:Lperr_V1.4:12:17556713:17559121:-1 gene:LPERR12G16810 transcript:LPERR12G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESRRRSSITTIFVILVLMAAAEAMKPCPGTTSGYRRKKKKAAEAMEDMPLDADVFAEPPGRNAPQQEAGNSTVMYGKAMDKLDMAAEGNHTRHKYYNYTSGHIHHCTLVNLEYGVKYYYAMGFGYTVRTFWFTTPPKPGPDVPFRLGLIGQTFDSNATLTHHHSSGAAAAVLFVGDLSYADKHPFHDNTRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETTPFKPFTHRYPTPHLASGSPEPYCNNFHYMEGEPMRVEFERMAVDARVDLVFSGHVHAYERSFRVSNLRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGPADEMTWPQPAYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGEKVAADAVWFTNRYHMPNHDDSAAAAGNKKRR >LPERR12G16820.1 pep chromosome:Lperr_V1.4:12:17562290:17564059:1 gene:LPERR12G16820 transcript:LPERR12G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVVKGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGRGVMKAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGSGAGGGNTKPLILLVGWLPAAISVAFLATIRIIRAPRSPAAVRREYRAFCGFLYVSLALAAYLLVVIVLQKRFSFTRAEYAVSAAVVFAALLAPFAIVLREEAALFKIMPPEHETTTPAPPPATKPPPQSPATTATARILHSLRPPPRGEDYTIPQALVSIDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATFVSLISIWNYLGRVSAGFASEALLARHRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVAVGKKELTCIGVRCYKDSFLIVAAVTVGAAVVTAALAWRTRKFYAGDIYARFREAAAAAAGGDGNGNVAGEEKGESKEEKGVTAVSS >LPERR12G16830.1 pep chromosome:Lperr_V1.4:12:17569095:17569343:-1 gene:LPERR12G16830 transcript:LPERR12G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMMSRAMDSVFGPRTINVVDATPPAAADACGAHNKAFQDCIGHYGNDIGRCQAYLDMLNDCRQDSAASSASAASTSTFF >LPERR12G16840.1 pep chromosome:Lperr_V1.4:12:17571955:17575552:-1 gene:LPERR12G16840 transcript:LPERR12G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTSNKMKKMSGCHGIRGQVVRLCAALVTASLLCSSSMALTPDGQALLELKLAFNGSSQRLTSWKPGDPNPCGWEGVSCSFPDLRVQSINLPYMQLGGIISPSIGKLDKLQRLALHQNSLHGSIPAEIKNCTELKAIYLRANYLQGGIPPEIGELIHLTILDLSSNLLRGTIPATIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSALWTAHPKGLPWNPRVSCGVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGNYVKMDKQTIPDGAKLVTYQWNLPYSSTEIIKRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >LPERR12G16840.2 pep chromosome:Lperr_V1.4:12:17571955:17575552:-1 gene:LPERR12G16840 transcript:LPERR12G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTSNKMKKMSGCHGIRGQVVRLCAALVTASLLCSSSMALTPDGQALLELKLAFNGSSQRLTSWKPGDPNPCGWEGVSCSFPDLRVQSINLPYMQLGGIISPSIGKLDKLQRLALHQNSLHGSIPAEIKNCTELKAIYLRANYLQGGIPPEIGELIHLTILDLSSNLLRGTIPATIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSALWTAHPKGLPWNPRVSCGVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGNYVKMDKQTIPDGAKLVTYQWNLPYSSTEIIKRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGKLNVLHLTAYCFMIMIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >LPERR12G16850.1 pep chromosome:Lperr_V1.4:12:17577869:17581085:-1 gene:LPERR12G16850 transcript:LPERR12G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGMPPPPPPQEQSKVVAAAEKQQHISGEPEPAGVQYHGWKAMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSVAAATLLNVFSGTTNLAPLAGAFLCDAYLGRYATLAAASVASFLGMLLLTLTAALPSLHPQPCPSSSSASCHGPSHSQMAVLLASFAFLVVGAGGIRPCNLAFGADQFDPSTDSGRRGIASFFNWYYFTFTIAMMISATVIIYLQSNINWAIGLAVPTALMGLSCAVFFAGTRLYVRVSPEGSPFTSFAQVLVAAVRNRRRSPDSPLFDPPHRSKLVAKIAYTDQFHWLDKAAAMAATEDGVIDISSPENNRNRNPWRLCSLQQVEEVKVLARLMPVWSTSIIYYVTLTQLGTYTVFQAMQSDRHVTSSSTFEIPPGSMVVFNMLALTAWLPAYDRLIVPALRKMTGKDAGISQLQRIGIGLAISVATMATAAAVEQRRRRNGGASSSSWAWLVPQQAMAGLSEAFAAIGLNELCYKESPESMRSVAGALTPLGFGVASYVSGAMVTSVQRATGWLAQDLDQGRVDLFYLVVAAMAAVNLGYFVVCARWYRSKTPAADASGDGLELMKANGDAPPAMV >LPERR12G16860.1 pep chromosome:Lperr_V1.4:12:17584928:17587678:1 gene:LPERR12G16860 transcript:LPERR12G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGHVKAHQGVHVVSKRFPRVEIATSVIEFGLNDDFRVVPGIGECRDRYFGTDDYQFSTLFFSDDKNLLRHPTLAK >LPERR12G16860.2 pep chromosome:Lperr_V1.4:12:17586497:17587678:1 gene:LPERR12G16860 transcript:LPERR12G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding GVHDANIIFLNLISAHQGVHVVSKRFPRVEIATSVIEFGLNDDFRVVPGIGECRDRYFGTDDYQFSTLFFSDDKNLLRHPTLAK >LPERR12G16860.3 pep chromosome:Lperr_V1.4:12:17586810:17587678:1 gene:LPERR12G16860 transcript:LPERR12G16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQAHQGVHVVSKRFPRVEIATSVIEFGLNDDFRVVPGIGECRDRYFGTDDYQFSTLFFSDDKNLLRHPTLAK >LPERR12G16870.1 pep chromosome:Lperr_V1.4:12:17589453:17591195:-1 gene:LPERR12G16870 transcript:LPERR12G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMEKKQKQQQLKEEAMEMEEDQYQGWKSMPYVIGNETFEKLGTIGTTANLLVYLTTVYHLPSARAATLVNFFSGTTNLAPLVSAFLSDTFFGRFPTIAAASLSSFLGMLLLTLTAALPSLHPQPCRTSSSASSCHGPSRWQMAALLSSFAFLVAGAGGIRPCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVSMMISATLIIYLQSNVSWAIGLAVPAALMALSCALFFIGTRLYVRVKPEGSPFTSFAQVFVAAVRNRHRSSASPLFDPPHRSKLVAKIAYTDQFRCLDKAAAMVVEPDTSSSPNPNRSRNPWRLCSVQQVEEVKCLVRMTPVWSAGIVYFIVLTHLGTYVVFQAMQTDRRLGQHFQIPPGSMVVFNMLALTVWIPLYDRLIVPALTRITRRDGGITQLQRIGVGLVLSVAAVSVAAAVEHRRRRLAAGDGIIMSCFWLVPQQVLAGMSEAFAMIGQTEFYYKQFPENMRSVAGALFFLAFAVASYASGFMVAAVHRTTGWLAQDLDHGRLDLFYLLVAAIAAANVGYFFVCARWYRFKKTTNSIDMDDVELQGSSNGAVGSKV >LPERR12G16880.1 pep chromosome:Lperr_V1.4:12:17598189:17600654:1 gene:LPERR12G16880 transcript:LPERR12G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTPQTLAPAPAAGDLVWAKSKRRPWWPARLLPDGRVSYLSAAADDSPCRASDLRPFAHPDADLMARATTARAFVAAVQLAQSHSAALLQAHLTCPCASDSVSPPPSPLPNLPPSAFLDSLRHAALDASSVGLLDLPRLKSWAAAFAQGWGPSGPGRYPRRAVADLVDKIDLDVPAAAWDAQEQDTRAPFDVPQETPTQKKRSVAELMDGNDDDKTQTTMPREGRVDTTTVLSNKRERKKSKYLSPPYTNLGGVALVQKASDSPKQSPPAAAEDDEKVLPKPLQENVAAQEVLLLVRKAGIDVFHRARSMKAVNAFISIYRSSLFVEGADYKSYKAHKCPAENPFANADADSHAALSPSKCVFKRSRKQDQDGIGTSSSTKKEKRGKKSPAPALGCGVTITPAIPIRQVRAEDIRSPAKPGSGPRGMAVCVQLDKSKPDFKIPTPASVKVTKEPVQEQDQANGGSVLKPPADACMNLSDQPAKQNDTGTEEARQLHTNIQAETAAQRIVVDVPVRRVPMEPLKCEANIPVHKDELTTAAVAVTDKSVPLPVSEDVIQSQLTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAETANGTNVAAASNALKDEGQRVEQPSLKKMVHGASVNHSSGEAAISSAFPDLANSTPKKKKKKTAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLLIESETEIVKHTHSARVVFGKSAEAEAAYNSADTLGMFGPPFATPRLHYLPPIKLSVPSPSPKPPLTDIRKNLETMISSLAGHSSVKKAAPSDGSKQMPENLLGDMQGLLAKVNKMLSGPSAGTPH >LPERR12G16890.1 pep chromosome:Lperr_V1.4:12:17601486:17605321:1 gene:LPERR12G16890 transcript:LPERR12G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEGVRVDLDKLPIKRLQAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIEKDAKKPKKAAAKDAAQQAWPWQGMMENLQQAQQELSVVIDLISTVEANDAVAVSGMSKPKSLPNEILVDTAVSAATKLQRLRHLGRYFKQSAKTMEQQFQKESRLQQNWKVKRQRFGATGPGSEGFMFDLIDSSQLDTPAMPRLSSLSLIPIDQDSSGTLSVQAPQKSCRFLSLNFRGDSANGAETYGYKLKDGGISSITSSTENDAENDDVNKSVKQAHSILRNTHKSIFEEQVFDMVICETFVQSQGINVTGMREDFLELAIGQECSLCLSLVHSEDSGDSEMVDHEDHTNSEDAPNLVLATINGKQDPFKKDVTGFPNPKSLEIYLLHLFHENILRKVREKSLIAGRYQSPAQAAADDYGLLGHFCMTVSHRIFSNKVHLELESVVSRVPYLHLCSLPTWHSRTSSWSLSLKVPQPIVAADRIAKPSDNNEIKYKSRSQFNTKVILKDSQISLMGEGSPSIAGSLTGKPSVGHLINNYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDDCGCISWYLTIDHPTEDGKMSADSHDFEKRRFLGYVPLEVLYSTLMDLINMCNAGAHH >LPERR12G16900.1 pep chromosome:Lperr_V1.4:12:17609082:17615919:1 gene:LPERR12G16900 transcript:LPERR12G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSRDGLTAQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGAGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYVDGDGKMYRVSKGAPEQILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >LPERR12G16910.1 pep chromosome:Lperr_V1.4:12:17616340:17624251:1 gene:LPERR12G16910 transcript:LPERR12G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSPEPKPKLDQMPQWPSLPLPAAAAAVARRAAPFALRRSFSSSTPASVCASASIHDRVSTTTACPEDEESPSLVVVGGGAAGVYASIRAKTLAPHLNVLVVEKGRFLSKVKISGGGRCNVTNGHHQEPLGMARNYPRGHKELRGSFFKTHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIAGKAVSGASVIENGRFVLKVEKRTIDFVDYINADYVVVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPRVKAKLKLDGIQKSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFVPDIHIEDVKRILFQHKDHHASLDGDMHWASIPNNNLNAIALRLKQWIFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSVGTLASRNNLRQQQSYLQLEG >LPERR12G16910.2 pep chromosome:Lperr_V1.4:12:17616340:17624251:1 gene:LPERR12G16910 transcript:LPERR12G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSPEPKPKLDQMPQWPSLPLPAAAAAVARRAAPFALRRSFSSSTPASVCASASIHDRVSTTTACPEDEESPSLVVVGGGAAGVYASIRAKTLAPHLNVLVVEKGRFLSKVKISGGGRCNVTNGHHQEPLGMARNYPRGHKELRGSFFKTHGPQDTMRWFSDHGVELKAGKAVSGASVIENGRFVLKVEKRTIDFVDYINADYVVVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPRVKAKLKLDGIQKSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFVPDIHIEDVKRILFQHKDHHASLDGDMHWASIPNNNLNAIALRLKQWIFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSVGTLASRNNLRQQQSYLQLEG >LPERR12G16920.1 pep chromosome:Lperr_V1.4:12:17621208:17626163:-1 gene:LPERR12G16920 transcript:LPERR12G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVDDAGPRPSSHIYEGLIYFVVLAYPDYGHILPVFASMPPDQKVKLWPDRFTSEGPYGIQWRFMLRAKQLGTLTQCARSFYVNGSRCGSTDGAPSTCPEDETSVPKRQTANGIKQKFHSTRRSSVKAQPPSVQHVGSIGRATGCPAPAVHVVPSNSSQETEPASSNTVNHPRTPQVLANGYVQPSKQTARSISHSAVAGAGVYSELVNTRTTSNDGSTNQAPQMVANYSSQTLSDAQPSNIKAHNQHSFPEAKMPYTPSMQNNLGKGVSGSGYAKSKQNFSGPAIVSNPPSQTRNQRHPGQRQTNYHSNNFSTDARWDEVQTQNLSAPAVYAGSGHKSQGPMGTIKSHGGGPQSNLRSLKSLRAVEQYYHTLQQMKWGPMTEHVLDNLHCKIDAFQANQVLKLLHDHNIALGFFQWLKRQPEFKHDGHTYTTMIGILGQAKQFGTMRKLLDEMSSAHCKPTVVTYNRIIHAYGRSNYLREAIKVFEEMQTAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYNLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMSHDWIPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSVREKDSSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGICPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >LPERR12G16930.1 pep chromosome:Lperr_V1.4:12:17627385:17631577:1 gene:LPERR12G16930 transcript:LPERR12G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYKIASRQAPPATNPTKYATPRNPGNSPFSICSQSPAGRPAMAMGGGRTRWSALAASALIQCFAGSSYCFAVYSPALKASQSYDQSALDKVAFFKDVGANAGILSGLLAAWAPSGRRRPCLVLLAGAALCAAGYLPIWLAVTGIAAAPQPLPLICLYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTLHIAPSTFILMLAILPTAITLLLMYFVDVHSSHLRYNKKFIDAFSLIAITVAGYLMVIIICDQVLKISSAVQSVCFVILLLLVLSPVAVAVKAQKIESTKQEEEETTDQQRIGLIQHETSTSVSSSSDRCQDLSSGKENLNLVQAMGKLNFWLLFLAMACGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGLGRPFFIGATLMIMSLGHAIIASGIPASLYIGSVLVGLCYGCQWALMPSITSEIFGLSHFGTIFNVVAVASPVGSYILSVRLVGFIYDMESPPGKHSCSGNHCFALSFIVMACVCVFGSAVAFVLFVRTRKFYKRVVYARLQSFL >LPERR12G16940.1 pep chromosome:Lperr_V1.4:12:17631364:17639645:-1 gene:LPERR12G16940 transcript:LPERR12G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAMDGVVKWGPVWSALASFVFLLPVLNNYIPAGLRQWLATFAERLTTYLSPYLHVTISEYGHERFQRSDLYLAVEAYLSHACARRARKLRAELGKDAKTLQVTVDDYQEVTDSFSSSSGAGDDVTLWWYPSKKVNRSNVISIFPGHDEHRFYRLVFHRRHRDLVIDEYLPFVLAEGRAVTVRNRQRRLFTNNASNGSSSNSYRGGGKGVWSHVPFEHPATFDTLAMDPDEKEAIIDDLTAFRESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTAVKSNTDLRKLYIETTGKSIIVIEDIDCSIDLTGKRNKTDDKKKSSNVGKDDDDKVKLPGETDKDETSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVKEHQLFGEIQSLLEETDMSPADVAENLMPMSKRKKRDPNACLVDLIDALNKAKEEAAEAKAREETEAKAAKEKEEAEARMMTKQGKFLSINLRSIFVTVRE >LPERR12G16940.2 pep chromosome:Lperr_V1.4:12:17631364:17642066:-1 gene:LPERR12G16940 transcript:LPERR12G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVENYWGVWAPVWSALASFVFLLPVINNYIPAGLGQWLNTFAEKLTTYFSPYLHVTISEYGQENFRRSKFYLAVEAYLSHACSRRARKLRADLGKDAKTLQVTVDDHQEVTDSFSGATLWWRPSKTTSARNVISWYPGEDERRYYSLIFHRRYRDLVLDQYLPYVLAEGRAVTVRNRQRRLFTNNASGGGGGGYGRGKGVWSHVPFEHPATFDTLAMDPDEKEAIIDDLTAFRESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTAVKSNTDLRKLYIETTGKSIIVIEDIDCSVDLTGKRKPSDKKKKSSEDDDDKPPKPPGEEEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGLEQHELFGEIRRLLEETNMSPADVAENLMPMSKRKKRDPEACLRDLIDAVIKAKEEALAMDGVVKWGPVWSALASFVFLLPVLNNYIPAGLRQWLATFAERLTTYLSPYLHVTISEYGHERFQRSDLYLAVEAYLSHACARRARKLRAELGKDAKTLQVTVDDYQEVTDSFSSSSGAGDDVTLWWYPSKKVNRSNVISIFPGHDEHRFYRLVFHRRHRDLVIDEYLPFVLAEGRAVTVRNRQRRLFTNNASNGSSSNSYRGGGKGVWSHVPFEHPATFDTLAMDPDEKEAIIDDLTAFRESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTAVKSNTDLRKLYIETTGKSIIVIEDIDCSIDLTGKRNKTDDKKKSSNVGKDDDDKVKLPGETDKDETSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVKEHQLFGEIQSLLEETDMSPADVAENLMPMSKRKKRDPNACLVDLIDALNKAKEEAAEAKAREETEAKAAKEKEEAEARMMTKQGKFLSINLRSIFVTVRE >LPERR12G16940.3 pep chromosome:Lperr_V1.4:12:17631732:17642066:-1 gene:LPERR12G16940 transcript:LPERR12G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVENYWGVWAPVWSALASFVFLLPVINNYIPAGLGQWLNTFAEKLTTYFSPYLHVTISEYGQENFRRSKFYLAVEAYLSHACSRRARKLRADLGKDAKTLQVTVDDHQEVTDSFSGATLWWRPSKTTSARNVISWYPGEDERRYYSLIFHRRYRDLVLDQYLPYVLAEGRAVTVRNRQRRLFTNNASGGGGGGYGRGKGVWSHVPFEHPATFDTLAMDPDEKEAIIDDLTAFRESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTAVKSNTDLRKLYIETTGKSIIVIEDIDCSIDLTGKRNKTDDKKKSSNVGKDDDDKVKLPGETDKDETSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVKEHQLFGEIQSLLEETDMSPADVAENLMPMSKRKKRDPNACLVDLIDALNKAKEEAAEAKAREETEAKAAKEKEEAEARMMTKQGKVISQSHC >LPERR12G16950.1 pep chromosome:Lperr_V1.4:12:17643708:17645260:1 gene:LPERR12G16950 transcript:LPERR12G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAAAAEGMFAGWAGVWSAVASFLFFFSMLQEYIPFQLQDHIAALAGRLHSLISPYATVTIDDQQPTSDYFSRSEAFHAVEAYLSASPCAARARRLRANLADRMSLAVDDHEGVTDKFHGATMWWRKTKNLPGATVITWSPRNAEHRSYRLYFHRRHRRLVEDEYLPFVLAEGRAVAVRNRQRRLFTDNPAADWASNEDGRAWSHVKLEHPSTFATLAMDPARKKEILDDLDMFRDGKDYYASVGKAWTCCSLTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKKNKASDKKNKMMPPWDRDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFEAFKVLAKNYLGVDKHELFNEIRRLLEEADMSPADVAENLMPRSKRTDVDACLGRLVKALHEAKETKAALAENKEDIKGIEKIEDDNDDDEESDSSNNSKDDESNPVCQNENDKKEK >LPERR12G16960.1 pep chromosome:Lperr_V1.4:12:17651011:17652212:1 gene:LPERR12G16960 transcript:LPERR12G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGDCVDLEPFFYDEAAAEVGGGGKETGGASASTIPWRRRLVLCDAIYIEVDLKMKMDDRRGSSREDKRLSKGLLILNGVQLSPYLSKMRTAVQSLTLRSRSICPCNAQITYSYVSHGVEATISVVELLLDDQGHGGGHFCGQITACTSTIQDTSVVLHDSKLLAAAAGVIAADDCNVHTSIPLLRRVMAVSLDETLIVTIFAQSSDGVTDRQTIDFKPAVNGGDEARIVCGVTSFVVKVIWSTMSMVPDQ >LPERR12G16970.1 pep chromosome:Lperr_V1.4:12:17652617:17652895:1 gene:LPERR12G16970 transcript:LPERR12G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRCFLTYSANCMSLDIVDEFHNSPQGESAGQKLPRHEATRAVDMTENLMPRLKRDMRGCLLRRHVNALHKAKETSR >LPERR12G16980.1 pep chromosome:Lperr_V1.4:12:17654511:17658789:1 gene:LPERR12G16980 transcript:LPERR12G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLLIVAVFVCFAGSCWLLPSSLALPLCTDSRPPLVLNKTLPFCAYSGSSCCDAAADAALQRRFDAINVSDASCAAVLKAILCAKCNPYSAELFGAGPNIRTIPFLCNTTSSTSAQSKDSTKDYCKQVWETCKNVTISKSPFQAPLQGSAPLPSSSSKLTDDWQSETDFCKSFGGAPNDQSVCFRGNSVSFNTTPPSPSPKGICLERIDNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPEQGSGGTLQFDETSPFVDLTDQVHFDSAFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVDCDPSKVGMDNGAQPCQYQVVVSEYSAKGSSANVSEVSSADPSEVTRIFTMGLPFTSQHGGQILFGPNDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNTPRQSEIANQSLWGNYSIPKDNPYADDSDLEPEVWALGLRNPWRCSFDSERPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFQPPSTPGGNTSLNSINAIPPIMGYNHSEVNKNIGSASIIGGNVYRGSTDPCLYGRYLYADLYASAMWSGTETPESSGNYTSSLISFSCSKNSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCSYTCPTEKPETNNGRTSPGPSSTASVIEMGKETGVVLLSVIMFWVLVR >LPERR12G16990.1 pep chromosome:Lperr_V1.4:12:17659178:17665049:1 gene:LPERR12G16990 transcript:LPERR12G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCQMETTQDMSRIEFEFGPTNSVDLFFSFNNPTVLTPLTRQSVTTAHEMKPTTAAAVDRRWLLPLAVGSGLSLLLLLLLTTIPLPFPSASSRSPNPSLFVEHKLSPSSPSSSSPLPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRARLSAGLAADHPAIAAAGNVRVIDRANLVTYRGPTMVANTLHAAAAFLFSFYKQQQDAGDPDWDWFINLSASDYPLVTQDDLIHVFSTLPRGLNFIDHTSDIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNADEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDEEILFRGPDMLTPGGWCGGTRENGSDPCLVVGNTSLLQPGRGAVRLQRLITSLLSEEKFHPRQCK >LPERR12G16990.2 pep chromosome:Lperr_V1.4:12:17659178:17665049:1 gene:LPERR12G16990 transcript:LPERR12G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCQMETTQDMSRIEFEFGPTNSVDLFFSFNNPTVLTPLTRQSVTTAHEMKPTTAAAVDRRWLLPLAVGSGLSLLLLLLLTTIPLPFPSASSRSPNPSLFVEHKLSPSSPSSSSPLPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRARLSAGLAADHPAIAAAGNVRVIDRANLVTYRGPTMVANTLHAAAAFLFSFYKQQQDAGDPDWDWFINLSASDYPLVTQDDLIHVFSTLPRGLNFIDHTSDIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNADEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDEEILFRGPDMLTPGGWCGGTRENGSDPCLVVGNTSLLQPGRGAVRLQRLITSLLSEEKFHPRQCK >LPERR12G17000.1 pep chromosome:Lperr_V1.4:12:17665564:17667162:1 gene:LPERR12G17000 transcript:LPERR12G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRIQNLIVDNPYSGTKWLRSIDLTRHLFGNGGKTAAASSIGDEGSSDPALADDSIICQTTVADSKRPKSKIAAARAALKMERIRFSSPIVRFTCTKTLDYAFIDCFPLSDRNVVMADQTGCTFLFDADTRRVLPKPNLHKTKWEPISLFVPSVDPSDGGILYVMERVPKREKEGSELSDQFEAFVCDSKPSPESQWHCHLLPPPPFVCDLPAGYSTPR >LPERR12G17010.1 pep chromosome:Lperr_V1.4:12:17668291:17674543:1 gene:LPERR12G17010 transcript:LPERR12G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTTTTTTTITAVAAPFFVMERFPEPELNWSTPWSDQFEAYVYCNPTSMPLIKSWQWQLLPPPPFVREFNHWNSSTRPEINSYALLGSDICISVNGVGTYSLDTASHTWTKVANWTLPFHGRVDYEWEECKDSQLVNLGSGTFCILRFFHTHNQRNGCNIAVFTGVEVMPRVQEDATGEVQLHMISHKSLCHQSKTTTIDSVF >LPERR12G17020.1 pep chromosome:Lperr_V1.4:12:17675186:17675779:-1 gene:LPERR12G17020 transcript:LPERR12G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVPFALRKQLEAAEKCFVDGNIKVGKMHADMAAALFSSSPEAHCAQAAFKVHSAAASTKDKLGKPDPYAVLGVKLNATGKPDATTPDAVRKQHKALCAQLATAKDTSAAVAAACKLVDEAFSALTDIKKTEMGAPASTSFQQQQKQVAQRKAKQRREDEEFQARAAAYQEEEEDAYYGGGRGKVGRGGRNSGF >LPERR12G17030.1 pep chromosome:Lperr_V1.4:12:17687429:17688538:-1 gene:LPERR12G17030 transcript:LPERR12G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGDGDGVHRQKFFKILLPGTFESTLCLPPKFAARLAAAAVTLIDPAGRSWDVELGHNDDQTACFAGTAWRSFVRANRLVPGHMLVFDRRPGPGLHLAVDMFDHSGCLKDDDADDDDHQIDDEDDDDDEMESGNAAAWRKAFAGGMKKKKEKKRKRSRSLSPPPAADMTGSCGQDDQQQQQEEEEEEELQIDIVRPYQLRLLDLTKSFCDRVGWTSSRSVELSLQQQRRQGPWEVRVKVSGKGGMMCGGWMQFVNDNNICVHDTCVFKPPPRHLLHHGSLQVHVLRRRTNIITIP >LPERR12G17040.1 pep chromosome:Lperr_V1.4:12:17689830:17691170:-1 gene:LPERR12G17040 transcript:LPERR12G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDDVWNNAILLSVLAVLFLQLLSPAPAAPLPPGPRNLPVIGSSATFSAGSLACVARCTPSIDTIDITEREKERSCSSTPNQQQQDSNNLVDVLLSLQEKGDFGFPITRDTIKAIVLDIFAGGSVTSANTMEWAMSGLLMNPRVMKKVQAEIREAFRGEHTIKEADLQARDLKYLKLVIKETLRLHPPAPLLVPRENIEACEINGYMIPAKARVLVNPWAISRDPRYWEDAEEFKPERFAEDRIDFYGNNYEYTLFGSGRRMCPGYNFGLASMELPLAQLLYSFNWSLPDGATEVDMTEAPGLGVRKKTPLVLCATPYNDTVATICD >LPERR12G17050.1 pep chromosome:Lperr_V1.4:12:17694393:17696867:1 gene:LPERR12G17050 transcript:LPERR12G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSILSANYNTILFEFGVILVTSKMMYAVLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGAFVFAPYLFALGVEMDPSSLLLPSADSAVAYAGVFSTAVVVTLFHTPIMRSASGIVQEQSLRGFLGLAAALANTASPVLTRLATDLKIAKTAVGRLAVGAGLASDMLTTLLISLGSMVWRDAGTEDDSSSLLARPALMAAVLAVAGLSGLVSRAMAEWVESRNPSGRRMRGFDLSLVALVAATLCWFSSALRLDVNMAAFMVGLAFPSEGRVSRLLVSKINLVLTSFVLPLYVAHVCLSLRQTTDDVEAAGLTRDQGFRVYVMDLPFPWWKVIFVTAMGTLGKLVGCAAAGLLLCGLGFLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVALNVAATPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLHGPQDVPTLALLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIFKGGGFDGVTVVDEEVAETRKLIGEALDAQVAAAANNKVRVRRVLALSSFQDMHSDICICAEDAMAALVLLPFHKRQRPDGAMDAGAGHFGFRLVNQKVLQLAPCSVGILVDRGRVVGGEQQQGVGVVVVFIGGADDREALTLASFMSKHNSVRLTALRVVQNATAQARSRARTSLFESSSTKSSRNTQASSSSSTSALAQEEAQMQVDDKFFAEFYRKHVAGGGGSNKGMGYMEKHVGDGAELVAELRALQGDYGLFIVGRGRDRRSVLTEGLDEWAECLELGPVGDILASSDFSSTASVLIVQQYDAKKHYKVIDEEFMPL >LPERR12G17060.1 pep chromosome:Lperr_V1.4:12:17704798:17711373:1 gene:LPERR12G17060 transcript:LPERR12G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGVAEQEEVKGLVVAAPQPSTGIASWVLDLLERAAVRLGHDASKPLHWLSGNFAPVHDETPPAKDLAVRGNLPDCLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGKAKFMKIGDLKGFFGLFMVQLQQLRKKLKVLDFTYGYGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLQHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDEKNIRWFELPKCFIFHNANAWEEGDEVILITCRLGKVDLDIVKGFQSDKLENLGHELYEMRFNMRTGAASQKQLSVSTVDFPRINESYTGRKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFVNEEQLARQAAGQ >LPERR12G17060.2 pep chromosome:Lperr_V1.4:12:17704783:17711373:1 gene:LPERR12G17060 transcript:LPERR12G17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGVAEQEEVKGLVVAAPQPSTGIASWVLDLLERAAVRLGHDASKPLHWLSGNFAPVHDETPPAKDLAVRGNLPDCLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGKAKFMKIGDLKGFFGLFMVQLQQLRKKLKVLDFTYGYGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLQHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDEKNIRWFELPKCFIFHNANAWEEGDEVILITCRLGKVDLDIVKGFQSDKLENLGHELYEMRFNMRTGAASQKQLSVSTVDFPRINESYTGRKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFVNEEQLARQAAGQ >LPERR12G17070.1 pep chromosome:Lperr_V1.4:12:17713055:17715733:1 gene:LPERR12G17070 transcript:LPERR12G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPVEYLYPPYNLTYFHFIDTNGVFLLSRNSTFSAAVFNPGAAADDQQSRFFFSVLHAASRTPVWTATAGSTILQSIVLSLSSRGLALSDPGAAADDAAAAAWSTPPLRSPVTALRLLDSGELLLLDAANATLWSSFDRPTDTILPGQALLAGVPLTAVTSDNDLNPGSYRLLVTAGDALLQWASSSSAANSSSFVTYWALSTDANSVQDSTAAVHSMTANSSGIYLLAANGHDTVFRLRFPSTTTDAAVLLKVDPSGRLRALAMASPPRATLPTVWAAPYDGCDLPLPCRSLGLCTPGTNGSSCSCPDAFSTYSSTGGCSPADGSTLTPSASDSCTSPTSTSGLNYMSLGVGIGYFANKFASPDTSGEPLAACRDGCSGNCSCVGFFYRNSSMSCFLVRNQIGSLFRGGGDNSGAVGFVKTFPASRHQGKGASSSSLSLITIVFGIALPTVAAVLIGFLVYAIWIKRKVGSSSDKKKRKKQHGQGSSGGINRSWFKLPMLSSSQQPSSYVSEEENESADDDEVLIPGLPARLTYIELSEATEGFKTQIGSGGFGCVYKGELRGEAVAVKRMNNLGTSRQGRREFLTEMAVIGNAHHVNLVKLRGFCADRQLLVYEYMNRGSLDQCLFRPNNQCLDWAERMGVCAGAARGLAYLHAGCARKILHCDVKPENILLDDRGGVKIADFGLAKLLSPEQSGLFTTMRGTRGYLAPEWLTNAPISDKADVYSFGMVLLEIVRGRKNCKRDNHQQLQSSSSSSSDGNDGYYFPALALEMHEQGRYEALVDQRLDGKADMAEVERVVRVALCCLHEDAALRPPMTTVSAMLDGTMEAALPRTELLGYLRLYGHGLVDVRSAGKPGKGSEFTSAGGGATSSWSPTSCVSAQQLSGPR >LPERR12G17080.1 pep chromosome:Lperr_V1.4:12:17716048:17724843:-1 gene:LPERR12G17080 transcript:LPERR12G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSPSPSPGKHRRSPDAADPAASPKRRRRHHRRRHRDVDDDDSPRAEADRPLAEDVEEGEILDDAMDLDAAALDAAPELCGNHADVNSDADATVLHASRLPSHSFPRDEKKSNYSAHESGSGGIILSDADETREYKQSQRVSKSPQLTREKERKHKDGHHKSYPKDSHSKEQSRRSPSRHRSQDHGRYHSRSRDTGAEANSLRASTREDSDHDSNDRHSKHGRHASRSRENERERSSNHVVRDEVSDGQERYKHERRHGSNPIDRDRVDLHELTRRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRRDGSKDRYKESDKSDSRHEREKIRDDRDRGRRKDLESGKWRNGEGNDRGSNIDKHRDSRHSKYSTSDGHKHHSRSRERGKDAERRGQRSEELKENSFKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYKQQQLQKQQLESLPRNNDEEVVEMNKGDDADPKDGNGSRFVASGEAENKQDSSDAIVGETDFTVGKSPAHSDGAGALGNQRTTGVSGLGEGTPKSEQSADMFCDDIFGDSPAGVRKLVKDDGLRTEKNALHDNWDDAEGYYTYRLGELLDGRYEITAAHGKGVFSTVVRAKDLKAGRDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTAQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGYLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGKNSAKASASKFCIGEGGTPRKHDKVVQPIQQFALQVYECIMAKPINKYTSCYAHNMKLMKNTKHEVDEKY >LPERR12G17090.1 pep chromosome:Lperr_V1.4:12:17725629:17729392:-1 gene:LPERR12G17090 transcript:LPERR12G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTDMGCCSCFGFLRKPRTLLHFRASDSFFSNDLLVSQHDGDDPDTIFYGGQDNDFLYQSDNGPQRPAKRSQEIILSRAQSGFVCRQVPVKETKKAICHEDENGNKMVNEYIHQRKIGSGSYGKVVLYRNTKDGKLYAVKVLHKSYMKKVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEQKLVCDNGLGETSSRKYLRAIISGLMYLHSHNIIHGDIKPDNLLVTINGNVKIGDFSVSQIFEDDDDTLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMIFGQYPFLGDTLQETYDKIVNDTVEIPDGMNPQLVDLLERLLCKEVIYASWDGCIAEPRDRITLQGAAQHPWVVGDEGPIPEYWCRCGFGRRKQVQASEEQVQRQQGYLCKESAGLLV >LPERR12G17100.1 pep chromosome:Lperr_V1.4:12:17732729:17737027:1 gene:LPERR12G17100 transcript:LPERR12G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQQLQQQQRQKQLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELAEAQAEIKALRLSERAREKAVEDLTEELAKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKIARQEIAKLQDDNRALDRLTKSKEAALLEAEKTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSVGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSAMNVSTDSSEDKESNNSDEKPNEFTSVESEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESESKKRRMEVSAMEKEMAAMRLEKEQDSKAKRFGSSSGSANSSQLPPGRLPRSGSARNM >LPERR12G17110.1 pep chromosome:Lperr_V1.4:12:17737270:17741021:1 gene:LPERR12G17110 transcript:LPERR12G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQERDTAANGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >LPERR12G17120.1 pep chromosome:Lperr_V1.4:12:17739405:17749835:-1 gene:LPERR12G17120 transcript:LPERR12G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDGEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLGANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVILSTFFLGSALKLMFPYDWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQESLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGYLLLLYVFVQVSRMLVVLILFPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRASDAVQPHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDGLSATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLEDEPVHPHAISDRNDRIHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFIRAHRIARRQLHDFLGDSEVARIVIEESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQDLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVGDLLNTHPLVGALPAAMRDPLLNSTKETVKGQGTILYREGSRPTGIWLVSVGVVKWTSQRISRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSVEIFLWQESALVIARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNYIGILLEGFLKTRNQTLITPPGLLLPPNADLNSFGLESSAINHIDYCYTAPCYQVEARARILFVEIGRPEVEADLQRSASRISQTLELPRTHSKEHSAEHINDMQPGQGQRRQRHRHTKASSNKGHSSSYPRVPSRSSNTQRPLLSVQSEGANMRTARQAAAAEASTTAAAGRRRRQRKAIEEGEDNSSDESTGEEIIVRVDSPSMLTFRQPSGSGAVDDDQLSPQPK >LPERR12G17120.2 pep chromosome:Lperr_V1.4:12:17739405:17749835:-1 gene:LPERR12G17120 transcript:LPERR12G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDGEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLGANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVILSTFFLGSALKLMFPYDWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQESLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGYLLLLYVFVQVSRMLVVLILFPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRASDAVQPHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDGLSATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLEDEPVHPHAISDRNDRIHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFIRAHRIARRQLHDFLGDSEVARIVIEESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQDLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVGDLLNTHPLVGALPAAMRDPLLNSTKETVKGQGTILYREGSRPTGIWLVSVGVVKWTSQRISRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSVEIFLWQESALVIARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNYIGILLEGFLKTRNQTLITPPGLLLPPNADLNSFGLESSAINHIDYCYTAPCYQVEARARILFVEIGRPEVEADLQRSASRISQTLELPRTHSKEHSGLLSWPESFRKSRGGQNAASLTEIRDHPASFSARALQLSMYGSMINDMQPGQGQRRQRHRHTKASSNKGHSSSYPRVPSRSSNTQRPLLSVQSEGANMRTARQAAAAEASTTAAAGRRRRQRKAIEEGEDNSSDESTGEEIIVRVDSPSMLTFRQPSGSGAVDDDQLSPQPK >LPERR12G17120.3 pep chromosome:Lperr_V1.4:12:17739405:17749835:-1 gene:LPERR12G17120 transcript:LPERR12G17120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDGEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLGANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVILSTFFLGSALKLMFPYDWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQESLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGYLLLLYVFVQVSRMLVVLILFPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRASDAVQPHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDGLSATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLEDEPVHPHAISDRNDRIHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFIRAHRIARRQLHDFLGDSEVARIVIEESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQDLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVGDLLNTHPLVGALPAAMRDPLLNSTKETVKGQGTILYREGSRPTGIWLVSVGVVKWTSQRISRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSVEIFLWQESALVIARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNYIGILLEGFLKTRNQTLITPPGLLLPPNADLNSFGLESSAINHIDYCYTAPCYQVEARARILFVEIGRPEVEADLQRSASRISQTLELPRTHSKEHSGLLSWPESFRKSRGGQNAASLTEIRDHPASFSARALQLSMYGSMPGQGQRRQRHRHTKASSNKGHSSSYPRVPSRSSNTQRPLLSVQSEGANMRTARQAAAAEASTTAAAGRRRRQRKAIEEGEDNSSDESTGEEIIVRVDSPSMLTFRQPSGSGAVDDDQLSPQPK >LPERR12G17120.4 pep chromosome:Lperr_V1.4:12:17739405:17749835:-1 gene:LPERR12G17120 transcript:LPERR12G17120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDGEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLGANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVILSTFFLGSALKLMFPYDWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQESLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGYLLLLYVFVQVSRMLVVLILFPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRASDAVQPHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDGLSATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLEDEPVHPHAISDRNDRIHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFIRAHRIARRQLHDFLGDSEVARIVIEESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQDLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVGDLLNTHPLVGALPAAMRDPLLNSTKETVKGQGTILYREGSRPTGIWLVSVGVVKVCFCLDFITLELELTVLSSLFHDEHVYLFPLFPQWTSQRISRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSVEIFLWQESALVIARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNYIGILLEGFLKTRNQTLITPPGLLLPPNADLNSFGLESSAINHIDYCYTAPCYQVEARARILFVEIGRPEVEADLQRSASRISQTLELPRTHSKEHSGLLSWPESFRKSRGGQNAASLTEIRDHPASFSARALQLSMYGSMINDMQPGQGQRRQRHRHTKASSNKGHSSSYPRVPSRSSNTQRPLLSVQSEGANMRTARQAAAAEASTTAAAGRRRRQRKAIEEGEDNSSDESTGEEIIVRVDSPSMLTFRQPSGSGAVDDDQLSPQPK >LPERR12G17130.1 pep chromosome:Lperr_V1.4:12:17751638:17752627:1 gene:LPERR12G17130 transcript:LPERR12G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTMAALQFHQQQLPVRAPWPALSSCRPRAAAVRSSSASGGGVVRPKETVKLTYLEINSWVWEVGGWRILVDPILAGNLDFGAPWLFDAAKKRLKSLGVDEVLQSSVDLLLITQSLDDHCHARTLSQIAAAAPDLPVLTTPNARPILAALPFRHVTYLQPGDTHHYMLPGEGRSFSLRILATPGPVLGPPWQRPENGYILTLLQQQEIMSLYYEPHCVYDRSFFDNRQQQLGADVVITPVVKQLLPGNFPVVAGQEDAVELARMLRARYVVPMCNGDVDAKGLLTSFISTQGTLHAFQQLLADALPDAQLLQPTPGIPLHLHFSI >LPERR12G17140.1 pep chromosome:Lperr_V1.4:12:17753136:17756567:-1 gene:LPERR12G17140 transcript:LPERR12G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGGGGGGAGKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSIQPGSTRLGAIVVYLIGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKILPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHATTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSMTQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLIITYVAKNMDYPPSGEPPTGIIIASIVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGHR